smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name CCCO[C@@H]1CC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137881367 1131428997 /nfs/dbraw/zinc/42/89/97/1131428997.db2.gz NUTXBWDBPNEMES-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137881367 1131429000 /nfs/dbraw/zinc/42/90/00/1131429000.db2.gz NUTXBWDBPNEMES-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CCCc1ccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398227467 1118103305 /nfs/dbraw/zinc/10/33/05/1118103305.db2.gz GGGOPHHVVASTFS-NEPJUHHUSA-N 1 2 275.421 3.902 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1csnn1)c1ccc(Cl)cc1 ZINC000398289532 1118117938 /nfs/dbraw/zinc/11/79/38/1118117938.db2.gz BMXOTOAYGSWQNA-SKDRFNHKSA-N 1 2 281.812 3.993 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(F)F)c1ccc(OC(F)F)cc1 ZINC000389954137 1118589893 /nfs/dbraw/zinc/58/98/93/1118589893.db2.gz YPTCDRJGBYHCQD-SFYZADRCSA-N 1 2 265.250 3.592 20 0 CHADLO COc1ccc(C[N@H+](C)[C@@H](C)c2ccccc2F)o1 ZINC000891593787 1118717944 /nfs/dbraw/zinc/71/79/44/1118717944.db2.gz ZMOLLILIIPYIGS-NSHDSACASA-N 1 2 263.312 3.620 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@@H](C)c2ccccc2F)o1 ZINC000891593787 1118717948 /nfs/dbraw/zinc/71/79/48/1118717948.db2.gz ZMOLLILIIPYIGS-NSHDSACASA-N 1 2 263.312 3.620 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC[C@@H]3CCC[C@@H]32)cs1 ZINC000891803280 1118783052 /nfs/dbraw/zinc/78/30/52/1118783052.db2.gz HOZJOADGDWMMLJ-ONGXEEELSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC[C@@H]3CCC[C@@H]32)cs1 ZINC000891803280 1118783057 /nfs/dbraw/zinc/78/30/57/1118783057.db2.gz HOZJOADGDWMMLJ-ONGXEEELSA-N 1 2 290.354 3.926 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CCCC[C@H]2C(F)(F)F)cc[nH+]1 ZINC000112298406 1125486740 /nfs/dbraw/zinc/48/67/40/1125486740.db2.gz QHZRRUSIENPURC-NWDGAFQWSA-N 1 2 286.297 3.697 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2cccnc2)cs1 ZINC000112547080 1125487746 /nfs/dbraw/zinc/48/77/46/1125487746.db2.gz QDIZYGNKUBGNTF-WDEREUQCSA-N 1 2 261.394 3.512 20 0 CHADLO Cc1ccc2c(Nc3cccc(C(N)=O)c3F)cccc2[nH+]1 ZINC001212798465 1125490079 /nfs/dbraw/zinc/49/00/79/1125490079.db2.gz HWEXWLAFFKKXLN-UHFFFAOYSA-N 1 2 295.317 3.525 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccnc(OC)c1Cl ZINC001211941535 1125491109 /nfs/dbraw/zinc/49/11/09/1125491109.db2.gz YLKDTRXQQILPHW-UHFFFAOYSA-N 1 2 277.755 3.753 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCOC[C@@H]1C1CC1 ZINC001238680095 1131230599 /nfs/dbraw/zinc/23/05/99/1131230599.db2.gz IDFALRQBZJGDAE-CQSZACIVSA-N 1 2 297.851 3.673 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCOC[C@@H]1C1CC1 ZINC001238680095 1131230603 /nfs/dbraw/zinc/23/06/03/1131230603.db2.gz IDFALRQBZJGDAE-CQSZACIVSA-N 1 2 297.851 3.673 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1cccnc1Cl ZINC000052709369 1119441617 /nfs/dbraw/zinc/44/16/17/1119441617.db2.gz UEZQGBHIHLMZIK-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000140534682 1119579250 /nfs/dbraw/zinc/57/92/50/1119579250.db2.gz GQJVGAPWNPJDTM-MNOVXSKESA-N 1 2 269.335 3.525 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000140534682 1119579251 /nfs/dbraw/zinc/57/92/51/1119579251.db2.gz GQJVGAPWNPJDTM-MNOVXSKESA-N 1 2 269.335 3.525 20 0 CHADLO CCC(CC)[N@H+](C)Cc1cc(F)c(O)c(Cl)c1 ZINC001237987085 1129236916 /nfs/dbraw/zinc/23/69/16/1129236916.db2.gz AEMIVBHIVQYSOY-UHFFFAOYSA-N 1 2 259.752 3.805 20 0 CHADLO CCC(CC)[N@@H+](C)Cc1cc(F)c(O)c(Cl)c1 ZINC001237987085 1129236923 /nfs/dbraw/zinc/23/69/23/1129236923.db2.gz AEMIVBHIVQYSOY-UHFFFAOYSA-N 1 2 259.752 3.805 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2CF)cc(C(F)(F)F)c1 ZINC001143462222 1119757060 /nfs/dbraw/zinc/75/70/60/1119757060.db2.gz CZQRUAQAJSMRGU-CYBMUJFWSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2CF)cc(C(F)(F)F)c1 ZINC001143462222 1119757061 /nfs/dbraw/zinc/75/70/61/1119757061.db2.gz CZQRUAQAJSMRGU-CYBMUJFWSA-N 1 2 275.289 3.948 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1csc(-c2ccsc2)n1 ZINC000172593111 1120180730 /nfs/dbraw/zinc/18/07/30/1120180730.db2.gz RCKQHXCFSAAZFO-SNVBAGLBSA-N 1 2 296.486 3.809 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1csc(-c2ccsc2)n1 ZINC000172593111 1120180734 /nfs/dbraw/zinc/18/07/34/1120180734.db2.gz RCKQHXCFSAAZFO-SNVBAGLBSA-N 1 2 296.486 3.809 20 0 CHADLO CCOCC[N@H+](CC)Cc1csc(C2CCCC2)n1 ZINC000107677794 1120295916 /nfs/dbraw/zinc/29/59/16/1120295916.db2.gz VKLIXKYGLBNDOF-UHFFFAOYSA-N 1 2 282.453 3.659 20 0 CHADLO CCOCC[N@@H+](CC)Cc1csc(C2CCCC2)n1 ZINC000107677794 1120295919 /nfs/dbraw/zinc/29/59/19/1120295919.db2.gz VKLIXKYGLBNDOF-UHFFFAOYSA-N 1 2 282.453 3.659 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCC1(F)F)CC2 ZINC000672622595 1120336413 /nfs/dbraw/zinc/33/64/13/1120336413.db2.gz NRFVIBWTMMGBAS-STQMWFEESA-N 1 2 255.283 3.590 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H](C)c2cccnc2Cl)n1 ZINC000162338249 1120402359 /nfs/dbraw/zinc/40/23/59/1120402359.db2.gz ZUSYLJSJXLYJAK-ZJUUUORDSA-N 1 2 281.812 3.912 20 0 CHADLO C[N@H+](Cc1ccc(F)c(Cl)c1F)C1CC(F)(F)C1 ZINC001143616652 1120472321 /nfs/dbraw/zinc/47/23/21/1120472321.db2.gz SSLBVYLMNYKMCD-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO C[N@@H+](Cc1ccc(F)c(Cl)c1F)C1CC(F)(F)C1 ZINC001143616652 1120472326 /nfs/dbraw/zinc/47/23/26/1120472326.db2.gz SSLBVYLMNYKMCD-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO CC[C@H]([NH2+]Cc1nccs1)c1cc(F)ccc1OC ZINC000922620595 1120564053 /nfs/dbraw/zinc/56/40/53/1120564053.db2.gz DGWCRYMRLJWKGM-LBPRGKRZSA-N 1 2 280.368 3.532 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ccc(F)cc2)c[nH+]1 ZINC001175712138 1121435476 /nfs/dbraw/zinc/43/54/76/1121435476.db2.gz GSKXAQRCDXZELP-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)C(C)(C)CC(C)(C)C)[nH+]1 ZINC001179096587 1121848892 /nfs/dbraw/zinc/84/88/92/1121848892.db2.gz YIPFANYRJMGAEY-UHFFFAOYSA-N 1 2 279.428 3.612 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)C(C)(C)CC(C)(C)C)c[nH+]1 ZINC001179096587 1121848904 /nfs/dbraw/zinc/84/89/04/1121848904.db2.gz YIPFANYRJMGAEY-UHFFFAOYSA-N 1 2 279.428 3.612 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccco1)c1nc2c(s1)CCC2 ZINC000345304259 1121870112 /nfs/dbraw/zinc/87/01/12/1121870112.db2.gz QYBKCQBYUHXXGD-VHSXEESVSA-N 1 2 262.378 3.637 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnccc2C(F)(F)F)c1 ZINC001203654293 1122419263 /nfs/dbraw/zinc/41/92/63/1122419263.db2.gz GRJWFHXBXKWMRJ-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nonc1C)c1ccc(Cl)s1 ZINC000925066980 1122828750 /nfs/dbraw/zinc/82/87/50/1122828750.db2.gz KMSVMKDPVPLVRI-IONNQARKSA-N 1 2 285.800 3.895 20 0 CHADLO Cc1cccnc1[C@H](C)[NH2+]Cc1nccc(Cl)c1Cl ZINC001198640475 1123002211 /nfs/dbraw/zinc/00/22/11/1123002211.db2.gz DCQZESUKMMSOJG-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO Cc1cccnc1[C@@H](C)[NH2+]Cc1nccc(Cl)c1Cl ZINC001198640478 1123002785 /nfs/dbraw/zinc/00/27/85/1123002785.db2.gz DCQZESUKMMSOJG-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO CCc1ccc(Br)cc1Nc1ccc(N)[nH+]c1 ZINC001201300322 1123084733 /nfs/dbraw/zinc/08/47/33/1123084733.db2.gz OSRABONAMOMGEQ-UHFFFAOYSA-N 1 2 292.180 3.732 20 0 CHADLO CC(C)(C)CC[N@@H+]1CC[C@H](N2CCCCC2)C(F)(F)C1 ZINC001202114930 1123176639 /nfs/dbraw/zinc/17/66/39/1123176639.db2.gz JFLOQXPBFULXCX-AWEZNQCLSA-N 1 2 288.426 3.618 20 0 CHADLO CC(C)(C)CC[N@H+]1CC[C@H](N2CCCCC2)C(F)(F)C1 ZINC001202114930 1123176641 /nfs/dbraw/zinc/17/66/41/1123176641.db2.gz JFLOQXPBFULXCX-AWEZNQCLSA-N 1 2 288.426 3.618 20 0 CHADLO CC(C)(C)CCN1CC[C@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001202114930 1123176643 /nfs/dbraw/zinc/17/66/43/1123176643.db2.gz JFLOQXPBFULXCX-AWEZNQCLSA-N 1 2 288.426 3.618 20 0 CHADLO C[C@H](CSCCF)Nc1ccc([NH+]2CCCC2)cc1 ZINC000850450283 1123268617 /nfs/dbraw/zinc/26/86/17/1123268617.db2.gz MHMOJARNRFLRTA-CYBMUJFWSA-N 1 2 282.428 3.790 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+][C@H](C)c2csnn2)c1 ZINC000398478098 1123406240 /nfs/dbraw/zinc/40/62/40/1123406240.db2.gz QLDHEFNVSHXUFG-NWDGAFQWSA-N 1 2 261.394 3.567 20 0 CHADLO CN(C)c1cc(CSCC=C(Cl)Cl)cc[nH+]1 ZINC000853772837 1123453509 /nfs/dbraw/zinc/45/35/09/1123453509.db2.gz YVJKFMADAQXWAM-UHFFFAOYSA-N 1 2 277.220 3.700 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(C(C)(C)C)s1)c1ccns1 ZINC000858316718 1123643952 /nfs/dbraw/zinc/64/39/52/1123643952.db2.gz IROYAHCOAROBLS-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO CC(C)(C)c1cn(Cc2nc(Cl)ccc2Cl)c[nH+]1 ZINC000865376321 1123976162 /nfs/dbraw/zinc/97/61/62/1123976162.db2.gz JCVHGAVCGKCXAX-UHFFFAOYSA-N 1 2 284.190 3.931 20 0 CHADLO CCC1(CC)CCN(c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000446845165 1124125724 /nfs/dbraw/zinc/12/57/24/1124125724.db2.gz YJGNUCGJVFRGQZ-UHFFFAOYSA-N 1 2 259.397 3.679 20 0 CHADLO CC[C@H](CCC(F)(F)F)Nc1cc(COC)cc[nH+]1 ZINC000872084666 1124679659 /nfs/dbraw/zinc/67/96/59/1124679659.db2.gz TZHMOOGLPAZXBF-LLVKDONJSA-N 1 2 276.302 3.761 20 0 CHADLO COc1cccc([C@@H](C)[N@H+](C)Cc2csc(C)n2)c1 ZINC000120558024 1124950094 /nfs/dbraw/zinc/95/00/94/1124950094.db2.gz BGXBJEQJDUVTBT-LLVKDONJSA-N 1 2 276.405 3.653 20 0 CHADLO COc1cccc([C@@H](C)[N@@H+](C)Cc2csc(C)n2)c1 ZINC000120558024 1124950102 /nfs/dbraw/zinc/95/01/02/1124950102.db2.gz BGXBJEQJDUVTBT-LLVKDONJSA-N 1 2 276.405 3.653 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cc(C(F)(F)F)co2)s1 ZINC000877973011 1125108800 /nfs/dbraw/zinc/10/88/00/1125108800.db2.gz SBAUHVWBQPHQQR-UHFFFAOYSA-N 1 2 290.310 3.695 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cc(C(F)(F)F)co2)s1 ZINC000877973011 1125108802 /nfs/dbraw/zinc/10/88/02/1125108802.db2.gz SBAUHVWBQPHQQR-UHFFFAOYSA-N 1 2 290.310 3.695 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](CC)c2sccc2Cl)[nH]1 ZINC000883208188 1125377902 /nfs/dbraw/zinc/37/79/02/1125377902.db2.gz AQEKWKBRANOXDN-WCBMZHEXSA-N 1 2 298.843 3.884 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cccc(Cl)c2)o1 ZINC000223594516 1125385132 /nfs/dbraw/zinc/38/51/32/1125385132.db2.gz UGSFEYLPVAXQNI-SNVBAGLBSA-N 1 2 264.756 3.741 20 0 CHADLO COCc1csc(CNc2c[nH+]c(C)c(C)c2)c1 ZINC000883341811 1125397616 /nfs/dbraw/zinc/39/76/16/1125397616.db2.gz ZYXMEQLFICMFOV-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(OC(F)(F)F)cc1F ZINC001143792822 1131534216 /nfs/dbraw/zinc/53/42/16/1131534216.db2.gz DFOSGWIUSCPOLG-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(OC(F)(F)F)cc1F ZINC001143792822 1131534220 /nfs/dbraw/zinc/53/42/20/1131534220.db2.gz DFOSGWIUSCPOLG-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO FC1(F)C[C@@]12CC[N@H+](Cc1cc(Cl)nc(Cl)c1)C2 ZINC000844471732 1131569429 /nfs/dbraw/zinc/56/94/29/1131569429.db2.gz RIVAWSVYIPSQDO-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@@]12CC[N@@H+](Cc1cc(Cl)nc(Cl)c1)C2 ZINC000844471732 1131569434 /nfs/dbraw/zinc/56/94/34/1131569434.db2.gz RIVAWSVYIPSQDO-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(Cl)c(F)cc2F)CCCO1 ZINC001144130600 1131590503 /nfs/dbraw/zinc/59/05/03/1131590503.db2.gz MHMODWLXMJNXDJ-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(Cl)c(F)cc2F)CCCO1 ZINC001144130600 1131590507 /nfs/dbraw/zinc/59/05/07/1131590507.db2.gz MHMODWLXMJNXDJ-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCc3ccsc3C2)c1 ZINC001139590976 1131618606 /nfs/dbraw/zinc/61/86/06/1131618606.db2.gz IMPYTWHOIXMPEM-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCc3ccsc3C2)c1 ZINC001139590976 1131618609 /nfs/dbraw/zinc/61/86/09/1131618609.db2.gz IMPYTWHOIXMPEM-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1cnc(Cl)nc1 ZINC001139993311 1131655042 /nfs/dbraw/zinc/65/50/42/1131655042.db2.gz IPLOBSSMIKXQCM-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO Oc1cc(F)c(C[NH+]2C3CCCC2CCC3)cc1F ZINC001144659333 1131679315 /nfs/dbraw/zinc/67/93/15/1131679315.db2.gz DPNGRVCHANBMOB-UHFFFAOYSA-N 1 2 267.319 3.577 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@@H+]1CC[C@H](C)C(F)(F)C1 ZINC001172335650 1132174180 /nfs/dbraw/zinc/17/41/80/1132174180.db2.gz FQAWOFRZERPXJP-AVGNSLFASA-N 1 2 263.372 3.557 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@H+]1CC[C@H](C)C(F)(F)C1 ZINC001172335650 1132174183 /nfs/dbraw/zinc/17/41/83/1132174183.db2.gz FQAWOFRZERPXJP-AVGNSLFASA-N 1 2 263.372 3.557 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(C)c(Cl)c1 ZINC001231423823 1132292218 /nfs/dbraw/zinc/29/22/18/1132292218.db2.gz JOQYLJGEJPXUGJ-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(C)c(Cl)c1 ZINC001231423823 1132292224 /nfs/dbraw/zinc/29/22/24/1132292224.db2.gz JOQYLJGEJPXUGJ-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO C[N@H+](Cc1cncc(O)c1)Cc1cc(Cl)cc(Cl)c1 ZINC001232043718 1132414061 /nfs/dbraw/zinc/41/40/61/1132414061.db2.gz KNYMEFVIUDHMOZ-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1cncc(O)c1)Cc1cc(Cl)cc(Cl)c1 ZINC001232043718 1132414064 /nfs/dbraw/zinc/41/40/64/1132414064.db2.gz KNYMEFVIUDHMOZ-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)[nH]1 ZINC001232464167 1132472954 /nfs/dbraw/zinc/47/29/54/1132472954.db2.gz UKFVSVHSYONRHK-SNVBAGLBSA-N 1 2 263.772 3.565 20 0 CHADLO CCCOc1ccc(-c2ccc[nH+]c2N)cc1Cl ZINC001204786956 1133485627 /nfs/dbraw/zinc/48/56/27/1133485627.db2.gz WPYRRCZYXBUGIN-UHFFFAOYSA-N 1 2 262.740 3.773 20 0 CHADLO C[C@H](CC[N@@H+]1CC(F)(F)C[C@]1(C)CO)CC(C)(C)C ZINC001208656084 1133923036 /nfs/dbraw/zinc/92/30/36/1133923036.db2.gz VBBYXPBGVCAICR-TZMCWYRMSA-N 1 2 277.399 3.541 20 0 CHADLO C[C@H](CC[N@H+]1CC(F)(F)C[C@]1(C)CO)CC(C)(C)C ZINC001208656084 1133923039 /nfs/dbraw/zinc/92/30/39/1133923039.db2.gz VBBYXPBGVCAICR-TZMCWYRMSA-N 1 2 277.399 3.541 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(Cl)c(O)c1F ZINC001214793632 1134574172 /nfs/dbraw/zinc/57/41/72/1134574172.db2.gz HYBIABMJRMEJGJ-UHFFFAOYSA-N 1 2 282.702 3.722 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2coc(-c3cccs3)n2)[C@@H]1C ZINC000118418015 1125522520 /nfs/dbraw/zinc/52/25/20/1125522520.db2.gz HGAPEDGWGMOFIM-GHMZBOCLSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2coc(-c3cccs3)n2)[C@@H]1C ZINC000118418015 1125522528 /nfs/dbraw/zinc/52/25/28/1125522528.db2.gz HGAPEDGWGMOFIM-GHMZBOCLSA-N 1 2 294.445 3.729 20 0 CHADLO CC[N@H+](C[C@@H]1C[C@@]12CCOC2)c1ccc(C)cc1C ZINC001307534460 1125531492 /nfs/dbraw/zinc/53/14/92/1125531492.db2.gz ASCGYOIZJVHMQJ-DOTOQJQBSA-N 1 2 259.393 3.556 20 0 CHADLO CC[N@@H+](C[C@@H]1C[C@@]12CCOC2)c1ccc(C)cc1C ZINC001307534460 1125531496 /nfs/dbraw/zinc/53/14/96/1125531496.db2.gz ASCGYOIZJVHMQJ-DOTOQJQBSA-N 1 2 259.393 3.556 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cccc(OC(C)C)c2)no1 ZINC000800311878 1125552266 /nfs/dbraw/zinc/55/22/66/1125552266.db2.gz SWEOWHOHUONNHB-ZDUSSCGKSA-N 1 2 274.364 3.621 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(-c2ccco2)n1)c1ccco1 ZINC000237514881 1125564922 /nfs/dbraw/zinc/56/49/22/1125564922.db2.gz IQNACZGZVUNIRG-SNVBAGLBSA-N 1 2 274.345 3.847 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@@H](C)[C@H]2c2ccccc2)cs1 ZINC000245423606 1125570333 /nfs/dbraw/zinc/57/03/33/1125570333.db2.gz JOJRERJUEUAPGS-WBMJQRKESA-N 1 2 272.417 3.899 20 0 CHADLO CCc1nc(C[N@H+]2C[C@@H](C)[C@H]2c2ccccc2)cs1 ZINC000245423606 1125570340 /nfs/dbraw/zinc/57/03/40/1125570340.db2.gz JOJRERJUEUAPGS-WBMJQRKESA-N 1 2 272.417 3.899 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2C[C@@H](C)[C@@H]2c2ccccc2)n1 ZINC000245433182 1125571281 /nfs/dbraw/zinc/57/12/81/1125571281.db2.gz PAILMSKLQIZPDK-VNHYZAJKSA-N 1 2 285.391 3.947 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2C[C@@H](C)[C@@H]2c2ccccc2)n1 ZINC000245433182 1125571285 /nfs/dbraw/zinc/57/12/85/1125571285.db2.gz PAILMSKLQIZPDK-VNHYZAJKSA-N 1 2 285.391 3.947 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2CCOc3c(Cl)cccc32)no1 ZINC000282937104 1125610037 /nfs/dbraw/zinc/61/00/37/1125610037.db2.gz YQUGDCUOKYPKSC-GWCFXTLKSA-N 1 2 292.766 3.811 20 0 CHADLO Cc1cc(N2C[C@H](C)[C@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000246264982 1125616090 /nfs/dbraw/zinc/61/60/90/1125616090.db2.gz YZROCJCPVDDDCP-SJCJKPOMSA-N 1 2 279.387 3.860 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@@H](c2ccsc2)O1 ZINC000246307306 1125618975 /nfs/dbraw/zinc/61/89/75/1125618975.db2.gz CIDVPKKMVCCXAV-WBMJQRKESA-N 1 2 291.391 3.849 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@@H](c2ccsc2)O1 ZINC000246307306 1125618978 /nfs/dbraw/zinc/61/89/78/1125618978.db2.gz CIDVPKKMVCCXAV-WBMJQRKESA-N 1 2 291.391 3.849 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nccs2)[C@@H](c2ccco2)C1 ZINC000246459799 1125638725 /nfs/dbraw/zinc/63/87/25/1125638725.db2.gz FJGXUWLBOOHNNY-NWDGAFQWSA-N 1 2 262.378 3.709 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nccs2)[C@@H](c2ccco2)C1 ZINC000246459799 1125638731 /nfs/dbraw/zinc/63/87/31/1125638731.db2.gz FJGXUWLBOOHNNY-NWDGAFQWSA-N 1 2 262.378 3.709 20 0 CHADLO Cc1ccncc1C[NH2+][C@H](C)c1ncc(-c2ccccc2)o1 ZINC000348359590 1125640875 /nfs/dbraw/zinc/64/08/75/1125640875.db2.gz OSUCVIUICHMUEI-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc2ccccc2n1C ZINC001212043596 1125660813 /nfs/dbraw/zinc/66/08/13/1125660813.db2.gz YEOOHKZUFOYEIS-UHFFFAOYSA-N 1 2 267.332 3.716 20 0 CHADLO Fc1ccc(Cl)cc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000886270372 1125663945 /nfs/dbraw/zinc/66/39/45/1125663945.db2.gz BNPAGGMNQOZKNY-UHFFFAOYSA-N 1 2 280.730 3.634 20 0 CHADLO Fc1ccc(C[NH2+]Cc2cc(C3CC3)no2)c(Cl)c1 ZINC000886270605 1125663996 /nfs/dbraw/zinc/66/39/96/1125663996.db2.gz IFXJZJRHIFNBDJ-UHFFFAOYSA-N 1 2 280.730 3.634 20 0 CHADLO Cc1cc(Br)ccc1C[NH2+][C@@H](C)c1ncco1 ZINC000886274114 1125665559 /nfs/dbraw/zinc/66/55/59/1125665559.db2.gz MOEKZBYAMFJJQD-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]C(C)(C)c2ccc(F)cc2F)n1 ZINC000886350382 1125672215 /nfs/dbraw/zinc/67/22/15/1125672215.db2.gz SMMWRVZDAIMIEO-UHFFFAOYSA-N 1 2 293.361 3.836 20 0 CHADLO CC(C)([NH2+]Cc1cnc(C2CC2)o1)c1ccc(F)cc1F ZINC000886349834 1125672405 /nfs/dbraw/zinc/67/24/05/1125672405.db2.gz AYCRCCWAHDPDPQ-UHFFFAOYSA-N 1 2 292.329 3.855 20 0 CHADLO CCc1ccc[nH+]c1Nc1cccc(OC(F)F)c1 ZINC001212056028 1125672808 /nfs/dbraw/zinc/67/28/08/1125672808.db2.gz JHLMNTZBAKXFDH-UHFFFAOYSA-N 1 2 264.275 3.989 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2cc(Cl)ccc21)c1ncco1 ZINC000886538263 1125685903 /nfs/dbraw/zinc/68/59/03/1125685903.db2.gz FIVADBCRJARZHQ-ZANVPECISA-N 1 2 262.740 3.666 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cnccc2Cl)c1 ZINC001137230936 1125725661 /nfs/dbraw/zinc/72/56/61/1125725661.db2.gz BNFBKEDCXHGBTR-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cnccc2Cl)c1 ZINC001137230936 1125725668 /nfs/dbraw/zinc/72/56/68/1125725668.db2.gz BNFBKEDCXHGBTR-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO C[N@H+](Cc1cccc(F)c1)Cc1cnccc1Cl ZINC001137231066 1125726257 /nfs/dbraw/zinc/72/62/57/1125726257.db2.gz FEQQCFQIVLTJOC-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1)Cc1cnccc1Cl ZINC001137231066 1125726262 /nfs/dbraw/zinc/72/62/62/1125726262.db2.gz FEQQCFQIVLTJOC-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO c1coc(C[N@H+](Cc2cncs2)Cc2ccccc2)c1 ZINC000162205050 1125741764 /nfs/dbraw/zinc/74/17/64/1125741764.db2.gz OLMJHVCWNSLGIZ-UHFFFAOYSA-N 1 2 284.384 3.939 20 0 CHADLO c1coc(C[N@@H+](Cc2cncs2)Cc2ccccc2)c1 ZINC000162205050 1125741768 /nfs/dbraw/zinc/74/17/68/1125741768.db2.gz OLMJHVCWNSLGIZ-UHFFFAOYSA-N 1 2 284.384 3.939 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cc3nc(C4CC4)no3)CC2)c1 ZINC000092821960 1125762369 /nfs/dbraw/zinc/76/23/69/1125762369.db2.gz SGCIMQVNFDDGKG-UHFFFAOYSA-N 1 2 295.386 3.545 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cc3nc(C4CC4)no3)CC2)c1 ZINC000092821960 1125762374 /nfs/dbraw/zinc/76/23/74/1125762374.db2.gz SGCIMQVNFDDGKG-UHFFFAOYSA-N 1 2 295.386 3.545 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccsc2)C[C@H](c2ccsc2)O1 ZINC000093769115 1125773068 /nfs/dbraw/zinc/77/30/68/1125773068.db2.gz HARCYHIBNZJNEM-SMDDNHRTSA-N 1 2 279.430 3.772 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccsc2)C[C@H](c2ccsc2)O1 ZINC000093769115 1125773073 /nfs/dbraw/zinc/77/30/73/1125773073.db2.gz HARCYHIBNZJNEM-SMDDNHRTSA-N 1 2 279.430 3.772 20 0 CHADLO CSc1cc(C)cc(C[N@@H+]2CCC[C@H]2c2ncccn2)c1 ZINC001238687815 1131232488 /nfs/dbraw/zinc/23/24/88/1131232488.db2.gz GQEAXLCLRQIPLY-INIZCTEOSA-N 1 2 299.443 3.844 20 0 CHADLO CSc1cc(C)cc(C[N@H+]2CCC[C@H]2c2ncccn2)c1 ZINC001238687815 1131232494 /nfs/dbraw/zinc/23/24/94/1131232494.db2.gz GQEAXLCLRQIPLY-INIZCTEOSA-N 1 2 299.443 3.844 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Cl)nc2)[C@@H]1c1ccccc1 ZINC000081573608 1129252810 /nfs/dbraw/zinc/25/28/10/1129252810.db2.gz PVMZLIHXOQSBGI-LRDDRELGSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)nc2)[C@@H]1c1ccccc1 ZINC000081573608 1129252815 /nfs/dbraw/zinc/25/28/15/1129252815.db2.gz PVMZLIHXOQSBGI-LRDDRELGSA-N 1 2 272.779 3.928 20 0 CHADLO CCCCCCc1ccc(C[N@@H+]2CCO[C@@H]3C[C@@H]32)s1 ZINC001238703505 1131233936 /nfs/dbraw/zinc/23/39/36/1131233936.db2.gz NYCUGABFIJAITF-JKSUJKDBSA-N 1 2 279.449 3.844 20 0 CHADLO CCCCCCc1ccc(C[N@H+]2CCO[C@@H]3C[C@@H]32)s1 ZINC001238703505 1131233938 /nfs/dbraw/zinc/23/39/38/1131233938.db2.gz NYCUGABFIJAITF-JKSUJKDBSA-N 1 2 279.449 3.844 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2cc(C)ns2)on1 ZINC000638691417 1129266331 /nfs/dbraw/zinc/26/63/31/1129266331.db2.gz XAJWVBYFXLKEAO-UHFFFAOYSA-N 1 2 279.409 3.633 20 0 CHADLO CCCC[C@@H](C(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C(C)C ZINC000621854658 1129200265 /nfs/dbraw/zinc/20/02/65/1129200265.db2.gz CKNSBTIRLVVASB-JKSUJKDBSA-N 1 2 291.439 3.509 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C2CCCCC2)no1)[NH+](C)C ZINC000771585737 1129984235 /nfs/dbraw/zinc/98/42/35/1129984235.db2.gz DVCHAMXIJDILSM-NSHDSACASA-N 1 2 265.401 3.550 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H](C)C(F)(F)F)c(C)[nH+]1 ZINC000636581626 1129205074 /nfs/dbraw/zinc/20/50/74/1129205074.db2.gz HEFTWZBUULZGCQ-MRVPVSSYSA-N 1 2 274.286 3.534 20 0 CHADLO C[C@H]1CCC[C@H](Nc2ccc(N3CCCC3)c[nH+]2)C1 ZINC000338143776 1126720580 /nfs/dbraw/zinc/72/05/80/1126720580.db2.gz POZONPQQTRFRAO-KBPBESRZSA-N 1 2 259.397 3.672 20 0 CHADLO CCC(CC)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000338379907 1126739458 /nfs/dbraw/zinc/73/94/58/1126739458.db2.gz IYZLGJFSIUIKJG-UHFFFAOYSA-N 1 2 291.782 3.900 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+]2CCc3ccccc3C2)n1 ZINC000338344866 1126736202 /nfs/dbraw/zinc/73/62/02/1126736202.db2.gz CTPWXBHJAJOLBJ-ZDUSSCGKSA-N 1 2 285.391 3.532 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+]2CCc3ccccc3C2)n1 ZINC000338344866 1126736204 /nfs/dbraw/zinc/73/62/04/1126736204.db2.gz CTPWXBHJAJOLBJ-ZDUSSCGKSA-N 1 2 285.391 3.532 20 0 CHADLO C[C@H]([NH2+]Cc1nc2ccccc2s1)c1ccncc1F ZINC000338351126 1126737050 /nfs/dbraw/zinc/73/70/50/1126737050.db2.gz SVSVLOKYLNTATM-JTQLQIEISA-N 1 2 287.363 3.681 20 0 CHADLO Cn1c[nH+]cc1CNc1cccc(CCC(F)(F)F)c1 ZINC000151050923 1126749913 /nfs/dbraw/zinc/74/99/13/1126749913.db2.gz HRVDAOWEINLIBQ-UHFFFAOYSA-N 1 2 283.297 3.527 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1cscn1)c1ccccn1 ZINC000678114384 1130350347 /nfs/dbraw/zinc/35/03/47/1130350347.db2.gz FHCFBCAZBKERNE-AAEUAGOBSA-N 1 2 261.394 3.730 20 0 CHADLO CC(C)CCn1cc(-c2cc(N)c(C(F)(F)F)c[nH+]2)cn1 ZINC001240416011 1126757015 /nfs/dbraw/zinc/75/70/15/1126757015.db2.gz LSWJZSHDLROLHT-UHFFFAOYSA-N 1 2 298.312 3.592 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1cccc(Cl)n1 ZINC000175122130 1126777474 /nfs/dbraw/zinc/77/74/74/1126777474.db2.gz PQAJMDSATZFNGN-OAHLLOKOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1cccc(Cl)n1 ZINC000175122130 1126777479 /nfs/dbraw/zinc/77/74/79/1126777479.db2.gz PQAJMDSATZFNGN-OAHLLOKOSA-N 1 2 289.810 3.801 20 0 CHADLO Cc1ccc(OC(F)(F)F)c(-c2ccc[nH+]c2N)c1 ZINC001243476347 1130506723 /nfs/dbraw/zinc/50/67/23/1130506723.db2.gz PVAZIQNUYDTHRN-UHFFFAOYSA-N 1 2 268.238 3.538 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)o1)C2 ZINC000483659421 1126796469 /nfs/dbraw/zinc/79/64/69/1126796469.db2.gz KWUCENLHWOSAGL-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)o1)C2 ZINC000483659421 1126796473 /nfs/dbraw/zinc/79/64/73/1126796473.db2.gz KWUCENLHWOSAGL-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO COc1ccc(OC)c([C@@H](C)[NH2+]C/C(Cl)=C/Cl)c1 ZINC000177692879 1126803711 /nfs/dbraw/zinc/80/37/11/1126803711.db2.gz BBHBDFXDJMJWJX-SBMLRHLQSA-N 1 2 290.190 3.673 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C)c(C)s1)c1nc(C)cs1 ZINC000177774996 1126804935 /nfs/dbraw/zinc/80/49/35/1126804935.db2.gz CHPHFHJEUJYHBA-AWEZNQCLSA-N 1 2 295.477 3.940 20 0 CHADLO CCC[N@H+](Cc1c(F)cncc1Br)C(C)C ZINC000668295251 1126807376 /nfs/dbraw/zinc/80/73/76/1126807376.db2.gz NDDQANNMLHCVSA-UHFFFAOYSA-N 1 2 289.192 3.604 20 0 CHADLO CCC[N@@H+](Cc1c(F)cncc1Br)C(C)C ZINC000668295251 1126807379 /nfs/dbraw/zinc/80/73/79/1126807379.db2.gz NDDQANNMLHCVSA-UHFFFAOYSA-N 1 2 289.192 3.604 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cnc(C(C)(C)C)s1 ZINC000179428921 1126828915 /nfs/dbraw/zinc/82/89/15/1126828915.db2.gz MJFSXJXEIBLRIY-UHFFFAOYSA-N 1 2 295.477 3.838 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cnc(C(C)(C)C)s1 ZINC000179428921 1126828918 /nfs/dbraw/zinc/82/89/18/1126828918.db2.gz MJFSXJXEIBLRIY-UHFFFAOYSA-N 1 2 295.477 3.838 20 0 CHADLO CCCCc1noc(C[NH2+]C(C)(C)c2cccc(C)c2)n1 ZINC000179583064 1126830521 /nfs/dbraw/zinc/83/05/21/1126830521.db2.gz DXOQTANVTJWNAV-UHFFFAOYSA-N 1 2 287.407 3.746 20 0 CHADLO Cc1cc(N[C@H](C)c2ccccn2)ccc1[NH+](C)C ZINC000179612018 1126830746 /nfs/dbraw/zinc/83/07/46/1126830746.db2.gz XMSOFYISCUZGSR-CYBMUJFWSA-N 1 2 255.365 3.629 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(OC(F)F)cc2)s1 ZINC000180409372 1126843232 /nfs/dbraw/zinc/84/32/32/1126843232.db2.gz VONFOCTXCVRLPG-UHFFFAOYSA-N 1 2 298.358 3.685 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(OC(F)F)cc2)s1 ZINC000180409372 1126843235 /nfs/dbraw/zinc/84/32/35/1126843235.db2.gz VONFOCTXCVRLPG-UHFFFAOYSA-N 1 2 298.358 3.685 20 0 CHADLO Clc1cccc(SCCCn2cc[nH+]c2)c1 ZINC000180436716 1126843511 /nfs/dbraw/zinc/84/35/11/1126843511.db2.gz NUPVWHWJWBPFKV-UHFFFAOYSA-N 1 2 252.770 3.719 20 0 CHADLO Cc1cccc2ncnc(Nc3ccc4c(c3)[nH+]cn4C)c12 ZINC000484807678 1126848771 /nfs/dbraw/zinc/84/87/71/1126848771.db2.gz CMSWZQRZYYZYRI-UHFFFAOYSA-N 1 2 289.342 3.569 20 0 CHADLO CCOc1ccccc1[C@H](CC(C)C)[NH2+]Cc1ccon1 ZINC000181258713 1126853597 /nfs/dbraw/zinc/85/35/97/1126853597.db2.gz GOHXOPPAFHJLQD-INIZCTEOSA-N 1 2 288.391 3.950 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[NH2+][C@@H](C)c1ccccn1 ZINC000181798855 1126861636 /nfs/dbraw/zinc/86/16/36/1126861636.db2.gz GVLGPONFUOWILT-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO C[N@H+](Cc1cnc[nH]1)Cc1cccc(C2CCCC2)c1 ZINC000668422162 1126871049 /nfs/dbraw/zinc/87/10/49/1126871049.db2.gz RWLVDKWXQDNNAQ-UHFFFAOYSA-N 1 2 269.392 3.699 20 0 CHADLO C[N@@H+](Cc1cnc[nH]1)Cc1cccc(C2CCCC2)c1 ZINC000668422162 1126871055 /nfs/dbraw/zinc/87/10/55/1126871055.db2.gz RWLVDKWXQDNNAQ-UHFFFAOYSA-N 1 2 269.392 3.699 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)o1 ZINC000780386948 1130678861 /nfs/dbraw/zinc/67/88/61/1130678861.db2.gz QSKYOHSNOUWFIG-GHMZBOCLSA-N 1 2 290.329 3.961 20 0 CHADLO CC(C)c1cnc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)o1 ZINC000780386948 1130678864 /nfs/dbraw/zinc/67/88/64/1130678864.db2.gz QSKYOHSNOUWFIG-GHMZBOCLSA-N 1 2 290.329 3.961 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccnc1)c1ncc(C(C)(C)C)o1 ZINC000182891134 1126879227 /nfs/dbraw/zinc/87/92/27/1126879227.db2.gz FAKNZLFUCDKKLZ-NWDGAFQWSA-N 1 2 273.380 3.779 20 0 CHADLO COc1cc(C(F)(F)F)ccc1-c1c[nH+]c2n1CCCC2 ZINC000904908823 1126882976 /nfs/dbraw/zinc/88/29/76/1126882976.db2.gz OPAMNMRITFHQAD-UHFFFAOYSA-N 1 2 296.292 3.914 20 0 CHADLO CC(C)[C@@H]1C[C@@H](Nc2c[nH+]cc3c2CCCC3)CCO1 ZINC000668440615 1126891787 /nfs/dbraw/zinc/89/17/87/1126891787.db2.gz OWTPKHMBEFEICK-YOEHRIQHSA-N 1 2 274.408 3.576 20 0 CHADLO Cc1cncc([C@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)c1 ZINC000184470165 1126895497 /nfs/dbraw/zinc/89/54/97/1126895497.db2.gz OHRZCTDSNVLRNQ-AWEZNQCLSA-N 1 2 281.403 3.946 20 0 CHADLO Cc1cncc([C@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)c1 ZINC000184470165 1126895501 /nfs/dbraw/zinc/89/55/01/1126895501.db2.gz OHRZCTDSNVLRNQ-AWEZNQCLSA-N 1 2 281.403 3.946 20 0 CHADLO Fc1ccc(CNc2[nH+]c3ccccc3n2CC2CC2)cn1 ZINC000905430003 1126902315 /nfs/dbraw/zinc/90/23/15/1126902315.db2.gz NTUURIMNJXODDX-UHFFFAOYSA-N 1 2 296.349 3.593 20 0 CHADLO COc1cccc([C@H](C)NC(=O)c2c(C)cc(C)[nH+]c2C)c1 ZINC000905942847 1126922866 /nfs/dbraw/zinc/92/28/66/1126922866.db2.gz FIJMRLCNDYGUBC-ZDUSSCGKSA-N 1 2 298.386 3.506 20 0 CHADLO CC(C)Oc1c(C[NH+]2CC3(CCC3)C2)ccc(F)c1F ZINC001238739402 1131238930 /nfs/dbraw/zinc/23/89/30/1131238930.db2.gz MZHCYSBGFCBQGX-UHFFFAOYSA-N 1 2 281.346 3.738 20 0 CHADLO O=C(C[C@H]1C=CCCC1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000188859161 1126925978 /nfs/dbraw/zinc/92/59/78/1126925978.db2.gz RWUJLPGGEWFHEW-ZDUSSCGKSA-N 1 2 281.359 3.762 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ccnc(OC)c1Cl ZINC001235837833 1130785454 /nfs/dbraw/zinc/78/54/54/1130785454.db2.gz MHPPGBTXWJQXEC-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCCCCC[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000813855374 1130788390 /nfs/dbraw/zinc/78/83/90/1130788390.db2.gz OWOAOYZPYJUVCN-AWEZNQCLSA-N 1 2 264.417 3.596 20 0 CHADLO C[C@@H]1C[NH+](Cc2csc(Cl)c2Cl)C[C@@H](C)C1O ZINC000668554937 1126955838 /nfs/dbraw/zinc/95/58/38/1126955838.db2.gz UPYNWLRFIVOKJF-HTQZYQBOSA-N 1 2 294.247 3.504 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2cocn2)o1 ZINC000191949387 1126956535 /nfs/dbraw/zinc/95/65/35/1126956535.db2.gz VFBLPQSAUVLRPE-SWLSCSKDSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2cocn2)o1 ZINC000191949387 1126956537 /nfs/dbraw/zinc/95/65/37/1126956537.db2.gz VFBLPQSAUVLRPE-SWLSCSKDSA-N 1 2 274.364 3.803 20 0 CHADLO Cc1cc(C)cc(C2=CC[N@H+](Cc3cocn3)CC2)c1 ZINC000191974902 1126957614 /nfs/dbraw/zinc/95/76/14/1126957614.db2.gz BHYPMLASXODXBY-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO Cc1cc(C)cc(C2=CC[N@@H+](Cc3cocn3)CC2)c1 ZINC000191974902 1126957618 /nfs/dbraw/zinc/95/76/18/1126957618.db2.gz BHYPMLASXODXBY-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO C=Cn1cc(C[N@@H+](C)[C@H](C)c2nc3ccccc3s2)cn1 ZINC000193633898 1126970346 /nfs/dbraw/zinc/97/03/46/1126970346.db2.gz MCRBXWHXHOIXPK-GFCCVEGCSA-N 1 2 298.415 3.786 20 0 CHADLO C=Cn1cc(C[N@H+](C)[C@H](C)c2nc3ccccc3s2)cn1 ZINC000193633898 1126970349 /nfs/dbraw/zinc/97/03/49/1126970349.db2.gz MCRBXWHXHOIXPK-GFCCVEGCSA-N 1 2 298.415 3.786 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc3c(c2)CC(C)(C)O3)c1 ZINC000777495803 1126979248 /nfs/dbraw/zinc/97/92/48/1126979248.db2.gz FUALUNJCAHLOEH-UHFFFAOYSA-N 1 2 298.386 3.724 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1cc(-c2ccco2)on1 ZINC000266507466 1126983379 /nfs/dbraw/zinc/98/33/79/1126983379.db2.gz CLPPSLOZYRCWJF-LBPRGKRZSA-N 1 2 283.331 3.523 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1cc(-c2ccco2)on1 ZINC000266507466 1126983381 /nfs/dbraw/zinc/98/33/81/1126983381.db2.gz CLPPSLOZYRCWJF-LBPRGKRZSA-N 1 2 283.331 3.523 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@H](C)c2cccc(F)c2)cs1 ZINC000267020252 1126991538 /nfs/dbraw/zinc/99/15/38/1126991538.db2.gz QQBIZOAQCCKEEY-GHMZBOCLSA-N 1 2 294.395 3.840 20 0 CHADLO CO[C@@H](C)c1nc(C[N@H+](C)Cc2ccccc2C)cs1 ZINC000267073657 1126993322 /nfs/dbraw/zinc/99/33/22/1126993322.db2.gz WMDDULLZFAZQBL-ZDUSSCGKSA-N 1 2 290.432 3.791 20 0 CHADLO CO[C@@H](C)c1nc(C[N@@H+](C)Cc2ccccc2C)cs1 ZINC000267073657 1126993327 /nfs/dbraw/zinc/99/33/27/1126993327.db2.gz WMDDULLZFAZQBL-ZDUSSCGKSA-N 1 2 290.432 3.791 20 0 CHADLO Cc1cnc(Nc2ccc(C)[nH+]c2C)c(Br)c1 ZINC000097846472 1127004339 /nfs/dbraw/zinc/00/43/39/1127004339.db2.gz OFPSJZCQZFQLQS-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cn1c[nH+]cc1CSCc1ccc(Cl)cc1 ZINC000267807583 1127006794 /nfs/dbraw/zinc/00/67/94/1127006794.db2.gz SAKVXXHVFVESBM-UHFFFAOYSA-N 1 2 252.770 3.507 20 0 CHADLO c1ccc(Nc2ccc(NCC[C@@H]3CCOC3)[nH+]c2)cc1 ZINC000668743497 1127019342 /nfs/dbraw/zinc/01/93/42/1127019342.db2.gz UBOXVAULKKMZHA-CQSZACIVSA-N 1 2 283.375 3.664 20 0 CHADLO CC1(C)CC[C@H]([NH2+]c2ccc(N3CCOCC3)cc2)C1 ZINC000149766784 1127022559 /nfs/dbraw/zinc/02/25/59/1127022559.db2.gz AKRKPGUUMPNQHT-HNNXBMFYSA-N 1 2 274.408 3.514 20 0 CHADLO FC(F)(F)C[C@@H]1C[N@H+](Cc2cccc(C3CC3)c2)CCO1 ZINC000668766348 1127026794 /nfs/dbraw/zinc/02/67/94/1127026794.db2.gz PZINKZFQYKWRAL-OAHLLOKOSA-N 1 2 299.336 3.717 20 0 CHADLO FC(F)(F)C[C@@H]1C[N@@H+](Cc2cccc(C3CC3)c2)CCO1 ZINC000668766348 1127026795 /nfs/dbraw/zinc/02/67/95/1127026795.db2.gz PZINKZFQYKWRAL-OAHLLOKOSA-N 1 2 299.336 3.717 20 0 CHADLO CCOc1ccccc1C[NH2+][C@@H](C)c1csc(C)n1 ZINC000269401910 1127038807 /nfs/dbraw/zinc/03/88/07/1127038807.db2.gz GNUHGBXRCNCHMF-NSHDSACASA-N 1 2 276.405 3.701 20 0 CHADLO CCc1cnc(C[NH2+][C@H]2CCc3c2c(F)ccc3F)s1 ZINC000269926109 1127048222 /nfs/dbraw/zinc/04/82/22/1127048222.db2.gz ODLRBRXSUMBRAW-ZDUSSCGKSA-N 1 2 294.370 3.761 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2ncc(C3CC3)o2)CC1 ZINC000270464824 1127055873 /nfs/dbraw/zinc/05/58/73/1127055873.db2.gz ASOIVRZFBVUILH-UHFFFAOYSA-N 1 2 260.381 3.730 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2ncc(C3CC3)o2)CC1 ZINC000270464824 1127055876 /nfs/dbraw/zinc/05/58/76/1127055876.db2.gz ASOIVRZFBVUILH-UHFFFAOYSA-N 1 2 260.381 3.730 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000270648359 1127059542 /nfs/dbraw/zinc/05/95/42/1127059542.db2.gz STTREJRRLRZDRB-LLVKDONJSA-N 1 2 274.339 3.884 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000270648359 1127059547 /nfs/dbraw/zinc/05/95/47/1127059547.db2.gz STTREJRRLRZDRB-LLVKDONJSA-N 1 2 274.339 3.884 20 0 CHADLO CC[C@@H]1CCC[C@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000165317694 1129214571 /nfs/dbraw/zinc/21/45/71/1129214571.db2.gz VLEKJYQLVBQUKV-UKRRQHHQSA-N 1 2 259.397 3.672 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000271202093 1127071967 /nfs/dbraw/zinc/07/19/67/1127071967.db2.gz ZNDLKEGJZBYZAD-RYUDHWBXSA-N 1 2 276.405 3.954 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OCC1CC1)c1cscn1 ZINC000271187347 1127072549 /nfs/dbraw/zinc/07/25/49/1127072549.db2.gz WXRINWTZCQTGFZ-GFCCVEGCSA-N 1 2 288.416 3.783 20 0 CHADLO Cc1nnc(C[N@@H+]2C[C@@H](C)C[C@H]2c2cccc(F)c2)s1 ZINC000271424132 1127079290 /nfs/dbraw/zinc/07/92/90/1127079290.db2.gz MTBWTKGSICPWRE-HZMBPMFUSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1nnc(C[N@H+]2C[C@@H](C)C[C@H]2c2cccc(F)c2)s1 ZINC000271424132 1127079293 /nfs/dbraw/zinc/07/92/93/1127079293.db2.gz MTBWTKGSICPWRE-HZMBPMFUSA-N 1 2 291.395 3.569 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000271391126 1127077971 /nfs/dbraw/zinc/07/79/71/1127077971.db2.gz LYVUBDGTCQTLNC-CQSZACIVSA-N 1 2 271.364 3.530 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000271391126 1127077973 /nfs/dbraw/zinc/07/79/73/1127077973.db2.gz LYVUBDGTCQTLNC-CQSZACIVSA-N 1 2 271.364 3.530 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCc2cn[nH]c21)c1cc(F)ccc1F ZINC000271557584 1127092006 /nfs/dbraw/zinc/09/20/06/1127092006.db2.gz WFKTYFJAOOAHML-CABCVRRESA-N 1 2 291.345 3.806 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCc2c[nH]nc21)c1cc(F)ccc1F ZINC000271557584 1127092008 /nfs/dbraw/zinc/09/20/08/1127092008.db2.gz WFKTYFJAOOAHML-CABCVRRESA-N 1 2 291.345 3.806 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc3ccccc3n2)s1 ZINC000274265501 1127111867 /nfs/dbraw/zinc/11/18/67/1127111867.db2.gz VUOSUIQUAIARHU-WDEREUQCSA-N 1 2 298.415 3.807 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1cncc(F)c1 ZINC000274322251 1127112101 /nfs/dbraw/zinc/11/21/01/1127112101.db2.gz CYSLIKUSYCGZMN-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1cncc(F)c1 ZINC000274322251 1127112103 /nfs/dbraw/zinc/11/21/03/1127112103.db2.gz CYSLIKUSYCGZMN-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO Fc1cncc(C[N@@H+]2CCSC[C@H]2c2ccccc2)c1 ZINC000274325225 1127112247 /nfs/dbraw/zinc/11/22/47/1127112247.db2.gz DQCGKAWOOKNOGX-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1cncc(C[N@H+]2CCSC[C@H]2c2ccccc2)c1 ZINC000274325225 1127112252 /nfs/dbraw/zinc/11/22/52/1127112252.db2.gz DQCGKAWOOKNOGX-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO Cn1c2ccccc2nc1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000348540675 1127121495 /nfs/dbraw/zinc/12/14/95/1127121495.db2.gz IUSKJTOWFVJJMN-MRXNPFEDSA-N 1 2 277.371 3.520 20 0 CHADLO Cn1c2ccccc2nc1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000348540675 1127121498 /nfs/dbraw/zinc/12/14/98/1127121498.db2.gz IUSKJTOWFVJJMN-MRXNPFEDSA-N 1 2 277.371 3.520 20 0 CHADLO Fc1cc(C[N@@H+]2CCC=C(c3ccco3)C2)cc(F)c1F ZINC000348636964 1127122959 /nfs/dbraw/zinc/12/29/59/1127122959.db2.gz SCRPZNDQWXMJBG-UHFFFAOYSA-N 1 2 293.288 3.986 20 0 CHADLO Fc1cc(C[N@H+]2CCC=C(c3ccco3)C2)cc(F)c1F ZINC000348636964 1127122960 /nfs/dbraw/zinc/12/29/60/1127122960.db2.gz SCRPZNDQWXMJBG-UHFFFAOYSA-N 1 2 293.288 3.986 20 0 CHADLO C[C@@H]1CC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)[C@@H](C)C1 ZINC000348837110 1127130147 /nfs/dbraw/zinc/13/01/47/1127130147.db2.gz ZNLBKKKPORXWQJ-IJEWVQPXSA-N 1 2 284.407 3.563 20 0 CHADLO C[C@@H]1CC(C)(C)C[C@@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348836132 1127130824 /nfs/dbraw/zinc/13/08/24/1127130824.db2.gz CBWXOYGHIDEVCM-HIFRSBDPSA-N 1 2 284.407 3.563 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCOc3cc(O)ccc3C2)o1 ZINC000348880970 1127132887 /nfs/dbraw/zinc/13/28/87/1127132887.db2.gz WHISLERVQMCZJZ-MLGOLLRUSA-N 1 2 299.370 3.503 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+]2CCOc3cc(O)ccc3C2)o1 ZINC000348880970 1127132890 /nfs/dbraw/zinc/13/28/90/1127132890.db2.gz WHISLERVQMCZJZ-MLGOLLRUSA-N 1 2 299.370 3.503 20 0 CHADLO CC[C@H](C)CCC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000349323577 1127147313 /nfs/dbraw/zinc/14/73/13/1127147313.db2.gz DXGVPILDQBAECK-ZDUSSCGKSA-N 1 2 271.364 3.637 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(F)cc1F)c1ccn(C)n1 ZINC000349509809 1127152409 /nfs/dbraw/zinc/15/24/09/1127152409.db2.gz ZRWWGSLMSPXEFF-QMTHXVAHSA-N 1 2 279.334 3.500 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCC=C(Br)C2)cc1 ZINC000799326860 1131079417 /nfs/dbraw/zinc/07/94/17/1131079417.db2.gz CHLAVLOCMCASKY-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO CCOc1ccc(C[N@H+]2CCC=C(Br)C2)cc1 ZINC000799326860 1131079423 /nfs/dbraw/zinc/07/94/23/1131079423.db2.gz CHLAVLOCMCASKY-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1c(F)cccc1F ZINC000349817964 1127162899 /nfs/dbraw/zinc/16/28/99/1127162899.db2.gz FAEJHGZHKHMSSJ-UHFFFAOYSA-N 1 2 265.303 3.968 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1c(F)cccc1F ZINC000349817964 1127162902 /nfs/dbraw/zinc/16/29/02/1127162902.db2.gz FAEJHGZHKHMSSJ-UHFFFAOYSA-N 1 2 265.303 3.968 20 0 CHADLO COc1cccc2cc([C@@H](C)[NH2+][C@@H](C)c3ccon3)oc21 ZINC000349826828 1127164141 /nfs/dbraw/zinc/16/41/41/1127164141.db2.gz AUVRDMWUXJYAJX-WDEREUQCSA-N 1 2 286.331 3.841 20 0 CHADLO Cc1nc(N[C@H]2CC=C(c3ccc(F)cc3)CC2)cc[nH+]1 ZINC000349896845 1127166891 /nfs/dbraw/zinc/16/68/91/1127166891.db2.gz WQAFHARWSOEBFI-INIZCTEOSA-N 1 2 283.350 3.972 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc3cc[nH]c32)cs1 ZINC000349994204 1127170147 /nfs/dbraw/zinc/17/01/47/1127170147.db2.gz YJNVVHTYWCAFNF-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc3cc[nH]c32)cs1 ZINC000349994204 1127170149 /nfs/dbraw/zinc/17/01/49/1127170149.db2.gz YJNVVHTYWCAFNF-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2ccc(C(C)(C)C)s2)n1 ZINC000284073359 1127172167 /nfs/dbraw/zinc/17/21/67/1127172167.db2.gz QPDQLUVHBSNADS-UHFFFAOYSA-N 1 2 293.436 3.762 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(-c2cccs2)s1)c1ccon1 ZINC000351584780 1127196299 /nfs/dbraw/zinc/19/62/99/1127196299.db2.gz WUGGEBUROGTDPQ-VIFPVBQESA-N 1 2 291.401 3.710 20 0 CHADLO Cc1cc(C[N@H+]2CC=C(c3c(F)cccc3F)CC2)on1 ZINC000351610673 1127198418 /nfs/dbraw/zinc/19/84/18/1127198418.db2.gz OZFFNEAFXPWLSN-UHFFFAOYSA-N 1 2 290.313 3.551 20 0 CHADLO Cc1cc(C[N@@H+]2CC=C(c3c(F)cccc3F)CC2)on1 ZINC000351610673 1127198422 /nfs/dbraw/zinc/19/84/22/1127198422.db2.gz OZFFNEAFXPWLSN-UHFFFAOYSA-N 1 2 290.313 3.551 20 0 CHADLO COc1ccc(F)c(C[N@H+]2CC=C(C(F)(F)F)CC2)c1 ZINC000351658274 1127202083 /nfs/dbraw/zinc/20/20/83/1127202083.db2.gz HFZHOPFHFPDDGN-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO COc1ccc(F)c(C[N@@H+]2CC=C(C(F)(F)F)CC2)c1 ZINC000351658274 1127202087 /nfs/dbraw/zinc/20/20/87/1127202087.db2.gz HFZHOPFHFPDDGN-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+]Cc1ccc(C(C)(C)C)cc1 ZINC000924858786 1127205046 /nfs/dbraw/zinc/20/50/46/1127205046.db2.gz QUOBLURJUJGFCO-NSHDSACASA-N 1 2 273.380 3.526 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000351732329 1127206507 /nfs/dbraw/zinc/20/65/07/1127206507.db2.gz QAMGHJOFONEOAN-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000351732329 1127206511 /nfs/dbraw/zinc/20/65/11/1127206511.db2.gz QAMGHJOFONEOAN-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO CCc1cc(C[N@H+]2C[C@@H](C)O[C@@H](C)C2)ccc1Cl ZINC001237739272 1131136573 /nfs/dbraw/zinc/13/65/73/1131136573.db2.gz SPCZNZUIUHLPJS-TXEJJXNPSA-N 1 2 267.800 3.512 20 0 CHADLO CCc1cc(C[N@@H+]2C[C@@H](C)O[C@@H](C)C2)ccc1Cl ZINC001237739272 1131136577 /nfs/dbraw/zinc/13/65/77/1131136577.db2.gz SPCZNZUIUHLPJS-TXEJJXNPSA-N 1 2 267.800 3.512 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cccc(O)c1Cl ZINC000646071557 1127213900 /nfs/dbraw/zinc/21/39/00/1127213900.db2.gz RODWXFILCZGOCR-UHFFFAOYSA-N 1 2 265.740 3.661 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cccc(O)c1Cl ZINC000646071557 1127213902 /nfs/dbraw/zinc/21/39/02/1127213902.db2.gz RODWXFILCZGOCR-UHFFFAOYSA-N 1 2 265.740 3.661 20 0 CHADLO Cc1cc2c(ccc(N)c2F)n1-c1cc[nH+]cc1Cl ZINC001212157597 1127221328 /nfs/dbraw/zinc/22/13/28/1127221328.db2.gz UHDZZSKYAPHVLW-UHFFFAOYSA-N 1 2 275.714 3.709 20 0 CHADLO Cc1oc2ccc(NC(=O)C[C@H](C)n3cc[nH+]c3)cc2c1C ZINC000572648941 1127223251 /nfs/dbraw/zinc/22/32/51/1127223251.db2.gz WAAAOSIDRKDCCE-NSHDSACASA-N 1 2 297.358 3.836 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1csc(C2CC2)n1 ZINC000285572979 1127238001 /nfs/dbraw/zinc/23/80/01/1127238001.db2.gz UKUKYGPIHHMZEV-UHFFFAOYSA-N 1 2 291.420 3.650 20 0 CHADLO C[C@@]1(c2ccccc2)CC[N@H+](Cc2csc(Cl)n2)C1 ZINC000828036259 1127245307 /nfs/dbraw/zinc/24/53/07/1127245307.db2.gz KDKZRSYOLORKRG-OAHLLOKOSA-N 1 2 292.835 3.960 20 0 CHADLO C[C@@]1(c2ccccc2)CC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000828036259 1127245310 /nfs/dbraw/zinc/24/53/10/1127245310.db2.gz KDKZRSYOLORKRG-OAHLLOKOSA-N 1 2 292.835 3.960 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCCC3(CCC3)C2)cc1Cl ZINC001237996217 1131159686 /nfs/dbraw/zinc/15/96/86/1131159686.db2.gz XJYJCWMCNQKKGC-UHFFFAOYSA-N 1 2 283.774 3.951 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CCCC3(CCC3)C2)cc1Cl ZINC001237996217 1131159687 /nfs/dbraw/zinc/15/96/87/1131159687.db2.gz XJYJCWMCNQKKGC-UHFFFAOYSA-N 1 2 283.774 3.951 20 0 CHADLO FCCCC[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000286019338 1127253802 /nfs/dbraw/zinc/25/38/02/1127253802.db2.gz PCGPYGKABPDYEK-AWEZNQCLSA-N 1 2 289.753 3.602 20 0 CHADLO FCCCC[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000286019338 1127253806 /nfs/dbraw/zinc/25/38/06/1127253806.db2.gz PCGPYGKABPDYEK-AWEZNQCLSA-N 1 2 289.753 3.602 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2cc(C)ccn2)cs1 ZINC000286158002 1127261205 /nfs/dbraw/zinc/26/12/05/1127261205.db2.gz PTDVFDKIBLQUKL-VXGBXAGGSA-N 1 2 275.421 3.821 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2cc(C)ccn2)cs1 ZINC000286157998 1127261355 /nfs/dbraw/zinc/26/13/55/1127261355.db2.gz PTDVFDKIBLQUKL-NWDGAFQWSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)c1 ZINC000286295325 1127264959 /nfs/dbraw/zinc/26/49/59/1127264959.db2.gz WUEBVWIBQIISSN-AWEZNQCLSA-N 1 2 281.403 3.946 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)c1 ZINC000286295325 1127264962 /nfs/dbraw/zinc/26/49/62/1127264962.db2.gz WUEBVWIBQIISSN-AWEZNQCLSA-N 1 2 281.403 3.946 20 0 CHADLO C[C@@H]1C[C@@H](Nc2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000286476420 1127267742 /nfs/dbraw/zinc/26/77/42/1127267742.db2.gz LCTRCDBJOHQINK-MNOVXSKESA-N 1 2 276.767 3.868 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccc(OC(F)F)cc2)cc1 ZINC000057611152 1127267780 /nfs/dbraw/zinc/26/77/80/1127267780.db2.gz ZTFGEYVRFJGHGG-UHFFFAOYSA-N 1 2 292.329 3.966 20 0 CHADLO Cc1cc(CNc2ccc([NH+](C)C)cc2C)cc(C)c1O ZINC000057668019 1127272423 /nfs/dbraw/zinc/27/24/23/1127272423.db2.gz MMGXQFGAOZFQJW-UHFFFAOYSA-N 1 2 284.403 3.996 20 0 CHADLO CCCOc1ccc([C@H](C)[NH2+][C@@H](C)c2nonc2C)cc1 ZINC000924859101 1127273868 /nfs/dbraw/zinc/27/38/68/1127273868.db2.gz QCLJVSFMNXBIDH-RYUDHWBXSA-N 1 2 289.379 3.579 20 0 CHADLO C[C@@H]1OCC[N@H+](Cc2c(Cl)oc3ccccc32)[C@H]1C ZINC000353916879 1127278030 /nfs/dbraw/zinc/27/80/30/1127278030.db2.gz RKEJAMNCEDBRFS-QWRGUYRKSA-N 1 2 279.767 3.695 20 0 CHADLO C[C@@H]1OCC[N@@H+](Cc2c(Cl)oc3ccccc32)[C@H]1C ZINC000353916879 1127278032 /nfs/dbraw/zinc/27/80/32/1127278032.db2.gz RKEJAMNCEDBRFS-QWRGUYRKSA-N 1 2 279.767 3.695 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2ccn(C)n2)o1 ZINC000353928152 1127279440 /nfs/dbraw/zinc/27/94/40/1127279440.db2.gz APWNZLOUPDBMLR-BBRMVZONSA-N 1 2 287.407 3.549 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2ccn(C)n2)o1 ZINC000353928152 1127279442 /nfs/dbraw/zinc/27/94/42/1127279442.db2.gz APWNZLOUPDBMLR-BBRMVZONSA-N 1 2 287.407 3.549 20 0 CHADLO CC[C@@H]1CCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000354117792 1127293798 /nfs/dbraw/zinc/29/37/98/1127293798.db2.gz JYBKTCFKKKPXOE-GDBMZVCRSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1ccoc1C[N@@H+]1CC(C)(C)O[C@](C)(C(F)(F)F)C1 ZINC000354178103 1127297216 /nfs/dbraw/zinc/29/72/16/1127297216.db2.gz UPPDJWCSODDEHY-ZDUSSCGKSA-N 1 2 291.313 3.520 20 0 CHADLO Cc1ccoc1C[N@H+]1CC(C)(C)O[C@](C)(C(F)(F)F)C1 ZINC000354178103 1127297219 /nfs/dbraw/zinc/29/72/19/1127297219.db2.gz UPPDJWCSODDEHY-ZDUSSCGKSA-N 1 2 291.313 3.520 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1(C2CCC2)CCC1 ZINC000573201597 1127297576 /nfs/dbraw/zinc/29/75/76/1127297576.db2.gz AYYGSYRSEZTKGU-UHFFFAOYSA-N 1 2 295.386 3.986 20 0 CHADLO FC(F)Oc1ccccc1NCc1cccc2[nH+]ccn21 ZINC000354289266 1127300942 /nfs/dbraw/zinc/30/09/42/1127300942.db2.gz IMQPEGSZYFINHZ-UHFFFAOYSA-N 1 2 289.285 3.548 20 0 CHADLO Cc1ccccc1[C@@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC000354385544 1127306538 /nfs/dbraw/zinc/30/65/38/1127306538.db2.gz MMXCLGKMWGGBIO-MRXNPFEDSA-N 1 2 281.403 3.704 20 0 CHADLO O=C(/C=C\c1cccs1)Nc1ccccc1-n1cc[nH+]c1 ZINC000045859967 1127306663 /nfs/dbraw/zinc/30/66/63/1127306663.db2.gz STULXQHBHORPJR-FPLPWBNLSA-N 1 2 295.367 3.586 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCC[C@@H]2CF)c(Cl)c1 ZINC001238406981 1131195490 /nfs/dbraw/zinc/19/54/90/1131195490.db2.gz VBDRURLMGKXSIK-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO CCOc1ccc(C[N@H+]2CCC[C@@H]2CF)c(Cl)c1 ZINC001238406981 1131195497 /nfs/dbraw/zinc/19/54/97/1131195497.db2.gz VBDRURLMGKXSIK-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(Cl)ccc2F)nc1 ZINC000355356199 1127335421 /nfs/dbraw/zinc/33/54/21/1127335421.db2.gz XSDDIRDYPDUOBL-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(Cl)ccc2F)nc1 ZINC000355356199 1127335425 /nfs/dbraw/zinc/33/54/25/1127335425.db2.gz XSDDIRDYPDUOBL-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(C)=CC[N@@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC000355365687 1127336772 /nfs/dbraw/zinc/33/67/72/1127336772.db2.gz MYLNZJQICCWYRL-UHFFFAOYSA-N 1 2 258.340 3.631 20 0 CHADLO CC(C)=CC[N@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC000355365687 1127336776 /nfs/dbraw/zinc/33/67/76/1127336776.db2.gz MYLNZJQICCWYRL-UHFFFAOYSA-N 1 2 258.340 3.631 20 0 CHADLO CC[C@H]([NH2+]C1CC(c2ccccc2)C1)C(=O)OC(C)(C)C ZINC000592400033 1127345617 /nfs/dbraw/zinc/34/56/17/1127345617.db2.gz MSTUKFIZCGWNEX-GPANFISMSA-N 1 2 289.419 3.643 20 0 CHADLO Cc1cc(C[NH2+]Cc2nnc(C(C)C)s2)ccc1Cl ZINC000355673616 1127357666 /nfs/dbraw/zinc/35/76/66/1127357666.db2.gz PMVDQCXKQFIPBN-UHFFFAOYSA-N 1 2 295.839 3.913 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1Cc2ccccc2OC(C)(C)C1 ZINC000288796000 1127363192 /nfs/dbraw/zinc/36/31/92/1127363192.db2.gz KIIFPBCJMRYVKL-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1Cc2ccccc2OC(C)(C)C1 ZINC000288796000 1127363194 /nfs/dbraw/zinc/36/31/94/1127363194.db2.gz KIIFPBCJMRYVKL-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2CCCc3sccc3C2)n1 ZINC000592982764 1127365801 /nfs/dbraw/zinc/36/58/01/1127365801.db2.gz HBSHILMPKBRPTK-LLVKDONJSA-N 1 2 291.420 3.764 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2CCCc3sccc3C2)n1 ZINC000592982764 1127365805 /nfs/dbraw/zinc/36/58/05/1127365805.db2.gz HBSHILMPKBRPTK-LLVKDONJSA-N 1 2 291.420 3.764 20 0 CHADLO Cc1cc(NCc2ccncc2Cl)ccc1[NH+](C)C ZINC001118851506 1131239529 /nfs/dbraw/zinc/23/95/29/1131239529.db2.gz BTWHMRQIKXXKMR-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1cc(-c2ccccc2)no1 ZINC000046407254 1127396560 /nfs/dbraw/zinc/39/65/60/1127396560.db2.gz UHASIIJNAISGNV-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1cc(-c2ccccc2)no1 ZINC000046407254 1127396563 /nfs/dbraw/zinc/39/65/63/1127396563.db2.gz UHASIIJNAISGNV-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@@H](C)c1csc(C)n1 ZINC000594059172 1127408246 /nfs/dbraw/zinc/40/82/46/1127408246.db2.gz XFMVILMTEBDGNJ-NSHDSACASA-N 1 2 276.405 3.619 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(-c2nc(C)c(C)o2)cc1 ZINC000669518603 1127411126 /nfs/dbraw/zinc/41/11/26/1127411126.db2.gz MHZMCMZWUQEGFM-UHFFFAOYSA-N 1 2 296.374 3.787 20 0 CHADLO CS[C@@H](C)CCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000358427547 1127421013 /nfs/dbraw/zinc/42/10/13/1127421013.db2.gz OVXOTOUNTWZBNW-NSHDSACASA-N 1 2 289.404 3.547 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1sccc1C1CC1 ZINC000358773231 1127434027 /nfs/dbraw/zinc/43/40/27/1127434027.db2.gz YUYPYGSDFFSZON-UHFFFAOYSA-N 1 2 283.356 3.526 20 0 CHADLO Cc1cc(N2CC[C@@]3(CC[C@H](C)C3)C2)nc(C2CC2)[nH+]1 ZINC000359078850 1127448410 /nfs/dbraw/zinc/44/84/10/1127448410.db2.gz UWYOULMLYZZLHO-YVEFUNNKSA-N 1 2 271.408 3.679 20 0 CHADLO Cc1nc(N2CC[C@H]2c2ccccc2)c2c([nH+]1)CCCC2 ZINC000359716026 1127474666 /nfs/dbraw/zinc/47/46/66/1127474666.db2.gz KXQCUWBSIGZNHH-KRWDZBQOSA-N 1 2 279.387 3.615 20 0 CHADLO CC[C@H](C)n1ncc(NCc2c[nH+]c3ccc(C)cn23)c1C ZINC000359809638 1127479006 /nfs/dbraw/zinc/47/90/06/1127479006.db2.gz BUMXXJCXSDYIEQ-ZDUSSCGKSA-N 1 2 297.406 3.731 20 0 CHADLO CCSCC[C@@H](C)N(C)c1[nH+]ccc2c(N)cccc21 ZINC000596147014 1127483668 /nfs/dbraw/zinc/48/36/68/1127483668.db2.gz KXLPNISFWWCNSD-GFCCVEGCSA-N 1 2 289.448 3.785 20 0 CHADLO Cc1cc(NC(=O)CCCn2cc[nH+]c2)cc(C)c1Cl ZINC000669583579 1127485782 /nfs/dbraw/zinc/48/57/82/1127485782.db2.gz SONJSGRXZRPWGB-UHFFFAOYSA-N 1 2 291.782 3.572 20 0 CHADLO FC(F)Oc1ccc([C@H]2C[C@@H]2Nc2cccc[nH+]2)cc1 ZINC000360052593 1127487604 /nfs/dbraw/zinc/48/76/04/1127487604.db2.gz IHUDIXVRMNNQDM-OLZOCXBDSA-N 1 2 276.286 3.651 20 0 CHADLO CSc1ccc(CSc2[nH+]cnc3[nH]ccc32)cc1 ZINC000360085207 1127489041 /nfs/dbraw/zinc/48/90/41/1127489041.db2.gz BCLRKCKBMFUPNF-UHFFFAOYSA-N 1 2 287.413 3.972 20 0 CHADLO COc1c(C)c[nH+]c(CS[C@H]2COC(C)(C)C2)c1C ZINC000596352927 1127497117 /nfs/dbraw/zinc/49/71/17/1127497117.db2.gz RRTFUNKJHMUYPU-GFCCVEGCSA-N 1 2 281.421 3.508 20 0 CHADLO CO[C@H](CSCc1ccc(C)[nH+]c1C)C1CCC1 ZINC000596362461 1127499337 /nfs/dbraw/zinc/49/93/37/1127499337.db2.gz GQQNUPNKEZJZHH-OAHLLOKOSA-N 1 2 265.422 3.747 20 0 CHADLO Cc1nc(N[C@H](C)c2cc(Cl)ccc2Cl)cc[nH+]1 ZINC000360516631 1127501212 /nfs/dbraw/zinc/50/12/12/1127501212.db2.gz GWXBNTNGKWAYCM-MRVPVSSYSA-N 1 2 282.174 3.687 20 0 CHADLO C[C@H]([NH2+]Cc1cccc2cc[nH]c21)c1nc(C(C)(C)C)no1 ZINC000360924113 1127520546 /nfs/dbraw/zinc/52/05/46/1127520546.db2.gz GUQZANMTRWTZBG-NSHDSACASA-N 1 2 298.390 3.699 20 0 CHADLO Cc1cccc(NC(=O)C[N@@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000361063540 1127534805 /nfs/dbraw/zinc/53/48/05/1127534805.db2.gz RWKANVSOKVRASB-AWEZNQCLSA-N 1 2 280.371 3.510 20 0 CHADLO Cc1cccc(NC(=O)C[N@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000361063540 1127534808 /nfs/dbraw/zinc/53/48/08/1127534808.db2.gz RWKANVSOKVRASB-AWEZNQCLSA-N 1 2 280.371 3.510 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000361064008 1127534902 /nfs/dbraw/zinc/53/49/02/1127534902.db2.gz YKGRDERGJZYHCK-KBPBESRZSA-N 1 2 280.371 3.590 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000361064008 1127534905 /nfs/dbraw/zinc/53/49/05/1127534905.db2.gz YKGRDERGJZYHCK-KBPBESRZSA-N 1 2 280.371 3.590 20 0 CHADLO CC1(C)C[N@H+](Cc2ccccc2F)[C@H]1c1ccncc1 ZINC000293978626 1127538473 /nfs/dbraw/zinc/53/84/73/1127538473.db2.gz RDGKLCILFANAMN-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccccc2F)[C@H]1c1ccncc1 ZINC000293978626 1127538475 /nfs/dbraw/zinc/53/84/75/1127538475.db2.gz RDGKLCILFANAMN-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO CC[C@H](C)CNc1ccc(-n2c[nH+]c(C)c2C)cc1 ZINC000361236855 1127549282 /nfs/dbraw/zinc/54/92/82/1127549282.db2.gz LTYSXJDDUFEGRT-LBPRGKRZSA-N 1 2 257.381 3.947 20 0 CHADLO CCCc1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)ccn1 ZINC000361449207 1127563776 /nfs/dbraw/zinc/56/37/76/1127563776.db2.gz KRHGEEOTVNUBNS-UHFFFAOYSA-N 1 2 283.375 3.607 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1nccn1-c1ccccc1 ZINC000361500265 1127568249 /nfs/dbraw/zinc/56/82/49/1127568249.db2.gz BYAGPQLWONEDLL-UHFFFAOYSA-N 1 2 291.398 3.813 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1nccn1-c1ccccc1 ZINC000361500265 1127568253 /nfs/dbraw/zinc/56/82/53/1127568253.db2.gz BYAGPQLWONEDLL-UHFFFAOYSA-N 1 2 291.398 3.813 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(F)ccc(O)c2F)c(C)c1 ZINC000294399740 1127570697 /nfs/dbraw/zinc/57/06/97/1127570697.db2.gz VVNKABUZVKWZRD-UHFFFAOYSA-N 1 2 277.314 3.577 20 0 CHADLO Cc1cc(N2CCc3ccccc3[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000361617209 1127576952 /nfs/dbraw/zinc/57/69/52/1127576952.db2.gz UOPFLZFSXCWVEL-ZDUSSCGKSA-N 1 2 279.387 3.786 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2cc(F)ncc2F)cc1 ZINC000294506943 1127578931 /nfs/dbraw/zinc/57/89/31/1127578931.db2.gz CCNUJZDLFDZULP-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2cc(F)ncc2F)cc1 ZINC000294506943 1127578934 /nfs/dbraw/zinc/57/89/34/1127578934.db2.gz CCNUJZDLFDZULP-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1ncccn1 ZINC000361702627 1127583201 /nfs/dbraw/zinc/58/32/01/1127583201.db2.gz NQPDMSAYLURDNG-NSHDSACASA-N 1 2 295.308 3.688 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1ncccn1 ZINC000361702627 1127583205 /nfs/dbraw/zinc/58/32/05/1127583205.db2.gz NQPDMSAYLURDNG-NSHDSACASA-N 1 2 295.308 3.688 20 0 CHADLO Cc1nc(C[NH2+]Cc2cc(C3CC3)ccc2F)cs1 ZINC000294697483 1127592546 /nfs/dbraw/zinc/59/25/46/1127592546.db2.gz CCSISUDLXFEIHO-UHFFFAOYSA-N 1 2 276.380 3.758 20 0 CHADLO CCc1ccc([C@H]2C[N@H+](Cc3cccc(C)n3)CCO2)cc1 ZINC000361939441 1127600936 /nfs/dbraw/zinc/60/09/36/1127600936.db2.gz QSEJGGUVNPULDA-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@H]2C[N@@H+](Cc3cccc(C)n3)CCO2)cc1 ZINC000361939441 1127600937 /nfs/dbraw/zinc/60/09/37/1127600937.db2.gz QSEJGGUVNPULDA-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](c3ccsc3)C2)cs1 ZINC000294914889 1127607696 /nfs/dbraw/zinc/60/76/96/1127607696.db2.gz STDYXOAHOVTCEB-AWEZNQCLSA-N 1 2 279.430 3.692 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](c3ccsc3)C2)cs1 ZINC000294914889 1127607698 /nfs/dbraw/zinc/60/76/98/1127607698.db2.gz STDYXOAHOVTCEB-AWEZNQCLSA-N 1 2 279.430 3.692 20 0 CHADLO Cc1nocc1C[N@@H+]1CCC[C@H]1c1nc2ccccc2s1 ZINC000294992440 1127612492 /nfs/dbraw/zinc/61/24/92/1127612492.db2.gz UEGHRYGANFFMQJ-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1nocc1C[N@H+]1CCC[C@H]1c1nc2ccccc2s1 ZINC000294992440 1127612494 /nfs/dbraw/zinc/61/24/94/1127612494.db2.gz UEGHRYGANFFMQJ-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(F)cc(F)cc2Cl)[C@@H](C)C1 ZINC001232100173 1127614688 /nfs/dbraw/zinc/61/46/88/1127614688.db2.gz LESKXVRYUSDJHE-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(F)cc(F)cc2Cl)[C@@H](C)C1 ZINC001232100173 1127614690 /nfs/dbraw/zinc/61/46/90/1127614690.db2.gz LESKXVRYUSDJHE-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO Fc1cc(C[NH2+]Cc2cc(C3CC3)ccc2F)c(F)cn1 ZINC000295198875 1127626938 /nfs/dbraw/zinc/62/69/38/1127626938.db2.gz YJJIHTYOLUWHRN-UHFFFAOYSA-N 1 2 292.304 3.666 20 0 CHADLO Cc1nocc1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000295225726 1127628579 /nfs/dbraw/zinc/62/85/79/1127628579.db2.gz CDBOMLVBKOCTSW-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1nocc1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000295225726 1127628582 /nfs/dbraw/zinc/62/85/82/1127628582.db2.gz CDBOMLVBKOCTSW-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO CC(C)(SCc1ccc[nH+]c1N)c1ccccc1 ZINC000603328126 1127640819 /nfs/dbraw/zinc/64/08/19/1127640819.db2.gz GLGZBGRWAPOJFY-UHFFFAOYSA-N 1 2 258.390 3.832 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccsc2C)s1 ZINC000295405129 1127642192 /nfs/dbraw/zinc/64/21/92/1127642192.db2.gz RDGIMRBBOHLANN-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccsc2C)s1 ZINC000295405129 1127642196 /nfs/dbraw/zinc/64/21/96/1127642196.db2.gz RDGIMRBBOHLANN-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO Fc1cc(C[NH+]2CCC(c3ccsc3)CC2)c(F)cn1 ZINC000295468944 1127644204 /nfs/dbraw/zinc/64/42/04/1127644204.db2.gz RJKZFHXGPORYCF-UHFFFAOYSA-N 1 2 294.370 3.801 20 0 CHADLO FC(F)C[N@H+](Cc1ccc2c(c1)CCO2)C1CCCC1 ZINC000603411278 1127647145 /nfs/dbraw/zinc/64/71/45/1127647145.db2.gz SRGLVUCWGUFQKN-UHFFFAOYSA-N 1 2 281.346 3.631 20 0 CHADLO FC(F)C[N@@H+](Cc1ccc2c(c1)CCO2)C1CCCC1 ZINC000603411278 1127647146 /nfs/dbraw/zinc/64/71/46/1127647146.db2.gz SRGLVUCWGUFQKN-UHFFFAOYSA-N 1 2 281.346 3.631 20 0 CHADLO CCn1cc([C@@H](C)Nc2cc[nH+]c3c(OC)cccc23)cn1 ZINC000295895254 1127656731 /nfs/dbraw/zinc/65/67/31/1127656731.db2.gz DTRBJTUMGJUJKX-GFCCVEGCSA-N 1 2 296.374 3.633 20 0 CHADLO C[C@@H](CNc1[nH+]ccc2ccccc21)C(=O)OC(C)(C)C ZINC000599102807 1127657552 /nfs/dbraw/zinc/65/75/52/1127657552.db2.gz UOWJPHUEQIYJEN-LBPRGKRZSA-N 1 2 286.375 3.625 20 0 CHADLO Cc1cccc(C[N@H+](Cc2ccccn2)C2CC2)c1F ZINC000296069838 1127661751 /nfs/dbraw/zinc/66/17/51/1127661751.db2.gz PTSYEGFNSHGLRR-UHFFFAOYSA-N 1 2 270.351 3.694 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2ccccn2)C2CC2)c1F ZINC000296069838 1127661754 /nfs/dbraw/zinc/66/17/54/1127661754.db2.gz PTSYEGFNSHGLRR-UHFFFAOYSA-N 1 2 270.351 3.694 20 0 CHADLO COc1c(C)c[nH+]c(CN2CCc3c2cccc3C)c1C ZINC000599382619 1127670871 /nfs/dbraw/zinc/67/08/71/1127670871.db2.gz XFYDEUOXJOGGST-UHFFFAOYSA-N 1 2 282.387 3.578 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cnn(C3CCCC3)c2)cs1 ZINC000669698729 1127674055 /nfs/dbraw/zinc/67/40/55/1127674055.db2.gz QTVDARZRNIZQLP-NSHDSACASA-N 1 2 290.436 3.614 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](c2ccc(F)cc2)C(C)C)n1 ZINC000669704015 1127682866 /nfs/dbraw/zinc/68/28/66/1127682866.db2.gz SOOSWOAPPSZNJR-YGRLFVJLSA-N 1 2 277.343 3.565 20 0 CHADLO CC(C)(CC(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000603881540 1127679468 /nfs/dbraw/zinc/67/94/68/1127679468.db2.gz DMUHZPXYPWRDOT-UHFFFAOYSA-N 1 2 293.370 3.641 20 0 CHADLO CCc1ccc([C@H](C)C(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000603882750 1127679564 /nfs/dbraw/zinc/67/95/64/1127679564.db2.gz WYGUITSORQDKJQ-ZDUSSCGKSA-N 1 2 293.370 3.639 20 0 CHADLO CCCCc1ccc(C(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000603885467 1127680578 /nfs/dbraw/zinc/68/05/78/1127680578.db2.gz URPYKSJGRDVIBY-UHFFFAOYSA-N 1 2 293.370 3.929 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1nc(C(C)C)no1 ZINC000603942284 1127684057 /nfs/dbraw/zinc/68/40/57/1127684057.db2.gz CVTKZHOPWJQWRZ-HNNXBMFYSA-N 1 2 285.391 3.702 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1nc(C(C)C)no1 ZINC000603942284 1127684058 /nfs/dbraw/zinc/68/40/58/1127684058.db2.gz CVTKZHOPWJQWRZ-HNNXBMFYSA-N 1 2 285.391 3.702 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1c(F)cccc1SC ZINC000297477446 1127697636 /nfs/dbraw/zinc/69/76/36/1127697636.db2.gz YYIFJUCGAHEFDP-NSHDSACASA-N 1 2 293.411 3.615 20 0 CHADLO CC(C)CC[C@@H](NC(=O)CCCn1cc[nH+]c1)C(C)(C)C ZINC000604292353 1127699244 /nfs/dbraw/zinc/69/92/44/1127699244.db2.gz AMBBQCJAKVAJPH-OAHLLOKOSA-N 1 2 293.455 3.630 20 0 CHADLO CC[N@H+](Cc1c(F)ccc(C2OCCO2)c1Cl)C1CC1 ZINC000297597180 1127700989 /nfs/dbraw/zinc/70/09/89/1127700989.db2.gz FQYVONXKURQICR-UHFFFAOYSA-N 1 2 299.773 3.509 20 0 CHADLO CC[N@@H+](Cc1c(F)ccc(C2OCCO2)c1Cl)C1CC1 ZINC000297597180 1127700992 /nfs/dbraw/zinc/70/09/92/1127700992.db2.gz FQYVONXKURQICR-UHFFFAOYSA-N 1 2 299.773 3.509 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@H+](C)CC(=O)OC(C)(C)C)c1 ZINC000604492248 1127707909 /nfs/dbraw/zinc/70/79/09/1127707909.db2.gz DUFKIMPUIYAHGZ-AWEZNQCLSA-N 1 2 277.408 3.638 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@@H+](C)CC(=O)OC(C)(C)C)c1 ZINC000604492248 1127707912 /nfs/dbraw/zinc/70/79/12/1127707912.db2.gz DUFKIMPUIYAHGZ-AWEZNQCLSA-N 1 2 277.408 3.638 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2cccc(C)c2C)s1 ZINC000298323239 1127719037 /nfs/dbraw/zinc/71/90/37/1127719037.db2.gz QWMBJBWMDSWQDX-LBPRGKRZSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2cccc(C)c2C)s1 ZINC000298323239 1127719040 /nfs/dbraw/zinc/71/90/40/1127719040.db2.gz QWMBJBWMDSWQDX-LBPRGKRZSA-N 1 2 275.421 3.656 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000298516439 1127725206 /nfs/dbraw/zinc/72/52/06/1127725206.db2.gz ZOHSRDSCCWJFTF-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000298516439 1127725209 /nfs/dbraw/zinc/72/52/09/1127725209.db2.gz ZOHSRDSCCWJFTF-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C(=C/[C@H]1CCC[N@H+]1Cc1nc(C2CC2)no1)\c1ccccc1 ZINC000365394040 1127728385 /nfs/dbraw/zinc/72/83/85/1127728385.db2.gz QZGUDKSJQSAESQ-YCABEKBOSA-N 1 2 295.386 3.625 20 0 CHADLO C(=C/[C@H]1CCC[N@@H+]1Cc1nc(C2CC2)no1)\c1ccccc1 ZINC000365394040 1127728388 /nfs/dbraw/zinc/72/83/88/1127728388.db2.gz QZGUDKSJQSAESQ-YCABEKBOSA-N 1 2 295.386 3.625 20 0 CHADLO Cc1cc(NC(=O)/C=C/Sc2ccccc2)cc[nH+]1 ZINC000171805388 1127729185 /nfs/dbraw/zinc/72/91/85/1127729185.db2.gz OLZKQYFOIWOTKH-CSKARUKUSA-N 1 2 270.357 3.635 20 0 CHADLO CC[C@@H](C)C[N@H+](C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000171890509 1127734315 /nfs/dbraw/zinc/73/43/15/1127734315.db2.gz KNNCEKQBCDFODJ-LLVKDONJSA-N 1 2 294.468 3.562 20 0 CHADLO CC[C@@H](C)C[N@@H+](C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000171890509 1127734317 /nfs/dbraw/zinc/73/43/17/1127734317.db2.gz KNNCEKQBCDFODJ-LLVKDONJSA-N 1 2 294.468 3.562 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1nc(C(C)C)no1 ZINC000298839198 1127733789 /nfs/dbraw/zinc/73/37/89/1127733789.db2.gz FKLGBLYOJVNTBL-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1nc(C(C)C)no1 ZINC000298839198 1127733790 /nfs/dbraw/zinc/73/37/90/1127733790.db2.gz FKLGBLYOJVNTBL-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1[nH]ccc1C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000365902386 1127740752 /nfs/dbraw/zinc/74/07/52/1127740752.db2.gz XUUNGCYMBAMPRV-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO C[C@@H]1CCc2c(F)cccc2[C@@H]1[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367527443 1127754625 /nfs/dbraw/zinc/75/46/25/1127754625.db2.gz OMLINQUBNFPRKQ-XNAIMREJSA-N 1 2 299.393 3.839 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@H+](Cc2cnc(Cl)s2)C1 ZINC000370229623 1127761600 /nfs/dbraw/zinc/76/16/00/1127761600.db2.gz CRWZOFSDTVVHRP-MFKMUULPSA-N 1 2 293.823 3.775 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2cnc(Cl)s2)C1 ZINC000370229623 1127761603 /nfs/dbraw/zinc/76/16/03/1127761603.db2.gz CRWZOFSDTVVHRP-MFKMUULPSA-N 1 2 293.823 3.775 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](CC)O[C@@H](C)C1 ZINC000606433581 1127763380 /nfs/dbraw/zinc/76/33/80/1127763380.db2.gz KAHHPTNPDOFOHQ-NORZTCDRSA-N 1 2 283.362 3.915 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](CC)O[C@@H](C)C1 ZINC000606433581 1127763381 /nfs/dbraw/zinc/76/33/81/1127763381.db2.gz KAHHPTNPDOFOHQ-NORZTCDRSA-N 1 2 283.362 3.915 20 0 CHADLO CO[C@@H]1CCCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC1 ZINC000371736113 1127777011 /nfs/dbraw/zinc/77/70/11/1127777011.db2.gz NHFHXAIJYVWYSA-CQSZACIVSA-N 1 2 291.439 3.729 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+](CCC(F)(F)F)CC3 ZINC000607151870 1127793190 /nfs/dbraw/zinc/79/31/90/1127793190.db2.gz XOIWIQFGMKOJIF-UHFFFAOYSA-N 1 2 282.309 3.787 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+](CCC(F)(F)F)CC3 ZINC000607151870 1127793193 /nfs/dbraw/zinc/79/31/93/1127793193.db2.gz XOIWIQFGMKOJIF-UHFFFAOYSA-N 1 2 282.309 3.787 20 0 CHADLO C[C@@H]1CCOCC[N@@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000373075429 1127821884 /nfs/dbraw/zinc/82/18/84/1127821884.db2.gz WAEBMUSHZBBKIB-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]1CCOCC[N@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000373075429 1127821887 /nfs/dbraw/zinc/82/18/87/1127821887.db2.gz WAEBMUSHZBBKIB-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO COc1ccc(C)cc1COc1cccc(-n2cc[nH+]c2)c1 ZINC000607723329 1127825116 /nfs/dbraw/zinc/82/51/16/1127825116.db2.gz IBJPFBTXLOOBFI-UHFFFAOYSA-N 1 2 294.354 3.768 20 0 CHADLO CCC(CC)N(CC(C)C)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000373372007 1127838389 /nfs/dbraw/zinc/83/83/89/1127838389.db2.gz CBEOLAXMWAOUSB-CQSZACIVSA-N 1 2 291.439 3.503 20 0 CHADLO CC(C)[C@@H](C(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000608133684 1127850638 /nfs/dbraw/zinc/85/06/38/1127850638.db2.gz SYEKXFQXDJOKBN-QGZVFWFLSA-N 1 2 293.370 3.713 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)c1ccccc1 ZINC000373693220 1127857435 /nfs/dbraw/zinc/85/74/35/1127857435.db2.gz HTCKUMGIJHKHER-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO CCc1cnc(C[N@H+]2CC=C(c3cccc(OC)c3)CC2)o1 ZINC000608493785 1127870058 /nfs/dbraw/zinc/87/00/58/1127870058.db2.gz DMCXUYGWBMTYKB-UHFFFAOYSA-N 1 2 298.386 3.535 20 0 CHADLO CCc1cnc(C[N@@H+]2CC=C(c3cccc(OC)c3)CC2)o1 ZINC000608493785 1127870063 /nfs/dbraw/zinc/87/00/63/1127870063.db2.gz DMCXUYGWBMTYKB-UHFFFAOYSA-N 1 2 298.386 3.535 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ncc(C)s2)cc1Cl ZINC000412046668 1127891150 /nfs/dbraw/zinc/89/11/50/1127891150.db2.gz SQHNXSFEMWRHGB-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ncc(C)s2)cc1Cl ZINC000412046668 1127891152 /nfs/dbraw/zinc/89/11/52/1127891152.db2.gz SQHNXSFEMWRHGB-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H](C)c2ccsc2)[nH+]1 ZINC000669827277 1127926128 /nfs/dbraw/zinc/92/61/28/1127926128.db2.gz CKOOYFXUECLHJY-QMMMGPOBSA-N 1 2 258.350 3.501 20 0 CHADLO CC(C)[C@@H](C)[NH2+][C@H](Cc1ccccc1)C(=O)OC(C)(C)C ZINC000539682760 1127935413 /nfs/dbraw/zinc/93/54/13/1127935413.db2.gz WKLZYWZNTCWKAG-GDBMZVCRSA-N 1 2 291.435 3.574 20 0 CHADLO CCCn1cc(C[N@@H+]2CCc3cccc(F)c3[C@H]2C)cn1 ZINC000539826091 1127944047 /nfs/dbraw/zinc/94/40/47/1127944047.db2.gz JHBVKMFSMZUHFE-CYBMUJFWSA-N 1 2 287.382 3.552 20 0 CHADLO CCCn1cc(C[N@H+]2CCc3cccc(F)c3[C@H]2C)cn1 ZINC000539826091 1127944049 /nfs/dbraw/zinc/94/40/49/1127944049.db2.gz JHBVKMFSMZUHFE-CYBMUJFWSA-N 1 2 287.382 3.552 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2ccc(F)cc21)c1cc(F)ccc1F ZINC000467013665 1127953145 /nfs/dbraw/zinc/95/31/45/1127953145.db2.gz OHPJBFYZIAVPJX-BJOHPYRUSA-N 1 2 293.288 3.888 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC000505664205 1127958740 /nfs/dbraw/zinc/95/87/40/1127958740.db2.gz RXDVRCUJYQVFKB-LBPRGKRZSA-N 1 2 290.794 3.727 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCn2cccc2[C@@H]1C ZINC000505664205 1127958743 /nfs/dbraw/zinc/95/87/43/1127958743.db2.gz RXDVRCUJYQVFKB-LBPRGKRZSA-N 1 2 290.794 3.727 20 0 CHADLO CCCC[C@H]([NH2+]C1CC(c2ccccc2C)C1)C(=O)OC ZINC000545205277 1128004503 /nfs/dbraw/zinc/00/45/03/1128004503.db2.gz DUUBCUIYODPKSY-DQPZFDDXSA-N 1 2 289.419 3.562 20 0 CHADLO Cc1cc(N)nc(SC/C=C/c2ccc(Cl)cc2)[nH+]1 ZINC000468465707 1128009289 /nfs/dbraw/zinc/00/92/89/1128009289.db2.gz HHIZFJLWJONXPH-NSCUHMNNSA-N 1 2 291.807 3.656 20 0 CHADLO Fc1cccc(C[N@@H+]2CCO[C@H](CCc3ccccc3)C2)c1 ZINC000541698819 1128034971 /nfs/dbraw/zinc/03/49/71/1128034971.db2.gz FYZWBDLJAAOJFX-LJQANCHMSA-N 1 2 299.389 3.659 20 0 CHADLO Fc1cccc(C[N@H+]2CCO[C@H](CCc3ccccc3)C2)c1 ZINC000541698819 1128034975 /nfs/dbraw/zinc/03/49/75/1128034975.db2.gz FYZWBDLJAAOJFX-LJQANCHMSA-N 1 2 299.389 3.659 20 0 CHADLO Cc1ccc(CC[C@H](C)[NH2+][C@@H](C)C(=O)OC(C)(C)C)cc1 ZINC000470340693 1128069537 /nfs/dbraw/zinc/06/95/37/1128069537.db2.gz PVBDWPHNRCBCAT-GJZGRUSLSA-N 1 2 291.435 3.636 20 0 CHADLO CC(C)OCC[N@@H+]1CC[C@@](F)(c2cccc(Cl)c2)C1 ZINC000669942893 1128082557 /nfs/dbraw/zinc/08/25/57/1128082557.db2.gz BKNNGROUKULRJH-HNNXBMFYSA-N 1 2 285.790 3.636 20 0 CHADLO CC(C)OCC[N@H+]1CC[C@@](F)(c2cccc(Cl)c2)C1 ZINC000669942893 1128082561 /nfs/dbraw/zinc/08/25/61/1128082561.db2.gz BKNNGROUKULRJH-HNNXBMFYSA-N 1 2 285.790 3.636 20 0 CHADLO CC(C)C[C@@H](c1nc(CC2CCCC2)no1)n1cc[nH+]c1 ZINC000924083969 1128089686 /nfs/dbraw/zinc/08/96/86/1128089686.db2.gz OYYXEXHCUWBJKJ-AWEZNQCLSA-N 1 2 288.395 3.634 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C1(Cl)CC1)c1noc(-c2ccccc2)n1 ZINC000925273059 1128127597 /nfs/dbraw/zinc/12/75/97/1128127597.db2.gz COGKUGVVFFOQEW-MNOVXSKESA-N 1 2 291.782 3.547 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1cc[nH]c(=O)c1 ZINC000925728008 1128138772 /nfs/dbraw/zinc/13/87/72/1128138772.db2.gz RYOQXSYMPZRXNZ-CYBMUJFWSA-N 1 2 283.375 3.561 20 0 CHADLO Cc1cc([C@H]([NH2+][C@@H](C)c2ncco2)C2CC2)ccc1F ZINC000925642900 1128135565 /nfs/dbraw/zinc/13/55/65/1128135565.db2.gz HTAKVXJBCKRNLQ-XHDPSFHLSA-N 1 2 274.339 3.924 20 0 CHADLO COc1cccc(C2([NH2+][C@@H]3CCCc4oc(C)nc43)CC2)c1 ZINC000925896889 1128145986 /nfs/dbraw/zinc/14/59/86/1128145986.db2.gz HFQPQTISTFANJL-OAHLLOKOSA-N 1 2 298.386 3.648 20 0 CHADLO Cc1ccsc1[C@@H](C)[NH2+]Cc1nnc(-c2ccccc2)[nH]1 ZINC000777574644 1128143474 /nfs/dbraw/zinc/14/34/74/1128143474.db2.gz KXRSETDDUAMLQT-GFCCVEGCSA-N 1 2 298.415 3.692 20 0 CHADLO Cc1ccsc1[C@@H](C)[NH2+]Cc1nc(-c2ccccc2)n[nH]1 ZINC000777574644 1128143476 /nfs/dbraw/zinc/14/34/76/1128143476.db2.gz KXRSETDDUAMLQT-GFCCVEGCSA-N 1 2 298.415 3.692 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncco1)c1ccc2ncsc2c1 ZINC000926212448 1128160855 /nfs/dbraw/zinc/16/08/55/1128160855.db2.gz AOECFVVALVVZIH-ZJUUUORDSA-N 1 2 273.361 3.696 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1ncc(C)o1 ZINC000494263336 1128168265 /nfs/dbraw/zinc/16/82/65/1128168265.db2.gz XGXSAMZAWCUWPS-LCYFTJDESA-N 1 2 256.349 3.518 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1ncc(C)o1 ZINC000494263336 1128168266 /nfs/dbraw/zinc/16/82/66/1128168266.db2.gz XGXSAMZAWCUWPS-LCYFTJDESA-N 1 2 256.349 3.518 20 0 CHADLO CCc1nnc([C@H](C)[N@H+](C)C/C(C)=C\c2ccccc2)o1 ZINC000494292781 1128168677 /nfs/dbraw/zinc/16/86/77/1128168677.db2.gz JDZSCQAQUVUXQT-FZDNWWAKSA-N 1 2 285.391 3.728 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+](C)C/C(C)=C\c2ccccc2)o1 ZINC000494292781 1128168680 /nfs/dbraw/zinc/16/86/80/1128168680.db2.gz JDZSCQAQUVUXQT-FZDNWWAKSA-N 1 2 285.391 3.728 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(C(C)(C)O)cc1 ZINC000926428238 1128172072 /nfs/dbraw/zinc/17/20/72/1128172072.db2.gz IGHRAUZITVRYBC-SMDDNHRTSA-N 1 2 283.362 3.752 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncco1)c1cccc(C2CC2)c1 ZINC000926492535 1128175776 /nfs/dbraw/zinc/17/57/76/1128175776.db2.gz FHQSELXQJCDNTC-NEPJUHHUSA-N 1 2 256.349 3.964 20 0 CHADLO COc1ccnc([C@H](C)[NH2+]Cc2cscc2Cl)c1 ZINC000926865758 1128199024 /nfs/dbraw/zinc/19/90/24/1128199024.db2.gz HOTKZJHERJAVDU-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CC(C)n1cc(C[NH2+][C@H](C)c2c(F)cccc2F)cn1 ZINC000226241654 1128191902 /nfs/dbraw/zinc/19/19/02/1128191902.db2.gz AFICUPWCIPWVQQ-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO CCC[C@H](C)c1noc(Cc2cn3cccc(C)c3[nH+]2)n1 ZINC000761842847 1128243938 /nfs/dbraw/zinc/24/39/38/1128243938.db2.gz KYWNCZMYOLDGKX-NSHDSACASA-N 1 2 284.363 3.520 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1cncs1 ZINC000153396633 1128258780 /nfs/dbraw/zinc/25/87/80/1128258780.db2.gz KSNKPEKKUJTRCJ-SECBINFHSA-N 1 2 281.450 3.748 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1coc(C(C)(C)C)n1 ZINC000929122396 1128258922 /nfs/dbraw/zinc/25/89/22/1128258922.db2.gz RVVNJOIZVRVDJN-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1coc(C(C)(C)C)n1 ZINC000929122396 1128258923 /nfs/dbraw/zinc/25/89/23/1128258923.db2.gz RVVNJOIZVRVDJN-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO CCc1ncc(C[N@@H+](CC)Cc2ccc(Cl)s2)o1 ZINC000929131377 1128259076 /nfs/dbraw/zinc/25/90/76/1128259076.db2.gz UKDQFPOMIUQAGW-UHFFFAOYSA-N 1 2 284.812 3.974 20 0 CHADLO CCc1ncc(C[N@H+](CC)Cc2ccc(Cl)s2)o1 ZINC000929131377 1128259079 /nfs/dbraw/zinc/25/90/79/1128259079.db2.gz UKDQFPOMIUQAGW-UHFFFAOYSA-N 1 2 284.812 3.974 20 0 CHADLO Cc1cc2cc(NC3(c4cccc(C)c4)COC3)[nH+]cc2[nH]1 ZINC001168470838 1128200638 /nfs/dbraw/zinc/20/06/38/1128200638.db2.gz DPMBVTRFFWBUKK-UHFFFAOYSA-N 1 2 293.370 3.517 20 0 CHADLO CCn1c(=S)n(C[N@H+](C)[C@@H](C)C(C)(C)C)nc1C1CC1 ZINC000914569656 1128211736 /nfs/dbraw/zinc/21/17/36/1128211736.db2.gz ZPNWNJYCKHQKSN-NSHDSACASA-N 1 2 296.484 3.635 20 0 CHADLO CCn1c(=S)n(C[N@@H+](C)[C@@H](C)C(C)(C)C)nc1C1CC1 ZINC000914569656 1128211739 /nfs/dbraw/zinc/21/17/39/1128211739.db2.gz ZPNWNJYCKHQKSN-NSHDSACASA-N 1 2 296.484 3.635 20 0 CHADLO CC1(C)C(C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)C1(C)C ZINC000494963255 1128217097 /nfs/dbraw/zinc/21/70/97/1128217097.db2.gz XVMIJIWFXJQQKD-AWEZNQCLSA-N 1 2 290.455 3.698 20 0 CHADLO CC(C)C[C@H]([NH2+]Cc1cc(C2CC2)n(C)n1)c1ccccn1 ZINC000927112315 1128218363 /nfs/dbraw/zinc/21/83/63/1128218363.db2.gz LYBRIOUQLIPHBJ-KRWDZBQOSA-N 1 2 298.434 3.570 20 0 CHADLO Cc1cc(N[C@H]2CC(C)(C)OC2(C)C)ccc1[NH+](C)C ZINC000777643578 1128233322 /nfs/dbraw/zinc/23/33/22/1128233322.db2.gz PRTRDEPKFFTGMM-HNNXBMFYSA-N 1 2 276.424 3.819 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@@H]3CC(C)(C)OC3(C)C)ccc21 ZINC000777655439 1128234420 /nfs/dbraw/zinc/23/44/20/1128234420.db2.gz KHWMLXMLVHHMKV-MRXNPFEDSA-N 1 2 288.435 3.827 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@@H]3CC(C)(C)OC3(C)C)ccc21 ZINC000777655439 1128234423 /nfs/dbraw/zinc/23/44/23/1128234423.db2.gz KHWMLXMLVHHMKV-MRXNPFEDSA-N 1 2 288.435 3.827 20 0 CHADLO COc1ccccc1/C=C\C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000229855625 1128240509 /nfs/dbraw/zinc/24/05/09/1128240509.db2.gz JVTUGVIICWMRHZ-KTKRTIGZSA-N 1 2 296.370 3.667 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2coc(C(C)(C)C)n2)s1 ZINC000929501045 1128275531 /nfs/dbraw/zinc/27/55/31/1128275531.db2.gz ICJYYLGMJCOMJG-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2coc(C(C)(C)C)n2)s1 ZINC000929501045 1128275534 /nfs/dbraw/zinc/27/55/34/1128275534.db2.gz ICJYYLGMJCOMJG-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO CNc1ccc(Nc2c(C)cnn2-c2ccc(C)cc2)c[nH+]1 ZINC001203451906 1128295136 /nfs/dbraw/zinc/29/51/36/1128295136.db2.gz FTFQXSOXECVEFU-UHFFFAOYSA-N 1 2 293.374 3.669 20 0 CHADLO C[C@@H]1CCCN1CC[NH2+]Cc1csc(Cl)c1Cl ZINC000762448942 1128300618 /nfs/dbraw/zinc/30/06/18/1128300618.db2.gz OXVWWJRUXYFZEZ-SECBINFHSA-N 1 2 293.263 3.629 20 0 CHADLO Cc1ccc(CC[NH+](C/C=C\Cl)C/C=C\Cl)o1 ZINC000915260159 1128297927 /nfs/dbraw/zinc/29/79/27/1128297927.db2.gz PHKVVFFEWCLWJI-ZXCOBTLNSA-N 1 2 274.191 3.938 20 0 CHADLO CCc1onc(C)c1C[NH2+]C1(c2nccs2)CCCC1 ZINC000930009519 1128298242 /nfs/dbraw/zinc/29/82/42/1128298242.db2.gz HEBBQSCYYKKHSD-UHFFFAOYSA-N 1 2 291.420 3.561 20 0 CHADLO CN(C)c1cc(CSc2cccc(F)c2)cc[nH+]1 ZINC000762478325 1128303098 /nfs/dbraw/zinc/30/30/98/1128303098.db2.gz FQZSOINFEHSAQG-UHFFFAOYSA-N 1 2 262.353 3.579 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+][C@H](C)c2ncccn2)cc1 ZINC000930196137 1128306272 /nfs/dbraw/zinc/30/62/72/1128306272.db2.gz YOZFCDXTIDDAGX-ZIAGYGMSSA-N 1 2 285.391 3.676 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1coc(C(C)(C)C)n1 ZINC000930275432 1128311585 /nfs/dbraw/zinc/31/15/85/1128311585.db2.gz RIQBLZRCLMXWTL-MWLCHTKSSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1coc(C(C)(C)C)n1 ZINC000930275432 1128311590 /nfs/dbraw/zinc/31/15/90/1128311590.db2.gz RIQBLZRCLMXWTL-MWLCHTKSSA-N 1 2 290.329 3.745 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](F)Cc2ccccc2)c(C)[nH+]1 ZINC000777925120 1128329661 /nfs/dbraw/zinc/32/96/61/1128329661.db2.gz YIWFJDHLQCPHST-OAHLLOKOSA-N 1 2 286.350 3.526 20 0 CHADLO COc1cc(C[N@@H+]2CCc3ccsc3C2)cc(F)c1F ZINC001235449694 1128333588 /nfs/dbraw/zinc/33/35/88/1128333588.db2.gz WJQRSVOADBAKOD-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO COc1cc(C[N@H+]2CCc3ccsc3C2)cc(F)c1F ZINC001235449694 1128333591 /nfs/dbraw/zinc/33/35/91/1128333591.db2.gz WJQRSVOADBAKOD-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC[C@@]3(CC3(F)F)C2)co1 ZINC000930861617 1128337347 /nfs/dbraw/zinc/33/73/47/1128337347.db2.gz AXPNJPHQVKEGMG-CQSZACIVSA-N 1 2 284.350 3.593 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC[C@@]3(CC3(F)F)C2)co1 ZINC000930861617 1128337351 /nfs/dbraw/zinc/33/73/51/1128337351.db2.gz AXPNJPHQVKEGMG-CQSZACIVSA-N 1 2 284.350 3.593 20 0 CHADLO Cc1cn(C)nc1C[NH2+]C1(c2ccccc2Cl)CCC1 ZINC000930842253 1128337719 /nfs/dbraw/zinc/33/77/19/1128337719.db2.gz CCNRJVUSGUDIOI-UHFFFAOYSA-N 1 2 289.810 3.551 20 0 CHADLO CCc1ncc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)o1 ZINC000930964518 1128342129 /nfs/dbraw/zinc/34/21/29/1128342129.db2.gz DJPUWLUQXLSFSJ-MRXNPFEDSA-N 1 2 286.375 3.947 20 0 CHADLO CCc1ncc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)o1 ZINC000930964518 1128342133 /nfs/dbraw/zinc/34/21/33/1128342133.db2.gz DJPUWLUQXLSFSJ-MRXNPFEDSA-N 1 2 286.375 3.947 20 0 CHADLO CCc1onc(C)c1C[N@@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000930970219 1128342222 /nfs/dbraw/zinc/34/22/22/1128342222.db2.gz XOLHCKPLCJERPM-HNNXBMFYSA-N 1 2 274.339 3.632 20 0 CHADLO CCc1onc(C)c1C[N@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000930970219 1128342225 /nfs/dbraw/zinc/34/22/25/1128342225.db2.gz XOLHCKPLCJERPM-HNNXBMFYSA-N 1 2 274.339 3.632 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cccc(Br)c1Cl ZINC001235594085 1128343936 /nfs/dbraw/zinc/34/39/36/1128343936.db2.gz PKEJLRUCWZVUAW-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cccc(Br)c1Cl ZINC001235594085 1128343941 /nfs/dbraw/zinc/34/39/41/1128343941.db2.gz PKEJLRUCWZVUAW-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCOC[C@@H]1c1cccc(F)c1 ZINC000931017551 1128344099 /nfs/dbraw/zinc/34/40/99/1128344099.db2.gz HJKDLOLVOVLVKG-CQSZACIVSA-N 1 2 287.325 3.634 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCOC[C@@H]1c1cccc(F)c1 ZINC000931017551 1128344104 /nfs/dbraw/zinc/34/41/04/1128344104.db2.gz HJKDLOLVOVLVKG-CQSZACIVSA-N 1 2 287.325 3.634 20 0 CHADLO C[C@@H](Nc1cccc(-n2cc[nH+]c2)c1)[C@@H]1CC1(C)C ZINC000397617141 1128369999 /nfs/dbraw/zinc/36/99/99/1128369999.db2.gz QSSPTFYWDVGMRT-DOMZBBRYSA-N 1 2 255.365 3.719 20 0 CHADLO C[C@@H]1CCC[C@H](OC(=O)c2cccc(Cn3cc[nH+]c3)c2)C1 ZINC000916301993 1128415717 /nfs/dbraw/zinc/41/57/17/1128415717.db2.gz LTGUCKKFHYAAJF-PBHICJAKSA-N 1 2 298.386 3.667 20 0 CHADLO CC(C)c1nsc(C[NH2+][C@H]2CCc3c2cccc3F)n1 ZINC000931755471 1128380121 /nfs/dbraw/zinc/38/01/21/1128380121.db2.gz URUIMPDYKADXJZ-ZDUSSCGKSA-N 1 2 291.395 3.578 20 0 CHADLO C[C@H]([NH2+][C@@H](C)[C@@H](C)c1ccccc1)C(=O)OC1CCCC1 ZINC000763289700 1128388888 /nfs/dbraw/zinc/38/88/88/1128388888.db2.gz UFFBYSCYILJYRM-ILXRZTDVSA-N 1 2 289.419 3.643 20 0 CHADLO CC(C)(C)OC[C@@H]1CCC[N@@H+]1Cc1ncc(Cl)s1 ZINC000932171260 1128397725 /nfs/dbraw/zinc/39/77/25/1128397725.db2.gz XBGVVRDWHMWGQE-JTQLQIEISA-N 1 2 288.844 3.576 20 0 CHADLO CC(C)(C)OC[C@@H]1CCC[N@H+]1Cc1ncc(Cl)s1 ZINC000932171260 1128397730 /nfs/dbraw/zinc/39/77/30/1128397730.db2.gz XBGVVRDWHMWGQE-JTQLQIEISA-N 1 2 288.844 3.576 20 0 CHADLO CC1CCC(Nc2ccc(N3CCCC3)[nH+]c2)CC1 ZINC000036982120 1128401652 /nfs/dbraw/zinc/40/16/52/1128401652.db2.gz CVONWYLDKVQVCK-UHFFFAOYSA-N 1 2 259.397 3.672 20 0 CHADLO CCSc1ccccc1C[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000932353167 1128405277 /nfs/dbraw/zinc/40/52/77/1128405277.db2.gz XDVCRHKDWCNZIM-MRXNPFEDSA-N 1 2 299.443 3.830 20 0 CHADLO c1sc(C[NH2+][C@H](c2ccccn2)C2CC2)nc1C1CC1 ZINC000932382819 1128406634 /nfs/dbraw/zinc/40/66/34/1128406634.db2.gz KZHZATPOUJZSLY-INIZCTEOSA-N 1 2 285.416 3.656 20 0 CHADLO Fc1cc(C[NH+]2CCC(F)(C3CC3)CC2)cnc1Cl ZINC000932625376 1128418067 /nfs/dbraw/zinc/41/80/67/1128418067.db2.gz YHFRDLIRPXFOGR-UHFFFAOYSA-N 1 2 286.753 3.588 20 0 CHADLO CC(C)C[N@H+](Cc1noc2c1CCCC2)Cc1ccccn1 ZINC000932444905 1128410294 /nfs/dbraw/zinc/41/02/94/1128410294.db2.gz PDIIYOANTTTYEJ-UHFFFAOYSA-N 1 2 299.418 3.607 20 0 CHADLO CC(C)C[N@@H+](Cc1noc2c1CCCC2)Cc1ccccn1 ZINC000932444905 1128410295 /nfs/dbraw/zinc/41/02/95/1128410295.db2.gz PDIIYOANTTTYEJ-UHFFFAOYSA-N 1 2 299.418 3.607 20 0 CHADLO CCCC[N@H+](Cc1nc(C)no1)Cc1c(C)oc(C)c1C ZINC000932496610 1128412373 /nfs/dbraw/zinc/41/23/73/1128412373.db2.gz GOPZFTNVXUDLDM-UHFFFAOYSA-N 1 2 291.395 3.699 20 0 CHADLO CCCC[N@@H+](Cc1nc(C)no1)Cc1c(C)oc(C)c1C ZINC000932496610 1128412374 /nfs/dbraw/zinc/41/23/74/1128412374.db2.gz GOPZFTNVXUDLDM-UHFFFAOYSA-N 1 2 291.395 3.699 20 0 CHADLO Nc1cn2ccc(-c3ccc(Cl)cc3Cl)cc2[nH+]1 ZINC001235964638 1128419753 /nfs/dbraw/zinc/41/97/53/1128419753.db2.gz HESZTJSPMSLZNE-UHFFFAOYSA-N 1 2 278.142 3.890 20 0 CHADLO C[C@@]1([NH2+]Cc2csc(Cl)n2)CCOc2ccccc21 ZINC000933369516 1128433801 /nfs/dbraw/zinc/43/38/01/1128433801.db2.gz HPDWURCHSHCIRQ-CQSZACIVSA-N 1 2 294.807 3.584 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[NH2+][C@H](C)c1cncs1 ZINC000934203070 1128454357 /nfs/dbraw/zinc/45/43/57/1128454357.db2.gz GHLFZKNKBQNYLM-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1ccc(F)cc1Cl ZINC000064635313 1128450031 /nfs/dbraw/zinc/45/00/31/1128450031.db2.gz GLPGGYJZBPVRNQ-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1ccc(F)cc1Cl ZINC000064635313 1128450034 /nfs/dbraw/zinc/45/00/34/1128450034.db2.gz GLPGGYJZBPVRNQ-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO CCCCCC[N@@H+](Cc1cn(C)cn1)Cc1ccco1 ZINC000934708199 1128468071 /nfs/dbraw/zinc/46/80/71/1128468071.db2.gz PFSIUIGMWGZWLN-UHFFFAOYSA-N 1 2 275.396 3.596 20 0 CHADLO CCCCCC[N@H+](Cc1cn(C)cn1)Cc1ccco1 ZINC000934708199 1128468073 /nfs/dbraw/zinc/46/80/73/1128468073.db2.gz PFSIUIGMWGZWLN-UHFFFAOYSA-N 1 2 275.396 3.596 20 0 CHADLO Cc1cc(NC(=O)c2ccc(Cl)cc2C)cc[nH+]1 ZINC000127163486 1128473068 /nfs/dbraw/zinc/47/30/68/1128473068.db2.gz OABORJCZLDSJJO-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO COc1cc[nH+]c(Nc2cc(F)c(F)cc2OC(C)C)c1 ZINC001212220821 1128473775 /nfs/dbraw/zinc/47/37/75/1128473775.db2.gz VTLBETMJNZLUIU-UHFFFAOYSA-N 1 2 294.301 3.899 20 0 CHADLO CCC[C@H](C)CC[C@H](C)[N@@H+]1CCCC(=O)[C@H](F)C1 ZINC001257475916 1128479600 /nfs/dbraw/zinc/47/96/00/1128479600.db2.gz IFBPKULVXGKCSH-MELADBBJSA-N 1 2 257.393 3.594 20 0 CHADLO CCC[C@H](C)CC[C@H](C)[N@H+]1CCCC(=O)[C@H](F)C1 ZINC001257475916 1128479601 /nfs/dbraw/zinc/47/96/01/1128479601.db2.gz IFBPKULVXGKCSH-MELADBBJSA-N 1 2 257.393 3.594 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC1(C)CCOCC1 ZINC000225360918 1128476415 /nfs/dbraw/zinc/47/64/15/1128476415.db2.gz CWVJKOUMRXNYIZ-UHFFFAOYSA-N 1 2 270.376 3.772 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000127421814 1128477896 /nfs/dbraw/zinc/47/78/96/1128477896.db2.gz DPSZKDUERBRHOQ-CYBMUJFWSA-N 1 2 277.412 3.739 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000127421814 1128477899 /nfs/dbraw/zinc/47/78/99/1128477899.db2.gz DPSZKDUERBRHOQ-CYBMUJFWSA-N 1 2 277.412 3.739 20 0 CHADLO CCCC[N@H+](Cc1noc(CC)n1)[C@H](C)c1ccccc1 ZINC000128276760 1128490054 /nfs/dbraw/zinc/49/00/54/1128490054.db2.gz NIEHUIIWMJMQHT-CQSZACIVSA-N 1 2 287.407 3.995 20 0 CHADLO CCCC[N@@H+](Cc1noc(CC)n1)[C@H](C)c1ccccc1 ZINC000128276760 1128490056 /nfs/dbraw/zinc/49/00/56/1128490056.db2.gz NIEHUIIWMJMQHT-CQSZACIVSA-N 1 2 287.407 3.995 20 0 CHADLO Cc1[nH]ncc1C[NH2+]Cc1ccccc1-c1ccccc1 ZINC000917394822 1128497329 /nfs/dbraw/zinc/49/73/29/1128497329.db2.gz PYMQLOAJYOXCLI-UHFFFAOYSA-N 1 2 277.371 3.675 20 0 CHADLO CC(C)c1cc(NC2(CCO)CCCC2)nc(C(C)C)[nH+]1 ZINC000564239941 1128500500 /nfs/dbraw/zinc/50/05/00/1128500500.db2.gz PRJHXPJPZXKCIE-UHFFFAOYSA-N 1 2 291.439 3.831 20 0 CHADLO CC(C)[C@H]1C[C@@H]([NH2+]CC(F)(F)c2ccccc2)CS1 ZINC000564537114 1128511892 /nfs/dbraw/zinc/51/18/92/1128511892.db2.gz NVAWVIZFZSYJAO-ZIAGYGMSSA-N 1 2 285.403 3.898 20 0 CHADLO CCN(C(=O)C[C@H](C)n1cc[nH+]c1)c1cc(C)cc(C)c1 ZINC000565052800 1128519481 /nfs/dbraw/zinc/51/94/81/1128519481.db2.gz CEAPTVAVIXFKHB-HNNXBMFYSA-N 1 2 285.391 3.504 20 0 CHADLO CCCc1cccc(C[N@H+](C)[C@H](C)c2nc(C)no2)c1 ZINC000565231216 1128522380 /nfs/dbraw/zinc/52/23/80/1128522380.db2.gz ZCXRPOIGCNAUOL-GFCCVEGCSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1cccc(C[N@@H+](C)[C@H](C)c2nc(C)no2)c1 ZINC000565231216 1128522383 /nfs/dbraw/zinc/52/23/83/1128522383.db2.gz ZCXRPOIGCNAUOL-GFCCVEGCSA-N 1 2 273.380 3.524 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+](C)[C@H](C)c2ccco2)cc1C ZINC000069069740 1128533552 /nfs/dbraw/zinc/53/35/52/1128533552.db2.gz WHQFIFQBJVKWDA-CQSZACIVSA-N 1 2 286.375 3.528 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+](C)[C@H](C)c2ccco2)cc1C ZINC000069069740 1128533553 /nfs/dbraw/zinc/53/35/53/1128533553.db2.gz WHQFIFQBJVKWDA-CQSZACIVSA-N 1 2 286.375 3.528 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2ncccc2F)c(Cl)c1 ZINC000566062705 1128537376 /nfs/dbraw/zinc/53/73/76/1128537376.db2.gz GHNFSQVIZSGYBG-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCOC3(CCCC3)C2)c1 ZINC000566149094 1128538976 /nfs/dbraw/zinc/53/89/76/1128538976.db2.gz KYGGTVYDIDWRMK-UHFFFAOYSA-N 1 2 286.350 3.523 20 0 CHADLO CC[C@H](c1ccccc1)N(CC)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000566436457 1128544526 /nfs/dbraw/zinc/54/45/26/1128544526.db2.gz KMMDQAYCHNXDFY-RHSMWYFYSA-N 1 2 299.418 3.588 20 0 CHADLO CC[C@H](c1ccccc1)N(CC)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000566436457 1128544529 /nfs/dbraw/zinc/54/45/29/1128544529.db2.gz KMMDQAYCHNXDFY-RHSMWYFYSA-N 1 2 299.418 3.588 20 0 CHADLO Cc1nc(CSCc2ccc(-n3cc[nH+]c3)cc2C)co1 ZINC000566481027 1128545079 /nfs/dbraw/zinc/54/50/79/1128545079.db2.gz HPXAFCCJWIYLOA-UHFFFAOYSA-N 1 2 299.399 3.911 20 0 CHADLO CC(F)(F)C[NH2+][C@H](C[C@@H]1CCOC1)c1ccc(F)cc1 ZINC000569991457 1128613377 /nfs/dbraw/zinc/61/33/77/1128613377.db2.gz AJOCFNLTIFMWBQ-SMDDNHRTSA-N 1 2 287.325 3.538 20 0 CHADLO FC(F)(F)[C@@H]1C[C@H](C(F)(F)F)C[N@@H+](C/C=C\Cl)C1 ZINC000918778216 1128571695 /nfs/dbraw/zinc/57/16/95/1128571695.db2.gz IMFSVBJCBJODDH-VMPVEFHESA-N 1 2 295.654 3.802 20 0 CHADLO FC(F)(F)[C@@H]1C[C@H](C(F)(F)F)C[N@H+](C/C=C\Cl)C1 ZINC000918778216 1128571699 /nfs/dbraw/zinc/57/16/99/1128571699.db2.gz IMFSVBJCBJODDH-VMPVEFHESA-N 1 2 295.654 3.802 20 0 CHADLO FC(F)(F)[C@@H]1C[C@@H](C(F)(F)F)C[NH+](C/C=C/Cl)C1 ZINC000918778217 1128572072 /nfs/dbraw/zinc/57/20/72/1128572072.db2.gz IMFSVBJCBJODDH-XLNBVVSQSA-N 1 2 295.654 3.802 20 0 CHADLO FC(F)(F)[C@@H]1C[C@H](C(F)(F)F)C[N@@H+](C/C=C/Cl)C1 ZINC000918778215 1128572092 /nfs/dbraw/zinc/57/20/92/1128572092.db2.gz IMFSVBJCBJODDH-SRLPBZNGSA-N 1 2 295.654 3.802 20 0 CHADLO FC(F)(F)[C@@H]1C[C@H](C(F)(F)F)C[N@H+](C/C=C/Cl)C1 ZINC000918778215 1128572095 /nfs/dbraw/zinc/57/20/95/1128572095.db2.gz IMFSVBJCBJODDH-SRLPBZNGSA-N 1 2 295.654 3.802 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+]Cc2coc(-c3ccccc3)n2)c1 ZINC000568056986 1128577155 /nfs/dbraw/zinc/57/71/55/1128577155.db2.gz KEIKGLQPNBDHMP-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO Clc1ccccc1C[N@@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000071852532 1128600230 /nfs/dbraw/zinc/60/02/30/1128600230.db2.gz PUZIACMOATXSKB-QGZVFWFLSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1ccccc1C[N@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000071852532 1128600231 /nfs/dbraw/zinc/60/02/31/1128600231.db2.gz PUZIACMOATXSKB-QGZVFWFLSA-N 1 2 287.790 3.914 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccc(OC)cc1F)c1nccs1 ZINC000570453892 1128623982 /nfs/dbraw/zinc/62/39/82/1128623982.db2.gz DLLZSLRFDGMNGX-OAHLLOKOSA-N 1 2 294.395 3.706 20 0 CHADLO CC[C@H](Cc1ccccc1)C(=O)NCc1c[nH+]c(C)cc1C ZINC000570796359 1128632246 /nfs/dbraw/zinc/63/22/46/1128632246.db2.gz WWASFZMKZNJDID-QGZVFWFLSA-N 1 2 296.414 3.584 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CC[C@H](CC(F)(F)F)C2)cc1F ZINC000570910308 1128634127 /nfs/dbraw/zinc/63/41/27/1128634127.db2.gz UUANRAVHMHDFOM-MRVPVSSYSA-N 1 2 297.242 3.878 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CC[C@H](CC(F)(F)F)C2)cc1F ZINC000570910308 1128634129 /nfs/dbraw/zinc/63/41/29/1128634129.db2.gz UUANRAVHMHDFOM-MRVPVSSYSA-N 1 2 297.242 3.878 20 0 CHADLO Cc1cc2c(cc1C)[C@H]([NH2+]Cc1ccon1)CCS2 ZINC000779026668 1128688046 /nfs/dbraw/zinc/68/80/46/1128688046.db2.gz DAAKKRLWVMQLGF-CQSZACIVSA-N 1 2 274.389 3.618 20 0 CHADLO COC(=O)c1ccc2c(c1)C[N@@H+](C/C=C\c1ccccc1)C2 ZINC000609317202 1128684489 /nfs/dbraw/zinc/68/44/89/1128684489.db2.gz UAUQJFJCLJWPHV-YVMONPNESA-N 1 2 293.366 3.502 20 0 CHADLO COC(=O)c1ccc2c(c1)C[N@H+](C/C=C\c1ccccc1)C2 ZINC000609317202 1128684493 /nfs/dbraw/zinc/68/44/93/1128684493.db2.gz UAUQJFJCLJWPHV-YVMONPNESA-N 1 2 293.366 3.502 20 0 CHADLO CSC1CCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000601206239 1128704188 /nfs/dbraw/zinc/70/41/88/1128704188.db2.gz RUADFYGOQGPFPE-UHFFFAOYSA-N 1 2 276.380 3.706 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCC(F)(F)[C@H](F)C2)c(C)c1 ZINC001138430623 1128711062 /nfs/dbraw/zinc/71/10/62/1128711062.db2.gz DBBLYBPUFDMHPW-CQSZACIVSA-N 1 2 287.325 3.573 20 0 CHADLO CCOc1ccc(C[N@H+]2CCC(F)(F)[C@H](F)C2)c(C)c1 ZINC001138430623 1128711065 /nfs/dbraw/zinc/71/10/65/1128711065.db2.gz DBBLYBPUFDMHPW-CQSZACIVSA-N 1 2 287.325 3.573 20 0 CHADLO Cc1cscc1C[NH2+][C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000578345188 1128715636 /nfs/dbraw/zinc/71/56/36/1128715636.db2.gz YHNASLFZTOLQHK-CQSZACIVSA-N 1 2 290.436 3.586 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1nc2ccccc2[nH]1 ZINC000578401689 1128717079 /nfs/dbraw/zinc/71/70/79/1128717079.db2.gz WCJRSBWCFLKLDH-INIZCTEOSA-N 1 2 280.375 3.541 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1nc2ccccc2[nH]1 ZINC000578401689 1128717080 /nfs/dbraw/zinc/71/70/80/1128717080.db2.gz WCJRSBWCFLKLDH-INIZCTEOSA-N 1 2 280.375 3.541 20 0 CHADLO C[C@H]1[C@@H](c2ccccc2)CC[N@@H+]1Cn1ncsc1=S ZINC000175267641 1128722319 /nfs/dbraw/zinc/72/23/19/1128722319.db2.gz KDXXLOVPHBIYMM-AAEUAGOBSA-N 1 2 291.445 3.510 20 0 CHADLO C[C@H]1[C@@H](c2ccccc2)CC[N@H+]1Cn1ncsc1=S ZINC000175267641 1128722322 /nfs/dbraw/zinc/72/23/22/1128722322.db2.gz KDXXLOVPHBIYMM-AAEUAGOBSA-N 1 2 291.445 3.510 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1cnc(Cl)s1 ZINC000175454023 1128730419 /nfs/dbraw/zinc/73/04/19/1128730419.db2.gz QWDZMDUAIFMTNO-JTQLQIEISA-N 1 2 278.808 3.743 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1cnc(Cl)s1 ZINC000175454023 1128730421 /nfs/dbraw/zinc/73/04/21/1128730421.db2.gz QWDZMDUAIFMTNO-JTQLQIEISA-N 1 2 278.808 3.743 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](CC(F)(F)F)O[C@@H](C)C2)cc1 ZINC000662239847 1117751337 /nfs/dbraw/zinc/75/13/37/1117751337.db2.gz XZXDMKALRXMVBJ-GXTWGEPZSA-N 1 2 287.325 3.537 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](CC(F)(F)F)O[C@@H](C)C2)cc1 ZINC000662239847 1117751344 /nfs/dbraw/zinc/75/13/44/1117751344.db2.gz XZXDMKALRXMVBJ-GXTWGEPZSA-N 1 2 287.325 3.537 20 0 CHADLO O=C(/C=C/c1c[nH+]c2ccccn12)c1csc(Cl)c1 ZINC000188866646 1128734505 /nfs/dbraw/zinc/73/45/05/1128734505.db2.gz UCAMSYDKNHJTEB-SNAWJCMRSA-N 1 2 288.759 3.945 20 0 CHADLO Cc1cc(NCc2ccc(F)cc2)nc(C(C)C)[nH+]1 ZINC000035121319 1117778777 /nfs/dbraw/zinc/77/87/77/1117778777.db2.gz MCRRGKYAEYGQJK-UHFFFAOYSA-N 1 2 259.328 3.660 20 0 CHADLO Cc1cc(C[NH2+]CC(F)(F)c2ccccc2)cc(C)c1O ZINC000579764334 1117780869 /nfs/dbraw/zinc/78/08/69/1117780869.db2.gz UAVOCPSFJKNDAF-UHFFFAOYSA-N 1 2 291.341 3.891 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnc(C(C)(C)C)s1 ZINC000430152039 1117785701 /nfs/dbraw/zinc/78/57/01/1117785701.db2.gz CVCCISGJDKVTIN-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnc(C(C)(C)C)s1 ZINC000430152039 1117785705 /nfs/dbraw/zinc/78/57/05/1117785705.db2.gz CVCCISGJDKVTIN-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccccc1)c1cccc(CO)c1 ZINC000579044594 1128737067 /nfs/dbraw/zinc/73/70/67/1128737067.db2.gz MMRMKXKQDSJACC-ZDUSSCGKSA-N 1 2 291.341 3.622 20 0 CHADLO CSc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)c(C)c1 ZINC001215477863 1117834602 /nfs/dbraw/zinc/83/46/02/1117834602.db2.gz ZCHCHQAWZHFISK-UHFFFAOYSA-N 1 2 283.400 3.946 20 0 CHADLO Cc1csc(C[NH2+]Cc2csc(C(F)(F)F)c2)n1 ZINC000623350267 1117838337 /nfs/dbraw/zinc/83/83/37/1117838337.db2.gz BQNCFHYIRHXKCG-UHFFFAOYSA-N 1 2 292.351 3.822 20 0 CHADLO CNc1ccc(Nc2cc(Cl)c(OC)cc2OC)c[nH+]1 ZINC001203455624 1117841385 /nfs/dbraw/zinc/84/13/85/1117841385.db2.gz VTAIODZMLSZLSD-UHFFFAOYSA-N 1 2 293.754 3.538 20 0 CHADLO FC(F)(F)c1cc(C[NH2+]Cc2nccs2)cs1 ZINC000623364557 1117843809 /nfs/dbraw/zinc/84/38/09/1117843809.db2.gz DKSOOYROXOOMJU-UHFFFAOYSA-N 1 2 278.324 3.513 20 0 CHADLO CCNc1ccnc(Cl)c1Nc1c[nH+]c(C)cc1C ZINC001213508491 1117852046 /nfs/dbraw/zinc/85/20/46/1117852046.db2.gz URZLCQIVGOQUIU-UHFFFAOYSA-N 1 2 276.771 3.922 20 0 CHADLO COc1cc(C)c(Nc2c[nH+]c(C)cc2C)cc1OC ZINC001213513565 1117873874 /nfs/dbraw/zinc/87/38/74/1117873874.db2.gz VXSUKZSOJQSBTI-UHFFFAOYSA-N 1 2 272.348 3.768 20 0 CHADLO FC1(F)CSC2(C[NH+](Cc3ccccc3Cl)C2)C1 ZINC001203385473 1117876991 /nfs/dbraw/zinc/87/69/91/1117876991.db2.gz PTWJIEQUISUPMA-UHFFFAOYSA-N 1 2 289.778 3.667 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cc(C)c2ncccc2c1 ZINC000623679316 1117888497 /nfs/dbraw/zinc/88/84/97/1117888497.db2.gz RHGXAWACVSGNQU-UHFFFAOYSA-N 1 2 264.319 3.678 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1ccc(OC(C)C)cc1 ZINC000623680060 1117888529 /nfs/dbraw/zinc/88/85/29/1117888529.db2.gz WBVUCQGDEMPDJY-UHFFFAOYSA-N 1 2 257.324 3.609 20 0 CHADLO COc1ccc(C)cc1C[N@H+](C)Cc1nc2ccccc2o1 ZINC000137624658 1128742505 /nfs/dbraw/zinc/74/25/05/1128742505.db2.gz XTANQDXEFWDTPP-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1ccc(C)cc1C[N@@H+](C)Cc1nc2ccccc2o1 ZINC000137624658 1128742508 /nfs/dbraw/zinc/74/25/08/1128742508.db2.gz XTANQDXEFWDTPP-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COCc1ccc(C[N@H+](C)Cc2c(F)cccc2F)cc1 ZINC000339600453 1117903770 /nfs/dbraw/zinc/90/37/70/1117903770.db2.gz VGUPIIKPUOHZEJ-UHFFFAOYSA-N 1 2 291.341 3.743 20 0 CHADLO COCc1ccc(C[N@@H+](C)Cc2c(F)cccc2F)cc1 ZINC000339600453 1117903774 /nfs/dbraw/zinc/90/37/74/1117903774.db2.gz VGUPIIKPUOHZEJ-UHFFFAOYSA-N 1 2 291.341 3.743 20 0 CHADLO CC(C)c1cc(NCc2ccoc2)nc(C(C)C)[nH+]1 ZINC000179511019 1117905861 /nfs/dbraw/zinc/90/58/61/1117905861.db2.gz DVQKHSCTPZIJFY-UHFFFAOYSA-N 1 2 259.353 3.929 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc(Cl)cc1OC ZINC000339603011 1117905932 /nfs/dbraw/zinc/90/59/32/1117905932.db2.gz FHPYVGJLGINJKQ-UHFFFAOYSA-N 1 2 294.782 3.531 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc(Cl)cc1OC ZINC000339603011 1117905935 /nfs/dbraw/zinc/90/59/35/1117905935.db2.gz FHPYVGJLGINJKQ-UHFFFAOYSA-N 1 2 294.782 3.531 20 0 CHADLO Cc1cccc(F)c1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000179889807 1117915929 /nfs/dbraw/zinc/91/59/29/1117915929.db2.gz RDYBZIGZQQYGLF-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1ccc2c(c1)OCO2 ZINC000036335439 1117916533 /nfs/dbraw/zinc/91/65/33/1117916533.db2.gz GBBVTGAYTCBSFY-LBPRGKRZSA-N 1 2 284.359 3.654 20 0 CHADLO Fc1cccc(F)c1C1([NH2+]Cc2ccncc2F)CCC1 ZINC000623844021 1117944716 /nfs/dbraw/zinc/94/47/16/1117944716.db2.gz BNONYGFXJZOOHC-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO Cc1occc1C[NH2+][C@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000623877292 1117947922 /nfs/dbraw/zinc/94/79/22/1117947922.db2.gz XXSJVJPGMIYZNZ-CYBMUJFWSA-N 1 2 295.386 3.839 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCc3scnc31)CO2 ZINC000623920295 1117956835 /nfs/dbraw/zinc/95/68/35/1117956835.db2.gz HMRCJDLATCREFC-STQMWFEESA-N 1 2 286.400 3.552 20 0 CHADLO CC1=C[C@H](C)C[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000430269655 1117964589 /nfs/dbraw/zinc/96/45/89/1117964589.db2.gz RPDOCJBZTDQPNF-VIFPVBQESA-N 1 2 271.191 3.786 20 0 CHADLO CC1=C[C@H](C)C[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000430269655 1117964592 /nfs/dbraw/zinc/96/45/92/1117964592.db2.gz RPDOCJBZTDQPNF-VIFPVBQESA-N 1 2 271.191 3.786 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2nc3ccccc3[nH]2)n1 ZINC000127012894 1117968780 /nfs/dbraw/zinc/96/87/80/1117968780.db2.gz FTAKETPOHQMDTH-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2nc3ccccc3[nH]2)n1 ZINC000127012894 1117968783 /nfs/dbraw/zinc/96/87/83/1117968783.db2.gz FTAKETPOHQMDTH-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Clc1ccsc1C[N@@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339773037 1117972790 /nfs/dbraw/zinc/97/27/90/1117972790.db2.gz RDPPNKSTVWHBTG-LBPRGKRZSA-N 1 2 285.840 3.793 20 0 CHADLO Clc1ccsc1C[N@H+]1CCOC[C@@H]1CC1CCC1 ZINC000339773037 1117972795 /nfs/dbraw/zinc/97/27/95/1117972795.db2.gz RDPPNKSTVWHBTG-LBPRGKRZSA-N 1 2 285.840 3.793 20 0 CHADLO c1cc2c(s1)CC[N@@H+](Cc1cc(-c3ccccc3)n[nH]1)C2 ZINC000179888411 1117985856 /nfs/dbraw/zinc/98/58/56/1117985856.db2.gz LQDFGUNVNVLYTK-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO c1cc2c(s1)CC[N@H+](Cc1cc(-c3ccccc3)n[nH]1)C2 ZINC000179888411 1117985859 /nfs/dbraw/zinc/98/58/59/1117985859.db2.gz LQDFGUNVNVLYTK-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO Clc1cccc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)c1 ZINC000510144037 1117986147 /nfs/dbraw/zinc/98/61/47/1117986147.db2.gz OYDHDTVXRBJPBN-OAHLLOKOSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1cccc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)c1 ZINC000510144037 1117986154 /nfs/dbraw/zinc/98/61/54/1117986154.db2.gz OYDHDTVXRBJPBN-OAHLLOKOSA-N 1 2 275.783 3.790 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc(-n2ccnc2)cc1 ZINC000624146502 1117991605 /nfs/dbraw/zinc/99/16/05/1117991605.db2.gz AWCQOXNSNBIRKZ-LBPRGKRZSA-N 1 2 279.334 3.568 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc(OC(F)F)cc1 ZINC000624147002 1117992075 /nfs/dbraw/zinc/99/20/75/1117992075.db2.gz PVHLLEZKBCSDFC-SECBINFHSA-N 1 2 279.277 3.984 20 0 CHADLO CSc1cc[nH+]c(N(C)CC2CCCCC2)c1 ZINC001167096568 1117995385 /nfs/dbraw/zinc/99/53/85/1117995385.db2.gz GMNUSUXIVLMBDC-UHFFFAOYSA-N 1 2 250.411 3.820 20 0 CHADLO CC(C)CCc1noc(C[NH2+][C@H](C)c2ccccc2)n1 ZINC000181356224 1117998543 /nfs/dbraw/zinc/99/85/43/1117998543.db2.gz HGVCTECKFARLTI-CYBMUJFWSA-N 1 2 273.380 3.509 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(F)ccc(F)c2Cl)nc1 ZINC000705583545 1118013454 /nfs/dbraw/zinc/01/34/54/1118013454.db2.gz SIKGVRMTOHFRSD-UHFFFAOYSA-N 1 2 282.721 3.611 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCO[C@H](C(C)C)C2)c1 ZINC001238568833 1118018494 /nfs/dbraw/zinc/01/84/94/1118018494.db2.gz JZTVXRXNUVNGGV-HNNXBMFYSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCO[C@H](C(C)C)C2)c1 ZINC001238568833 1118018496 /nfs/dbraw/zinc/01/84/96/1118018496.db2.gz JZTVXRXNUVNGGV-HNNXBMFYSA-N 1 2 267.800 3.505 20 0 CHADLO c1cc2c(s1)CC[N@@H+](Cc1cnc(C3CC3)s1)C2 ZINC000124299223 1118032794 /nfs/dbraw/zinc/03/27/94/1118032794.db2.gz NFGLCSHLBBSBHT-UHFFFAOYSA-N 1 2 276.430 3.640 20 0 CHADLO c1cc2c(s1)CC[N@H+](Cc1cnc(C3CC3)s1)C2 ZINC000124299223 1118032799 /nfs/dbraw/zinc/03/27/99/1118032799.db2.gz NFGLCSHLBBSBHT-UHFFFAOYSA-N 1 2 276.430 3.640 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@H](c3cc(C)cc(C)c3)C2)no1 ZINC000183477065 1118044576 /nfs/dbraw/zinc/04/45/76/1118044576.db2.gz BWWDBCXVVFWDIY-OAHLLOKOSA-N 1 2 299.418 3.628 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@H](c3cc(C)cc(C)c3)C2)no1 ZINC000183477065 1118044581 /nfs/dbraw/zinc/04/45/81/1118044581.db2.gz BWWDBCXVVFWDIY-OAHLLOKOSA-N 1 2 299.418 3.628 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2cnc(Cl)s2)CC1 ZINC000179661833 1128753628 /nfs/dbraw/zinc/75/36/28/1128753628.db2.gz XWGPYIQHLCFYOI-UHFFFAOYSA-N 1 2 282.771 3.715 20 0 CHADLO Fc1ccc([C@H]([NH2+]C/C=C/Cl)c2ccccn2)cc1 ZINC000749448560 1118075355 /nfs/dbraw/zinc/07/53/55/1118075355.db2.gz KVVOWJNPDKJGPA-RUFWOKOUSA-N 1 2 276.742 3.652 20 0 CHADLO CCOc1ccc(CNc2cc(C)c[nH+]c2C)cc1OC ZINC000404546481 1118075428 /nfs/dbraw/zinc/07/54/28/1118075428.db2.gz UCEVNVPDRSVSPC-UHFFFAOYSA-N 1 2 286.375 3.718 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+](Cc2ccc(C(C)C)nc2Cl)C1 ZINC001238730519 1118078316 /nfs/dbraw/zinc/07/83/16/1118078316.db2.gz GJGZWCSTKYNNKM-CYBMUJFWSA-N 1 2 294.826 3.659 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+](Cc2ccc(C(C)C)nc2Cl)C1 ZINC001238730519 1118078320 /nfs/dbraw/zinc/07/83/20/1118078320.db2.gz GJGZWCSTKYNNKM-CYBMUJFWSA-N 1 2 294.826 3.659 20 0 CHADLO CC(C)(C)[C@@H]1C[N@H+](Cc2ccccc2OC(F)F)CCO1 ZINC000579672127 1128755392 /nfs/dbraw/zinc/75/53/92/1128755392.db2.gz XQYFVWFVXKFVQV-AWEZNQCLSA-N 1 2 299.361 3.535 20 0 CHADLO CC(C)(C)[C@@H]1C[N@@H+](Cc2ccccc2OC(F)F)CCO1 ZINC000579672127 1128755395 /nfs/dbraw/zinc/75/53/95/1128755395.db2.gz XQYFVWFVXKFVQV-AWEZNQCLSA-N 1 2 299.361 3.535 20 0 CHADLO Fc1c(F)c(F)c(C[N@@H+]2CC[C@@H](C3CC3)C2)c(F)c1F ZINC000764483983 1118094866 /nfs/dbraw/zinc/09/48/66/1118094866.db2.gz GVDDWNWZRJVPLT-MRVPVSSYSA-N 1 2 291.263 3.614 20 0 CHADLO Fc1c(F)c(F)c(C[N@H+]2CC[C@@H](C3CC3)C2)c(F)c1F ZINC000764483983 1118094872 /nfs/dbraw/zinc/09/48/72/1118094872.db2.gz GVDDWNWZRJVPLT-MRVPVSSYSA-N 1 2 291.263 3.614 20 0 CHADLO Fc1c(F)c(F)c(C[N@@H+]2CC[C@H](C3CC3)C2)c(F)c1F ZINC000764483984 1118095248 /nfs/dbraw/zinc/09/52/48/1118095248.db2.gz GVDDWNWZRJVPLT-QMMMGPOBSA-N 1 2 291.263 3.614 20 0 CHADLO Fc1c(F)c(F)c(C[N@H+]2CC[C@H](C3CC3)C2)c(F)c1F ZINC000764483984 1118095252 /nfs/dbraw/zinc/09/52/52/1118095252.db2.gz GVDDWNWZRJVPLT-QMMMGPOBSA-N 1 2 291.263 3.614 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc(F)c(CO)c1 ZINC001209879045 1118103153 /nfs/dbraw/zinc/10/31/53/1118103153.db2.gz JLAMFQSVWSOZCJ-UHFFFAOYSA-N 1 2 260.312 3.580 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccncc2Cl)CC2(CCCCC2)O1 ZINC000653903275 1118127633 /nfs/dbraw/zinc/12/76/33/1118127633.db2.gz CNOBQUUDJVPFCA-ZDUSSCGKSA-N 1 2 294.826 3.659 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccncc2Cl)CC2(CCCCC2)O1 ZINC000653903275 1118127636 /nfs/dbraw/zinc/12/76/36/1118127636.db2.gz CNOBQUUDJVPFCA-ZDUSSCGKSA-N 1 2 294.826 3.659 20 0 CHADLO COCCCCC[N@@H+]1Cc2ccc(Br)cc2C1 ZINC000765052174 1118131273 /nfs/dbraw/zinc/13/12/73/1118131273.db2.gz AGBVXRXCXUASGW-UHFFFAOYSA-N 1 2 298.224 3.581 20 0 CHADLO COCCCCC[N@H+]1Cc2ccc(Br)cc2C1 ZINC000765052174 1118131279 /nfs/dbraw/zinc/13/12/79/1118131279.db2.gz AGBVXRXCXUASGW-UHFFFAOYSA-N 1 2 298.224 3.581 20 0 CHADLO COc1cc[nH+]c(Nc2cc(Cl)cc(C)c2F)c1 ZINC001210023447 1118134115 /nfs/dbraw/zinc/13/41/15/1118134115.db2.gz FRQIIURUZWASRW-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO FC1(F)CC=C(Nc2ccc[nH+]c2N2CCCC2)CC1 ZINC001210028589 1118134310 /nfs/dbraw/zinc/13/43/10/1118134310.db2.gz GPMVJZYNXAOOMW-UHFFFAOYSA-N 1 2 279.334 3.797 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1coc2ccccc12 ZINC001239062472 1118136383 /nfs/dbraw/zinc/13/63/83/1118136383.db2.gz WXHHUMSATHEDNI-UHFFFAOYSA-N 1 2 252.317 3.869 20 0 CHADLO Cc1cc(Nc2cccc(O)c2C)ccc1[NH+](C)C ZINC001210043524 1118142481 /nfs/dbraw/zinc/14/24/81/1118142481.db2.gz OJNIONCSAFVULE-UHFFFAOYSA-N 1 2 256.349 3.819 20 0 CHADLO Cc1ccc(CO)c(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210043939 1118142972 /nfs/dbraw/zinc/14/29/72/1118142972.db2.gz IODHWSXLHMHMFG-UHFFFAOYSA-N 1 2 270.376 3.605 20 0 CHADLO Cc1cc(CNc2[nH+]c3ccccc3n2C2CC2)cc(C)n1 ZINC001203536590 1118149368 /nfs/dbraw/zinc/14/93/68/1118149368.db2.gz ZZALSZXMALKZSM-UHFFFAOYSA-N 1 2 292.386 3.995 20 0 CHADLO Cc1cc(N2CCc3sccc3C2)nc(C(C)C)[nH+]1 ZINC000014324598 1118164344 /nfs/dbraw/zinc/16/43/44/1118164344.db2.gz VSWCNNWETPLBBJ-UHFFFAOYSA-N 1 2 273.405 3.533 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1nnc(-c2ccco2)o1 ZINC000016434716 1118168406 /nfs/dbraw/zinc/16/84/06/1118168406.db2.gz YQTKLRWFGSBRGU-JTQLQIEISA-N 1 2 289.360 3.584 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1nnc(-c2ccco2)o1 ZINC000016434716 1118168410 /nfs/dbraw/zinc/16/84/10/1118168410.db2.gz YQTKLRWFGSBRGU-JTQLQIEISA-N 1 2 289.360 3.584 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)[N@H+](C)C/C(Cl)=C\Cl ZINC000255081461 1118190285 /nfs/dbraw/zinc/19/02/85/1118190285.db2.gz QVDIZENZTUYOLE-ZDIKFAODSA-N 1 2 274.191 3.574 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)[N@@H+](C)C/C(Cl)=C\Cl ZINC000255081461 1118190287 /nfs/dbraw/zinc/19/02/87/1118190287.db2.gz QVDIZENZTUYOLE-ZDIKFAODSA-N 1 2 274.191 3.574 20 0 CHADLO Cc1ccc2sc(C[NH2+][C@@H](C)c3ccccn3)nc2c1 ZINC000625318305 1118192888 /nfs/dbraw/zinc/19/28/88/1118192888.db2.gz OHWNPFFIFRSWLG-LBPRGKRZSA-N 1 2 283.400 3.851 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(O)ccc1Cl)c1nccs1 ZINC000625319669 1118193084 /nfs/dbraw/zinc/19/30/84/1118193084.db2.gz JUISEJIPJOMYQF-LBPRGKRZSA-N 1 2 282.796 3.743 20 0 CHADLO Oc1cccc(/C=[NH+]/CCCCOCc2ccccc2)c1O ZINC000064853129 1118194823 /nfs/dbraw/zinc/19/48/23/1118194823.db2.gz GISJZNFFZLEWQJ-CPNJWEJPSA-N 1 2 299.370 3.514 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc2[nH]ccc2c1)c1nc(C(C)(C)C)no1 ZINC000655742844 1118199848 /nfs/dbraw/zinc/19/98/48/1118199848.db2.gz MWCHFYHQRKIKHJ-LLVKDONJSA-N 1 2 298.390 3.699 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(C(C)(C)C)c1)c1csnn1 ZINC000660805184 1118203090 /nfs/dbraw/zinc/20/30/90/1118203090.db2.gz AHSMFPYWLARQAP-LLVKDONJSA-N 1 2 275.421 3.686 20 0 CHADLO Cc1cn2cccc(Nc3cccc(Cl)c3O)c2[nH+]1 ZINC001210215794 1118208542 /nfs/dbraw/zinc/20/85/42/1118208542.db2.gz NJLYKUMLJMTLOQ-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO CNc1ccc(Nc2cccc3cncc(C)c32)c[nH+]1 ZINC001203458258 1118221963 /nfs/dbraw/zinc/22/19/63/1118221963.db2.gz HYDJNCYYPZIQBC-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO CNc1ccc(Nc2ccc(-c3cccnc3)cc2)c[nH+]1 ZINC001203458384 1118222233 /nfs/dbraw/zinc/22/22/33/1118222233.db2.gz MGXSFTLWARULHB-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO Cc1cccc(Nc2c[nH+]c(C)c(N)c2)c1Br ZINC001210289958 1118236415 /nfs/dbraw/zinc/23/64/15/1118236415.db2.gz CBSNQCCGWYNIKE-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO CC[N@@H+](Cc1ccn(C(C)C)n1)Cc1cccs1 ZINC000172333988 1118240817 /nfs/dbraw/zinc/24/08/17/1118240817.db2.gz LNYUPTTUJFFKLD-UHFFFAOYSA-N 1 2 263.410 3.548 20 0 CHADLO CC[N@H+](Cc1ccn(C(C)C)n1)Cc1cccs1 ZINC000172333988 1118240821 /nfs/dbraw/zinc/24/08/21/1118240821.db2.gz LNYUPTTUJFFKLD-UHFFFAOYSA-N 1 2 263.410 3.548 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ccc(F)cc2F)C(C)C)[nH]c1C ZINC000579973880 1118267582 /nfs/dbraw/zinc/26/75/82/1118267582.db2.gz OYMXWOPRTJSORG-INIZCTEOSA-N 1 2 293.361 3.792 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)cs1)c1cscn1 ZINC000579980307 1118272035 /nfs/dbraw/zinc/27/20/35/1118272035.db2.gz SIMXHFOIANHTRF-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1O)C(C1CC1)C1CC1 ZINC000179923230 1128767620 /nfs/dbraw/zinc/76/76/20/1128767620.db2.gz IGZRNOZWZYMLCB-UHFFFAOYSA-N 1 2 265.784 3.666 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1O)C(C1CC1)C1CC1 ZINC000179923230 1128767624 /nfs/dbraw/zinc/76/76/24/1128767624.db2.gz IGZRNOZWZYMLCB-UHFFFAOYSA-N 1 2 265.784 3.666 20 0 CHADLO C=Cn1cc(C[NH2+]Cc2c(Cl)cccc2Cl)cn1 ZINC000683704970 1118297559 /nfs/dbraw/zinc/29/75/59/1118297559.db2.gz HSOCTPGNMRVRSH-UHFFFAOYSA-N 1 2 282.174 3.580 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cc(F)cc1F)c1ccco1 ZINC000340500476 1118307939 /nfs/dbraw/zinc/30/79/39/1118307939.db2.gz SXOVEWFZEGWABC-MRVPVSSYSA-N 1 2 255.239 3.548 20 0 CHADLO CC[N@H+](Cc1nc(C)c(C)o1)Cc1cccc(Cl)c1 ZINC000064141894 1118323289 /nfs/dbraw/zinc/32/32/89/1118323289.db2.gz KTZMOOAGHQABDC-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO CC[N@@H+](Cc1nc(C)c(C)o1)Cc1cccc(Cl)c1 ZINC000064141894 1118323291 /nfs/dbraw/zinc/32/32/91/1118323291.db2.gz KTZMOOAGHQABDC-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO CCOc1c(Cl)cccc1C[NH2+]Cc1csc(C)n1 ZINC000683766012 1118346411 /nfs/dbraw/zinc/34/64/11/1118346411.db2.gz QDXULSTZBQPDGE-UHFFFAOYSA-N 1 2 296.823 3.793 20 0 CHADLO CCCC[C@H](CC)C(=O)NCCCCNc1cccc[nH+]1 ZINC000068420143 1118361643 /nfs/dbraw/zinc/36/16/43/1118361643.db2.gz PUPVEYCFJOXQRP-HNNXBMFYSA-N 1 2 291.439 3.606 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccccc1 ZINC000072579545 1118374939 /nfs/dbraw/zinc/37/49/39/1118374939.db2.gz ZNULSYZGNUOYHW-OAHLLOKOSA-N 1 2 285.391 3.824 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(F)cccc2OC(F)F)o1 ZINC000072926907 1118376925 /nfs/dbraw/zinc/37/69/25/1118376925.db2.gz RKURFXLZUPFYST-UHFFFAOYSA-N 1 2 285.265 3.618 20 0 CHADLO CCC(C)(C)CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000073012923 1118378361 /nfs/dbraw/zinc/37/83/61/1118378361.db2.gz VOMZNYKONKMXHB-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO CCCc1noc(C[NH2+][C@H](c2ccc(F)cc2)C(C)C)n1 ZINC000073688781 1118383244 /nfs/dbraw/zinc/38/32/44/1118383244.db2.gz ZWIFVBODDONSDP-INIZCTEOSA-N 1 2 291.370 3.648 20 0 CHADLO COc1cc(Nc2ccc(-c3nc(C)no3)cc2)cc(C)[nH+]1 ZINC001210780347 1118384265 /nfs/dbraw/zinc/38/42/65/1118384265.db2.gz IEIBSKYOUPRZQM-UHFFFAOYSA-N 1 2 296.330 3.501 20 0 CHADLO COc1cc(Nc2c(N)ccc(Cl)c2C)cc(C)[nH+]1 ZINC001210780670 1118384816 /nfs/dbraw/zinc/38/48/16/1118384816.db2.gz LSIVRPJNGIKGDV-UHFFFAOYSA-N 1 2 277.755 3.686 20 0 CHADLO Cc1cccc(C)c1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000074240872 1118387240 /nfs/dbraw/zinc/38/72/40/1118387240.db2.gz JXWVZLDSMGPZQH-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)sc1Cl)c1ccncn1 ZINC000312761768 1118412750 /nfs/dbraw/zinc/41/27/50/1118412750.db2.gz IUNIYKRIRUTQRP-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO Cc1ccc(Nc2ccc(O)c(Br)c2)[nH+]c1 ZINC001211013229 1118439346 /nfs/dbraw/zinc/43/93/46/1118439346.db2.gz CIFRAPHHRQTPJM-UHFFFAOYSA-N 1 2 279.137 3.602 20 0 CHADLO Cc1c[nH+]c(CCNc2ncc(Cl)cc2F)c(C)c1 ZINC000656210919 1118445525 /nfs/dbraw/zinc/44/55/25/1118445525.db2.gz KLAUIJPWKOTZAG-UHFFFAOYSA-N 1 2 279.746 3.541 20 0 CHADLO Fc1ccccc1[C@H](Cc1ccccc1)[NH2+]Cc1cc[nH]n1 ZINC000123909114 1118450460 /nfs/dbraw/zinc/45/04/60/1118450460.db2.gz RTVOXQYSCNRGEV-SFHVURJKSA-N 1 2 295.361 3.622 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](Cc1cncnc1)C1CC1 ZINC000129881507 1118465322 /nfs/dbraw/zinc/46/53/22/1118465322.db2.gz XSAPBYKHBYJRLF-GFCCVEGCSA-N 1 2 287.794 3.856 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](Cc1cncnc1)C1CC1 ZINC000129881507 1118465324 /nfs/dbraw/zinc/46/53/24/1118465324.db2.gz XSAPBYKHBYJRLF-GFCCVEGCSA-N 1 2 287.794 3.856 20 0 CHADLO CCc1ccc[nH+]c1Nc1cc(C(F)(F)F)ccc1N ZINC001211150232 1118472893 /nfs/dbraw/zinc/47/28/93/1118472893.db2.gz UDRDRGPZGGYZJQ-UHFFFAOYSA-N 1 2 281.281 3.989 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1ccncc1O ZINC001140276604 1118477390 /nfs/dbraw/zinc/47/73/90/1118477390.db2.gz HLQUFBUCGTWWTE-WBVHZDCISA-N 1 2 292.423 3.550 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1ccncc1O ZINC001140276604 1118477392 /nfs/dbraw/zinc/47/73/92/1118477392.db2.gz HLQUFBUCGTWWTE-WBVHZDCISA-N 1 2 292.423 3.550 20 0 CHADLO CCC(CC)N(C(=O)NCc1c[nH+]c(C)cc1C)C1CC1 ZINC000656253940 1118480589 /nfs/dbraw/zinc/48/05/89/1118480589.db2.gz JYKIBYGWXOBGST-UHFFFAOYSA-N 1 2 289.423 3.561 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@H](C)OC3(CCCCC3)C2)s1 ZINC000656281076 1118496295 /nfs/dbraw/zinc/49/62/95/1118496295.db2.gz RCDABNLGIXQHBB-ZDUSSCGKSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@H](C)OC3(CCCCC3)C2)s1 ZINC000656281076 1118496297 /nfs/dbraw/zinc/49/62/97/1118496297.db2.gz RCDABNLGIXQHBB-ZDUSSCGKSA-N 1 2 294.464 3.629 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc(C)c(F)c(C)c1)C2 ZINC001143381662 1118516777 /nfs/dbraw/zinc/51/67/77/1118516777.db2.gz JUKRKEBLGIEBPW-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc(C)c(F)c(C)c1)C2 ZINC001143381662 1118516779 /nfs/dbraw/zinc/51/67/79/1118516779.db2.gz JUKRKEBLGIEBPW-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(Br)nc2C)c1C ZINC001213524816 1118528096 /nfs/dbraw/zinc/52/80/96/1118528096.db2.gz FOFXVLOQWUINOR-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C(F)(F)F)[C@H](C)[C@H](C)O1 ZINC000352412363 1118533534 /nfs/dbraw/zinc/53/35/34/1118533534.db2.gz FDCMYEJJLKZWFM-UTUOFQBUSA-N 1 2 287.325 3.703 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)[C@H](C)[C@H](C)O1 ZINC000352412363 1118533536 /nfs/dbraw/zinc/53/35/36/1118533536.db2.gz FDCMYEJJLKZWFM-UTUOFQBUSA-N 1 2 287.325 3.703 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ncccn2)cc(C)c1F ZINC001143387476 1118535877 /nfs/dbraw/zinc/53/58/77/1118535877.db2.gz JOLQUHJTXLCWRR-HNNXBMFYSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ncccn2)cc(C)c1F ZINC001143387476 1118535879 /nfs/dbraw/zinc/53/58/79/1118535879.db2.gz JOLQUHJTXLCWRR-HNNXBMFYSA-N 1 2 285.366 3.570 20 0 CHADLO CC(C)C[C@H]1OCCC[C@@H]1[NH2+]Cc1cccc(Cl)c1O ZINC000187679259 1118541568 /nfs/dbraw/zinc/54/15/68/1118541568.db2.gz FTYMCRWGKUHXMX-LSDHHAIUSA-N 1 2 297.826 3.729 20 0 CHADLO COC(=O)c1c(F)ccc(Nc2c[nH+]cc(C)c2C)c1F ZINC001213532928 1118551420 /nfs/dbraw/zinc/55/14/20/1118551420.db2.gz WUUCHVCZBOFIHZ-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO CCCOc1cccc2c(NCC3=CCCOC3)cc[nH+]c12 ZINC000340921749 1118555548 /nfs/dbraw/zinc/55/55/48/1118555548.db2.gz AOMSLFMTKRYSAU-UHFFFAOYSA-N 1 2 298.386 3.782 20 0 CHADLO COC(=O)c1ccc([C@@H](C)[N@@H+]2Cc3ccccc3[C@@H]2C)o1 ZINC000340921326 1118556140 /nfs/dbraw/zinc/55/61/40/1118556140.db2.gz QESIIBKXIIGHDR-NWDGAFQWSA-N 1 2 285.343 3.704 20 0 CHADLO COC(=O)c1ccc([C@@H](C)[N@H+]2Cc3ccccc3[C@@H]2C)o1 ZINC000340921326 1118556141 /nfs/dbraw/zinc/55/61/41/1118556141.db2.gz QESIIBKXIIGHDR-NWDGAFQWSA-N 1 2 285.343 3.704 20 0 CHADLO C[C@H](c1cn(-c2ccccc2)nn1)[N@H+](C)Cc1cccs1 ZINC000471647662 1118573426 /nfs/dbraw/zinc/57/34/26/1118573426.db2.gz HUAUETCCKBIWFK-CYBMUJFWSA-N 1 2 298.415 3.522 20 0 CHADLO C[C@H](c1cn(-c2ccccc2)nn1)[N@@H+](C)Cc1cccs1 ZINC000471647662 1118573429 /nfs/dbraw/zinc/57/34/29/1118573429.db2.gz HUAUETCCKBIWFK-CYBMUJFWSA-N 1 2 298.415 3.522 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(F)cc2F)nc(C2CC2)[nH+]1 ZINC000341001308 1118576638 /nfs/dbraw/zinc/57/66/38/1118576638.db2.gz KTRHHAQOYUSCHR-JTQLQIEISA-N 1 2 289.329 3.536 20 0 CHADLO C[C@@H]1C[N@H+](C/C(Cl)=C/Cl)Cc2ccccc21 ZINC000763476437 1128787134 /nfs/dbraw/zinc/78/71/34/1128787134.db2.gz MXNLCSNNRBBAMF-GWHHBTOMSA-N 1 2 256.176 3.925 20 0 CHADLO C[C@@H]1C[N@@H+](C/C(Cl)=C/Cl)Cc2ccccc21 ZINC000763476437 1128787136 /nfs/dbraw/zinc/78/71/36/1128787136.db2.gz MXNLCSNNRBBAMF-GWHHBTOMSA-N 1 2 256.176 3.925 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)cc1F ZINC000348666650 1118587212 /nfs/dbraw/zinc/58/72/12/1118587212.db2.gz BLZWHTNADFALLN-MRXNPFEDSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1ccc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)cc1F ZINC000348666650 1118587214 /nfs/dbraw/zinc/58/72/14/1118587214.db2.gz BLZWHTNADFALLN-MRXNPFEDSA-N 1 2 273.355 3.584 20 0 CHADLO COc1ccc2cc(C[NH2+][C@@H](C)C(F)F)ccc2c1 ZINC000389933818 1118589533 /nfs/dbraw/zinc/58/95/33/1118589533.db2.gz HBKXTRYKFFPKRE-JTQLQIEISA-N 1 2 265.303 3.592 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(F)F)c1ccc(OC(F)F)cc1 ZINC000389954135 1118590273 /nfs/dbraw/zinc/59/02/73/1118590273.db2.gz YPTCDRJGBYHCQD-HTQZYQBOSA-N 1 2 265.250 3.592 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2c3ccccc3[nH]c21)C(F)F ZINC000389954984 1118590288 /nfs/dbraw/zinc/59/02/88/1118590288.db2.gz WNHINOWWUFIPCM-TVQRCGJNSA-N 1 2 264.319 3.789 20 0 CHADLO Cc1cn(C)nc1C[NH2+][C@H](C)c1cccc(Cl)c1Cl ZINC000723524034 1118614953 /nfs/dbraw/zinc/61/49/53/1118614953.db2.gz FWGJIENPTHRIDF-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO FC(F)(F)CC1C[NH+](Cc2cnc(C3CCC3)s2)C1 ZINC000656686320 1118635501 /nfs/dbraw/zinc/63/55/01/1118635501.db2.gz DCMHAMREAIDJOU-UHFFFAOYSA-N 1 2 290.354 3.795 20 0 CHADLO Fc1ccc(C[NH+]2CC3(CC(F)C3)C2)cc1C(F)(F)F ZINC000656695282 1118638180 /nfs/dbraw/zinc/63/81/80/1118638180.db2.gz FXGHJRFORHTORS-UHFFFAOYSA-N 1 2 291.263 3.778 20 0 CHADLO COc1ccnc(C[NH2+][C@H](C)c2ccccc2Cl)c1F ZINC000656690492 1118638215 /nfs/dbraw/zinc/63/82/15/1118638215.db2.gz VYPQVDSKMLVITE-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO Clc1cccc2c(NCCCn3cc[nH+]c3)ccnc12 ZINC000341286387 1118653402 /nfs/dbraw/zinc/65/34/02/1118653402.db2.gz KQGMCSBAVRNART-UHFFFAOYSA-N 1 2 286.766 3.587 20 0 CHADLO CC(=O)Nc1ccccc1CNc1c[nH+]cc2c1CCCC2 ZINC000656776959 1118655473 /nfs/dbraw/zinc/65/54/73/1118655473.db2.gz WFLMHAWANQAYEC-UHFFFAOYSA-N 1 2 295.386 3.531 20 0 CHADLO COc1ccc(CNc2c[nH+]cc3c2CCCC3)cc1OC ZINC000656776924 1118655922 /nfs/dbraw/zinc/65/59/22/1118655922.db2.gz VNBXYHNCCPMOME-UHFFFAOYSA-N 1 2 298.386 3.590 20 0 CHADLO COc1cccc(CNc2c[nH+]cc3c2CCCC3)c1OC ZINC000656776857 1118656032 /nfs/dbraw/zinc/65/60/32/1118656032.db2.gz UVMFPIHFQOPDCI-UHFFFAOYSA-N 1 2 298.386 3.590 20 0 CHADLO Nc1cccc(C[NH2+][C@@H](CCc2ccccc2)C(F)F)c1 ZINC000656786024 1118656902 /nfs/dbraw/zinc/65/69/02/1118656902.db2.gz TYXZDFYROWVGQZ-INIZCTEOSA-N 1 2 290.357 3.625 20 0 CHADLO C[C@@H]([NH2+]Cc1ccncc1Cl)c1c(F)cccc1F ZINC000341288012 1118657467 /nfs/dbraw/zinc/65/74/67/1118657467.db2.gz NPOHINLODBMRCK-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO CC(C)(C)CCCCC(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000341306296 1118663422 /nfs/dbraw/zinc/66/34/22/1118663422.db2.gz RICQDFHJVSSQFM-UHFFFAOYSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=Cc3cccc(F)c3)cc2[nH+]1 ZINC000051224492 1118696041 /nfs/dbraw/zinc/69/60/41/1118696041.db2.gz YGWDCBYZWXCGCE-YVMONPNESA-N 1 2 295.317 3.662 20 0 CHADLO Cc1cc(N(C)CC2CCCCC2)nc(C2CC2)[nH+]1 ZINC000341449830 1118710172 /nfs/dbraw/zinc/71/01/72/1118710172.db2.gz GEWGVSZGIFPSFJ-UHFFFAOYSA-N 1 2 259.397 3.679 20 0 CHADLO CCS[C@@H]1CCCCN(c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000341488035 1118716301 /nfs/dbraw/zinc/71/63/01/1118716301.db2.gz QSZUHFOTSZIZEI-CQSZACIVSA-N 1 2 291.464 3.774 20 0 CHADLO COc1cc[nH+]cc1NCC1CCC(C(F)(F)F)CC1 ZINC000341557188 1118740744 /nfs/dbraw/zinc/74/07/44/1118740744.db2.gz VHPGPXRNOXUPCY-UHFFFAOYSA-N 1 2 288.313 3.871 20 0 CHADLO Cc1[nH]c(CN2CCC[C@H]2c2cccc(F)c2)[nH+]c1C ZINC000628135248 1118741488 /nfs/dbraw/zinc/74/14/88/1118741488.db2.gz QLHYJJQBKQWUJY-HNNXBMFYSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2cccc(F)c2)[nH]c1C ZINC000628135248 1118741493 /nfs/dbraw/zinc/74/14/93/1118741493.db2.gz QLHYJJQBKQWUJY-HNNXBMFYSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2cccc(F)c2)[nH]c1C ZINC000628135248 1118741495 /nfs/dbraw/zinc/74/14/95/1118741495.db2.gz QLHYJJQBKQWUJY-HNNXBMFYSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3sccc32)nc(C2CC2)[nH+]1 ZINC000341585613 1118747892 /nfs/dbraw/zinc/74/78/92/1118747892.db2.gz NWQKIBKSVPIXRA-CYBMUJFWSA-N 1 2 285.416 3.635 20 0 CHADLO CC[N@H+](Cc1nccn1C1CC1)[C@H](C)c1cccc(O)c1 ZINC000891713924 1118749235 /nfs/dbraw/zinc/74/92/35/1118749235.db2.gz PRDYSPKXXHQKNS-CYBMUJFWSA-N 1 2 285.391 3.507 20 0 CHADLO CC[N@@H+](Cc1nccn1C1CC1)[C@H](C)c1cccc(O)c1 ZINC000891713924 1118749237 /nfs/dbraw/zinc/74/92/37/1118749237.db2.gz PRDYSPKXXHQKNS-CYBMUJFWSA-N 1 2 285.391 3.507 20 0 CHADLO Cc1ccc2[nH+]c(CSc3cccc(O)c3)cn2c1 ZINC000130938168 1118757908 /nfs/dbraw/zinc/75/79/08/1118757908.db2.gz KGRPUMBRMMAEDN-UHFFFAOYSA-N 1 2 270.357 3.641 20 0 CHADLO CC[C@H]([NH2+]C/C=C\c1ccc(F)c(F)c1)C(F)F ZINC000449360056 1118794363 /nfs/dbraw/zinc/79/43/63/1118794363.db2.gz IRCVOSDFWMHGBM-MVZIDQBPSA-N 1 2 261.262 3.611 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@]2(C)CCCc3ccccc32)o1 ZINC000341680479 1118795329 /nfs/dbraw/zinc/79/53/29/1118795329.db2.gz DEKZWWBYLFVVSC-KRWDZBQOSA-N 1 2 285.391 3.534 20 0 CHADLO Cn1c[nH+]cc1CSCc1ccc(Br)cc1 ZINC000610646551 1118804132 /nfs/dbraw/zinc/80/41/32/1118804132.db2.gz UAEFJRJUPSXXAN-UHFFFAOYSA-N 1 2 297.221 3.616 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1OC(F)F)c1ccccn1 ZINC000090177344 1118805285 /nfs/dbraw/zinc/80/52/85/1118805285.db2.gz FEQMEWMTYZDUHP-JTQLQIEISA-N 1 2 296.292 3.673 20 0 CHADLO c1cc(C[NH2+][C@@H](COc2ccccc2)c2ccccc2)no1 ZINC000341705672 1118805303 /nfs/dbraw/zinc/80/53/03/1118805303.db2.gz JIAURAHAVZLIFJ-SFHVURJKSA-N 1 2 294.354 3.585 20 0 CHADLO Cc1ccc2[nH+]cc(CN(CC(C)C)CC(F)(F)F)n2c1 ZINC000092030761 1118839466 /nfs/dbraw/zinc/83/94/66/1118839466.db2.gz CPGHBTVZIDAUPT-UHFFFAOYSA-N 1 2 299.340 3.663 20 0 CHADLO COc1ccccc1/C=C/C[N@@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000092729279 1118850453 /nfs/dbraw/zinc/85/04/53/1118850453.db2.gz SJDWEXXEUJADRX-BVBGJJFLSA-N 1 2 297.402 3.659 20 0 CHADLO COc1ccccc1/C=C/C[N@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000092729279 1118850457 /nfs/dbraw/zinc/85/04/57/1118850457.db2.gz SJDWEXXEUJADRX-BVBGJJFLSA-N 1 2 297.402 3.659 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1cnc(C3CC3)s1)CC2 ZINC000093454328 1118863342 /nfs/dbraw/zinc/86/33/42/1118863342.db2.gz BTVNBYLPFZOEJJ-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1cnc(C3CC3)s1)CC2 ZINC000093454328 1118863347 /nfs/dbraw/zinc/86/33/47/1118863347.db2.gz BTVNBYLPFZOEJJ-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO CCOc1cc(C)ccc1C[NH2+][C@@H](C)c1cscn1 ZINC000535777140 1118884864 /nfs/dbraw/zinc/88/48/64/1118884864.db2.gz MXVJRGWVXKQNFU-LBPRGKRZSA-N 1 2 276.405 3.701 20 0 CHADLO C[C@H](CC(=O)Nc1cc(Cl)ccc1Cl)n1cc[nH+]c1 ZINC001349552286 1118891908 /nfs/dbraw/zinc/89/19/08/1118891908.db2.gz ZFRLVQMTZPYEIJ-SECBINFHSA-N 1 2 298.173 3.780 20 0 CHADLO COc1ccc(F)c(F)c1C[N@H+](C)Cc1ccc(C)cc1 ZINC001143420244 1118916066 /nfs/dbraw/zinc/91/60/66/1118916066.db2.gz NAYFZKKSPZRAPU-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(F)c(F)c1C[N@@H+](C)Cc1ccc(C)cc1 ZINC001143420244 1118916068 /nfs/dbraw/zinc/91/60/68/1118916068.db2.gz NAYFZKKSPZRAPU-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccccc1[C@H]([NH2+]Cc1ccncc1)c1ccco1 ZINC000151124536 1118921658 /nfs/dbraw/zinc/92/16/58/1118921658.db2.gz KMEUHOKQWMSBEP-SFHVURJKSA-N 1 2 294.354 3.562 20 0 CHADLO Cc1cc(C)nc(C[N@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)c1 ZINC001167504481 1118944748 /nfs/dbraw/zinc/94/47/48/1118944748.db2.gz LRQSWDLONPKXNV-APWZRJJASA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cc(C)nc(C[N@@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)c1 ZINC001167504481 1118944750 /nfs/dbraw/zinc/94/47/50/1118944750.db2.gz LRQSWDLONPKXNV-APWZRJJASA-N 1 2 296.414 3.660 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1cccc2ccsc21 ZINC000342287615 1118967506 /nfs/dbraw/zinc/96/75/06/1118967506.db2.gz GFSRMQUQGCVQSU-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)[nH]c1C ZINC000628119368 1128813197 /nfs/dbraw/zinc/81/31/97/1128813197.db2.gz OPGQMXMSMSIYOP-UHFFFAOYSA-N 1 2 285.435 3.956 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C(C)(C)C)cc2)[nH]c1C ZINC000628119368 1128813205 /nfs/dbraw/zinc/81/32/05/1128813205.db2.gz OPGQMXMSMSIYOP-UHFFFAOYSA-N 1 2 285.435 3.956 20 0 CHADLO Cc1[nH]c(CN(C)Cc2ccc(C(C)(C)C)cc2)[nH+]c1C ZINC000628119368 1128813209 /nfs/dbraw/zinc/81/32/09/1128813209.db2.gz OPGQMXMSMSIYOP-UHFFFAOYSA-N 1 2 285.435 3.956 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+]2Cc2cnn(C3CCC3)c2)c1 ZINC000892133200 1118981865 /nfs/dbraw/zinc/98/18/65/1118981865.db2.gz KTIYRHRPTMXTHZ-KRWDZBQOSA-N 1 2 285.366 3.694 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+]2Cc2cnn(C3CCC3)c2)c1 ZINC000892133200 1118981866 /nfs/dbraw/zinc/98/18/66/1118981866.db2.gz KTIYRHRPTMXTHZ-KRWDZBQOSA-N 1 2 285.366 3.694 20 0 CHADLO CCc1oc2ccccc2c1CN(C)Cc1[nH]c(C)c(C)[nH+]1 ZINC000628123739 1128813790 /nfs/dbraw/zinc/81/37/90/1128813790.db2.gz CKKNIEVZPXQWPC-UHFFFAOYSA-N 1 2 297.402 3.967 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1nc(C)c(C)[nH]1 ZINC000628123739 1128813795 /nfs/dbraw/zinc/81/37/95/1128813795.db2.gz CKKNIEVZPXQWPC-UHFFFAOYSA-N 1 2 297.402 3.967 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1nc(C)c(C)[nH]1 ZINC000628123739 1128813799 /nfs/dbraw/zinc/81/37/99/1128813799.db2.gz CKKNIEVZPXQWPC-UHFFFAOYSA-N 1 2 297.402 3.967 20 0 CHADLO CC(C)n1ccnc1C[NH2+]Cc1cc2ccccc2o1 ZINC000657313457 1118990873 /nfs/dbraw/zinc/99/08/73/1118990873.db2.gz RJYIJFXBMWFQQF-UHFFFAOYSA-N 1 2 269.348 3.500 20 0 CHADLO C[C@@H](CNc1cc[nH+]c2c(Cl)cccc12)C[C@H](C)O ZINC000342380901 1118995974 /nfs/dbraw/zinc/99/59/74/1118995974.db2.gz PAUKNZQEDXDQRI-MNOVXSKESA-N 1 2 278.783 3.707 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)n1 ZINC000628132074 1128814361 /nfs/dbraw/zinc/81/43/61/1128814361.db2.gz UZAOIRSASFCRIR-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)n1 ZINC000628132074 1128814363 /nfs/dbraw/zinc/81/43/63/1128814363.db2.gz UZAOIRSASFCRIR-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1[nH]c(CNc2ccc3oc(C(C)C)nc3c2)[nH+]c1C ZINC000657322538 1118999816 /nfs/dbraw/zinc/99/98/16/1118999816.db2.gz RVAIKQSYIXNGLD-UHFFFAOYSA-N 1 2 284.363 3.903 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(-c3scnc3C)cc2)no1 ZINC000657329987 1119004535 /nfs/dbraw/zinc/00/45/35/1119004535.db2.gz PZIKBCZIXVZCHG-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO Cc1[nH]c(CN(Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)[nH+]c1C ZINC000628131404 1128815056 /nfs/dbraw/zinc/81/50/56/1128815056.db2.gz XTJWCCYZPDBCED-BDJLRTHQSA-N 1 2 299.418 3.908 20 0 CHADLO C[C@@H]1CSCCC[N@@H+]1Cc1c(Cl)cncc1Cl ZINC000934296969 1119012839 /nfs/dbraw/zinc/01/28/39/1119012839.db2.gz WEMLJTVKECIURH-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CSCCC[N@H+]1Cc1c(Cl)cncc1Cl ZINC000934296969 1119012842 /nfs/dbraw/zinc/01/28/42/1119012842.db2.gz WEMLJTVKECIURH-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO c1nc(C[NH2+]Cc2cccc(C3CCCC3)c2)co1 ZINC000657355632 1119023401 /nfs/dbraw/zinc/02/34/01/1119023401.db2.gz XOLFPSAJHRLYMO-UHFFFAOYSA-N 1 2 256.349 3.622 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@H+](C)Cc2nccn2C)cc1 ZINC000263800228 1119040099 /nfs/dbraw/zinc/04/00/99/1119040099.db2.gz NXFJPHXBCUKYCP-AWEZNQCLSA-N 1 2 271.408 3.737 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@@H+](C)Cc2nccn2C)cc1 ZINC000263800228 1119040102 /nfs/dbraw/zinc/04/01/02/1119040102.db2.gz NXFJPHXBCUKYCP-AWEZNQCLSA-N 1 2 271.408 3.737 20 0 CHADLO C=C(Cl)C[N@H+](Cc1cccc(F)c1)C[C@H]1CCCO1 ZINC000051557759 1119043713 /nfs/dbraw/zinc/04/37/13/1119043713.db2.gz RKIAHYGQVWVSNE-OAHLLOKOSA-N 1 2 283.774 3.559 20 0 CHADLO C=C(Cl)C[N@@H+](Cc1cccc(F)c1)C[C@H]1CCCO1 ZINC000051557759 1119043715 /nfs/dbraw/zinc/04/37/15/1119043715.db2.gz RKIAHYGQVWVSNE-OAHLLOKOSA-N 1 2 283.774 3.559 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H](F)C2)cc1OC(F)(F)F ZINC001143445092 1119047193 /nfs/dbraw/zinc/04/71/93/1119047193.db2.gz ZKBDZSYQXOQMBP-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H](F)C2)cc1OC(F)(F)F ZINC001143445092 1119047196 /nfs/dbraw/zinc/04/71/96/1119047196.db2.gz ZKBDZSYQXOQMBP-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)cc1 ZINC000353395697 1128818590 /nfs/dbraw/zinc/81/85/90/1128818590.db2.gz QWDBCFPHFVHVAY-CXAGYDPISA-N 1 2 299.418 3.925 20 0 CHADLO Clc1ccc(NCc2cc(-n3cc[nH+]c3)cs2)nc1 ZINC000342524431 1119067017 /nfs/dbraw/zinc/06/70/17/1119067017.db2.gz LFKUWRDMQHNBDT-UHFFFAOYSA-N 1 2 290.779 3.594 20 0 CHADLO CSc1ccccc1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC000511109752 1119067703 /nfs/dbraw/zinc/06/77/03/1119067703.db2.gz KZMVRRYOMSMZMT-QGZVFWFLSA-N 1 2 299.439 3.982 20 0 CHADLO CSc1ccccc1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC000511109752 1119067708 /nfs/dbraw/zinc/06/77/08/1119067708.db2.gz KZMVRRYOMSMZMT-QGZVFWFLSA-N 1 2 299.439 3.982 20 0 CHADLO COc1cc(NCc2[nH]c(C)c(C)[nH+]2)ccc1C(F)(F)F ZINC000657454172 1119107751 /nfs/dbraw/zinc/10/77/51/1119107751.db2.gz JQDJUXHAPXKWTP-UHFFFAOYSA-N 1 2 299.296 3.666 20 0 CHADLO CC1=CCC[C@@H](C)[C@H]1C[NH2+]CC(F)(F)Br ZINC000309143385 1119119494 /nfs/dbraw/zinc/11/94/94/1119119494.db2.gz UHAMXMAZZJCITM-ZJUUUORDSA-N 1 2 282.172 3.556 20 0 CHADLO CC1=CCC[C@H](C)[C@@H]1C[NH2+]CC(F)(F)Br ZINC000309143384 1119119605 /nfs/dbraw/zinc/11/96/05/1119119605.db2.gz UHAMXMAZZJCITM-VHSXEESVSA-N 1 2 282.172 3.556 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3c(c2)NCC3)cc1 ZINC001213160881 1119121284 /nfs/dbraw/zinc/12/12/84/1119121284.db2.gz PUBAQFGCTAYBHL-UHFFFAOYSA-N 1 2 267.376 3.854 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3c(c2)NCC3)cc1 ZINC001213160881 1119121285 /nfs/dbraw/zinc/12/12/85/1119121285.db2.gz PUBAQFGCTAYBHL-UHFFFAOYSA-N 1 2 267.376 3.854 20 0 CHADLO CCc1cccc2cc(C[NH2+]Cc3ncccc3OC)oc21 ZINC000657483998 1119125178 /nfs/dbraw/zinc/12/51/78/1119125178.db2.gz IDFMUMXZTYZXOM-UHFFFAOYSA-N 1 2 296.370 3.689 20 0 CHADLO c1sc(C[N@@H+]2CCc3ccccc3C2)nc1C1CC1 ZINC000342687197 1119126242 /nfs/dbraw/zinc/12/62/42/1119126242.db2.gz AWVWTJBUCZKPDE-UHFFFAOYSA-N 1 2 270.401 3.579 20 0 CHADLO c1sc(C[N@H+]2CCc3ccccc3C2)nc1C1CC1 ZINC000342687197 1119126243 /nfs/dbraw/zinc/12/62/43/1119126243.db2.gz AWVWTJBUCZKPDE-UHFFFAOYSA-N 1 2 270.401 3.579 20 0 CHADLO Cc1c[nH]c(C[NH2+]C2(c3ccccc3Cl)CCC2)n1 ZINC000657501268 1119134588 /nfs/dbraw/zinc/13/45/88/1119134588.db2.gz VSTMCYSSRQPTOF-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO Cc1nc[nH]c1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC001204592989 1119141588 /nfs/dbraw/zinc/14/15/88/1119141588.db2.gz DHBZWPRARJGGGX-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1nc[nH]c1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC001204592989 1119141589 /nfs/dbraw/zinc/14/15/89/1119141589.db2.gz DHBZWPRARJGGGX-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1[nH]c(CN2CC[C@@H](C)C[C@@H]2c2ccco2)[nH+]c1C ZINC000628186790 1128825408 /nfs/dbraw/zinc/82/54/08/1128825408.db2.gz WMERDZGGFHMGES-BXUZGUMPSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)[nH]c1C ZINC000628186790 1128825413 /nfs/dbraw/zinc/82/54/13/1128825413.db2.gz WMERDZGGFHMGES-BXUZGUMPSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)[nH]c1C ZINC000628186790 1128825417 /nfs/dbraw/zinc/82/54/17/1128825417.db2.gz WMERDZGGFHMGES-BXUZGUMPSA-N 1 2 273.380 3.593 20 0 CHADLO Clc1ccc2c(ccn2CCOc2cc[nH+]cc2)c1 ZINC000431479095 1119173818 /nfs/dbraw/zinc/17/38/18/1119173818.db2.gz VWFZUKWADSRCRJ-UHFFFAOYSA-N 1 2 272.735 3.769 20 0 CHADLO COCc1nc(C[N@@H+]2CCC[C@H]2c2ccsc2)cs1 ZINC000075626322 1119177869 /nfs/dbraw/zinc/17/78/69/1119177869.db2.gz XGZKZZLBXWXBLI-ZDUSSCGKSA-N 1 2 294.445 3.688 20 0 CHADLO COCc1nc(C[N@H+]2CCC[C@H]2c2ccsc2)cs1 ZINC000075626322 1119177872 /nfs/dbraw/zinc/17/78/72/1119177872.db2.gz XGZKZZLBXWXBLI-ZDUSSCGKSA-N 1 2 294.445 3.688 20 0 CHADLO COc1cc([C@@H](C)[NH2+]Cc2nncs2)cc2ccccc21 ZINC000934631169 1119201769 /nfs/dbraw/zinc/20/17/69/1119201769.db2.gz RQXBDFNHGVXKSF-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO C[C@H]1CCCN1c1cc(N2CCC[C@@H]2C)c2ccncc2[nH+]1 ZINC000342848456 1119207605 /nfs/dbraw/zinc/20/76/05/1119207605.db2.gz LPVYCWLAFKOHQI-KBPBESRZSA-N 1 2 296.418 3.607 20 0 CHADLO COc1cc[nH+]c(Nc2cc(SC)cc(F)c2OC)c1 ZINC001216579552 1119210039 /nfs/dbraw/zinc/21/00/39/1119210039.db2.gz FHDAKQUKHUHBHI-UHFFFAOYSA-N 1 2 294.351 3.703 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(F)c(OC(F)(F)F)c1 ZINC001143447750 1119214240 /nfs/dbraw/zinc/21/42/40/1119214240.db2.gz GNPDKYFDMIKRJM-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(F)c(OC(F)(F)F)c1 ZINC001143447750 1119214238 /nfs/dbraw/zinc/21/42/38/1119214238.db2.gz GNPDKYFDMIKRJM-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000078507119 1119231737 /nfs/dbraw/zinc/23/17/37/1119231737.db2.gz HXHATLXFEPZEPL-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000078507119 1119231738 /nfs/dbraw/zinc/23/17/38/1119231738.db2.gz HXHATLXFEPZEPL-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1cc(NCc2ccccc2F)ccc1[NH+](C)C ZINC000120174350 1119232505 /nfs/dbraw/zinc/23/25/05/1119232505.db2.gz YZKWVSHGYSQOPR-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO FC(F)(F)C1CCC(COc2cc[nH+]cc2)CC1 ZINC000431501439 1119243387 /nfs/dbraw/zinc/24/33/87/1119243387.db2.gz FLGRCCFJMCXFAW-UHFFFAOYSA-N 1 2 259.271 3.829 20 0 CHADLO CCc1ccc([C@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000431512841 1119267094 /nfs/dbraw/zinc/26/70/94/1119267094.db2.gz INZXWISRXTVOLN-INIZCTEOSA-N 1 2 252.361 3.638 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)N(Cc1[nH]c(C)c(C)[nH+]1)[C@@H]2C ZINC000628236907 1128834548 /nfs/dbraw/zinc/83/45/48/1128834548.db2.gz UMBKZEUPNCPRQF-SMDDNHRTSA-N 1 2 299.418 3.543 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@@H+](Cc1nc(C)c(C)[nH]1)[C@@H]2C ZINC000628236907 1128834555 /nfs/dbraw/zinc/83/45/55/1128834555.db2.gz UMBKZEUPNCPRQF-SMDDNHRTSA-N 1 2 299.418 3.543 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@H+](Cc1nc(C)c(C)[nH]1)[C@@H]2C ZINC000628236907 1128834561 /nfs/dbraw/zinc/83/45/61/1128834561.db2.gz UMBKZEUPNCPRQF-SMDDNHRTSA-N 1 2 299.418 3.543 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+][C@@H](C)c1nccn1CC ZINC000449563410 1119292453 /nfs/dbraw/zinc/29/24/53/1119292453.db2.gz UUXVINPBBSRDMJ-LBPRGKRZSA-N 1 2 291.826 3.970 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H]1CCCc2[nH]ncc21)c1ccc(F)cc1 ZINC000353444896 1128836818 /nfs/dbraw/zinc/83/68/18/1128836818.db2.gz GUHUNOSKAOWREK-WBVHZDCISA-N 1 2 287.382 3.913 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)c1ccccn1)c1cncs1 ZINC000154615411 1119315436 /nfs/dbraw/zinc/31/54/36/1119315436.db2.gz JSSFWJCXRUFRNX-SUMWQHHRSA-N 1 2 295.411 3.978 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1Cl)c1cc2n(n1)CCCC2 ZINC000647280120 1119316814 /nfs/dbraw/zinc/31/68/14/1119316814.db2.gz WMLZAQMGLAPBKM-GFCCVEGCSA-N 1 2 289.810 3.724 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H]1COCc2cccc(Cl)c21 ZINC000926469569 1119320538 /nfs/dbraw/zinc/32/05/38/1119320538.db2.gz FJGPHWRYAWISSZ-CYBMUJFWSA-N 1 2 277.751 3.603 20 0 CHADLO CC1=CC[N@H+](Cc2ccc(F)c(Br)c2)CC1 ZINC000535968170 1119320714 /nfs/dbraw/zinc/32/07/14/1119320714.db2.gz FNSYJWWHARZARB-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CC[N@@H+](Cc2ccc(F)c(Br)c2)CC1 ZINC000535968170 1119320717 /nfs/dbraw/zinc/32/07/17/1119320717.db2.gz FNSYJWWHARZARB-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO c1csc([C@@H]([NH2+][C@@H]2CCCc3[nH]ncc32)C2CC2)c1 ZINC000353449246 1128838064 /nfs/dbraw/zinc/83/80/64/1128838064.db2.gz QLAHUZPKZOQXOU-DOMZBBRYSA-N 1 2 273.405 3.590 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1c(F)cccc1Cl ZINC000092328006 1119328452 /nfs/dbraw/zinc/32/84/52/1119328452.db2.gz OJYPCSOJZXTMAI-QMMMGPOBSA-N 1 2 282.746 3.935 20 0 CHADLO O=c1[nH]cc(Cl)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213087741 1119332669 /nfs/dbraw/zinc/33/26/69/1119332669.db2.gz YIROZVYZYORNEE-UHFFFAOYSA-N 1 2 286.722 3.574 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]C[C@@H]2CCC[N@@H+]2CC)cc1 ZINC000535990246 1119337475 /nfs/dbraw/zinc/33/74/75/1119337475.db2.gz WZEUNXLWQFYYIT-HOCLYGCPSA-N 1 2 292.492 3.934 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]C[C@@H]2CCC[N@H+]2CC)cc1 ZINC000535990246 1119337477 /nfs/dbraw/zinc/33/74/77/1119337477.db2.gz WZEUNXLWQFYYIT-HOCLYGCPSA-N 1 2 292.492 3.934 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+]Cc1ccc2cc[nH]c2n1 ZINC000353228070 1119347710 /nfs/dbraw/zinc/34/77/10/1119347710.db2.gz PYCPQRBSDCEGLB-UHFFFAOYSA-N 1 2 289.741 3.645 20 0 CHADLO C[C@H]([NH2+][C@H]1CSc2ccccc21)c1cc2n(n1)CCCC2 ZINC000647290171 1119352730 /nfs/dbraw/zinc/35/27/30/1119352730.db2.gz YZJCZAZBLFWAGK-LRDDRELGSA-N 1 2 299.443 3.717 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2c(s1)CCC2)c1ccc(F)cc1F ZINC000093052823 1119354466 /nfs/dbraw/zinc/35/44/66/1119354466.db2.gz FQBJPXLJSVSJOE-SECBINFHSA-N 1 2 294.370 3.761 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@@H+]2CCO[C@H](C(F)F)C2)cc1 ZINC000801563883 1128840246 /nfs/dbraw/zinc/84/02/46/1128840246.db2.gz IMSHBQOPKVNXFM-WFASDCNBSA-N 1 2 283.362 3.837 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@H+]2CCO[C@H](C(F)F)C2)cc1 ZINC000801563883 1128840249 /nfs/dbraw/zinc/84/02/49/1128840249.db2.gz IMSHBQOPKVNXFM-WFASDCNBSA-N 1 2 283.362 3.837 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@@H](C)CC2)cc(C(F)(F)F)c1 ZINC001143449100 1119355649 /nfs/dbraw/zinc/35/56/49/1119355649.db2.gz WEOBBZMZXYQOPN-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@@H](C)CC2)cc(C(F)(F)F)c1 ZINC001143449100 1119355651 /nfs/dbraw/zinc/35/56/51/1119355651.db2.gz WEOBBZMZXYQOPN-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)cc(C(F)(F)F)c1 ZINC001143449456 1119384998 /nfs/dbraw/zinc/38/49/98/1119384998.db2.gz XZUBZYOPOFPZSU-MFKMUULPSA-N 1 2 275.289 3.804 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)cc(C(F)(F)F)c1 ZINC001143449456 1119385000 /nfs/dbraw/zinc/38/50/00/1119385000.db2.gz XZUBZYOPOFPZSU-MFKMUULPSA-N 1 2 275.289 3.804 20 0 CHADLO CCOc1cc(Nc2cc(O)ccc2C)cc(C)[nH+]1 ZINC001214340906 1128843625 /nfs/dbraw/zinc/84/36/25/1128843625.db2.gz GEAXUFOLZRCAMS-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO COc1ccc(C[NH2+]CC(F)(F)C(C)(C)C)cc1F ZINC000657793316 1119411606 /nfs/dbraw/zinc/41/16/06/1119411606.db2.gz JUTXYMUCIWBFCW-UHFFFAOYSA-N 1 2 275.314 3.605 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1cccc2cc[nH]c21 ZINC000657794442 1119413615 /nfs/dbraw/zinc/41/36/15/1119413615.db2.gz WDIYQEWCOSYVSU-UHFFFAOYSA-N 1 2 266.335 3.939 20 0 CHADLO Cc1cc(N2C[C@H](C)CC(C)(C)C2)nc(C2CC2)[nH+]1 ZINC000343476734 1119429207 /nfs/dbraw/zinc/42/92/07/1119429207.db2.gz NRHHWUCVDGURJP-LLVKDONJSA-N 1 2 259.397 3.535 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1cccnc1Cl ZINC000052709369 1119441615 /nfs/dbraw/zinc/44/16/15/1119441615.db2.gz UEZQGBHIHLMZIK-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO FC(F)CC1C[NH+](Cc2ccsc2C(F)(F)F)C1 ZINC000628319204 1128846401 /nfs/dbraw/zinc/84/64/01/1128846401.db2.gz SKMASYOGJFKNEA-UHFFFAOYSA-N 1 2 285.281 3.854 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)cc2F)[C@@H]1[C@@H]1CCCO1 ZINC000584022624 1119460001 /nfs/dbraw/zinc/46/00/01/1119460001.db2.gz YKVBDTAXEPBODK-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)cc2F)[C@@H]1[C@@H]1CCCO1 ZINC000584022624 1119460003 /nfs/dbraw/zinc/46/00/03/1119460003.db2.gz YKVBDTAXEPBODK-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO C[C@H](CC(=O)N(CC(C)(C)C)CC(C)(C)C)n1cc[nH+]c1 ZINC000584056085 1119468049 /nfs/dbraw/zinc/46/80/49/1119468049.db2.gz DIFHTTUWBWRMNI-CQSZACIVSA-N 1 2 293.455 3.755 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(Cl)c(OC)c2)c1 ZINC000628326663 1128848984 /nfs/dbraw/zinc/84/89/84/1128848984.db2.gz QKDIWMYPSWRXCM-UHFFFAOYSA-N 1 2 292.766 3.502 20 0 CHADLO Cc1nc(C[N@@H+]2CCCCC[C@H]2c2ccco2)[nH]c1C ZINC000628176706 1119487670 /nfs/dbraw/zinc/48/76/70/1119487670.db2.gz UMQZSLGVORBIDI-AWEZNQCLSA-N 1 2 273.380 3.737 20 0 CHADLO Cc1nc(C[N@H+]2CCCCC[C@H]2c2ccco2)[nH]c1C ZINC000628176706 1119487671 /nfs/dbraw/zinc/48/76/71/1119487671.db2.gz UMQZSLGVORBIDI-AWEZNQCLSA-N 1 2 273.380 3.737 20 0 CHADLO CCc1ccc(C(=O)Nc2ccccc2-n2cc[nH+]c2)s1 ZINC000052910106 1119490948 /nfs/dbraw/zinc/49/09/48/1119490948.db2.gz XEEQONUQUXATTN-UHFFFAOYSA-N 1 2 297.383 3.749 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2F)[nH]c1C ZINC000628180515 1119491729 /nfs/dbraw/zinc/49/17/29/1119491729.db2.gz SAWCEVIVXZPBDC-BZNIZROVSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2F)[nH]c1C ZINC000628180515 1119491732 /nfs/dbraw/zinc/49/17/32/1119491732.db2.gz SAWCEVIVXZPBDC-BZNIZROVSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1[nH]c(CN2C[C@H](C)C[C@H]2c2ccccc2F)[nH+]c1C ZINC000628180515 1119491733 /nfs/dbraw/zinc/49/17/33/1119491733.db2.gz SAWCEVIVXZPBDC-BZNIZROVSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3sccc3[C@H]2C)n1 ZINC000081646452 1119517786 /nfs/dbraw/zinc/51/77/86/1119517786.db2.gz FNRCZDUWWYSIAG-SNVBAGLBSA-N 1 2 264.419 3.632 20 0 CHADLO Cc1csc(C[N@H+]2CCc3sccc3[C@H]2C)n1 ZINC000081646452 1119517788 /nfs/dbraw/zinc/51/77/88/1119517788.db2.gz FNRCZDUWWYSIAG-SNVBAGLBSA-N 1 2 264.419 3.632 20 0 CHADLO CC(C)c1cc(N[C@H](CO)c2ccccc2)nc(C(C)C)[nH+]1 ZINC000090070821 1119543182 /nfs/dbraw/zinc/54/31/82/1119543182.db2.gz ZQPILRUHANVICD-MRXNPFEDSA-N 1 2 299.418 3.869 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1OC(F)F)c1ccccn1 ZINC000090177345 1119546709 /nfs/dbraw/zinc/54/67/09/1119546709.db2.gz FEQMEWMTYZDUHP-SNVBAGLBSA-N 1 2 296.292 3.673 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1nc(C)sc1C ZINC000090888476 1119546862 /nfs/dbraw/zinc/54/68/62/1119546862.db2.gz TVILICLGWZKIAG-SNVBAGLBSA-N 1 2 276.405 3.619 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1nc(C)sc1C ZINC000090888475 1119546898 /nfs/dbraw/zinc/54/68/98/1119546898.db2.gz TVILICLGWZKIAG-JTQLQIEISA-N 1 2 276.405 3.619 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncccc1F)c1cc(F)ccc1F ZINC000090720711 1119548781 /nfs/dbraw/zinc/54/87/81/1119548781.db2.gz WHGRHVWWZIIXOQ-CQSZACIVSA-N 1 2 280.293 3.740 20 0 CHADLO Cc1cc(C[N@H+]2CC=C(c3ccccc3Cl)CC2)on1 ZINC000091858640 1119559856 /nfs/dbraw/zinc/55/98/56/1119559856.db2.gz ROLUPCBWZNWHPD-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1cc(C[N@@H+]2CC=C(c3ccccc3Cl)CC2)on1 ZINC000091858640 1119559858 /nfs/dbraw/zinc/55/98/58/1119559858.db2.gz ROLUPCBWZNWHPD-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO COc1nc2ccccc2cc1C[N@H+](C)CCC(F)(F)F ZINC000092478500 1119562955 /nfs/dbraw/zinc/56/29/55/1119562955.db2.gz UHNODIIFUFZYMD-UHFFFAOYSA-N 1 2 298.308 3.628 20 0 CHADLO COc1nc2ccccc2cc1C[N@@H+](C)CCC(F)(F)F ZINC000092478500 1119562957 /nfs/dbraw/zinc/56/29/57/1119562957.db2.gz UHNODIIFUFZYMD-UHFFFAOYSA-N 1 2 298.308 3.628 20 0 CHADLO COc1sc(C[N@@H+]2CCC2(C)C)cc1Br ZINC000093460260 1119574139 /nfs/dbraw/zinc/57/41/39/1119574139.db2.gz FDFQCSPQNLMIQJ-UHFFFAOYSA-N 1 2 290.226 3.504 20 0 CHADLO COc1sc(C[N@H+]2CCC2(C)C)cc1Br ZINC000093460260 1119574143 /nfs/dbraw/zinc/57/41/43/1119574143.db2.gz FDFQCSPQNLMIQJ-UHFFFAOYSA-N 1 2 290.226 3.504 20 0 CHADLO CC(C)[C@@H](c1ccccc1Cl)[N@H+](C)Cc1c[nH]cn1 ZINC000093422425 1119574431 /nfs/dbraw/zinc/57/44/31/1119574431.db2.gz KURIDHRGUHCRDJ-HNNXBMFYSA-N 1 2 277.799 3.892 20 0 CHADLO CC(C)[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1c[nH]cn1 ZINC000093422425 1119574433 /nfs/dbraw/zinc/57/44/33/1119574433.db2.gz KURIDHRGUHCRDJ-HNNXBMFYSA-N 1 2 277.799 3.892 20 0 CHADLO CCN(Cc1occc1C)c1cc(C)[nH+]c(C2CC2)n1 ZINC000413557252 1119581426 /nfs/dbraw/zinc/58/14/26/1119581426.db2.gz RQOMRTIIBJBODP-UHFFFAOYSA-N 1 2 271.364 3.590 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2cnc(C3CC3)o2)C2CC2)s1 ZINC000628220022 1119593359 /nfs/dbraw/zinc/59/33/59/1119593359.db2.gz HIADDYIGPQLKJS-CYBMUJFWSA-N 1 2 289.404 3.558 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(Cl)c1Cl)c1ncc[nH]1 ZINC000138999848 1119596751 /nfs/dbraw/zinc/59/67/51/1119596751.db2.gz LDABXEUGZWQBHD-QMMMGPOBSA-N 1 2 270.163 3.567 20 0 CHADLO C[N@H+](Cc1cnns1)C1c2ccccc2-c2ccccc21 ZINC000685418019 1119604680 /nfs/dbraw/zinc/60/46/80/1119604680.db2.gz FEJDEOMJLRWFOI-UHFFFAOYSA-N 1 2 293.395 3.740 20 0 CHADLO C[N@@H+](Cc1cnns1)C1c2ccccc2-c2ccccc21 ZINC000685418019 1119604682 /nfs/dbraw/zinc/60/46/82/1119604682.db2.gz FEJDEOMJLRWFOI-UHFFFAOYSA-N 1 2 293.395 3.740 20 0 CHADLO COc1c(C)cc(C[N@@H+]2Cc3ccc(O)cc3C2)cc1C ZINC000628419394 1128858524 /nfs/dbraw/zinc/85/85/24/1128858524.db2.gz WLNYHQQAJNCIAZ-UHFFFAOYSA-N 1 2 283.371 3.533 20 0 CHADLO COc1c(C)cc(C[N@H+]2Cc3ccc(O)cc3C2)cc1C ZINC000628419394 1128858528 /nfs/dbraw/zinc/85/85/28/1128858528.db2.gz WLNYHQQAJNCIAZ-UHFFFAOYSA-N 1 2 283.371 3.533 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C(C)(C)C)on1)c1ccsc1 ZINC000685581705 1119634350 /nfs/dbraw/zinc/63/43/50/1119634350.db2.gz GSWUZWGLRDYOGW-SNVBAGLBSA-N 1 2 264.394 3.884 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@H+](Cc1cc(C)cc(C(F)(F)F)c1)C2 ZINC001143459533 1119661228 /nfs/dbraw/zinc/66/12/28/1119661228.db2.gz XCBBQECXXAEKCE-GJZGRUSLSA-N 1 2 299.336 3.767 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@@H+](Cc1cc(C)cc(C(F)(F)F)c1)C2 ZINC001143459533 1119661231 /nfs/dbraw/zinc/66/12/31/1119661231.db2.gz XCBBQECXXAEKCE-GJZGRUSLSA-N 1 2 299.336 3.767 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)c1 ZINC000628430774 1128860970 /nfs/dbraw/zinc/86/09/70/1128860970.db2.gz HAPCMEOQXPNPDH-APHBMKBZSA-N 1 2 297.402 3.676 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc2ccncc2s1)c1csnn1 ZINC000658034949 1119668648 /nfs/dbraw/zinc/66/86/48/1119668648.db2.gz MVYHWGPDDGSICA-IUCAKERBSA-N 1 2 290.417 3.560 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+][C@@H]1C[C@H](C)n2ncnc21 ZINC000658095829 1119681767 /nfs/dbraw/zinc/68/17/67/1119681767.db2.gz AESWHSWPVFYUNJ-VHRBIJSZSA-N 1 2 296.374 3.689 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccc(F)cc1N(C)C ZINC000658151690 1119691324 /nfs/dbraw/zinc/69/13/24/1119691324.db2.gz LSQNDUSEXAYPQZ-MNOVXSKESA-N 1 2 293.411 3.760 20 0 CHADLO Cc1[nH]c(CN(C)c2cccc(C(C)C)c2)[nH+]c1C ZINC000628238883 1119712082 /nfs/dbraw/zinc/71/20/82/1119712082.db2.gz RTVQEDGMWCEEBS-UHFFFAOYSA-N 1 2 257.381 3.786 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H]1CCCc2c(C)cccc21 ZINC000658338328 1119726987 /nfs/dbraw/zinc/72/69/87/1119726987.db2.gz KVBLDMRRJZRBBK-SJCJKPOMSA-N 1 2 269.392 3.755 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)C1CCCCCC1 ZINC000101811465 1119732974 /nfs/dbraw/zinc/73/29/74/1119732974.db2.gz XYXWYYDMUNDOEA-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO C[C@@H]([NH2+]Cc1ccoc1)c1c(F)cccc1Cl ZINC000310125453 1119733227 /nfs/dbraw/zinc/73/32/27/1119733227.db2.gz WGZBZNGPUQSKIK-SECBINFHSA-N 1 2 253.704 3.923 20 0 CHADLO FCC[NH2+]Cc1c(Cl)ccc(Cl)c1Cl ZINC000308540136 1119743393 /nfs/dbraw/zinc/74/33/93/1119743393.db2.gz MLOSXWAGGNFECJ-UHFFFAOYSA-N 1 2 256.535 3.706 20 0 CHADLO Cc1cc([C@H]([NH2+][C@H]2CCCc3[nH]ncc32)C2CC2)ccc1F ZINC000375895268 1119780097 /nfs/dbraw/zinc/78/00/97/1119780097.db2.gz LBSASWLABRDZIE-FUHWJXTLSA-N 1 2 299.393 3.976 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)c(Cl)c1)c1cnn(C)n1 ZINC000924544044 1119787427 /nfs/dbraw/zinc/78/74/27/1119787427.db2.gz XFUABCKIUWXJQC-DTWKUNHWSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1ccc(Cl)nc1 ZINC000780386073 1119795159 /nfs/dbraw/zinc/79/51/59/1119795159.db2.gz UFELFEYQJSEDCX-ONGXEEELSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1ccc(Cl)nc1 ZINC000780386073 1119795161 /nfs/dbraw/zinc/79/51/61/1119795161.db2.gz UFELFEYQJSEDCX-ONGXEEELSA-N 1 2 292.732 3.898 20 0 CHADLO CCc1cc(N[C@H](C)c2cnn(C(C)C)c2)nc(CC)[nH+]1 ZINC000892505015 1119801823 /nfs/dbraw/zinc/80/18/23/1119801823.db2.gz INNJXSJVUZPFHA-GFCCVEGCSA-N 1 2 287.411 3.552 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cccc(C)c2F)s1 ZINC000294921489 1119819545 /nfs/dbraw/zinc/81/95/45/1119819545.db2.gz ARGKWHXRHVLEEV-NSHDSACASA-N 1 2 264.369 3.750 20 0 CHADLO Cc1[nH]c(CN2CCc3ccc(C(C)C)cc3C2)[nH+]c1C ZINC000628337471 1119849296 /nfs/dbraw/zinc/84/92/96/1119849296.db2.gz GYUWMEOXNAMQJI-UHFFFAOYSA-N 1 2 283.419 3.708 20 0 CHADLO CCCC[N@H+](Cc1[nH]ncc1C)[C@@H](C)c1ccc(C)o1 ZINC000685834143 1119853571 /nfs/dbraw/zinc/85/35/71/1119853571.db2.gz WIRFXYUGPVURER-AWEZNQCLSA-N 1 2 275.396 3.983 20 0 CHADLO CCCC[N@@H+](Cc1[nH]ncc1C)[C@@H](C)c1ccc(C)o1 ZINC000685834143 1119853573 /nfs/dbraw/zinc/85/35/73/1119853573.db2.gz WIRFXYUGPVURER-AWEZNQCLSA-N 1 2 275.396 3.983 20 0 CHADLO Clc1cccc2c(N[C@@H]3C[C@H]4CC[C@@H]3O4)cc[nH+]c12 ZINC000376170170 1119853655 /nfs/dbraw/zinc/85/36/55/1119853655.db2.gz BKINCQDIGJWSPA-FZQKWOKYSA-N 1 2 274.751 3.620 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CC=C(c2cc(C)cc(C)c2)CC1 ZINC000685843008 1119863143 /nfs/dbraw/zinc/86/31/43/1119863143.db2.gz KNPSVLDWLXGDJU-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CC=C(c2cc(C)cc(C)c2)CC1 ZINC000685843008 1119863144 /nfs/dbraw/zinc/86/31/44/1119863144.db2.gz KNPSVLDWLXGDJU-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Br)o2)[C@@H]1C1CC1 ZINC000685850007 1119871602 /nfs/dbraw/zinc/87/16/02/1119871602.db2.gz GSWJVXNMDFEGQU-GFCCVEGCSA-N 1 2 284.197 3.663 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Br)o2)[C@@H]1C1CC1 ZINC000685850007 1119871604 /nfs/dbraw/zinc/87/16/04/1119871604.db2.gz GSWJVXNMDFEGQU-GFCCVEGCSA-N 1 2 284.197 3.663 20 0 CHADLO CCCCC[C@H](CC)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000181362551 1128874625 /nfs/dbraw/zinc/87/46/25/1128874625.db2.gz RBVMMTMKGPZMLU-HNNXBMFYSA-N 1 2 287.407 3.557 20 0 CHADLO C[C@@H]1CSCC[N@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000282504499 1119924732 /nfs/dbraw/zinc/92/47/32/1119924732.db2.gz NUOXYCLPDZLPSG-VIFPVBQESA-N 1 2 291.247 3.573 20 0 CHADLO C[C@@H]1CSCC[N@@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000282504499 1119924735 /nfs/dbraw/zinc/92/47/35/1119924735.db2.gz NUOXYCLPDZLPSG-VIFPVBQESA-N 1 2 291.247 3.573 20 0 CHADLO CCCCC[C@@H](CC)C(=O)Nc1c(C)c[nH+]cc1C ZINC001625884898 1119931191 /nfs/dbraw/zinc/93/11/91/1119931191.db2.gz WEABGKDPXHPTFZ-CQSZACIVSA-N 1 2 262.397 3.665 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+](C)Cc1cscn1 ZINC000613486959 1119940489 /nfs/dbraw/zinc/94/04/89/1119940489.db2.gz UXKGDAPICUSPPF-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cscn1 ZINC000613486959 1119940492 /nfs/dbraw/zinc/94/04/92/1119940492.db2.gz UXKGDAPICUSPPF-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO CC(C)C[C@H](c1nc(C2CCCCC2)no1)n1cc[nH+]c1 ZINC000923788050 1119954822 /nfs/dbraw/zinc/95/48/22/1119954822.db2.gz GUTWWBGRINCBLR-CQSZACIVSA-N 1 2 288.395 3.949 20 0 CHADLO Clc1cnc(C[NH2+]Cc2cc3ccccc3o2)s1 ZINC000545315223 1119966772 /nfs/dbraw/zinc/96/67/72/1119966772.db2.gz RQPALTFLTVKQTA-UHFFFAOYSA-N 1 2 278.764 3.833 20 0 CHADLO CC(C)c1cc(Cl)ccc1NC(=O)CCc1c[nH]c[nH+]1 ZINC000628829216 1128881575 /nfs/dbraw/zinc/88/15/75/1128881575.db2.gz JHBQKXHTTYXTPG-UHFFFAOYSA-N 1 2 291.782 3.758 20 0 CHADLO CC(C)c1cc(Cl)ccc1NC(=O)CCc1c[nH+]c[nH]1 ZINC000628829216 1128881578 /nfs/dbraw/zinc/88/15/78/1128881578.db2.gz JHBQKXHTTYXTPG-UHFFFAOYSA-N 1 2 291.782 3.758 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H](C)C[C@H]1CCOC1 ZINC000685910636 1119982677 /nfs/dbraw/zinc/98/26/77/1119982677.db2.gz KGFQSXDBORTOLF-UONOGXRCSA-N 1 2 270.376 3.770 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2c(F)cccc2Cl)cn1 ZINC000414363440 1119999424 /nfs/dbraw/zinc/99/94/24/1119999424.db2.gz LOHJBKLLTWSEEB-JTQLQIEISA-N 1 2 293.773 3.682 20 0 CHADLO CCCOc1cccc2c(N[C@H](C)[C@H](C)OC)cc[nH+]c12 ZINC000685927382 1120014697 /nfs/dbraw/zinc/01/46/97/1120014697.db2.gz QHLRCNFVIZAFKH-OLZOCXBDSA-N 1 2 288.391 3.859 20 0 CHADLO CCc1cc(N[C@@H](CC)c2ccncc2)nc(CC)[nH+]1 ZINC000892663337 1120024042 /nfs/dbraw/zinc/02/40/42/1120024042.db2.gz PKWQOLYIBQSEFK-AWEZNQCLSA-N 1 2 270.380 3.560 20 0 CHADLO CC[C@H](Oc1cc(N)cc[nH+]1)c1cccc(F)c1C ZINC001218329066 1120030933 /nfs/dbraw/zinc/03/09/33/1120030933.db2.gz YBTGMKMEDPUXQD-AWEZNQCLSA-N 1 2 260.312 3.641 20 0 CHADLO FC(F)(F)c1ccc2c(c1)CCN(c1cccc[nH+]1)C2 ZINC000624652620 1120042718 /nfs/dbraw/zinc/04/27/18/1120042718.db2.gz KOAPDFZVBVHUBE-UHFFFAOYSA-N 1 2 278.277 3.663 20 0 CHADLO CC(C)O[C@@H]1C[C@@H](Nc2ccc([NH+](C)C)cc2)C1(C)C ZINC000765808487 1120043300 /nfs/dbraw/zinc/04/33/00/1120043300.db2.gz IDYMTPHDVPMMCK-HZPDHXFCSA-N 1 2 276.424 3.757 20 0 CHADLO Fc1ccc([C@H]2C[N@@H+](C3CCCC3)CCO2)c(Cl)c1 ZINC000187856741 1120043360 /nfs/dbraw/zinc/04/33/60/1120043360.db2.gz PYRRJJSOFQNMBM-OAHLLOKOSA-N 1 2 283.774 3.795 20 0 CHADLO Fc1ccc([C@H]2C[N@H+](C3CCCC3)CCO2)c(Cl)c1 ZINC000187856741 1120043363 /nfs/dbraw/zinc/04/33/63/1120043363.db2.gz PYRRJJSOFQNMBM-OAHLLOKOSA-N 1 2 283.774 3.795 20 0 CHADLO Fc1ccc([C@@H]2C[N@@H+](C3CCCC3)CCO2)c(Cl)c1 ZINC000187856713 1120043531 /nfs/dbraw/zinc/04/35/31/1120043531.db2.gz PYRRJJSOFQNMBM-HNNXBMFYSA-N 1 2 283.774 3.795 20 0 CHADLO Fc1ccc([C@@H]2C[N@H+](C3CCCC3)CCO2)c(Cl)c1 ZINC000187856713 1120043532 /nfs/dbraw/zinc/04/35/32/1120043532.db2.gz PYRRJJSOFQNMBM-HNNXBMFYSA-N 1 2 283.774 3.795 20 0 CHADLO CC(C)n1cc2c(n1)[C@H]([NH2+][C@@H](C)c1ccccn1)CCC2 ZINC000765815333 1120056215 /nfs/dbraw/zinc/05/62/15/1120056215.db2.gz GWMVEWAHCJYUCA-XJKSGUPXSA-N 1 2 284.407 3.587 20 0 CHADLO CC[C@@H](C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921666960 1120062758 /nfs/dbraw/zinc/06/27/58/1120062758.db2.gz YMORRRYUJNLFAI-SNVBAGLBSA-N 1 2 271.364 3.678 20 0 CHADLO CCOc1ccc(Nc2cc[nH+]c(SC)c2)c(OC)c1 ZINC001213118251 1120070605 /nfs/dbraw/zinc/07/06/05/1120070605.db2.gz PPDCXAKABSTVPH-UHFFFAOYSA-N 1 2 290.388 3.954 20 0 CHADLO C[C@@H]1C[N@H+](Cc2scnc2Cl)Cc2ccccc21 ZINC001137817195 1120073165 /nfs/dbraw/zinc/07/31/65/1120073165.db2.gz HCXWPPDMPCLJDF-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2scnc2Cl)Cc2ccccc21 ZINC001137817195 1120073169 /nfs/dbraw/zinc/07/31/69/1120073169.db2.gz HCXWPPDMPCLJDF-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO COC(C)(C)[C@@H](C)Nc1ccc([NH+]2CCCC2)cc1 ZINC000311453769 1120083337 /nfs/dbraw/zinc/08/33/37/1120083337.db2.gz ZSYYJBBAYHABIH-CYBMUJFWSA-N 1 2 262.397 3.512 20 0 CHADLO Cc1nccnc1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)s1 ZINC001140938048 1120112437 /nfs/dbraw/zinc/11/24/37/1120112437.db2.gz REQOBTDHJKZKJJ-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1nccnc1C[N@H+]1CCC[C@@H]1c1ccc(Cl)s1 ZINC001140938048 1120112440 /nfs/dbraw/zinc/11/24/40/1120112440.db2.gz REQOBTDHJKZKJJ-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cc2cc(N[C@@H]3CCNc4ccccc43)[nH+]cc2[nH]1 ZINC001168641199 1120128601 /nfs/dbraw/zinc/12/86/01/1120128601.db2.gz RETCIIRWYVCXOJ-OAHLLOKOSA-N 1 2 278.359 3.840 20 0 CHADLO COc1ccc(C[N@@H+]2CCC[C@@H]2CF)c(C(F)(F)F)c1 ZINC001143485752 1120130707 /nfs/dbraw/zinc/13/07/07/1120130707.db2.gz JFXCERWKKUNTPT-LLVKDONJSA-N 1 2 291.288 3.648 20 0 CHADLO COc1ccc(C[N@H+]2CCC[C@@H]2CF)c(C(F)(F)F)c1 ZINC001143485752 1120130709 /nfs/dbraw/zinc/13/07/09/1120130709.db2.gz JFXCERWKKUNTPT-LLVKDONJSA-N 1 2 291.288 3.648 20 0 CHADLO Cc1c[nH+]cc(N[C@H]2CCNc3ccccc32)c1C ZINC001168644771 1120144354 /nfs/dbraw/zinc/14/43/54/1120144354.db2.gz MAWFJLIYBBLCCF-HNNXBMFYSA-N 1 2 253.349 3.667 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@@H]1c2ccccc2CC[C@@H]1F ZINC000926862340 1120160751 /nfs/dbraw/zinc/16/07/51/1120160751.db2.gz UEAUSMKYFYVRBW-GLZQIGESSA-N 1 2 288.366 3.968 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CC[C@@H]2c2ccccc2)cc1F ZINC001140990577 1120165098 /nfs/dbraw/zinc/16/50/98/1120165098.db2.gz HUKIQDCJAHCBOF-OAHLLOKOSA-N 1 2 275.298 3.617 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CC[C@@H]2c2ccccc2)cc1F ZINC001140990577 1120165100 /nfs/dbraw/zinc/16/51/00/1120165100.db2.gz HUKIQDCJAHCBOF-OAHLLOKOSA-N 1 2 275.298 3.617 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](C)Cc2cccc3ccccc32)[nH]1 ZINC000659846429 1120179894 /nfs/dbraw/zinc/17/98/94/1120179894.db2.gz UNKOKOHIJDFLBG-CYBMUJFWSA-N 1 2 294.402 3.713 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](C)Cc2cccc3ccccc32)[nH]1 ZINC000659846429 1120179896 /nfs/dbraw/zinc/17/98/96/1120179896.db2.gz UNKOKOHIJDFLBG-CYBMUJFWSA-N 1 2 294.402 3.713 20 0 CHADLO CSc1ccc([C@H](C)Nc2[nH+]c3ccccc3n2C)cc1 ZINC000542854599 1120182875 /nfs/dbraw/zinc/18/28/75/1120182875.db2.gz VEXJCTONUTWTIH-LBPRGKRZSA-N 1 2 297.427 3.890 20 0 CHADLO Clc1cccc([C@H]2CC[N@H+](Cc3ncco3)C2)c1Cl ZINC001141007748 1120190310 /nfs/dbraw/zinc/19/03/10/1120190310.db2.gz YSUKKURAZORBFY-JTQLQIEISA-N 1 2 297.185 3.971 20 0 CHADLO Clc1cccc([C@H]2CC[N@@H+](Cc3ncco3)C2)c1Cl ZINC001141007748 1120190314 /nfs/dbraw/zinc/19/03/14/1120190314.db2.gz YSUKKURAZORBFY-JTQLQIEISA-N 1 2 297.185 3.971 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2OC(C)C)[nH]c1C ZINC000565242711 1120207787 /nfs/dbraw/zinc/20/77/87/1120207787.db2.gz QRUBMFBQKYHQOR-CQSZACIVSA-N 1 2 287.407 3.665 20 0 CHADLO Cc1[nH]c(CN[C@H](C)c2ccccc2OC(C)C)[nH+]c1C ZINC000565242711 1120207791 /nfs/dbraw/zinc/20/77/91/1120207791.db2.gz QRUBMFBQKYHQOR-CQSZACIVSA-N 1 2 287.407 3.665 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2nccc3c2CCCC3)s1 ZINC000921815025 1120225042 /nfs/dbraw/zinc/22/50/42/1120225042.db2.gz GMZBCKTWNXJQBN-LBPRGKRZSA-N 1 2 287.432 3.576 20 0 CHADLO Cc1cc(N2CC[C@@H](c3ccccc3F)C2)nc(C2CC2)[nH+]1 ZINC000338735493 1120228676 /nfs/dbraw/zinc/22/86/76/1120228676.db2.gz YWTQMGXBEIAWSS-CQSZACIVSA-N 1 2 297.377 3.795 20 0 CHADLO CC(C)([NH2+]Cc1ccc(Br)cc1)C(F)F ZINC000309070919 1120233062 /nfs/dbraw/zinc/23/30/62/1120233062.db2.gz CVPQZDQJBVLECJ-UHFFFAOYSA-N 1 2 278.140 3.582 20 0 CHADLO C[C@H]1CO[C@H](c2ccccc2)C[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659875763 1120235334 /nfs/dbraw/zinc/23/53/34/1120235334.db2.gz YULQJLXUTQOFDS-UGSOOPFHSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@H]1CO[C@H](c2ccccc2)C[N@H+]1Cc1cc(C2CC2)no1 ZINC000659875763 1120235336 /nfs/dbraw/zinc/23/53/36/1120235336.db2.gz YULQJLXUTQOFDS-UGSOOPFHSA-N 1 2 298.386 3.514 20 0 CHADLO CCCOc1cccc(CNc2cc3cc[nH]c3c[nH+]2)c1 ZINC000672167393 1120249163 /nfs/dbraw/zinc/24/91/63/1120249163.db2.gz QZDHRWYZXOICFD-UHFFFAOYSA-N 1 2 281.359 3.964 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+]Cc1cc(C)cnc1Cl)CO2 ZINC000921850765 1120249327 /nfs/dbraw/zinc/24/93/27/1120249327.db2.gz HEFIPBMMPBWCKO-CQSZACIVSA-N 1 2 288.778 3.575 20 0 CHADLO CCOC(=O)CCc1ccc[nH+]c1N[C@@H](C)C[C@@H](C)CC ZINC001168691089 1120261117 /nfs/dbraw/zinc/26/11/17/1120261117.db2.gz STOKQQKKLIHJEY-KBPBESRZSA-N 1 2 292.423 3.814 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1ccc(-n2c[nH+]c(C)c2C)nn1 ZINC001168691666 1120265701 /nfs/dbraw/zinc/26/57/01/1120265701.db2.gz VNHROGTWCFACFS-NWDGAFQWSA-N 1 2 287.411 3.516 20 0 CHADLO O=C(CC1=CCCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000106606896 1120272264 /nfs/dbraw/zinc/27/22/64/1120272264.db2.gz LQVBBAINACMBOZ-UHFFFAOYSA-N 1 2 281.359 3.701 20 0 CHADLO CCc1nc(C[N@@H+]2CCc3ccccc3[C@H]2C)cs1 ZINC000106862534 1120279597 /nfs/dbraw/zinc/27/95/97/1120279597.db2.gz UUHSSPFJDDDDNU-GFCCVEGCSA-N 1 2 272.417 3.825 20 0 CHADLO CCc1nc(C[N@H+]2CCc3ccccc3[C@H]2C)cs1 ZINC000106862534 1120279601 /nfs/dbraw/zinc/27/96/01/1120279601.db2.gz UUHSSPFJDDDDNU-GFCCVEGCSA-N 1 2 272.417 3.825 20 0 CHADLO Cc1cccc(CCCNc2ncc(Cl)s2)[nH+]1 ZINC000672320002 1120288648 /nfs/dbraw/zinc/28/86/48/1120288648.db2.gz AFHIVOUAGGFQLV-UHFFFAOYSA-N 1 2 267.785 3.545 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1[nH+]c(C)nc2c1ccn2C ZINC001168696499 1120291654 /nfs/dbraw/zinc/29/16/54/1120291654.db2.gz TWJJZOQJUCMIGO-QWRGUYRKSA-N 1 2 260.385 3.513 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1Cc1cc(C2CC2)no1 ZINC000659943771 1120300038 /nfs/dbraw/zinc/30/00/38/1120300038.db2.gz FBVULEFGLJKFSX-LLVKDONJSA-N 1 2 286.350 3.810 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659943771 1120300044 /nfs/dbraw/zinc/30/00/44/1120300044.db2.gz FBVULEFGLJKFSX-LLVKDONJSA-N 1 2 286.350 3.810 20 0 CHADLO Cc1ncc([C@@H](C)Nc2ccc([NH+](C)C)cc2)s1 ZINC000182489319 1120321977 /nfs/dbraw/zinc/32/19/77/1120321977.db2.gz YNPAXIABTDHWCZ-SNVBAGLBSA-N 1 2 261.394 3.691 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C)o1)c1cccc(Cl)c1 ZINC000182595902 1120323317 /nfs/dbraw/zinc/32/33/17/1120323317.db2.gz CIFILQRPFJTEQE-ZDUSSCGKSA-N 1 2 264.756 3.877 20 0 CHADLO COC[C@@H]1CCc2[nH+]c3cccc(C)c3c(C)c2C1 ZINC000416390540 1120325715 /nfs/dbraw/zinc/32/57/15/1120325715.db2.gz ONGZUBMQTFSNDB-CYBMUJFWSA-N 1 2 255.361 3.603 20 0 CHADLO CCC[C@@H]1C[N@@H+]([C@@H](C)c2cccc(Cl)c2)CCO1 ZINC000533932515 1120327894 /nfs/dbraw/zinc/32/78/94/1120327894.db2.gz YHOLWMLWOZSXGW-SWLSCSKDSA-N 1 2 267.800 3.902 20 0 CHADLO CCC[C@@H]1C[N@H+]([C@@H](C)c2cccc(Cl)c2)CCO1 ZINC000533932515 1120327897 /nfs/dbraw/zinc/32/78/97/1120327897.db2.gz YHOLWMLWOZSXGW-SWLSCSKDSA-N 1 2 267.800 3.902 20 0 CHADLO Cc1cccc2c1CC[C@@H]2[NH2+][C@H]1CCCC1(F)F ZINC000672622408 1120334852 /nfs/dbraw/zinc/33/48/52/1120334852.db2.gz HUCDJBARQNLVMV-KBPBESRZSA-N 1 2 251.320 3.760 20 0 CHADLO Cc1cccc2c1CC[C@@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000672622410 1120335322 /nfs/dbraw/zinc/33/53/22/1120335322.db2.gz HUCDJBARQNLVMV-UONOGXRCSA-N 1 2 251.320 3.760 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+][C@H]1CCCC1(F)F)CCC2 ZINC000672622222 1120336488 /nfs/dbraw/zinc/33/64/88/1120336488.db2.gz CTWZJNVZUIDPQB-KGLIPLIRSA-N 1 2 269.310 3.981 20 0 CHADLO C[NH2+]c1ccc(NCc2cc3c(cc2OC)C[C@@H](C)O3)cc1 ZINC000629389982 1128909706 /nfs/dbraw/zinc/90/97/06/1128909706.db2.gz ZPXGLPALPBXZIM-GFCCVEGCSA-N 1 2 298.386 3.672 20 0 CHADLO C[NH2+]c1ccc(NCc2cc3c(cc2OC)C[C@H](C)O3)cc1 ZINC000629389983 1128909820 /nfs/dbraw/zinc/90/98/20/1128909820.db2.gz ZPXGLPALPBXZIM-LBPRGKRZSA-N 1 2 298.386 3.672 20 0 CHADLO COc1cc(F)cc(CNc2ccc([NH+](C)C)cc2)c1 ZINC000312276732 1120357116 /nfs/dbraw/zinc/35/71/16/1120357116.db2.gz QHYLWBYROGTHEY-UHFFFAOYSA-N 1 2 274.339 3.512 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1ccc(C)o1 ZINC000185902811 1120387572 /nfs/dbraw/zinc/38/75/72/1120387572.db2.gz FCBIQLCPNOZWQT-UHFFFAOYSA-N 1 2 265.740 3.540 20 0 CHADLO CCc1cnccc1[C@H](C)[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC000543051803 1120390589 /nfs/dbraw/zinc/39/05/89/1120390589.db2.gz HFDLCGBZRJXKFO-LBPRGKRZSA-N 1 2 287.407 3.780 20 0 CHADLO CC[C@H]1CC[C@H](C)[N@@H+]1Cc1ncsc1Br ZINC000660168923 1120411117 /nfs/dbraw/zinc/41/11/17/1120411117.db2.gz HETXAHRBMDJRJI-IUCAKERBSA-N 1 2 289.242 3.669 20 0 CHADLO CC[C@H]1CC[C@H](C)[N@H+]1Cc1ncsc1Br ZINC000660168923 1120411120 /nfs/dbraw/zinc/41/11/20/1120411120.db2.gz HETXAHRBMDJRJI-IUCAKERBSA-N 1 2 289.242 3.669 20 0 CHADLO Clc1ccc([C@H]2CC[N@@H+]2Cc2cnc3ccccn23)cc1 ZINC000511609882 1120411779 /nfs/dbraw/zinc/41/17/79/1120411779.db2.gz JOVAJHMTVGSIIU-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccc([C@H]2CC[N@H+]2Cc2cnc3ccccn23)cc1 ZINC000511609882 1120411782 /nfs/dbraw/zinc/41/17/82/1120411782.db2.gz JOVAJHMTVGSIIU-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)[C@@H](C)c2cc(C)ccc2C)on1 ZINC000584728200 1120420043 /nfs/dbraw/zinc/42/00/43/1120420043.db2.gz DZHCVPKGMYKJQZ-ZDUSSCGKSA-N 1 2 286.375 3.687 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)[C@@H](C)c2cc(C)ccc2C)on1 ZINC000584728200 1120420044 /nfs/dbraw/zinc/42/00/44/1120420044.db2.gz DZHCVPKGMYKJQZ-ZDUSSCGKSA-N 1 2 286.375 3.687 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc(C(C)(C)C)s2)nc1 ZINC000682788343 1120425930 /nfs/dbraw/zinc/42/59/30/1120425930.db2.gz VMYYXAGVTSTEMS-UHFFFAOYSA-N 1 2 289.448 3.776 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc(C(C)(C)C)s2)nc1 ZINC000682788343 1120425931 /nfs/dbraw/zinc/42/59/31/1120425931.db2.gz VMYYXAGVTSTEMS-UHFFFAOYSA-N 1 2 289.448 3.776 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000079287698 1120431647 /nfs/dbraw/zinc/43/16/47/1120431647.db2.gz QTBIQASEOZCZFP-IUCAKERBSA-N 1 2 299.296 3.809 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)CCc2c[nH]c[nH+]2)cc1 ZINC000357459914 1120439138 /nfs/dbraw/zinc/43/91/38/1120439138.db2.gz YAXRLIYYHQOONA-UHFFFAOYSA-N 1 2 285.391 3.669 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)CCc2c[nH+]c[nH]2)cc1 ZINC000357459914 1120439140 /nfs/dbraw/zinc/43/91/40/1120439140.db2.gz YAXRLIYYHQOONA-UHFFFAOYSA-N 1 2 285.391 3.669 20 0 CHADLO c1cc(-c2cc[nH+]c(NC3(C4CCOCC4)CC3)c2)ccn1 ZINC001167743631 1120446519 /nfs/dbraw/zinc/44/65/19/1120446519.db2.gz CEWYTRMPZWTGJO-UHFFFAOYSA-N 1 2 295.386 3.515 20 0 CHADLO Cc1[nH]c(CNc2ccc(C)cc2Br)[nH+]c1C ZINC000657423037 1120453006 /nfs/dbraw/zinc/45/30/06/1120453006.db2.gz DVOKSEPXAZTAPR-UHFFFAOYSA-N 1 2 294.196 3.710 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@H]3[C@H](CC3(F)F)C2)c(F)c1Cl ZINC001143616082 1120459983 /nfs/dbraw/zinc/45/99/83/1120459983.db2.gz LOLHVVKPTHFLAC-BDAKNGLRSA-N 1 2 293.691 3.705 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@H]3[C@H](CC3(F)F)C2)c(F)c1Cl ZINC001143616082 1120459986 /nfs/dbraw/zinc/45/99/86/1120459986.db2.gz LOLHVVKPTHFLAC-BDAKNGLRSA-N 1 2 293.691 3.705 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(Cl)cc(Cl)c2)n(C)n1 ZINC000417730437 1120479186 /nfs/dbraw/zinc/47/91/86/1120479186.db2.gz MJUYTKMZUNRCAK-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(Cl)cc(Cl)c2)n(C)n1 ZINC000417730437 1120479187 /nfs/dbraw/zinc/47/91/87/1120479187.db2.gz MJUYTKMZUNRCAK-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C(F)(F)F)c(C)c1 ZINC000417744540 1120479386 /nfs/dbraw/zinc/47/93/86/1120479386.db2.gz MZJVUXAORBYJOD-UHFFFAOYSA-N 1 2 283.297 3.842 20 0 CHADLO CCc1ccc([C@H](C)C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000069370421 1120501646 /nfs/dbraw/zinc/50/16/46/1120501646.db2.gz MUYJZYZUYIDGMD-ZDUSSCGKSA-N 1 2 268.360 3.695 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1cc(Cl)ccc1F)CO2 ZINC000466453543 1120510059 /nfs/dbraw/zinc/51/00/59/1120510059.db2.gz DHOGKGMIKZQTKM-CQSZACIVSA-N 1 2 295.716 3.842 20 0 CHADLO FC[C@H]([NH2+]Cc1ccnn1C1CCC1)c1ccc(F)cc1 ZINC000922317584 1120510124 /nfs/dbraw/zinc/51/01/24/1120510124.db2.gz IASDLBMFNYIDLI-INIZCTEOSA-N 1 2 291.345 3.548 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2ccc(O)c(Cl)c2)n1 ZINC000922328873 1120514352 /nfs/dbraw/zinc/51/43/52/1120514352.db2.gz VFSALMZZDRREAE-UHFFFAOYSA-N 1 2 294.782 3.621 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000931082451 1120523781 /nfs/dbraw/zinc/52/37/81/1120523781.db2.gz FFCRPZOSTMQAOA-WBMJQRKESA-N 1 2 285.366 3.760 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000931082451 1120523784 /nfs/dbraw/zinc/52/37/84/1120523784.db2.gz FFCRPZOSTMQAOA-WBMJQRKESA-N 1 2 285.366 3.760 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1OC ZINC000397971369 1120538324 /nfs/dbraw/zinc/53/83/24/1120538324.db2.gz JBBZKGRIYXVXLM-IINYFYTJSA-N 1 2 285.334 3.542 20 0 CHADLO F[C@H]1COc2ccccc2[C@H]1[NH2+]Cc1cc(Cl)cs1 ZINC000922521012 1120547265 /nfs/dbraw/zinc/54/72/65/1120547265.db2.gz QZDIIJXJOVQYHT-GXTWGEPZSA-N 1 2 297.782 3.963 20 0 CHADLO COc1ccc2c(c1)CCC[C@H]2[NH2+][C@H]1CCCC1(F)F ZINC000398024422 1120555858 /nfs/dbraw/zinc/55/58/58/1120555858.db2.gz BRGSBWRCTHIVGW-CABCVRRESA-N 1 2 281.346 3.850 20 0 CHADLO FC(F)(F)Oc1cccc(C[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000398025161 1120556924 /nfs/dbraw/zinc/55/69/24/1120556924.db2.gz DPMKSQRWZKADBO-LLVKDONJSA-N 1 2 295.251 3.863 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccs1)c1cc(F)ccc1OC ZINC000922620594 1120564923 /nfs/dbraw/zinc/56/49/23/1120564923.db2.gz DGWCRYMRLJWKGM-GFCCVEGCSA-N 1 2 280.368 3.532 20 0 CHADLO Cc1cccc(C2(C(=O)Nc3cc[nH+]c(C)c3)CCCC2)c1 ZINC000500305716 1120566009 /nfs/dbraw/zinc/56/60/09/1120566009.db2.gz UUCITNNDIZTQGT-UHFFFAOYSA-N 1 2 294.398 3.571 20 0 CHADLO CC(C)(/C=C/Cl)[NH2+]Cc1ncc(C(F)(F)F)s1 ZINC000922649116 1120571400 /nfs/dbraw/zinc/57/14/00/1120571400.db2.gz SFSAQSJJDRKYDW-ONEGZZNKSA-N 1 2 284.734 3.783 20 0 CHADLO CCc1noc(C[N@@H+]2CCCC[C@H]2c2ccc(C)cc2)n1 ZINC000124552558 1120574103 /nfs/dbraw/zinc/57/41/03/1120574103.db2.gz HTSJORBYGXXEQA-HNNXBMFYSA-N 1 2 285.391 3.668 20 0 CHADLO CCc1noc(C[N@H+]2CCCC[C@H]2c2ccc(C)cc2)n1 ZINC000124552558 1120574106 /nfs/dbraw/zinc/57/41/06/1120574106.db2.gz HTSJORBYGXXEQA-HNNXBMFYSA-N 1 2 285.391 3.668 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@@H]1c1ccc(-c2cccs2)cc1 ZINC000629690898 1128925593 /nfs/dbraw/zinc/92/55/93/1128925593.db2.gz QJLPQWSTSAIVAG-OAHLLOKOSA-N 1 2 271.385 3.751 20 0 CHADLO C[N@H+]1CCC(=O)C[C@@H]1c1ccc(-c2cccs2)cc1 ZINC000629690898 1128925597 /nfs/dbraw/zinc/92/55/97/1128925597.db2.gz QJLPQWSTSAIVAG-OAHLLOKOSA-N 1 2 271.385 3.751 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H](C)c2ccc(F)cc2C)nn1C ZINC000922670080 1120576921 /nfs/dbraw/zinc/57/69/21/1120576921.db2.gz RMLMBRZMFVOCDB-JTQLQIEISA-N 1 2 295.789 3.680 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)CCc2ccc(F)cc2)c1 ZINC000652067966 1120577190 /nfs/dbraw/zinc/57/71/90/1120577190.db2.gz NBUXGOQUWLFHQM-ZDUSSCGKSA-N 1 2 288.366 3.800 20 0 CHADLO COCc1cc[nH+]c(NC2CC(c3cccc(F)c3)C2)c1 ZINC000652068852 1120577705 /nfs/dbraw/zinc/57/77/05/1120577705.db2.gz QMLHYRAONDXCKI-UHFFFAOYSA-N 1 2 286.350 3.725 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc([C@H]3C[C@@H]3C)o2)c1 ZINC000652068931 1120577748 /nfs/dbraw/zinc/57/77/48/1120577748.db2.gz UESLRBKGNJNAHV-FZMZJTMJSA-N 1 2 272.348 3.557 20 0 CHADLO CCc1nc(C)c(C[N@H+](C)Cc2cc(C)ccc2F)o1 ZINC000428955673 1120586686 /nfs/dbraw/zinc/58/66/86/1120586686.db2.gz OHYKXXXFTOCLBO-UHFFFAOYSA-N 1 2 276.355 3.625 20 0 CHADLO CCc1nc(C)c(C[N@@H+](C)Cc2cc(C)ccc2F)o1 ZINC000428955673 1120586692 /nfs/dbraw/zinc/58/66/92/1120586692.db2.gz OHYKXXXFTOCLBO-UHFFFAOYSA-N 1 2 276.355 3.625 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1coc(C)n1 ZINC000660411826 1120587423 /nfs/dbraw/zinc/58/74/23/1120587423.db2.gz YQILJXRYWRAZKS-LCYFTJDESA-N 1 2 256.349 3.518 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1coc(C)n1 ZINC000660411826 1120587429 /nfs/dbraw/zinc/58/74/29/1120587429.db2.gz YQILJXRYWRAZKS-LCYFTJDESA-N 1 2 256.349 3.518 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccc2occc2c1 ZINC000673617838 1120601295 /nfs/dbraw/zinc/60/12/95/1120601295.db2.gz KUFXFGJHESKQDV-ZDUSSCGKSA-N 1 2 251.276 3.710 20 0 CHADLO Cc1ccc(-c2n[nH]cc2C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000673617888 1120602611 /nfs/dbraw/zinc/60/26/11/1120602611.db2.gz MOLPYYSJDITSND-CQSZACIVSA-N 1 2 291.345 3.663 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nc(C)no1)c1cccc(Cl)c1 ZINC000673627917 1120624547 /nfs/dbraw/zinc/62/45/47/1120624547.db2.gz XODAUXFFEVCOFA-ZANVPECISA-N 1 2 279.771 3.833 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625105208 1120633832 /nfs/dbraw/zinc/63/38/32/1120633832.db2.gz WUXXJPJVLXQWPV-UHFFFAOYSA-N 1 2 265.740 3.579 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625105208 1120633834 /nfs/dbraw/zinc/63/38/34/1120633834.db2.gz WUXXJPJVLXQWPV-UHFFFAOYSA-N 1 2 265.740 3.579 20 0 CHADLO CCCCC[C@@H](C)CC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178386964 1120634569 /nfs/dbraw/zinc/63/45/69/1120634569.db2.gz JEVJFKNBYXOHLQ-CQSZACIVSA-N 1 2 287.407 3.557 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cc(O)ccc1Cl ZINC000625133508 1120637600 /nfs/dbraw/zinc/63/76/00/1120637600.db2.gz IQVIQETWJUJVDE-UHFFFAOYSA-N 1 2 265.740 3.661 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cc(O)ccc1Cl ZINC000625133508 1120637603 /nfs/dbraw/zinc/63/76/03/1120637603.db2.gz IQVIQETWJUJVDE-UHFFFAOYSA-N 1 2 265.740 3.661 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@](F)(c3ccccc3C)C2)c(C)o1 ZINC000660487646 1120638931 /nfs/dbraw/zinc/63/89/31/1120638931.db2.gz WPLQJNBGGKDLIO-KRWDZBQOSA-N 1 2 288.366 3.671 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@](F)(c3ccccc3C)C2)c(C)o1 ZINC000660487646 1120638935 /nfs/dbraw/zinc/63/89/35/1120638935.db2.gz WPLQJNBGGKDLIO-KRWDZBQOSA-N 1 2 288.366 3.671 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2c(C)cc(C)[nH+]c2C)s1 ZINC000636581745 1120646453 /nfs/dbraw/zinc/64/64/53/1120646453.db2.gz JWLPGOLYEXSKRT-UHFFFAOYSA-N 1 2 274.389 3.938 20 0 CHADLO CC[C@H](OCc1c[nH+]cn1C)c1cccc(F)c1C ZINC001222599729 1120650370 /nfs/dbraw/zinc/65/03/70/1120650370.db2.gz DKWHDZHPMASDLC-HNNXBMFYSA-N 1 2 262.328 3.536 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625255855 1120656755 /nfs/dbraw/zinc/65/67/55/1120656755.db2.gz WLQNPFZDZIWGGQ-LLVKDONJSA-N 1 2 291.778 3.944 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625255855 1120656758 /nfs/dbraw/zinc/65/67/58/1120656758.db2.gz WLQNPFZDZIWGGQ-LLVKDONJSA-N 1 2 291.778 3.944 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)N1CCn2c[nH+]cc2C1 ZINC000660580921 1120664488 /nfs/dbraw/zinc/66/44/88/1120664488.db2.gz WEPLEFCMAQEZAS-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]([N@H+](C)Cn1nc(C(C)(C)C)[nH]c1=S)C(C)(C)C ZINC000026630643 1120668543 /nfs/dbraw/zinc/66/85/43/1120668543.db2.gz BQZFSMYCUGTFBB-SNVBAGLBSA-N 1 2 284.473 3.562 20 0 CHADLO C[C@@H]([N@@H+](C)Cn1nc(C(C)(C)C)[nH]c1=S)C(C)(C)C ZINC000026630643 1120668544 /nfs/dbraw/zinc/66/85/44/1120668544.db2.gz BQZFSMYCUGTFBB-SNVBAGLBSA-N 1 2 284.473 3.562 20 0 CHADLO CC(C)=CCC[N@@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000660647237 1120672478 /nfs/dbraw/zinc/67/24/78/1120672478.db2.gz PLJJJIMRIOYXSG-UHFFFAOYSA-N 1 2 267.376 3.544 20 0 CHADLO CC(C)=CCC[N@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000660647237 1120672481 /nfs/dbraw/zinc/67/24/81/1120672481.db2.gz PLJJJIMRIOYXSG-UHFFFAOYSA-N 1 2 267.376 3.544 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccnc2C(F)(F)F)CCC1(F)F ZINC000625432884 1120680073 /nfs/dbraw/zinc/68/00/73/1120680073.db2.gz IEOLYPXAHIBGFG-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccnc2C(F)(F)F)CCC1(F)F ZINC000625432884 1120680076 /nfs/dbraw/zinc/68/00/76/1120680076.db2.gz IEOLYPXAHIBGFG-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO c1cc(Cc2ccncc2)[nH+]c(NC(C2CC2)C2CC2)c1 ZINC001168744243 1120681468 /nfs/dbraw/zinc/68/14/68/1120681468.db2.gz XMOYFTWYNSBYDB-UHFFFAOYSA-N 1 2 279.387 3.668 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(F)c(F)c2)Cc2ccccc2O1 ZINC000429159105 1120690986 /nfs/dbraw/zinc/69/09/86/1120690986.db2.gz DZEPSJFRJCJKQM-LBPRGKRZSA-N 1 2 289.325 3.748 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(F)c(F)c2)Cc2ccccc2O1 ZINC000429159105 1120690987 /nfs/dbraw/zinc/69/09/87/1120690987.db2.gz DZEPSJFRJCJKQM-LBPRGKRZSA-N 1 2 289.325 3.748 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)c1C ZINC000334408506 1120699844 /nfs/dbraw/zinc/69/98/44/1120699844.db2.gz LNSHBCKFZNVCTF-CZUORRHYSA-N 1 2 269.392 3.755 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1Cl)[N@@H+]1C[C@@H]2CCCC[C@@H]21 ZINC000660929211 1120701776 /nfs/dbraw/zinc/70/17/76/1120701776.db2.gz WCXBUVXPHKLNRR-HUBLWGQQSA-N 1 2 292.810 3.541 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1Cl)[N@H+]1C[C@@H]2CCCC[C@@H]21 ZINC000660929211 1120701780 /nfs/dbraw/zinc/70/17/80/1120701780.db2.gz WCXBUVXPHKLNRR-HUBLWGQQSA-N 1 2 292.810 3.541 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2cccc(C(C)C)c2)n1 ZINC000660925950 1120703017 /nfs/dbraw/zinc/70/30/17/1120703017.db2.gz HFRXUKXVPXAAGN-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2cccc(C(C)C)c2)n1 ZINC000660925950 1120703021 /nfs/dbraw/zinc/70/30/21/1120703021.db2.gz HFRXUKXVPXAAGN-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ncc(C(C)(C)C)o1)CC2 ZINC000661374463 1120757703 /nfs/dbraw/zinc/75/77/03/1120757703.db2.gz AEVOOMXWFQOUMF-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ncc(C(C)(C)C)o1)CC2 ZINC000661374463 1120757707 /nfs/dbraw/zinc/75/77/07/1120757707.db2.gz AEVOOMXWFQOUMF-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+]2CCc3ccc(C(C)C)cc3C2)n1 ZINC000661375206 1120758782 /nfs/dbraw/zinc/75/87/82/1120758782.db2.gz VOYGZONPMHGGOF-GFCCVEGCSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1noc([C@@H](C)[N@H+]2CCc3ccc(C(C)C)cc3C2)n1 ZINC000661375206 1120758786 /nfs/dbraw/zinc/75/87/86/1120758786.db2.gz VOYGZONPMHGGOF-GFCCVEGCSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1ccc2c(c1)C[N@H+]([C@H](C)c1nc(C(C)C)no1)CC2 ZINC000661375076 1120759046 /nfs/dbraw/zinc/75/90/46/1120759046.db2.gz IKOZHLNHQCBBJL-CYBMUJFWSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+]([C@H](C)c1nc(C(C)C)no1)CC2 ZINC000661375076 1120759051 /nfs/dbraw/zinc/75/90/51/1120759051.db2.gz IKOZHLNHQCBBJL-CYBMUJFWSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1nocc1C[N@@H+]1CCc2oc(-c3ccccc3)cc2C1 ZINC000651879228 1120768037 /nfs/dbraw/zinc/76/80/37/1120768037.db2.gz QAAWJSTVAYYSOG-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1nocc1C[N@H+]1CCc2oc(-c3ccccc3)cc2C1 ZINC000651879228 1120768038 /nfs/dbraw/zinc/76/80/38/1120768038.db2.gz QAAWJSTVAYYSOG-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ccccc2F)C(C)C)c(C)o1 ZINC000661483236 1120770312 /nfs/dbraw/zinc/77/03/12/1120770312.db2.gz HBMHENUWCLQTGR-INIZCTEOSA-N 1 2 276.355 3.917 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2ccccc2F)C(C)C)co1 ZINC000661486495 1120770687 /nfs/dbraw/zinc/77/06/87/1120770687.db2.gz WTUIWSBIGHMABZ-OAHLLOKOSA-N 1 2 262.328 3.609 20 0 CHADLO C[C@H](O)[C@@H]([NH2+]Cc1cscc1Cl)c1ccccc1F ZINC000651892854 1120771694 /nfs/dbraw/zinc/77/16/94/1120771694.db2.gz POUIPEGUZGJCPG-LKFCYVNXSA-N 1 2 299.798 3.752 20 0 CHADLO C[C@H]([NH2+]C1(c2ccccc2Cl)CCC1)c1ccn(C)n1 ZINC000652216990 1120799635 /nfs/dbraw/zinc/79/96/35/1120799635.db2.gz LMWGZFLJZKHMNL-LBPRGKRZSA-N 1 2 289.810 3.804 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(C)on1)c1ccccc1F ZINC000652250504 1120805121 /nfs/dbraw/zinc/80/51/21/1120805121.db2.gz IXWAAMWXIDNUCC-FZMZJTMJSA-N 1 2 262.328 3.924 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cc(C)ccc2OC)c1 ZINC000652330465 1120827665 /nfs/dbraw/zinc/82/76/65/1120827665.db2.gz HJQBUWKSKILEBG-CYBMUJFWSA-N 1 2 286.375 3.718 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2csc(C(C)C)n2)c1 ZINC000652330881 1120827822 /nfs/dbraw/zinc/82/78/22/1120827822.db2.gz RPCKPXOGMZDIPA-LLVKDONJSA-N 1 2 291.420 3.981 20 0 CHADLO CC[C@@H](Nc1cc(COC)cc[nH+]1)c1ccc2c(c1)CCO2 ZINC000652330896 1120828008 /nfs/dbraw/zinc/82/80/08/1120828008.db2.gz RZYQNUPOHZZMPR-MRXNPFEDSA-N 1 2 298.386 3.726 20 0 CHADLO CC[C@H](Nc1cc(COC)cc[nH+]1)c1ccc2c(c1)CCO2 ZINC000652330895 1120828366 /nfs/dbraw/zinc/82/83/66/1120828366.db2.gz RZYQNUPOHZZMPR-INIZCTEOSA-N 1 2 298.386 3.726 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](c2ccc(F)cn2)C(C)C)c1 ZINC000652331155 1120829468 /nfs/dbraw/zinc/82/94/68/1120829468.db2.gz ZOACQEJGQJGXQB-MRXNPFEDSA-N 1 2 289.354 3.571 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](Cc2ccccc2)C2CC2)c1 ZINC000652331088 1120829617 /nfs/dbraw/zinc/82/96/17/1120829617.db2.gz XRAGXWWPBFOHKV-KRWDZBQOSA-N 1 2 282.387 3.661 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(C)s2)c1 ZINC000652331032 1120829908 /nfs/dbraw/zinc/82/99/08/1120829908.db2.gz WGOGLFPCKXVWFC-LLVKDONJSA-N 1 2 262.378 3.771 20 0 CHADLO CC(C)c1nc(C[NH+]2CCC3(CCCC3=O)CC2)cs1 ZINC000661953276 1120845687 /nfs/dbraw/zinc/84/56/87/1120845687.db2.gz MUAAFXWJFOYMKE-UHFFFAOYSA-N 1 2 292.448 3.602 20 0 CHADLO COc1cc(OC(F)F)ccc1-c1c[nH+]c(C(C)C)n1C ZINC000630051583 1128945144 /nfs/dbraw/zinc/94/51/44/1128945144.db2.gz AMXJGZOVLJKJNP-UHFFFAOYSA-N 1 2 296.317 3.821 20 0 CHADLO CCc1cc(N2[C@H](C)C[C@@H]3CCCC[C@H]32)nc(C)[nH+]1 ZINC000543877717 1120860043 /nfs/dbraw/zinc/86/00/43/1120860043.db2.gz VQQGJSGTPIOJJO-OSAQELSMSA-N 1 2 259.397 3.505 20 0 CHADLO CC(C)c1[nH+]cc(-c2cnc3ccccc3c2)n1C ZINC000630051060 1128945341 /nfs/dbraw/zinc/94/53/41/1128945341.db2.gz ZCPFRBIUJXMFLQ-UHFFFAOYSA-N 1 2 251.333 3.759 20 0 CHADLO c1nocc1-c1ccc(-c2c[nH+]c3n2CCCC3)cc1 ZINC000630051630 1128945459 /nfs/dbraw/zinc/94/54/59/1128945459.db2.gz BXGQXSOHZCQJOB-UHFFFAOYSA-N 1 2 265.316 3.541 20 0 CHADLO C[C@H](CCC1CC1)[NH2+]c1ccc(N2CCOC[C@@H]2C)cc1 ZINC000248913206 1120905968 /nfs/dbraw/zinc/90/59/68/1120905968.db2.gz COCGAWJRNMXJCN-CABCVRRESA-N 1 2 288.435 3.902 20 0 CHADLO CC1(C)C[C@]1([NH2+]Cc1nnc(C2CC2)s1)c1ccccc1 ZINC000662340043 1120926963 /nfs/dbraw/zinc/92/69/63/1120926963.db2.gz HGZZFJILSPANDO-KRWDZBQOSA-N 1 2 299.443 3.831 20 0 CHADLO CCc1cnc(C[NH2+][C@@]2(c3ccccc3)CC2(C)C)o1 ZINC000662345014 1120928947 /nfs/dbraw/zinc/92/89/47/1120928947.db2.gz VHIVNCGMLGISTP-QGZVFWFLSA-N 1 2 270.376 3.652 20 0 CHADLO CCc1cnc(C[NH2+][C@]2(c3ccccc3)CC2(C)C)o1 ZINC000662345012 1120929815 /nfs/dbraw/zinc/92/98/15/1120929815.db2.gz VHIVNCGMLGISTP-KRWDZBQOSA-N 1 2 270.376 3.652 20 0 CHADLO CC(C)[C@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC000078608909 1128950672 /nfs/dbraw/zinc/95/06/72/1128950672.db2.gz WZMGSHCXHZTEHW-FUHWJXTLSA-N 1 2 288.435 3.902 20 0 CHADLO CC(C)[C@H]1C[C@@H]([NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC000078608909 1128950674 /nfs/dbraw/zinc/95/06/74/1128950674.db2.gz WZMGSHCXHZTEHW-FUHWJXTLSA-N 1 2 288.435 3.902 20 0 CHADLO COc1ccccc1N(C)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000585748359 1121026541 /nfs/dbraw/zinc/02/65/41/1121026541.db2.gz APVQSDHVJVZQBR-UHFFFAOYSA-N 1 2 293.370 3.517 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3cc[nH]c3c2)c[nH+]1 ZINC001174750305 1121036820 /nfs/dbraw/zinc/03/68/20/1121036820.db2.gz GBNFFLWWOITKBK-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO CCCc1nc(C[N@@H+]2C[C@@H](C)C(F)(F)[C@@H](C)C2)cs1 ZINC000625644695 1121044635 /nfs/dbraw/zinc/04/46/35/1121044635.db2.gz CGPKEBJQWTWQGY-PHIMTYICSA-N 1 2 288.407 3.819 20 0 CHADLO CCCc1nc(C[N@H+]2C[C@@H](C)C(F)(F)[C@@H](C)C2)cs1 ZINC000625644695 1121044631 /nfs/dbraw/zinc/04/46/31/1121044631.db2.gz CGPKEBJQWTWQGY-PHIMTYICSA-N 1 2 288.407 3.819 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CCC[C@H](C2CC2)C1 ZINC000343992877 1121045098 /nfs/dbraw/zinc/04/50/98/1121045098.db2.gz OBURELVKLIWTFA-HNNXBMFYSA-N 1 2 289.423 3.739 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CCC[C@H](C2CC2)C1 ZINC000343992877 1121045104 /nfs/dbraw/zinc/04/51/04/1121045104.db2.gz OBURELVKLIWTFA-HNNXBMFYSA-N 1 2 289.423 3.739 20 0 CHADLO COc1ccc(Nc2cccn3cc(C)[nH+]c23)cc1C ZINC001174828119 1121047337 /nfs/dbraw/zinc/04/73/37/1121047337.db2.gz UJQJJJXBDAOQPR-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO Cc1ccc(F)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001174883567 1121058310 /nfs/dbraw/zinc/05/83/10/1121058310.db2.gz DSXXARHZRMBFJH-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(N(C)C)cc2)cc1 ZINC001174883822 1121059875 /nfs/dbraw/zinc/05/98/75/1121059875.db2.gz GSQYJXKYJWNDBQ-UHFFFAOYSA-N 1 2 269.392 3.952 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(N(C)C)cc2)cc1 ZINC001174883822 1121059885 /nfs/dbraw/zinc/05/98/85/1121059885.db2.gz GSQYJXKYJWNDBQ-UHFFFAOYSA-N 1 2 269.392 3.952 20 0 CHADLO Cl/C=C(/Cl)C[NH+]1CCC(OC2CCCC2)CC1 ZINC000763517204 1128960003 /nfs/dbraw/zinc/96/00/03/1128960003.db2.gz DRQSBMNWPYZFSN-PKNBQFBNSA-N 1 2 278.223 3.729 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2ccc(F)cc2)oc1C ZINC000049982975 1121072779 /nfs/dbraw/zinc/07/27/79/1121072779.db2.gz CBILDLBGUIMNMX-LLVKDONJSA-N 1 2 262.328 3.624 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2ccc(F)cc2)oc1C ZINC000049982975 1121072788 /nfs/dbraw/zinc/07/27/88/1121072788.db2.gz CBILDLBGUIMNMX-LLVKDONJSA-N 1 2 262.328 3.624 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(N(C)C)cc1 ZINC001174878892 1121078505 /nfs/dbraw/zinc/07/85/05/1121078505.db2.gz RVGYGUWTSUNKGY-UHFFFAOYSA-N 1 2 255.365 3.762 20 0 CHADLO c1cnc2cccc(Nc3ccc(N4CCCC4)[nH+]c3)c2c1 ZINC001174907911 1121087382 /nfs/dbraw/zinc/08/73/82/1121087382.db2.gz IIJSXTMSUQBZCQ-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO Oc1ccc(Cl)c(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000625047748 1121089405 /nfs/dbraw/zinc/08/94/05/1121089405.db2.gz NFKIBVQLRDJQJD-SNVBAGLBSA-N 1 2 293.716 3.820 20 0 CHADLO Oc1ccc(Cl)c(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000625047748 1121089416 /nfs/dbraw/zinc/08/94/16/1121089416.db2.gz NFKIBVQLRDJQJD-SNVBAGLBSA-N 1 2 293.716 3.820 20 0 CHADLO Fc1ccc(C[NH2+]C2(C(F)F)CCCC2)cc1F ZINC000674132097 1121090001 /nfs/dbraw/zinc/09/00/01/1121090001.db2.gz SDHCGMPSKKEGKR-UHFFFAOYSA-N 1 2 261.262 3.632 20 0 CHADLO CCOc1cc(C[NH2+]C2(C(F)F)CCCC2)ccc1OC ZINC000674135243 1121093929 /nfs/dbraw/zinc/09/39/29/1121093929.db2.gz JTKJYJDMFGZJCJ-UHFFFAOYSA-N 1 2 299.361 3.761 20 0 CHADLO COc1cccc2cc(C[NH2+][C@H](C)c3cscn3)oc21 ZINC000700442277 1121101390 /nfs/dbraw/zinc/10/13/90/1121101390.db2.gz FNTDWRPRESQLQO-SNVBAGLBSA-N 1 2 288.372 3.749 20 0 CHADLO Cc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1N ZINC001174934908 1121103882 /nfs/dbraw/zinc/10/38/82/1121103882.db2.gz HDOSMINCMARLOJ-UHFFFAOYSA-N 1 2 278.359 3.566 20 0 CHADLO O=c1ccoc2ccc(Nc3cccc(C4CC4)[nH+]3)cc12 ZINC001174944619 1121105587 /nfs/dbraw/zinc/10/55/87/1121105587.db2.gz XBVNEUCAWMXITN-UHFFFAOYSA-N 1 2 278.311 3.809 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3occc(=O)c3c2)c1 ZINC001174944370 1121106278 /nfs/dbraw/zinc/10/62/78/1121106278.db2.gz PWYGHGVNAOIWNK-UHFFFAOYSA-N 1 2 280.327 3.884 20 0 CHADLO CC(=O)OCc1cccc(C)c1Nc1cc(C)cc[nH+]1 ZINC001212767788 1121109452 /nfs/dbraw/zinc/10/94/52/1121109452.db2.gz XRDJMZPEYBLUST-UHFFFAOYSA-N 1 2 270.332 3.505 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)c(F)c(F)c2)c[nH+]1 ZINC001174958176 1121112063 /nfs/dbraw/zinc/11/20/63/1121112063.db2.gz MAPXXJCSNDRPGN-UHFFFAOYSA-N 1 2 281.281 3.699 20 0 CHADLO C[C@@H]1CCC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000344212961 1121120428 /nfs/dbraw/zinc/12/04/28/1121120428.db2.gz SXGUARPVMXHIJY-ZBFHGGJFSA-N 1 2 297.402 3.571 20 0 CHADLO CC[C@H]1CCCC[C@@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344204751 1121120788 /nfs/dbraw/zinc/12/07/88/1121120788.db2.gz DVWSVYNHICBHTC-YOEHRIQHSA-N 1 2 297.402 3.571 20 0 CHADLO COc1c(C)cc(Nc2cccc3[nH+]ccn32)cc1C ZINC001174996359 1121123374 /nfs/dbraw/zinc/12/33/74/1121123374.db2.gz KSRJZOCEMUYAEY-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CCc1cnc(C[NH2+][C@@](C)(CC)c2nc(C)cs2)s1 ZINC000344232386 1121126260 /nfs/dbraw/zinc/12/62/60/1121126260.db2.gz RSAMDGGQJZNRCF-AWEZNQCLSA-N 1 2 295.477 3.885 20 0 CHADLO CC1(F)CC[NH+](Cc2nccc(Cl)c2Cl)CC1 ZINC001175024581 1121138799 /nfs/dbraw/zinc/13/87/99/1121138799.db2.gz KLLPPIMSTDLPKV-UHFFFAOYSA-N 1 2 277.170 3.712 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@H+]([C@H]1CCCOC1)C2 ZINC000674506088 1121139434 /nfs/dbraw/zinc/13/94/34/1121139434.db2.gz ZTZSWQQQSHMIBS-LBPRGKRZSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@@H+]([C@H]1CCCOC1)C2 ZINC000674506088 1121139437 /nfs/dbraw/zinc/13/94/37/1121139437.db2.gz ZTZSWQQQSHMIBS-LBPRGKRZSA-N 1 2 286.202 3.531 20 0 CHADLO CCOc1ccc(F)c(Nc2cccn3cc[nH+]c23)c1F ZINC001175037384 1121153878 /nfs/dbraw/zinc/15/38/78/1121153878.db2.gz PUMOPFUQBKOOSG-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CN(C)c1ccc(NCc2cc3cc(F)ccc3o2)c[nH+]1 ZINC000162960849 1121167372 /nfs/dbraw/zinc/16/73/72/1121167372.db2.gz JXUQIKDGKIVAPH-UHFFFAOYSA-N 1 2 285.322 3.645 20 0 CHADLO C[C@H]([NH2+]Cc1nsc2ccccc12)c1ccns1 ZINC001175111210 1121169093 /nfs/dbraw/zinc/16/90/93/1121169093.db2.gz HOAWKPZFYKQBPT-VIFPVBQESA-N 1 2 275.402 3.604 20 0 CHADLO COc1cc(C)c(Nc2ccc(N(C)C)[nH+]c2)cc1C ZINC001175113071 1121170093 /nfs/dbraw/zinc/17/00/93/1121170093.db2.gz VQFUNHBPEBEOGN-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO C[C@H]1CC(C[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)C[C@H](C)C1 ZINC000658126267 1121170277 /nfs/dbraw/zinc/17/02/77/1121170277.db2.gz FEQOEJJCCMWFFL-CKEIUWERSA-N 1 2 298.434 3.556 20 0 CHADLO COc1cc(C)c(-n2c(C)[nH+]c3ccc(N)cc32)cc1C ZINC001175114422 1121170840 /nfs/dbraw/zinc/17/08/40/1121170840.db2.gz FVTDRPMZSNRYCD-UHFFFAOYSA-N 1 2 281.359 3.542 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)ccc(OC)c1F ZINC001175117284 1121172055 /nfs/dbraw/zinc/17/20/55/1121172055.db2.gz NNUQUYVDGNFLSN-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO Fc1cc(F)c(Nc2ccc[nH+]c2N2CCCC2)cc1F ZINC001175085713 1121177728 /nfs/dbraw/zinc/17/77/28/1121177728.db2.gz KYZSTUKGEYNCHH-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO CCOC(=O)CCC[N@@H+](c1ccc(C)cc1)C(C)C ZINC001167867681 1121178254 /nfs/dbraw/zinc/17/82/54/1121178254.db2.gz KQHVZIDVGMRYOR-UHFFFAOYSA-N 1 2 263.381 3.553 20 0 CHADLO CCOC(=O)CCC[N@H+](c1ccc(C)cc1)C(C)C ZINC001167867681 1121178256 /nfs/dbraw/zinc/17/82/56/1121178256.db2.gz KQHVZIDVGMRYOR-UHFFFAOYSA-N 1 2 263.381 3.553 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](CC(C)C)c2cccs2)no1 ZINC000351595567 1121182459 /nfs/dbraw/zinc/18/24/59/1121182459.db2.gz RGJYQDPIONJDSF-PWSUYJOCSA-N 1 2 279.409 3.878 20 0 CHADLO COc1ccc(CNc2[nH+]c3ccccc3cc2C)cn1 ZINC000063106191 1121198378 /nfs/dbraw/zinc/19/83/78/1121198378.db2.gz MVJDELDSPZEKAN-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)cs2)c1 ZINC001343594838 1121198610 /nfs/dbraw/zinc/19/86/10/1121198610.db2.gz DWFYHPXYVJIYLG-LBPRGKRZSA-N 1 2 289.448 3.995 20 0 CHADLO Fc1cc(F)c(Nc2ccc(N3CCCC3)[nH+]c2)c(F)c1 ZINC001175143615 1121200211 /nfs/dbraw/zinc/20/02/11/1121200211.db2.gz KXCRLSLXLWSWNA-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO Cc1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1OC(C)C ZINC001175190285 1121204102 /nfs/dbraw/zinc/20/41/02/1121204102.db2.gz KURXDLGEIZQPGY-UHFFFAOYSA-N 1 2 285.391 3.987 20 0 CHADLO Cc1cc(N(C)c2[nH+]cccc2N)ccc1OC(C)C ZINC001175190803 1121204279 /nfs/dbraw/zinc/20/42/79/1121204279.db2.gz UNOZBBYKPVIPQK-UHFFFAOYSA-N 1 2 271.364 3.527 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cl)c(F)c1 ZINC001175205374 1121207612 /nfs/dbraw/zinc/20/76/12/1121207612.db2.gz GNEPYFKZFLVCLN-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO CC(=O)c1ccccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001175174301 1121216017 /nfs/dbraw/zinc/21/60/17/1121216017.db2.gz DFGYMZCHQHTWHK-UHFFFAOYSA-N 1 2 281.359 3.628 20 0 CHADLO CC(=O)c1ccccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175176782 1121217478 /nfs/dbraw/zinc/21/74/78/1121217478.db2.gz LAINLXZCTMCTEH-UHFFFAOYSA-N 1 2 281.359 3.628 20 0 CHADLO Cc1ccc(NCc2ccc3ncccc3c2)c(C)[nH+]1 ZINC000094664223 1121219213 /nfs/dbraw/zinc/21/92/13/1121219213.db2.gz CSNRYPVTAZSHSE-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO CCOc1ccc(CNc2ccc(C)[nH+]c2C)cc1 ZINC000094664586 1121219399 /nfs/dbraw/zinc/21/93/99/1121219399.db2.gz XSVSIZUYDFXSPN-UHFFFAOYSA-N 1 2 256.349 3.709 20 0 CHADLO Cc1ccc(NCc2c[nH]nc2-c2ccccc2)c(C)[nH+]1 ZINC000094664760 1121219749 /nfs/dbraw/zinc/21/97/49/1121219749.db2.gz VQVGNGAEKSREDG-UHFFFAOYSA-N 1 2 278.359 3.701 20 0 CHADLO CCC(CC)CCC[N@H+](C)Cc1ncnn1C(C)(C)C ZINC000662035460 1121241176 /nfs/dbraw/zinc/24/11/76/1121241176.db2.gz DQLDSOPZQKLXIL-UHFFFAOYSA-N 1 2 280.460 3.681 20 0 CHADLO CCC(CC)CCC[N@@H+](C)Cc1ncnn1C(C)(C)C ZINC000662035460 1121241177 /nfs/dbraw/zinc/24/11/77/1121241177.db2.gz DQLDSOPZQKLXIL-UHFFFAOYSA-N 1 2 280.460 3.681 20 0 CHADLO CCOc1ccc(Nc2cccn3cc[nH+]c23)c(F)c1 ZINC001175247047 1121244343 /nfs/dbraw/zinc/24/43/43/1121244343.db2.gz KDGGRULBKZXEKN-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(F)c(C)c2)[nH+]1 ZINC001175248689 1121245489 /nfs/dbraw/zinc/24/54/89/1121245489.db2.gz JIKPYILLVSDWEB-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO CCOc1ccc(F)c(F)c1Nc1ccn2cc[nH+]c2c1 ZINC001175278385 1121250985 /nfs/dbraw/zinc/25/09/85/1121250985.db2.gz QIBZNBTXKXHHJN-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO Cc1cc(NC(=O)C2(c3cccc(F)c3)CCC2)cc[nH+]1 ZINC000112298232 1121253990 /nfs/dbraw/zinc/25/39/90/1121253990.db2.gz REJFBOZNPHEPOX-UHFFFAOYSA-N 1 2 284.334 3.590 20 0 CHADLO CCOc1cc(F)ccc1Nc1cccc2[nH+]ccn21 ZINC001175288914 1121255634 /nfs/dbraw/zinc/25/56/34/1121255634.db2.gz SWROQTPHFFLPRD-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOc1cc(Nc2ccc(C)c[nH+]2)ccc1OC ZINC001212538592 1121266729 /nfs/dbraw/zinc/26/67/29/1121266729.db2.gz AMSUIODOHWDQET-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CN(C)c1ccc(Nc2cc3ccccc3cc2O)c[nH+]1 ZINC001175343097 1121272109 /nfs/dbraw/zinc/27/21/09/1121272109.db2.gz GBWOHJBNEBAVJT-UHFFFAOYSA-N 1 2 279.343 3.750 20 0 CHADLO CN(C)c1ccc(Nc2cc(-c3cccc(F)c3)no2)c[nH+]1 ZINC001175342340 1121272314 /nfs/dbraw/zinc/27/23/14/1121272314.db2.gz SMGBRZNCFBSMBX-UHFFFAOYSA-N 1 2 298.321 3.685 20 0 CHADLO CN(C)c1ccc(Nc2cc(F)cc(Cl)c2)c[nH+]1 ZINC001175341784 1121272367 /nfs/dbraw/zinc/27/23/67/1121272367.db2.gz LBMYUQNIJJKMHW-UHFFFAOYSA-N 1 2 265.719 3.684 20 0 CHADLO CN(C)c1ccc(Nc2ccc(F)cc2Cl)c[nH+]1 ZINC001175343076 1121272520 /nfs/dbraw/zinc/27/25/20/1121272520.db2.gz WGDQTGGKXFTZLN-UHFFFAOYSA-N 1 2 265.719 3.684 20 0 CHADLO Cc1cc2cc(Nc3ccc(N(C)C)[nH+]c3)ccc2o1 ZINC001175344274 1121273819 /nfs/dbraw/zinc/27/38/19/1121273819.db2.gz CHHUNYGSHOGXOQ-UHFFFAOYSA-N 1 2 267.332 3.946 20 0 CHADLO CN(C)c1ccc(Nc2cccc3ncsc32)c[nH+]1 ZINC001175343838 1121274644 /nfs/dbraw/zinc/27/46/44/1121274644.db2.gz QPDAZOKYLNMRBZ-UHFFFAOYSA-N 1 2 270.361 3.501 20 0 CHADLO CN(C)c1ccc(Nc2cc(F)c(Cl)cc2F)c[nH+]1 ZINC001175346676 1121274716 /nfs/dbraw/zinc/27/47/16/1121274716.db2.gz XIMBXTAJUXHQRH-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO CN(C)c1ccc(Nc2ccc(Cl)cc2F)c[nH+]1 ZINC001175344109 1121274734 /nfs/dbraw/zinc/27/47/34/1121274734.db2.gz URZVONNQHHWUQP-UHFFFAOYSA-N 1 2 265.719 3.684 20 0 CHADLO c1cnc(C2CC2)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175347500 1121275775 /nfs/dbraw/zinc/27/57/75/1121275775.db2.gz MIADSRWNNNXVNY-UHFFFAOYSA-N 1 2 280.375 3.698 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cnc(C(C)(C)C)nc1 ZINC000319342680 1121287460 /nfs/dbraw/zinc/28/74/60/1121287460.db2.gz LLLKCANRXAQGOJ-UHFFFAOYSA-N 1 2 298.434 3.761 20 0 CHADLO CN(C)c1ccc(Nc2cnc(Cl)c(Cl)c2)c[nH+]1 ZINC001175339004 1121290379 /nfs/dbraw/zinc/29/03/79/1121290379.db2.gz SJZCBXNHNJBSKG-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO CN(C)c1ccc(Nc2cnccc2C2CCC2)c[nH+]1 ZINC001175340613 1121290495 /nfs/dbraw/zinc/29/04/95/1121290495.db2.gz AAJGCKVCQIAZDI-UHFFFAOYSA-N 1 2 268.364 3.554 20 0 CHADLO COc1cc(Nc2cc[nH+]c(SC)c2)ccc1F ZINC001175396033 1121290958 /nfs/dbraw/zinc/29/09/58/1121290958.db2.gz FUQAHIIYOXHBHM-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO COc1cccc(C)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175351948 1121293759 /nfs/dbraw/zinc/29/37/59/1121293759.db2.gz YCVPDRZHTBZURD-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO Cc1cc(C)c(O)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175353106 1121294085 /nfs/dbraw/zinc/29/40/85/1121294085.db2.gz ZSCYOWGPQBVPGH-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO c1cn(-c2cccc(Nc3cnc4cccnc4c3)c2)c[nH+]1 ZINC001175356467 1121294950 /nfs/dbraw/zinc/29/49/50/1121294950.db2.gz VKWFFWKFOBSZPG-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(O)c2F)cc1 ZINC001212554406 1121295212 /nfs/dbraw/zinc/29/52/12/1121295212.db2.gz RTJHXXCUGVKHGV-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(O)c2F)cc1 ZINC001212554406 1121295216 /nfs/dbraw/zinc/29/52/16/1121295216.db2.gz RTJHXXCUGVKHGV-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO Cc1ccnc(F)c1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001212558526 1121301742 /nfs/dbraw/zinc/30/17/42/1121301742.db2.gz PPFHGEZRSJXLLL-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO CC(=O)Nc1cccc(CNc2c[nH+]cc3c2CCCC3)c1 ZINC000630954607 1128976514 /nfs/dbraw/zinc/97/65/14/1128976514.db2.gz MUDDMWGJNHHQIT-UHFFFAOYSA-N 1 2 295.386 3.531 20 0 CHADLO Cc1nc(N2CC[C@H](c3ccccc3F)C2)c(C)c(C)[nH+]1 ZINC000338735505 1121310179 /nfs/dbraw/zinc/31/01/79/1121310179.db2.gz ZJJWNKZMFHGRHS-AWEZNQCLSA-N 1 2 285.366 3.535 20 0 CHADLO Cc1ccc2c(Nc3cnc4n[nH]cc4c3)cccc2[nH+]1 ZINC001175404499 1121316182 /nfs/dbraw/zinc/31/61/82/1121316182.db2.gz DSGGHQQBJOENBI-UHFFFAOYSA-N 1 2 275.315 3.558 20 0 CHADLO Cc1cc(C)c(Nc2ccc3n[nH]cc3c2C)c[nH+]1 ZINC001175467677 1121332363 /nfs/dbraw/zinc/33/23/63/1121332363.db2.gz CIKSUROWHNPBCM-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO FC1(F)CCC[C@@H]1COc1cccc(-n2cc[nH+]c2)c1 ZINC000418893072 1121332493 /nfs/dbraw/zinc/33/24/93/1121332493.db2.gz ZIJLYPSUZCPOIU-GFCCVEGCSA-N 1 2 278.302 3.687 20 0 CHADLO CCc1cc(OCC[C@@H]2CCOC2)c2ccccc2[nH+]1 ZINC000418920711 1121338207 /nfs/dbraw/zinc/33/82/07/1121338207.db2.gz HXZCNPTUGJNREV-ZDUSSCGKSA-N 1 2 271.360 3.603 20 0 CHADLO C[C@H]1CC[C@H]([N@H+](C)Cc2noc(C3CCCCC3)n2)C1 ZINC000429584731 1121341029 /nfs/dbraw/zinc/34/10/29/1121341029.db2.gz GIXJQPOMCHZQEF-JSGCOSHPSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@H]1CC[C@H]([N@@H+](C)Cc2noc(C3CCCCC3)n2)C1 ZINC000429584731 1121341040 /nfs/dbraw/zinc/34/10/40/1121341040.db2.gz GIXJQPOMCHZQEF-JSGCOSHPSA-N 1 2 277.412 3.738 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)cc2c[nH]nc21 ZINC001175516495 1121356713 /nfs/dbraw/zinc/35/67/13/1121356713.db2.gz CJKUIQFNQMMYDU-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1cccc2nc(C[N@@H+]3CCc4sccc4[C@H]3C)cn21 ZINC000051425581 1121364635 /nfs/dbraw/zinc/36/46/35/1121364635.db2.gz VDOMQOCHUQRDML-CYBMUJFWSA-N 1 2 297.427 3.824 20 0 CHADLO Cc1cccc2nc(C[N@H+]3CCc4sccc4[C@H]3C)cn21 ZINC000051425581 1121364642 /nfs/dbraw/zinc/36/46/42/1121364642.db2.gz VDOMQOCHUQRDML-CYBMUJFWSA-N 1 2 297.427 3.824 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CCCC[C@@H]2C)c(C)[nH+]1 ZINC000408405268 1121369912 /nfs/dbraw/zinc/36/99/12/1121369912.db2.gz CHKXMCOMUHFMHV-HZMBPMFUSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC000408405681 1121372019 /nfs/dbraw/zinc/37/20/19/1121372019.db2.gz FEJNYKTZWGOIMO-HZMBPMFUSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1noc(C)c1C[NH2+]Cc1oc2ccccc2c1Cl ZINC000631053822 1128981636 /nfs/dbraw/zinc/98/16/36/1128981636.db2.gz NCMZNIKIPHQIMJ-UHFFFAOYSA-N 1 2 290.750 3.981 20 0 CHADLO CCc1cccc(Nc2[nH+]cccc2N2CCCC2)c1 ZINC001175554155 1121383851 /nfs/dbraw/zinc/38/38/51/1121383851.db2.gz JWOWICBAJVICQL-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc(CO)cc3)ccc12 ZINC001175568381 1121390670 /nfs/dbraw/zinc/39/06/70/1121390670.db2.gz IWQQTGKRUGUYSG-UHFFFAOYSA-N 1 2 264.328 3.779 20 0 CHADLO CC(C)Sc1ccc(Nc2[nH+]cccc2N)cc1 ZINC001175623484 1121399316 /nfs/dbraw/zinc/39/93/16/1121399316.db2.gz GYZLCFZFTDTMKK-UHFFFAOYSA-N 1 2 259.378 3.908 20 0 CHADLO FC1(c2ccccc2)CCN(c2cccc[nH+]2)CC1 ZINC000432832602 1121399415 /nfs/dbraw/zinc/39/94/15/1121399415.db2.gz PBPILIYKLZHTCJ-UHFFFAOYSA-N 1 2 256.324 3.547 20 0 CHADLO CC(C)Sc1ccc(Nc2[nH+]cccc2CCO)cc1 ZINC001175624214 1121400592 /nfs/dbraw/zinc/40/05/92/1121400592.db2.gz UQDLQSAGLWNWDG-UHFFFAOYSA-N 1 2 288.416 3.861 20 0 CHADLO CCc1cc(C[NH2+]Cc2oc3ccccc3c2Cl)on1 ZINC000631077058 1128983559 /nfs/dbraw/zinc/98/35/59/1128983559.db2.gz CCGPXDCJHPIQEB-UHFFFAOYSA-N 1 2 290.750 3.926 20 0 CHADLO COCC[C@H](C)[N@@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000447385799 1121415448 /nfs/dbraw/zinc/41/54/48/1121415448.db2.gz PFLCDGIMIVHMKD-LBPRGKRZSA-N 1 2 292.810 3.535 20 0 CHADLO COCC[C@H](C)[N@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000447385799 1121415451 /nfs/dbraw/zinc/41/54/51/1121415451.db2.gz PFLCDGIMIVHMKD-LBPRGKRZSA-N 1 2 292.810 3.535 20 0 CHADLO CSc1cc(Nc2ccc3c(C)n[nH]c3c2)cc[nH+]1 ZINC001175661969 1121426639 /nfs/dbraw/zinc/42/66/39/1121426639.db2.gz XTKGJNYMJICUQZ-UHFFFAOYSA-N 1 2 270.361 3.732 20 0 CHADLO CCOc1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)cc1 ZINC001175714622 1121434816 /nfs/dbraw/zinc/43/48/16/1121434816.db2.gz STYSXHBPMNFXQS-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO CC[C@H](C[N@@H+]1C[C@H](c2ccccc2Cl)OC[C@@H]1C)OC ZINC000419207073 1121435508 /nfs/dbraw/zinc/43/55/08/1121435508.db2.gz OCFPZDFVFNRDKM-WOSRLPQWSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C[N@H+]1C[C@H](c2ccccc2Cl)OC[C@@H]1C)OC ZINC000419207073 1121435509 /nfs/dbraw/zinc/43/55/09/1121435509.db2.gz OCFPZDFVFNRDKM-WOSRLPQWSA-N 1 2 297.826 3.527 20 0 CHADLO CN(C)c1ccc(NCc2cc3ccccc3s2)c[nH+]1 ZINC000676494054 1121437938 /nfs/dbraw/zinc/43/79/38/1121437938.db2.gz BYJRJOYKFFYWGT-UHFFFAOYSA-N 1 2 283.400 3.974 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](C)c2cnn(C(C)C)c2)c(C)s1 ZINC000676489931 1121439727 /nfs/dbraw/zinc/43/97/27/1121439727.db2.gz NAVOIBAPSYGUJH-GHMZBOCLSA-N 1 2 292.452 3.949 20 0 CHADLO CCc1cc(N2CC(C)(C)[C@H]2c2ccncc2)nc(CC)[nH+]1 ZINC000893971962 1121447971 /nfs/dbraw/zinc/44/79/71/1121447971.db2.gz WYKMTPCVOSQLOX-QGZVFWFLSA-N 1 2 296.418 3.584 20 0 CHADLO Brc1cc2c(s1)CC[N@@H+]([C@H]1C=CCCC1)C2 ZINC000676709601 1121459130 /nfs/dbraw/zinc/45/91/30/1121459130.db2.gz KTHSVOLVUWVUFR-NSHDSACASA-N 1 2 298.249 3.977 20 0 CHADLO Brc1cc2c(s1)CC[N@H+]([C@H]1C=CCCC1)C2 ZINC000676709601 1121459133 /nfs/dbraw/zinc/45/91/33/1121459133.db2.gz KTHSVOLVUWVUFR-NSHDSACASA-N 1 2 298.249 3.977 20 0 CHADLO C[C@@H]1COCCC[N@@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000676710714 1121459266 /nfs/dbraw/zinc/45/92/66/1121459266.db2.gz HWLFAQKDSCIYLB-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1COCCC[N@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000676710714 1121459268 /nfs/dbraw/zinc/45/92/68/1121459268.db2.gz HWLFAQKDSCIYLB-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO CCCn1nc(C)cc1Nc1cccc2cc[nH+]cc21 ZINC001175804104 1121473313 /nfs/dbraw/zinc/47/33/13/1121473313.db2.gz PJNPUBTVHZSNFT-UHFFFAOYSA-N 1 2 266.348 3.893 20 0 CHADLO Cc1cc(C[N@H+]2CCS[C@H](C)[C@H]2c2ccccc2)no1 ZINC000246383399 1121498786 /nfs/dbraw/zinc/49/87/86/1121498786.db2.gz NJMDGXUKYWUXDN-CJNGLKHVSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cc(C[N@@H+]2CCS[C@H](C)[C@H]2c2ccccc2)no1 ZINC000246383399 1121498789 /nfs/dbraw/zinc/49/87/89/1121498789.db2.gz NJMDGXUKYWUXDN-CJNGLKHVSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(C)c(N)c(C)c2)[nH+]1 ZINC001175932670 1121499854 /nfs/dbraw/zinc/49/98/54/1121499854.db2.gz POPYEUNKJZWYRT-UHFFFAOYSA-N 1 2 266.348 3.585 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+]2CCO[C@H](C(F)F)C2)cc1 ZINC001175956302 1121502216 /nfs/dbraw/zinc/50/22/16/1121502216.db2.gz UDCJPBNJLZPYHE-HNNXBMFYSA-N 1 2 297.389 3.840 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+]2CCO[C@H](C(F)F)C2)cc1 ZINC001175956302 1121502221 /nfs/dbraw/zinc/50/22/21/1121502221.db2.gz UDCJPBNJLZPYHE-HNNXBMFYSA-N 1 2 297.389 3.840 20 0 CHADLO CC[C@@H](C[N@@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1)OC ZINC000419225617 1121504592 /nfs/dbraw/zinc/50/45/92/1121504592.db2.gz PMDGMQCVFOHSHO-LBPRGKRZSA-N 1 2 292.810 3.604 20 0 CHADLO CC[C@@H](C[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1)OC ZINC000419225617 1121504597 /nfs/dbraw/zinc/50/45/97/1121504597.db2.gz PMDGMQCVFOHSHO-LBPRGKRZSA-N 1 2 292.810 3.604 20 0 CHADLO COC(=O)[C@@H](c1ccccc1F)[N@H+](C)C[C@@H](C)C(C)(C)C ZINC001175984146 1121512025 /nfs/dbraw/zinc/51/20/25/1121512025.db2.gz REVOEMAOUGPIRJ-IUODEOHRSA-N 1 2 295.398 3.654 20 0 CHADLO COC(=O)[C@@H](c1ccccc1F)[N@@H+](C)C[C@@H](C)C(C)(C)C ZINC001175984146 1121512029 /nfs/dbraw/zinc/51/20/29/1121512029.db2.gz REVOEMAOUGPIRJ-IUODEOHRSA-N 1 2 295.398 3.654 20 0 CHADLO c1cc(Nc2cccc(C3CC3)[nH+]2)cc(N2CCOCC2)c1 ZINC001176019846 1121526978 /nfs/dbraw/zinc/52/69/78/1121526978.db2.gz WZMPEPGCFHLNOF-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO C[C@@H](Nc1cc2ccccc2c[nH+]1)[C@H]1CCCCO1 ZINC000631196598 1128991344 /nfs/dbraw/zinc/99/13/44/1128991344.db2.gz SRSLNESOTFMSGQ-IUODEOHRSA-N 1 2 256.349 3.604 20 0 CHADLO c1cc2c(c(Nc3cccc4cc[nH+]cc43)c1)OCC2 ZINC001176075707 1121530610 /nfs/dbraw/zinc/53/06/10/1121530610.db2.gz VRNMDBZFUIARLJ-UHFFFAOYSA-N 1 2 262.312 3.913 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCS[C@H](C)[C@@H]2C)cs1 ZINC000345084401 1121535393 /nfs/dbraw/zinc/53/53/93/1121535393.db2.gz IRLYKBBUQDOBGO-NWDGAFQWSA-N 1 2 284.494 3.812 20 0 CHADLO CCCCc1nc(C[N@H+]2CCS[C@H](C)[C@@H]2C)cs1 ZINC000345084401 1121535399 /nfs/dbraw/zinc/53/53/99/1121535399.db2.gz IRLYKBBUQDOBGO-NWDGAFQWSA-N 1 2 284.494 3.812 20 0 CHADLO FC(F)[C@H]([NH2+]CCCc1ccncc1)c1ccccc1 ZINC000433046220 1121535869 /nfs/dbraw/zinc/53/58/69/1121535869.db2.gz TXDKSRLHJKCHCO-OAHLLOKOSA-N 1 2 276.330 3.610 20 0 CHADLO Nc1ccc[nH+]c1Nc1cccc(OC2CCCC2)c1 ZINC001176097777 1121540660 /nfs/dbraw/zinc/54/06/60/1121540660.db2.gz WTJKQXHWAAEOQR-UHFFFAOYSA-N 1 2 269.348 3.729 20 0 CHADLO Cc1ccc(NCc2ccnc3ccccc23)c(C)[nH+]1 ZINC000094664478 1128992732 /nfs/dbraw/zinc/99/27/32/1128992732.db2.gz GQKMATHJATUJFG-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1N1CCCCC1 ZINC001176120755 1121556816 /nfs/dbraw/zinc/55/68/16/1121556816.db2.gz JGDMVXKFVOVISH-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C2CCCCC2)no1 ZINC001176116337 1121556955 /nfs/dbraw/zinc/55/69/55/1121556955.db2.gz AEIWZIUYKHARQQ-UHFFFAOYSA-N 1 2 273.336 3.870 20 0 CHADLO COc1cc(Nc2c[nH+]ccc2OC)cc(C(F)(F)F)c1 ZINC001176120794 1121557422 /nfs/dbraw/zinc/55/74/22/1121557422.db2.gz MFIGBFLLOYSXDR-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)c(OC(C)C)c(F)c1 ZINC001176125080 1121560546 /nfs/dbraw/zinc/56/05/46/1121560546.db2.gz YWZGVHAXLNWCPV-UHFFFAOYSA-N 1 2 294.301 3.899 20 0 CHADLO COc1cc[nH+]cc1Nc1c(Cl)cccc1C(C)=O ZINC001176124631 1121560924 /nfs/dbraw/zinc/56/09/24/1121560924.db2.gz PTIMBBUBVMSEBB-UHFFFAOYSA-N 1 2 276.723 3.690 20 0 CHADLO CCc1cc(OCc2cc(C)nc(C)n2)c2ccccc2[nH+]1 ZINC000663997767 1121564548 /nfs/dbraw/zinc/56/45/48/1121564548.db2.gz CVQZWIOPAQVROI-UHFFFAOYSA-N 1 2 293.370 3.783 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1Nc1[nH+]cccc1CCO ZINC001176206068 1121573537 /nfs/dbraw/zinc/57/35/37/1121573537.db2.gz QKFLWKPOICHKAD-UHFFFAOYSA-N 1 2 296.292 3.687 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1Nc1[nH+]cccc1N ZINC001176204925 1121573830 /nfs/dbraw/zinc/57/38/30/1121573830.db2.gz MJLRBFJNVSUUAF-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO CSc1cc(Nc2cncc(C3CC3)c2)cc[nH+]1 ZINC001176283285 1121587141 /nfs/dbraw/zinc/58/71/41/1121587141.db2.gz DXFAAKMEFPMPEE-UHFFFAOYSA-N 1 2 257.362 3.820 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cncc(C2CC2)c1 ZINC001176283798 1121587163 /nfs/dbraw/zinc/58/71/63/1121587163.db2.gz VFKQHTRGNBAJAN-UHFFFAOYSA-N 1 2 253.349 3.968 20 0 CHADLO c1cn(Cc2ccc(Nc3cncc(C4CC4)c3)cc2)c[nH+]1 ZINC001176285313 1121588147 /nfs/dbraw/zinc/58/81/47/1121588147.db2.gz IDXCZSUEVCGXBK-UHFFFAOYSA-N 1 2 290.370 3.947 20 0 CHADLO CC(C)C1CCC([N@H+](C)Cc2noc(C3CC3)n2)CC1 ZINC000433142636 1121590656 /nfs/dbraw/zinc/59/06/56/1121590656.db2.gz DXUYRURNOCTNEP-UHFFFAOYSA-N 1 2 277.412 3.594 20 0 CHADLO CC(C)C1CCC([N@@H+](C)Cc2noc(C3CC3)n2)CC1 ZINC000433142636 1121590664 /nfs/dbraw/zinc/59/06/64/1121590664.db2.gz DXUYRURNOCTNEP-UHFFFAOYSA-N 1 2 277.412 3.594 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(Cl)cc(OC)c1 ZINC001176341826 1121601246 /nfs/dbraw/zinc/60/12/46/1121601246.db2.gz CSVJSMZOTNUDOQ-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO COc1cc(Cl)cc(-n2c(C)[nH+]c3ccc(N)cc32)c1 ZINC001176344487 1121602453 /nfs/dbraw/zinc/60/24/53/1121602453.db2.gz LQHACSOLOZXONF-UHFFFAOYSA-N 1 2 287.750 3.578 20 0 CHADLO O[C@@H]1CCCC[C@H]1[C@H]1CCCN1c1cc2ccccc2c[nH+]1 ZINC000631263768 1128996352 /nfs/dbraw/zinc/99/63/52/1128996352.db2.gz UENBMRCVKZMFSR-RCCFBDPRSA-N 1 2 296.414 3.755 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000631285002 1128996426 /nfs/dbraw/zinc/99/64/26/1128996426.db2.gz WOIMBMWEWBBTCE-MRXNPFEDSA-N 1 2 291.341 3.943 20 0 CHADLO CCCn1nccc1C[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000319533142 1121606070 /nfs/dbraw/zinc/60/60/70/1121606070.db2.gz FIGGMADCNLIPHZ-SFHVURJKSA-N 1 2 295.386 3.765 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CC[C@@H]2CCCC[C@@H]21 ZINC000664048180 1121608130 /nfs/dbraw/zinc/60/81/30/1121608130.db2.gz BQTYNOQAYGAXHT-LRDDRELGSA-N 1 2 267.376 3.586 20 0 CHADLO Cc1cc(OC(C)C)ccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001211810673 1121609443 /nfs/dbraw/zinc/60/94/43/1121609443.db2.gz XAQMWODZHCYHCP-UHFFFAOYSA-N 1 2 285.391 3.987 20 0 CHADLO Cc1ccc([C@@H]2CC[N@H+](Cc3noc(C(C)(C)C)n3)C2)cc1 ZINC000544183986 1121619278 /nfs/dbraw/zinc/61/92/78/1121619278.db2.gz OTLMVGAHZDMIEO-OAHLLOKOSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1ccc([C@@H]2CC[N@@H+](Cc3noc(C(C)(C)C)n3)C2)cc1 ZINC000544183986 1121619282 /nfs/dbraw/zinc/61/92/82/1121619282.db2.gz OTLMVGAHZDMIEO-OAHLLOKOSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1cccn2c(C[NH2+]C3(C(F)F)CCCCC3)cnc12 ZINC000512893145 1121632432 /nfs/dbraw/zinc/63/24/32/1121632432.db2.gz AIFVAHAWRRGUBD-UHFFFAOYSA-N 1 2 293.361 3.700 20 0 CHADLO Cc1cccn2c(CNC3(C(F)F)CCCCC3)c[nH+]c12 ZINC000512893145 1121632435 /nfs/dbraw/zinc/63/24/35/1121632435.db2.gz AIFVAHAWRRGUBD-UHFFFAOYSA-N 1 2 293.361 3.700 20 0 CHADLO COc1ccc(C[N@@H+]2CCCC[C@@H]2c2cc(C)[nH]n2)cc1C ZINC001176484921 1121637554 /nfs/dbraw/zinc/63/75/54/1121637554.db2.gz KHIGHMYYHXDNFU-QGZVFWFLSA-N 1 2 299.418 3.762 20 0 CHADLO COc1ccc(C[N@H+]2CCCC[C@@H]2c2cc(C)[nH]n2)cc1C ZINC001176484921 1121637556 /nfs/dbraw/zinc/63/75/56/1121637556.db2.gz KHIGHMYYHXDNFU-QGZVFWFLSA-N 1 2 299.418 3.762 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(OCC2CC2)c1 ZINC001176492304 1121638576 /nfs/dbraw/zinc/63/85/76/1121638576.db2.gz XVWRCWMTLMYDRQ-UHFFFAOYSA-N 1 2 293.370 3.705 20 0 CHADLO c1cn2ccc(Nc3cccc(OCC4CC4)c3)cc2[nH+]1 ZINC001176492349 1121639035 /nfs/dbraw/zinc/63/90/35/1121639035.db2.gz YWUIMIBCTHFJRY-UHFFFAOYSA-N 1 2 279.343 3.867 20 0 CHADLO C[N@@H+](Cc1noc(C2CC2)n1)CC1CCC(C)(C)CC1 ZINC000412076257 1121640231 /nfs/dbraw/zinc/64/02/31/1121640231.db2.gz PGYOGDPVZDGNLG-UHFFFAOYSA-N 1 2 277.412 3.595 20 0 CHADLO C[N@H+](Cc1noc(C2CC2)n1)CC1CCC(C)(C)CC1 ZINC000412076257 1121640238 /nfs/dbraw/zinc/64/02/38/1121640238.db2.gz PGYOGDPVZDGNLG-UHFFFAOYSA-N 1 2 277.412 3.595 20 0 CHADLO C[C@@H]1CCN(c2[nH+]ccc3cc(F)ccc32)C[C@@H]1F ZINC000645206673 1121653365 /nfs/dbraw/zinc/65/33/65/1121653365.db2.gz LLDSVRMAZOXJIO-YGRLFVJLSA-N 1 2 262.303 3.558 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2cscn2)c(OC)c1 ZINC000162359369 1121666132 /nfs/dbraw/zinc/66/61/32/1121666132.db2.gz VUZSWOZUPOWWQV-MNOVXSKESA-N 1 2 292.404 3.572 20 0 CHADLO Cn1c(Cl)cnc1C[N@H+](Cc1ccco1)C1CCCC1 ZINC000052077109 1121675553 /nfs/dbraw/zinc/67/55/53/1121675553.db2.gz GFOKKBIZYXKQEC-UHFFFAOYSA-N 1 2 293.798 3.611 20 0 CHADLO Cn1c(Cl)cnc1C[N@@H+](Cc1ccco1)C1CCCC1 ZINC000052077109 1121675556 /nfs/dbraw/zinc/67/55/56/1121675556.db2.gz GFOKKBIZYXKQEC-UHFFFAOYSA-N 1 2 293.798 3.611 20 0 CHADLO COc1ccc2ccc(NC3CCC(OC)CC3)[nH+]c2c1 ZINC000894162020 1121677479 /nfs/dbraw/zinc/67/74/79/1121677479.db2.gz MLLYBHHHTVWXOB-UHFFFAOYSA-N 1 2 286.375 3.613 20 0 CHADLO Cc1nnc(C[N@@H+]2CCCC[C@@H]2c2ccccc2)s1 ZINC000273886039 1121680818 /nfs/dbraw/zinc/68/08/18/1121680818.db2.gz UIYPLWXMNCKTAJ-CQSZACIVSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1nnc(C[N@H+]2CCCC[C@@H]2c2ccccc2)s1 ZINC000273886039 1121680820 /nfs/dbraw/zinc/68/08/20/1121680820.db2.gz UIYPLWXMNCKTAJ-CQSZACIVSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1cccc(F)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001176750826 1121687854 /nfs/dbraw/zinc/68/78/54/1121687854.db2.gz OGOSSZASKOGDSC-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO FC(F)(F)c1ncc2n1CC[N@H+]([C@H]1C=CCCCCC1)C2 ZINC001176709498 1121689515 /nfs/dbraw/zinc/68/95/15/1121689515.db2.gz HWXGJBBWIJRZLZ-LBPRGKRZSA-N 1 2 299.340 3.606 20 0 CHADLO FC(F)(F)c1ncc2n1CC[N@@H+]([C@H]1C=CCCCCC1)C2 ZINC001176709498 1121689520 /nfs/dbraw/zinc/68/95/20/1121689520.db2.gz HWXGJBBWIJRZLZ-LBPRGKRZSA-N 1 2 299.340 3.606 20 0 CHADLO COCOc1ccc(F)cc1Nc1cc[nH+]c(SC)c1 ZINC001176719123 1121691777 /nfs/dbraw/zinc/69/17/77/1121691777.db2.gz OQEJJMZHBLKDFF-UHFFFAOYSA-N 1 2 294.351 3.669 20 0 CHADLO CC1=C[C@@H](C)C[N@H+](Cc2ccnc(Cl)c2Cl)C1 ZINC000811501555 1121696212 /nfs/dbraw/zinc/69/62/12/1121696212.db2.gz NPMJPNFFRYBWJE-SECBINFHSA-N 1 2 271.191 3.786 20 0 CHADLO CC1=C[C@@H](C)C[N@@H+](Cc2ccnc(Cl)c2Cl)C1 ZINC000811501555 1121696216 /nfs/dbraw/zinc/69/62/16/1121696216.db2.gz NPMJPNFFRYBWJE-SECBINFHSA-N 1 2 271.191 3.786 20 0 CHADLO Clc1cccc(C[NH+]2CC(c3cccnc3)C2)c1Cl ZINC001204183470 1121707371 /nfs/dbraw/zinc/70/73/71/1121707371.db2.gz OCYKGUOUHFANSO-UHFFFAOYSA-N 1 2 293.197 3.988 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2cc(F)ccc2F)c(C)s1 ZINC000111405287 1121712742 /nfs/dbraw/zinc/71/27/42/1121712742.db2.gz AMKVHMCKISHLGK-QMMMGPOBSA-N 1 2 282.359 3.889 20 0 CHADLO Clc1ccc(C[NH2+]Cc2nc3ccccc3o2)s1 ZINC001177162303 1121716939 /nfs/dbraw/zinc/71/69/39/1121716939.db2.gz LGEUTLKGPYWLMA-UHFFFAOYSA-N 1 2 278.764 3.833 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+]Cc2coc(C3CC3)n2)c1 ZINC000727468778 1121722884 /nfs/dbraw/zinc/72/28/84/1121722884.db2.gz OCCYYBNVFATPQG-LBPRGKRZSA-N 1 2 286.375 3.802 20 0 CHADLO Cc1cc2cc(C(=O)Nc3cccc4[nH+]ccn43)ccc2o1 ZINC001150737335 1121752405 /nfs/dbraw/zinc/75/24/05/1121752405.db2.gz BAPOYXJQCRUWPH-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO CCc1cc(C[NH2+]Cc2ccc(Cl)cc2Cl)on1 ZINC001177911616 1121756543 /nfs/dbraw/zinc/75/65/43/1121756543.db2.gz ITKXIMCKYXFBAA-UHFFFAOYSA-N 1 2 285.174 3.834 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2cc(C(C)C)no2)c(F)c1 ZINC000169214058 1121773207 /nfs/dbraw/zinc/77/32/07/1121773207.db2.gz RBWILKGCMUCQLD-LLVKDONJSA-N 1 2 292.354 3.797 20 0 CHADLO COc1cc[nH+]cc1NCc1ccc(OCC(C)C)cc1 ZINC001178259567 1121778310 /nfs/dbraw/zinc/77/83/10/1121778310.db2.gz UGAFYABYZANNBC-UHFFFAOYSA-N 1 2 286.375 3.737 20 0 CHADLO C[N@H+](Cc1cnn(-c2ccccc2)c1)Cc1ccccc1F ZINC000052916752 1121782997 /nfs/dbraw/zinc/78/29/97/1121782997.db2.gz ULCCKENHBFPVSB-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO C[N@@H+](Cc1cnn(-c2ccccc2)c1)Cc1ccccc1F ZINC000052916752 1121783006 /nfs/dbraw/zinc/78/30/06/1121783006.db2.gz ULCCKENHBFPVSB-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO CC(C)c1nnc([C@@H](C)[NH2+]Cc2ccc(Cl)c(F)c2)[nH]1 ZINC001178409998 1121785944 /nfs/dbraw/zinc/78/59/44/1121785944.db2.gz ZXIOEYVCMDOJLV-SECBINFHSA-N 1 2 296.777 3.571 20 0 CHADLO COC1(C[C@@H](C)[NH2+]c2ccc(N(C)C)c(C)c2)CCC1 ZINC000760013757 1121787430 /nfs/dbraw/zinc/78/74/30/1121787430.db2.gz FXRLVPLMLOBNON-CQSZACIVSA-N 1 2 276.424 3.821 20 0 CHADLO COC1(C[C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)CCC1 ZINC000760013757 1121787437 /nfs/dbraw/zinc/78/74/37/1121787437.db2.gz FXRLVPLMLOBNON-CQSZACIVSA-N 1 2 276.424 3.821 20 0 CHADLO OCc1cc[nH+]c(NCc2ccccc2-c2ccccc2)c1 ZINC001178992999 1121838451 /nfs/dbraw/zinc/83/84/51/1121838451.db2.gz XFZCSDONBGVSPD-UHFFFAOYSA-N 1 2 290.366 3.853 20 0 CHADLO C[C@@H]([NH2+]Cc1cccnc1Cl)c1c(F)cccc1F ZINC000731450961 1121868910 /nfs/dbraw/zinc/86/89/10/1121868910.db2.gz VOJQZJXXHWMHQE-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1ccns1 ZINC001179298765 1121869213 /nfs/dbraw/zinc/86/92/13/1121869213.db2.gz CZEGJIDFVNBMMI-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO FC[C@H]([NH2+]Cc1cccc(F)c1)c1ccc(F)cc1 ZINC001179402587 1121873688 /nfs/dbraw/zinc/87/36/88/1121873688.db2.gz VXPOVAKUVOJGEP-HNNXBMFYSA-N 1 2 265.278 3.765 20 0 CHADLO Cc1ccc2[nH+]c(NCc3nccc4c3CCCC4)[nH]c2c1 ZINC001179443615 1121876597 /nfs/dbraw/zinc/87/65/97/1121876597.db2.gz SLDHIKVDTDFHNK-UHFFFAOYSA-N 1 2 292.386 3.757 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@@H+](Cc1ccoc1)CC2 ZINC001204245708 1121882651 /nfs/dbraw/zinc/88/26/51/1121882651.db2.gz VAISETJFGSUXCV-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@H+](Cc1ccoc1)CC2 ZINC001204245708 1121882657 /nfs/dbraw/zinc/88/26/57/1121882657.db2.gz VAISETJFGSUXCV-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cccc(Br)c1F ZINC001204245694 1121884157 /nfs/dbraw/zinc/88/41/57/1121884157.db2.gz UDRNXCLHMGJVIP-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cccc(Br)c1F ZINC001204245694 1121884166 /nfs/dbraw/zinc/88/41/66/1121884166.db2.gz UDRNXCLHMGJVIP-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@H]3CC[C@@H](C)C3)cc2[nH+]1 ZINC000345532000 1121892076 /nfs/dbraw/zinc/89/20/76/1121892076.db2.gz ALMAENQIVWZBCB-PWSUYJOCSA-N 1 2 271.364 3.636 20 0 CHADLO CCC(CC)CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000345552920 1121893066 /nfs/dbraw/zinc/89/30/66/1121893066.db2.gz VEPHRLWMURNVPX-UHFFFAOYSA-N 1 2 259.353 3.636 20 0 CHADLO CCCC1(C(=O)Nc2ccc3[nH+]c(C)cn3c2)CCC1 ZINC000345657208 1121904247 /nfs/dbraw/zinc/90/42/47/1121904247.db2.gz VGKLKNHFBBVEQA-UHFFFAOYSA-N 1 2 271.364 3.552 20 0 CHADLO Cc1ccc(Cl)c(C[NH+]2CC3(C2)CCOC3)c1Cl ZINC001237328938 1129018462 /nfs/dbraw/zinc/01/84/62/1129018462.db2.gz WJLLPUDIHGXAMA-UHFFFAOYSA-N 1 2 286.202 3.524 20 0 CHADLO CNc1ccccc1C[N@@H+]1CCc2ccc(F)c(F)c2C1 ZINC001179924274 1121922999 /nfs/dbraw/zinc/92/29/99/1121922999.db2.gz FAGLGURGKQFRBN-UHFFFAOYSA-N 1 2 288.341 3.565 20 0 CHADLO CNc1ccccc1C[N@H+]1CCc2ccc(F)c(F)c2C1 ZINC001179924274 1121923005 /nfs/dbraw/zinc/92/30/05/1121923005.db2.gz FAGLGURGKQFRBN-UHFFFAOYSA-N 1 2 288.341 3.565 20 0 CHADLO Fc1nccc(Cl)c1C[N@@H+]1CC[C@H]1Cc1ccccc1 ZINC001180072075 1121945267 /nfs/dbraw/zinc/94/52/67/1121945267.db2.gz MQJUJOVWGHPECX-ZDUSSCGKSA-N 1 2 290.769 3.691 20 0 CHADLO Fc1nccc(Cl)c1C[N@H+]1CC[C@H]1Cc1ccccc1 ZINC001180072075 1121945270 /nfs/dbraw/zinc/94/52/70/1121945270.db2.gz MQJUJOVWGHPECX-ZDUSSCGKSA-N 1 2 290.769 3.691 20 0 CHADLO Cc1cc(C[NH+]2CCC(CCc3ccccc3)CC2)n[nH]1 ZINC001120041012 1121954100 /nfs/dbraw/zinc/95/41/00/1121954100.db2.gz VZAXEFIZDGBGFF-UHFFFAOYSA-N 1 2 283.419 3.563 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@@H+]1CC[C@](C)(F)C1 ZINC000501412421 1121976128 /nfs/dbraw/zinc/97/61/28/1121976128.db2.gz UMFNSDRLRZEOJN-LRDDRELGSA-N 1 2 274.339 3.837 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@H+]1CC[C@](C)(F)C1 ZINC000501412421 1121976133 /nfs/dbraw/zinc/97/61/33/1121976133.db2.gz UMFNSDRLRZEOJN-LRDDRELGSA-N 1 2 274.339 3.837 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1CC[C@@](C)(F)C1 ZINC000501421366 1121978374 /nfs/dbraw/zinc/97/83/74/1121978374.db2.gz SQEBLTHJRUNPML-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1CC[C@@](C)(F)C1 ZINC000501421366 1121978376 /nfs/dbraw/zinc/97/83/76/1121978376.db2.gz SQEBLTHJRUNPML-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(-c2cnc(C[N@@H+]3CC[C@](C)(F)C3)o2)cc1 ZINC000501448275 1121979900 /nfs/dbraw/zinc/97/99/00/1121979900.db2.gz QUJFROLWGQSYLC-INIZCTEOSA-N 1 2 274.339 3.584 20 0 CHADLO Cc1ccc(-c2cnc(C[N@H+]3CC[C@](C)(F)C3)o2)cc1 ZINC000501448275 1121979909 /nfs/dbraw/zinc/97/99/09/1121979909.db2.gz QUJFROLWGQSYLC-INIZCTEOSA-N 1 2 274.339 3.584 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001180333066 1121980428 /nfs/dbraw/zinc/98/04/28/1121980428.db2.gz YOHKESNPJLPPEV-BXUZGUMPSA-N 1 2 285.790 3.919 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001180333066 1121980435 /nfs/dbraw/zinc/98/04/35/1121980435.db2.gz YOHKESNPJLPPEV-BXUZGUMPSA-N 1 2 285.790 3.919 20 0 CHADLO Cc1ccc(Nc2nc3c(cccc3O)s2)c(C)[nH+]1 ZINC001203363289 1121996178 /nfs/dbraw/zinc/99/61/78/1121996178.db2.gz OUFPGKHLRVQIMJ-UHFFFAOYSA-N 1 2 271.345 3.757 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2cc(-c3ccccc3)n(C)n2)o1 ZINC000631730516 1129024998 /nfs/dbraw/zinc/02/49/98/1129024998.db2.gz WJVQJZFCQKJDGE-AWEZNQCLSA-N 1 2 295.386 3.839 20 0 CHADLO COC(=O)c1cc(NCc2cc(C)[nH+]c(C)c2)c(C)cc1C ZINC001180944068 1122025164 /nfs/dbraw/zinc/02/51/64/1122025164.db2.gz RPCCEUVCYKBOAD-UHFFFAOYSA-N 1 2 298.386 3.714 20 0 CHADLO Cc1nc(N2CCC[C@H](CC(F)(F)F)C2)c(C)c(C)[nH+]1 ZINC000420635359 1122027494 /nfs/dbraw/zinc/02/74/94/1122027494.db2.gz UJOPBQBXJYMYDK-GFCCVEGCSA-N 1 2 287.329 3.571 20 0 CHADLO CC[C@@H]1C[NH+]([C@H](C)c2nc3ccccc3o2)C[C@@H](CC)O1 ZINC000420956210 1122051525 /nfs/dbraw/zinc/05/15/25/1122051525.db2.gz MSLULGPJFDWVHQ-MGPQQGTHSA-N 1 2 288.391 3.778 20 0 CHADLO Cc1nc(C[NH2+][C@@H](CC(F)F)c2ccccc2)cs1 ZINC000420987146 1122053996 /nfs/dbraw/zinc/05/39/96/1122053996.db2.gz OCXXHKBPGLIFEM-ZDUSSCGKSA-N 1 2 282.359 3.938 20 0 CHADLO Cc1ccc(Nc2ccnn2Cc2ccccc2F)c(C)[nH+]1 ZINC001203367118 1122055922 /nfs/dbraw/zinc/05/59/22/1122055922.db2.gz GVPYCFFBXWZUGH-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO CC(C)[N@H+](Cc1ncccn1)[C@H](C)c1ccsc1 ZINC000421020621 1122064910 /nfs/dbraw/zinc/06/49/10/1122064910.db2.gz ZVRARFJGJJYCHT-GFCCVEGCSA-N 1 2 261.394 3.510 20 0 CHADLO CC(C)[N@@H+](Cc1ncccn1)[C@H](C)c1ccsc1 ZINC000421020621 1122064914 /nfs/dbraw/zinc/06/49/14/1122064914.db2.gz ZVRARFJGJJYCHT-GFCCVEGCSA-N 1 2 261.394 3.510 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@@H](CF)c2ccc(F)cc2)ccn1 ZINC000631798339 1129029169 /nfs/dbraw/zinc/02/91/69/1129029169.db2.gz RDGDZDZRTLFFIA-NHYWBVRUSA-N 1 2 292.329 3.591 20 0 CHADLO C[C@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1cccnc1 ZINC000631798606 1129029194 /nfs/dbraw/zinc/02/91/94/1129029194.db2.gz WDCQAUYCRLYATM-XHDPSFHLSA-N 1 2 262.303 3.582 20 0 CHADLO CCN(CC)c1ccc(N[C@H]2CCC[C@H]2CCOC)c[nH+]1 ZINC000502409798 1122081371 /nfs/dbraw/zinc/08/13/71/1122081371.db2.gz YJYQURDTSGWSKN-HOCLYGCPSA-N 1 2 291.439 3.545 20 0 CHADLO CC[C@@H](Cc1ccc(Cl)cc1)[NH2+][C@@H](C)C(=O)OC(C)C ZINC001181828466 1122082836 /nfs/dbraw/zinc/08/28/36/1122082836.db2.gz TUZSUMRWNSFYFF-WFASDCNBSA-N 1 2 297.826 3.591 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2c(F)cccc2F)c(C)c1 ZINC001181944377 1122099332 /nfs/dbraw/zinc/09/93/32/1122099332.db2.gz CFDJGGMUCYWNIP-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2c(F)cccc2F)c(C)c1 ZINC001181944377 1122099338 /nfs/dbraw/zinc/09/93/38/1122099338.db2.gz CFDJGGMUCYWNIP-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1c(Cl)c(C[NH2+]Cc2ccc(C(F)F)cc2)nn1C ZINC000421338298 1122101143 /nfs/dbraw/zinc/10/11/43/1122101143.db2.gz DXHZLFPTJRYCQU-UHFFFAOYSA-N 1 2 299.752 3.609 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@@H]3C[C@H]4C[C@H]4C3)cc2)c1C ZINC001182110117 1122110207 /nfs/dbraw/zinc/11/02/07/1122110207.db2.gz XRKAQIJQUXBMKW-MUJYYYPQSA-N 1 2 281.403 3.947 20 0 CHADLO CCCC[N@H+](CC)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000192769344 1129033886 /nfs/dbraw/zinc/03/38/86/1129033886.db2.gz TYPPOFUQPWJVLT-UHFFFAOYSA-N 1 2 294.468 3.706 20 0 CHADLO CCCC[N@@H+](CC)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000192769344 1129033889 /nfs/dbraw/zinc/03/38/89/1129033889.db2.gz TYPPOFUQPWJVLT-UHFFFAOYSA-N 1 2 294.468 3.706 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1CCCCOc1ccccc1 ZINC000502809027 1122148683 /nfs/dbraw/zinc/14/86/83/1122148683.db2.gz QQOSWFYVUFSQEX-HNNXBMFYSA-N 1 2 283.362 3.965 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1CCCCOc1ccccc1 ZINC000502809027 1122148687 /nfs/dbraw/zinc/14/86/87/1122148687.db2.gz QQOSWFYVUFSQEX-HNNXBMFYSA-N 1 2 283.362 3.965 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1CCCCOc1ccccc1 ZINC000502809029 1122149093 /nfs/dbraw/zinc/14/90/93/1122149093.db2.gz QQOSWFYVUFSQEX-OAHLLOKOSA-N 1 2 283.362 3.965 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1CCCCOc1ccccc1 ZINC000502809029 1122149097 /nfs/dbraw/zinc/14/90/97/1122149097.db2.gz QQOSWFYVUFSQEX-OAHLLOKOSA-N 1 2 283.362 3.965 20 0 CHADLO COc1cc(OC)c(F)c(Nc2ccc(C)[nH+]c2C)c1F ZINC001203372615 1122165909 /nfs/dbraw/zinc/16/59/09/1122165909.db2.gz DDSPEXVNXURJCW-UHFFFAOYSA-N 1 2 294.301 3.737 20 0 CHADLO COC(=O)c1cccc(Nc2ccc(C)[nH+]c2C)c1C ZINC001203372735 1122172245 /nfs/dbraw/zinc/17/22/45/1122172245.db2.gz GFPBBFXCDUDYHR-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO C[C@]1(C[N@@H+]2CCCC(F)(F)C2)C[C@@H]1c1ccccc1 ZINC001182725993 1122185998 /nfs/dbraw/zinc/18/59/98/1122185998.db2.gz OEPUFDFTNJXLBZ-HUUCEWRRSA-N 1 2 265.347 3.911 20 0 CHADLO C[C@]1(C[N@H+]2CCCC(F)(F)C2)C[C@@H]1c1ccccc1 ZINC001182725993 1122186001 /nfs/dbraw/zinc/18/60/01/1122186001.db2.gz OEPUFDFTNJXLBZ-HUUCEWRRSA-N 1 2 265.347 3.911 20 0 CHADLO CCCc1noc(C[NH2+][C@H](c2ccccc2C)C2CC2)n1 ZINC000272084650 1122189412 /nfs/dbraw/zinc/18/94/12/1122189412.db2.gz WWLOYQQPVQSZTK-KRWDZBQOSA-N 1 2 285.391 3.571 20 0 CHADLO FC1=CCC[N@H+](Cc2ccc(OCc3ccncc3)cc2)C1 ZINC000272464757 1122203510 /nfs/dbraw/zinc/20/35/10/1122203510.db2.gz KRHCBELZMGEVLD-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccc(OCc3ccncc3)cc2)C1 ZINC000272464757 1122203514 /nfs/dbraw/zinc/20/35/14/1122203514.db2.gz KRHCBELZMGEVLD-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)C(=O)CCC3)c(C)[nH+]1 ZINC001203374681 1122204542 /nfs/dbraw/zinc/20/45/42/1122204542.db2.gz MGJWQRBOEOXTNU-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCOc2c(F)cccc21)c1cscn1 ZINC000272680422 1122209302 /nfs/dbraw/zinc/20/93/02/1122209302.db2.gz VHYMOXNPAHMYCW-GXFFZTMASA-N 1 2 292.379 3.847 20 0 CHADLO COc1ccnc(C[NH2+][C@@H](C)c2c(F)cccc2Cl)c1 ZINC000273159932 1122222220 /nfs/dbraw/zinc/22/22/20/1122222220.db2.gz NJFBZGOOFSXUCB-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO CC1(C)CC[C@H]([NH2+]c2ccc(N3CCC(O)CC3)cc2)C1 ZINC000273414923 1122231983 /nfs/dbraw/zinc/23/19/83/1122231983.db2.gz WUWSSAODKMMBBM-HNNXBMFYSA-N 1 2 288.435 3.638 20 0 CHADLO COc1ccccc1[C@H](C)SCCCn1cc[nH+]c1 ZINC000273512079 1122236160 /nfs/dbraw/zinc/23/61/60/1122236160.db2.gz WZNKRHUTPQQFIH-ZDUSSCGKSA-N 1 2 276.405 3.776 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H]2CCc3c2cccc3Cl)s1 ZINC000273747101 1122247680 /nfs/dbraw/zinc/24/76/80/1122247680.db2.gz WOITWNDBGMLBCM-OQPBUACISA-N 1 2 293.823 3.838 20 0 CHADLO COc1ccc(F)c(C[N@@H+]2CC[C@H]2c2ccc(F)cc2)c1 ZINC001184143899 1122255823 /nfs/dbraw/zinc/25/58/23/1122255823.db2.gz XMEZPPKOWDJZIA-KRWDZBQOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(F)c(C[N@H+]2CC[C@H]2c2ccc(F)cc2)c1 ZINC001184143899 1122255824 /nfs/dbraw/zinc/25/58/24/1122255824.db2.gz XMEZPPKOWDJZIA-KRWDZBQOSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1cnc(C[NH2+][C@@]2(c3ccc(Cl)cc3)C[C@H]2C)o1 ZINC000503408350 1122261277 /nfs/dbraw/zinc/26/12/77/1122261277.db2.gz SJMUIZVARCIYHZ-BMIGLBTASA-N 1 2 276.767 3.661 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1cc(Cl)cs1)C2 ZINC001184399364 1122274739 /nfs/dbraw/zinc/27/47/39/1122274739.db2.gz UVAOFPVWCIHMCO-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1cc(Cl)cs1)C2 ZINC001184399364 1122274742 /nfs/dbraw/zinc/27/47/42/1122274742.db2.gz UVAOFPVWCIHMCO-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Cc1cc(N2CCCCCCC2)nc(C2CCC2)[nH+]1 ZINC001184571923 1122284470 /nfs/dbraw/zinc/28/44/70/1122284470.db2.gz GRLYEJJAXBBDIQ-UHFFFAOYSA-N 1 2 259.397 3.823 20 0 CHADLO CC(=O)c1cc(Nc2ccc[nH+]c2N2CCCC2)ccc1F ZINC001184968552 1122304870 /nfs/dbraw/zinc/30/48/70/1122304870.db2.gz PMICYAZSPOONPZ-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO C[N@H+](Cc1ccc(C(F)F)nc1)Cc1ccc(F)cc1F ZINC000637889681 1122308986 /nfs/dbraw/zinc/30/89/86/1122308986.db2.gz QOXKHKZVXHCMOT-UHFFFAOYSA-N 1 2 298.283 3.929 20 0 CHADLO C[N@@H+](Cc1ccc(C(F)F)nc1)Cc1ccc(F)cc1F ZINC000637889681 1122308992 /nfs/dbraw/zinc/30/89/92/1122308992.db2.gz QOXKHKZVXHCMOT-UHFFFAOYSA-N 1 2 298.283 3.929 20 0 CHADLO c1noc2c1cccc2Nc1ccc[nH+]c1N1CCCC1 ZINC001185044663 1122313363 /nfs/dbraw/zinc/31/33/63/1122313363.db2.gz YWZJDALFEIJVCB-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO C[C@H]1CCCC[C@@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000424624444 1122320499 /nfs/dbraw/zinc/32/04/99/1122320499.db2.gz FSNYEEBSTOWRRM-WFASDCNBSA-N 1 2 283.375 3.842 20 0 CHADLO CCN(C)c1ccc(Nc2cc(Cl)ccc2OC)c[nH+]1 ZINC001250205397 1122355530 /nfs/dbraw/zinc/35/55/30/1122355530.db2.gz IDSDXDKDARAQBR-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO CC(C)(C)c1cnc(C[NH+]2CCC3(CC3(F)F)CC2)o1 ZINC000649776863 1129049403 /nfs/dbraw/zinc/04/94/03/1129049403.db2.gz ZZUQZLQLXZDSLH-UHFFFAOYSA-N 1 2 284.350 3.593 20 0 CHADLO CC1(C)CCC(CC(=O)Nc2cccc3[nH+]ccn32)CC1 ZINC001185966826 1122369686 /nfs/dbraw/zinc/36/96/86/1122369686.db2.gz WNVKBZWQKQPVTK-UHFFFAOYSA-N 1 2 285.391 3.879 20 0 CHADLO CC(C)n1ncnc1C[N@H+](C1CC1)[C@H]1CCc2ccccc21 ZINC000434478693 1122370907 /nfs/dbraw/zinc/37/09/07/1122370907.db2.gz WASZZHMSSUPDCS-KRWDZBQOSA-N 1 2 296.418 3.511 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](C1CC1)[C@H]1CCc2ccccc21 ZINC000434478693 1122370912 /nfs/dbraw/zinc/37/09/12/1122370912.db2.gz WASZZHMSSUPDCS-KRWDZBQOSA-N 1 2 296.418 3.511 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@@H+](C)Cc2ncnn2C(C)C)c1 ZINC000434538977 1122376201 /nfs/dbraw/zinc/37/62/01/1122376201.db2.gz CWVVKZDXUJMOIY-OAHLLOKOSA-N 1 2 286.423 3.669 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@H+](C)Cc2ncnn2C(C)C)c1 ZINC000434538977 1122376213 /nfs/dbraw/zinc/37/62/13/1122376213.db2.gz CWVVKZDXUJMOIY-OAHLLOKOSA-N 1 2 286.423 3.669 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[N@H+](C)CCC(F)(F)F ZINC000514231129 1122390822 /nfs/dbraw/zinc/39/08/22/1122390822.db2.gz SLSSHINSQKLLLE-SNVBAGLBSA-N 1 2 299.296 3.682 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[N@@H+](C)CCC(F)(F)F ZINC000514231129 1122390828 /nfs/dbraw/zinc/39/08/28/1122390828.db2.gz SLSSHINSQKLLLE-SNVBAGLBSA-N 1 2 299.296 3.682 20 0 CHADLO CCC[C@@H](CC)NC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000425142412 1122397158 /nfs/dbraw/zinc/39/71/58/1122397158.db2.gz IWWUJWHLIXHVSQ-HZPDHXFCSA-N 1 2 299.418 3.627 20 0 CHADLO O=C(NCC1CCCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001186875449 1122410538 /nfs/dbraw/zinc/41/05/38/1122410538.db2.gz MWCHOMAFGDHKCK-UHFFFAOYSA-N 1 2 298.390 3.574 20 0 CHADLO COC(=O)c1cc(Cl)cc(Nc2cc(C)c[nH+]c2C)c1 ZINC001203663811 1122431206 /nfs/dbraw/zinc/43/12/06/1122431206.db2.gz SKIHYARHUUVIOD-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000505596053 1122432276 /nfs/dbraw/zinc/43/22/76/1122432276.db2.gz HNQUKLZJXLYGOY-FZMZJTMJSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000505596053 1122432279 /nfs/dbraw/zinc/43/22/79/1122432279.db2.gz HNQUKLZJXLYGOY-FZMZJTMJSA-N 1 2 287.325 3.705 20 0 CHADLO CCc1ccccc1NC(=O)Nc1cccc2[nH+]c(C)cn21 ZINC001187603856 1122432829 /nfs/dbraw/zinc/43/28/29/1122432829.db2.gz NPLLWBUZTYMCKZ-UHFFFAOYSA-N 1 2 294.358 3.849 20 0 CHADLO Cc1nc(NC[C@H](C)C(C)(C)C)c2c([nH+]1)CCCC2 ZINC001187632910 1122435585 /nfs/dbraw/zinc/43/55/85/1122435585.db2.gz GBPNMFYZXYNXGR-NSHDSACASA-N 1 2 261.413 3.758 20 0 CHADLO COC(=O)c1cc(C)cc(Nc2cc(C)c[nH+]c2C)c1 ZINC001203668733 1122444343 /nfs/dbraw/zinc/44/43/43/1122444343.db2.gz ZEESUBBBNVCQKP-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO CSc1ccc(NC(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC001188076016 1122453064 /nfs/dbraw/zinc/45/30/64/1122453064.db2.gz WMKWIOOFGNYQLL-UHFFFAOYSA-N 1 2 298.371 3.700 20 0 CHADLO Cc1[nH+]cc(NC(=O)Nc2cccc3ccccc32)n1C ZINC001188913431 1122479935 /nfs/dbraw/zinc/47/99/35/1122479935.db2.gz WBLCZARMLAJRAZ-UHFFFAOYSA-N 1 2 280.331 3.526 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c(OC(F)F)cc1C ZINC000435771256 1122487925 /nfs/dbraw/zinc/48/79/25/1122487925.db2.gz UXWHJBYSAORRCP-BETUJISGSA-N 1 2 299.361 3.514 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)O[C@@H](C)C2)c(OC(F)F)cc1C ZINC000435771256 1122487928 /nfs/dbraw/zinc/48/79/28/1122487928.db2.gz UXWHJBYSAORRCP-BETUJISGSA-N 1 2 299.361 3.514 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccsc2C(F)(F)F)CCS1 ZINC000628162988 1122495061 /nfs/dbraw/zinc/49/50/61/1122495061.db2.gz LUXNOFANPDAODA-MRVPVSSYSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccsc2C(F)(F)F)CCS1 ZINC000628162988 1122495062 /nfs/dbraw/zinc/49/50/62/1122495062.db2.gz LUXNOFANPDAODA-MRVPVSSYSA-N 1 2 281.368 3.704 20 0 CHADLO CC(C)Oc1cccc([C@H](C)[NH2+][C@H](C)c2ncccn2)c1 ZINC000930198229 1122503797 /nfs/dbraw/zinc/50/37/97/1122503797.db2.gz ONEHXHLGZISJFW-UONOGXRCSA-N 1 2 285.391 3.676 20 0 CHADLO CCc1cccc(C)c1NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC001189945137 1122520012 /nfs/dbraw/zinc/52/00/12/1122520012.db2.gz GZICQTSYEAUBNJ-UHFFFAOYSA-N 1 2 294.358 3.849 20 0 CHADLO C=Cc1ccc(NC(=O)c2ccccc2-n2cc[nH+]c2)cc1 ZINC001190097579 1122524962 /nfs/dbraw/zinc/52/49/62/1122524962.db2.gz NKWNZUURDMQNEA-UHFFFAOYSA-N 1 2 289.338 3.768 20 0 CHADLO COc1ccccc1Cc1cn(CCSC(C)C)c[nH+]1 ZINC001190274952 1122531414 /nfs/dbraw/zinc/53/14/14/1122531414.db2.gz ZRWIKQWQZXRASM-UHFFFAOYSA-N 1 2 290.432 3.624 20 0 CHADLO CCC[N@H+](Cc1ccc(F)cn1)Cc1ccc(F)cc1F ZINC001190604936 1122548281 /nfs/dbraw/zinc/54/82/81/1122548281.db2.gz IWMNKXHJRFHIRC-UHFFFAOYSA-N 1 2 294.320 3.911 20 0 CHADLO CCC[N@@H+](Cc1ccc(F)cn1)Cc1ccc(F)cc1F ZINC001190604936 1122548284 /nfs/dbraw/zinc/54/82/84/1122548284.db2.gz IWMNKXHJRFHIRC-UHFFFAOYSA-N 1 2 294.320 3.911 20 0 CHADLO CC1CC([N@H+](C)Cc2cc(O)c(Cl)c(F)c2F)C1 ZINC001250387958 1122549081 /nfs/dbraw/zinc/54/90/81/1122549081.db2.gz RFVOVRKOROTZMO-UHFFFAOYSA-N 1 2 275.726 3.554 20 0 CHADLO CC1CC([N@@H+](C)Cc2cc(O)c(Cl)c(F)c2F)C1 ZINC001250387958 1122549084 /nfs/dbraw/zinc/54/90/84/1122549084.db2.gz RFVOVRKOROTZMO-UHFFFAOYSA-N 1 2 275.726 3.554 20 0 CHADLO Cc1ccc(CN(C)C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000436498872 1122555229 /nfs/dbraw/zinc/55/52/29/1122555229.db2.gz WLSWMSMPHKJYQB-UHFFFAOYSA-N 1 2 297.402 3.979 20 0 CHADLO CC(C)c1noc(C[N@@H+]2CCC[C@@H]2/C=C\c2ccccc2)n1 ZINC000505844648 1122558528 /nfs/dbraw/zinc/55/85/28/1122558528.db2.gz HSKULOQTNJXNBS-BLIJAFNYSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)c1noc(C[N@H+]2CCC[C@@H]2/C=C\c2ccccc2)n1 ZINC000505844648 1122558533 /nfs/dbraw/zinc/55/85/33/1122558533.db2.gz HSKULOQTNJXNBS-BLIJAFNYSA-N 1 2 297.402 3.871 20 0 CHADLO CC[C@@H]1CCC[N@@H+]([C@@H](C(=O)OC)c2ccccc2F)CC1 ZINC001190967180 1122562090 /nfs/dbraw/zinc/56/20/90/1122562090.db2.gz SDKHURKBAFXSPN-CZUORRHYSA-N 1 2 293.382 3.552 20 0 CHADLO CC[C@@H]1CCC[N@H+]([C@@H](C(=O)OC)c2ccccc2F)CC1 ZINC001190967180 1122562091 /nfs/dbraw/zinc/56/20/91/1122562091.db2.gz SDKHURKBAFXSPN-CZUORRHYSA-N 1 2 293.382 3.552 20 0 CHADLO CCCc1noc(C[N@@H+]2CCC[C@H]2/C=C\c2ccccc2)n1 ZINC000505873908 1122579818 /nfs/dbraw/zinc/57/98/18/1122579818.db2.gz CESHMWHSQVSDSY-JNSOCZORSA-N 1 2 297.402 3.700 20 0 CHADLO CCCc1noc(C[N@H+]2CCC[C@H]2/C=C\c2ccccc2)n1 ZINC000505873908 1122579824 /nfs/dbraw/zinc/57/98/24/1122579824.db2.gz CESHMWHSQVSDSY-JNSOCZORSA-N 1 2 297.402 3.700 20 0 CHADLO CC[C@@H]1CCC[N@@H+]([C@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001191409881 1122597961 /nfs/dbraw/zinc/59/79/61/1122597961.db2.gz XHKOYMAMGFUBBI-DOMZBBRYSA-N 1 2 295.810 3.676 20 0 CHADLO CC[C@@H]1CCC[N@H+]([C@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001191409881 1122597963 /nfs/dbraw/zinc/59/79/63/1122597963.db2.gz XHKOYMAMGFUBBI-DOMZBBRYSA-N 1 2 295.810 3.676 20 0 CHADLO CCC(C)(C)CC[S@@](=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000437181174 1122618631 /nfs/dbraw/zinc/61/86/31/1122618631.db2.gz MWYQXUKCTDZWNY-HXUWFJFHSA-N 1 2 292.448 3.718 20 0 CHADLO Cc1ccc(F)cc1COc1cccc(-n2cc[nH+]c2)c1 ZINC000437624823 1122646815 /nfs/dbraw/zinc/64/68/15/1122646815.db2.gz YWEVYLCCZVNLQX-UHFFFAOYSA-N 1 2 282.318 3.899 20 0 CHADLO CCn1nccc1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000664680724 1122683514 /nfs/dbraw/zinc/68/35/14/1122683514.db2.gz NYXAWFJKFJDNKB-UHFFFAOYSA-N 1 2 287.411 3.552 20 0 CHADLO CCC[C@@H](CC)[NH2+][C@H](Cc1ccc(Cl)cc1)C(=O)OC ZINC000438295481 1122690148 /nfs/dbraw/zinc/69/01/48/1122690148.db2.gz VIXDFTUFIZNCOE-HUUCEWRRSA-N 1 2 297.826 3.592 20 0 CHADLO COC(=O)[C@@H](c1ccccc1Cl)[N@@H+]1CCCC[C@H](C)C1 ZINC001193516800 1122696235 /nfs/dbraw/zinc/69/62/35/1122696235.db2.gz HQYHAOKZUHMBDM-SWLSCSKDSA-N 1 2 295.810 3.676 20 0 CHADLO COC(=O)[C@@H](c1ccccc1Cl)[N@H+]1CCCC[C@H](C)C1 ZINC001193516800 1122696236 /nfs/dbraw/zinc/69/62/36/1122696236.db2.gz HQYHAOKZUHMBDM-SWLSCSKDSA-N 1 2 295.810 3.676 20 0 CHADLO Cc1nc2ccc(C[N@@H+]3CCn4cccc4[C@@H]3C)cc2s1 ZINC000426062308 1122709951 /nfs/dbraw/zinc/70/99/51/1122709951.db2.gz XKMBOANOYCEKOT-LBPRGKRZSA-N 1 2 297.427 3.983 20 0 CHADLO Cc1nc2ccc(C[N@H+]3CCn4cccc4[C@@H]3C)cc2s1 ZINC000426062308 1122709953 /nfs/dbraw/zinc/70/99/53/1122709953.db2.gz XKMBOANOYCEKOT-LBPRGKRZSA-N 1 2 297.427 3.983 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)o1 ZINC000506295045 1122715334 /nfs/dbraw/zinc/71/53/34/1122715334.db2.gz NSYZGATTYFUZGI-UHFFFAOYSA-N 1 2 280.371 3.882 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3[nH]c4ccccc4c3C2)o1 ZINC000506295045 1122715337 /nfs/dbraw/zinc/71/53/37/1122715337.db2.gz NSYZGATTYFUZGI-UHFFFAOYSA-N 1 2 280.371 3.882 20 0 CHADLO CCOc1cc(F)c(C[NH+]2CCC(C)(F)CC2)c(F)c1 ZINC001143564511 1122732982 /nfs/dbraw/zinc/73/29/82/1122732982.db2.gz DOFZYGVKVNDLOO-UHFFFAOYSA-N 1 2 287.325 3.688 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1ncc(Cl)n1C ZINC000193426036 1129077181 /nfs/dbraw/zinc/07/71/81/1129077181.db2.gz HVPAAPYEBRFGPU-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1ncc(Cl)n1C ZINC000193426036 1129077185 /nfs/dbraw/zinc/07/71/85/1129077185.db2.gz HVPAAPYEBRFGPU-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO C[C@H](SCc1c[nH+]cn1C)c1ccc(F)cc1 ZINC000439539418 1122789977 /nfs/dbraw/zinc/78/99/77/1122789977.db2.gz DIIMIUFWQSAVRS-JTQLQIEISA-N 1 2 250.342 3.554 20 0 CHADLO CC[N@H+](Cc1cnon1)C(c1ccccc1)c1ccccc1 ZINC001195475965 1122871592 /nfs/dbraw/zinc/87/15/92/1122871592.db2.gz FAIXGVOTDBLZJT-UHFFFAOYSA-N 1 2 293.370 3.681 20 0 CHADLO CC[N@@H+](Cc1cnon1)C(c1ccccc1)c1ccccc1 ZINC001195475965 1122871597 /nfs/dbraw/zinc/87/15/97/1122871597.db2.gz FAIXGVOTDBLZJT-UHFFFAOYSA-N 1 2 293.370 3.681 20 0 CHADLO Fc1cc2c(cc1F)C[NH+](CCCC1CCC1)C2 ZINC001195557351 1122874066 /nfs/dbraw/zinc/87/40/66/1122874066.db2.gz DHBDQDQGCSSAAS-UHFFFAOYSA-N 1 2 251.320 3.861 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1coc(C)n1 ZINC000647955362 1122877627 /nfs/dbraw/zinc/87/76/27/1122877627.db2.gz SFXZQOITMUFIBN-UHFFFAOYSA-N 1 2 284.359 3.924 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1coc(C)n1 ZINC000647955362 1122877631 /nfs/dbraw/zinc/87/76/31/1122877631.db2.gz SFXZQOITMUFIBN-UHFFFAOYSA-N 1 2 284.359 3.924 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Oc1cc(Cl)cc(Cl)c1 ZINC000121795140 1122899929 /nfs/dbraw/zinc/89/99/29/1122899929.db2.gz ULVHUAXBZUWEOU-UHFFFAOYSA-N 1 2 299.157 3.576 20 0 CHADLO CC[C@@H](COC)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000519573777 1122902947 /nfs/dbraw/zinc/90/29/47/1122902947.db2.gz WLYJLXOPXRSAGW-JTQLQIEISA-N 1 2 264.756 3.725 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2ccc(-c3ccc(C)cc3)o2)[nH]1 ZINC000894771902 1122902923 /nfs/dbraw/zinc/90/29/23/1122902923.db2.gz ZXQMWFGZXLDEIY-GFCCVEGCSA-N 1 2 296.374 3.532 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000519582884 1122910861 /nfs/dbraw/zinc/91/08/61/1122910861.db2.gz PPTAQAJLONGCAW-IAQYHMDHSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000519582884 1122910865 /nfs/dbraw/zinc/91/08/65/1122910865.db2.gz PPTAQAJLONGCAW-IAQYHMDHSA-N 1 2 283.362 3.773 20 0 CHADLO CCCCC[C@H](CC)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000775715160 1122921894 /nfs/dbraw/zinc/92/18/94/1122921894.db2.gz XQAHJNAQZDQLLW-JKSUJKDBSA-N 1 2 291.439 3.653 20 0 CHADLO COc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)c(C)c1 ZINC000102598183 1122929447 /nfs/dbraw/zinc/92/94/47/1122929447.db2.gz QZYLYUJKFODURV-UHFFFAOYSA-N 1 2 293.370 3.801 20 0 CHADLO CC[C@@H](F)C[NH2+][C@H](C)c1nc(C(F)(F)F)cs1 ZINC000440494289 1122948407 /nfs/dbraw/zinc/94/84/07/1122948407.db2.gz IIJZVCBQYFMPHZ-RNFRBKRXSA-N 1 2 270.295 3.561 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)cc1F ZINC001197340653 1122954894 /nfs/dbraw/zinc/95/48/94/1122954894.db2.gz APPAPZFTNCWSRY-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cc(F)c(F)cc3C2)cc1F ZINC001197340653 1122954895 /nfs/dbraw/zinc/95/48/95/1122954895.db2.gz APPAPZFTNCWSRY-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO CC1(C)CC[C@H]2C[C@H]([NH2+]Cc3csnn3)c3cccc1c32 ZINC000334218543 1122971714 /nfs/dbraw/zinc/97/17/14/1122971714.db2.gz IKAKHYPQFJZOID-NHYWBVRUSA-N 1 2 299.443 3.928 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@@H](C)C(C)C)c(C)[nH+]1 ZINC000334230262 1122973829 /nfs/dbraw/zinc/97/38/29/1122973829.db2.gz JMDZLCABXJGVAY-ZDUSSCGKSA-N 1 2 263.385 3.515 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)nc(C)n1 ZINC000342810588 1122975368 /nfs/dbraw/zinc/97/53/68/1122975368.db2.gz BAMDOGRYKZLQHA-SNVBAGLBSA-N 1 2 293.773 3.737 20 0 CHADLO Cc1cc(C)c(NC(=O)c2sccc2Cl)c(C)[nH+]1 ZINC000334326759 1122987398 /nfs/dbraw/zinc/98/73/98/1122987398.db2.gz BVKMBNSHUKSNSV-UHFFFAOYSA-N 1 2 280.780 3.974 20 0 CHADLO Cc1c[nH+]ccc1NC(=S)Nc1ccc(C(C)C)cc1 ZINC001198587845 1123000293 /nfs/dbraw/zinc/00/02/93/1123000293.db2.gz HHUZQHLCGVSKEK-UHFFFAOYSA-N 1 2 285.416 3.744 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](Cc1cnn(C)c1)C1CC1 ZINC000154907134 1129093610 /nfs/dbraw/zinc/09/36/10/1129093610.db2.gz VIJDHMVXCYBLHK-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](Cc1cnn(C)c1)C1CC1 ZINC000154907134 1129093613 /nfs/dbraw/zinc/09/36/13/1129093613.db2.gz VIJDHMVXCYBLHK-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO Cc1ccc(-c2nnc([C@H](C)[N@@H+]3CC[C@](C)(F)C3)o2)cc1 ZINC000334449261 1123021256 /nfs/dbraw/zinc/02/12/56/1123021256.db2.gz JRZSOIRCZBWBFA-LRDDRELGSA-N 1 2 289.354 3.540 20 0 CHADLO Cc1ccc(-c2nnc([C@H](C)[N@H+]3CC[C@](C)(F)C3)o2)cc1 ZINC000334449261 1123021259 /nfs/dbraw/zinc/02/12/59/1123021259.db2.gz JRZSOIRCZBWBFA-LRDDRELGSA-N 1 2 289.354 3.540 20 0 CHADLO F[C@H]1CCCC[N@H+](Cc2ccccc2Br)C1 ZINC001203320302 1123027671 /nfs/dbraw/zinc/02/76/71/1123027671.db2.gz OHISVBBNMVBVEV-LBPRGKRZSA-N 1 2 286.188 3.773 20 0 CHADLO F[C@H]1CCCC[N@@H+](Cc2ccccc2Br)C1 ZINC001203320302 1123027674 /nfs/dbraw/zinc/02/76/74/1123027674.db2.gz OHISVBBNMVBVEV-LBPRGKRZSA-N 1 2 286.188 3.773 20 0 CHADLO Cc1cncc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)n1 ZINC001203178300 1123029152 /nfs/dbraw/zinc/02/91/52/1123029152.db2.gz ZUBSKGVLNXPROF-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cncc(C[N@H+](C)[C@H](C)c2ccccc2Cl)n1 ZINC001203178300 1123029158 /nfs/dbraw/zinc/02/91/58/1123029158.db2.gz ZUBSKGVLNXPROF-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Clc1ncc(Br)cc1CNc1cccc[nH+]1 ZINC001199490241 1123030787 /nfs/dbraw/zinc/03/07/87/1123030787.db2.gz CXPIJKUZHUBDGD-UHFFFAOYSA-N 1 2 298.571 3.505 20 0 CHADLO CCN(CCCn1cc[nH+]c1)c1ccc2ccccc2c1 ZINC000775983283 1123031771 /nfs/dbraw/zinc/03/17/71/1123031771.db2.gz CKPWKWLOJCNPAN-UHFFFAOYSA-N 1 2 279.387 3.953 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)C[N@H+](C)[C@H](C)c1ccco1 ZINC000519903645 1123037074 /nfs/dbraw/zinc/03/70/74/1123037074.db2.gz QYQXZHVWHQZWIF-HUUCEWRRSA-N 1 2 294.439 3.603 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)C[N@@H+](C)[C@H](C)c1ccco1 ZINC000519903645 1123037076 /nfs/dbraw/zinc/03/70/76/1123037076.db2.gz QYQXZHVWHQZWIF-HUUCEWRRSA-N 1 2 294.439 3.603 20 0 CHADLO CC[C@H](F)C[N@@H+]1C[C@@H](c2ccccc2C)OC[C@@H]1C ZINC000440793179 1123041510 /nfs/dbraw/zinc/04/15/10/1123041510.db2.gz OOSLWSMBHCZGJY-DZKIICNBSA-N 1 2 265.372 3.505 20 0 CHADLO CC[C@H](F)C[N@H+]1C[C@@H](c2ccccc2C)OC[C@@H]1C ZINC000440793179 1123041516 /nfs/dbraw/zinc/04/15/16/1123041516.db2.gz OOSLWSMBHCZGJY-DZKIICNBSA-N 1 2 265.372 3.505 20 0 CHADLO COc1ccc(C[NH2+]Cc2c(Cl)cccc2Cl)o1 ZINC001199823515 1123043456 /nfs/dbraw/zinc/04/34/56/1123043456.db2.gz SRKRNKHMVLGALM-UHFFFAOYSA-N 1 2 286.158 3.885 20 0 CHADLO Cc1cc(F)cc(C[N@H+](C)Cc2cnc(Cl)s2)c1 ZINC001143580244 1123051636 /nfs/dbraw/zinc/05/16/36/1123051636.db2.gz BHMONYQLNPTGQN-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1cc(F)cc(C[N@@H+](C)Cc2cnc(Cl)s2)c1 ZINC001143580244 1123051641 /nfs/dbraw/zinc/05/16/41/1123051641.db2.gz BHMONYQLNPTGQN-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO C[N@H+](Cc1ccn(-c2ccc(F)cc2)n1)Cc1ccccc1 ZINC000441171856 1123063286 /nfs/dbraw/zinc/06/32/86/1123063286.db2.gz GOGQCYQANPCTNW-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO C[N@@H+](Cc1ccn(-c2ccc(F)cc2)n1)Cc1ccccc1 ZINC000441171856 1123063289 /nfs/dbraw/zinc/06/32/89/1123063289.db2.gz GOGQCYQANPCTNW-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO Brc1sccc1Nc1ccn2cc[nH+]c2c1 ZINC001204971665 1123064463 /nfs/dbraw/zinc/06/44/63/1123064463.db2.gz MLIHCDXXHGPRIV-UHFFFAOYSA-N 1 2 294.177 3.902 20 0 CHADLO CCCc1csc(C[NH2+][C@@H]2COc3ccc(C)cc32)n1 ZINC000520312346 1123075507 /nfs/dbraw/zinc/07/55/07/1123075507.db2.gz AABWPMLRCLJLNF-CQSZACIVSA-N 1 2 288.416 3.627 20 0 CHADLO Nc1ccc(Nc2cccc3c(Cl)nccc23)c[nH+]1 ZINC001201285258 1123081466 /nfs/dbraw/zinc/08/14/66/1123081466.db2.gz FNLVLXKBWCIMFO-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2ccc(OC(F)F)c(Cl)c2)c[nH+]1 ZINC001201287014 1123082339 /nfs/dbraw/zinc/08/23/39/1123082339.db2.gz ODFRZYVFICWCKV-UHFFFAOYSA-N 1 2 285.681 3.662 20 0 CHADLO Nc1ccc(Nc2ccc3c(c2)OC2(CCCCC2)O3)c[nH+]1 ZINC001201287167 1123082437 /nfs/dbraw/zinc/08/24/37/1123082437.db2.gz WZFXCFKVCFEOKF-UHFFFAOYSA-N 1 2 297.358 3.839 20 0 CHADLO Nc1ccc(Nc2cccc(C(F)F)c2Cl)c[nH+]1 ZINC001201292343 1123083769 /nfs/dbraw/zinc/08/37/69/1123083769.db2.gz VTVIMGOLLDPHKO-UHFFFAOYSA-N 1 2 269.682 3.998 20 0 CHADLO Nc1ccc(Nc2ncc(-c3ccccc3)s2)c[nH+]1 ZINC001201272986 1123084527 /nfs/dbraw/zinc/08/45/27/1123084527.db2.gz YFDKZGJAPDZQCF-UHFFFAOYSA-N 1 2 268.345 3.531 20 0 CHADLO Nc1ccc(Nc2c(Cl)cnc(Cl)c2Cl)c[nH+]1 ZINC001201278830 1123085031 /nfs/dbraw/zinc/08/50/31/1123085031.db2.gz OOTDGLBJINVOMH-UHFFFAOYSA-N 1 2 289.553 3.763 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000894945065 1123090638 /nfs/dbraw/zinc/09/06/38/1123090638.db2.gz YUSGIVGSMHFTMJ-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncoc1C[N@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000894945065 1123090642 /nfs/dbraw/zinc/09/06/42/1123090642.db2.gz YUSGIVGSMHFTMJ-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO CC(C)(C)c1coc(C[NH+]2CCC(OC3CCC3)CC2)n1 ZINC000894951057 1123092472 /nfs/dbraw/zinc/09/24/72/1123092472.db2.gz IBBDOAVHASXJFE-UHFFFAOYSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)Nc1ccc(Nc2cccc3[nH+]ccn32)cc1 ZINC001201488105 1123107365 /nfs/dbraw/zinc/10/73/65/1123107365.db2.gz PHTZJQFCZXIONX-UHFFFAOYSA-N 1 2 266.348 3.898 20 0 CHADLO COc1nc(C)ccc1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201488296 1123107480 /nfs/dbraw/zinc/10/74/80/1123107480.db2.gz CYULHAMJSURMDO-UHFFFAOYSA-N 1 2 271.364 3.963 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cncnc2Cl)cc1 ZINC001201488513 1123107649 /nfs/dbraw/zinc/10/76/49/1123107649.db2.gz SNOACAGHTBOLLR-UHFFFAOYSA-N 1 2 262.744 3.694 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2ccc[nH]c2=S)cc1 ZINC001201489929 1123108766 /nfs/dbraw/zinc/10/87/66/1123108766.db2.gz XPPCPYBIUCMWJK-UHFFFAOYSA-N 1 2 259.378 3.934 20 0 CHADLO Cc1c[nH+]c(Nc2cc(C)cc(C)c2Cl)c(N)c1 ZINC001201597651 1123123660 /nfs/dbraw/zinc/12/36/60/1123123660.db2.gz ZEORWHGERXTVJQ-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO c1c[nH+]c(N2CCOCC2)c(Nc2ccc(C3CC3)cc2)c1 ZINC001201676074 1123134605 /nfs/dbraw/zinc/13/46/05/1123134605.db2.gz XOOIGBZSQUKNNV-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(C2CC2)cc1 ZINC001201681490 1123135320 /nfs/dbraw/zinc/13/53/20/1123135320.db2.gz QAKRRFZKLPSJMZ-UHFFFAOYSA-N 1 2 263.344 3.794 20 0 CHADLO Cc1cncc(C[N@@H+](C)Cc2ccc(F)cc2Cl)c1 ZINC000189882465 1123145101 /nfs/dbraw/zinc/14/51/01/1123145101.db2.gz SFAIOCWTPJSYDO-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cncc(C[N@H+](C)Cc2ccc(F)cc2Cl)c1 ZINC000189882465 1123145102 /nfs/dbraw/zinc/14/51/02/1123145102.db2.gz SFAIOCWTPJSYDO-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC[C@@H](C)Nc1c(Br)c[nH+]c2ccccc21 ZINC001168000086 1123145870 /nfs/dbraw/zinc/14/58/70/1123145870.db2.gz MICCLLGRTPWHKZ-SECBINFHSA-N 1 2 279.181 3.630 20 0 CHADLO CCCCCC[N@H+](CC(=O)OCC)Cc1ccsc1 ZINC001201754427 1123146025 /nfs/dbraw/zinc/14/60/25/1123146025.db2.gz XVMMQFPKALOWGS-UHFFFAOYSA-N 1 2 283.437 3.694 20 0 CHADLO CCCCCC[N@@H+](CC(=O)OCC)Cc1ccsc1 ZINC001201754427 1123146026 /nfs/dbraw/zinc/14/60/26/1123146026.db2.gz XVMMQFPKALOWGS-UHFFFAOYSA-N 1 2 283.437 3.694 20 0 CHADLO CC[N@@H+](Cc1c[nH]c2ccccc12)Cc1nc(C(C)C)no1 ZINC000190458608 1123149800 /nfs/dbraw/zinc/14/98/00/1123149800.db2.gz WKCVVXFWFSNCHI-UHFFFAOYSA-N 1 2 298.390 3.696 20 0 CHADLO CC[N@H+](Cc1c[nH]c2ccccc12)Cc1nc(C(C)C)no1 ZINC000190458608 1123149801 /nfs/dbraw/zinc/14/98/01/1123149801.db2.gz WKCVVXFWFSNCHI-UHFFFAOYSA-N 1 2 298.390 3.696 20 0 CHADLO CC(C)c1cc(N(C)Cc2cccnc2)nc(C(C)C)[nH+]1 ZINC000090066380 1123152880 /nfs/dbraw/zinc/15/28/80/1123152880.db2.gz BSSRFTHACYRMNZ-UHFFFAOYSA-N 1 2 284.407 3.755 20 0 CHADLO FC1(F)C[N@H+](CC2CC2)CC[C@H]1Cc1ccccc1 ZINC001201952269 1123162259 /nfs/dbraw/zinc/16/22/59/1123162259.db2.gz ISQZKXDHMKSUKT-HNNXBMFYSA-N 1 2 265.347 3.596 20 0 CHADLO FC1(F)C[N@@H+](CC2CC2)CC[C@H]1Cc1ccccc1 ZINC001201952269 1123162260 /nfs/dbraw/zinc/16/22/60/1123162260.db2.gz ISQZKXDHMKSUKT-HNNXBMFYSA-N 1 2 265.347 3.596 20 0 CHADLO Cc1cc(CNc2cnc3c(c2)CCCC3)cc(C)[nH+]1 ZINC001201970814 1123165159 /nfs/dbraw/zinc/16/51/59/1123165159.db2.gz KSBKVBZAQSBTME-UHFFFAOYSA-N 1 2 267.376 3.584 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2ccccc2C)[nH+]1 ZINC001202175531 1123181481 /nfs/dbraw/zinc/18/14/81/1123181481.db2.gz NKBJFZZOYGMHKH-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2cccc(C)c2)[nH+]1 ZINC001202303967 1123196037 /nfs/dbraw/zinc/19/60/37/1123196037.db2.gz PRYFWIOMWCRYMH-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)cc2)nn1C ZINC000487398681 1123209491 /nfs/dbraw/zinc/20/94/91/1123209491.db2.gz QOVABQQTIUTRKG-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)cc2)nn1C ZINC000487398681 1123209494 /nfs/dbraw/zinc/20/94/94/1123209494.db2.gz QOVABQQTIUTRKG-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO FC(F)C[N@@H+]1C[C@@H](c2ccccc2)C2(CCC2)C1 ZINC000665177616 1123218102 /nfs/dbraw/zinc/21/81/02/1123218102.db2.gz FHHNCORQBNLMFC-ZDUSSCGKSA-N 1 2 251.320 3.521 20 0 CHADLO FC(F)C[N@H+]1C[C@@H](c2ccccc2)C2(CCC2)C1 ZINC000665177616 1123218106 /nfs/dbraw/zinc/21/81/06/1123218106.db2.gz FHHNCORQBNLMFC-ZDUSSCGKSA-N 1 2 251.320 3.521 20 0 CHADLO Fc1cccc(CNc2ccc(-n3cc[nH+]c3)cc2)c1F ZINC000155930546 1129107630 /nfs/dbraw/zinc/10/76/30/1129107630.db2.gz GJJDKBVPTMSHET-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO CC/C(C)=C(/C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000779776171 1129108335 /nfs/dbraw/zinc/10/83/35/1129108335.db2.gz MZNZSBJPGNUHCG-SEYXRHQNSA-N 1 2 269.348 3.557 20 0 CHADLO C[C@@H]1COCCN1c1ccc([NH2+][C@@H]2CCCC23CC3)cc1 ZINC000850458601 1123270273 /nfs/dbraw/zinc/27/02/73/1123270273.db2.gz VROJDURWLDOXBT-RHSMWYFYSA-N 1 2 286.419 3.656 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@H+]2Cc2nnc(C(C)C)[nH]2)cc1 ZINC000428217691 1123281689 /nfs/dbraw/zinc/28/16/89/1123281689.db2.gz KGMILPSWILAKRR-INIZCTEOSA-N 1 2 298.434 3.964 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)cc1 ZINC000428217691 1123281694 /nfs/dbraw/zinc/28/16/94/1123281694.db2.gz KGMILPSWILAKRR-INIZCTEOSA-N 1 2 298.434 3.964 20 0 CHADLO CC(C)Cn1nccc1C[N@@H+]1CCc2sccc2[C@@H]1C ZINC000647999834 1123289337 /nfs/dbraw/zinc/28/93/37/1123289337.db2.gz ARMCRUZNYNERBF-ZDUSSCGKSA-N 1 2 289.448 3.720 20 0 CHADLO CC(C)Cn1nccc1C[N@H+]1CCc2sccc2[C@@H]1C ZINC000647999834 1123289339 /nfs/dbraw/zinc/28/93/39/1123289339.db2.gz ARMCRUZNYNERBF-ZDUSSCGKSA-N 1 2 289.448 3.720 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cccc(C)c2Cl)c[nH+]1 ZINC000335060155 1123293060 /nfs/dbraw/zinc/29/30/60/1123293060.db2.gz VPVFMPSFOXJGCZ-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO Cc1cccc2c1CCC[C@@H]2[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000850574570 1123296361 /nfs/dbraw/zinc/29/63/61/1123296361.db2.gz ZMJFPDLDTAAGSN-DLBZAZTESA-N 1 2 281.403 3.763 20 0 CHADLO COC1CC[NH+](Cc2csc(Cl)c2Cl)CC1 ZINC000335226631 1123323609 /nfs/dbraw/zinc/32/36/09/1123323609.db2.gz GEHMONWTHISWNB-UHFFFAOYSA-N 1 2 280.220 3.666 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2c1c(F)ccc2F)c1nccs1 ZINC000335186518 1123325226 /nfs/dbraw/zinc/32/52/26/1123325226.db2.gz JYOFVZTUMXCIOT-UFBFGSQYSA-N 1 2 280.343 3.759 20 0 CHADLO CNc1ccc([NH2+]C[C@H](C)c2ccc(OC)cc2)cc1 ZINC000629388893 1123343803 /nfs/dbraw/zinc/34/38/03/1123343803.db2.gz SZFXHIZBRCJBNT-ZDUSSCGKSA-N 1 2 270.376 3.953 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1cccc(F)n1 ZINC000851715288 1123373801 /nfs/dbraw/zinc/37/38/01/1123373801.db2.gz ZPWFDBZDEYHYFR-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1cccc(F)n1 ZINC000851715288 1123373805 /nfs/dbraw/zinc/37/38/05/1123373805.db2.gz ZPWFDBZDEYHYFR-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](CC=C(Cl)Cl)C1 ZINC000851712823 1123374147 /nfs/dbraw/zinc/37/41/47/1123374147.db2.gz VAVHDETWOHVOSO-SSDOTTSWSA-N 1 2 262.102 3.580 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](CC=C(Cl)Cl)C1 ZINC000851712823 1123374152 /nfs/dbraw/zinc/37/41/52/1123374152.db2.gz VAVHDETWOHVOSO-SSDOTTSWSA-N 1 2 262.102 3.580 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C3CC3)[C@@H]2C2CC2)c(C)[nH+]1 ZINC000335588287 1123379899 /nfs/dbraw/zinc/37/98/99/1123379899.db2.gz ACFRHLVKPSLVIP-WBVHZDCISA-N 1 2 299.418 3.659 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCC[C@@H](c2ccccn2)C1 ZINC000852086976 1123395504 /nfs/dbraw/zinc/39/55/04/1123395504.db2.gz YTWXDLHBTJDHFT-OYGDSYQHSA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCC[C@@H](c2ccccn2)C1 ZINC000852086976 1123395508 /nfs/dbraw/zinc/39/55/08/1123395508.db2.gz YTWXDLHBTJDHFT-OYGDSYQHSA-N 1 2 271.191 3.580 20 0 CHADLO C[C@@H]([NH2+]CCCc1ccc(Cl)cc1)C(=O)OC(C)(C)C ZINC000738417998 1123403942 /nfs/dbraw/zinc/40/39/42/1123403942.db2.gz XZRUKSAPQVJUGB-GFCCVEGCSA-N 1 2 297.826 3.592 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1csnn1)c1ccccc1 ZINC000398446768 1123405884 /nfs/dbraw/zinc/40/58/84/1123405884.db2.gz BMUVZBNQSIWQKB-AAEUAGOBSA-N 1 2 261.394 3.730 20 0 CHADLO COCCCC1(CNc2cc3cc[nH]c3c[nH+]2)CCC1 ZINC000895199929 1123406546 /nfs/dbraw/zinc/40/65/46/1123406546.db2.gz DYLBUFPMWSTHQV-UHFFFAOYSA-N 1 2 273.380 3.572 20 0 CHADLO CC(C)c1c[nH]c(C[NH+]2CCC(c3ccco3)CC2)n1 ZINC000895228708 1123411723 /nfs/dbraw/zinc/41/17/23/1123411723.db2.gz AVRFQJSUSKFFPF-UHFFFAOYSA-N 1 2 273.380 3.506 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1cc(Cl)ccc1Cl ZINC000623238256 1123416313 /nfs/dbraw/zinc/41/63/13/1123416313.db2.gz IAWPIUVFCWJJSJ-UHFFFAOYSA-N 1 2 298.173 3.609 20 0 CHADLO CC(C)Oc1ccc(-c2csc(Cn3cc[nH+]c3)n2)cc1 ZINC000853002703 1123418417 /nfs/dbraw/zinc/41/84/17/1123418417.db2.gz SQRWWNJTHKSHKF-UHFFFAOYSA-N 1 2 299.399 3.842 20 0 CHADLO S=c1scnn1C[N@@H+]1CCCC2(CCCCC2)C1 ZINC000111173705 1123428232 /nfs/dbraw/zinc/42/82/32/1123428232.db2.gz DOBXUEYPJIVAHK-UHFFFAOYSA-N 1 2 283.466 3.678 20 0 CHADLO S=c1scnn1C[N@H+]1CCCC2(CCCCC2)C1 ZINC000111173705 1123428235 /nfs/dbraw/zinc/42/82/35/1123428235.db2.gz DOBXUEYPJIVAHK-UHFFFAOYSA-N 1 2 283.466 3.678 20 0 CHADLO Clc1cccnc1C1CC[NH+](Cc2nccs2)CC1 ZINC000335818209 1123437264 /nfs/dbraw/zinc/43/72/64/1123437264.db2.gz LALRMUOUZNLSQY-UHFFFAOYSA-N 1 2 293.823 3.571 20 0 CHADLO CCc1nn(C[N@H+](C)[C@@H](C)C(C)(C)C)c(=S)s1 ZINC000853490238 1123442752 /nfs/dbraw/zinc/44/27/52/1123442752.db2.gz QZSWACDTGNXVDW-VIFPVBQESA-N 1 2 273.471 3.560 20 0 CHADLO CCc1nn(C[N@@H+](C)[C@@H](C)C(C)(C)C)c(=S)s1 ZINC000853490238 1123442757 /nfs/dbraw/zinc/44/27/57/1123442757.db2.gz QZSWACDTGNXVDW-VIFPVBQESA-N 1 2 273.471 3.560 20 0 CHADLO CCC1(CC)CCC[N@H+](Cn2ncsc2=S)C1 ZINC000853563132 1123444194 /nfs/dbraw/zinc/44/41/94/1123444194.db2.gz LUXSXTKYVWNZAY-UHFFFAOYSA-N 1 2 271.455 3.534 20 0 CHADLO CCC1(CC)CCC[N@@H+](Cn2ncsc2=S)C1 ZINC000853563132 1123444195 /nfs/dbraw/zinc/44/41/95/1123444195.db2.gz LUXSXTKYVWNZAY-UHFFFAOYSA-N 1 2 271.455 3.534 20 0 CHADLO CCC(C)(C)CCO[NH+]=C(N)Cc1cccc(F)c1 ZINC000853693347 1123447902 /nfs/dbraw/zinc/44/79/02/1123447902.db2.gz CNULRLFQIACZQI-UHFFFAOYSA-N 1 2 266.360 3.693 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2nc(C)sc2C)cc1 ZINC000443627414 1123449677 /nfs/dbraw/zinc/44/96/77/1123449677.db2.gz CRLYYTXULJARRC-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2nc(C)sc2C)cc1 ZINC000443627414 1123449678 /nfs/dbraw/zinc/44/96/78/1123449678.db2.gz CRLYYTXULJARRC-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@H+](Cc2nc(C(C)(C)C)co2)C1 ZINC000895492006 1123485829 /nfs/dbraw/zinc/48/58/29/1123485829.db2.gz PVISDEGZLWTWHF-CQSZACIVSA-N 1 2 294.439 3.609 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@@H+](Cc2nc(C(C)(C)C)co2)C1 ZINC000895492006 1123485831 /nfs/dbraw/zinc/48/58/31/1123485831.db2.gz PVISDEGZLWTWHF-CQSZACIVSA-N 1 2 294.439 3.609 20 0 CHADLO CCCc1ccc(NC(=O)c2cccc3[nH+]ccn32)cc1 ZINC000776747117 1123488121 /nfs/dbraw/zinc/48/81/21/1123488121.db2.gz MXNXRTORWUAFOV-UHFFFAOYSA-N 1 2 279.343 3.539 20 0 CHADLO CCO[P@@](C)(=O)CC[C@@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC000895645326 1123501413 /nfs/dbraw/zinc/50/14/13/1123501413.db2.gz PDBOUCQNXJEWSG-CWTRNNRKSA-N 1 2 284.340 3.833 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCCCC2)cc1)C1CCOCC1 ZINC000776794796 1123506842 /nfs/dbraw/zinc/50/68/42/1123506842.db2.gz KEVCMKNAANDDFS-HNNXBMFYSA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@](C)([NH2+]Cc1c(C)ccc2ccccc21)C(=O)OC ZINC000428294067 1123511462 /nfs/dbraw/zinc/51/14/62/1123511462.db2.gz KPCDKVYUWKCPJY-SFHVURJKSA-N 1 2 285.387 3.580 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2ccc(C(C)(C)C)o2)o1 ZINC000895838552 1123518630 /nfs/dbraw/zinc/51/86/30/1123518630.db2.gz CAWAUZQABWWNCY-UHFFFAOYSA-N 1 2 277.364 3.859 20 0 CHADLO Cc1cc(C)c2cc(NCCC[C@H]3CCO3)ccc2[nH+]1 ZINC000895879707 1123524638 /nfs/dbraw/zinc/52/46/38/1123524638.db2.gz URSQPNOATUAYAK-HNNXBMFYSA-N 1 2 270.376 3.833 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1C[C@H]2OC(C)(C)O[C@H]2C1 ZINC000896358624 1123576779 /nfs/dbraw/zinc/57/67/79/1123576779.db2.gz GDLDPJAHAMBUJN-MKZZRHPPSA-N 1 2 298.386 3.638 20 0 CHADLO CCC[C@@H]([NH2+]CC[C@H](C)c1ccccc1)C(=O)OCC ZINC000097988391 1123577548 /nfs/dbraw/zinc/57/75/48/1123577548.db2.gz NUEGSTLYZSWKAG-GOEBONIOSA-N 1 2 277.408 3.502 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)c1cccc2[nH+]ccn21 ZINC000776971009 1123619489 /nfs/dbraw/zinc/61/94/89/1123619489.db2.gz RIMLCGITJWGEQH-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO CCCc1ccc(C[N@@H+]2Cc3ccncc3C2)cc1 ZINC000857879284 1123624123 /nfs/dbraw/zinc/62/41/23/1123624123.db2.gz YRLWXCBTMGIYJE-UHFFFAOYSA-N 1 2 252.361 3.550 20 0 CHADLO CCCc1ccc(C[N@H+]2Cc3ccncc3C2)cc1 ZINC000857879284 1123624127 /nfs/dbraw/zinc/62/41/27/1123624127.db2.gz YRLWXCBTMGIYJE-UHFFFAOYSA-N 1 2 252.361 3.550 20 0 CHADLO C[C@@H](c1cc2ccccc2o1)[N@H+](C)Cc1cocn1 ZINC000191925081 1123631105 /nfs/dbraw/zinc/63/11/05/1123631105.db2.gz UJTNGXCJJMVNFU-NSHDSACASA-N 1 2 256.305 3.614 20 0 CHADLO C[C@@H](c1cc2ccccc2o1)[N@@H+](C)Cc1cocn1 ZINC000191925081 1123631106 /nfs/dbraw/zinc/63/11/06/1123631106.db2.gz UJTNGXCJJMVNFU-NSHDSACASA-N 1 2 256.305 3.614 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(C(C)(C)C)s1)c1ccns1 ZINC000858316717 1123643938 /nfs/dbraw/zinc/64/39/38/1123643938.db2.gz IROYAHCOAROBLS-SECBINFHSA-N 1 2 281.450 3.748 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CC23CCCC3)c(C)[nH+]1 ZINC000335910424 1123652210 /nfs/dbraw/zinc/65/22/10/1123652210.db2.gz LPNDWAGIZBXKEO-CYBMUJFWSA-N 1 2 258.365 3.526 20 0 CHADLO CC(C)Cc1ccccc1C[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000858441006 1123654540 /nfs/dbraw/zinc/65/45/40/1123654540.db2.gz BHCIPPMWEXWUHQ-KRWDZBQOSA-N 1 2 283.419 3.775 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2cc(Cl)n(C)n2)cc1 ZINC000859051855 1123681550 /nfs/dbraw/zinc/68/15/50/1123681550.db2.gz MKMFOFKGMKSDMT-OAHLLOKOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2cc(Cl)n(C)n2)cc1 ZINC000859051855 1123681554 /nfs/dbraw/zinc/68/15/54/1123681554.db2.gz MKMFOFKGMKSDMT-OAHLLOKOSA-N 1 2 289.810 3.719 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)n(C)n1)c1cc2ccccc2o1 ZINC000859274965 1123693032 /nfs/dbraw/zinc/69/30/32/1123693032.db2.gz YLWTWEQJXGISJE-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1cc(Cl)n(C)n1 ZINC000859279463 1123694341 /nfs/dbraw/zinc/69/43/41/1123694341.db2.gz SIOQUDNZMJNLPD-UKTHLTGXSA-N 1 2 289.810 3.609 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1cc(Cl)n(C)n1 ZINC000859279463 1123694343 /nfs/dbraw/zinc/69/43/43/1123694343.db2.gz SIOQUDNZMJNLPD-UKTHLTGXSA-N 1 2 289.810 3.609 20 0 CHADLO C[C@H]1C[NH+](Cc2cnc(Cl)c(F)c2)C[C@H](C)C1(F)F ZINC000859415469 1123696842 /nfs/dbraw/zinc/69/68/42/1123696842.db2.gz UXOGUIZLHUNURQ-IUCAKERBSA-N 1 2 292.732 3.597 20 0 CHADLO Cc1cc(NC(=O)C23CC4C[C@@](C)(C2)C[C@](C)(C4)C3)cc[nH+]1 ZINC000346659757 1123704924 /nfs/dbraw/zinc/70/49/24/1123704924.db2.gz RHYYFOOVCVCSAV-KOCGSPDRSA-N 1 2 298.430 3.747 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2CCCc3cc(F)ccc32)[nH+]1 ZINC000346697904 1123708245 /nfs/dbraw/zinc/70/82/45/1123708245.db2.gz HYHGKLMNLSJSJB-HNNXBMFYSA-N 1 2 296.349 3.895 20 0 CHADLO CCC(CC)[C@H](NC(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC000346763867 1123709593 /nfs/dbraw/zinc/70/95/93/1123709593.db2.gz HCMSOLMODFVYQX-SFHVURJKSA-N 1 2 299.418 3.567 20 0 CHADLO C[C@H]([NH2+]C1(c2ccc(F)cc2)CC1)c1nccs1 ZINC000346796514 1123714364 /nfs/dbraw/zinc/71/43/64/1123714364.db2.gz PXJPXHKUWDIAFL-JTQLQIEISA-N 1 2 262.353 3.622 20 0 CHADLO Cc1ccccc1[C@H](CC(F)(F)F)[NH2+]Cc1nccn1C ZINC000444008769 1123720311 /nfs/dbraw/zinc/72/03/11/1123720311.db2.gz KFAXGVFDGZHICJ-ZDUSSCGKSA-N 1 2 297.324 3.512 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccn1-c1ccccc1)c1ccns1 ZINC000860062250 1123720360 /nfs/dbraw/zinc/72/03/60/1123720360.db2.gz SJXQCYAKIYNKQU-OLZOCXBDSA-N 1 2 298.415 3.741 20 0 CHADLO c1[nH+]c(-c2cccc(NCC3CC3)c2)n2c1CCCC2 ZINC000444011340 1123720944 /nfs/dbraw/zinc/72/09/44/1123720944.db2.gz JCHZZBKSTAAVFP-UHFFFAOYSA-N 1 2 267.376 3.708 20 0 CHADLO CC(C)CC[N@H+](C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000195115083 1129142170 /nfs/dbraw/zinc/14/21/70/1129142170.db2.gz UYMWOFBJHDOHCJ-UHFFFAOYSA-N 1 2 294.468 3.562 20 0 CHADLO CC(C)CC[N@@H+](C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000195115083 1129142173 /nfs/dbraw/zinc/14/21/73/1129142173.db2.gz UYMWOFBJHDOHCJ-UHFFFAOYSA-N 1 2 294.468 3.562 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCOC2(CCCC2)C1 ZINC000158365140 1129143130 /nfs/dbraw/zinc/14/31/30/1129143130.db2.gz WPMWQUKXNCEPGZ-LBPRGKRZSA-N 1 2 281.346 3.671 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCOC2(CCCC2)C1 ZINC000158365140 1129143133 /nfs/dbraw/zinc/14/31/33/1129143133.db2.gz WPMWQUKXNCEPGZ-LBPRGKRZSA-N 1 2 281.346 3.671 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2)o1)[N@@H+]1CCC[C@](C)(F)C1 ZINC000336267311 1123754892 /nfs/dbraw/zinc/75/48/92/1123754892.db2.gz GILYZTYBASCBFL-WBMJQRKESA-N 1 2 289.354 3.622 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2)o1)[N@H+]1CCC[C@](C)(F)C1 ZINC000336267311 1123754894 /nfs/dbraw/zinc/75/48/94/1123754894.db2.gz GILYZTYBASCBFL-WBMJQRKESA-N 1 2 289.354 3.622 20 0 CHADLO Cc1nnc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2C)s1 ZINC000336295884 1123757379 /nfs/dbraw/zinc/75/73/79/1123757379.db2.gz VRROSKRFPQYMPN-CQSZACIVSA-N 1 2 291.395 3.631 20 0 CHADLO Cc1nnc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2C)s1 ZINC000336295884 1123757384 /nfs/dbraw/zinc/75/73/84/1123757384.db2.gz VRROSKRFPQYMPN-CQSZACIVSA-N 1 2 291.395 3.631 20 0 CHADLO CC[C@H](CC(=O)NCc1[nH]c(C)c(C)[nH+]1)c1ccc(C)cc1 ZINC000896919636 1123779272 /nfs/dbraw/zinc/77/92/72/1123779272.db2.gz VTZMWBRSZPVBKU-OAHLLOKOSA-N 1 2 299.418 3.535 20 0 CHADLO CSCCCCCCC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000861472048 1123779432 /nfs/dbraw/zinc/77/94/32/1123779432.db2.gz CIXPJZATPAAQOJ-UHFFFAOYSA-N 1 2 291.420 3.586 20 0 CHADLO C[C@H]1c2ccc(F)cc2CC[N@H+]1Cc1cnc(C2CC2)o1 ZINC000862248291 1123794596 /nfs/dbraw/zinc/79/45/96/1123794596.db2.gz UZQGIWRMRAADMO-NSHDSACASA-N 1 2 286.350 3.810 20 0 CHADLO C[C@H]1c2ccc(F)cc2CC[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000862248291 1123794598 /nfs/dbraw/zinc/79/45/98/1123794598.db2.gz UZQGIWRMRAADMO-NSHDSACASA-N 1 2 286.350 3.810 20 0 CHADLO Cc1cc(NC[C@@]2(C)CCCS2)ccc1[NH+](C)C ZINC000862259577 1123796022 /nfs/dbraw/zinc/79/60/22/1123796022.db2.gz HZEPZRJUPJVDNR-OAHLLOKOSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1cc([NH2+]C[C@@]2(C)CCCS2)ccc1N(C)C ZINC000862259577 1123796023 /nfs/dbraw/zinc/79/60/23/1123796023.db2.gz HZEPZRJUPJVDNR-OAHLLOKOSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1cc(NC[C@]2(C)CCCS2)ccc1[NH+](C)C ZINC000862259576 1123796613 /nfs/dbraw/zinc/79/66/13/1123796613.db2.gz HZEPZRJUPJVDNR-HNNXBMFYSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1cc([NH2+]C[C@]2(C)CCCS2)ccc1N(C)C ZINC000862259576 1123796616 /nfs/dbraw/zinc/79/66/16/1123796616.db2.gz HZEPZRJUPJVDNR-HNNXBMFYSA-N 1 2 264.438 3.759 20 0 CHADLO FC(F)(F)c1cc(C[NH+]2CCC(F)(F)CC2)cs1 ZINC000862351383 1123801334 /nfs/dbraw/zinc/80/13/34/1123801334.db2.gz OIKJEFRCYIXLEI-UHFFFAOYSA-N 1 2 285.281 3.998 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)s1 ZINC001142018888 1123861821 /nfs/dbraw/zinc/86/18/21/1123861821.db2.gz ZYBISKWGNKZBOL-OLZOCXBDSA-N 1 2 295.473 3.804 20 0 CHADLO CSc1ccc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)s1 ZINC001142018888 1123861825 /nfs/dbraw/zinc/86/18/25/1123861825.db2.gz ZYBISKWGNKZBOL-OLZOCXBDSA-N 1 2 295.473 3.804 20 0 CHADLO Cc1cccc2[nH]cc(CCNc3cccc[nH+]3)c21 ZINC000301192379 1123880217 /nfs/dbraw/zinc/88/02/17/1123880217.db2.gz MGPBKGMFHTVTPZ-UHFFFAOYSA-N 1 2 251.333 3.526 20 0 CHADLO Cc1cc(N2CC[C@H](Nc3ccccc3)C2)nc(C(C)C)[nH+]1 ZINC000301226135 1123883568 /nfs/dbraw/zinc/88/35/68/1123883568.db2.gz GJQLQQQIESXXRA-INIZCTEOSA-N 1 2 296.418 3.599 20 0 CHADLO CCC[C@H](c1nc(C[NH2+]CC)no1)c1cccc(Cl)c1 ZINC000863656559 1123884768 /nfs/dbraw/zinc/88/47/68/1123884768.db2.gz PGRRNXRNYVGKKT-ZDUSSCGKSA-N 1 2 293.798 3.765 20 0 CHADLO c1cn(-c2cccc(Oc3ncnc4ccccc43)c2)c[nH+]1 ZINC000301330590 1123891924 /nfs/dbraw/zinc/89/19/24/1123891924.db2.gz AGGMWQDQMWFBJH-UHFFFAOYSA-N 1 2 288.310 3.608 20 0 CHADLO Fc1cccc(Cl)c1[C@@H]1C[C@H]1Nc1cccc[nH+]1 ZINC000301349609 1123893885 /nfs/dbraw/zinc/89/38/85/1123893885.db2.gz KXCAWJVTGIGAFE-BXKDBHETSA-N 1 2 262.715 3.842 20 0 CHADLO Clc1ccccc1N1CCC[C@@H](Nc2cccc[nH+]2)C1 ZINC000301449055 1123901245 /nfs/dbraw/zinc/90/12/45/1123901245.db2.gz WOZXBIWDZRTWQX-CYBMUJFWSA-N 1 2 287.794 3.816 20 0 CHADLO Clc1ccc(CN(c2cccc[nH+]2)C2CC2)cc1 ZINC000301518237 1123907522 /nfs/dbraw/zinc/90/75/22/1123907522.db2.gz KLVYBLJGMXVVNV-UHFFFAOYSA-N 1 2 258.752 3.904 20 0 CHADLO Cc1cc(N[C@H](C)c2cccc3c2OCO3)ccc1[NH+](C)C ZINC000864000286 1123908348 /nfs/dbraw/zinc/90/83/48/1123908348.db2.gz ORQPYXLZMCAVBC-CYBMUJFWSA-N 1 2 298.386 3.963 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H](O)c1ccc(F)cc1 ZINC000301569980 1123913867 /nfs/dbraw/zinc/91/38/67/1123913867.db2.gz NNZQBDXTUWFWHP-KRWDZBQOSA-N 1 2 296.345 3.828 20 0 CHADLO Cc1cc(N(C)[C@@H](C)Cc2ccc(O)cc2)nc(C(C)C)[nH+]1 ZINC000301646887 1123920224 /nfs/dbraw/zinc/92/02/24/1123920224.db2.gz IGMBCZMJDXCHAH-AWEZNQCLSA-N 1 2 299.418 3.681 20 0 CHADLO Cc1nc(N2CCC3(CCCC3)CC2)c(C)c(C)[nH+]1 ZINC000301699656 1123925379 /nfs/dbraw/zinc/92/53/79/1123925379.db2.gz RQRMKXGXZZZWQN-UHFFFAOYSA-N 1 2 259.397 3.562 20 0 CHADLO C[N@H+](Cn1ncn(C(C)(C)C)c1=S)[C@@H]1CCC(C)(C)C1 ZINC000794685600 1123927867 /nfs/dbraw/zinc/92/78/67/1123927867.db2.gz MKICPUJRASGEHV-GFCCVEGCSA-N 1 2 296.484 3.637 20 0 CHADLO C[N@@H+](Cn1ncn(C(C)(C)C)c1=S)[C@@H]1CCC(C)(C)C1 ZINC000794685600 1123927871 /nfs/dbraw/zinc/92/78/71/1123927871.db2.gz MKICPUJRASGEHV-GFCCVEGCSA-N 1 2 296.484 3.637 20 0 CHADLO CC[C@@H](C)[C@@](C)(O)CNc1[nH+]c2ccccc2cc1C ZINC000301744477 1123929477 /nfs/dbraw/zinc/92/94/77/1123929477.db2.gz ZWAVAPLNGCEYJA-DYVFJYSZSA-N 1 2 272.392 3.752 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C2CC2)c(C)c1 ZINC000418007711 1123931494 /nfs/dbraw/zinc/93/14/94/1123931494.db2.gz NSUQJGPSFASTDW-UHFFFAOYSA-N 1 2 255.365 3.701 20 0 CHADLO COC[C@H](Nc1cc(C(C)C)[nH+]c(C(C)C)n1)C1CC1 ZINC000301852289 1123938110 /nfs/dbraw/zinc/93/81/10/1123938110.db2.gz GJDMWMOTEKQJTL-AWEZNQCLSA-N 1 2 277.412 3.560 20 0 CHADLO C[C@@H](c1ccccc1F)N(C)c1nc(N)c2ccccc2[nH+]1 ZINC000301883186 1123940700 /nfs/dbraw/zinc/94/07/00/1123940700.db2.gz NHIMZOWGMDTTEO-NSHDSACASA-N 1 2 296.349 3.549 20 0 CHADLO Cc1ccc([C@@H](C)Nc2nc(N)c3ccccc3[nH+]2)cc1 ZINC000301883181 1123940712 /nfs/dbraw/zinc/94/07/12/1123940712.db2.gz NHBWBCZJIGCHAQ-GFCCVEGCSA-N 1 2 278.359 3.694 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2cncc(Cl)c2)n1 ZINC000621263550 1129154703 /nfs/dbraw/zinc/15/47/03/1129154703.db2.gz XGRSHGGFIZLALY-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2cncc(Cl)c2)n1 ZINC000621263550 1129154705 /nfs/dbraw/zinc/15/47/05/1129154705.db2.gz XGRSHGGFIZLALY-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO COC1(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)CCC1 ZINC000302045318 1123951672 /nfs/dbraw/zinc/95/16/72/1123951672.db2.gz UGRXEYYLLPDUHP-UHFFFAOYSA-N 1 2 277.412 3.704 20 0 CHADLO Cc1nc(N2CCCc3ccccc3C2)c2c([nH+]1)CCCC2 ZINC000302048521 1123952309 /nfs/dbraw/zinc/95/23/09/1123952309.db2.gz MHNPDDRFUMIWLY-UHFFFAOYSA-N 1 2 293.414 3.617 20 0 CHADLO Clc1cncc(Cl)c1CSCCn1cc[nH+]c1 ZINC000865287343 1123969939 /nfs/dbraw/zinc/96/99/39/1123969939.db2.gz AIPKGWZLRAYKQE-UHFFFAOYSA-N 1 2 288.203 3.518 20 0 CHADLO COc1cccc2c(N[C@H]3CC[C@@H](SC)C3)cc[nH+]c12 ZINC000302543006 1123971965 /nfs/dbraw/zinc/97/19/65/1123971965.db2.gz OJDOOUWVPSPDMB-NWDGAFQWSA-N 1 2 288.416 3.939 20 0 CHADLO Cc1nc(N[C@H](C)c2cc3ccccc3o2)cc[nH+]1 ZINC000302645880 1123975917 /nfs/dbraw/zinc/97/59/17/1123975917.db2.gz JCULNJKAMARWMK-SNVBAGLBSA-N 1 2 253.305 3.704 20 0 CHADLO CC(C)(C)c1cn(Cc2cccc(F)c2F)c[nH+]1 ZINC000865374938 1123976175 /nfs/dbraw/zinc/97/61/75/1123976175.db2.gz BFVNFTDRIXYSLD-UHFFFAOYSA-N 1 2 250.292 3.507 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](c2ncc(C)s2)C2CC2)o1 ZINC000428349054 1123978281 /nfs/dbraw/zinc/97/82/81/1123978281.db2.gz VEBYBZXKDZOOBS-CQSZACIVSA-N 1 2 291.420 3.551 20 0 CHADLO CC(C)c1cccc2c1CCN(c1cccc[nH+]1)C2 ZINC000302763976 1123982023 /nfs/dbraw/zinc/98/20/23/1123982023.db2.gz LBAYMMSYMQNQAG-UHFFFAOYSA-N 1 2 252.361 3.768 20 0 CHADLO CNc1ccc(Nc2ccc3nc(C)sc3c2)c[nH+]1 ZINC001203455451 1129160203 /nfs/dbraw/zinc/16/02/03/1129160203.db2.gz SYNVNXNLMXAKDZ-UHFFFAOYSA-N 1 2 270.361 3.785 20 0 CHADLO C[C@H]([NH2+]Cc1coc(C2CC2)n1)c1cc2cnccc2o1 ZINC000865855305 1124021707 /nfs/dbraw/zinc/02/17/07/1124021707.db2.gz XTDHGUHMMCQIAW-JTQLQIEISA-N 1 2 283.331 3.544 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(OC)cc1 ZINC000389472254 1124028493 /nfs/dbraw/zinc/02/84/93/1124028493.db2.gz SPMVPPVOVFIWCZ-GWCFXTLKSA-N 1 2 257.324 3.780 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@@H](C)C(C)(F)F)cc1 ZINC000389469103 1124029541 /nfs/dbraw/zinc/02/95/41/1124029541.db2.gz OAXQNYGSKNWVHV-QWRGUYRKSA-N 1 2 257.324 3.780 20 0 CHADLO CCCOc1cccc(C[NH2+][C@H](C)C(C)(F)F)c1 ZINC000389475601 1124030568 /nfs/dbraw/zinc/03/05/68/1124030568.db2.gz YXDGCJDKJOTMJV-LLVKDONJSA-N 1 2 257.324 3.609 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@@H](C)C(C)(F)F)ccc1F ZINC000389483558 1124031804 /nfs/dbraw/zinc/03/18/04/1124031804.db2.gz JBFSLFVEHLWPSC-BDAKNGLRSA-N 1 2 261.287 3.529 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)ccc1F ZINC000866220155 1124038543 /nfs/dbraw/zinc/03/85/43/1124038543.db2.gz CAWOTKMTIKRMQF-DOTOQJQBSA-N 1 2 289.325 3.696 20 0 CHADLO Cc1cncc([C@H]2CCCN2c2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000866278589 1124046827 /nfs/dbraw/zinc/04/68/27/1124046827.db2.gz UKMGPULZEILFRR-MRXNPFEDSA-N 1 2 294.402 3.707 20 0 CHADLO Cc1nccnc1C[NH2+][C@@H](C)c1ccc(Cl)cc1Cl ZINC000621325712 1129162853 /nfs/dbraw/zinc/16/28/53/1129162853.db2.gz IAWOUUDDEBIHNQ-VIFPVBQESA-N 1 2 296.201 3.943 20 0 CHADLO F[C@H]1COc2ccccc2[C@@H]1[NH2+]C1(c2ccccc2)CC1 ZINC000866318800 1124051918 /nfs/dbraw/zinc/05/19/18/1124051918.db2.gz FMPAESGPPZCCFE-RDJZCZTQSA-N 1 2 283.346 3.737 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2nc(-c3ccoc3)no2)c1 ZINC000444701479 1124055075 /nfs/dbraw/zinc/05/50/75/1124055075.db2.gz WWLJLPCLLYGIGM-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2nc(-c3ccoc3)no2)c1 ZINC000444701479 1124055080 /nfs/dbraw/zinc/05/50/80/1124055080.db2.gz WWLJLPCLLYGIGM-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1c(F)cc(F)cc1F)c1ccccn1 ZINC000866363810 1124057274 /nfs/dbraw/zinc/05/72/74/1124057274.db2.gz OQQKMOVIQCUYQA-MRXNPFEDSA-N 1 2 294.320 3.986 20 0 CHADLO Cc1nc(C[NH2+]Cc2cc3cc(C)ccc3o2)cs1 ZINC000152089942 1124079242 /nfs/dbraw/zinc/07/92/42/1124079242.db2.gz FDCKRUSLYCUDES-UHFFFAOYSA-N 1 2 272.373 3.796 20 0 CHADLO CC[C@@H]([NH2+]Cc1nn(C)cc1C(F)F)c1ccccc1F ZINC000866566350 1124082913 /nfs/dbraw/zinc/08/29/13/1124082913.db2.gz ZJPGRRDEOBSRAD-CYBMUJFWSA-N 1 2 297.324 3.738 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]Cc1c(C)cccc1F ZINC000925169474 1124086064 /nfs/dbraw/zinc/08/60/64/1124086064.db2.gz XOXDLUURQSKLIE-AWEZNQCLSA-N 1 2 274.339 3.598 20 0 CHADLO CCCC[N@H+](Cc1nc(C)no1)[C@@H](C)c1ccc(C)o1 ZINC000621317617 1129166630 /nfs/dbraw/zinc/16/66/30/1129166630.db2.gz OJSVIMFFBMBPHE-LBPRGKRZSA-N 1 2 277.368 3.643 20 0 CHADLO CCCC[N@@H+](Cc1nc(C)no1)[C@@H](C)c1ccc(C)o1 ZINC000621317617 1129166631 /nfs/dbraw/zinc/16/66/31/1129166631.db2.gz OJSVIMFFBMBPHE-LBPRGKRZSA-N 1 2 277.368 3.643 20 0 CHADLO CC[N@H+](Cc1nnc(C(C)C)[nH]1)[C@H](C)c1ccc(F)cc1 ZINC000428450415 1124117565 /nfs/dbraw/zinc/11/75/65/1124117565.db2.gz ZQZSYEBINXCVNT-GFCCVEGCSA-N 1 2 290.386 3.650 20 0 CHADLO CC[N@@H+](Cc1nnc(C(C)C)[nH]1)[C@H](C)c1ccc(F)cc1 ZINC000428450415 1124117567 /nfs/dbraw/zinc/11/75/67/1124117567.db2.gz ZQZSYEBINXCVNT-GFCCVEGCSA-N 1 2 290.386 3.650 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(F)c2)[C@H](c2ccccc2)CO1 ZINC000525268445 1124120996 /nfs/dbraw/zinc/12/09/96/1124120996.db2.gz YSKFYZZRVGBATO-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(F)c2)[C@H](c2ccccc2)CO1 ZINC000525268445 1124120997 /nfs/dbraw/zinc/12/09/97/1124120997.db2.gz YSKFYZZRVGBATO-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2nnc(C(C)C)[nH]2)c1 ZINC000428453385 1124123457 /nfs/dbraw/zinc/12/34/57/1124123457.db2.gz AKVMSWLOPVGADQ-OAHLLOKOSA-N 1 2 284.407 3.574 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)c1 ZINC000428453385 1124123458 /nfs/dbraw/zinc/12/34/58/1124123458.db2.gz AKVMSWLOPVGADQ-OAHLLOKOSA-N 1 2 284.407 3.574 20 0 CHADLO C[C@H]1C[C@@H]1CNc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000446900518 1124127756 /nfs/dbraw/zinc/12/77/56/1124127756.db2.gz ZCENIEKJOICUKB-DZGCQCFKSA-N 1 2 281.403 3.954 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cnc3c(C)cccn23)c1 ZINC000446975245 1124130313 /nfs/dbraw/zinc/13/03/13/1124130313.db2.gz QHTVCLRKLNEBED-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cnc3c(C)cccn23)c1 ZINC000446975245 1124130315 /nfs/dbraw/zinc/13/03/15/1124130315.db2.gz QHTVCLRKLNEBED-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1nc([C@@H](C)[NH+]2CCC(CCc3ccccc3)CC2)no1 ZINC000429704345 1124134017 /nfs/dbraw/zinc/13/40/17/1124134017.db2.gz VSVZPOHMGSDZJF-CQSZACIVSA-N 1 2 299.418 3.784 20 0 CHADLO CC(C)C[C@H](C(=O)N(C)[C@@H](C)c1ccccc1)n1cc[nH+]c1 ZINC000635148761 1129173862 /nfs/dbraw/zinc/17/38/62/1129173862.db2.gz BSZBCFNGFLAZBO-DOTOQJQBSA-N 1 2 299.418 3.690 20 0 CHADLO C[C@@H]([NH2+][C@H](C(F)F)C1CCCCC1)c1ccncc1 ZINC000621404176 1129173920 /nfs/dbraw/zinc/17/39/20/1129173920.db2.gz LCOIMDMJSGSFQS-RISCZKNCSA-N 1 2 268.351 3.946 20 0 CHADLO COc1cc(OC)cc([C@H](C)Nc2c[nH+]c(C)c(C)c2)c1 ZINC001170933476 1131257236 /nfs/dbraw/zinc/25/72/36/1131257236.db2.gz KVYMJZULNXZING-ZDUSSCGKSA-N 1 2 286.375 3.889 20 0 CHADLO c1cn(-c2ccc(-c3ccc(C4CC4)nc3)cc2)c[nH+]1 ZINC001238852759 1131257313 /nfs/dbraw/zinc/25/73/13/1131257313.db2.gz IHRYUSIVMXSXHO-UHFFFAOYSA-N 1 2 261.328 3.812 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cc3ccccc3[nH]c2=O)cc1 ZINC001238882067 1131263958 /nfs/dbraw/zinc/26/39/58/1131263958.db2.gz MPZHDOKJAQUARM-UHFFFAOYSA-N 1 2 292.338 3.969 20 0 CHADLO Cc1cc([C@H](C)NC[C@@H]2CCCC[N@@H+]2C2CC2)c(C)o1 ZINC000447604172 1124499682 /nfs/dbraw/zinc/49/96/82/1124499682.db2.gz ZBHWJUKFHQRNQH-BBRMVZONSA-N 1 2 276.424 3.564 20 0 CHADLO Cc1cc([C@H](C)NC[C@@H]2CCCC[N@H+]2C2CC2)c(C)o1 ZINC000447604172 1124499686 /nfs/dbraw/zinc/49/96/86/1124499686.db2.gz ZBHWJUKFHQRNQH-BBRMVZONSA-N 1 2 276.424 3.564 20 0 CHADLO CCSc1ccccc1C[NH2+][C@H](C)c1nnc(C)s1 ZINC001119817755 1131265443 /nfs/dbraw/zinc/26/54/43/1131265443.db2.gz QPLQXHMXUOSUQY-SNVBAGLBSA-N 1 2 293.461 3.809 20 0 CHADLO CCc1ccc(C[N@@H+]2CCC[C@@H]2c2csc(C)n2)nc1 ZINC000339094861 1124534472 /nfs/dbraw/zinc/53/44/72/1124534472.db2.gz YHTIVRTVDCQUNH-MRXNPFEDSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1ccc(C[N@H+]2CCC[C@@H]2c2csc(C)n2)nc1 ZINC000339094861 1124534487 /nfs/dbraw/zinc/53/44/87/1124534487.db2.gz YHTIVRTVDCQUNH-MRXNPFEDSA-N 1 2 287.432 3.746 20 0 CHADLO CC[C@H](C)[C@H](C)Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000526990994 1124538317 /nfs/dbraw/zinc/53/83/17/1124538317.db2.gz PKVZJSZZYWLLBZ-XQLPTFJDSA-N 1 2 291.439 3.542 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000339099780 1124548855 /nfs/dbraw/zinc/54/88/55/1124548855.db2.gz QHPFHOBNZHBQBT-SJKOYZFVSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000339099780 1124548863 /nfs/dbraw/zinc/54/88/63/1124548863.db2.gz QHPFHOBNZHBQBT-SJKOYZFVSA-N 1 2 297.402 3.666 20 0 CHADLO FC(F)(F)CCC[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC001119959143 1131268797 /nfs/dbraw/zinc/26/87/97/1131268797.db2.gz FKLCXCKWDBUMOF-UHFFFAOYSA-N 1 2 263.690 3.998 20 0 CHADLO FC(F)(F)CCC[N@H+]1Cc2ccc(Cl)cc2C1 ZINC001119959143 1131268799 /nfs/dbraw/zinc/26/87/99/1131268799.db2.gz FKLCXCKWDBUMOF-UHFFFAOYSA-N 1 2 263.690 3.998 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)[C@@H](F)CC1CCCCC1 ZINC000795123745 1124565578 /nfs/dbraw/zinc/56/55/78/1124565578.db2.gz BWPVXKZKDQQDBA-ZDUSSCGKSA-N 1 2 289.354 3.581 20 0 CHADLO C[C@@H]1CCC[C@H](CC[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000527376928 1124575443 /nfs/dbraw/zinc/57/54/43/1124575443.db2.gz GWSNFBFJDLGZRZ-BFYDXBDKSA-N 1 2 298.434 3.700 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C(C)(C)C3CCC3)cc2[nH+]1 ZINC000448623924 1124599771 /nfs/dbraw/zinc/59/97/71/1124599771.db2.gz FSYMJWASXRGQQX-UHFFFAOYSA-N 1 2 271.364 3.636 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](c3cccc(F)c3)C2)cs1 ZINC000449016344 1124629717 /nfs/dbraw/zinc/62/97/17/1124629717.db2.gz HOIXSMLAHDCAMR-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](c3cccc(F)c3)C2)cs1 ZINC000449016344 1124629719 /nfs/dbraw/zinc/62/97/19/1124629719.db2.gz HOIXSMLAHDCAMR-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+](C)Cc1ccncc1F ZINC000449007461 1124631151 /nfs/dbraw/zinc/63/11/51/1124631151.db2.gz DGLZYVBFPALMAR-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+](C)Cc1ccncc1F ZINC000449007461 1124631156 /nfs/dbraw/zinc/63/11/56/1124631156.db2.gz DGLZYVBFPALMAR-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccnn2C2CCCC2)o1 ZINC000449006172 1124631822 /nfs/dbraw/zinc/63/18/22/1124631822.db2.gz CSXMQVANIGLUMO-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccnn2C2CCCC2)o1 ZINC000449006172 1124631824 /nfs/dbraw/zinc/63/18/24/1124631824.db2.gz CSXMQVANIGLUMO-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO CCc1noc(C)c1[C@@H](C)[NH2+]Cc1c(F)cccc1OC ZINC001120363054 1131274312 /nfs/dbraw/zinc/27/43/12/1131274312.db2.gz DZVFSBPRGVMMNA-SNVBAGLBSA-N 1 2 292.354 3.544 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+][C@@H](C)c1cc(O)ccc1F ZINC000872034510 1124661414 /nfs/dbraw/zinc/66/14/14/1124661414.db2.gz JWJWPOWUAKYFSM-DTWKUNHWSA-N 1 2 278.327 3.548 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H](C)c2cccc(O)c2F)n1 ZINC000872032281 1124661645 /nfs/dbraw/zinc/66/16/45/1124661645.db2.gz QWIIZZJPLQCRJS-NXEZZACHSA-N 1 2 280.368 3.708 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2cccc(O)c2F)c(F)c1 ZINC000872048235 1124666236 /nfs/dbraw/zinc/66/62/36/1124666236.db2.gz HXQSFLUDRXQYJW-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO CC[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@H]1CCCOC1 ZINC000872055003 1124667648 /nfs/dbraw/zinc/66/76/48/1124667648.db2.gz DMSYVAROMQYDFR-MAUKXSAKSA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@H]1CCCOC1 ZINC000872055003 1124667650 /nfs/dbraw/zinc/66/76/50/1124667650.db2.gz DMSYVAROMQYDFR-MAUKXSAKSA-N 1 2 288.435 3.904 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ncccc1Cl)C2 ZINC000528468481 1124673173 /nfs/dbraw/zinc/67/31/73/1124673173.db2.gz CEGQTZPELIXCKY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ncccc1Cl)C2 ZINC000528468481 1124673176 /nfs/dbraw/zinc/67/31/76/1124673176.db2.gz CEGQTZPELIXCKY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2ccc(C(F)(F)F)nc2)no1 ZINC000872129993 1124690217 /nfs/dbraw/zinc/69/02/17/1124690217.db2.gz CUOZNKMZXQFHJG-UWVGGRQHSA-N 1 2 299.296 3.809 20 0 CHADLO CC[C@H]([NH2+]Cc1cc2c(ccc(C)c2C)[nH]1)C(F)F ZINC000449360876 1124711144 /nfs/dbraw/zinc/71/11/44/1124711144.db2.gz JUTNBRYDCJJTHC-ZDUSSCGKSA-N 1 2 266.335 3.918 20 0 CHADLO FCC(CF)[NH2+]Cc1cc(-c2ccccc2)cs1 ZINC000449380954 1124717797 /nfs/dbraw/zinc/71/77/97/1124717797.db2.gz PRGAXYNSXGZQFQ-UHFFFAOYSA-N 1 2 267.344 3.812 20 0 CHADLO Cc1ccc(C)c(C[N@@H+]2CC(C)(C)[C@@H]2c2nccn2C)c1 ZINC000449413309 1124721447 /nfs/dbraw/zinc/72/14/47/1124721447.db2.gz RLZXUVITZBPPCK-INIZCTEOSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1ccc(C)c(C[N@H+]2CC(C)(C)[C@@H]2c2nccn2C)c1 ZINC000449413309 1124721455 /nfs/dbraw/zinc/72/14/55/1124721455.db2.gz RLZXUVITZBPPCK-INIZCTEOSA-N 1 2 283.419 3.620 20 0 CHADLO C[C@@]1(C(F)(F)F)CCN(c2[nH+]ccc3c(N)cccc32)C1 ZINC000872729145 1124727080 /nfs/dbraw/zinc/72/70/80/1124727080.db2.gz MGBHUMMQPFFDNM-CQSZACIVSA-N 1 2 295.308 3.596 20 0 CHADLO CCCCOc1ncc(-c2cccc3[nH+]ccn32)cc1C ZINC001238963806 1131280183 /nfs/dbraw/zinc/28/01/83/1131280183.db2.gz IKXFUUFOZZDPQS-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO CS[C@H]1CC[N@H+](Cc2csc(Cl)c2Cl)C1 ZINC000449447207 1124742870 /nfs/dbraw/zinc/74/28/70/1124742870.db2.gz WXBVRPHJGMINNY-QMMMGPOBSA-N 1 2 282.261 3.992 20 0 CHADLO CS[C@H]1CC[N@@H+](Cc2csc(Cl)c2Cl)C1 ZINC000449447207 1124742876 /nfs/dbraw/zinc/74/28/76/1124742876.db2.gz WXBVRPHJGMINNY-QMMMGPOBSA-N 1 2 282.261 3.992 20 0 CHADLO FC(F)(F)c1cc(Cl)nc(C[NH2+]Cc2ccco2)c1 ZINC000873170273 1124745249 /nfs/dbraw/zinc/74/52/49/1124745249.db2.gz VIOMBHQEAWFLGA-UHFFFAOYSA-N 1 2 290.672 3.637 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2cocn2)C2CC2)cc1Cl ZINC000449454555 1124745149 /nfs/dbraw/zinc/74/51/49/1124745149.db2.gz ZSSSRBOWFRHSLT-CQSZACIVSA-N 1 2 280.730 3.708 20 0 CHADLO CC1(C)CCc2onc(C[NH2+]Cc3ccc(F)cc3)c2C1 ZINC000873175553 1124745717 /nfs/dbraw/zinc/74/57/17/1124745717.db2.gz LBPAGANWYRFBIX-UHFFFAOYSA-N 1 2 288.366 3.618 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@@H](C(F)(F)F)C[C@H]2C)s1 ZINC000449459434 1124746571 /nfs/dbraw/zinc/74/65/71/1124746571.db2.gz LBGMJWQHGTUSMI-NXEZZACHSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@@H](C(F)(F)F)C[C@H]2C)s1 ZINC000449459434 1124746577 /nfs/dbraw/zinc/74/65/77/1124746577.db2.gz LBGMJWQHGTUSMI-NXEZZACHSA-N 1 2 292.370 3.868 20 0 CHADLO CCOC[C@@H]1C[N@@H+]([C@@H](CC)c2ccc(Cl)cc2)CCO1 ZINC000528715995 1124768596 /nfs/dbraw/zinc/76/85/96/1124768596.db2.gz BPYNXGCJBVHOON-HOTGVXAUSA-N 1 2 297.826 3.528 20 0 CHADLO CCOC[C@@H]1C[N@H+]([C@@H](CC)c2ccc(Cl)cc2)CCO1 ZINC000528715995 1124768601 /nfs/dbraw/zinc/76/86/01/1124768601.db2.gz BPYNXGCJBVHOON-HOTGVXAUSA-N 1 2 297.826 3.528 20 0 CHADLO CCCCCN(C(=O)[C@@H](CC(C)C)n1cc[nH+]c1)C(C)C ZINC001136339754 1131287159 /nfs/dbraw/zinc/28/71/59/1131287159.db2.gz RWDFKMQFPBJOAZ-MRXNPFEDSA-N 1 2 293.455 3.898 20 0 CHADLO COc1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1C ZINC001238993931 1131288480 /nfs/dbraw/zinc/28/84/80/1131288480.db2.gz KNWOAMKJZNYTPF-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO Cc1nnc(-c2ccc(-c3cn4cc[nH+]c4cc3C)cc2)o1 ZINC001239020413 1131290696 /nfs/dbraw/zinc/29/06/96/1131290696.db2.gz RMOSJWWQVRRBKQ-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO C[C@H](Nc1ccc(F)c(F)c1)c1cn2c([nH+]1)CCCC2 ZINC000820836307 1131305286 /nfs/dbraw/zinc/30/52/86/1131305286.db2.gz OLOLTFQZMOEASC-JTQLQIEISA-N 1 2 277.318 3.671 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1cc(C)ccc1C ZINC000528801972 1124810856 /nfs/dbraw/zinc/81/08/56/1124810856.db2.gz PKFAFZSDUIDCGX-OAHLLOKOSA-N 1 2 285.391 3.805 20 0 CHADLO CCc1ncc(C[NH2+]Cc2c(Cl)oc3ccccc32)o1 ZINC000449610303 1124814993 /nfs/dbraw/zinc/81/49/93/1124814993.db2.gz QBELRPMCOVCDPJ-UHFFFAOYSA-N 1 2 290.750 3.926 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)CC(C)=C(C)C)n1 ZINC000874150002 1124821478 /nfs/dbraw/zinc/82/14/78/1124821478.db2.gz ZGBFSMMMFGJFKU-UHFFFAOYSA-N 1 2 295.452 3.670 20 0 CHADLO c1coc([C@@H]2[N@H+](Cc3cnn4ccccc34)CC23CCC3)c1 ZINC000449635854 1124831811 /nfs/dbraw/zinc/83/18/11/1124831811.db2.gz VBQPKHZTICYNNP-KRWDZBQOSA-N 1 2 293.370 3.655 20 0 CHADLO c1coc([C@@H]2[N@@H+](Cc3cnn4ccccc34)CC23CCC3)c1 ZINC000449635854 1124831828 /nfs/dbraw/zinc/83/18/28/1124831828.db2.gz VBQPKHZTICYNNP-KRWDZBQOSA-N 1 2 293.370 3.655 20 0 CHADLO CCCC[C@@H](CC)COCCCNc1c(F)cc[nH+]c1N ZINC001168116211 1124950031 /nfs/dbraw/zinc/95/00/31/1124950031.db2.gz ZPBNNIQXARPPKI-CYBMUJFWSA-N 1 2 297.418 3.838 20 0 CHADLO C[C@H](c1csnn1)[N@H+](C)CCCCc1ccccc1 ZINC000450269656 1124966241 /nfs/dbraw/zinc/96/62/41/1124966241.db2.gz JLCUFODXUPQWJW-CYBMUJFWSA-N 1 2 275.421 3.554 20 0 CHADLO C[C@H](c1csnn1)[N@@H+](C)CCCCc1ccccc1 ZINC000450269656 1124966250 /nfs/dbraw/zinc/96/62/50/1124966250.db2.gz JLCUFODXUPQWJW-CYBMUJFWSA-N 1 2 275.421 3.554 20 0 CHADLO CS[C@@H]1CCCC[N@H+](Cc2nc(Cl)cs2)C1 ZINC000876812829 1124979525 /nfs/dbraw/zinc/97/95/25/1124979525.db2.gz ZLAMVZNDDAJEIX-SECBINFHSA-N 1 2 276.858 3.514 20 0 CHADLO CS[C@@H]1CCCC[N@@H+](Cc2nc(Cl)cs2)C1 ZINC000876812829 1124979531 /nfs/dbraw/zinc/97/95/31/1124979531.db2.gz ZLAMVZNDDAJEIX-SECBINFHSA-N 1 2 276.858 3.514 20 0 CHADLO CO[C@@](C)(CNc1ccc([NH+]2CCCC2)cc1)C1CC1 ZINC000876820198 1124980934 /nfs/dbraw/zinc/98/09/34/1124980934.db2.gz MDCWBCLWXGQSPM-KRWDZBQOSA-N 1 2 274.408 3.514 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc3cc[nH]c3c2)s1 ZINC000529529344 1124981412 /nfs/dbraw/zinc/98/14/12/1124981412.db2.gz KMFRVPQLLBECAX-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc3cc[nH]c3c2)s1 ZINC000529529344 1124981417 /nfs/dbraw/zinc/98/14/17/1124981417.db2.gz KMFRVPQLLBECAX-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1nc(Cl)cs1 ZINC000876882681 1124986640 /nfs/dbraw/zinc/98/66/40/1124986640.db2.gz NYOBNVWEBJAHMX-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO C[N@H+](CCC1CCCCC1)Cc1nnc(C2CC2)s1 ZINC000450338385 1124986839 /nfs/dbraw/zinc/98/68/39/1124986839.db2.gz KHWBDUPXRKHWIC-UHFFFAOYSA-N 1 2 279.453 3.818 20 0 CHADLO C[N@@H+](CCC1CCCCC1)Cc1nnc(C2CC2)s1 ZINC000450338385 1124986846 /nfs/dbraw/zinc/98/68/46/1124986846.db2.gz KHWBDUPXRKHWIC-UHFFFAOYSA-N 1 2 279.453 3.818 20 0 CHADLO C[N@H+](CCc1ccccn1)Cc1c(F)ccc(F)c1Cl ZINC000529544214 1124988002 /nfs/dbraw/zinc/98/80/02/1124988002.db2.gz VOSRPDXNZXKZDU-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1ccccn1)Cc1c(F)ccc(F)c1Cl ZINC000529544214 1124988007 /nfs/dbraw/zinc/98/80/07/1124988007.db2.gz VOSRPDXNZXKZDU-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@@H+]2CCCC(C)(C)C2)cs1 ZINC000120809258 1124994107 /nfs/dbraw/zinc/99/41/07/1124994107.db2.gz RBZQKPKQTJFEIO-LBPRGKRZSA-N 1 2 282.453 3.863 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@H+]2CCCC(C)(C)C2)cs1 ZINC000120809258 1124994111 /nfs/dbraw/zinc/99/41/11/1124994111.db2.gz RBZQKPKQTJFEIO-LBPRGKRZSA-N 1 2 282.453 3.863 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3nc4ccccc4o3)ccc21 ZINC000877160090 1125014786 /nfs/dbraw/zinc/01/47/86/1125014786.db2.gz RQGJHVNCCRIIIN-UHFFFAOYSA-N 1 2 293.370 3.822 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3nc4ccccc4o3)ccc21 ZINC000877160090 1125014793 /nfs/dbraw/zinc/01/47/93/1125014793.db2.gz RQGJHVNCCRIIIN-UHFFFAOYSA-N 1 2 293.370 3.822 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc3c(ccnc3Cl)o2)C[C@H]1F ZINC000877166035 1125016337 /nfs/dbraw/zinc/01/63/37/1125016337.db2.gz VBBCFVQIRSMCHR-JOYOIKCWSA-N 1 2 282.746 3.661 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc3c(ccnc3Cl)o2)C[C@H]1F ZINC000877166035 1125016343 /nfs/dbraw/zinc/01/63/43/1125016343.db2.gz VBBCFVQIRSMCHR-JOYOIKCWSA-N 1 2 282.746 3.661 20 0 CHADLO CC(C)[N@H+](CC(F)F)C[C@H]1CCCCC1(F)F ZINC000450498473 1125049535 /nfs/dbraw/zinc/04/95/35/1125049535.db2.gz IOTCKGLTKYXFTP-SNVBAGLBSA-N 1 2 255.299 3.787 20 0 CHADLO CC(C)[N@@H+](CC(F)F)C[C@H]1CCCCC1(F)F ZINC000450498473 1125049542 /nfs/dbraw/zinc/04/95/42/1125049542.db2.gz IOTCKGLTKYXFTP-SNVBAGLBSA-N 1 2 255.299 3.787 20 0 CHADLO CCCn1cc(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)nn1 ZINC000877596099 1125052288 /nfs/dbraw/zinc/05/22/88/1125052288.db2.gz NEAIIYWADAQXFG-ZDUSSCGKSA-N 1 2 292.452 3.721 20 0 CHADLO CCCn1cc(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)nn1 ZINC000877596099 1125052294 /nfs/dbraw/zinc/05/22/94/1125052294.db2.gz NEAIIYWADAQXFG-ZDUSSCGKSA-N 1 2 292.452 3.721 20 0 CHADLO Clc1csc(C[NH2+][C@H](c2ccccn2)C2CCC2)n1 ZINC000877652789 1125057260 /nfs/dbraw/zinc/05/72/60/1125057260.db2.gz OIGDGVIUPAMUJS-AWEZNQCLSA-N 1 2 293.823 3.823 20 0 CHADLO CS[C@H]1CCN(c2cc[nH+]c3cc(F)c(Cl)cc32)C1 ZINC000450683052 1125085569 /nfs/dbraw/zinc/08/55/69/1125085569.db2.gz PVTILZHGDRHHIP-VIFPVBQESA-N 1 2 296.798 3.969 20 0 CHADLO C[C@@H](CC(C)(C)O)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000450688759 1125086311 /nfs/dbraw/zinc/08/63/11/1125086311.db2.gz FTUOKDWPNQTGHB-JTQLQIEISA-N 1 2 278.783 3.850 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@H+](Cc2ccc(Cl)s2)CCO1 ZINC000877822066 1125088383 /nfs/dbraw/zinc/08/83/83/1125088383.db2.gz QCFDMAFIQBHBJD-VIFPVBQESA-N 1 2 299.745 3.555 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@@H+](Cc2ccc(Cl)s2)CCO1 ZINC000877822066 1125088390 /nfs/dbraw/zinc/08/83/90/1125088390.db2.gz QCFDMAFIQBHBJD-VIFPVBQESA-N 1 2 299.745 3.555 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2scnc2Cl)cc1 ZINC000877932103 1125104446 /nfs/dbraw/zinc/10/44/46/1125104446.db2.gz LTRLHMJJWAIYHF-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2scnc2Cl)cc1 ZINC000877932103 1125104447 /nfs/dbraw/zinc/10/44/47/1125104447.db2.gz LTRLHMJJWAIYHF-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO C[N@H+](Cc1nc2c(o1)CCCC2)Cc1ccc(Cl)cc1 ZINC000877945385 1125105171 /nfs/dbraw/zinc/10/51/71/1125105171.db2.gz VDVDAUDWZPDCRL-UHFFFAOYSA-N 1 2 290.794 3.839 20 0 CHADLO C[N@@H+](Cc1nc2c(o1)CCCC2)Cc1ccc(Cl)cc1 ZINC000877945385 1125105175 /nfs/dbraw/zinc/10/51/75/1125105175.db2.gz VDVDAUDWZPDCRL-UHFFFAOYSA-N 1 2 290.794 3.839 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2scnc2Cl)c1 ZINC000877975376 1125108902 /nfs/dbraw/zinc/10/89/02/1125108902.db2.gz MFRCXPSRQIQUAC-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2scnc2Cl)c1 ZINC000877975376 1125108904 /nfs/dbraw/zinc/10/89/04/1125108904.db2.gz MFRCXPSRQIQUAC-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO C=C/C=C/CC[N@@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000877981509 1125110277 /nfs/dbraw/zinc/11/02/77/1125110277.db2.gz WLQGCSPHBLCDBP-XGACYXMMSA-N 1 2 273.380 3.606 20 0 CHADLO C=C/C=C/CC[N@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000877981509 1125110281 /nfs/dbraw/zinc/11/02/81/1125110281.db2.gz WLQGCSPHBLCDBP-XGACYXMMSA-N 1 2 273.380 3.606 20 0 CHADLO CC[N@H+](Cc1nocc1C)Cc1ccc(Cl)cc1 ZINC000878005693 1125112656 /nfs/dbraw/zinc/11/26/56/1125112656.db2.gz UFEAFXOIWTUYGN-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1nocc1C)Cc1ccc(Cl)cc1 ZINC000878005693 1125112659 /nfs/dbraw/zinc/11/26/59/1125112659.db2.gz UFEAFXOIWTUYGN-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1nc2c(o1)CCCC2 ZINC000878083321 1125117216 /nfs/dbraw/zinc/11/72/16/1125117216.db2.gz UDLNKAFIXVGILA-CYBMUJFWSA-N 1 2 282.387 3.673 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC000878083321 1125117219 /nfs/dbraw/zinc/11/72/19/1125117219.db2.gz UDLNKAFIXVGILA-CYBMUJFWSA-N 1 2 282.387 3.673 20 0 CHADLO Cc1conc1C[N@@H+]1CCCC[C@H]1c1ccc(F)cc1 ZINC000878166539 1125127235 /nfs/dbraw/zinc/12/72/35/1125127235.db2.gz XTLJJCNKDUWHAG-INIZCTEOSA-N 1 2 274.339 3.849 20 0 CHADLO Cc1conc1C[N@H+]1CCCC[C@H]1c1ccc(F)cc1 ZINC000878166539 1125127241 /nfs/dbraw/zinc/12/72/41/1125127241.db2.gz XTLJJCNKDUWHAG-INIZCTEOSA-N 1 2 274.339 3.849 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)nc1Cl ZINC000878214627 1125132219 /nfs/dbraw/zinc/13/22/19/1125132219.db2.gz ZFEKZPLITIDMAY-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)nc1Cl ZINC000878214627 1125132221 /nfs/dbraw/zinc/13/22/21/1125132221.db2.gz ZFEKZPLITIDMAY-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(C)c(Cl)n1)c1nccs1 ZINC000878409679 1125150314 /nfs/dbraw/zinc/15/03/14/1125150314.db2.gz NSAAXWHYOCFQCI-LLVKDONJSA-N 1 2 281.812 3.741 20 0 CHADLO Fc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC000121829974 1125156840 /nfs/dbraw/zinc/15/68/40/1125156840.db2.gz YVHCSXCBJUZHDI-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO Cc1cc(SCCn2cc[nH+]c2)nc2ccccc12 ZINC000121839581 1125157250 /nfs/dbraw/zinc/15/72/50/1125157250.db2.gz MENVLDTXYMYNLX-UHFFFAOYSA-N 1 2 269.373 3.532 20 0 CHADLO CCOc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000121827858 1125157384 /nfs/dbraw/zinc/15/73/84/1125157384.db2.gz SVGQMVIOTUPBLP-UHFFFAOYSA-N 1 2 293.370 3.883 20 0 CHADLO Cc1conc1C[N@H+]1CC=C(c2cccc(Cl)c2)CC1 ZINC000878539394 1125159947 /nfs/dbraw/zinc/15/99/47/1125159947.db2.gz XTWKTHSESBYODO-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1conc1C[N@@H+]1CC=C(c2cccc(Cl)c2)CC1 ZINC000878539394 1125159950 /nfs/dbraw/zinc/15/99/50/1125159950.db2.gz XTWKTHSESBYODO-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO c1ccc([C@@H]2CCC[C@@H](C[N@@H+]3Cc4ccncc4C3)O2)cc1 ZINC000878609369 1125166363 /nfs/dbraw/zinc/16/63/63/1125166363.db2.gz YNHXVPWGVUURLV-OALUTQOASA-N 1 2 294.398 3.708 20 0 CHADLO c1ccc([C@@H]2CCC[C@@H](C[N@H+]3Cc4ccncc4C3)O2)cc1 ZINC000878609369 1125166367 /nfs/dbraw/zinc/16/63/67/1125166367.db2.gz YNHXVPWGVUURLV-OALUTQOASA-N 1 2 294.398 3.708 20 0 CHADLO C[C@@H](C[N@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1)C(C)(C)C ZINC000878694773 1125173068 /nfs/dbraw/zinc/17/30/68/1125173068.db2.gz XIQBZZMZLBFISF-DZGCQCFKSA-N 1 2 286.423 3.508 20 0 CHADLO C[C@@H](C[N@@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1)C(C)(C)C ZINC000878694773 1125173069 /nfs/dbraw/zinc/17/30/69/1125173069.db2.gz XIQBZZMZLBFISF-DZGCQCFKSA-N 1 2 286.423 3.508 20 0 CHADLO CC[N@H+](Cc1nccn1C(C)C)Cc1ccc(C)cc1 ZINC000530733830 1125178086 /nfs/dbraw/zinc/17/80/86/1125178086.db2.gz XMQMVCCMVAXDBV-UHFFFAOYSA-N 1 2 271.408 3.795 20 0 CHADLO CC[N@@H+](Cc1nccn1C(C)C)Cc1ccc(C)cc1 ZINC000530733830 1125178091 /nfs/dbraw/zinc/17/80/91/1125178091.db2.gz XMQMVCCMVAXDBV-UHFFFAOYSA-N 1 2 271.408 3.795 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCC[C@H]2c2ncccn2)cc1 ZINC000878975782 1125189195 /nfs/dbraw/zinc/18/91/95/1125189195.db2.gz IBZYOECDHSTJEG-KRWDZBQOSA-N 1 2 297.402 3.601 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCC[C@H]2c2ncccn2)cc1 ZINC000878975782 1125189197 /nfs/dbraw/zinc/18/91/97/1125189197.db2.gz IBZYOECDHSTJEG-KRWDZBQOSA-N 1 2 297.402 3.601 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137881332 1125209300 /nfs/dbraw/zinc/20/93/00/1125209300.db2.gz MJXJPLXLDAFGCN-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137881332 1125209307 /nfs/dbraw/zinc/20/93/07/1125209307.db2.gz MJXJPLXLDAFGCN-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)c1ccccc1 ZINC000122991284 1125216905 /nfs/dbraw/zinc/21/69/05/1125216905.db2.gz WEQRFGMWCIEAFF-AWEZNQCLSA-N 1 2 291.354 3.615 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C(C)(C)C)on1)c1cc2cnccc2o1 ZINC000879714885 1125226761 /nfs/dbraw/zinc/22/67/61/1125226761.db2.gz KIBHNIRESXFLCM-NSHDSACASA-N 1 2 299.374 3.964 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@H]2c2cncc(C)c2)cs1 ZINC000879747100 1125229425 /nfs/dbraw/zinc/22/94/25/1125229425.db2.gz BEZVTICLCWRSIW-HNNXBMFYSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@H]2c2cncc(C)c2)cs1 ZINC000879747100 1125229431 /nfs/dbraw/zinc/22/94/31/1125229431.db2.gz BEZVTICLCWRSIW-HNNXBMFYSA-N 1 2 287.432 3.746 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2nc3ccccc3[nH]2)c1 ZINC000879749226 1125229646 /nfs/dbraw/zinc/22/96/46/1125229646.db2.gz UZRZXOYJLJPCJJ-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2nc3ccccc3[nH]2)c1 ZINC000879749226 1125229651 /nfs/dbraw/zinc/22/96/51/1125229651.db2.gz UZRZXOYJLJPCJJ-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2nc3ccccc3[nH]2)c1 ZINC000879749225 1125229676 /nfs/dbraw/zinc/22/96/76/1125229676.db2.gz UZRZXOYJLJPCJJ-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2nc3ccccc3[nH]2)c1 ZINC000879749225 1125229681 /nfs/dbraw/zinc/22/96/81/1125229681.db2.gz UZRZXOYJLJPCJJ-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2CC=C(Cl)Cl)c1 ZINC000879752541 1125232051 /nfs/dbraw/zinc/23/20/51/1125232051.db2.gz RERNUKJMTUBIKJ-LBPRGKRZSA-N 1 2 271.191 3.846 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2CC=C(Cl)Cl)c1 ZINC000879752541 1125232053 /nfs/dbraw/zinc/23/20/53/1125232053.db2.gz RERNUKJMTUBIKJ-LBPRGKRZSA-N 1 2 271.191 3.846 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@](C)(C(F)(F)F)C2)cs1 ZINC000879999229 1125244196 /nfs/dbraw/zinc/24/41/96/1125244196.db2.gz BJSBLXQCVXURJR-GFCCVEGCSA-N 1 2 292.370 3.870 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@](C)(C(F)(F)F)C2)cs1 ZINC000879999229 1125244200 /nfs/dbraw/zinc/24/42/00/1125244200.db2.gz BJSBLXQCVXURJR-GFCCVEGCSA-N 1 2 292.370 3.870 20 0 CHADLO CC(C)CCc1ccc(NCc2c[nH+]cn2C)cc1 ZINC000532352410 1125250595 /nfs/dbraw/zinc/25/05/95/1125250595.db2.gz JDIAWJWOWAHXAK-UHFFFAOYSA-N 1 2 257.381 3.621 20 0 CHADLO Cc1cccc(-c2ccc(C[NH+]3CCOCC3)cc2F)c1 ZINC001239489129 1131343001 /nfs/dbraw/zinc/34/30/01/1131343001.db2.gz LUPBVQJKGHGEGL-UHFFFAOYSA-N 1 2 285.362 3.633 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1ncccc1Cl ZINC000880375620 1125268111 /nfs/dbraw/zinc/26/81/11/1125268111.db2.gz HEYFSZWYPUYBCY-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1ncccc1Cl ZINC000880375620 1125268113 /nfs/dbraw/zinc/26/81/13/1125268113.db2.gz HEYFSZWYPUYBCY-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO Cn1c(Cl)cnc1C[N@H+]1CCC[C@@]1(C)c1ccccc1 ZINC000880370737 1125268462 /nfs/dbraw/zinc/26/84/62/1125268462.db2.gz QEWLHKBOENHAGG-INIZCTEOSA-N 1 2 289.810 3.585 20 0 CHADLO Cn1c(Cl)cnc1C[N@@H+]1CCC[C@@]1(C)c1ccccc1 ZINC000880370737 1125268458 /nfs/dbraw/zinc/26/84/58/1125268458.db2.gz QEWLHKBOENHAGG-INIZCTEOSA-N 1 2 289.810 3.585 20 0 CHADLO c1cnc(C2([NH2+]Cc3ccc(C4CC4)cc3)CCC2)nc1 ZINC000880368304 1125268471 /nfs/dbraw/zinc/26/84/71/1125268471.db2.gz WFHUREGWYGLZSQ-UHFFFAOYSA-N 1 2 279.387 3.523 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1scnc1Cl ZINC000880375928 1125270026 /nfs/dbraw/zinc/27/00/26/1125270026.db2.gz NYSOTXHERYMMBL-MRVPVSSYSA-N 1 2 284.837 3.977 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1scnc1Cl ZINC000880375928 1125270029 /nfs/dbraw/zinc/27/00/29/1125270029.db2.gz NYSOTXHERYMMBL-MRVPVSSYSA-N 1 2 284.837 3.977 20 0 CHADLO c1nc(C[N@@H+]2C[C@@H]3CC[C@H]2c2ccccc23)cs1 ZINC000880539883 1125279178 /nfs/dbraw/zinc/27/91/78/1125279178.db2.gz KURNCCQIKOCRDR-NHYWBVRUSA-N 1 2 256.374 3.577 20 0 CHADLO c1nc(C[N@H+]2C[C@@H]3CC[C@H]2c2ccccc23)cs1 ZINC000880539883 1125279181 /nfs/dbraw/zinc/27/91/81/1125279181.db2.gz KURNCCQIKOCRDR-NHYWBVRUSA-N 1 2 256.374 3.577 20 0 CHADLO c1ccc2c(c1)[C@H]1CC[C@@H]2[N@H+](Cc2nc3c(o2)CCCC3)C1 ZINC000880539436 1125279274 /nfs/dbraw/zinc/27/92/74/1125279274.db2.gz YJXSCEGYMLZZRV-GUYCJALGSA-N 1 2 294.398 3.988 20 0 CHADLO c1ccc2c(c1)[C@H]1CC[C@@H]2[N@@H+](Cc2nc3c(o2)CCCC3)C1 ZINC000880539436 1125279278 /nfs/dbraw/zinc/27/92/78/1125279278.db2.gz YJXSCEGYMLZZRV-GUYCJALGSA-N 1 2 294.398 3.988 20 0 CHADLO CC[N@H+](Cc1nnc(C)o1)[C@H](C)c1cc2ccccc2o1 ZINC000064349025 1125330074 /nfs/dbraw/zinc/33/00/74/1125330074.db2.gz QEBLPMUVWYTVGD-LLVKDONJSA-N 1 2 285.347 3.707 20 0 CHADLO CC[N@@H+](Cc1nnc(C)o1)[C@H](C)c1cc2ccccc2o1 ZINC000064349025 1125330076 /nfs/dbraw/zinc/33/00/76/1125330076.db2.gz QEBLPMUVWYTVGD-LLVKDONJSA-N 1 2 285.347 3.707 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)cn1 ZINC001137169609 1125330829 /nfs/dbraw/zinc/33/08/29/1125330829.db2.gz JXHXAHUQUSNUTQ-HNNXBMFYSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccc(C[N@H+]2CCOC[C@H]2C2CCCCC2)cn1 ZINC001137169609 1125330835 /nfs/dbraw/zinc/33/08/35/1125330835.db2.gz JXHXAHUQUSNUTQ-HNNXBMFYSA-N 1 2 294.826 3.516 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)[C@H](C)c2ccccn2)c1 ZINC000192135503 1125331295 /nfs/dbraw/zinc/33/12/95/1125331295.db2.gz FWWWADYGHZQZLH-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)[C@H](C)c2ccccn2)c1 ZINC000192135503 1125331298 /nfs/dbraw/zinc/33/12/98/1125331298.db2.gz FWWWADYGHZQZLH-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO CC[C@](C)([NH2+]Cc1nnc(C2CC2)o1)c1ccc(F)cc1 ZINC000639926844 1125336439 /nfs/dbraw/zinc/33/64/39/1125336439.db2.gz CRXGJLNEPOWTDJ-INIZCTEOSA-N 1 2 289.354 3.501 20 0 CHADLO CCCc1noc(C[NH2+][C@@](C)(CC)c2ccc(F)cc2)n1 ZINC000639930503 1125340426 /nfs/dbraw/zinc/34/04/26/1125340426.db2.gz XLGRTYBIRDQXQR-INIZCTEOSA-N 1 2 291.370 3.576 20 0 CHADLO CC(C)(C)c1cn(C[C@H]2CC(C)(C)OC2(C)C)c[nH+]1 ZINC000882281457 1125342024 /nfs/dbraw/zinc/34/20/24/1125342024.db2.gz ZPCORTUCZFMXRY-GFCCVEGCSA-N 1 2 264.413 3.774 20 0 CHADLO CCC[N@H+](Cc1nnc(C(C)C)o1)Cc1ccccc1 ZINC000170691748 1125343690 /nfs/dbraw/zinc/34/36/90/1125343690.db2.gz NDECEEVKQFGLNY-UHFFFAOYSA-N 1 2 273.380 3.605 20 0 CHADLO CCC[N@@H+](Cc1nnc(C(C)C)o1)Cc1ccccc1 ZINC000170691748 1125343694 /nfs/dbraw/zinc/34/36/94/1125343694.db2.gz NDECEEVKQFGLNY-UHFFFAOYSA-N 1 2 273.380 3.605 20 0 CHADLO Cc1nc(C[N@H+]2CC=C(c3ccc(F)cc3)CC2)oc1C ZINC000193479301 1125344638 /nfs/dbraw/zinc/34/46/38/1125344638.db2.gz AFYSJVKPJLVZMR-UHFFFAOYSA-N 1 2 286.350 3.720 20 0 CHADLO Cc1nc(C[N@@H+]2CC=C(c3ccc(F)cc3)CC2)oc1C ZINC000193479301 1125344640 /nfs/dbraw/zinc/34/46/40/1125344640.db2.gz AFYSJVKPJLVZMR-UHFFFAOYSA-N 1 2 286.350 3.720 20 0 CHADLO CCC[C@@H](C)CC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882873538 1125359630 /nfs/dbraw/zinc/35/96/30/1125359630.db2.gz CPHJMQQDLPCODS-NVXWUHKLSA-N 1 2 299.418 3.567 20 0 CHADLO Fc1c[nH+]ccc1N1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000882994710 1125367018 /nfs/dbraw/zinc/36/70/18/1125367018.db2.gz PVEOZOLLQOXSBT-NHYWBVRUSA-N 1 2 254.308 3.659 20 0 CHADLO CSc1ccc(C[NH2+][C@@H]2COc3c2cccc3Cl)o1 ZINC000883039317 1125368839 /nfs/dbraw/zinc/36/88/39/1125368839.db2.gz KNIHTWUVOUSPMO-GFCCVEGCSA-N 1 2 295.791 3.878 20 0 CHADLO CC(C)n1c2ccccc2[nH+]c1NCc1ccnc(F)c1 ZINC000883215172 1125378520 /nfs/dbraw/zinc/37/85/20/1125378520.db2.gz TWKUMUPBOJEFDZ-UHFFFAOYSA-N 1 2 284.338 3.763 20 0 CHADLO CC[C@H]([NH2+]Cc1ccn(CC)n1)c1sccc1Cl ZINC000883228934 1125380981 /nfs/dbraw/zinc/38/09/81/1125380981.db2.gz XRYCPPBHRDVSJF-LBPRGKRZSA-N 1 2 283.828 3.859 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+]Cc1nccn1C(C)C ZINC000883257059 1125386581 /nfs/dbraw/zinc/38/65/81/1125386581.db2.gz PYHODBYTIMSXTG-UHFFFAOYSA-N 1 2 299.418 3.640 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+]Cc1csc(C)n1 ZINC000883258915 1125386677 /nfs/dbraw/zinc/38/66/77/1125386677.db2.gz UQKGJNOPIUUBMS-UHFFFAOYSA-N 1 2 288.416 3.627 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2ccncc2Cl)c(Cl)n1 ZINC000883301740 1125391847 /nfs/dbraw/zinc/39/18/47/1125391847.db2.gz HSIGTHYTXTZBDI-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO O=c1[nH]c2ccccc2cc1C[N@H+]1Cc2ccc(F)cc2C1 ZINC001137187158 1125396866 /nfs/dbraw/zinc/39/68/66/1125396866.db2.gz DMLNAQARYWXSQS-UHFFFAOYSA-N 1 2 294.329 3.595 20 0 CHADLO O=c1[nH]c2ccccc2cc1C[N@@H+]1Cc2ccc(F)cc2C1 ZINC001137187158 1125396867 /nfs/dbraw/zinc/39/68/67/1125396867.db2.gz DMLNAQARYWXSQS-UHFFFAOYSA-N 1 2 294.329 3.595 20 0 CHADLO CC(=O)Nc1cccc([C@H](C)Nc2c[nH+]c(C)c(C)c2)c1 ZINC000883341606 1125398365 /nfs/dbraw/zinc/39/83/65/1125398365.db2.gz VDIDUQNTXBZVQJ-ZDUSSCGKSA-N 1 2 283.375 3.830 20 0 CHADLO CCc1cccnc1[C@@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC000883342961 1125398471 /nfs/dbraw/zinc/39/84/71/1125398471.db2.gz SPALOLKBHCALPI-CYBMUJFWSA-N 1 2 255.365 3.829 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@H]1F)c1cncs1 ZINC000883375845 1125403964 /nfs/dbraw/zinc/40/39/64/1125403964.db2.gz ACUXEPLPFGHMFV-ZBINZKHDSA-N 1 2 276.380 3.819 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@@H]1F)c1ccccn1 ZINC000883376083 1125403981 /nfs/dbraw/zinc/40/39/81/1125403981.db2.gz DYXUBGNILLFGLK-NUTKFTJISA-N 1 2 270.351 3.758 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@@H]1F)c1cncs1 ZINC000883375843 1125404386 /nfs/dbraw/zinc/40/43/86/1125404386.db2.gz ACUXEPLPFGHMFV-VZJVUDMVSA-N 1 2 276.380 3.819 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@H]2c3ccccc3CC[C@H]2F)c(C)n1 ZINC000883375923 1125404407 /nfs/dbraw/zinc/40/44/07/1125404407.db2.gz BYFVLOOPAJIOPL-RZAIGCCYSA-N 1 2 299.393 3.770 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1scnc1C ZINC000883378379 1125405071 /nfs/dbraw/zinc/40/50/71/1125405071.db2.gz KCGNYDQWROVPJA-UHFFFAOYSA-N 1 2 279.434 3.712 20 0 CHADLO CC(C)n1nccc1C[NH2+][C@@H]1c2ccccc2CC[C@H]1F ZINC000883380832 1125405957 /nfs/dbraw/zinc/40/59/57/1125405957.db2.gz BCKSXIDDQUVMNM-IAGOWNOFSA-N 1 2 287.382 3.579 20 0 CHADLO Cc1occc1C[NH2+][C@H]1c2ccccc2CC[C@@H]1F ZINC000883380797 1125405973 /nfs/dbraw/zinc/40/59/73/1125405973.db2.gz ATPNDZHDVMOAAX-HOTGVXAUSA-N 1 2 259.324 3.703 20 0 CHADLO F[C@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1ccncc1Cl ZINC000883381332 1125405995 /nfs/dbraw/zinc/40/59/95/1125405995.db2.gz KTKOUQBCSPQFDJ-HOTGVXAUSA-N 1 2 290.769 3.850 20 0 CHADLO CC(C)n1cncc1C[NH2+][C@H]1c2ccccc2CC[C@H]1F ZINC000883381688 1125406252 /nfs/dbraw/zinc/40/62/52/1125406252.db2.gz RBTQAFBQARKUCE-SJORKVTESA-N 1 2 287.382 3.579 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1ccncc1Cl ZINC000883381331 1125406346 /nfs/dbraw/zinc/40/63/46/1125406346.db2.gz KTKOUQBCSPQFDJ-CVEARBPZSA-N 1 2 290.769 3.850 20 0 CHADLO F[C@H]1CCc2ccccc2[C@H]1[NH2+]Cc1ccncc1Cl ZINC000883381334 1125406404 /nfs/dbraw/zinc/40/64/04/1125406404.db2.gz KTKOUQBCSPQFDJ-JKSUJKDBSA-N 1 2 290.769 3.850 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc(C)cc2Cl)cs1 ZINC000235895202 1125409746 /nfs/dbraw/zinc/40/97/46/1125409746.db2.gz GDSHMWUMFHTIBM-UHFFFAOYSA-N 1 2 266.797 3.703 20 0 CHADLO CC1(C)Nc2ccccc2[C@H]1[NH2+]Cc1csc(Cl)n1 ZINC000883464064 1125411412 /nfs/dbraw/zinc/41/14/12/1125411412.db2.gz DUXIDVKRNGVKFL-GFCCVEGCSA-N 1 2 293.823 3.832 20 0 CHADLO Cc1ccc2c(Nc3ncc(F)cc3F)cccc2[nH+]1 ZINC001212794946 1125413997 /nfs/dbraw/zinc/41/39/97/1125413997.db2.gz GEWUTPOXKDYCNQ-UHFFFAOYSA-N 1 2 271.270 3.960 20 0 CHADLO Cc1ccc2c(Nc3ccnc(F)c3)cccc2[nH+]1 ZINC001212795296 1125414678 /nfs/dbraw/zinc/41/46/78/1125414678.db2.gz ZLEDJFUWYJGXBC-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1nc(C)c(C[NH2+]C2(c3c(F)cccc3F)CCC2)o1 ZINC000626179164 1125419978 /nfs/dbraw/zinc/41/99/78/1125419978.db2.gz YKWVETFDAIRBSG-UHFFFAOYSA-N 1 2 292.329 3.739 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](C)c1cccc2ccccc21 ZINC000036901620 1125426668 /nfs/dbraw/zinc/42/66/68/1125426668.db2.gz KPIKFVZIKMASDA-ZDUSSCGKSA-N 1 2 265.360 3.722 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)ccc1F)c1cccnc1Cl ZINC000133023501 1125429955 /nfs/dbraw/zinc/42/99/55/1125429955.db2.gz DEXZFTRRWGRFFD-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO CCc1oc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)cc1C ZINC000123612293 1125432208 /nfs/dbraw/zinc/43/22/08/1125432208.db2.gz JQOMWWKLAWOXDH-UHFFFAOYSA-N 1 2 295.342 3.588 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@@H](C)c2ccon2)cc1F ZINC000348256572 1125445959 /nfs/dbraw/zinc/44/59/59/1125445959.db2.gz WCEMDWZTGVRIPL-ZJUUUORDSA-N 1 2 280.368 3.947 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@H](C)[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC001126725925 1125449992 /nfs/dbraw/zinc/44/99/92/1125449992.db2.gz JIYZQBLNGKLOBC-HUUCEWRRSA-N 1 2 296.414 3.929 20 0 CHADLO CCN(C(=O)[C@@H](CC(C)C)n1cc[nH+]c1)c1ccccc1C ZINC000635356021 1129180247 /nfs/dbraw/zinc/18/02/47/1129180247.db2.gz HXVRZBCJIJNMEB-QGZVFWFLSA-N 1 2 299.418 3.832 20 0 CHADLO Cc1ccc(-c2cccc(C(F)(F)F)c2)[nH+]c1N ZINC001239515109 1131357006 /nfs/dbraw/zinc/35/70/06/1131357006.db2.gz TYVPNBZEYLCYHE-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2C[C@H]2c2ccsc2)c(C)[nH+]1 ZINC000636582080 1129204967 /nfs/dbraw/zinc/20/49/67/1129204967.db2.gz TWRSXIUPJOEZFY-UONOGXRCSA-N 1 2 286.400 3.811 20 0 CHADLO C[C@H]([NH2+]Cc1cnns1)c1cc(Cl)ccc1Cl ZINC000295627424 1129219959 /nfs/dbraw/zinc/21/99/59/1129219959.db2.gz AWKXCWIHKCLMJY-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO CC[N@H+](Cc1ccns1)Cc1ccc(Cl)s1 ZINC000637865470 1129232354 /nfs/dbraw/zinc/23/23/54/1129232354.db2.gz FTEQXXFVGNRLIO-UHFFFAOYSA-N 1 2 272.826 3.880 20 0 CHADLO CC[N@@H+](Cc1ccns1)Cc1ccc(Cl)s1 ZINC000637865470 1129232356 /nfs/dbraw/zinc/23/23/56/1129232356.db2.gz FTEQXXFVGNRLIO-UHFFFAOYSA-N 1 2 272.826 3.880 20 0 CHADLO Clc1cc(NCCC[C@@H]2CCO2)ccc1-n1cc[nH+]c1 ZINC000638245671 1129250376 /nfs/dbraw/zinc/25/03/76/1129250376.db2.gz WVMFZNDCFSPDES-CYBMUJFWSA-N 1 2 291.782 3.507 20 0 CHADLO Cc1cnc(C[NH2+][C@@H]2CC(C)(C)Cc3oc(C)cc32)o1 ZINC000638378746 1129255831 /nfs/dbraw/zinc/25/58/31/1129255831.db2.gz RTIFTOSLCCAYKT-CYBMUJFWSA-N 1 2 274.364 3.688 20 0 CHADLO Cn1c2ccccc2n(C[N@H+](C)Cc2ccccc2)c1=S ZINC000016076304 1129258457 /nfs/dbraw/zinc/25/84/57/1129258457.db2.gz PFELKAVYRDLRKR-UHFFFAOYSA-N 1 2 297.427 3.799 20 0 CHADLO Cn1c2ccccc2n(C[N@@H+](C)Cc2ccccc2)c1=S ZINC000016076304 1129258459 /nfs/dbraw/zinc/25/84/59/1129258459.db2.gz PFELKAVYRDLRKR-UHFFFAOYSA-N 1 2 297.427 3.799 20 0 CHADLO Clc1ccsc1C[N@H+](Cc1cccnc1)C1CC1 ZINC000282599520 1129273510 /nfs/dbraw/zinc/27/35/10/1129273510.db2.gz ACXKVLXYJPWCIP-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Clc1ccsc1C[N@@H+](Cc1cccnc1)C1CC1 ZINC000282599520 1129273512 /nfs/dbraw/zinc/27/35/12/1129273512.db2.gz ACXKVLXYJPWCIP-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO COc1ccc(NCc2c[nH+]cn2C(C)C)cc1Cl ZINC000090207475 1129274712 /nfs/dbraw/zinc/27/47/12/1129274712.db2.gz UUZTVHQYAGLGKW-UHFFFAOYSA-N 1 2 279.771 3.738 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(OC(F)F)cc1 ZINC000090207604 1129274720 /nfs/dbraw/zinc/27/47/20/1129274720.db2.gz IOERZMGVQDCXHO-UHFFFAOYSA-N 1 2 281.306 3.678 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cc(-c2ccc(F)cc2)no1 ZINC000348042616 1129276273 /nfs/dbraw/zinc/27/62/73/1129276273.db2.gz FIAFMWZKOFHQEE-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cc(-c2ccc(F)cc2)no1 ZINC000348042616 1129276274 /nfs/dbraw/zinc/27/62/74/1129276274.db2.gz FIAFMWZKOFHQEE-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2CCSc3ccccc32)no1 ZINC000282680297 1129279499 /nfs/dbraw/zinc/27/94/99/1129279499.db2.gz JQZLXOJSRQIZSG-WCQYABFASA-N 1 2 274.389 3.871 20 0 CHADLO Cc1noc([C@H](C)[NH2+]C/C=C\c2cc(C)c(C)cc2C)n1 ZINC000639097418 1129280617 /nfs/dbraw/zinc/28/06/17/1129280617.db2.gz KVZRGSYNASCZPZ-AFNCTOJWSA-N 1 2 285.391 3.667 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H](C)[C@H]3CC3(F)F)cc2)c1C ZINC000639198073 1129291643 /nfs/dbraw/zinc/29/16/43/1129291643.db2.gz NGVLDVWRSUXICR-XHDPSFHLSA-N 1 2 291.345 3.945 20 0 CHADLO Cc1ncc([C@@H](C)[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)c(C)n1 ZINC000639204593 1129292215 /nfs/dbraw/zinc/29/22/15/1129292215.db2.gz DLGMPWGWCBCWRT-DYVFJYSZSA-N 1 2 296.418 3.633 20 0 CHADLO Cc1ncc([C@@H](C)[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)c(C)n1 ZINC000639204593 1129292216 /nfs/dbraw/zinc/29/22/16/1129292216.db2.gz DLGMPWGWCBCWRT-DYVFJYSZSA-N 1 2 296.418 3.633 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]C23CCC(CC2)CC3)C2CCCCC2)n1 ZINC000639236973 1129295976 /nfs/dbraw/zinc/29/59/76/1129295976.db2.gz UXNXPDJFUBOEGL-GULBITTBSA-N 1 2 288.439 3.739 20 0 CHADLO CSc1cc(NCCC2=CCCCC2)nc(C)[nH+]1 ZINC001168769077 1129297256 /nfs/dbraw/zinc/29/72/56/1129297256.db2.gz LNEUTVIVAXYEOR-UHFFFAOYSA-N 1 2 263.410 3.809 20 0 CHADLO Cc1nonc1C[N@@H+]([C@H](C)c1ccc(Cl)cc1)C1CC1 ZINC000734147836 1129297341 /nfs/dbraw/zinc/29/73/41/1129297341.db2.gz ZMYGHDYOEZKJQH-LLVKDONJSA-N 1 2 291.782 3.757 20 0 CHADLO Cc1nonc1C[N@H+]([C@H](C)c1ccc(Cl)cc1)C1CC1 ZINC000734147836 1129297346 /nfs/dbraw/zinc/29/73/46/1129297346.db2.gz ZMYGHDYOEZKJQH-LLVKDONJSA-N 1 2 291.782 3.757 20 0 CHADLO CC(C)(C)c1ccncc1C[NH2+]Cc1nc2ccccc2o1 ZINC000639263360 1129298181 /nfs/dbraw/zinc/29/81/81/1129298181.db2.gz TVQHXEIULZBWQO-UHFFFAOYSA-N 1 2 295.386 3.810 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@@H+](Cc1cccnc1)CC2 ZINC000639360272 1129306062 /nfs/dbraw/zinc/30/60/62/1129306062.db2.gz MUBQFIJWXCQBOU-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@H+](Cc1cccnc1)CC2 ZINC000639360272 1129306067 /nfs/dbraw/zinc/30/60/67/1129306067.db2.gz MUBQFIJWXCQBOU-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO CC(=O)Nc1cccc(-c2cc[nH+]c(N3CCCCC3)c2)c1 ZINC001239528568 1131366659 /nfs/dbraw/zinc/36/66/59/1131366659.db2.gz LXDOFIREADDGAW-UHFFFAOYSA-N 1 2 295.386 3.697 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2cn[nH]c2)c(Cl)c1 ZINC000639777060 1129332511 /nfs/dbraw/zinc/33/25/11/1129332511.db2.gz ICMOMGDRKQSEKX-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2cn[nH]c2)c(Cl)c1 ZINC000639777060 1129332515 /nfs/dbraw/zinc/33/25/15/1129332515.db2.gz ICMOMGDRKQSEKX-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cc(Cl)ccc1C[N@@H+]1CCC[C@@H]1c1cn[nH]c1 ZINC000639777555 1129332555 /nfs/dbraw/zinc/33/25/55/1129332555.db2.gz LNCCCDLNBNTZGV-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cc(Cl)ccc1C[N@H+]1CCC[C@@H]1c1cn[nH]c1 ZINC000639777555 1129332558 /nfs/dbraw/zinc/33/25/58/1129332558.db2.gz LNCCCDLNBNTZGV-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1c(Cl)cccc1C[N@@H+]1CCC[C@@H]1c1cn[nH]c1 ZINC000639777236 1129333040 /nfs/dbraw/zinc/33/30/40/1129333040.db2.gz JQPZSYAHXCYHNL-CYBMUJFWSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1c(Cl)cccc1C[N@H+]1CCC[C@@H]1c1cn[nH]c1 ZINC000639777236 1129333044 /nfs/dbraw/zinc/33/30/44/1129333044.db2.gz JQPZSYAHXCYHNL-CYBMUJFWSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1ncc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccco2)s1 ZINC000639926575 1129341295 /nfs/dbraw/zinc/34/12/95/1129341295.db2.gz OFZXMXTZMSQPGZ-ZDUSSCGKSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1ncc(C[N@H+]2CC(C)(C)[C@@H]2c2ccco2)s1 ZINC000639926575 1129341298 /nfs/dbraw/zinc/34/12/98/1129341298.db2.gz OFZXMXTZMSQPGZ-ZDUSSCGKSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1cccc(C[NH2+]Cc2nc3c(s2)CCCC3)c1F ZINC000719541934 1129343496 /nfs/dbraw/zinc/34/34/96/1129343496.db2.gz WARYXKAIBOKLEA-UHFFFAOYSA-N 1 2 290.407 3.759 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=CC3CCCCC3)cc2[nH+]1 ZINC000735466959 1129347926 /nfs/dbraw/zinc/34/79/26/1129347926.db2.gz VOWHEPMUZQHMHK-YFHOEESVSA-N 1 2 283.375 3.946 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+]1Cc2ccccc2[C@@H](C)C1 ZINC000735492270 1129348538 /nfs/dbraw/zinc/34/85/38/1129348538.db2.gz LWYQPADTRGBGEC-KSSFIOAISA-N 1 2 295.382 3.520 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+]1Cc2ccccc2[C@@H](C)C1 ZINC000735492270 1129348542 /nfs/dbraw/zinc/34/85/42/1129348542.db2.gz LWYQPADTRGBGEC-KSSFIOAISA-N 1 2 295.382 3.520 20 0 CHADLO C[C@H](C[N@H+](C)Cc1nnc(C(F)(F)F)s1)C(C)(C)C ZINC000640346695 1129355594 /nfs/dbraw/zinc/35/55/94/1129355594.db2.gz CHENMSWDVNKGTL-MRVPVSSYSA-N 1 2 295.374 3.671 20 0 CHADLO C[C@H](C[N@@H+](C)Cc1nnc(C(F)(F)F)s1)C(C)(C)C ZINC000640346695 1129355595 /nfs/dbraw/zinc/35/55/95/1129355595.db2.gz CHENMSWDVNKGTL-MRVPVSSYSA-N 1 2 295.374 3.671 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1ncc(C(C)C)o1)C(C)C ZINC000735870901 1129359709 /nfs/dbraw/zinc/35/97/09/1129359709.db2.gz RDYRMHQYROQJPF-INIZCTEOSA-N 1 2 287.407 3.988 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccnc(Cl)c1 ZINC000795590947 1129378803 /nfs/dbraw/zinc/37/88/03/1129378803.db2.gz KJVRIOCXNQPXIS-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@@H](C)c1nc2ccccc2s1 ZINC000092328860 1129386707 /nfs/dbraw/zinc/38/67/07/1129386707.db2.gz ZUIPCCYNTZWCHH-JTQLQIEISA-N 1 2 287.388 3.752 20 0 CHADLO Fc1cccc(-c2cc[nH+]c(N3CCCCC3)c2)c1 ZINC001239534750 1131370973 /nfs/dbraw/zinc/37/09/73/1131370973.db2.gz YCZSPWVAGAVOEG-UHFFFAOYSA-N 1 2 256.324 3.878 20 0 CHADLO CC[N@H+](Cn1nc(-c2cccs2)oc1=S)C1CCC1 ZINC000738055042 1129389801 /nfs/dbraw/zinc/38/98/01/1129389801.db2.gz LYFSIDUJXAVFAN-UHFFFAOYSA-N 1 2 295.433 3.766 20 0 CHADLO CC[N@@H+](Cn1nc(-c2cccs2)oc1=S)C1CCC1 ZINC000738055042 1129389803 /nfs/dbraw/zinc/38/98/03/1129389803.db2.gz LYFSIDUJXAVFAN-UHFFFAOYSA-N 1 2 295.433 3.766 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2ccc(SC)o2)o1 ZINC000641756918 1129390398 /nfs/dbraw/zinc/39/03/98/1129390398.db2.gz QJRYGDLJGMGWQO-UHFFFAOYSA-N 1 2 280.393 3.540 20 0 CHADLO Cc1ccccc1C(C)(C)C[NH2+][C@H](C)C(=O)OC(C)(C)C ZINC000738415770 1129392978 /nfs/dbraw/zinc/39/29/78/1129392978.db2.gz OJAPRTOXOGQXNB-CQSZACIVSA-N 1 2 291.435 3.592 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc(CCO)cc1 ZINC001212928890 1129401202 /nfs/dbraw/zinc/40/12/02/1129401202.db2.gz FNXWJSMWDHGXTB-UHFFFAOYSA-N 1 2 270.376 3.792 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2cccc(F)c2)c1 ZINC001239536547 1131371953 /nfs/dbraw/zinc/37/19/53/1131371953.db2.gz QXMNEWRLZYQCET-UHFFFAOYSA-N 1 2 252.292 3.893 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)o1)c1ccncc1Cl ZINC000643347874 1129439117 /nfs/dbraw/zinc/43/91/17/1129439117.db2.gz VKRVJIBUWXLXII-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO C[C@H](CCC1CC1)[NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1 ZINC000249052243 1129439123 /nfs/dbraw/zinc/43/91/23/1129439123.db2.gz WYJOCFIJPGFPBB-CABCVRRESA-N 1 2 288.435 3.902 20 0 CHADLO CC1(C)CCC[C@@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000094303636 1129442151 /nfs/dbraw/zinc/44/21/51/1129442151.db2.gz KKHZBKLUAVHITN-INIZCTEOSA-N 1 2 274.408 3.514 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1ccc(-c2ccccc2F)nn1 ZINC001155181728 1129444993 /nfs/dbraw/zinc/44/49/93/1129444993.db2.gz PEOSVRLTDKOIDQ-UHFFFAOYSA-N 1 2 272.327 3.718 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@H+](Cn2ncsc2=S)C1 ZINC000795741403 1129446627 /nfs/dbraw/zinc/44/66/27/1129446627.db2.gz FQVIOIDMPZFKCM-AAEUAGOBSA-N 1 2 291.445 3.715 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@@H+](Cn2ncsc2=S)C1 ZINC000795741403 1129446629 /nfs/dbraw/zinc/44/66/29/1129446629.db2.gz FQVIOIDMPZFKCM-AAEUAGOBSA-N 1 2 291.445 3.715 20 0 CHADLO CCOc1ccc(Cl)c(Nc2cc(OC)cc[nH+]2)c1 ZINC001212574476 1129449218 /nfs/dbraw/zinc/44/92/18/1129449218.db2.gz HQCCJCDEMSEFTO-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(C2CC2)cc1 ZINC000643770291 1129449589 /nfs/dbraw/zinc/44/95/89/1129449589.db2.gz GZECTGVIOYOGTF-UHFFFAOYSA-N 1 2 255.365 3.954 20 0 CHADLO COc1cc(C)c(-c2ccc3[nH+]ccn3c2)cc1C ZINC001241136058 1129463494 /nfs/dbraw/zinc/46/34/94/1129463494.db2.gz OWNUVSBAEZVDOX-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCC1(C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CCC1 ZINC000644536745 1129468508 /nfs/dbraw/zinc/46/85/08/1129468508.db2.gz OXUJZHXPNVDWLX-AWEZNQCLSA-N 1 2 276.428 3.596 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](C)c1cccc(C)c1C ZINC000180551717 1129490610 /nfs/dbraw/zinc/49/06/10/1129490610.db2.gz IPXJOCXLXIVZBF-CHWSQXEVSA-N 1 2 257.381 3.747 20 0 CHADLO CCCCC[C@H](C)CC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000742633978 1129491822 /nfs/dbraw/zinc/49/18/22/1129491822.db2.gz IOEPXWPSULBJBO-HOTGVXAUSA-N 1 2 291.439 3.653 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(CCc3ccccc3)n2)[C@H]1C ZINC000645216113 1129491905 /nfs/dbraw/zinc/49/19/05/1129491905.db2.gz JOXSJMWSAKEXDY-KBPBESRZSA-N 1 2 286.444 3.769 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(CCc3ccccc3)n2)[C@H]1C ZINC000645216113 1129491909 /nfs/dbraw/zinc/49/19/09/1129491909.db2.gz JOXSJMWSAKEXDY-KBPBESRZSA-N 1 2 286.444 3.769 20 0 CHADLO CCCCOc1ccc(-c2cc[nH+]c(NC)c2)cc1 ZINC001241269280 1129504417 /nfs/dbraw/zinc/50/44/17/1129504417.db2.gz HXOYMWAWEPIGTR-UHFFFAOYSA-N 1 2 256.349 3.969 20 0 CHADLO CCCC[C@](C)(F)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000822417937 1131378976 /nfs/dbraw/zinc/37/89/76/1131378976.db2.gz WMVJKQYFXCFNMW-INIZCTEOSA-N 1 2 289.354 3.729 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cccc(SC)c1 ZINC001241315807 1129515160 /nfs/dbraw/zinc/51/51/60/1129515160.db2.gz MJNAXNSKMCJDIZ-UHFFFAOYSA-N 1 2 270.357 3.960 20 0 CHADLO COc1ccnc(C[NH2+]C2(c3ccccc3Cl)CC2)c1 ZINC000645976998 1129515255 /nfs/dbraw/zinc/51/52/55/1129515255.db2.gz IWFAXRYFBNCITN-UHFFFAOYSA-N 1 2 288.778 3.523 20 0 CHADLO COc1ccccc1C1([NH2+]Cc2c(F)cccc2F)CC1 ZINC000646001158 1129516970 /nfs/dbraw/zinc/51/69/70/1129516970.db2.gz FETCCWYQXRLVQF-UHFFFAOYSA-N 1 2 289.325 3.752 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1cccc(O)c1Cl ZINC000646069333 1129520449 /nfs/dbraw/zinc/52/04/49/1129520449.db2.gz LMPWUXHTAVCFLI-UHFFFAOYSA-N 1 2 281.705 3.820 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1cccc(O)c1Cl ZINC000646069333 1129520450 /nfs/dbraw/zinc/52/04/50/1129520450.db2.gz LMPWUXHTAVCFLI-UHFFFAOYSA-N 1 2 281.705 3.820 20 0 CHADLO Fc1cccc(Cl)c1[C@@H]1C[N@H+](CC2CCC2)CCO1 ZINC000646146214 1129526471 /nfs/dbraw/zinc/52/64/71/1129526471.db2.gz PTQWWXVIGACEBQ-AWEZNQCLSA-N 1 2 283.774 3.653 20 0 CHADLO Fc1cccc(Cl)c1[C@@H]1C[N@@H+](CC2CCC2)CCO1 ZINC000646146214 1129526474 /nfs/dbraw/zinc/52/64/74/1129526474.db2.gz PTQWWXVIGACEBQ-AWEZNQCLSA-N 1 2 283.774 3.653 20 0 CHADLO CCC[C@@H](CC)C(=O)N(C)CCCc1[nH+]ccn1CCC ZINC000822430820 1131380397 /nfs/dbraw/zinc/38/03/97/1131380397.db2.gz YFDPNXYUKCSALT-OAHLLOKOSA-N 1 2 293.455 3.510 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1cccc(Cl)n1)C2 ZINC000743847270 1129538696 /nfs/dbraw/zinc/53/86/96/1129538696.db2.gz ZWRNEIRFAVGAHP-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1cccc(Cl)n1)C2 ZINC000743847270 1129538700 /nfs/dbraw/zinc/53/87/00/1129538700.db2.gz ZWRNEIRFAVGAHP-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CS[C@@H]1CC[C@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000822447064 1131381720 /nfs/dbraw/zinc/38/17/20/1131381720.db2.gz NLTXBHHMEIXTAJ-HUUCEWRRSA-N 1 2 262.422 3.593 20 0 CHADLO CC(C)C[N@H+](C)Cn1nc(-c2ccc(F)cc2)oc1=S ZINC000744151466 1129555808 /nfs/dbraw/zinc/55/58/08/1129555808.db2.gz DMSXTONDOYYNTN-UHFFFAOYSA-N 1 2 295.383 3.557 20 0 CHADLO CC(C)C[N@@H+](C)Cn1nc(-c2ccc(F)cc2)oc1=S ZINC000744151466 1129555811 /nfs/dbraw/zinc/55/58/11/1129555811.db2.gz DMSXTONDOYYNTN-UHFFFAOYSA-N 1 2 295.383 3.557 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(-c2ccc(F)cc2)o1)c1cccnc1 ZINC000181361968 1129559467 /nfs/dbraw/zinc/55/94/67/1129559467.db2.gz GZFBSZYJNATJAJ-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO CCc1nc2ccc(NCc3c[nH+]cn3CC)cc2s1 ZINC000647239222 1129559635 /nfs/dbraw/zinc/55/96/35/1129559635.db2.gz NGADMQVWEDAVMX-UHFFFAOYSA-N 1 2 286.404 3.687 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1cc2n(n1)CCCC2)c1ccccn1 ZINC000647287747 1129564100 /nfs/dbraw/zinc/56/41/00/1129564100.db2.gz RBFXGJJQOQYNPY-RHSMWYFYSA-N 1 2 298.434 3.806 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccccc2F)CCC1)c1cc2n(n1)CCC2 ZINC000647333197 1129570369 /nfs/dbraw/zinc/57/03/69/1129570369.db2.gz NXIRJZRJMWUTCJ-CYBMUJFWSA-N 1 2 299.393 3.698 20 0 CHADLO CCC[C@H]1[C@H](C)CCC[N@@H+]1CC(F)(F)C(F)F ZINC000744415294 1129576339 /nfs/dbraw/zinc/57/63/39/1129576339.db2.gz YZMOVFFNVJIPEZ-ZJUUUORDSA-N 1 2 255.299 3.787 20 0 CHADLO CCC[C@H]1[C@H](C)CCC[N@H+]1CC(F)(F)C(F)F ZINC000744415294 1129576340 /nfs/dbraw/zinc/57/63/40/1129576340.db2.gz YZMOVFFNVJIPEZ-ZJUUUORDSA-N 1 2 255.299 3.787 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(-c3cccnc3)cc2)co1 ZINC000647970585 1129589928 /nfs/dbraw/zinc/58/99/28/1129589928.db2.gz YUXOXWHKCAMJJJ-ZDUSSCGKSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](C)c2ccc(SC(C)C)cc2)o1 ZINC000182105898 1129596384 /nfs/dbraw/zinc/59/63/84/1129596384.db2.gz KJLOMSFVKZAFRS-NSHDSACASA-N 1 2 291.420 3.729 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000648075213 1129597026 /nfs/dbraw/zinc/59/70/26/1129597026.db2.gz YJEQSJKVGGZBSA-QGZVFWFLSA-N 1 2 279.387 3.611 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000648075213 1129597029 /nfs/dbraw/zinc/59/70/29/1129597029.db2.gz YJEQSJKVGGZBSA-QGZVFWFLSA-N 1 2 279.387 3.611 20 0 CHADLO Fc1c(C[NH2+][C@@H]2C[C@]2(F)c2ccccc2)ccnc1Cl ZINC000822497629 1131384864 /nfs/dbraw/zinc/38/48/64/1131384864.db2.gz IIYUCCNYLQWNJV-DOMZBBRYSA-N 1 2 294.732 3.601 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2cnc(C)s2)c[nH+]1 ZINC000183163232 1129619972 /nfs/dbraw/zinc/61/99/72/1129619972.db2.gz RGMALVACAGMFAZ-LLVKDONJSA-N 1 2 290.436 3.866 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@H+](C)Cc2ncc(Cl)n2C)c1 ZINC000746126067 1129626947 /nfs/dbraw/zinc/62/69/47/1129626947.db2.gz NWTAHSQFTNPBNW-ZDUSSCGKSA-N 1 2 291.826 3.883 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@@H+](C)Cc2ncc(Cl)n2C)c1 ZINC000746126067 1129626949 /nfs/dbraw/zinc/62/69/49/1129626949.db2.gz NWTAHSQFTNPBNW-ZDUSSCGKSA-N 1 2 291.826 3.883 20 0 CHADLO CC(C)([NH2+]Cc1csnn1)c1ccc2ccccc2c1 ZINC000626116356 1129631707 /nfs/dbraw/zinc/63/17/07/1129631707.db2.gz ZBUBQCRRHBSHMC-UHFFFAOYSA-N 1 2 283.400 3.716 20 0 CHADLO COC(=O)[C@@H](C)c1ccc(-c2cc(C)[nH+]c(C)c2)cc1 ZINC001241993281 1129642670 /nfs/dbraw/zinc/64/26/70/1129642670.db2.gz JQFWHSRFJNXRBS-ZDUSSCGKSA-N 1 2 269.344 3.642 20 0 CHADLO C[N@H+](Cc1cc2n(n1)CCCC2)Cc1ccc(Cl)cc1 ZINC000649483099 1129652839 /nfs/dbraw/zinc/65/28/39/1129652839.db2.gz AZDKLOPINPMFPT-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO C[N@@H+](Cc1cc2n(n1)CCCC2)Cc1ccc(Cl)cc1 ZINC000649483099 1129652841 /nfs/dbraw/zinc/65/28/41/1129652841.db2.gz AZDKLOPINPMFPT-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO Oc1ccc(C(F)(F)F)cc1-c1ccc2[nH+]ccn2c1 ZINC001242137818 1129659747 /nfs/dbraw/zinc/65/97/47/1129659747.db2.gz JXMKVKSBUQMWKS-UHFFFAOYSA-N 1 2 278.233 3.726 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(OC(C)C)ccn1)c1nccs1 ZINC000649583573 1129660757 /nfs/dbraw/zinc/66/07/57/1129660757.db2.gz SAGDAESIZZDVCJ-CQSZACIVSA-N 1 2 291.420 3.566 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000766416436 1129663581 /nfs/dbraw/zinc/66/35/81/1129663581.db2.gz NDJQJJMKXQAEQP-VXGBXAGGSA-N 1 2 289.427 3.818 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1cc(Cl)cc(Cl)c1 ZINC000766422760 1129664283 /nfs/dbraw/zinc/66/42/83/1129664283.db2.gz WJWUESWGHJPKDN-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO CC[C@H]1CCC[C@@H]1Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000766427878 1129666192 /nfs/dbraw/zinc/66/61/92/1129666192.db2.gz LPLWQPUQAOQTJC-AAEUAGOBSA-N 1 2 287.411 3.572 20 0 CHADLO c1ccc(CCCCNc2ccc(N3CCCC3)[nH+]c2)nc1 ZINC001168795079 1129671722 /nfs/dbraw/zinc/67/17/22/1129671722.db2.gz JEOVORAMZYYUIE-UHFFFAOYSA-N 1 2 296.418 3.512 20 0 CHADLO CCSc1cccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000649728774 1129673829 /nfs/dbraw/zinc/67/38/29/1129673829.db2.gz FORIOTAEPRPWEW-GFCCVEGCSA-N 1 2 289.404 3.585 20 0 CHADLO CCn1cc(C[N@H+](Cc2cc(F)ccc2F)C(C)C)cn1 ZINC000649812891 1129679398 /nfs/dbraw/zinc/67/93/98/1129679398.db2.gz MOLQRINGFHCBCL-UHFFFAOYSA-N 1 2 293.361 3.592 20 0 CHADLO CCn1cc(C[N@@H+](Cc2cc(F)ccc2F)C(C)C)cn1 ZINC000649812891 1129679400 /nfs/dbraw/zinc/67/94/00/1129679400.db2.gz MOLQRINGFHCBCL-UHFFFAOYSA-N 1 2 293.361 3.592 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2oc3c(cccc3C)c2C)o1 ZINC000649819513 1129680093 /nfs/dbraw/zinc/68/00/93/1129680093.db2.gz ZIGAIPMAHNSZHU-LBPRGKRZSA-N 1 2 299.374 3.934 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2oc3c(cccc3C)c2C)o1 ZINC000649819513 1129680096 /nfs/dbraw/zinc/68/00/96/1129680096.db2.gz ZIGAIPMAHNSZHU-LBPRGKRZSA-N 1 2 299.374 3.934 20 0 CHADLO CC(C)CC[C@H]1CCCCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000649840733 1129681507 /nfs/dbraw/zinc/68/15/07/1129681507.db2.gz WNNSGSAQLBVFAS-JKSUJKDBSA-N 1 2 291.439 3.652 20 0 CHADLO C1=Cc2cccc(NCCc3cn4ccccc4[nH+]3)c2C1 ZINC001169003306 1129689262 /nfs/dbraw/zinc/68/92/62/1129689262.db2.gz BZKFEXGIMJNZMN-UHFFFAOYSA-N 1 2 275.355 3.558 20 0 CHADLO Cc1ccc(C)c(NCCc2cn3ccccc3[nH+]2)c1 ZINC001169005142 1129689271 /nfs/dbraw/zinc/68/92/71/1129689271.db2.gz YKXGSCWLBSWUTM-UHFFFAOYSA-N 1 2 265.360 3.606 20 0 CHADLO c1cc2ccc(NCCc3cn4ccccc4[nH+]3)cc2o1 ZINC001169003815 1129689470 /nfs/dbraw/zinc/68/94/70/1129689470.db2.gz HVNKNLUBKKBCDB-UHFFFAOYSA-N 1 2 277.327 3.735 20 0 CHADLO CC(C)(C)CC(C)(C)Nc1[nH+]cnc2[nH]cc(F)c21 ZINC001169047994 1129691314 /nfs/dbraw/zinc/69/13/14/1129691314.db2.gz HSJQFWYPDVJEQT-UHFFFAOYSA-N 1 2 264.348 3.724 20 0 CHADLO COCc1cc[nH+]c(NCc2sccc2Cl)c1 ZINC000650243865 1129702181 /nfs/dbraw/zinc/70/21/81/1129702181.db2.gz SADNNQNFPBANSI-UHFFFAOYSA-N 1 2 268.769 3.555 20 0 CHADLO FC(F)(F)[C@H](Nc1cc2cc[nH]c2c[nH+]1)C1CCC1 ZINC001169286060 1129715557 /nfs/dbraw/zinc/71/55/57/1129715557.db2.gz JJKIWARTDOGGQH-GFCCVEGCSA-N 1 2 269.270 3.706 20 0 CHADLO Fc1cccc(F)c1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239574835 1131393549 /nfs/dbraw/zinc/39/35/49/1131393549.db2.gz JNTZHPPKUTYJCI-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(N[C@H](C)c2cnccn2)c1 ZINC001169535858 1129733706 /nfs/dbraw/zinc/73/37/06/1129733706.db2.gz BBNFDYBKVYDDBS-CQSZACIVSA-N 1 2 284.407 3.804 20 0 CHADLO Cc1cc(NC(C)(C)C(=O)OC(C)(C)C)ccc1[NH+](C)C ZINC001169582523 1129741304 /nfs/dbraw/zinc/74/13/04/1129741304.db2.gz HTFXNPDLYMHIMC-UHFFFAOYSA-N 1 2 292.423 3.593 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCC[C@H](c3cccnc3)C2)c1 ZINC000652068092 1129756924 /nfs/dbraw/zinc/75/69/24/1129756924.db2.gz RGNZYQKRVKYWTH-DOTOQJQBSA-N 1 2 297.402 3.761 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCc3ccc(OC)cc32)c1 ZINC000652330740 1129763582 /nfs/dbraw/zinc/76/35/82/1129763582.db2.gz OCIBXTGWAIDRNC-KRWDZBQOSA-N 1 2 298.386 3.726 20 0 CHADLO COCc1cc[nH+]c(N[C@H](c2ccc(F)cc2)C2CC2)c1 ZINC000652330256 1129763653 /nfs/dbraw/zinc/76/36/53/1129763653.db2.gz AKWOOSYXRNBUIS-KRWDZBQOSA-N 1 2 286.350 3.930 20 0 CHADLO CC(C)C1CCC([NH2+]CC(F)(F)C(F)(F)F)CC1 ZINC001258798180 1129776029 /nfs/dbraw/zinc/77/60/29/1129776029.db2.gz VCMIJBFZHKDROM-UHFFFAOYSA-N 1 2 273.289 3.988 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@@H](C)CC12CC2 ZINC001156412521 1129769769 /nfs/dbraw/zinc/76/97/69/1129769769.db2.gz OOMBNSQJYHJPIU-LBPRGKRZSA-N 1 2 252.361 3.922 20 0 CHADLO CCO[C@H]1CCC[C@H]([NH2+]c2ccc(N(C)C)cc2)C1 ZINC000721184422 1129770738 /nfs/dbraw/zinc/77/07/38/1129770738.db2.gz OGZJTHQEJYLKHD-HOCLYGCPSA-N 1 2 262.397 3.512 20 0 CHADLO CCO[C@H]1CCC[C@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000721184422 1129770740 /nfs/dbraw/zinc/77/07/40/1129770740.db2.gz OGZJTHQEJYLKHD-HOCLYGCPSA-N 1 2 262.397 3.512 20 0 CHADLO CCN1CC[NH+](Cc2ccc(-c3cccs3)s2)CC1 ZINC001139017574 1129776629 /nfs/dbraw/zinc/77/66/29/1129776629.db2.gz SWWBSIVHWDHOGG-UHFFFAOYSA-N 1 2 292.473 3.614 20 0 CHADLO Cc1cc(C)c(NC(=O)NCc2ccc(Cl)o2)c(C)[nH+]1 ZINC000653176663 1129778425 /nfs/dbraw/zinc/77/84/25/1129778425.db2.gz GCLVYAZFLQZNAU-UHFFFAOYSA-N 1 2 293.754 3.575 20 0 CHADLO Clc1ccccc1N1CC[C@H](CNc2cccc[nH+]2)C1 ZINC000653464307 1129779480 /nfs/dbraw/zinc/77/94/80/1129779480.db2.gz UJPUONMWZOWLLL-CYBMUJFWSA-N 1 2 287.794 3.673 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(Cl)nc(C4CC4)n3)ccc21 ZINC000767239882 1129783257 /nfs/dbraw/zinc/78/32/57/1129783257.db2.gz BDIIFCDUDYVQPS-UHFFFAOYSA-N 1 2 299.765 3.638 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Oc1ccc(C2CCC2)cc1 ZINC000767232255 1129783363 /nfs/dbraw/zinc/78/33/63/1129783363.db2.gz UOBNWEZHRQUYOU-UHFFFAOYSA-N 1 2 284.359 3.536 20 0 CHADLO O=C1C[N@H+](Cc2ccccc2C2CC2)Cc2ccccc2N1 ZINC000653829069 1129797227 /nfs/dbraw/zinc/79/72/27/1129797227.db2.gz UYPRAUDNDOCAOL-UHFFFAOYSA-N 1 2 292.382 3.518 20 0 CHADLO O=C1C[N@@H+](Cc2ccccc2C2CC2)Cc2ccccc2N1 ZINC000653829069 1129797231 /nfs/dbraw/zinc/79/72/31/1129797231.db2.gz UYPRAUDNDOCAOL-UHFFFAOYSA-N 1 2 292.382 3.518 20 0 CHADLO CCCCCC[C@H](C)NC(=O)Nc1cc(C)[nH+]cc1C ZINC000767947600 1129823524 /nfs/dbraw/zinc/82/35/24/1129823524.db2.gz NMMZUNGJRYZMES-ZDUSSCGKSA-N 1 2 277.412 3.601 20 0 CHADLO CC[C@@](C)(NC(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000767946379 1129823548 /nfs/dbraw/zinc/82/35/48/1129823548.db2.gz JENAIMIQVPDADY-GOSISDBHSA-N 1 2 297.402 3.567 20 0 CHADLO COc1cccc2cc(C[N@@H+]3CCn4cccc4[C@@H]3C)oc21 ZINC000768162591 1129837396 /nfs/dbraw/zinc/83/73/96/1129837396.db2.gz RHLHYENYFFMIGT-ZDUSSCGKSA-N 1 2 296.370 3.820 20 0 CHADLO COc1cccc2cc(C[N@H+]3CCn4cccc4[C@@H]3C)oc21 ZINC000768162591 1129837399 /nfs/dbraw/zinc/83/73/99/1129837399.db2.gz RHLHYENYFFMIGT-ZDUSSCGKSA-N 1 2 296.370 3.820 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2coc(C3CC3)n2)cc1 ZINC000768238238 1129844082 /nfs/dbraw/zinc/84/40/82/1129844082.db2.gz XRGWKJJQJBFBRX-GFCCVEGCSA-N 1 2 286.375 3.754 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2coc(C3CC3)n2)cc1 ZINC000768238238 1129844084 /nfs/dbraw/zinc/84/40/84/1129844084.db2.gz XRGWKJJQJBFBRX-GFCCVEGCSA-N 1 2 286.375 3.754 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@H+](Cc2ncc(Cl)n2C)C1 ZINC000285752120 1129848520 /nfs/dbraw/zinc/84/85/20/1129848520.db2.gz QXYZCUQEUULQHS-TZMCWYRMSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@@H+](Cc2ncc(Cl)n2C)C1 ZINC000285752120 1129848524 /nfs/dbraw/zinc/84/85/24/1129848524.db2.gz QXYZCUQEUULQHS-TZMCWYRMSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1c(F)cc(Cl)cc1F ZINC001139048499 1129856057 /nfs/dbraw/zinc/85/60/57/1129856057.db2.gz ZSAASKVHAIUVOJ-VIFPVBQESA-N 1 2 259.727 3.993 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1c(F)cc(Cl)cc1F ZINC001139048499 1129856061 /nfs/dbraw/zinc/85/60/61/1129856061.db2.gz ZSAASKVHAIUVOJ-VIFPVBQESA-N 1 2 259.727 3.993 20 0 CHADLO CN1CCCc2cc([NH2+][C@@H]3CCC[C@H]4C[C@H]43)ccc21 ZINC000800981288 1129902123 /nfs/dbraw/zinc/90/21/23/1129902123.db2.gz VDNFCMQSWJYEKX-APHBMKBZSA-N 1 2 256.393 3.670 20 0 CHADLO FCC[N@@H+]1CCc2sccc2[C@H]1c1cccs1 ZINC000746499300 1129906209 /nfs/dbraw/zinc/90/62/09/1129906209.db2.gz HLTGQLLQXGZLGK-ZDUSSCGKSA-N 1 2 267.394 3.727 20 0 CHADLO FCC[N@H+]1CCc2sccc2[C@H]1c1cccs1 ZINC000746499300 1129906212 /nfs/dbraw/zinc/90/62/12/1129906212.db2.gz HLTGQLLQXGZLGK-ZDUSSCGKSA-N 1 2 267.394 3.727 20 0 CHADLO C[C@H](CCC1CC1)[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000769416796 1129907713 /nfs/dbraw/zinc/90/77/13/1129907713.db2.gz ZVKWMQISVDMNCS-CQSZACIVSA-N 1 2 274.408 3.514 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2coc(C3CC3)n2)n1 ZINC000769425776 1129907746 /nfs/dbraw/zinc/90/77/46/1129907746.db2.gz KUYHPWIJXSRQNK-MRXNPFEDSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2coc(C3CC3)n2)n1 ZINC000769425776 1129907748 /nfs/dbraw/zinc/90/77/48/1129907748.db2.gz KUYHPWIJXSRQNK-MRXNPFEDSA-N 1 2 283.375 3.593 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@@H](F)c1ccccc1 ZINC000769440979 1129908850 /nfs/dbraw/zinc/90/88/50/1129908850.db2.gz MTLOLQGTTWBXSZ-INIZCTEOSA-N 1 2 295.317 3.522 20 0 CHADLO ClC1(Cl)C[C@@H]1CC[N@@H+]1CCC=C(Br)C1 ZINC000823019897 1131408820 /nfs/dbraw/zinc/40/88/20/1131408820.db2.gz DZMMSFFKBBZBMY-QMMMGPOBSA-N 1 2 299.039 3.555 20 0 CHADLO ClC1(Cl)C[C@@H]1CC[N@H+]1CCC=C(Br)C1 ZINC000823019897 1131408824 /nfs/dbraw/zinc/40/88/24/1131408824.db2.gz DZMMSFFKBBZBMY-QMMMGPOBSA-N 1 2 299.039 3.555 20 0 CHADLO CC[N@H+](CCc1ccccc1)C/C(Cl)=C/Cl ZINC000746502210 1129944682 /nfs/dbraw/zinc/94/46/82/1129944682.db2.gz GULAHBDFVFYANJ-RAXLEYEMSA-N 1 2 258.192 3.870 20 0 CHADLO CC[N@@H+](CCc1ccccc1)C/C(Cl)=C/Cl ZINC000746502210 1129944683 /nfs/dbraw/zinc/94/46/83/1129944683.db2.gz GULAHBDFVFYANJ-RAXLEYEMSA-N 1 2 258.192 3.870 20 0 CHADLO CC[C@H](NC(=O)c1cccc2[nH+]ccn21)c1ccc(C)cc1 ZINC000770415549 1129946343 /nfs/dbraw/zinc/94/63/43/1129946343.db2.gz LTMZWDCDHOPXSA-HNNXBMFYSA-N 1 2 293.370 3.524 20 0 CHADLO FCC[N@@H+]1CCC[C@@H]1c1cccc(Br)c1 ZINC000746503307 1129946375 /nfs/dbraw/zinc/94/63/75/1129946375.db2.gz LMEWFMBQRUXXFP-GFCCVEGCSA-N 1 2 272.161 3.556 20 0 CHADLO FCC[N@H+]1CCC[C@@H]1c1cccc(Br)c1 ZINC000746503307 1129946377 /nfs/dbraw/zinc/94/63/77/1129946377.db2.gz LMEWFMBQRUXXFP-GFCCVEGCSA-N 1 2 272.161 3.556 20 0 CHADLO C[N@H+](CCCOc1ccccc1)C/C(Cl)=C\Cl ZINC000746504050 1129946575 /nfs/dbraw/zinc/94/65/75/1129946575.db2.gz WXJSZNKOTUKALD-ZRDIBKRKSA-N 1 2 274.191 3.706 20 0 CHADLO C[N@@H+](CCCOc1ccccc1)C/C(Cl)=C\Cl ZINC000746504050 1129946577 /nfs/dbraw/zinc/94/65/77/1129946577.db2.gz WXJSZNKOTUKALD-ZRDIBKRKSA-N 1 2 274.191 3.706 20 0 CHADLO Cc1cc(NCC2=Cc3ccccc3OC2)ccc1[NH+](C)C ZINC000770526693 1129950146 /nfs/dbraw/zinc/95/01/46/1129950146.db2.gz ANEKKNVYEMYJAW-UHFFFAOYSA-N 1 2 294.398 3.949 20 0 CHADLO CC[C@H](C(=O)OCc1cccc2[nH+]ccn21)c1ccccc1 ZINC000770734023 1129957772 /nfs/dbraw/zinc/95/77/72/1129957772.db2.gz QLPPAEKHCVZEQG-INIZCTEOSA-N 1 2 294.354 3.571 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2N[C@H]1CC12CCCCC2 ZINC000771258700 1129975593 /nfs/dbraw/zinc/97/55/93/1129975593.db2.gz KNBPVZQOJIZOAF-ZDUSSCGKSA-N 1 2 299.422 3.716 20 0 CHADLO Clc1nc2ccccn2c1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000771941247 1129997756 /nfs/dbraw/zinc/99/77/56/1129997756.db2.gz ZFMGCZAUDLHDDI-CQSZACIVSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1nc2ccccn2c1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000771941247 1129997759 /nfs/dbraw/zinc/99/77/59/1129997759.db2.gz ZFMGCZAUDLHDDI-CQSZACIVSA-N 1 2 297.789 3.935 20 0 CHADLO C[C@H](COC(=O)[C@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000780091556 1129998175 /nfs/dbraw/zinc/99/81/75/1129998175.db2.gz ZQBBJWHXYCCNRA-QAPCUYQASA-N 1 2 297.398 3.636 20 0 CHADLO CCNc1ccccc1CNc1ccc([NH+](C)C)cc1 ZINC000902344069 1130002010 /nfs/dbraw/zinc/00/20/10/1130002010.db2.gz AZQXBGNUIULHFV-UHFFFAOYSA-N 1 2 269.392 3.797 20 0 CHADLO CC(C)(C)c1ccc(-c2nc3[nH]ccc3c[nH+]2)cc1 ZINC001239605964 1130009221 /nfs/dbraw/zinc/00/92/21/1130009221.db2.gz PGXRTQTZFNLNMR-UHFFFAOYSA-N 1 2 251.333 3.874 20 0 CHADLO COc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c(OC)c1 ZINC001239623378 1130018478 /nfs/dbraw/zinc/01/84/78/1130018478.db2.gz MLSPJESAYWFCCH-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO CC(=O)c1ccc(-c2cn3cc[nH+]c3cc2C)cc1 ZINC001239630799 1130024205 /nfs/dbraw/zinc/02/42/05/1130024205.db2.gz RQNNMVNVHYBZTR-UHFFFAOYSA-N 1 2 250.301 3.512 20 0 CHADLO CC(=O)c1cccc(-c2cccc3[nH+]c(C)cn32)c1 ZINC001239635415 1130026571 /nfs/dbraw/zinc/02/65/71/1130026571.db2.gz BCZANFXIOCKJJD-UHFFFAOYSA-N 1 2 250.301 3.512 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)cnc1Cl ZINC000772596808 1130032485 /nfs/dbraw/zinc/03/24/85/1130032485.db2.gz BBPCCXMVCSZHEA-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)cnc1Cl ZINC000772596808 1130032492 /nfs/dbraw/zinc/03/24/92/1130032492.db2.gz BBPCCXMVCSZHEA-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO CC1(C)CCC[C@H]1C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000772608396 1130033051 /nfs/dbraw/zinc/03/30/51/1130033051.db2.gz QKWWHGFNXIEGAF-INIZCTEOSA-N 1 2 298.386 3.742 20 0 CHADLO Cc1conc1COc1cc(C)[nH+]c2ccc(C)cc21 ZINC000902693367 1130039997 /nfs/dbraw/zinc/03/99/97/1130039997.db2.gz UGFZDVQQEMZVAB-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO COc1ccc(F)cc1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001239722865 1130073949 /nfs/dbraw/zinc/07/39/49/1130073949.db2.gz KSRIMQWZTWITCD-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO COC(=O)c1cccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001239732746 1130079662 /nfs/dbraw/zinc/07/96/62/1130079662.db2.gz DQMFQUWDCNSBJL-UHFFFAOYSA-N 1 2 278.311 3.530 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(F)cc1C ZINC001239741393 1130083899 /nfs/dbraw/zinc/08/38/99/1130083899.db2.gz YXXKWQQJERUDLT-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO CC(=O)Nc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)cc1 ZINC001239755502 1130093508 /nfs/dbraw/zinc/09/35/08/1130093508.db2.gz BKKUUQDKYPIRRE-UHFFFAOYSA-N 1 2 291.354 3.713 20 0 CHADLO COc1ccc(C)cc1-c1ccc2[nH+]c(C)cn2c1 ZINC001239770005 1130101426 /nfs/dbraw/zinc/10/14/26/1130101426.db2.gz YSTTXSLWQPXYRW-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CC(=O)Nc1ccccc1-c1ccccc1Cn1cc[nH+]c1 ZINC001239783413 1130106783 /nfs/dbraw/zinc/10/67/83/1130106783.db2.gz WRFASDCSJWKBDW-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO Cc1cn2c(cccc2-c2cnc3ccccc3c2)[nH+]1 ZINC001239792721 1130109146 /nfs/dbraw/zinc/10/91/46/1130109146.db2.gz JXZCGRSPHQMBGY-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3ccc(C)cc3C)nn2)c1C ZINC001239809896 1130117604 /nfs/dbraw/zinc/11/76/04/1130117604.db2.gz LBSLENGAYIIETL-UHFFFAOYSA-N 1 2 278.359 3.563 20 0 CHADLO CO[C@H](c1ccccc1)[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000786892876 1130119933 /nfs/dbraw/zinc/11/99/33/1130119933.db2.gz RKUHBFGXVCTTQN-KDOFPFPSSA-N 1 2 284.403 3.941 20 0 CHADLO CC(F)(F)C[NH2+][C@H](c1ccccc1F)C1CCOCC1 ZINC000903174723 1130125257 /nfs/dbraw/zinc/12/52/57/1130125257.db2.gz MZPOHKLWLUAOKM-AWEZNQCLSA-N 1 2 287.325 3.538 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2scnc2C2CC2)no1 ZINC000787094340 1130130558 /nfs/dbraw/zinc/13/05/58/1130130558.db2.gz VXPZQKNLGMZTSB-CYBMUJFWSA-N 1 2 289.404 3.654 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2scnc2C2CC2)no1 ZINC000787094340 1130130561 /nfs/dbraw/zinc/13/05/61/1130130561.db2.gz VXPZQKNLGMZTSB-CYBMUJFWSA-N 1 2 289.404 3.654 20 0 CHADLO Cc1cn2c(cccc2-c2ccnc3ccccc32)[nH+]1 ZINC001239852261 1130134598 /nfs/dbraw/zinc/13/45/98/1130134598.db2.gz WVTVMXXPVSQZTK-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@@H+]1C/C(Cl)=C/Cl ZINC000787321021 1130146839 /nfs/dbraw/zinc/14/68/39/1130146839.db2.gz ZDLQBCUJTQAJEW-TVSKSKRASA-N 1 2 276.129 3.968 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@H+]1C/C(Cl)=C/Cl ZINC000787321021 1130146842 /nfs/dbraw/zinc/14/68/42/1130146842.db2.gz ZDLQBCUJTQAJEW-TVSKSKRASA-N 1 2 276.129 3.968 20 0 CHADLO C[C@@H]1[C@@H](Cc2ccccc2)CC[N@@H+]1CC(F)(F)C(F)F ZINC000746678393 1130146957 /nfs/dbraw/zinc/14/69/57/1130146957.db2.gz WMCBVMADGDTXLZ-DGCLKSJQSA-N 1 2 289.316 3.840 20 0 CHADLO C[C@@H]1[C@@H](Cc2ccccc2)CC[N@H+]1CC(F)(F)C(F)F ZINC000746678393 1130146962 /nfs/dbraw/zinc/14/69/62/1130146962.db2.gz WMCBVMADGDTXLZ-DGCLKSJQSA-N 1 2 289.316 3.840 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(C(=O)N2CCCCC2)cc1 ZINC001239903656 1130150708 /nfs/dbraw/zinc/15/07/08/1130150708.db2.gz MCKCIHWNDJXFLP-UHFFFAOYSA-N 1 2 294.398 3.992 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COCc2cccc(Cl)c21)c1cncs1 ZINC000903312591 1130151776 /nfs/dbraw/zinc/15/17/76/1130151776.db2.gz WYTMKBNHCDSLDH-BXKDBHETSA-N 1 2 294.807 3.719 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)co2)c(C)n1 ZINC000903312995 1130151834 /nfs/dbraw/zinc/15/18/34/1130151834.db2.gz FGQCOTNFDAYNTG-GFCCVEGCSA-N 1 2 287.407 3.835 20 0 CHADLO CC[C@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1ccccc1F ZINC000903325952 1130155314 /nfs/dbraw/zinc/15/53/14/1130155314.db2.gz JIVAGAUGKUWBFU-JKSUJKDBSA-N 1 2 285.366 3.808 20 0 CHADLO CC(C)c1ccc(NC2CCN(c3cccc[nH+]3)CC2)cn1 ZINC000903340239 1130162601 /nfs/dbraw/zinc/16/26/01/1130162601.db2.gz RUCCILYTGPLLMY-UHFFFAOYSA-N 1 2 296.418 3.681 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCc3ccc(Cl)nc32)cs1 ZINC000788428819 1130185534 /nfs/dbraw/zinc/18/55/34/1130185534.db2.gz GWCRKWHSJHKXNI-LLVKDONJSA-N 1 2 293.823 3.531 20 0 CHADLO Fc1ccc(-c2ccc3cncnc3c2)cc1-n1cc[nH+]c1 ZINC001239999644 1130187092 /nfs/dbraw/zinc/18/70/92/1130187092.db2.gz IXRVFEIBWKTVRT-UHFFFAOYSA-N 1 2 290.301 3.622 20 0 CHADLO c1cc2c[nH+]c(-c3ccc(N4CCCCC4)cc3)nc2[nH]1 ZINC001240000198 1130187211 /nfs/dbraw/zinc/18/72/11/1130187211.db2.gz JVDJSOHPIAKTJE-UHFFFAOYSA-N 1 2 278.359 3.567 20 0 CHADLO COc1ccc(-c2ccccc2Cn2cc[nH+]c2)c(F)c1 ZINC001240021683 1130196314 /nfs/dbraw/zinc/19/63/14/1130196314.db2.gz ZSJVTSNQIUGSHG-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO CCN(CC)C(=O)c1cccc(-c2cc(C)[nH+]c(C)c2)c1 ZINC001240052026 1130204975 /nfs/dbraw/zinc/20/49/75/1130204975.db2.gz VREHRCBFFSTBMG-UHFFFAOYSA-N 1 2 282.387 3.847 20 0 CHADLO CC[C@@H](C)CCC(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000803878718 1130205596 /nfs/dbraw/zinc/20/55/96/1130205596.db2.gz XFDYCWQEFJEQEM-CQSZACIVSA-N 1 2 286.375 3.742 20 0 CHADLO CCCn1cc(-c2c[nH+]c(N3CCCCC3)cc2C)cn1 ZINC001240131462 1130219924 /nfs/dbraw/zinc/21/99/24/1130219924.db2.gz VWDPIAHSDLJEBJ-UHFFFAOYSA-N 1 2 284.407 3.654 20 0 CHADLO Cc1cc(-c2ccc(C(=O)c3ccccc3)cc2)c[nH+]c1N ZINC001240136251 1130221556 /nfs/dbraw/zinc/22/15/56/1130221556.db2.gz DDZGTAMITNAGJD-UHFFFAOYSA-N 1 2 288.350 3.870 20 0 CHADLO Cc1ccc(-c2ccc(C(=O)c3ccccc3)cc2)[nH+]c1N ZINC001240138552 1130222596 /nfs/dbraw/zinc/22/25/96/1130222596.db2.gz UMYVSMKDNWMAPI-UHFFFAOYSA-N 1 2 288.350 3.870 20 0 CHADLO Fc1ccc2[nH]ccc2c1-c1cc2[nH]ccc2c[nH+]1 ZINC001240200308 1130228532 /nfs/dbraw/zinc/22/85/32/1130228532.db2.gz NHKOOJROYAAILM-UHFFFAOYSA-N 1 2 251.264 3.850 20 0 CHADLO c1cn2cc(-c3cc4ccsc4cn3)ccc2[nH+]1 ZINC001240214036 1130233254 /nfs/dbraw/zinc/23/32/54/1130233254.db2.gz KJKNRCZCGBSTIN-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO C[C@H]1CC[C@@H](Nc2ccc([NH+]3CCCC3)cc2)CS1 ZINC000689398647 1130234966 /nfs/dbraw/zinc/23/49/66/1130234966.db2.gz NFEJDTDQODWDDV-DZGCQCFKSA-N 1 2 276.449 3.983 20 0 CHADLO Cc1ccnc2cc(-c3ccc4[nH+]ccn4c3)ccc12 ZINC001240218202 1130235477 /nfs/dbraw/zinc/23/54/77/1130235477.db2.gz GDEYBSPOQCPQTD-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1ccc(-c2ccc3[nH+]ccn3c2)c2cc[nH]c21 ZINC001240217555 1130236216 /nfs/dbraw/zinc/23/62/16/1130236216.db2.gz ICSMKMFXPKODDF-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO CCOC(=O)/C=C/c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240218561 1130236966 /nfs/dbraw/zinc/23/69/66/1130236966.db2.gz RUYHAVLUPYHCQC-BJMVGYQFSA-N 1 2 292.338 3.578 20 0 CHADLO CCOc1cc(-c2ccc3[nH+]ccn3c2)ccc1C ZINC001240220794 1130238777 /nfs/dbraw/zinc/23/87/77/1130238777.db2.gz BHXPCAVXKSIAKA-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO Clc1cccc(C[N@@H+]2CC[C@@H]2c2ccccc2)n1 ZINC000748819389 1130248039 /nfs/dbraw/zinc/24/80/39/1130248039.db2.gz GKDFGKNJHXZNEI-CQSZACIVSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1cccc(C[N@H+]2CC[C@@H]2c2ccccc2)n1 ZINC000748819389 1130248043 /nfs/dbraw/zinc/24/80/43/1130248043.db2.gz GKDFGKNJHXZNEI-CQSZACIVSA-N 1 2 258.752 3.682 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2ccccn2)c1Cl ZINC001137286115 1130295529 /nfs/dbraw/zinc/29/55/29/1130295529.db2.gz XHQSAJDLBMAFEH-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2ccccn2)c1Cl ZINC001137286115 1130295533 /nfs/dbraw/zinc/29/55/33/1130295533.db2.gz XHQSAJDLBMAFEH-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC(C)CCn1cc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cn1 ZINC001240421414 1130302508 /nfs/dbraw/zinc/30/25/08/1130302508.db2.gz BLRCHYRLDWNKAY-UHFFFAOYSA-N 1 2 298.365 3.921 20 0 CHADLO Cc1c(-c2cnn(CCC(C)C)c2)ccc2[nH+]ccn21 ZINC001240422566 1130303171 /nfs/dbraw/zinc/30/31/71/1130303171.db2.gz ZTYKQUXQVBBNOW-UHFFFAOYSA-N 1 2 268.364 3.552 20 0 CHADLO C[C@H]1CC[C@@H]1[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790504211 1130316374 /nfs/dbraw/zinc/31/63/74/1130316374.db2.gz BMUJMXNMPBUIKV-ZJOBFFGXSA-N 1 2 274.408 3.511 20 0 CHADLO C[C@H]1CC[C@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)C1 ZINC000790504409 1130317630 /nfs/dbraw/zinc/31/76/30/1130317630.db2.gz FRMJJXITTKHQOJ-MPTYRVRUSA-N 1 2 288.435 3.901 20 0 CHADLO Cc1ccc2nc(CNc3ccc([NH+](C)C)c(C)c3)cn2c1 ZINC000790550427 1130319251 /nfs/dbraw/zinc/31/92/51/1130319251.db2.gz KBANWNZAACLHEJ-UHFFFAOYSA-N 1 2 294.402 3.629 20 0 CHADLO COc1ccc2ccnc(-c3ccn4cc[nH+]c4c3)c2c1 ZINC001240496429 1130328442 /nfs/dbraw/zinc/32/84/42/1130328442.db2.gz DBIKWIGJVKLNFH-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC(C)c1cc(N[C@H]2CCCc3c[nH]nc32)nc(C(C)C)[nH+]1 ZINC000677745305 1130328805 /nfs/dbraw/zinc/32/88/05/1130328805.db2.gz JCMUXLRWFQCTPW-ZDUSSCGKSA-N 1 2 299.422 3.936 20 0 CHADLO Fc1ccc2nc(-c3ccn4cc[nH+]c4c3)ccc2c1 ZINC001240497058 1130329116 /nfs/dbraw/zinc/32/91/16/1130329116.db2.gz XBBBTNSTZNOAPT-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO COc1cccc2nc(-c3ccn4cc[nH+]c4c3)ccc21 ZINC001240497732 1130329774 /nfs/dbraw/zinc/32/97/74/1130329774.db2.gz XVVNAAQKJBXQDO-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1csc2ccc(-c3ccn4cc[nH+]c4c3)nc12 ZINC001240497689 1130329841 /nfs/dbraw/zinc/32/98/41/1130329841.db2.gz VMNRMQOPPLJMCB-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO Fc1cc(F)c2nc(-c3ccn4cc[nH+]c4c3)ccc2c1 ZINC001240496670 1130330080 /nfs/dbraw/zinc/33/00/80/1130330080.db2.gz PLRLZDNQBFLUMY-UHFFFAOYSA-N 1 2 281.265 3.828 20 0 CHADLO Fc1c2cc[nH]c2ccc1-c1ccn2cc[nH+]c2c1 ZINC001240503148 1130333607 /nfs/dbraw/zinc/33/36/07/1130333607.db2.gz HUYUOCYZWNWQHK-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO Fc1cc(-c2ccn3cc[nH+]c3c2)c(F)c(F)c1F ZINC001240508652 1130335110 /nfs/dbraw/zinc/33/51/10/1130335110.db2.gz HKISIPUWTDRCHK-UHFFFAOYSA-N 1 2 266.197 3.558 20 0 CHADLO COc1cc(-c2ccn3cc[nH+]c3c2)ccc1OC(F)F ZINC001240510199 1130336416 /nfs/dbraw/zinc/33/64/16/1130336416.db2.gz FHTSVPDDZBLFIR-UHFFFAOYSA-N 1 2 290.269 3.611 20 0 CHADLO Cc1ccc(-c2ccn3cc[nH+]c3c2)c2ncccc12 ZINC001240510341 1130336458 /nfs/dbraw/zinc/33/64/58/1130336458.db2.gz IHVTVKRTNXYGDM-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cncc2c1cccc2-c1ccn2cc[nH+]c2c1 ZINC001240513481 1130337519 /nfs/dbraw/zinc/33/75/19/1130337519.db2.gz ULRAOTISCJKTCO-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO FC1(F)C[C@]12CC[N@H+](Cc1c(Cl)ccnc1Cl)C2 ZINC001139480167 1130347218 /nfs/dbraw/zinc/34/72/18/1130347218.db2.gz VXFQXTAMAFLZOX-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@]12CC[N@@H+](Cc1c(Cl)ccnc1Cl)C2 ZINC001139480167 1130347223 /nfs/dbraw/zinc/34/72/23/1130347223.db2.gz VXFQXTAMAFLZOX-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cccc(N2CCCC2)c1 ZINC001240536241 1130348739 /nfs/dbraw/zinc/34/87/39/1130348739.db2.gz MVLPOYZZGJOXIV-UHFFFAOYSA-N 1 2 277.371 3.910 20 0 CHADLO CCCC[C@H](CC)C(=O)N[C@H](Cn1cc[nH+]c1)C(C)(C)C ZINC000791127929 1130352461 /nfs/dbraw/zinc/35/24/61/1130352461.db2.gz KIVFVOZYDKYJOF-LSDHHAIUSA-N 1 2 293.455 3.630 20 0 CHADLO Cc1n[nH]cc1C[N@@H+](C)Cc1ccc(Cl)cc1Cl ZINC000678123377 1130352714 /nfs/dbraw/zinc/35/27/14/1130352714.db2.gz QPOVWOSFSMYSJY-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1n[nH]cc1C[N@H+](C)Cc1ccc(Cl)cc1Cl ZINC000678123377 1130352719 /nfs/dbraw/zinc/35/27/19/1130352719.db2.gz QPOVWOSFSMYSJY-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cscc2Cl)ccc1O ZINC000678134298 1130355101 /nfs/dbraw/zinc/35/51/01/1130355101.db2.gz VVJXQRTYRJYKQE-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cscc2Cl)ccc1O ZINC000678134298 1130355107 /nfs/dbraw/zinc/35/51/07/1130355107.db2.gz VVJXQRTYRJYKQE-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1cn[nH]c1)Cc1ccccc1 ZINC000678159879 1130362491 /nfs/dbraw/zinc/36/24/91/1130362491.db2.gz WSFRIZBSFSUUIG-UHFFFAOYSA-N 1 2 295.361 3.751 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1cn[nH]c1)Cc1ccccc1 ZINC000678159879 1130362497 /nfs/dbraw/zinc/36/24/97/1130362497.db2.gz WSFRIZBSFSUUIG-UHFFFAOYSA-N 1 2 295.361 3.751 20 0 CHADLO CC(C)=C(C)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1C ZINC000791406564 1130370572 /nfs/dbraw/zinc/37/05/72/1130370572.db2.gz HSBNNMMAYDGTIK-UHFFFAOYSA-N 1 2 284.359 3.580 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cn[nH]c2)Cc2ccco2)s1 ZINC000678216609 1130373198 /nfs/dbraw/zinc/37/31/98/1130373198.db2.gz UVNBBWXWUYBNME-UHFFFAOYSA-N 1 2 287.388 3.575 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cn[nH]c2)Cc2ccco2)s1 ZINC000678216609 1130373203 /nfs/dbraw/zinc/37/32/03/1130373203.db2.gz UVNBBWXWUYBNME-UHFFFAOYSA-N 1 2 287.388 3.575 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@H+]2Cc2nccc3ccccc32)n1 ZINC000678222503 1130374878 /nfs/dbraw/zinc/37/48/78/1130374878.db2.gz VODOYTSITQGWKH-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@@H+]2Cc2nccc3ccccc32)n1 ZINC000678222503 1130374882 /nfs/dbraw/zinc/37/48/82/1130374882.db2.gz VODOYTSITQGWKH-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO Cc1cc(-c2ccc(N3CCCC3)cc2)c2[nH+]ccn2c1 ZINC001240591507 1130376735 /nfs/dbraw/zinc/37/67/35/1130376735.db2.gz IADDEEPVMSFICH-UHFFFAOYSA-N 1 2 277.371 3.910 20 0 CHADLO C[C@H]1COCCC[N@@H+]1Cc1cccc(Cl)c1Cl ZINC000678273126 1130387033 /nfs/dbraw/zinc/38/70/33/1130387033.db2.gz KHMKSOUORXUQCZ-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@H]1COCCC[N@H+]1Cc1cccc(Cl)c1Cl ZINC000678273126 1130387039 /nfs/dbraw/zinc/38/70/39/1130387039.db2.gz KHMKSOUORXUQCZ-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO c1[nH]nc2c1CC[N@@H+](Cc1cc(-c3ccccc3)cs1)C2 ZINC000678276056 1130387544 /nfs/dbraw/zinc/38/75/44/1130387544.db2.gz UBSFYWNZFYJGCW-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO c1[nH]nc2c1CC[N@H+](Cc1cc(-c3ccccc3)cs1)C2 ZINC000678276056 1130387548 /nfs/dbraw/zinc/38/75/48/1130387548.db2.gz UBSFYWNZFYJGCW-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO Cc1cccc2ncc(C[N@@H+]3CC[C@H]3c3cccc(F)c3)n21 ZINC000678283207 1130390429 /nfs/dbraw/zinc/39/04/29/1130390429.db2.gz DYRDLUAKROHKJG-KRWDZBQOSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1cccc2ncc(C[N@H+]3CC[C@H]3c3cccc(F)c3)n21 ZINC000678283207 1130390436 /nfs/dbraw/zinc/39/04/36/1130390436.db2.gz DYRDLUAKROHKJG-KRWDZBQOSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1cc(O)ccc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001240644324 1130395136 /nfs/dbraw/zinc/39/51/36/1130395136.db2.gz KSAFLUPLSUKZSI-UHFFFAOYSA-N 1 2 264.328 3.612 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cc(F)c(F)c(OC)c1 ZINC001240662553 1130408205 /nfs/dbraw/zinc/40/82/05/1130408205.db2.gz ICMNHCVGBOLMTF-UHFFFAOYSA-N 1 2 290.269 3.525 20 0 CHADLO c1cc2c(nc[nH+]c2Nc2ccc(C3CC3)cc2)[nH]1 ZINC000678481447 1130420119 /nfs/dbraw/zinc/42/01/19/1130420119.db2.gz OXDSSPFHWVOVML-UHFFFAOYSA-N 1 2 250.305 3.579 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cccc(F)c1C ZINC001240731801 1130445119 /nfs/dbraw/zinc/44/51/19/1130445119.db2.gz XFECKRHNRWHCGR-UHFFFAOYSA-N 1 2 256.280 3.686 20 0 CHADLO C[C@@H](Nc1cc[nH+]cc1F)c1cc2ccccc2o1 ZINC000678788675 1130455478 /nfs/dbraw/zinc/45/54/78/1130455478.db2.gz MLWIPPWNDNKHPW-SNVBAGLBSA-N 1 2 256.280 3.562 20 0 CHADLO FC(F)c1ccc(-c2c[nH+]c3c(c2)CCCN3)cc1 ZINC001240771296 1130463217 /nfs/dbraw/zinc/46/32/17/1130463217.db2.gz RWRXESAGHJRNNC-UHFFFAOYSA-N 1 2 260.287 3.687 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc2c(c1)CCCO2 ZINC001240773827 1130466297 /nfs/dbraw/zinc/46/62/97/1130466297.db2.gz WIUKJWKPRUBMTL-UHFFFAOYSA-N 1 2 264.328 3.635 20 0 CHADLO COCC[N@H+](Cc1c(Cl)ccc(F)c1Cl)C(C)C ZINC001137358216 1130478479 /nfs/dbraw/zinc/47/84/79/1130478479.db2.gz DLDFQSZGPXTFEY-UHFFFAOYSA-N 1 2 294.197 3.989 20 0 CHADLO COCC[N@@H+](Cc1c(Cl)ccc(F)c1Cl)C(C)C ZINC001137358216 1130478485 /nfs/dbraw/zinc/47/84/85/1130478485.db2.gz DLDFQSZGPXTFEY-UHFFFAOYSA-N 1 2 294.197 3.989 20 0 CHADLO c1cc2c(s1)C[N@H+](Cc1nc3c(s1)CCCC3)CC2 ZINC000678990944 1130480471 /nfs/dbraw/zinc/48/04/71/1130480471.db2.gz QMKDDPQZVPJKRY-UHFFFAOYSA-N 1 2 290.457 3.642 20 0 CHADLO c1cc2c(s1)C[N@@H+](Cc1nc3c(s1)CCCC3)CC2 ZINC000678990944 1130480475 /nfs/dbraw/zinc/48/04/75/1130480475.db2.gz QMKDDPQZVPJKRY-UHFFFAOYSA-N 1 2 290.457 3.642 20 0 CHADLO CSCC[N@@H+](C)Cc1c(Cl)ccc(F)c1Cl ZINC001137358760 1130482402 /nfs/dbraw/zinc/48/24/02/1130482402.db2.gz CGDIDMXHBANYPN-UHFFFAOYSA-N 1 2 282.211 3.927 20 0 CHADLO CSCC[N@H+](C)Cc1c(Cl)ccc(F)c1Cl ZINC001137358760 1130482399 /nfs/dbraw/zinc/48/23/99/1130482399.db2.gz CGDIDMXHBANYPN-UHFFFAOYSA-N 1 2 282.211 3.927 20 0 CHADLO Cc1ccc(-c2ccc(C(F)(F)F)cc2)[nH+]c1N ZINC001240818328 1130484834 /nfs/dbraw/zinc/48/48/34/1130484834.db2.gz QLNXUFYUAFPNOV-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cc1C ZINC001240863969 1130495893 /nfs/dbraw/zinc/49/58/93/1130495893.db2.gz CTGGWECSVRZEGP-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679224778 1130505103 /nfs/dbraw/zinc/50/51/03/1130505103.db2.gz OUNXQVZAOQBAFW-UTUOFQBUSA-N 1 2 262.328 3.569 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679224778 1130505110 /nfs/dbraw/zinc/50/51/10/1130505110.db2.gz OUNXQVZAOQBAFW-UTUOFQBUSA-N 1 2 262.328 3.569 20 0 CHADLO c1csc(-c2nc(C[N@H+]3CC=CCC3)cs2)c1 ZINC000679321949 1130514377 /nfs/dbraw/zinc/51/43/77/1130514377.db2.gz PNHGDXHGWYRQAY-UHFFFAOYSA-N 1 2 262.403 3.634 20 0 CHADLO c1csc(-c2nc(C[N@@H+]3CC=CCC3)cs2)c1 ZINC000679321949 1130514382 /nfs/dbraw/zinc/51/43/82/1130514382.db2.gz PNHGDXHGWYRQAY-UHFFFAOYSA-N 1 2 262.403 3.634 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](c1ccc(C)cc1)C1CC1 ZINC000679332186 1130515988 /nfs/dbraw/zinc/51/59/88/1130515988.db2.gz SAOYGDJAVNAWJB-SJCJKPOMSA-N 1 2 269.392 3.828 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCCC(F)(F)[C@@H](F)C2)[nH]1 ZINC001137371958 1130522212 /nfs/dbraw/zinc/52/22/12/1130522212.db2.gz DKSREVAXFNHIPD-LBPRGKRZSA-N 1 2 288.357 3.881 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCCC(F)(F)[C@@H](F)C2)[nH]1 ZINC001137371958 1130522216 /nfs/dbraw/zinc/52/22/16/1130522216.db2.gz DKSREVAXFNHIPD-LBPRGKRZSA-N 1 2 288.357 3.881 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+]2CC[C@H](C)[C@H](F)C2)s1 ZINC000679359921 1130522418 /nfs/dbraw/zinc/52/24/18/1130522418.db2.gz SCCCDNMVTDJGDK-WCQYABFASA-N 1 2 270.417 3.522 20 0 CHADLO CC(C)Cc1ncc(C[N@H+]2CC[C@H](C)[C@H](F)C2)s1 ZINC000679359921 1130522422 /nfs/dbraw/zinc/52/24/22/1130522422.db2.gz SCCCDNMVTDJGDK-WCQYABFASA-N 1 2 270.417 3.522 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1ccc(C(C)(C)C)[nH]1)CC2 ZINC001137376512 1130534840 /nfs/dbraw/zinc/53/48/40/1130534840.db2.gz JAACXEJGJLICFH-UHFFFAOYSA-N 1 2 289.448 3.635 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1ccc(C(C)(C)C)[nH]1)CC2 ZINC001137376512 1130534843 /nfs/dbraw/zinc/53/48/43/1130534843.db2.gz JAACXEJGJLICFH-UHFFFAOYSA-N 1 2 289.448 3.635 20 0 CHADLO COc1cc(F)c(-c2cn3cc[nH+]c3cc2C)cc1F ZINC001240959666 1130537428 /nfs/dbraw/zinc/53/74/28/1130537428.db2.gz ZJLJCROSCFXFLR-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1ccc(F)c(F)c1F ZINC001240990426 1130555462 /nfs/dbraw/zinc/55/54/62/1130555462.db2.gz DDUWAQIBGDGZFT-UHFFFAOYSA-N 1 2 266.266 3.540 20 0 CHADLO Cc1nc(N2CC[C@H]2c2cccc(F)c2)c2c([nH+]1)CCCC2 ZINC000679838625 1130559087 /nfs/dbraw/zinc/55/90/87/1130559087.db2.gz AKPOYUZDJFNSOS-KRWDZBQOSA-N 1 2 297.377 3.754 20 0 CHADLO C[C@H](CC1CCOCC1)Nc1c[nH+]c2c(c1)CCCC2 ZINC000679904475 1130560796 /nfs/dbraw/zinc/56/07/96/1130560796.db2.gz DIWQBFANHOVBJG-CYBMUJFWSA-N 1 2 274.408 3.578 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2c(F)cccc2Cl)n(C)n1 ZINC000793537808 1130565479 /nfs/dbraw/zinc/56/54/79/1130565479.db2.gz BHNNZVAFONNSIK-GHMZBOCLSA-N 1 2 295.789 3.933 20 0 CHADLO COc1cccc(F)c1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001241013123 1130566528 /nfs/dbraw/zinc/56/65/28/1130566528.db2.gz XDSQCKVESOMYMD-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO Cc1cc([C@H](C)Nc2ccc([NH+]3CCCC3)cc2)n(C)n1 ZINC000793548813 1130568768 /nfs/dbraw/zinc/56/87/68/1130568768.db2.gz VWJRORXCINDAOF-AWEZNQCLSA-N 1 2 284.407 3.502 20 0 CHADLO Cc1cc(OC2C[NH+](C)C2)cc(C)c1-c1ccccc1 ZINC001234293998 1130631201 /nfs/dbraw/zinc/63/12/01/1130631201.db2.gz VQYHPNNDOTXZPF-UHFFFAOYSA-N 1 2 267.372 3.663 20 0 CHADLO CCC(=CC(=O)Nc1nc(C[NH+](CC)CC)cs1)CC ZINC000759161829 1130639903 /nfs/dbraw/zinc/63/99/03/1130639903.db2.gz PGLGXCRWMGTTSR-UHFFFAOYSA-N 1 2 295.452 3.670 20 0 CHADLO Cc1cc(C(F)(F)F)nn1C[N@@H+](C)Cc1cccc(C)c1 ZINC000811734332 1130642478 /nfs/dbraw/zinc/64/24/78/1130642478.db2.gz CMLFHANPDKZGSL-UHFFFAOYSA-N 1 2 297.324 3.608 20 0 CHADLO Cc1cc(C(F)(F)F)nn1C[N@H+](C)Cc1cccc(C)c1 ZINC000811734332 1130642483 /nfs/dbraw/zinc/64/24/83/1130642483.db2.gz CMLFHANPDKZGSL-UHFFFAOYSA-N 1 2 297.324 3.608 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1ccc(N2CCCCC2=O)cc1 ZINC000811868063 1130653304 /nfs/dbraw/zinc/65/33/04/1130653304.db2.gz SSBYJWMNVKEROH-CQSZACIVSA-N 1 2 295.386 3.772 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H](C)c2ccc(C)nc2)cc1 ZINC001234588313 1130659680 /nfs/dbraw/zinc/65/96/80/1130659680.db2.gz LRJCPQSOEKIPSL-CYBMUJFWSA-N 1 2 284.359 3.892 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1c(F)cc(F)cc1Br ZINC001234733656 1130676813 /nfs/dbraw/zinc/67/68/13/1130676813.db2.gz CEKPPKXOJJWBRV-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1c(F)cc(F)cc1Br ZINC001234733656 1130676818 /nfs/dbraw/zinc/67/68/18/1130676818.db2.gz CEKPPKXOJJWBRV-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO COc1cccc2c(NCCc3cccc(O)c3)cc[nH+]c12 ZINC000780536069 1130682842 /nfs/dbraw/zinc/68/28/42/1130682842.db2.gz ABQCFGLZGHGOKC-UHFFFAOYSA-N 1 2 294.354 3.604 20 0 CHADLO COC1(C)C[NH+](Cc2cc(-c3ccsc3)cs2)C1 ZINC001235175077 1130718213 /nfs/dbraw/zinc/71/82/13/1130718213.db2.gz GDLFRTHJMHZTNS-UHFFFAOYSA-N 1 2 279.430 3.697 20 0 CHADLO COC[C@H]1CC[N@@H+]1Cc1c(Cl)cc(C)cc1Cl ZINC001235182770 1130719923 /nfs/dbraw/zinc/71/99/23/1130719923.db2.gz QHUWIRKMUMBBMJ-SNVBAGLBSA-N 1 2 274.191 3.523 20 0 CHADLO COC[C@H]1CC[N@H+]1Cc1c(Cl)cc(C)cc1Cl ZINC001235182770 1130719926 /nfs/dbraw/zinc/71/99/26/1130719926.db2.gz QHUWIRKMUMBBMJ-SNVBAGLBSA-N 1 2 274.191 3.523 20 0 CHADLO CO[C@@H](C[N@@H+]1CCn2c(cc3ccccc32)C1)C1CCCC1 ZINC001170690768 1130726034 /nfs/dbraw/zinc/72/60/34/1130726034.db2.gz MHDCJWOGFGSGNJ-IBGZPJMESA-N 1 2 298.430 3.662 20 0 CHADLO CO[C@@H](C[N@H+]1CCn2c(cc3ccccc32)C1)C1CCCC1 ZINC001170690768 1130726037 /nfs/dbraw/zinc/72/60/37/1130726037.db2.gz MHDCJWOGFGSGNJ-IBGZPJMESA-N 1 2 298.430 3.662 20 0 CHADLO Clc1ccc2c(cc[nH+]c2NCCc2cncs2)c1 ZINC001158882683 1130728280 /nfs/dbraw/zinc/72/82/80/1130728280.db2.gz HZYPWKHATGNZDT-UHFFFAOYSA-N 1 2 289.791 3.999 20 0 CHADLO Cc1nc(CO[NH+]=C(N)c2cccc3ccccc32)cs1 ZINC000747833902 1130731006 /nfs/dbraw/zinc/73/10/06/1130731006.db2.gz CUVMARLJCZEERC-UHFFFAOYSA-N 1 2 297.383 3.651 20 0 CHADLO COC(=O)[C@@H](C)[N@H+](C)Cc1cccc(-c2ccc(C)cc2)c1 ZINC001235317530 1130732834 /nfs/dbraw/zinc/73/28/34/1130732834.db2.gz YWLUNGTWFUNPRY-OAHLLOKOSA-N 1 2 297.398 3.655 20 0 CHADLO COC(=O)[C@@H](C)[N@@H+](C)Cc1cccc(-c2ccc(C)cc2)c1 ZINC001235317530 1130732838 /nfs/dbraw/zinc/73/28/38/1130732838.db2.gz YWLUNGTWFUNPRY-OAHLLOKOSA-N 1 2 297.398 3.655 20 0 CHADLO CC1(C)CC[C@@H](C(=O)OCc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000781697171 1130734378 /nfs/dbraw/zinc/73/43/78/1130734378.db2.gz LLSMGNNWNLIEHQ-OAHLLOKOSA-N 1 2 298.386 3.742 20 0 CHADLO CCOc1cc(O)cc(C[N@@H+]2Cc3cccc(C)c3C2)c1 ZINC001235392283 1130739677 /nfs/dbraw/zinc/73/96/77/1130739677.db2.gz IGUOQMYSDMIQQD-UHFFFAOYSA-N 1 2 283.371 3.615 20 0 CHADLO CCOc1cc(O)cc(C[N@H+]2Cc3cccc(C)c3C2)c1 ZINC001235392283 1130739682 /nfs/dbraw/zinc/73/96/82/1130739682.db2.gz IGUOQMYSDMIQQD-UHFFFAOYSA-N 1 2 283.371 3.615 20 0 CHADLO Fc1cncc(C[N@@H+]2CCCc3ccccc3C2)c1Cl ZINC001235493569 1130749677 /nfs/dbraw/zinc/74/96/77/1130749677.db2.gz DSRNVMQNWZZLND-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Fc1cncc(C[N@H+]2CCCc3ccccc3C2)c1Cl ZINC001235493569 1130749681 /nfs/dbraw/zinc/74/96/81/1130749681.db2.gz DSRNVMQNWZZLND-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO CCCCCC[C@@H](C)C(=O)Nc1c(C)c[nH+]cc1C ZINC001133681948 1130755080 /nfs/dbraw/zinc/75/50/80/1130755080.db2.gz CURYUVDFTCZLHM-GFCCVEGCSA-N 1 2 262.397 3.665 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1nccc(C)c1Cl ZINC001235580033 1130756280 /nfs/dbraw/zinc/75/62/80/1130756280.db2.gz MHBFDGYAGOSYNY-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1nccc(C)c1Cl ZINC001235580033 1130756286 /nfs/dbraw/zinc/75/62/86/1130756286.db2.gz MHBFDGYAGOSYNY-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO C[N@H+](Cc1nccs1)[C@H]1CCc2cc(Cl)ccc21 ZINC000782182296 1130758205 /nfs/dbraw/zinc/75/82/05/1130758205.db2.gz DVWFVICZUISENP-ZDUSSCGKSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1nccs1)[C@H]1CCc2cc(Cl)ccc21 ZINC000782182296 1130758210 /nfs/dbraw/zinc/75/82/10/1130758210.db2.gz DVWFVICZUISENP-ZDUSSCGKSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1cccc(CC[N@@H+](C)Cc2nc3ccc(F)cc3[nH]2)c1 ZINC001235696256 1130769868 /nfs/dbraw/zinc/76/98/68/1130769868.db2.gz IDERQYYQEGBJBR-UHFFFAOYSA-N 1 2 297.377 3.685 20 0 CHADLO Cc1cccc(CC[N@H+](C)Cc2nc3ccc(F)cc3[nH]2)c1 ZINC001235696256 1130769869 /nfs/dbraw/zinc/76/98/69/1130769869.db2.gz IDERQYYQEGBJBR-UHFFFAOYSA-N 1 2 297.377 3.685 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nc2cc(F)ccc2[nH]1 ZINC001235695845 1130770149 /nfs/dbraw/zinc/77/01/49/1130770149.db2.gz TVLNJOYLCCVQMD-UHFFFAOYSA-N 1 2 289.379 3.786 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nc2cc(F)ccc2[nH]1 ZINC001235695845 1130770153 /nfs/dbraw/zinc/77/01/53/1130770153.db2.gz TVLNJOYLCCVQMD-UHFFFAOYSA-N 1 2 289.379 3.786 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CC[C@H]4CCCC[C@H]4C3)[nH]c2c1 ZINC001235691951 1130770573 /nfs/dbraw/zinc/77/05/73/1130770573.db2.gz AOOYZUBJTSSHOA-OLZOCXBDSA-N 1 2 287.382 3.714 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CC[C@H]4CCCC[C@H]4C3)[nH]c2c1 ZINC001235691951 1130770577 /nfs/dbraw/zinc/77/05/77/1130770577.db2.gz AOOYZUBJTSSHOA-OLZOCXBDSA-N 1 2 287.382 3.714 20 0 CHADLO Fc1cc(C[NH+]2CCC2)cc(F)c1OCc1ccccc1 ZINC001235754688 1130776114 /nfs/dbraw/zinc/77/61/14/1130776114.db2.gz SOTLPEBKMWYTPI-UHFFFAOYSA-N 1 2 289.325 3.750 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ccnc(OC)c1Cl ZINC001235837833 1130785448 /nfs/dbraw/zinc/78/54/48/1130785448.db2.gz MHPPGBTXWJQXEC-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Cc1cn2cc(-c3cc(O)cc(Cl)c3)ccc2[nH+]1 ZINC001235904168 1130801236 /nfs/dbraw/zinc/80/12/36/1130801236.db2.gz QQKPTMNPZIOWIQ-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO COC[C@H](Nc1ccc([NH+]2CCCC2)cc1)C1CCCC1 ZINC000783267857 1130808838 /nfs/dbraw/zinc/80/88/38/1130808838.db2.gz LIJDGHJGQZWABW-SFHVURJKSA-N 1 2 288.435 3.904 20 0 CHADLO Cc1cn2cc(NC(=O)CCCc3ccsc3)ccc2[nH+]1 ZINC000783460216 1130826939 /nfs/dbraw/zinc/82/69/39/1130826939.db2.gz KZJDPKQLKYVDQE-UHFFFAOYSA-N 1 2 299.399 3.666 20 0 CHADLO CC(C)CCC[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000783585202 1130840089 /nfs/dbraw/zinc/84/00/89/1130840089.db2.gz QGAMUMILYVXDSS-OAHLLOKOSA-N 1 2 276.424 3.760 20 0 CHADLO CCCCN(C)c1ccc([NH2+]CC[C@H]2CCOC2)cc1 ZINC000783584304 1130841212 /nfs/dbraw/zinc/84/12/12/1130841212.db2.gz LEIMSUBJIFWQLG-HNNXBMFYSA-N 1 2 276.424 3.761 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(N)c2)cc(OC(F)F)[nH+]1 ZINC001170734518 1130849856 /nfs/dbraw/zinc/84/98/56/1130849856.db2.gz FCHMFZWKDMQSJR-JTQLQIEISA-N 1 2 293.317 3.747 20 0 CHADLO Nc1ccc(C[N@@H+]2CCc3cccc(Cl)c3C2)cc1F ZINC001236079783 1130851110 /nfs/dbraw/zinc/85/11/10/1130851110.db2.gz YHNUPHRNNDRNKW-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(C[N@H+]2CCc3cccc(Cl)c3C2)cc1F ZINC001236079783 1130851118 /nfs/dbraw/zinc/85/11/18/1130851118.db2.gz YHNUPHRNNDRNKW-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO CSCCCCCCNc1nc(N)c2ccccc2[nH+]1 ZINC000784175721 1130887090 /nfs/dbraw/zinc/88/70/90/1130887090.db2.gz BZSPLPUPQIPACS-UHFFFAOYSA-N 1 2 290.436 3.547 20 0 CHADLO Cc1ccc(F)c(-c2ccc(Cn3cc[nH+]c3)cc2)c1O ZINC001236404384 1130929398 /nfs/dbraw/zinc/92/93/98/1130929398.db2.gz FNCDWAGCSVPIAH-UHFFFAOYSA-N 1 2 282.318 3.752 20 0 CHADLO Cc1ccc(F)c(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1O ZINC001236414972 1130933832 /nfs/dbraw/zinc/93/38/32/1130933832.db2.gz NQPHJYNMFRVWCW-UHFFFAOYSA-N 1 2 286.281 3.832 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+][C@@H](CC1CC1)c1ccccc1)CCC2 ZINC000796877567 1130942464 /nfs/dbraw/zinc/94/24/64/1130942464.db2.gz AQUWGLOAUAJEFX-AEFFLSMTSA-N 1 2 281.403 3.918 20 0 CHADLO COc1nc(C(C)C)ccc1-c1ccc2[nH+]c(C)cn2c1 ZINC001236445020 1130943570 /nfs/dbraw/zinc/94/35/70/1130943570.db2.gz VQLPWBYRGINDFI-UHFFFAOYSA-N 1 2 281.359 3.837 20 0 CHADLO Nc1ccc(Nc2cnc(F)c(-c3ccccc3)c2)c[nH+]1 ZINC001159281836 1130957054 /nfs/dbraw/zinc/95/70/54/1130957054.db2.gz DGLNDIWICGUVHS-UHFFFAOYSA-N 1 2 280.306 3.609 20 0 CHADLO CC1(C)CCc2cc(Nc3ccc(N)[nH+]c3)ccc2O1 ZINC001159281872 1130957101 /nfs/dbraw/zinc/95/71/01/1130957101.db2.gz GKLJRAXLZQMIDF-UHFFFAOYSA-N 1 2 269.348 3.511 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(COc3ccccc3)n2)[C@H]1C ZINC000815163951 1130963757 /nfs/dbraw/zinc/96/37/57/1130963757.db2.gz XUCFNVGARWNLEI-STQMWFEESA-N 1 2 288.416 3.562 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(COc3ccccc3)n2)[C@H]1C ZINC000815163951 1130963760 /nfs/dbraw/zinc/96/37/60/1130963760.db2.gz XUCFNVGARWNLEI-STQMWFEESA-N 1 2 288.416 3.562 20 0 CHADLO CCOc1ccc[nH+]c1NC1CC(C)(C)OC(C)(C)C1 ZINC001159322676 1130978299 /nfs/dbraw/zinc/97/82/99/1130978299.db2.gz DKRVNVZURDFQTB-UHFFFAOYSA-N 1 2 278.396 3.628 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[NH+]1CCC(F)CC1 ZINC001236598065 1130982343 /nfs/dbraw/zinc/98/23/43/1130982343.db2.gz GSLCFZXLMPIEEM-UHFFFAOYSA-N 1 2 277.170 3.631 20 0 CHADLO Fc1c(Cl)cc(C[NH+]2CC3CC(C3)C2)cc1Cl ZINC001236657535 1130989348 /nfs/dbraw/zinc/98/93/48/1130989348.db2.gz FROXGNPVQONDQK-UHFFFAOYSA-N 1 2 274.166 3.974 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2cccc3ccoc32)no1 ZINC001236736969 1131003272 /nfs/dbraw/zinc/00/32/72/1131003272.db2.gz GROSAVHYZADORD-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2cccc3ccoc32)no1 ZINC001236736969 1131003278 /nfs/dbraw/zinc/00/32/78/1131003278.db2.gz GROSAVHYZADORD-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3ncccc3C2)c1Cl ZINC001236854451 1131022451 /nfs/dbraw/zinc/02/24/51/1131022451.db2.gz SPEYQOLBRZJQAY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3ncccc3C2)c1Cl ZINC001236854451 1131022457 /nfs/dbraw/zinc/02/24/57/1131022457.db2.gz SPEYQOLBRZJQAY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Oc1cc2ccccc2c(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)c1 ZINC001237066974 1131045616 /nfs/dbraw/zinc/04/56/16/1131045616.db2.gz YTPJKGXTBVPLEU-HNNXBMFYSA-N 1 2 295.304 3.725 20 0 CHADLO Oc1cc2ccccc2c(C[N@H+]2CCC(F)(F)[C@@H](F)C2)c1 ZINC001237066974 1131045620 /nfs/dbraw/zinc/04/56/20/1131045620.db2.gz YTPJKGXTBVPLEU-HNNXBMFYSA-N 1 2 295.304 3.725 20 0 CHADLO Cc1c(C[N@@H+]2CCc3c(O)cccc3C2)ccc(F)c1F ZINC001237156986 1131062730 /nfs/dbraw/zinc/06/27/30/1131062730.db2.gz ZTHMVLZMHLSBKQ-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1c(C[N@H+]2CCc3c(O)cccc3C2)ccc(F)c1F ZINC001237156986 1131062737 /nfs/dbraw/zinc/06/27/37/1131062737.db2.gz ZTHMVLZMHLSBKQ-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO COc1cccc(C[N@@H+]2CCc3sccc3C2)c1Cl ZINC001237190486 1131068880 /nfs/dbraw/zinc/06/88/80/1131068880.db2.gz IHBIUSDIJIQKFM-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc(C[N@H+]2CCc3sccc3C2)c1Cl ZINC001237190486 1131068888 /nfs/dbraw/zinc/06/88/88/1131068888.db2.gz IHBIUSDIJIQKFM-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1nc(Cl)ccc1C[N@H+](C)Cc1cccc(F)c1 ZINC001237241192 1131072113 /nfs/dbraw/zinc/07/21/13/1131072113.db2.gz IHCJMLIONFFCEQ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1nc(Cl)ccc1C[N@@H+](C)Cc1cccc(F)c1 ZINC001237241192 1131072116 /nfs/dbraw/zinc/07/21/16/1131072116.db2.gz IHCJMLIONFFCEQ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[NH+]1CC2(C1)CCCCO2 ZINC001237355831 1131091280 /nfs/dbraw/zinc/09/12/80/1131091280.db2.gz TWBMSTZAPLYYCC-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO CC[N@H+](Cc1cnn(C)c1Cl)Cc1ccc(Cl)cc1 ZINC000816487576 1131100234 /nfs/dbraw/zinc/10/02/34/1131100234.db2.gz RMNCTNNUQDADCW-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1cnn(C)c1Cl)Cc1ccc(Cl)cc1 ZINC000816487576 1131100236 /nfs/dbraw/zinc/10/02/36/1131100236.db2.gz RMNCTNNUQDADCW-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnn(C)c2Cl)[C@@H](c2ccco2)C1 ZINC000816517206 1131103288 /nfs/dbraw/zinc/10/32/88/1131103288.db2.gz IJGITTJFFYOWIU-WCQYABFASA-N 1 2 293.798 3.640 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnn(C)c2Cl)[C@@H](c2ccco2)C1 ZINC000816517206 1131103289 /nfs/dbraw/zinc/10/32/89/1131103289.db2.gz IJGITTJFFYOWIU-WCQYABFASA-N 1 2 293.798 3.640 20 0 CHADLO Cc1nocc1C[N@@H+]1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000816512051 1131104352 /nfs/dbraw/zinc/10/43/52/1131104352.db2.gz WHXLMNHSIOCXOM-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1nocc1C[N@H+]1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000816512051 1131104353 /nfs/dbraw/zinc/10/43/53/1131104353.db2.gz WHXLMNHSIOCXOM-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO COc1c(C)cccc1C[N@H+](C)Cc1cccc(F)c1F ZINC001237502994 1131105505 /nfs/dbraw/zinc/10/55/05/1131105505.db2.gz CKVFEFYRNACPEG-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1c(C)cccc1C[N@@H+](C)Cc1cccc(F)c1F ZINC001237502994 1131105510 /nfs/dbraw/zinc/10/55/10/1131105510.db2.gz CKVFEFYRNACPEG-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1c(C)cccc1C[N@H+](C)Cc1cnc(Cl)s1 ZINC001237499952 1131106283 /nfs/dbraw/zinc/10/62/83/1131106283.db2.gz HMLTWRANFRZVIN-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1c(C)cccc1C[N@@H+](C)Cc1cnc(Cl)s1 ZINC001237499952 1131106286 /nfs/dbraw/zinc/10/62/86/1131106286.db2.gz HMLTWRANFRZVIN-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1c(C)cccc1C[N@H+](C)Cc1nc2ccccc2o1 ZINC001237514333 1131107243 /nfs/dbraw/zinc/10/72/43/1131107243.db2.gz SQCNVTXQCUGLHP-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1c(C)cccc1C[N@@H+](C)Cc1nc2ccccc2o1 ZINC001237514333 1131107245 /nfs/dbraw/zinc/10/72/45/1131107245.db2.gz SQCNVTXQCUGLHP-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO CC[N@H+](CCOC)Cc1c(Cl)cc(F)cc1Cl ZINC001237593375 1131116918 /nfs/dbraw/zinc/11/69/18/1131116918.db2.gz OGHYBTZDHIXUHW-UHFFFAOYSA-N 1 2 280.170 3.601 20 0 CHADLO CC[N@@H+](CCOC)Cc1c(Cl)cc(F)cc1Cl ZINC001237593375 1131116921 /nfs/dbraw/zinc/11/69/21/1131116921.db2.gz OGHYBTZDHIXUHW-UHFFFAOYSA-N 1 2 280.170 3.601 20 0 CHADLO Fc1cc(C(F)(F)F)cc(F)c1C[NH+]1CCC(F)CC1 ZINC001237704242 1131131609 /nfs/dbraw/zinc/13/16/09/1131131609.db2.gz GPYFLMZXCABLBA-UHFFFAOYSA-N 1 2 297.242 3.918 20 0 CHADLO Fc1cc(C(F)(F)F)cc(F)c1C[N@@H+]1CCC[C@@H]2C[C@@H]21 ZINC001237711766 1131132860 /nfs/dbraw/zinc/13/28/60/1131132860.db2.gz MAHSQOYJQDDRLJ-OQPBUACISA-N 1 2 291.263 3.968 20 0 CHADLO Fc1cc(C(F)(F)F)cc(F)c1C[N@H+]1CCC[C@@H]2C[C@@H]21 ZINC001237711766 1131132864 /nfs/dbraw/zinc/13/28/64/1131132864.db2.gz MAHSQOYJQDDRLJ-OQPBUACISA-N 1 2 291.263 3.968 20 0 CHADLO CCc1cc(C[N@@H+]2CCOC[C@@H]2C2CC2)ccc1Cl ZINC001237741920 1131136079 /nfs/dbraw/zinc/13/60/79/1131136079.db2.gz OMWDZWSZSZRWHB-MRXNPFEDSA-N 1 2 279.811 3.513 20 0 CHADLO CCc1cc(C[N@H+]2CCOC[C@@H]2C2CC2)ccc1Cl ZINC001237741920 1131136080 /nfs/dbraw/zinc/13/60/80/1131136080.db2.gz OMWDZWSZSZRWHB-MRXNPFEDSA-N 1 2 279.811 3.513 20 0 CHADLO Cc1cnc(C[NH+]2CCC(Sc3ccccc3)CC2)nc1 ZINC001237805608 1131142256 /nfs/dbraw/zinc/14/22/56/1131142256.db2.gz BGHADHCERGSZLV-UHFFFAOYSA-N 1 2 299.443 3.542 20 0 CHADLO COc1ccoc1C[N@H+](C)Cc1cccc(Cl)c1 ZINC001237865807 1131145829 /nfs/dbraw/zinc/14/58/29/1131145829.db2.gz DQQJBHBPSKYVBN-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COc1ccoc1C[N@@H+](C)Cc1cccc(Cl)c1 ZINC001237865807 1131145832 /nfs/dbraw/zinc/14/58/32/1131145832.db2.gz DQQJBHBPSKYVBN-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1cc(F)cc(Br)c1F ZINC001238077268 1131167706 /nfs/dbraw/zinc/16/77/06/1131167706.db2.gz WCXZCYFKKSISQF-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO Cc1ccc(N[C@H]2CCc3ccc(F)cc32)c(C)[nH+]1 ZINC001116485095 1131149878 /nfs/dbraw/zinc/14/98/78/1131149878.db2.gz RGRLIVROJHYPSU-INIZCTEOSA-N 1 2 256.324 3.937 20 0 CHADLO C[C@@H]1CCC[C@@H](C)[NH+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237991178 1131157637 /nfs/dbraw/zinc/15/76/37/1131157637.db2.gz WPOUMRQNNIBDRT-NXEZZACHSA-N 1 2 271.763 3.948 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1cc(F)c(O)c(Cl)c1 ZINC001237993634 1131159646 /nfs/dbraw/zinc/15/96/46/1131159646.db2.gz UJCMMBQNAXFHBV-UHFFFAOYSA-N 1 2 294.757 3.520 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1cc(F)c(O)c(Cl)c1 ZINC001237993634 1131159647 /nfs/dbraw/zinc/15/96/47/1131159647.db2.gz UJCMMBQNAXFHBV-UHFFFAOYSA-N 1 2 294.757 3.520 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[N@@H+]1CCO[C@H]2C[C@H]21 ZINC001238053688 1131165574 /nfs/dbraw/zinc/16/55/74/1131165574.db2.gz LUPKWMYTSXREKE-MOPGFXCFSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[N@H+]1CCO[C@H]2C[C@H]21 ZINC001238053688 1131165583 /nfs/dbraw/zinc/16/55/83/1131165583.db2.gz LUPKWMYTSXREKE-MOPGFXCFSA-N 1 2 295.382 3.760 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1cc(F)cc(Br)c1F ZINC001238077268 1131167704 /nfs/dbraw/zinc/16/77/04/1131167704.db2.gz WCXZCYFKKSISQF-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO CCC[C@@H](C)[N@@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116918659 1131170887 /nfs/dbraw/zinc/17/08/87/1131170887.db2.gz HXYZSEQLTNSGFH-MNOVXSKESA-N 1 2 273.829 3.963 20 0 CHADLO CCC[C@@H](C)[N@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116918659 1131170891 /nfs/dbraw/zinc/17/08/91/1131170891.db2.gz HXYZSEQLTNSGFH-MNOVXSKESA-N 1 2 273.829 3.963 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)c(O)cc2F)C2(CC2)C1 ZINC001238154765 1131175881 /nfs/dbraw/zinc/17/58/81/1131175881.db2.gz LOHNVOOYMCTJDD-VIFPVBQESA-N 1 2 269.747 3.559 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)c(O)cc2F)C2(CC2)C1 ZINC001238154765 1131175884 /nfs/dbraw/zinc/17/58/84/1131175884.db2.gz LOHNVOOYMCTJDD-VIFPVBQESA-N 1 2 269.747 3.559 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(Cl)c(O)cc2F)[C@H]1C ZINC001238153144 1131176357 /nfs/dbraw/zinc/17/63/57/1131176357.db2.gz XNJJMIGRZYSQGL-UWVGGRQHSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(Cl)c(O)cc2F)[C@H]1C ZINC001238153144 1131176362 /nfs/dbraw/zinc/17/63/62/1131176362.db2.gz XNJJMIGRZYSQGL-UWVGGRQHSA-N 1 2 271.763 3.805 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[N@@H+]1CC[C@@]12CCOC2 ZINC001238266875 1131188673 /nfs/dbraw/zinc/18/86/73/1131188673.db2.gz KLFHTNCJIWYNPM-AWEZNQCLSA-N 1 2 286.202 3.667 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[N@H+]1CC[C@@]12CCOC2 ZINC001238266875 1131188675 /nfs/dbraw/zinc/18/86/75/1131188675.db2.gz KLFHTNCJIWYNPM-AWEZNQCLSA-N 1 2 286.202 3.667 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccnc(Cl)c1 ZINC000817948868 1131189723 /nfs/dbraw/zinc/18/97/23/1131189723.db2.gz FCWGVQGBQPMAQQ-JTQLQIEISA-N 1 2 273.767 3.876 20 0 CHADLO CCOc1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2C)c(Cl)c1 ZINC001238394455 1131195900 /nfs/dbraw/zinc/19/59/00/1131195900.db2.gz JVSSZBDHDVYBLQ-PWSUYJOCSA-N 1 2 271.763 3.671 20 0 CHADLO CCOc1ccc(C[N@H+]2C[C@@H](F)C[C@H]2C)c(Cl)c1 ZINC001238394455 1131195901 /nfs/dbraw/zinc/19/59/01/1131195901.db2.gz JVSSZBDHDVYBLQ-PWSUYJOCSA-N 1 2 271.763 3.671 20 0 CHADLO ClC1(Cl)C[C@@H]1CCOc1cccc(-n2cc[nH+]c2)c1 ZINC000818077532 1131198862 /nfs/dbraw/zinc/19/88/62/1131198862.db2.gz HGAXAPOGQYLNGD-NSHDSACASA-N 1 2 297.185 3.835 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)ccc1F ZINC001238455550 1131201584 /nfs/dbraw/zinc/20/15/84/1131201584.db2.gz ZRVSMSAYWVUGGL-IUODEOHRSA-N 1 2 283.362 3.793 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)ccc1F ZINC001238455550 1131201589 /nfs/dbraw/zinc/20/15/89/1131201589.db2.gz ZRVSMSAYWVUGGL-IUODEOHRSA-N 1 2 283.362 3.793 20 0 CHADLO COc1c(C[N@@H+]2CC[C@@H]2c2ccccc2)ccc(F)c1F ZINC001238472863 1131206103 /nfs/dbraw/zinc/20/61/03/1131206103.db2.gz NUKRZEXVRXFWGN-OAHLLOKOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1c(C[N@H+]2CC[C@@H]2c2ccccc2)ccc(F)c1F ZINC001238472863 1131206108 /nfs/dbraw/zinc/20/61/08/1131206108.db2.gz NUKRZEXVRXFWGN-OAHLLOKOSA-N 1 2 289.325 3.920 20 0 CHADLO CC/C(C)=C\C(=O)Nc1ccc2c(cc[nH+]c2N(C)C)c1 ZINC001117949417 1131206210 /nfs/dbraw/zinc/20/62/10/1131206210.db2.gz VCMKBZWBHARVJQ-BENRWUELSA-N 1 2 283.375 3.596 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+][C@H]1C[C@@]1(F)c1ccccc1 ZINC000818355856 1131210843 /nfs/dbraw/zinc/21/08/43/1131210843.db2.gz KEHQRFBUVVLJRP-XNJJOIOASA-N 1 2 288.366 3.833 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cn1)Cc1ccccc1F ZINC001118129739 1131212081 /nfs/dbraw/zinc/21/20/81/1131212081.db2.gz AVYJCOSPMCFGLC-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cn1)Cc1ccccc1F ZINC001118129739 1131212087 /nfs/dbraw/zinc/21/20/87/1131212087.db2.gz AVYJCOSPMCFGLC-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO C[N@H+](Cc1c(Cl)cccc1Br)C1CC1 ZINC001137809699 1131418296 /nfs/dbraw/zinc/41/82/96/1131418296.db2.gz PERVAUNJCPLEFC-UHFFFAOYSA-N 1 2 274.589 3.697 20 0 CHADLO C[N@@H+](Cc1c(Cl)cccc1Br)C1CC1 ZINC001137809699 1131418297 /nfs/dbraw/zinc/41/82/97/1131418297.db2.gz PERVAUNJCPLEFC-UHFFFAOYSA-N 1 2 274.589 3.697 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(F)c(-c2ccncc2)c1 ZINC001238557638 1131214548 /nfs/dbraw/zinc/21/45/48/1131214548.db2.gz KADZXLKLCFRVNK-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(F)c(-c2ccncc2)c1 ZINC001238557638 1131214552 /nfs/dbraw/zinc/21/45/52/1131214552.db2.gz KADZXLKLCFRVNK-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC(F)(F)[C@@H](F)C2)cnc1C1CC1 ZINC001238616719 1131223460 /nfs/dbraw/zinc/22/34/60/1131223460.db2.gz CEHUSVMNKZXJTQ-AWEZNQCLSA-N 1 2 298.352 3.837 20 0 CHADLO Cc1cc(C[N@H+]2CCCC(F)(F)[C@@H](F)C2)cnc1C1CC1 ZINC001238616719 1131223465 /nfs/dbraw/zinc/22/34/65/1131223465.db2.gz CEHUSVMNKZXJTQ-AWEZNQCLSA-N 1 2 298.352 3.837 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1cnc(C3CC3)c(C)c1)CC2 ZINC001238618204 1131223722 /nfs/dbraw/zinc/22/37/22/1131223722.db2.gz PTSVARSRMCZBEV-UHFFFAOYSA-N 1 2 299.443 3.591 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1cnc(C3CC3)c(C)c1)CC2 ZINC001238618204 1131223725 /nfs/dbraw/zinc/22/37/25/1131223725.db2.gz PTSVARSRMCZBEV-UHFFFAOYSA-N 1 2 299.443 3.591 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3[C@H]2C)cnc1C1CC1 ZINC001238629445 1131224249 /nfs/dbraw/zinc/22/42/49/1131224249.db2.gz YQBSDYNQASAKPM-CQSZACIVSA-N 1 2 281.403 3.646 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3[C@H]2C)cnc1C1CC1 ZINC001238629445 1131224253 /nfs/dbraw/zinc/22/42/53/1131224253.db2.gz YQBSDYNQASAKPM-CQSZACIVSA-N 1 2 281.403 3.646 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCOC(C)(C)C1 ZINC001238673454 1131230192 /nfs/dbraw/zinc/23/01/92/1131230192.db2.gz YRHSEIOJOBXNMC-UHFFFAOYSA-N 1 2 285.840 3.673 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCOC(C)(C)C1 ZINC001238673454 1131230194 /nfs/dbraw/zinc/23/01/94/1131230194.db2.gz YRHSEIOJOBXNMC-UHFFFAOYSA-N 1 2 285.840 3.673 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@@]3(C)C=CCC3)cc2)[nH+]c1C ZINC000829388140 1131418661 /nfs/dbraw/zinc/41/86/61/1131418661.db2.gz NLIQFANOEVVIER-SFHVURJKSA-N 1 2 295.386 3.988 20 0 CHADLO CCC(F)(F)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000823351567 1131424102 /nfs/dbraw/zinc/42/41/02/1131424102.db2.gz AQYIIUBODGJSCN-UHFFFAOYSA-N 1 2 293.317 3.677 20 0 CHADLO C[N@H+](CCc1ccccn1)Cc1c(F)ccc(Cl)c1F ZINC001137876157 1131428074 /nfs/dbraw/zinc/42/80/74/1131428074.db2.gz BBOLFJKFJZQWQV-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1ccccn1)Cc1c(F)ccc(Cl)c1F ZINC001137876157 1131428082 /nfs/dbraw/zinc/42/80/82/1131428082.db2.gz BBOLFJKFJZQWQV-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1c(F)ccc(Cl)c1F ZINC001137878066 1131428167 /nfs/dbraw/zinc/42/81/67/1131428167.db2.gz ISZYYRCUQINSGP-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1c(F)ccc(Cl)c1F ZINC001137878066 1131428169 /nfs/dbraw/zinc/42/81/69/1131428169.db2.gz ISZYYRCUQINSGP-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO C=COc1ccc(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)cc1 ZINC000823510151 1131431033 /nfs/dbraw/zinc/43/10/33/1131431033.db2.gz RTPIUBNOXGEECW-MRXNPFEDSA-N 1 2 299.374 3.631 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1ccc(F)c(F)c1F ZINC001137939160 1131439277 /nfs/dbraw/zinc/43/92/77/1131439277.db2.gz MMXHUPGGYWLPBF-UHFFFAOYSA-N 1 2 271.307 3.797 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1ccc(F)c(F)c1F ZINC001137939160 1131439279 /nfs/dbraw/zinc/43/92/79/1131439279.db2.gz MMXHUPGGYWLPBF-UHFFFAOYSA-N 1 2 271.307 3.797 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc3ccccc3nc2Cl)C[C@@H]1F ZINC001137972352 1131445790 /nfs/dbraw/zinc/44/57/90/1131445790.db2.gz MPQLGNSPGJHFHD-MFKMUULPSA-N 1 2 278.758 3.678 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc3ccccc3nc2Cl)C[C@@H]1F ZINC001137972352 1131445793 /nfs/dbraw/zinc/44/57/93/1131445793.db2.gz MPQLGNSPGJHFHD-MFKMUULPSA-N 1 2 278.758 3.678 20 0 CHADLO Cc1cc(N[C@@H]2CCc3ccc(O)cc3C2)ccc1[NH+](C)C ZINC001171038975 1131449417 /nfs/dbraw/zinc/44/94/17/1131449417.db2.gz DKJNDHSHNHZLQR-QGZVFWFLSA-N 1 2 296.414 3.736 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3cc4cccnc4o3)ccc21 ZINC000824103558 1131453397 /nfs/dbraw/zinc/45/33/97/1131453397.db2.gz IZYXAIWEGJDDCB-UHFFFAOYSA-N 1 2 293.370 3.822 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3cc4cccnc4o3)ccc21 ZINC000824103558 1131453399 /nfs/dbraw/zinc/45/33/99/1131453399.db2.gz IZYXAIWEGJDDCB-UHFFFAOYSA-N 1 2 293.370 3.822 20 0 CHADLO CC(C)(O)c1ccc(C[NH2+]C2(C(F)F)CCCC2)cc1 ZINC000830397549 1131456184 /nfs/dbraw/zinc/45/61/84/1131456184.db2.gz LBQPQGIGVOMZQI-UHFFFAOYSA-N 1 2 283.362 3.582 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@@H+]1Cc1cc(F)ncc1F ZINC000824180625 1131457060 /nfs/dbraw/zinc/45/70/60/1131457060.db2.gz DARYREGTESIPHI-HNNXBMFYSA-N 1 2 288.341 3.697 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@H+]1Cc1cc(F)ncc1F ZINC000824180625 1131457063 /nfs/dbraw/zinc/45/70/63/1131457063.db2.gz DARYREGTESIPHI-HNNXBMFYSA-N 1 2 288.341 3.697 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1ccnc(Cl)c1F ZINC000824376775 1131466419 /nfs/dbraw/zinc/46/64/19/1131466419.db2.gz NUTQUGBKONIYAS-UHFFFAOYSA-N 1 2 297.761 3.504 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(Cl)nc(Cl)c2)nc1 ZINC000831053859 1131476348 /nfs/dbraw/zinc/47/63/48/1131476348.db2.gz NHMHYIPXWFCVOI-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(Cl)nc(Cl)c2)nc1 ZINC000831053859 1131476352 /nfs/dbraw/zinc/47/63/52/1131476352.db2.gz NHMHYIPXWFCVOI-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)CCSC(C)(C)C)c1C ZINC000831047521 1131476758 /nfs/dbraw/zinc/47/67/58/1131476758.db2.gz ABYFTEYJXVALSA-UHFFFAOYSA-N 1 2 296.480 3.671 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@@H+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138235958 1131480697 /nfs/dbraw/zinc/48/06/97/1131480697.db2.gz JSEORXICZFPUAP-DTORHVGOSA-N 1 2 275.289 3.721 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@H+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138235958 1131480700 /nfs/dbraw/zinc/48/07/00/1131480700.db2.gz JSEORXICZFPUAP-DTORHVGOSA-N 1 2 275.289 3.721 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1ncccc1O ZINC001138394592 1131498085 /nfs/dbraw/zinc/49/80/85/1131498085.db2.gz XPCQEGBGENZWMM-YOEHRIQHSA-N 1 2 292.423 3.550 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1ncccc1O ZINC001138394592 1131498087 /nfs/dbraw/zinc/49/80/87/1131498087.db2.gz XPCQEGBGENZWMM-YOEHRIQHSA-N 1 2 292.423 3.550 20 0 CHADLO Cc1cc(C[NH+]2CC(Oc3ccc(F)cc3)C2)c(C)s1 ZINC001138570913 1131522109 /nfs/dbraw/zinc/52/21/09/1131522109.db2.gz MKDWCGZGDJIPDE-UHFFFAOYSA-N 1 2 291.391 3.767 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCCCC23COC3)c1 ZINC001143677293 1131523367 /nfs/dbraw/zinc/52/33/67/1131523367.db2.gz WYPGRVOJSKDAHW-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCCCC23COC3)c1 ZINC001143677293 1131523369 /nfs/dbraw/zinc/52/33/69/1131523369.db2.gz WYPGRVOJSKDAHW-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c1 ZINC001143672364 1131523471 /nfs/dbraw/zinc/52/34/71/1131523471.db2.gz DPBKWBBXHVHKLY-JKOKRWQUSA-N 1 2 287.300 3.804 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c1 ZINC001143672364 1131523475 /nfs/dbraw/zinc/52/34/75/1131523475.db2.gz DPBKWBBXHVHKLY-JKOKRWQUSA-N 1 2 287.300 3.804 20 0 CHADLO C[N@H+](CCF)Cc1cc(Cl)ccc1OC(F)(F)F ZINC001143706560 1131525480 /nfs/dbraw/zinc/52/54/80/1131525480.db2.gz COIHECNALPTTKN-UHFFFAOYSA-N 1 2 285.668 3.640 20 0 CHADLO C[N@@H+](CCF)Cc1cc(Cl)ccc1OC(F)(F)F ZINC001143706560 1131525484 /nfs/dbraw/zinc/52/54/84/1131525484.db2.gz COIHECNALPTTKN-UHFFFAOYSA-N 1 2 285.668 3.640 20 0 CHADLO F[C@@H]1CC[N@@H+](Cc2ccc(C(F)(F)F)cc2Cl)C1 ZINC001143710851 1131526778 /nfs/dbraw/zinc/52/67/78/1131526778.db2.gz ZIJXFMIXPHVHCZ-SNVBAGLBSA-N 1 2 281.680 3.903 20 0 CHADLO F[C@@H]1CC[N@H+](Cc2ccc(C(F)(F)F)cc2Cl)C1 ZINC001143710851 1131526774 /nfs/dbraw/zinc/52/67/74/1131526774.db2.gz ZIJXFMIXPHVHCZ-SNVBAGLBSA-N 1 2 281.680 3.903 20 0 CHADLO CCc1cc(N(C)Cc2ccccc2Cl)nc(CC)[nH+]1 ZINC001649178783 1131548508 /nfs/dbraw/zinc/54/85/08/1131548508.db2.gz FVYICLWRQFFUBZ-UHFFFAOYSA-N 1 2 289.810 3.891 20 0 CHADLO CC(C)c1nc(C[NH+]2CC3(C2)CCCC3)cs1 ZINC001138883829 1131557134 /nfs/dbraw/zinc/55/71/34/1131557134.db2.gz UHNROCYKJFVDGR-UHFFFAOYSA-N 1 2 250.411 3.643 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c1F ZINC001143958399 1131558843 /nfs/dbraw/zinc/55/88/43/1131558843.db2.gz DQSSIENARSQEOC-UBJUHAIHSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c1F ZINC001143958399 1131558845 /nfs/dbraw/zinc/55/88/45/1131558845.db2.gz DQSSIENARSQEOC-UBJUHAIHSA-N 1 2 275.701 3.551 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(Cl)ccc(F)c2F)[C@H](C)C1 ZINC001143960886 1131560151 /nfs/dbraw/zinc/56/01/51/1131560151.db2.gz DERAPXPOIHUOKM-NXEZZACHSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(Cl)ccc(F)c2F)[C@H](C)C1 ZINC001143960886 1131560153 /nfs/dbraw/zinc/56/01/53/1131560153.db2.gz DERAPXPOIHUOKM-NXEZZACHSA-N 1 2 289.753 3.618 20 0 CHADLO FC1(F)[C@H]2C[N@H+](Cc3cc(Cl)ccc3Cl)C[C@H]21 ZINC000844454024 1131562587 /nfs/dbraw/zinc/56/25/87/1131562587.db2.gz XCRYFYJNWXVLDZ-AOOOYVTPSA-N 1 2 278.129 3.690 20 0 CHADLO FC1(F)[C@H]2C[N@@H+](Cc3cc(Cl)ccc3Cl)C[C@H]21 ZINC000844454024 1131562592 /nfs/dbraw/zinc/56/25/92/1131562592.db2.gz XCRYFYJNWXVLDZ-AOOOYVTPSA-N 1 2 278.129 3.690 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC[C@@]3(CC3(F)F)C2)o1 ZINC000844438406 1131565259 /nfs/dbraw/zinc/56/52/59/1131565259.db2.gz OAAHFKKOPVVNGU-CQSZACIVSA-N 1 2 284.350 3.593 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC[C@@]3(CC3(F)F)C2)o1 ZINC000844438406 1131565262 /nfs/dbraw/zinc/56/52/62/1131565262.db2.gz OAAHFKKOPVVNGU-CQSZACIVSA-N 1 2 284.350 3.593 20 0 CHADLO CCOc1ccc(C[NH+]2Cc3ccccc3C2)c(OCC)c1 ZINC001138977747 1131567616 /nfs/dbraw/zinc/56/76/16/1131567616.db2.gz XGABXLUIFDKKOW-UHFFFAOYSA-N 1 2 297.398 4.000 20 0 CHADLO Cc1ccc(F)c([C@H](C)OC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000844462108 1131567991 /nfs/dbraw/zinc/56/79/91/1131567991.db2.gz VYFSWBSISBMZCU-OLZOCXBDSA-N 1 2 290.338 3.586 20 0 CHADLO CC[C@@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000844471514 1131569504 /nfs/dbraw/zinc/56/95/04/1131569504.db2.gz YDJGGXFWJJXCJV-DZGCQCFKSA-N 1 2 272.348 3.529 20 0 CHADLO CCOc1ccc(Cl)cc1C[NH+]1CC(C)(C)C1 ZINC001139005958 1131571219 /nfs/dbraw/zinc/57/12/19/1131571219.db2.gz LVPPLFQHUYLVRM-UHFFFAOYSA-N 1 2 253.773 3.581 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@@H+]1CCC[C@@H]1CF ZINC001139011849 1131571973 /nfs/dbraw/zinc/57/19/73/1131571973.db2.gz DOCIQQRPEMTGHN-CYBMUJFWSA-N 1 2 271.763 3.673 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@H+]1CCC[C@@H]1CF ZINC001139011849 1131571974 /nfs/dbraw/zinc/57/19/74/1131571974.db2.gz DOCIQQRPEMTGHN-CYBMUJFWSA-N 1 2 271.763 3.673 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccc(C(F)(F)F)cn1)C2 ZINC001139011928 1131572661 /nfs/dbraw/zinc/57/26/61/1131572661.db2.gz UFGKXSUTIRQSJC-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccc(C(F)(F)F)cn1)C2 ZINC001139011928 1131572662 /nfs/dbraw/zinc/57/26/62/1131572662.db2.gz UFGKXSUTIRQSJC-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139046990 1131575756 /nfs/dbraw/zinc/57/57/56/1131575756.db2.gz BSEMNCKYKJGIHP-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139046990 1131575758 /nfs/dbraw/zinc/57/57/58/1131575758.db2.gz BSEMNCKYKJGIHP-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO CCc1ccc(N(C(=O)C[C@H](C)n2cc[nH+]c2)C(C)C)cc1 ZINC000844538177 1131578518 /nfs/dbraw/zinc/57/85/18/1131578518.db2.gz WONHBZRWOJYJHW-HNNXBMFYSA-N 1 2 299.418 3.838 20 0 CHADLO CCn1ccc2ccc(C[N@H+](C)Cc3cscn3)cc21 ZINC001139124825 1131584656 /nfs/dbraw/zinc/58/46/56/1131584656.db2.gz UHRKLGOCRNNMPF-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO CCn1ccc2ccc(C[N@@H+](C)Cc3cscn3)cc21 ZINC001139124825 1131584661 /nfs/dbraw/zinc/58/46/61/1131584661.db2.gz UHRKLGOCRNNMPF-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO Cc1c(O)cccc1C[N@@H+]1CCc2cc(F)c(F)cc2C1 ZINC001144120282 1131587652 /nfs/dbraw/zinc/58/76/52/1131587652.db2.gz HCAUWWRNSYSKSE-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1c(O)cccc1C[N@H+]1CCc2cc(F)c(F)cc2C1 ZINC001144120282 1131587655 /nfs/dbraw/zinc/58/76/55/1131587655.db2.gz HCAUWWRNSYSKSE-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC3(CC3)C2)cc1Cl ZINC001144125436 1131588606 /nfs/dbraw/zinc/58/86/06/1131588606.db2.gz JHDWGEKYKQMONY-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC3(CC3)C2)cc1Cl ZINC001144125436 1131588607 /nfs/dbraw/zinc/58/86/07/1131588607.db2.gz JHDWGEKYKQMONY-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Cn1ccc2cc(C[N@@H+]3CCCCC(F)(F)C3)ccc21 ZINC001139188878 1131592642 /nfs/dbraw/zinc/59/26/42/1131592642.db2.gz RXNZUYRFIFRINP-UHFFFAOYSA-N 1 2 278.346 3.800 20 0 CHADLO Cn1ccc2cc(C[N@H+]3CCCCC(F)(F)C3)ccc21 ZINC001139188878 1131592646 /nfs/dbraw/zinc/59/26/46/1131592646.db2.gz RXNZUYRFIFRINP-UHFFFAOYSA-N 1 2 278.346 3.800 20 0 CHADLO F[C@H]1C[N@H+](Cc2ccc(-n3cccc3)cc2)CCC1(F)F ZINC001144239006 1131603238 /nfs/dbraw/zinc/60/32/38/1131603238.db2.gz LXQUKJTUHBJLLZ-HNNXBMFYSA-N 1 2 294.320 3.656 20 0 CHADLO F[C@H]1C[N@@H+](Cc2ccc(-n3cccc3)cc2)CCC1(F)F ZINC001144239006 1131603240 /nfs/dbraw/zinc/60/32/40/1131603240.db2.gz LXQUKJTUHBJLLZ-HNNXBMFYSA-N 1 2 294.320 3.656 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2cscc2Br)C1 ZINC001139348053 1131603243 /nfs/dbraw/zinc/60/32/43/1131603243.db2.gz WBUDCKMGUOZOFP-WPRPVWTQSA-N 1 2 292.217 3.691 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2cscc2Br)C1 ZINC001139348053 1131603244 /nfs/dbraw/zinc/60/32/44/1131603244.db2.gz WBUDCKMGUOZOFP-WPRPVWTQSA-N 1 2 292.217 3.691 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccc(-n2cccc2)cc1 ZINC001144245989 1131605597 /nfs/dbraw/zinc/60/55/97/1131605597.db2.gz NSCGPPGDFAZJAN-UHFFFAOYSA-N 1 2 283.400 3.566 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccc(-n2cccc2)cc1 ZINC001144245989 1131605599 /nfs/dbraw/zinc/60/55/99/1131605599.db2.gz NSCGPPGDFAZJAN-UHFFFAOYSA-N 1 2 283.400 3.566 20 0 CHADLO CCc1cccc(C[NH+]2Cc3cc(OC)c(OC)cc3C2)c1 ZINC001144328791 1131618282 /nfs/dbraw/zinc/61/82/82/1131618282.db2.gz HOCUZNULITTZJT-UHFFFAOYSA-N 1 2 297.398 3.782 20 0 CHADLO Fc1ccc([C@H]2CSCC[N@@H+]2Cc2ccccn2)cc1 ZINC001204059743 1131619377 /nfs/dbraw/zinc/61/93/77/1131619377.db2.gz KETZJTQHAKGRPP-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ccc([C@H]2CSCC[N@H+]2Cc2ccccn2)cc1 ZINC001204059743 1131619380 /nfs/dbraw/zinc/61/93/80/1131619380.db2.gz KETZJTQHAKGRPP-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO COc1ccc(C[NH+]2CC3(C2)CCCCO3)cc1C(C)C ZINC001139615662 1131622713 /nfs/dbraw/zinc/62/27/13/1131622713.db2.gz UYXGBYHMYDCQLX-UHFFFAOYSA-N 1 2 289.419 3.574 20 0 CHADLO C[C@@H](CC(=O)O[C@@H](C)c1ccc2c(c1)CCC2)n1cc[nH+]c1 ZINC000845285105 1131624046 /nfs/dbraw/zinc/62/40/46/1131624046.db2.gz GRLQYHKNDNFMIP-KBPBESRZSA-N 1 2 298.386 3.627 20 0 CHADLO CCCC[C@H](CC)COC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845284213 1131624534 /nfs/dbraw/zinc/62/45/34/1131624534.db2.gz LZKZBQZHYDHFFT-KBPBESRZSA-N 1 2 266.385 3.594 20 0 CHADLO COc1ncc(Cl)cc1C[NH+]1CC2(C1)CCCCC2 ZINC001139749307 1131634666 /nfs/dbraw/zinc/63/46/66/1131634666.db2.gz UGWHZKLCNRMRGN-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO CCCCCC[C@@H](C)OC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845451034 1131635121 /nfs/dbraw/zinc/63/51/21/1131635121.db2.gz VTBBRJBYJWVRIT-CQSZACIVSA-N 1 2 292.423 3.664 20 0 CHADLO CCCCCC[C@H](C)OC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000845451033 1131635356 /nfs/dbraw/zinc/63/53/56/1131635356.db2.gz VTBBRJBYJWVRIT-AWEZNQCLSA-N 1 2 292.423 3.664 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1ccccc1C(F)F ZINC001139800728 1131637587 /nfs/dbraw/zinc/63/75/87/1131637587.db2.gz WWEPEVOMQAQDJP-UHFFFAOYSA-N 1 2 289.325 3.939 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1ccccc1C(F)F ZINC001139800728 1131637589 /nfs/dbraw/zinc/63/75/89/1131637589.db2.gz WWEPEVOMQAQDJP-UHFFFAOYSA-N 1 2 289.325 3.939 20 0 CHADLO CCOC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccccc1C(F)F ZINC001139799548 1131637619 /nfs/dbraw/zinc/63/76/19/1131637619.db2.gz RBDNOLKPGOBPRO-AWEZNQCLSA-N 1 2 297.345 3.542 20 0 CHADLO CCOC(=O)[C@@H]1CCCC[N@H+]1Cc1ccccc1C(F)F ZINC001139799548 1131637621 /nfs/dbraw/zinc/63/76/21/1131637621.db2.gz RBDNOLKPGOBPRO-AWEZNQCLSA-N 1 2 297.345 3.542 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2ccccc2F)s1 ZINC001139810860 1131637824 /nfs/dbraw/zinc/63/78/24/1131637824.db2.gz VDVSHPNEJMDXAB-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2ccccc2F)s1 ZINC001139810860 1131637825 /nfs/dbraw/zinc/63/78/25/1131637825.db2.gz VDVSHPNEJMDXAB-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1ccc(OC(=O)C[C@H](C)n2cc[nH+]c2)c(Cl)c1C ZINC000845596469 1131645686 /nfs/dbraw/zinc/64/56/86/1131645686.db2.gz WPNUOKCNSCJKCN-NSHDSACASA-N 1 2 292.766 3.710 20 0 CHADLO CC1CC([N@H+](C)Cc2sc(Cl)nc2Cl)C1 ZINC001139953399 1131653051 /nfs/dbraw/zinc/65/30/51/1131653051.db2.gz KQFCHFALGGQINK-UHFFFAOYSA-N 1 2 265.209 3.680 20 0 CHADLO CC1CC([N@@H+](C)Cc2sc(Cl)nc2Cl)C1 ZINC001139953399 1131653052 /nfs/dbraw/zinc/65/30/52/1131653052.db2.gz KQFCHFALGGQINK-UHFFFAOYSA-N 1 2 265.209 3.680 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1cnc(Cl)nc1 ZINC001139993311 1131655041 /nfs/dbraw/zinc/65/50/41/1131655041.db2.gz IPLOBSSMIKXQCM-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO COc1ccccc1[C@H](C)[N@H+](C)Cc1cc(O)ccc1F ZINC001140051611 1131658181 /nfs/dbraw/zinc/65/81/81/1131658181.db2.gz PBRPMFNWHIIPNI-LBPRGKRZSA-N 1 2 289.350 3.733 20 0 CHADLO COc1ccccc1[C@H](C)[N@@H+](C)Cc1cc(O)ccc1F ZINC001140051611 1131658183 /nfs/dbraw/zinc/65/81/83/1131658183.db2.gz PBRPMFNWHIIPNI-LBPRGKRZSA-N 1 2 289.350 3.733 20 0 CHADLO COc1ccc2[nH]nc(C[N@H+](C)Cc3ccccc3C)c2c1 ZINC001140067149 1131660881 /nfs/dbraw/zinc/66/08/81/1131660881.db2.gz IKKLOIKPIICCIU-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO COc1ccc2[nH]nc(C[N@@H+](C)Cc3ccccc3C)c2c1 ZINC001140067149 1131660884 /nfs/dbraw/zinc/66/08/84/1131660884.db2.gz IKKLOIKPIICCIU-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO CC[N@H+](Cc1ccccc1F)Cc1ccc(O)cc1F ZINC001140128358 1131665238 /nfs/dbraw/zinc/66/52/38/1131665238.db2.gz MKZZTPTYKAJBOG-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO CC[N@@H+](Cc1ccccc1F)Cc1ccc(O)cc1F ZINC001140128358 1131665240 /nfs/dbraw/zinc/66/52/40/1131665240.db2.gz MKZZTPTYKAJBOG-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO C[N@H+](Cc1ncn2ccccc12)Cc1cccc(Cl)c1 ZINC001144622422 1131669154 /nfs/dbraw/zinc/66/91/54/1131669154.db2.gz ICZOJDWJUGTOMZ-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@@H+](Cc1ncn2ccccc12)Cc1cccc(Cl)c1 ZINC001144622422 1131669157 /nfs/dbraw/zinc/66/91/57/1131669157.db2.gz ICZOJDWJUGTOMZ-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)cc1F)[C@H]1CCc2ccccc21 ZINC001144663887 1131677090 /nfs/dbraw/zinc/67/70/90/1131677090.db2.gz MPVLZESLWOJNQB-INIZCTEOSA-N 1 2 289.325 3.790 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)cc1F)[C@H]1CCc2ccccc21 ZINC001144663887 1131677093 /nfs/dbraw/zinc/67/70/93/1131677093.db2.gz MPVLZESLWOJNQB-INIZCTEOSA-N 1 2 289.325 3.790 20 0 CHADLO FC(F)SCc1ccc(C[N@@H+]2CCC[C@H](F)C2)o1 ZINC000846120535 1131688167 /nfs/dbraw/zinc/68/81/67/1131688167.db2.gz RKSYFRZJWWKRBC-VIFPVBQESA-N 1 2 279.327 3.669 20 0 CHADLO FC(F)SCc1ccc(C[N@H+]2CCC[C@H](F)C2)o1 ZINC000846120535 1131688168 /nfs/dbraw/zinc/68/81/68/1131688168.db2.gz RKSYFRZJWWKRBC-VIFPVBQESA-N 1 2 279.327 3.669 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cccc3cc[nH]c32)CC1(F)F ZINC001144709791 1131691366 /nfs/dbraw/zinc/69/13/66/1131691366.db2.gz HCIRFBXQKIAWQN-ZDUSSCGKSA-N 1 2 282.309 3.737 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cccc3cc[nH]c32)CC1(F)F ZINC001144709791 1131691367 /nfs/dbraw/zinc/69/13/67/1131691367.db2.gz HCIRFBXQKIAWQN-ZDUSSCGKSA-N 1 2 282.309 3.737 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)c(F)cc2Cl)CCCO1 ZINC001144726864 1131692215 /nfs/dbraw/zinc/69/22/15/1131692215.db2.gz OXSGZFSZVQNXQW-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)c(F)cc2Cl)CCCO1 ZINC001144726864 1131692218 /nfs/dbraw/zinc/69/22/18/1131692218.db2.gz OXSGZFSZVQNXQW-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc3scnc3c2)no1 ZINC001144732398 1131697130 /nfs/dbraw/zinc/69/71/30/1131697130.db2.gz DDWLSOXDRQSMLA-HNNXBMFYSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc3scnc3c2)no1 ZINC001144732398 1131697132 /nfs/dbraw/zinc/69/71/32/1131697132.db2.gz DDWLSOXDRQSMLA-HNNXBMFYSA-N 1 2 299.399 3.930 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2C[C@@H](OC)C23CCC3)c1 ZINC000834733364 1131698400 /nfs/dbraw/zinc/69/84/00/1131698400.db2.gz GEBTVIJDMYICNP-UONOGXRCSA-N 1 2 292.448 3.704 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC(C)(C)Oc2ccc(F)cc21)c1ncc[nH]1 ZINC000846200382 1131700029 /nfs/dbraw/zinc/70/00/29/1131700029.db2.gz GEXYFCBPJFUDQH-ZWNOBZJWSA-N 1 2 289.354 3.502 20 0 CHADLO c1cc2n(c1)CC[N@@H+](Cc1cccc(-c3nccs3)c1)C2 ZINC001140334478 1131701299 /nfs/dbraw/zinc/70/12/99/1131701299.db2.gz CKJPUAFURQUBTE-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO c1cc2n(c1)CC[N@H+](Cc1cccc(-c3nccs3)c1)C2 ZINC001140334478 1131701302 /nfs/dbraw/zinc/70/13/02/1131701302.db2.gz CKJPUAFURQUBTE-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(F)cc2C)co1 ZINC000834899454 1131723693 /nfs/dbraw/zinc/72/36/93/1131723693.db2.gz QCFRXZQENRZVHF-LLVKDONJSA-N 1 2 262.328 3.535 20 0 CHADLO CS[C@@H]1CCC[C@H]([NH2+]c2ccc(N(C)C)cc2)C1 ZINC000846750162 1131728915 /nfs/dbraw/zinc/72/89/15/1131728915.db2.gz NPRMBBXLHBZLIN-DZGCQCFKSA-N 1 2 264.438 3.839 20 0 CHADLO CS[C@@H]1CCC[C@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000846750162 1131728922 /nfs/dbraw/zinc/72/89/22/1131728922.db2.gz NPRMBBXLHBZLIN-DZGCQCFKSA-N 1 2 264.438 3.839 20 0 CHADLO Cc1ccc(Cl)nc1C[N@H+](C)Cc1ccc(Cl)nc1 ZINC001140444291 1131740319 /nfs/dbraw/zinc/74/03/19/1131740319.db2.gz QVMGZEVMFRDEGQ-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1ccc(Cl)nc1C[N@@H+](C)Cc1ccc(Cl)nc1 ZINC001140444291 1131740325 /nfs/dbraw/zinc/74/03/25/1131740325.db2.gz QVMGZEVMFRDEGQ-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO C[C@H]([C@@H](C)c1ccc(Cl)cc1)[N@H+](C)Cc1cnsn1 ZINC000846813249 1131745017 /nfs/dbraw/zinc/74/50/17/1131745017.db2.gz ZHBLGTBSDTVMJZ-GHMZBOCLSA-N 1 2 295.839 3.816 20 0 CHADLO C[C@H]([C@@H](C)c1ccc(Cl)cc1)[N@@H+](C)Cc1cnsn1 ZINC000846813249 1131745022 /nfs/dbraw/zinc/74/50/22/1131745022.db2.gz ZHBLGTBSDTVMJZ-GHMZBOCLSA-N 1 2 295.839 3.816 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1cnsn1)C(C)(C)C ZINC000846845813 1131750334 /nfs/dbraw/zinc/75/03/34/1131750334.db2.gz NGNYLCRCVPCTPP-CQSZACIVSA-N 1 2 275.421 3.724 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cnc(Cl)c(F)c1 ZINC000846884045 1131754845 /nfs/dbraw/zinc/75/48/45/1131754845.db2.gz FQMFWRYONXIIFM-APPZFPTMSA-N 1 2 296.695 3.647 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1cnc(Cl)c(F)c1 ZINC000846884045 1131754848 /nfs/dbraw/zinc/75/48/48/1131754848.db2.gz FQMFWRYONXIIFM-APPZFPTMSA-N 1 2 296.695 3.647 20 0 CHADLO COc1cc(F)cc(C[N@H+](C)Cc2cccc(F)c2F)c1 ZINC001140481724 1131759990 /nfs/dbraw/zinc/75/99/90/1131759990.db2.gz CAKHWNAOWXDQCT-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cc(F)cc(C[N@@H+](C)Cc2cccc(F)c2F)c1 ZINC001140481724 1131759993 /nfs/dbraw/zinc/75/99/93/1131759993.db2.gz CAKHWNAOWXDQCT-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO F[C@H]1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cc(Cl)sc1Cl ZINC001140589465 1131814527 /nfs/dbraw/zinc/81/45/27/1131814527.db2.gz LEHBDMUBQFOPEW-XVYDVKMFSA-N 1 2 280.195 3.987 20 0 CHADLO F[C@H]1C[C@@H]2C[C@H]1C[N@H+]2Cc1cc(Cl)sc1Cl ZINC001140589465 1131814536 /nfs/dbraw/zinc/81/45/36/1131814536.db2.gz LEHBDMUBQFOPEW-XVYDVKMFSA-N 1 2 280.195 3.987 20 0 CHADLO Fc1cc(F)c(C[NH+]2CC(c3ccccc3)C2)c(F)c1 ZINC001140534096 1131781839 /nfs/dbraw/zinc/78/18/39/1131781839.db2.gz HNPKXLIIVHBNDO-UHFFFAOYSA-N 1 2 277.289 3.703 20 0 CHADLO CC1(C)CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC(C)(C)C1 ZINC001146773621 1131797242 /nfs/dbraw/zinc/79/72/42/1131797242.db2.gz QJEKBSXMGVVSIE-UHFFFAOYSA-N 1 2 299.418 3.851 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)[C@@H]1CO ZINC001140585160 1131809148 /nfs/dbraw/zinc/80/91/48/1131809148.db2.gz WOXXEDMISOGFHL-PSASIEDQSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)[C@@H]1CO ZINC001140585160 1131809158 /nfs/dbraw/zinc/80/91/58/1131809158.db2.gz WOXXEDMISOGFHL-PSASIEDQSA-N 1 2 294.247 3.648 20 0 CHADLO [NH3+]CCc1cc2ccccc2n1-c1cc(F)ccc1S ZINC001171303884 1131822169 /nfs/dbraw/zinc/82/21/69/1131822169.db2.gz GOHBDHMACWJMQH-UHFFFAOYSA-N 1 2 286.375 3.560 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](C)c3cnc4ccccn43)cc2[nH+]1 ZINC001228326155 1131860307 /nfs/dbraw/zinc/86/03/07/1131860307.db2.gz GAOWRIANFSCVJJ-NSHDSACASA-N 1 2 292.342 3.659 20 0 CHADLO CCCC[C@@H](CCC)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001149004864 1131877512 /nfs/dbraw/zinc/87/75/12/1131877512.db2.gz QXHMYUMILSCXHR-CYBMUJFWSA-N 1 2 273.380 3.652 20 0 CHADLO Cc1cc(N2CCC3(CCCC3)CC2)nc(C2CC2)[nH+]1 ZINC000348111333 1131883576 /nfs/dbraw/zinc/88/35/76/1131883576.db2.gz OKEFEOSTHAMEQS-UHFFFAOYSA-N 1 2 271.408 3.823 20 0 CHADLO CCSCC[C@@H](C)N(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC000348170164 1131897810 /nfs/dbraw/zinc/89/78/10/1131897810.db2.gz REGATMOGDYQWHH-GFCCVEGCSA-N 1 2 279.453 3.630 20 0 CHADLO CC[C@@H]1CC[N@H+](Cc2cc(Cl)c(O)c(Cl)c2)C1 ZINC001140836353 1131941372 /nfs/dbraw/zinc/94/13/72/1131941372.db2.gz WZNHAYNGVRRQBY-SECBINFHSA-N 1 2 274.191 3.931 20 0 CHADLO CC[C@@H]1CC[N@@H+](Cc2cc(Cl)c(O)c(Cl)c2)C1 ZINC001140836353 1131941380 /nfs/dbraw/zinc/94/13/80/1131941380.db2.gz WZNHAYNGVRRQBY-SECBINFHSA-N 1 2 274.191 3.931 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1)Cc1ccc(O)c(F)c1F ZINC001140890311 1131959478 /nfs/dbraw/zinc/95/94/78/1131959478.db2.gz CEPCUZGHSKUMDA-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1)Cc1ccc(O)c(F)c1F ZINC001140890311 1131959485 /nfs/dbraw/zinc/95/94/85/1131959485.db2.gz CEPCUZGHSKUMDA-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@H+](Cc1ccccc1F)Cc1cnccc1C(F)(F)F ZINC001140916539 1131962966 /nfs/dbraw/zinc/96/29/66/1131962966.db2.gz CYIZUFRAXPCTPE-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccccc1F)Cc1cnccc1C(F)(F)F ZINC001140916539 1131962972 /nfs/dbraw/zinc/96/29/72/1131962972.db2.gz CYIZUFRAXPCTPE-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Cc1ccc(/C=C/c2nc(NC3CC3)cc(C)[nH+]2)cc1 ZINC001206134339 1131976229 /nfs/dbraw/zinc/97/62/29/1131976229.db2.gz LDJUPWJWZUHTDL-JXMROGBWSA-N 1 2 265.360 3.838 20 0 CHADLO Clc1ccc(C2=CC[N@H+](Cc3ncco3)CC2)cc1 ZINC001141005615 1131983471 /nfs/dbraw/zinc/98/34/71/1131983471.db2.gz NRVNZOXANUKKAU-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO Clc1ccc(C2=CC[N@@H+](Cc3ncco3)CC2)cc1 ZINC001141005615 1131983476 /nfs/dbraw/zinc/98/34/76/1131983476.db2.gz NRVNZOXANUKKAU-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cc1Cl)c1cn(C)nn1 ZINC000715866621 1131993724 /nfs/dbraw/zinc/99/37/24/1131993724.db2.gz DOLJIUBWWRBRSF-DTWKUNHWSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1ccncc1 ZINC000037102336 1131994451 /nfs/dbraw/zinc/99/44/51/1131994451.db2.gz UTFVABHHTILHNZ-AWEZNQCLSA-N 1 2 267.376 3.855 20 0 CHADLO CCCCc1[nH]cc(CN(Cc2ccco2)C2CC2)[nH+]1 ZINC001141071089 1132003468 /nfs/dbraw/zinc/00/34/68/1132003468.db2.gz ANFUEAULTGJZMX-UHFFFAOYSA-N 1 2 273.380 3.510 20 0 CHADLO CCCCc1[nH]c(CN(C)Cc2cccc(F)c2F)c[nH+]1 ZINC001141073905 1132006164 /nfs/dbraw/zinc/00/61/64/1132006164.db2.gz OWVIQOYUHKIIIU-UHFFFAOYSA-N 1 2 293.361 3.663 20 0 CHADLO CCCCc1[nH]cc(CN(C)Cc2cccc(F)c2F)[nH+]1 ZINC001141073905 1132006167 /nfs/dbraw/zinc/00/61/67/1132006167.db2.gz OWVIQOYUHKIIIU-UHFFFAOYSA-N 1 2 293.361 3.663 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccns1 ZINC000716013789 1132007764 /nfs/dbraw/zinc/00/77/64/1132007764.db2.gz HTCVJDVXTNMOGT-IUCAKERBSA-N 1 2 268.332 3.833 20 0 CHADLO c1csc(-c2ccccc2C[N@@H+]2CCn3nccc3C2)c1 ZINC001141097611 1132016516 /nfs/dbraw/zinc/01/65/16/1132016516.db2.gz RTXCXSLOFVDZSW-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO c1csc(-c2ccccc2C[N@H+]2CCn3nccc3C2)c1 ZINC001141097611 1132016524 /nfs/dbraw/zinc/01/65/24/1132016524.db2.gz RTXCXSLOFVDZSW-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO C[N@H+](Cc1cocn1)C(c1ccccc1)c1ccccc1 ZINC001141126422 1132025301 /nfs/dbraw/zinc/02/53/01/1132025301.db2.gz QXVKZRMEXFZWBQ-UHFFFAOYSA-N 1 2 278.355 3.896 20 0 CHADLO C[N@@H+](Cc1cocn1)C(c1ccccc1)c1ccccc1 ZINC001141126422 1132025305 /nfs/dbraw/zinc/02/53/05/1132025305.db2.gz QXVKZRMEXFZWBQ-UHFFFAOYSA-N 1 2 278.355 3.896 20 0 CHADLO Cc1cc(NC(C)(C)c2ccncc2)cc(OC(F)F)[nH+]1 ZINC001171518802 1132048367 /nfs/dbraw/zinc/04/83/67/1132048367.db2.gz GSTQHEPFZWOPAG-UHFFFAOYSA-N 1 2 293.317 3.734 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001141230340 1132051820 /nfs/dbraw/zinc/05/18/20/1132051820.db2.gz QKSBYLSDHJRLLA-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001141230340 1132051828 /nfs/dbraw/zinc/05/18/28/1132051828.db2.gz QKSBYLSDHJRLLA-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH2+][C@@H](C)CCc1ccc(C)cc1 ZINC001171659100 1132070510 /nfs/dbraw/zinc/07/05/10/1132070510.db2.gz YRWNPBJBZQKADM-HOTGVXAUSA-N 1 2 291.435 3.638 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)c(Cl)c2)CC2(CCC2)O1 ZINC001171715035 1132084116 /nfs/dbraw/zinc/08/41/16/1132084116.db2.gz ZWDKGKSARGDRIT-LLVKDONJSA-N 1 2 283.774 3.623 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)c(Cl)c2)CC2(CCC2)O1 ZINC001171715035 1132084122 /nfs/dbraw/zinc/08/41/22/1132084122.db2.gz ZWDKGKSARGDRIT-LLVKDONJSA-N 1 2 283.774 3.623 20 0 CHADLO Cc1cc(O)c(C)c(C)c1OC1C[NH+](Cc2ccccc2)C1 ZINC001229771145 1132116821 /nfs/dbraw/zinc/11/68/21/1132116821.db2.gz XUCGLCVQITZPGV-UHFFFAOYSA-N 1 2 297.398 3.581 20 0 CHADLO CC(C)[C@@H](Oc1ccc(-n2cc[nH+]c2)cc1)C(F)(F)F ZINC001229951747 1132135274 /nfs/dbraw/zinc/13/52/74/1132135274.db2.gz UVXYMEBZMVQGTA-CYBMUJFWSA-N 1 2 284.281 3.838 20 0 CHADLO c1cn(-c2ccc(OC3CCCCCC3)cc2)c[nH+]1 ZINC001229954639 1132137015 /nfs/dbraw/zinc/13/70/15/1132137015.db2.gz SFYWLNRQWFQLQC-UHFFFAOYSA-N 1 2 256.349 3.974 20 0 CHADLO Cc1nc([C@@H]2COCC[N@H+]2[C@@H]2CC[C@H](C)[C@@H](C)C2)cs1 ZINC001172373311 1132168604 /nfs/dbraw/zinc/16/86/04/1132168604.db2.gz LQROXTZVKZULMS-GMZLATJGSA-N 1 2 294.464 3.650 20 0 CHADLO Cc1nc([C@@H]2COCC[N@@H+]2[C@@H]2CC[C@H](C)[C@@H](C)C2)cs1 ZINC001172373311 1132168609 /nfs/dbraw/zinc/16/86/09/1132168609.db2.gz LQROXTZVKZULMS-GMZLATJGSA-N 1 2 294.464 3.650 20 0 CHADLO C[C@H](Oc1cc2c(cc1O)C=[NH+]CC2)c1ccc(F)cc1 ZINC001230918886 1132227639 /nfs/dbraw/zinc/22/76/39/1132227639.db2.gz AWNKKLGTSHHPCE-NSHDSACASA-N 1 2 285.318 3.646 20 0 CHADLO C[C@@H]1CC[C@H](C)[C@H](Oc2cc3c(cc2O)C=[NH+]CC3)C1 ZINC001230919571 1132227838 /nfs/dbraw/zinc/22/78/38/1132227838.db2.gz DVBJQOIPYVWGSL-BFQNTYOBSA-N 1 2 273.376 3.571 20 0 CHADLO Oc1cc2c(cc1O[C@@H](c1ccccc1)C1CC1)CC[NH+]=C2 ZINC001230914274 1132228037 /nfs/dbraw/zinc/22/80/37/1132228037.db2.gz GSSQOAMNSHQHSG-IBGZPJMESA-N 1 2 293.366 3.897 20 0 CHADLO COC(C)(C)C[C@H](C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231084056 1132254681 /nfs/dbraw/zinc/25/46/81/1132254681.db2.gz VIXCXBINTDPTOZ-ZDUSSCGKSA-N 1 2 288.391 3.670 20 0 CHADLO FC1(F)C[C@H]1[NH2+][C@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172536635 1132257320 /nfs/dbraw/zinc/25/73/20/1132257320.db2.gz FMUQVNHDXWGHSV-HZSPNIEDSA-N 1 2 251.320 3.710 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1coc2ccc(F)cc12 ZINC001141857032 1132258124 /nfs/dbraw/zinc/25/81/24/1132258124.db2.gz ZURHUDVRBGKRGH-OAHLLOKOSA-N 1 2 275.323 3.515 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1coc2ccc(F)cc12 ZINC001141857032 1132258131 /nfs/dbraw/zinc/25/81/31/1132258131.db2.gz ZURHUDVRBGKRGH-OAHLLOKOSA-N 1 2 275.323 3.515 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cccc(CCO)c1 ZINC001203114800 1132262609 /nfs/dbraw/zinc/26/26/09/1132262609.db2.gz QFDGGUXHNBWJBL-UHFFFAOYSA-N 1 2 270.376 3.792 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1cc2n(n1)CCC2 ZINC000840734505 1132280167 /nfs/dbraw/zinc/28/01/67/1132280167.db2.gz YYNDOOIDSBOBLD-CQSZACIVSA-N 1 2 296.418 3.603 20 0 CHADLO Oc1ccc(C[N@@H+]2CCCC[C@H](F)C2)cc1C(F)(F)F ZINC001231521006 1132312809 /nfs/dbraw/zinc/31/28/09/1132312809.db2.gz AKYWLJDBTOEALD-NSHDSACASA-N 1 2 291.288 3.735 20 0 CHADLO Oc1ccc(C[N@H+]2CCCC[C@H](F)C2)cc1C(F)(F)F ZINC001231521006 1132312813 /nfs/dbraw/zinc/31/28/13/1132312813.db2.gz AKYWLJDBTOEALD-NSHDSACASA-N 1 2 291.288 3.735 20 0 CHADLO Clc1ccccc1-c1ccc(C[NH+]2CC3(CCO3)C2)cc1 ZINC001142101640 1132325673 /nfs/dbraw/zinc/32/56/73/1132325673.db2.gz IPEALMJCYYWNCA-UHFFFAOYSA-N 1 2 299.801 3.982 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)c(O)c2)CCC1(F)F ZINC001231730551 1132347273 /nfs/dbraw/zinc/34/72/73/1132347273.db2.gz CEBCWKDITHZZOY-VIFPVBQESA-N 1 2 275.726 3.523 20 0 CHADLO CN(C(=O)c1cccc2[nH+]ccn21)[C@H]1CCC[C@H]1C(C)(C)C ZINC000841452998 1132364959 /nfs/dbraw/zinc/36/49/59/1132364959.db2.gz MOTGJYRBIJBKHE-KGLIPLIRSA-N 1 2 299.418 3.621 20 0 CHADLO c1cc2n(c1)CC[N@@H+](Cc1ccc(-c3ccccc3)o1)C2 ZINC001231813121 1132370500 /nfs/dbraw/zinc/37/05/00/1132370500.db2.gz RMMHAHNQNJFDJU-UHFFFAOYSA-N 1 2 278.355 3.764 20 0 CHADLO c1cc2n(c1)CC[N@H+](Cc1ccc(-c3ccccc3)o1)C2 ZINC001231813121 1132370508 /nfs/dbraw/zinc/37/05/08/1132370508.db2.gz RMMHAHNQNJFDJU-UHFFFAOYSA-N 1 2 278.355 3.764 20 0 CHADLO Clc1cccnc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212694242 1132374041 /nfs/dbraw/zinc/37/40/41/1132374041.db2.gz QFYJSBRNLJPXAI-UHFFFAOYSA-N 1 2 284.750 3.723 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(OCCC(C)C)cc1 ZINC000841849945 1132400593 /nfs/dbraw/zinc/40/05/93/1132400593.db2.gz QHQGBRIQACUXRJ-UHFFFAOYSA-N 1 2 287.407 3.940 20 0 CHADLO Cc1cc(F)ncc1C[N@H+](C)Cc1ccccc1Cl ZINC001231984463 1132405232 /nfs/dbraw/zinc/40/52/32/1132405232.db2.gz DJKJAYCNOWYXNA-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)ncc1C[N@@H+](C)Cc1ccccc1Cl ZINC001231984463 1132405234 /nfs/dbraw/zinc/40/52/34/1132405234.db2.gz DJKJAYCNOWYXNA-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(F)c2F)cc(C)n1 ZINC001232003708 1132409167 /nfs/dbraw/zinc/40/91/67/1132409167.db2.gz VIOJKTCNPIFYRN-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(F)c2F)cc(C)n1 ZINC001232003708 1132409171 /nfs/dbraw/zinc/40/91/71/1132409171.db2.gz VIOJKTCNPIFYRN-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)Cc1ccc(CC)cc1)C(=O)OCC ZINC001173245259 1132417985 /nfs/dbraw/zinc/41/79/85/1132417985.db2.gz SBZIFKKLXIDTJK-YOEHRIQHSA-N 1 2 291.435 3.501 20 0 CHADLO CCc1ccc(C[C@@H](C)[N@H+]2Cc3nc(C)sc3C2)cc1 ZINC001173249644 1132420312 /nfs/dbraw/zinc/42/03/12/1132420312.db2.gz YSPFBZWZFAPSHX-GFCCVEGCSA-N 1 2 286.444 3.961 20 0 CHADLO CCc1ccc(C[C@@H](C)[N@@H+]2Cc3nc(C)sc3C2)cc1 ZINC001173249644 1132420315 /nfs/dbraw/zinc/42/03/15/1132420315.db2.gz YSPFBZWZFAPSHX-GFCCVEGCSA-N 1 2 286.444 3.961 20 0 CHADLO CC1(F)CC[NH+](Cc2c(F)cc(F)cc2Cl)CC1 ZINC001232097765 1132420982 /nfs/dbraw/zinc/42/09/82/1132420982.db2.gz RCMSKHVDGRAYAS-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232099572 1132421991 /nfs/dbraw/zinc/42/19/91/1132421991.db2.gz AQDOYOUPTBAAIB-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232099572 1132421992 /nfs/dbraw/zinc/42/19/92/1132421992.db2.gz AQDOYOUPTBAAIB-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1c(F)cc(F)cc1Cl ZINC001232096780 1132422070 /nfs/dbraw/zinc/42/20/70/1132422070.db2.gz GWIXDNOENQRPOO-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1c(F)cc(F)cc1Cl ZINC001232096780 1132422073 /nfs/dbraw/zinc/42/20/73/1132422073.db2.gz GWIXDNOENQRPOO-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCc1ccc(C[C@@H](C)[N@@H+]2CCC(F)(F)C2)cc1 ZINC001173252538 1132422854 /nfs/dbraw/zinc/42/28/54/1132422854.db2.gz MLHXWPDRGVAUKQ-GFCCVEGCSA-N 1 2 253.336 3.521 20 0 CHADLO CCc1ccc(C[C@@H](C)[N@H+]2CCC(F)(F)C2)cc1 ZINC001173252538 1132422857 /nfs/dbraw/zinc/42/28/57/1132422857.db2.gz MLHXWPDRGVAUKQ-GFCCVEGCSA-N 1 2 253.336 3.521 20 0 CHADLO Cc1scnc1C[N@@H+]1CCC[C@@H]1c1ccccc1F ZINC001232204146 1132435120 /nfs/dbraw/zinc/43/51/20/1132435120.db2.gz SVPOFEFWMBCICW-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1scnc1C[N@H+]1CCC[C@@H]1c1ccccc1F ZINC001232204146 1132435124 /nfs/dbraw/zinc/43/51/24/1132435124.db2.gz SVPOFEFWMBCICW-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1scnc1C[N@@H+]1CCC[C@H]1c1cccc(F)c1 ZINC001232204217 1132435216 /nfs/dbraw/zinc/43/52/16/1132435216.db2.gz XCHDGCWDHZXZNL-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1scnc1C[N@H+]1CCC[C@H]1c1cccc(F)c1 ZINC001232204217 1132435220 /nfs/dbraw/zinc/43/52/20/1132435220.db2.gz XCHDGCWDHZXZNL-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1scnc1C[N@@H+](C)Cc1ccc(C)c(C)c1 ZINC001232205074 1132435538 /nfs/dbraw/zinc/43/55/38/1132435538.db2.gz FOGKVCAIRGFZMX-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1scnc1C[N@H+](C)Cc1ccc(C)c(C)c1 ZINC001232205074 1132435542 /nfs/dbraw/zinc/43/55/42/1132435542.db2.gz FOGKVCAIRGFZMX-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1csc(Cl)c1)CC2 ZINC001142760148 1132442307 /nfs/dbraw/zinc/44/23/07/1132442307.db2.gz IGCUCOWTYMFLJJ-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1csc(Cl)c1)CC2 ZINC001142760148 1132442310 /nfs/dbraw/zinc/44/23/10/1132442310.db2.gz IGCUCOWTYMFLJJ-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO C[N@H+](Cc1ccc(N)nc1)Cc1cccc(Cl)c1Cl ZINC001232368386 1132459977 /nfs/dbraw/zinc/45/99/77/1132459977.db2.gz HJPJQAGYGYIIFU-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO C[N@@H+](Cc1ccc(N)nc1)Cc1cccc(Cl)c1Cl ZINC001232368386 1132459982 /nfs/dbraw/zinc/45/99/82/1132459982.db2.gz HJPJQAGYGYIIFU-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)[nH]1 ZINC001232464167 1132472950 /nfs/dbraw/zinc/47/29/50/1132472950.db2.gz UKFVSVHSYONRHK-SNVBAGLBSA-N 1 2 263.772 3.565 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccnc1C1CC1 ZINC001212735314 1132490030 /nfs/dbraw/zinc/49/00/30/1132490030.db2.gz PVQFGHMPJPZLLP-UHFFFAOYSA-N 1 2 253.349 3.968 20 0 CHADLO c1cn(-c2cccc(Nc3cccnc3C3CC3)c2)c[nH+]1 ZINC001212738740 1132492880 /nfs/dbraw/zinc/49/28/80/1132492880.db2.gz BXEBQAABTLLLJC-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO COc1cc(C)cc(OC)c1C[NH+]1Cc2ccccc2C1 ZINC001143132711 1132506400 /nfs/dbraw/zinc/50/64/00/1132506400.db2.gz HPUBSJJHVJLMIW-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc3ncsc3c2)no1 ZINC001232736672 1132511775 /nfs/dbraw/zinc/51/17/75/1132511775.db2.gz INMPBIJBUIFAJR-HNNXBMFYSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc3ncsc3c2)no1 ZINC001232736672 1132511778 /nfs/dbraw/zinc/51/17/78/1132511778.db2.gz INMPBIJBUIFAJR-HNNXBMFYSA-N 1 2 299.399 3.930 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc3ncsc3c2)C[C@H]1F ZINC001232736608 1132511800 /nfs/dbraw/zinc/51/18/00/1132511800.db2.gz HZDYQVYCDNQLGU-KGLIPLIRSA-N 1 2 282.359 3.568 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc3ncsc3c2)C[C@H]1F ZINC001232736608 1132511807 /nfs/dbraw/zinc/51/18/07/1132511807.db2.gz HZDYQVYCDNQLGU-KGLIPLIRSA-N 1 2 282.359 3.568 20 0 CHADLO Cc1ccccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001173815332 1132520084 /nfs/dbraw/zinc/52/00/84/1132520084.db2.gz ITLONFVVFFUPNK-UHFFFAOYSA-N 1 2 263.344 3.983 20 0 CHADLO CCCn1cnc(C[N@H+](C)Cc2ccc(Cl)cc2)c1 ZINC001232798811 1132523778 /nfs/dbraw/zinc/52/37/78/1132523778.db2.gz MQGJOYKXGWQYEU-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CCCn1cnc(C[N@@H+](C)Cc2ccc(Cl)cc2)c1 ZINC001232798811 1132523786 /nfs/dbraw/zinc/52/37/86/1132523786.db2.gz MQGJOYKXGWQYEU-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO FC(F)c1ccc(C[N@@H+]2CCC(F)(F)[C@H](F)C2)cc1 ZINC001143244581 1132524256 /nfs/dbraw/zinc/52/42/56/1132524256.db2.gz WXYMVBGWHXOEGG-LLVKDONJSA-N 1 2 279.252 3.803 20 0 CHADLO FC(F)c1ccc(C[N@H+]2CCC(F)(F)[C@H](F)C2)cc1 ZINC001143244581 1132524261 /nfs/dbraw/zinc/52/42/61/1132524261.db2.gz WXYMVBGWHXOEGG-LLVKDONJSA-N 1 2 279.252 3.803 20 0 CHADLO FC(F)(F)c1cccc(Cl)c1C[NH+]1CC=CC1 ZINC001143283029 1132529935 /nfs/dbraw/zinc/52/99/35/1132529935.db2.gz JJIFDWBKPSLICN-UHFFFAOYSA-N 1 2 261.674 3.731 20 0 CHADLO FC(F)(F)c1cccc(Cl)c1C[N@@H+]1CCCOCC1 ZINC001143283313 1132530670 /nfs/dbraw/zinc/53/06/70/1132530670.db2.gz SUMBGFDRGXWWQG-UHFFFAOYSA-N 1 2 293.716 3.581 20 0 CHADLO FC(F)(F)c1cccc(Cl)c1C[N@H+]1CCCOCC1 ZINC001143283313 1132530674 /nfs/dbraw/zinc/53/06/74/1132530674.db2.gz SUMBGFDRGXWWQG-UHFFFAOYSA-N 1 2 293.716 3.581 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1ccc(F)c(F)c1Cl ZINC001232874460 1132532174 /nfs/dbraw/zinc/53/21/74/1132532174.db2.gz TYGBLQKTQPALPR-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1ccc(F)c(F)c1Cl ZINC001232874460 1132532183 /nfs/dbraw/zinc/53/21/83/1132532183.db2.gz TYGBLQKTQPALPR-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1 ZINC001173802235 1132536104 /nfs/dbraw/zinc/53/61/04/1132536104.db2.gz PPFZTAJGSSZLPN-UHFFFAOYSA-N 1 2 263.344 3.983 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(C(F)(F)F)c1 ZINC001173822459 1132536324 /nfs/dbraw/zinc/53/63/24/1132536324.db2.gz BIHVQSFKBPUXSK-UHFFFAOYSA-N 1 2 268.238 3.853 20 0 CHADLO COc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1 ZINC001173806380 1132540584 /nfs/dbraw/zinc/54/05/84/1132540584.db2.gz YDEMFJDTPOLJRA-UHFFFAOYSA-N 1 2 279.343 3.684 20 0 CHADLO CCC[C@H]1CCCC[N@@H+]1Cc1ccc(F)c(O)c1F ZINC001232935593 1132544084 /nfs/dbraw/zinc/54/40/84/1132544084.db2.gz VCKITFGARUGKCK-LBPRGKRZSA-N 1 2 269.335 3.825 20 0 CHADLO CCC[C@H]1CCCC[N@H+]1Cc1ccc(F)c(O)c1F ZINC001232935593 1132544093 /nfs/dbraw/zinc/54/40/93/1132544093.db2.gz VCKITFGARUGKCK-LBPRGKRZSA-N 1 2 269.335 3.825 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(O)c(F)c(F)c2)c1 ZINC001232968592 1132553220 /nfs/dbraw/zinc/55/32/20/1132553220.db2.gz JEAYYYKBXAGZRJ-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1cc(O)c(F)c(F)c1 ZINC001232975746 1132556618 /nfs/dbraw/zinc/55/66/18/1132556618.db2.gz OGSVXKGEHSSUGB-LLVKDONJSA-N 1 2 289.325 3.617 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1cc(O)c(F)c(F)c1 ZINC001232975746 1132556622 /nfs/dbraw/zinc/55/66/22/1132556622.db2.gz OGSVXKGEHSSUGB-LLVKDONJSA-N 1 2 289.325 3.617 20 0 CHADLO Fc1cccc(F)c1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001173859944 1132561499 /nfs/dbraw/zinc/56/14/99/1132561499.db2.gz JREQXLDYRDDYJS-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO COc1ccc(Nc2cc[nH+]c(SC)c2)cc1F ZINC001173863586 1132565853 /nfs/dbraw/zinc/56/58/53/1132565853.db2.gz NSZUVDIVDOABJJ-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO COc1ccccc1[C@@H](C)[N@H+](C)Cc1cccc(O)c1F ZINC001233056617 1132568246 /nfs/dbraw/zinc/56/82/46/1132568246.db2.gz GVYHFLPGGBSWTQ-GFCCVEGCSA-N 1 2 289.350 3.733 20 0 CHADLO COc1ccccc1[C@@H](C)[N@@H+](C)Cc1cccc(O)c1F ZINC001233056617 1132568250 /nfs/dbraw/zinc/56/82/50/1132568250.db2.gz GVYHFLPGGBSWTQ-GFCCVEGCSA-N 1 2 289.350 3.733 20 0 CHADLO CC[N@H+](Cc1ccsc1Cl)Cc1cccnc1 ZINC001233057623 1132570774 /nfs/dbraw/zinc/57/07/74/1132570774.db2.gz RHQHTRKOFUPNCE-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1ccsc1Cl)Cc1cccnc1 ZINC001233057623 1132570779 /nfs/dbraw/zinc/57/07/79/1132570779.db2.gz RHQHTRKOFUPNCE-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[C@H]1CCC[N@@H+]1Cc1nc(C)sc1Br ZINC001233153065 1132574456 /nfs/dbraw/zinc/57/44/56/1132574456.db2.gz APXQPGJGACWFAD-VIFPVBQESA-N 1 2 289.242 3.588 20 0 CHADLO CC[C@H]1CCC[N@H+]1Cc1nc(C)sc1Br ZINC001233153065 1132574459 /nfs/dbraw/zinc/57/44/59/1132574459.db2.gz APXQPGJGACWFAD-VIFPVBQESA-N 1 2 289.242 3.588 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cccc(Cl)c3C2)cnc1C ZINC001233161131 1132574541 /nfs/dbraw/zinc/57/45/41/1132574541.db2.gz UCUWVPUYPOJQSX-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cccc(Cl)c3C2)cnc1C ZINC001233161131 1132574545 /nfs/dbraw/zinc/57/45/45/1132574545.db2.gz UCUWVPUYPOJQSX-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2ccc(C)[nH+]c2C)c1C ZINC001203375132 1132577227 /nfs/dbraw/zinc/57/72/27/1132577227.db2.gz TXZKLGCPPYGOTA-UHFFFAOYSA-N 1 2 284.359 3.962 20 0 CHADLO CC(C)(C)CC(C)(C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001151976711 1132577791 /nfs/dbraw/zinc/57/77/91/1132577791.db2.gz RAHLBPWHXSJBAG-UHFFFAOYSA-N 1 2 273.380 3.508 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)cc1O ZINC001233234626 1132587560 /nfs/dbraw/zinc/58/75/60/1132587560.db2.gz AUWUGVKBLLGDDK-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cc(F)c(F)cc3C2)cc1O ZINC001233234626 1132587564 /nfs/dbraw/zinc/58/75/64/1132587564.db2.gz AUWUGVKBLLGDDK-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO CC(=O)c1ccc(C)cc1Nc1ccc(C)[nH+]c1C ZINC001203376524 1132589849 /nfs/dbraw/zinc/58/98/49/1132589849.db2.gz NLPRAHSWFHRJHX-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccccc2OC)cc1 ZINC001173840572 1132594522 /nfs/dbraw/zinc/59/45/22/1132594522.db2.gz DVGWGUISAQOMNW-UHFFFAOYSA-N 1 2 256.349 3.895 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccccc2OC)cc1 ZINC001173840572 1132594526 /nfs/dbraw/zinc/59/45/26/1132594526.db2.gz DVGWGUISAQOMNW-UHFFFAOYSA-N 1 2 256.349 3.895 20 0 CHADLO Cc1cc[nH]c1C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC001153556387 1132596107 /nfs/dbraw/zinc/59/61/07/1132596107.db2.gz PVIXBQWRIWWYMF-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO FC(F)[C@@H]([NH2+]CC[C@@H]1CCOC1)c1cccc(Cl)c1 ZINC001331188154 1132596263 /nfs/dbraw/zinc/59/62/63/1132596263.db2.gz GINAYIHPFXYXSV-MFKMUULPSA-N 1 2 289.753 3.662 20 0 CHADLO CC(=O)c1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001173897611 1132601324 /nfs/dbraw/zinc/60/13/24/1132601324.db2.gz CGWNHKUJVIOXOC-UHFFFAOYSA-N 1 2 277.327 3.819 20 0 CHADLO Cc1cnsc1C[N@@H+]1CCc2cc(Cl)ccc2C1 ZINC001233321182 1132601905 /nfs/dbraw/zinc/60/19/05/1132601905.db2.gz DAHXGBFJFHBOPN-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnsc1C[N@H+]1CCc2cc(Cl)ccc2C1 ZINC001233321182 1132601910 /nfs/dbraw/zinc/60/19/10/1132601910.db2.gz DAHXGBFJFHBOPN-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCC[C@H](F)C1 ZINC001233352547 1132610179 /nfs/dbraw/zinc/61/01/79/1132610179.db2.gz JJGCVJNRBJZWNJ-JTQLQIEISA-N 1 2 273.804 3.996 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCC[C@H](F)C1 ZINC001233352547 1132610184 /nfs/dbraw/zinc/61/01/84/1132610184.db2.gz JJGCVJNRBJZWNJ-JTQLQIEISA-N 1 2 273.804 3.996 20 0 CHADLO Nc1ccc(C[N@@H+]2CCc3cc(F)ccc3C2)c(Cl)c1 ZINC001233377038 1132611978 /nfs/dbraw/zinc/61/19/78/1132611978.db2.gz LMOQZWAHSKXLGQ-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(C[N@H+]2CCc3cc(F)ccc3C2)c(Cl)c1 ZINC001233377038 1132611984 /nfs/dbraw/zinc/61/19/84/1132611984.db2.gz LMOQZWAHSKXLGQ-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Fc1ccc(Nc2ccc[nH+]c2N2CCCC2)c(F)c1 ZINC001173925581 1132618669 /nfs/dbraw/zinc/61/86/69/1132618669.db2.gz ZSUDLMMDPIMOOV-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO CC(C)C1CC[NH+](Cc2c(Cl)ncnc2Cl)CC1 ZINC001233411164 1132618844 /nfs/dbraw/zinc/61/88/44/1132618844.db2.gz SETJUTMUYIFONB-UHFFFAOYSA-N 1 2 288.222 3.651 20 0 CHADLO CC(C)(C)OC(=O)n1ccc(C[N@@H+]2CCCC[C@H](F)C2)c1 ZINC001233429729 1132620671 /nfs/dbraw/zinc/62/06/71/1132620671.db2.gz LBIRJBLTZSTMMM-AWEZNQCLSA-N 1 2 296.386 3.595 20 0 CHADLO CC(C)(C)OC(=O)n1ccc(C[N@H+]2CCCC[C@H](F)C2)c1 ZINC001233429729 1132620674 /nfs/dbraw/zinc/62/06/74/1132620674.db2.gz LBIRJBLTZSTMMM-AWEZNQCLSA-N 1 2 296.386 3.595 20 0 CHADLO Cc1ccc(Nc2cccc(CO)c2Cl)c(C)[nH+]1 ZINC001212759153 1132625360 /nfs/dbraw/zinc/62/53/60/1132625360.db2.gz DZTZPFYELUVQAX-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1cncc(Cl)n1 ZINC001233450504 1132625971 /nfs/dbraw/zinc/62/59/71/1132625971.db2.gz XQPTXWFRYZLAFU-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1cncc(Cl)n1 ZINC001233450504 1132625974 /nfs/dbraw/zinc/62/59/74/1132625974.db2.gz XQPTXWFRYZLAFU-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO Cc1cnc(CCNc2[nH+]ccc3cc(Cl)ccc32)nc1 ZINC001154861094 1132628467 /nfs/dbraw/zinc/62/84/67/1132628467.db2.gz CJUKUFHUYWTEEG-UHFFFAOYSA-N 1 2 298.777 3.641 20 0 CHADLO CCOc1ccc(Cl)nc1NC(=[NH2+])C(C)(C)C ZINC001155180236 1132646399 /nfs/dbraw/zinc/64/63/99/1132646399.db2.gz NGMVUZQQIBQMFM-UHFFFAOYSA-N 1 2 255.749 3.569 20 0 CHADLO COc1ccc(C)cc1Nc1ccccc1-n1cc[nH+]c1 ZINC001173985500 1132664541 /nfs/dbraw/zinc/66/45/41/1132664541.db2.gz KCGUDAKATNLHLN-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO Cc1cc(C[NH+]2CC(Oc3ccccc3F)C2)sc1C ZINC001233693721 1132665083 /nfs/dbraw/zinc/66/50/83/1132665083.db2.gz YDDKMXTZCJRBLZ-UHFFFAOYSA-N 1 2 291.391 3.767 20 0 CHADLO Clc1cc(Nc2ccc3c(c2)CCO3)cn2cc[nH+]c12 ZINC001174009940 1132676150 /nfs/dbraw/zinc/67/61/50/1132676150.db2.gz RMEVHORNTHRDBF-UHFFFAOYSA-N 1 2 285.734 3.666 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc4c(c3)CCO4)cc2)c[nH+]1 ZINC001174011062 1132677244 /nfs/dbraw/zinc/67/72/44/1132677244.db2.gz RJINCTAVDYNTOS-UHFFFAOYSA-N 1 2 291.354 3.610 20 0 CHADLO CCOc1cc(Nc2cccc(OC)c2F)cc(C)[nH+]1 ZINC001173971666 1132681741 /nfs/dbraw/zinc/68/17/41/1132681741.db2.gz VHPHYCLRTYEKMY-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CC(=O)Nc1ccc(Nc2cccc(C(C)C)[nH+]2)cc1 ZINC001173974974 1132684088 /nfs/dbraw/zinc/68/40/88/1132684088.db2.gz JNVSVOLQHNCBFK-UHFFFAOYSA-N 1 2 269.348 3.907 20 0 CHADLO Cc1cc(NCc2cnc3ccccc3c2)nc(C2CC2)[nH+]1 ZINC001156175898 1132686366 /nfs/dbraw/zinc/68/63/66/1132686366.db2.gz GNHNDCCNJLKFJZ-UHFFFAOYSA-N 1 2 290.370 3.823 20 0 CHADLO Cc1ccc(Nc2cc(N)cc(Br)c2)c(C)[nH+]1 ZINC001203376747 1132690425 /nfs/dbraw/zinc/69/04/25/1132690425.db2.gz RBVHGPLJCQPACL-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO COC(=O)c1c(Cl)cccc1Nc1ccc(C)[nH+]c1C ZINC001203376977 1132690730 /nfs/dbraw/zinc/69/07/30/1132690730.db2.gz YCVMSGNPWYRCNP-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO COc1ccc2cc(N(C)c3[nH+]cccc3N)ccc2c1 ZINC001174030099 1132699198 /nfs/dbraw/zinc/69/91/98/1132699198.db2.gz BODACOFLNDPEGO-UHFFFAOYSA-N 1 2 279.343 3.594 20 0 CHADLO COc1ccc[nH+]c1NCc1ccccc1C1CC1 ZINC001156537685 1132703885 /nfs/dbraw/zinc/70/38/85/1132703885.db2.gz VWZDBCUEKXHQLX-UHFFFAOYSA-N 1 2 254.333 3.580 20 0 CHADLO COc1cccc(F)c1CNc1cc2ccccc2c[nH+]1 ZINC001156743487 1132707692 /nfs/dbraw/zinc/70/76/92/1132707692.db2.gz BAMKGDGSUSSNQG-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO CC(C)(C)c1ccc(NC2(C3CCOCC3)CC2)[nH+]c1 ZINC001156919583 1132714968 /nfs/dbraw/zinc/71/49/68/1132714968.db2.gz XKLNAGGQBJADAU-UHFFFAOYSA-N 1 2 274.408 3.750 20 0 CHADLO Cn1ccc2cc(Nc3ccn4cc[nH+]c4c3)ccc21 ZINC001174097239 1132714975 /nfs/dbraw/zinc/71/49/75/1132714975.db2.gz LQQMTOYLFRKPAZ-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO Fc1cc(Nc2cccn3cc[nH+]c23)cc(C(F)(F)F)n1 ZINC001213006101 1132719491 /nfs/dbraw/zinc/71/94/91/1132719491.db2.gz OJZZTHFTPMVVDF-UHFFFAOYSA-N 1 2 296.227 3.631 20 0 CHADLO COCc1ccccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001174102293 1132719870 /nfs/dbraw/zinc/71/98/70/1132719870.db2.gz ONXDTYARUWZLEB-UHFFFAOYSA-N 1 2 297.402 3.962 20 0 CHADLO CCN(Cc1cccc(F)c1F)c1[nH+]c(C)ccc1OC ZINC001157363464 1132728661 /nfs/dbraw/zinc/72/86/61/1132728661.db2.gz OCNSKOILSKEDOR-UHFFFAOYSA-N 1 2 292.329 3.703 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(C(=O)NCC(C)C)cc2)c1 ZINC001174077115 1132733022 /nfs/dbraw/zinc/73/30/22/1132733022.db2.gz DUIVAJKWDQFHPK-UHFFFAOYSA-N 1 2 283.375 3.519 20 0 CHADLO Cc1ccc(Nc2ccc(C(=O)NCC(C)C)cc2)c(C)[nH+]1 ZINC001174077690 1132733447 /nfs/dbraw/zinc/73/34/47/1132733447.db2.gz NYFLHXIZCRIMHZ-UHFFFAOYSA-N 1 2 297.402 3.828 20 0 CHADLO COC(=O)[C@@H]1C[C@H](Nc2[nH+]c3ccccc3cc2C)C1(C)C ZINC001157547251 1132734566 /nfs/dbraw/zinc/73/45/66/1132734566.db2.gz ZNSDENZFPOIHFE-ZFWWWQNUSA-N 1 2 298.386 3.543 20 0 CHADLO COc1cc(F)ccc1Nc1cccn2cc(C)[nH+]c12 ZINC001174128477 1132736993 /nfs/dbraw/zinc/73/69/93/1132736993.db2.gz GOHHFZUTQMWCCS-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1cccc2c(C)cc(NCCOc3cccnc3)[nH+]c12 ZINC001157811235 1132744141 /nfs/dbraw/zinc/74/41/41/1132744141.db2.gz RPBHVFNGYQMHNM-UHFFFAOYSA-N 1 2 293.370 3.738 20 0 CHADLO CNC(=O)c1cccc(Nc2ccc3c(C)cc[nH+]c3c2)c1 ZINC001174142290 1132745042 /nfs/dbraw/zinc/74/50/42/1132745042.db2.gz VIRGUWAAERNXLW-UHFFFAOYSA-N 1 2 291.354 3.646 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c(C)cn32)c(F)c1 ZINC001174142500 1132745175 /nfs/dbraw/zinc/74/51/75/1132745175.db2.gz CGWIZBMZWPZBKX-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO COc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(F)c1 ZINC001174144815 1132745483 /nfs/dbraw/zinc/74/54/83/1132745483.db2.gz GCJAECAITKWVJU-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO COc1c(Nc2ccc(C)[nH+]c2C)ccc(F)c1F ZINC001203380126 1132750098 /nfs/dbraw/zinc/75/00/98/1132750098.db2.gz IKFJHRCGGFDZOC-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCOC(=O)/C=C/c1ccc[nH+]c1N[C@@H](C)C(C)(C)C ZINC001157871945 1132750686 /nfs/dbraw/zinc/75/06/86/1132750686.db2.gz AZZIGSPRWRYCRF-VMPCVLLUSA-N 1 2 276.380 3.504 20 0 CHADLO Cc1ccc(Nc2cccc(C(=O)N3CCCCC3)c2)[nH+]c1 ZINC001174172932 1132753163 /nfs/dbraw/zinc/75/31/63/1132753163.db2.gz BFWPDCBGGWIXPL-UHFFFAOYSA-N 1 2 295.386 3.760 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(C(=O)NC(C)C)c1 ZINC001174185952 1132754796 /nfs/dbraw/zinc/75/47/96/1132754796.db2.gz WIMSWTPFQLYPRN-UHFFFAOYSA-N 1 2 297.402 3.834 20 0 CHADLO Cc1ccc[nH+]c1Nc1cccc(C(=O)NC2CCCC2)c1 ZINC001174195503 1132755787 /nfs/dbraw/zinc/75/57/87/1132755787.db2.gz RLNMZGJJTHQCNL-UHFFFAOYSA-N 1 2 295.386 3.806 20 0 CHADLO CC1(C)CCc2cc(Nc3[nH+]cccc3N)ccc2O1 ZINC001213011850 1132757626 /nfs/dbraw/zinc/75/76/26/1132757626.db2.gz LWACYVKXEISTGI-UHFFFAOYSA-N 1 2 269.348 3.511 20 0 CHADLO Cc1ccc(CCCNc2cc3ccccc3c[nH+]2)cn1 ZINC001158372950 1132773267 /nfs/dbraw/zinc/77/32/67/1132773267.db2.gz FANSTDRXWHMREA-UHFFFAOYSA-N 1 2 277.371 3.983 20 0 CHADLO COc1c[nH+]c(N[C@@H](C2CCC2)C(F)(F)F)c(C)c1 ZINC001158399952 1132774364 /nfs/dbraw/zinc/77/43/64/1132774364.db2.gz NSUQXLPCKHOESK-NSHDSACASA-N 1 2 274.286 3.542 20 0 CHADLO CCN(CC)c1cc(N[C@H]2C[C@H](C)c3ccccc32)[nH+]cn1 ZINC001158470567 1132779143 /nfs/dbraw/zinc/77/91/43/1132779143.db2.gz CXZFUGCXRWFGFQ-BBRMVZONSA-N 1 2 296.418 3.983 20 0 CHADLO CCN(CC)c1cc(N[C@H]2C[C@H](C)c3ccccc32)nc[nH+]1 ZINC001158470567 1132779147 /nfs/dbraw/zinc/77/91/47/1132779147.db2.gz CXZFUGCXRWFGFQ-BBRMVZONSA-N 1 2 296.418 3.983 20 0 CHADLO Cc1nc(N[C@H](C)c2cnccn2)cc(C2CCCCC2)[nH+]1 ZINC001158599951 1132784273 /nfs/dbraw/zinc/78/42/73/1132784273.db2.gz AUTDFNBIDCCARS-GFCCVEGCSA-N 1 2 297.406 3.796 20 0 CHADLO CSCc1cc[nH+]c(NC[C@H](C)c2ccc(O)cc2)c1 ZINC001158606808 1132785470 /nfs/dbraw/zinc/78/54/70/1132785470.db2.gz GMYPTYYMSOZGON-LBPRGKRZSA-N 1 2 288.416 3.866 20 0 CHADLO CCOc1cc(Nc2ccc(C(F)(F)F)nc2)cc(C)[nH+]1 ZINC001174309179 1132788237 /nfs/dbraw/zinc/78/82/37/1132788237.db2.gz AEVQCZZDIVZJSX-UHFFFAOYSA-N 1 2 297.280 3.946 20 0 CHADLO COCc1cc[nH+]c(NCCc2coc3ccccc23)c1 ZINC001158658970 1132788279 /nfs/dbraw/zinc/78/82/79/1132788279.db2.gz KSINICXTAYXMPC-UHFFFAOYSA-N 1 2 282.343 3.629 20 0 CHADLO Cc1c[nH+]c(N(C)[C@@H]2CCc3ccccc32)nc1NC(C)C ZINC001158917565 1132797559 /nfs/dbraw/zinc/79/75/59/1132797559.db2.gz ZTUYLDNBCNVCGK-MRXNPFEDSA-N 1 2 296.418 3.729 20 0 CHADLO c1[nH]nc2cccc(Nc3cccc4cc[nH+]cc43)c12 ZINC001174344422 1132801420 /nfs/dbraw/zinc/80/14/20/1132801420.db2.gz ZPEHSWPMFZTPMK-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO c1n[nH]c2cccc(Nc3cccc4cc[nH+]cc43)c12 ZINC001174344422 1132801429 /nfs/dbraw/zinc/80/14/29/1132801429.db2.gz ZPEHSWPMFZTPMK-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO Nc1ccc(Nc2c(F)cccc2C(F)(F)F)c[nH+]1 ZINC001159281855 1132822734 /nfs/dbraw/zinc/82/27/34/1132822734.db2.gz FDIFYOBBOQMLJF-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO CC(=O)NCc1cccc(Nc2[nH+]cccc2C(C)C)c1 ZINC001174368366 1132823913 /nfs/dbraw/zinc/82/39/13/1132823913.db2.gz QQBIXLFKOAGWOV-UHFFFAOYSA-N 1 2 283.375 3.585 20 0 CHADLO Nc1ccc(Nc2cc(F)cc(N3CCCCC3)c2)c[nH+]1 ZINC001159282387 1132825218 /nfs/dbraw/zinc/82/52/18/1132825218.db2.gz VZIUEMYFKKWRHO-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccc(F)nc3)ccc21 ZINC001174380388 1132828192 /nfs/dbraw/zinc/82/81/92/1132828192.db2.gz DQFARWPIRDFGDL-UHFFFAOYSA-N 1 2 270.311 3.895 20 0 CHADLO Cc1nsc(Nc2ccc3c(c2)[nH+]cn3C(C)C)n1 ZINC001174380846 1132828233 /nfs/dbraw/zinc/82/82/33/1132828233.db2.gz MUVVEBRASLVCMD-UHFFFAOYSA-N 1 2 273.365 3.521 20 0 CHADLO COc1cccnc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174381908 1132828842 /nfs/dbraw/zinc/82/88/42/1132828842.db2.gz SKIYLBSWWRAEJM-UHFFFAOYSA-N 1 2 282.347 3.764 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccc(N(C)C)nc3)ccc21 ZINC001174380377 1132828941 /nfs/dbraw/zinc/82/89/41/1132828941.db2.gz DAGIQKRJZDUBDZ-UHFFFAOYSA-N 1 2 295.390 3.822 20 0 CHADLO Cc1cc(Oc2ccc(Nc3cncnc3)cc2)cc[nH+]1 ZINC001174387175 1132830329 /nfs/dbraw/zinc/83/03/29/1132830329.db2.gz MFEKQYOTAWRTMG-UHFFFAOYSA-N 1 2 278.315 3.716 20 0 CHADLO Cc1cc(Nc2ccc3n[nH]cc3c2)ccc1[NH+](C)C ZINC001159451125 1132846640 /nfs/dbraw/zinc/84/66/40/1132846640.db2.gz VDYHNTFXANVHSS-UHFFFAOYSA-N 1 2 266.348 3.681 20 0 CHADLO Cc1cc(F)c(F)cc1Nc1cc[nH+]c2[nH]ccc21 ZINC001174411557 1132848886 /nfs/dbraw/zinc/84/88/86/1132848886.db2.gz BXAYWEUVYIRHSL-UHFFFAOYSA-N 1 2 259.259 3.845 20 0 CHADLO Cc1cc(Nc2cc[nH+]c3[nH]ccc32)c(C)cc1O ZINC001174411163 1132849624 /nfs/dbraw/zinc/84/96/24/1132849624.db2.gz MTRAQSNTSLEQFZ-UHFFFAOYSA-N 1 2 253.305 3.581 20 0 CHADLO CC(C)([NH3+])c1ccc(Nc2ccccc2S)cc1 ZINC001159476960 1132851330 /nfs/dbraw/zinc/85/13/30/1132851330.db2.gz AULOTYFRYMFGHI-UHFFFAOYSA-N 1 2 258.390 3.913 20 0 CHADLO Cc1cc(O)cc(C)c1Nc1cc[nH+]c2[nH]ccc21 ZINC001174413955 1132852923 /nfs/dbraw/zinc/85/29/23/1132852923.db2.gz RFKBQNHWLNSACR-UHFFFAOYSA-N 1 2 253.305 3.581 20 0 CHADLO COC(=O)c1cc(Nc2cc[nH+]c3[nH]ccc32)c(C)cc1C ZINC001174414378 1132854433 /nfs/dbraw/zinc/85/44/33/1132854433.db2.gz BIRASILBJKVQAP-UHFFFAOYSA-N 1 2 295.342 3.662 20 0 CHADLO Cc1cn2cccc(Nc3cccc(N4CCCC4)c3)c2[nH+]1 ZINC001174486753 1132858145 /nfs/dbraw/zinc/85/81/45/1132858145.db2.gz PRXXORUAGKFMNY-UHFFFAOYSA-N 1 2 292.386 3.987 20 0 CHADLO CCN(C)c1ccc(Nc2csc(C(C)=O)c2)c[nH+]1 ZINC001214778326 1132900117 /nfs/dbraw/zinc/90/01/17/1132900117.db2.gz NEBLUYXOEFRFRP-UHFFFAOYSA-N 1 2 275.377 3.545 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2nc(NC)ncc2c1 ZINC001174531784 1132895906 /nfs/dbraw/zinc/89/59/06/1132895906.db2.gz FUNADNZLODXNNF-UHFFFAOYSA-N 1 2 293.374 3.681 20 0 CHADLO Cc1cn2cccc(Nc3ccc4ccn(C)c4c3)c2[nH+]1 ZINC001174515443 1132908923 /nfs/dbraw/zinc/90/89/23/1132908923.db2.gz ZTMOZVDCRCKNJC-UHFFFAOYSA-N 1 2 276.343 3.878 20 0 CHADLO Cc1cc(F)nc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174521712 1132911507 /nfs/dbraw/zinc/91/15/07/1132911507.db2.gz CPFXCEOPPPQBPA-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO Fc1cc(F)cc([C@H]2COCC[N@@H+]2CC2CCCCC2)c1 ZINC001174582515 1132916479 /nfs/dbraw/zinc/91/64/79/1132916479.db2.gz JITGZONLLGKCIM-QGZVFWFLSA-N 1 2 295.373 3.918 20 0 CHADLO Fc1cc(F)cc([C@H]2COCC[N@H+]2CC2CCCCC2)c1 ZINC001174582515 1132916484 /nfs/dbraw/zinc/91/64/84/1132916484.db2.gz JITGZONLLGKCIM-QGZVFWFLSA-N 1 2 295.373 3.918 20 0 CHADLO COc1cc(Nc2ccc3c(c2)[nH+]cn3C)cc(F)c1F ZINC001174584398 1132916873 /nfs/dbraw/zinc/91/68/73/1132916873.db2.gz JWZLWRQZJPYYCA-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO Oc1ccc2c(c1)C[C@@H](Nc1cc3ccccc3c[nH+]1)CC2 ZINC001159891456 1132919951 /nfs/dbraw/zinc/91/99/51/1132919951.db2.gz KRYZNKIWWKXTML-KRWDZBQOSA-N 1 2 290.366 3.910 20 0 CHADLO COc1cccc(Nc2ccc3c(c2)[nH+]cn3C(C)C)c1N ZINC001159910034 1132922710 /nfs/dbraw/zinc/92/27/10/1132922710.db2.gz NCEAQOFEUPLGFT-UHFFFAOYSA-N 1 2 296.374 3.952 20 0 CHADLO Cc1cc(O)ccc1Nc1[nH+]cccc1N1CCCCC1 ZINC001174564155 1132925487 /nfs/dbraw/zinc/92/54/87/1132925487.db2.gz HCEDRTTVAQVROV-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Oc1ccc(F)cc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001174627371 1132932484 /nfs/dbraw/zinc/93/24/84/1132932484.db2.gz UHFXCPGVIPEKFP-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(N3CCOCC3)cc2)c1 ZINC001174707669 1132960757 /nfs/dbraw/zinc/96/07/57/1132960757.db2.gz MOHVKTNATRPKLN-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc3c2CCN3)cc1 ZINC001160372657 1132967624 /nfs/dbraw/zinc/96/76/24/1132967624.db2.gz SRKATJCHKASRBM-UHFFFAOYSA-N 1 2 267.376 3.854 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc3c2CCN3)cc1 ZINC001160372657 1132967627 /nfs/dbraw/zinc/96/76/27/1132967627.db2.gz SRKATJCHKASRBM-UHFFFAOYSA-N 1 2 267.376 3.854 20 0 CHADLO CC(C)C[C@H](Nc1cc(N)cc(Cl)[nH+]1)c1ccccn1 ZINC001160482928 1132971698 /nfs/dbraw/zinc/97/16/98/1132971698.db2.gz QMFAHCXDRSAZFT-ZDUSSCGKSA-N 1 2 290.798 3.912 20 0 CHADLO COc1cnc(NC(=[NH2+])c2cccc3ccccc32)c(C)c1 ZINC001160501882 1132973319 /nfs/dbraw/zinc/97/33/19/1132973319.db2.gz KUCDEAKYBJXUON-UHFFFAOYSA-N 1 2 291.354 3.989 20 0 CHADLO Cc1nc(NC2CC3(C2)CC(F)(F)C3)cc(C(C)C)[nH+]1 ZINC001160585966 1132975797 /nfs/dbraw/zinc/97/57/97/1132975797.db2.gz CEXREAUIKXBQFQ-UHFFFAOYSA-N 1 2 281.350 3.898 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3[nH+]ccc(F)c3C)cnc12 ZINC001160686498 1132978857 /nfs/dbraw/zinc/97/88/57/1132978857.db2.gz JEBKGXOFQSBYHI-LBPRGKRZSA-N 1 2 284.338 3.658 20 0 CHADLO c1cc(Nc2cccc3[nH+]c[nH]c32)n(Cc2ccccc2)n1 ZINC001213027238 1132985999 /nfs/dbraw/zinc/98/59/99/1132985999.db2.gz PVJBCWOGYFKIDE-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO Cc1ccnc(Cl)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213027051 1132986728 /nfs/dbraw/zinc/98/67/28/1132986728.db2.gz GMWSEUTVZAUFOJ-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO COc1ccc(C)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213030646 1132994428 /nfs/dbraw/zinc/99/44/28/1132994428.db2.gz KTVCOJGNQPDMAP-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO COc1ccc(C2(Nc3cc(SC)cc[nH+]3)CC2)cc1 ZINC001161262320 1132996558 /nfs/dbraw/zinc/99/65/58/1132996558.db2.gz NIRRRHBCRYEIIF-UHFFFAOYSA-N 1 2 286.400 3.913 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213033596 1133007442 /nfs/dbraw/zinc/00/74/42/1133007442.db2.gz ODULRNMPFOYPBF-UHFFFAOYSA-N 1 2 281.315 3.518 20 0 CHADLO Cc1nc2cc(Nc3cccc4[nH+]ccn43)ccc2s1 ZINC001213034600 1133016436 /nfs/dbraw/zinc/01/64/36/1133016436.db2.gz SSTBMDMADFUYNA-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO c1cn(CCCNc2ccccc2OC2CCCC2)c[nH+]1 ZINC001161688098 1133016647 /nfs/dbraw/zinc/01/66/47/1133016647.db2.gz JGZAXJLLKIRKSG-UHFFFAOYSA-N 1 2 285.391 3.707 20 0 CHADLO Cc1ccc(CNc2cc3c4c(c2)CCC[NH+]4CCC3)o1 ZINC001161768085 1133026954 /nfs/dbraw/zinc/02/69/54/1133026954.db2.gz FPHFSQLATXZQJR-UHFFFAOYSA-N 1 2 282.387 3.899 20 0 CHADLO CCOC(=O)Cc1cc[nH+]c(NC(C)(C)CC2CCC2)c1 ZINC001161851792 1133036145 /nfs/dbraw/zinc/03/61/45/1133036145.db2.gz JVMYAAHKAMVPKS-UHFFFAOYSA-N 1 2 290.407 3.568 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NC3CCOCC3)c2)cc1 ZINC001161858999 1133036534 /nfs/dbraw/zinc/03/65/34/1133036534.db2.gz IWYBFKSHPNQYAH-UHFFFAOYSA-N 1 2 268.360 3.648 20 0 CHADLO Cc1cccc2c(C)cc(NC3(c4cnccn4)CC3)[nH+]c12 ZINC001161940665 1133040838 /nfs/dbraw/zinc/04/08/38/1133040838.db2.gz ZLUZIQXUWLAKKR-UHFFFAOYSA-N 1 2 290.370 3.743 20 0 CHADLO Cc1cc(NCc2nc3ccccc3o2)ccc1[NH+](C)C ZINC001161972126 1133044368 /nfs/dbraw/zinc/04/43/68/1133044368.db2.gz MJIDBVDJPAFYMG-UHFFFAOYSA-N 1 2 281.359 3.814 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1NC[C@@H]1CCC(C)(C)C1 ZINC001162123217 1133052280 /nfs/dbraw/zinc/05/22/80/1133052280.db2.gz PBUBXGLVFCZZAN-CYBMUJFWSA-N 1 2 292.423 3.920 20 0 CHADLO Cc1cc(NCCC2CCOCC2)nc(-c2ccccc2)[nH+]1 ZINC001162217499 1133060668 /nfs/dbraw/zinc/06/06/68/1133060668.db2.gz WCNMGVMDXJULGO-UHFFFAOYSA-N 1 2 297.402 3.681 20 0 CHADLO CCc1cc(N[C@H](C)Cc2ccsc2)nc(CC)[nH+]1 ZINC001162232391 1133062353 /nfs/dbraw/zinc/06/23/53/1133062353.db2.gz USHISAAUNKAFCZ-LLVKDONJSA-N 1 2 275.421 3.706 20 0 CHADLO Cc1ncnc(Nc2ccc(NC3CCCC3)[nH+]c2)c1C ZINC001162361456 1133072544 /nfs/dbraw/zinc/07/25/44/1133072544.db2.gz PHBPOKOYPJKKNJ-UHFFFAOYSA-N 1 2 283.379 3.587 20 0 CHADLO CC(C)c1cccc(Nc2ccc3c(c2)OCCN3C)[nH+]1 ZINC001213041057 1133081493 /nfs/dbraw/zinc/08/14/93/1133081493.db2.gz IAPAESBGJHKZBA-UHFFFAOYSA-N 1 2 283.375 3.777 20 0 CHADLO COC[C@H](Nc1cc(C(C)C)[nH+]c(C(C)C)n1)C(C)C ZINC001162549796 1133090573 /nfs/dbraw/zinc/09/05/73/1133090573.db2.gz CQQMIYDBIFRZQM-AWEZNQCLSA-N 1 2 279.428 3.806 20 0 CHADLO Nc1cc(Cl)[nH+]c(NC[C@@H]2CCCc3ccccc32)c1 ZINC001162552245 1133091847 /nfs/dbraw/zinc/09/18/47/1133091847.db2.gz XPJIZWMHPXOKPH-LBPRGKRZSA-N 1 2 287.794 3.849 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1COc2cnccc21 ZINC001162571903 1133092678 /nfs/dbraw/zinc/09/26/78/1133092678.db2.gz LRFIWSFYPXVZPV-CQSZACIVSA-N 1 2 291.354 3.526 20 0 CHADLO CN(C)c1cc(Cl)[nH+]c(NC[C@@H]2CCC(F)(F)C2)c1 ZINC001162642277 1133098356 /nfs/dbraw/zinc/09/83/56/1133098356.db2.gz MKKRZKIEDVFLJZ-SECBINFHSA-N 1 2 289.757 3.648 20 0 CHADLO Fc1ccc(NC[C@@H]2CCC(F)(F)C2)cc1-n1cc[nH+]c1 ZINC001162655384 1133100564 /nfs/dbraw/zinc/10/05/64/1133100564.db2.gz KVBNMBMVGZFFEM-LLVKDONJSA-N 1 2 295.308 3.859 20 0 CHADLO Cc1cc(N(C)[C@@H](C)C2(C)CC2)nc(C2CCC2)[nH+]1 ZINC001162705746 1133105129 /nfs/dbraw/zinc/10/51/29/1133105129.db2.gz FZJBCPAVIDWWQY-LBPRGKRZSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc[nH+]c(N[C@H]2CC[C@@H](C(C)(C)C)CC2)c1C(N)=O ZINC001162869548 1133118542 /nfs/dbraw/zinc/11/85/42/1133118542.db2.gz MXBDVYCYPJTHAP-BETUJISGSA-N 1 2 289.423 3.506 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H]1CCc2c1c(F)ccc2F ZINC001162932813 1133122589 /nfs/dbraw/zinc/12/25/89/1133122589.db2.gz UEXLHVALGCLAHL-CYBMUJFWSA-N 1 2 278.277 3.907 20 0 CHADLO COC(=O)C12CCC(Nc3cc4ccccc4c[nH+]3)(CC1)C2 ZINC001162976121 1133124581 /nfs/dbraw/zinc/12/45/81/1133124581.db2.gz YHXFUJFAUHYCTC-UHFFFAOYSA-N 1 2 296.370 3.523 20 0 CHADLO Cc1c(=O)[nH]n(-c2ccccc2)c1Nc1cc(C)cc(C)[nH+]1 ZINC001163109560 1133130778 /nfs/dbraw/zinc/13/07/78/1133130778.db2.gz FPQIKZNOJGUAMF-UHFFFAOYSA-N 1 2 294.358 3.642 20 0 CHADLO Cc1cccc2ccc(NCc3ccc4[nH+]ccn4c3)nc12 ZINC001163135781 1133130916 /nfs/dbraw/zinc/13/09/16/1133130916.db2.gz KVHNVXNKKCMTIM-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO C[C@@H](Nc1cc(-c2ccccc2)cc[nH+]1)c1ccno1 ZINC001163207664 1133136998 /nfs/dbraw/zinc/13/69/98/1133136998.db2.gz DOAOESOXJDROKM-GFCCVEGCSA-N 1 2 265.316 3.910 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](c2cccc(N)n2)C(C)C)c1 ZINC001163346162 1133143869 /nfs/dbraw/zinc/14/38/69/1133143869.db2.gz DAPSQJVIIQAIGG-OAHLLOKOSA-N 1 2 288.420 3.590 20 0 CHADLO CCN(Cc1ccncc1)c1ccc(C(C)C)c[nH+]1 ZINC001163609915 1133162358 /nfs/dbraw/zinc/16/23/58/1133162358.db2.gz USHCDGLVRDBMSF-UHFFFAOYSA-N 1 2 255.365 3.627 20 0 CHADLO Cc1cc(N2CCC(=O)[C@@H]3CCCC[C@H]32)[nH+]c2ccccc12 ZINC001163790426 1133175673 /nfs/dbraw/zinc/17/56/73/1133175673.db2.gz KZPIZUPJEYEWSV-NVXWUHKLSA-N 1 2 294.398 3.881 20 0 CHADLO CC(C)(C)c1ccc(N2CC[C@H](F)C(F)(F)CC2)[nH+]c1 ZINC001163785013 1133175803 /nfs/dbraw/zinc/17/58/03/1133175803.db2.gz MAFQQSYHKWISAB-LBPRGKRZSA-N 1 2 286.341 3.953 20 0 CHADLO [NH2+]=C(Nc1cccc2nn[nH]c21)C12CC3CC(CC(C3)C1)C2 ZINC001163848135 1133179206 /nfs/dbraw/zinc/17/92/06/1133179206.db2.gz MYMJPFDNOCNORO-UHFFFAOYSA-N 1 2 295.390 3.563 20 0 CHADLO Cc1ccccc1OCCCNc1ccc2[nH+]cn(C)c2c1 ZINC001163976068 1133190159 /nfs/dbraw/zinc/19/01/59/1133190159.db2.gz OTNSJWQEXALOAZ-UHFFFAOYSA-N 1 2 295.386 3.763 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CC[C@H](CF)C(F)(F)C1 ZINC001164093856 1133196544 /nfs/dbraw/zinc/19/65/44/1133196544.db2.gz GSDLOYBZEIQVFA-GFCCVEGCSA-N 1 2 294.320 3.974 20 0 CHADLO Cc1cc(N2CC[C@H](C)C(F)(F)CC2)nc(C(C)C)[nH+]1 ZINC001164117634 1133198398 /nfs/dbraw/zinc/19/83/98/1133198398.db2.gz HDRWQPATYIJESJ-NSHDSACASA-N 1 2 283.366 3.780 20 0 CHADLO CNc1ccc(CNc2cc(-c3ccncc3)cc[nH+]2)cc1 ZINC001164149265 1133201294 /nfs/dbraw/zinc/20/12/94/1133201294.db2.gz FYUIWMCLHXKTTI-UHFFFAOYSA-N 1 2 290.370 3.797 20 0 CHADLO C[C@@H]1C[C@H](C)CCN(c2nc3ccccc3n3c[nH+]cc23)C1 ZINC001164170403 1133202264 /nfs/dbraw/zinc/20/22/64/1133202264.db2.gz PCLUYGADDOMMNG-ZIAGYGMSSA-N 1 2 294.402 3.755 20 0 CHADLO COc1ccc(CCC[NH2+]c2ccc3c(c2)NCCO3)cc1 ZINC001164192062 1133204157 /nfs/dbraw/zinc/20/41/57/1133204157.db2.gz GEAOMKOQJZKVLS-UHFFFAOYSA-N 1 2 298.386 3.544 20 0 CHADLO Cc1cc(NC[C@]23C[C@H]2CCC3)nc(C2CCC2)[nH+]1 ZINC001164195791 1133205548 /nfs/dbraw/zinc/20/55/48/1133205548.db2.gz NXZWWBLSXWUZIF-CJNGLKHVSA-N 1 2 257.381 3.655 20 0 CHADLO CC(=O)c1cc(Nc2ccccc2-n2cc[nH+]c2)cs1 ZINC001214780837 1133219969 /nfs/dbraw/zinc/21/99/69/1133219969.db2.gz VIQPCZHQNCQDAK-UHFFFAOYSA-N 1 2 283.356 3.880 20 0 CHADLO C[C@]1(CF)CC(F)(F)CN1c1cc2ccccc2c[nH+]1 ZINC001164557413 1133222095 /nfs/dbraw/zinc/22/20/95/1133222095.db2.gz FNPFGULUFFTLNZ-CQSZACIVSA-N 1 2 280.293 3.808 20 0 CHADLO COc1ccc(Nc2ccc(C)[nH+]c2C)c(C(C)=O)c1 ZINC001203381380 1133225431 /nfs/dbraw/zinc/22/54/31/1133225431.db2.gz WBOKHQAWHMCGMA-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO Cc1cc(N[C@H](C)CCO)[nH+]c2c1cccc2C(C)C ZINC001165894931 1133255089 /nfs/dbraw/zinc/25/50/89/1133255089.db2.gz XDNQMIACTVXSSM-CYBMUJFWSA-N 1 2 272.392 3.849 20 0 CHADLO COCC(C)(C)Nc1cc(-c2ccc(C)cc2)cc[nH+]1 ZINC001165976913 1133255512 /nfs/dbraw/zinc/25/55/12/1133255512.db2.gz PTQGOVIXCVBGSK-UHFFFAOYSA-N 1 2 270.376 3.894 20 0 CHADLO COC[C@@]12CCC[C@@H]1CN(c1ccc(C(C)(C)C)c[nH+]1)C2 ZINC001165929403 1133255931 /nfs/dbraw/zinc/25/59/31/1133255931.db2.gz PYNJCNFYTYMLGM-QAPCUYQASA-N 1 2 288.435 3.632 20 0 CHADLO C(=C/c1ccccc1)\CNc1ccc(N2CCCC2)[nH+]c1 ZINC001166096952 1133259488 /nfs/dbraw/zinc/25/94/88/1133259488.db2.gz IJSHTGIAYJLBRL-RMKNXTFCSA-N 1 2 279.387 3.807 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC[C@@H](c3ccncc3)C2)[nH+]1 ZINC001166569197 1133271922 /nfs/dbraw/zinc/27/19/22/1133271922.db2.gz QSYMGFFHCAEFSD-CQSZACIVSA-N 1 2 287.794 3.741 20 0 CHADLO CSCc1cc[nH+]c(N2CCC[C@@H](C)[C@@H]2C)c1 ZINC001166613647 1133276359 /nfs/dbraw/zinc/27/63/59/1133276359.db2.gz GUSDVQHGJWKJCE-NEPJUHHUSA-N 1 2 250.411 3.569 20 0 CHADLO Cc1cc(N2CCC(c3ccccn3)CC2)nc(C(C)C)[nH+]1 ZINC001166673385 1133280635 /nfs/dbraw/zinc/28/06/35/1133280635.db2.gz QSNJGNRJLDECDI-UHFFFAOYSA-N 1 2 296.418 3.687 20 0 CHADLO c1ccc([C@H]2CN(c3cc4ccccc4c[nH+]3)CCO2)cc1 ZINC001166680155 1133281802 /nfs/dbraw/zinc/28/18/02/1133281802.db2.gz CLPBCQOUKXOORZ-GOSISDBHSA-N 1 2 290.366 3.813 20 0 CHADLO COC(C)(C)[C@H]1CCCN1c1cc(C)c2ccccc2[nH+]1 ZINC001166792314 1133288319 /nfs/dbraw/zinc/28/83/19/1133288319.db2.gz PDOJOAWOONVXKK-MRXNPFEDSA-N 1 2 284.403 3.937 20 0 CHADLO Cc1nc(N(C)Cc2csc(C(C)C)n2)c(C)c(C)[nH+]1 ZINC001167009507 1133299034 /nfs/dbraw/zinc/29/90/34/1133299034.db2.gz SLFZWBYPWWEHCX-UHFFFAOYSA-N 1 2 290.436 3.618 20 0 CHADLO COc1ccc(CN(C)c2cccc(C3CC3)[nH+]2)c(OC)c1 ZINC001167023323 1133300248 /nfs/dbraw/zinc/30/02/48/1133300248.db2.gz YCZBWTKXWIMSCB-UHFFFAOYSA-N 1 2 298.386 3.613 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cccc(Br)c1F ZINC001203285998 1133310106 /nfs/dbraw/zinc/31/01/06/1133310106.db2.gz YNOTZZXJTBUWQG-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cccc(Br)c1F ZINC001203285998 1133310111 /nfs/dbraw/zinc/31/01/11/1133310111.db2.gz YNOTZZXJTBUWQG-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cccc(Cl)c3C2)o1 ZINC001203301119 1133312708 /nfs/dbraw/zinc/31/27/08/1133312708.db2.gz CRGGZUNNEZLEAI-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cccc(Cl)c3C2)o1 ZINC001203301119 1133312710 /nfs/dbraw/zinc/31/27/10/1133312710.db2.gz CRGGZUNNEZLEAI-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1ccc(Nc2ccnc(OC(F)(F)F)c2)c(C)[nH+]1 ZINC001203369819 1133319640 /nfs/dbraw/zinc/31/96/40/1133319640.db2.gz SROQZCPOBLMAMV-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1ccc(Nc2ccc3[nH]c(=O)sc3c2)c(C)[nH+]1 ZINC001203373347 1133319749 /nfs/dbraw/zinc/31/97/49/1133319749.db2.gz LEHRNORFZRKZOH-UHFFFAOYSA-N 1 2 271.345 3.757 20 0 CHADLO Cc1ccc(Nc2cc(F)cc(F)c2F)c(C)[nH+]1 ZINC001203374928 1133319945 /nfs/dbraw/zinc/31/99/45/1133319945.db2.gz OUKKJAHGNRFVEI-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Cc1ccc(Nc2ccc(F)nc2Br)c(C)[nH+]1 ZINC001203370524 1133320089 /nfs/dbraw/zinc/32/00/89/1133320089.db2.gz NFDMLXAMSUMABL-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO CNc1ccc(Nc2ccc(-c3cnco3)c(OC)c2)c[nH+]1 ZINC001203457809 1133328895 /nfs/dbraw/zinc/32/88/95/1133328895.db2.gz AXZPWXJTHMAGSJ-UHFFFAOYSA-N 1 2 296.330 3.531 20 0 CHADLO CCC[C@H](C)c1cc(Nc2ccc(NC)[nH+]c2)on1 ZINC001203448101 1133329646 /nfs/dbraw/zinc/32/96/46/1133329646.db2.gz VADVZKSAIKIMDH-JTQLQIEISA-N 1 2 260.341 3.759 20 0 CHADLO CNc1ccc(Nc2ccc(C(F)(F)F)cc2O)c[nH+]1 ZINC001203459049 1133330399 /nfs/dbraw/zinc/33/03/99/1133330399.db2.gz WPMVVOHUNHBHEB-UHFFFAOYSA-N 1 2 283.253 3.591 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NC[C@H]1CCCOC1 ZINC001203529537 1133337753 /nfs/dbraw/zinc/33/77/53/1133337753.db2.gz JHRIPGYXNFAMPJ-LLVKDONJSA-N 1 2 293.798 3.548 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc3nnc(C)cc32)c1 ZINC001203662981 1133348746 /nfs/dbraw/zinc/34/87/46/1133348746.db2.gz BLZUOXOXSKQWET-UHFFFAOYSA-N 1 2 264.332 3.694 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(-n3ccnc3)cc2)c1 ZINC001203660388 1133349155 /nfs/dbraw/zinc/34/91/55/1133349155.db2.gz HSYYSWVTYAFSFJ-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3nc(C4CCC4)nn3c2)c1 ZINC001203661117 1133349488 /nfs/dbraw/zinc/34/94/88/1133349488.db2.gz QWQFIYWHGLKGEW-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(-n3cccn3)cc2)c1 ZINC001203660077 1133349770 /nfs/dbraw/zinc/34/97/70/1133349770.db2.gz AVWYIFSKQUQIPG-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1cc(C)c[nH+]c1C ZINC001203660781 1133350186 /nfs/dbraw/zinc/35/01/86/1133350186.db2.gz LXHOVKLDLAGHJU-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(OC(F)(F)F)ccc2N)c1 ZINC001203666722 1133351030 /nfs/dbraw/zinc/35/10/30/1133351030.db2.gz DAHLBAXSMDYUAZ-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO COc1cc(F)c(Nc2cc(C)c[nH+]c2C)c(F)c1 ZINC001203663272 1133351603 /nfs/dbraw/zinc/35/16/03/1133351603.db2.gz MBPNUNFWDXUYJA-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1cncc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC001203712090 1133357613 /nfs/dbraw/zinc/35/76/13/1133357613.db2.gz CDMMZZJMLOTKMJ-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Cc1cc(Nc2ccc([NH+]3CCCCC3)cc2)cc(=O)o1 ZINC001203717676 1133358512 /nfs/dbraw/zinc/35/85/12/1133358512.db2.gz KWGYQLMTYRUIHA-UHFFFAOYSA-N 1 2 284.359 3.682 20 0 CHADLO Clc1cnncc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203717446 1133359258 /nfs/dbraw/zinc/35/92/58/1133359258.db2.gz CHZXGUAAUZZSAS-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO COc1cc(Nc2cc(F)cc3[nH]ccc32)cc(C)[nH+]1 ZINC001203734654 1133361497 /nfs/dbraw/zinc/36/14/97/1133361497.db2.gz USDIQNXQFOTBQP-UHFFFAOYSA-N 1 2 271.295 3.763 20 0 CHADLO COc1ccc2ccccc2c1C[N@@H+]1CCn2cccc2C1 ZINC001203990259 1133394566 /nfs/dbraw/zinc/39/45/66/1133394566.db2.gz WIYRMEAILKWISI-UHFFFAOYSA-N 1 2 292.382 3.666 20 0 CHADLO COc1ccc2ccccc2c1C[N@H+]1CCn2cccc2C1 ZINC001203990259 1133394570 /nfs/dbraw/zinc/39/45/70/1133394570.db2.gz WIYRMEAILKWISI-UHFFFAOYSA-N 1 2 292.382 3.666 20 0 CHADLO Fc1cccc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)c1F ZINC001204201296 1133418209 /nfs/dbraw/zinc/41/82/09/1133418209.db2.gz VFMXACKJWAXOJD-MRXNPFEDSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1cccc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)c1F ZINC001204201296 1133418210 /nfs/dbraw/zinc/41/82/10/1133418210.db2.gz VFMXACKJWAXOJD-MRXNPFEDSA-N 1 2 295.373 3.746 20 0 CHADLO COc1cccc(OC)c1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001204250784 1133424557 /nfs/dbraw/zinc/42/45/57/1133424557.db2.gz UBOXMBHUJCIGBX-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cccc(OC)c1C[N@H+]1Cc2cccc(C)c2C1 ZINC001204250784 1133424560 /nfs/dbraw/zinc/42/45/60/1133424560.db2.gz UBOXMBHUJCIGBX-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2ccc(F)c(Cl)c2)C1 ZINC001204280903 1133426887 /nfs/dbraw/zinc/42/68/87/1133426887.db2.gz RUFWYQIZTVAZOG-ONGXEEELSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2ccc(F)c(Cl)c2)C1 ZINC001204280903 1133426889 /nfs/dbraw/zinc/42/68/89/1133426889.db2.gz RUFWYQIZTVAZOG-ONGXEEELSA-N 1 2 259.727 3.659 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@H+](C)Cc1cscn1 ZINC001204295050 1133430612 /nfs/dbraw/zinc/43/06/12/1133430612.db2.gz PLZQXCLZUDTQOX-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@@H+](C)Cc1cscn1 ZINC001204295050 1133430614 /nfs/dbraw/zinc/43/06/14/1133430614.db2.gz PLZQXCLZUDTQOX-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO CCCCCOc1ccc(C[N@@H+]2CCC(=O)[C@H](C)C2)cc1 ZINC001204311051 1133435113 /nfs/dbraw/zinc/43/51/13/1133435113.db2.gz RUYOZVYIPQKJHX-OAHLLOKOSA-N 1 2 289.419 3.667 20 0 CHADLO CCCCCOc1ccc(C[N@H+]2CCC(=O)[C@H](C)C2)cc1 ZINC001204311051 1133435117 /nfs/dbraw/zinc/43/51/17/1133435117.db2.gz RUYOZVYIPQKJHX-OAHLLOKOSA-N 1 2 289.419 3.667 20 0 CHADLO Fc1ccc(C[NH+]2CC3(CCC3)C2)cc1C(F)(F)F ZINC001204483411 1133452486 /nfs/dbraw/zinc/45/24/86/1133452486.db2.gz ZCQNTOSSFXOQMA-UHFFFAOYSA-N 1 2 273.273 3.830 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(F)cc2F)oc1C ZINC001204540300 1133459955 /nfs/dbraw/zinc/45/99/55/1133459955.db2.gz VMDKMEDMEDPPHZ-UHFFFAOYSA-N 1 2 265.303 3.807 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(F)cc2F)oc1C ZINC001204540300 1133459958 /nfs/dbraw/zinc/45/99/58/1133459958.db2.gz VMDKMEDMEDPPHZ-UHFFFAOYSA-N 1 2 265.303 3.807 20 0 CHADLO CCC[N@H+](CCc1ccc(C)c(C)c1)CC(F)F ZINC001204564723 1133463312 /nfs/dbraw/zinc/46/33/12/1133463312.db2.gz JQFFMOFNAUQTDY-UHFFFAOYSA-N 1 2 255.352 3.823 20 0 CHADLO CCC[N@@H+](CCc1ccc(C)c(C)c1)CC(F)F ZINC001204564723 1133463318 /nfs/dbraw/zinc/46/33/18/1133463318.db2.gz JQFFMOFNAUQTDY-UHFFFAOYSA-N 1 2 255.352 3.823 20 0 CHADLO Cc1cc2cc(Nc3cc(Cl)c4[nH+]ccn4c3)cnc2[nH]1 ZINC001204903515 1133498600 /nfs/dbraw/zinc/49/86/00/1133498600.db2.gz DZWKQRZLEAIENI-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO Fc1ccc(CCNc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC001204937469 1133504062 /nfs/dbraw/zinc/50/40/62/1133504062.db2.gz LKHCTAWAPGPYHJ-UHFFFAOYSA-N 1 2 299.324 3.805 20 0 CHADLO CC(C)(C)Cc1cc(Nc2ccn3cc[nH+]c3c2)on1 ZINC001204950929 1133505688 /nfs/dbraw/zinc/50/56/88/1133505688.db2.gz HZAHPCRXABBUIB-UHFFFAOYSA-N 1 2 270.336 3.655 20 0 CHADLO Clc1cc(Nc2ccn3cc[nH+]c3c2)c(Cl)cn1 ZINC001204959651 1133508170 /nfs/dbraw/zinc/50/81/70/1133508170.db2.gz SBPJYRZGMSZRHI-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Cc1c(C)c(-c2cc[nH+]c(N3CCCCC3)c2)ccc1CO ZINC001204963036 1133508936 /nfs/dbraw/zinc/50/89/36/1133508936.db2.gz RFWJGRONBKDXSK-UHFFFAOYSA-N 1 2 296.414 3.848 20 0 CHADLO Cc1coc(C[N@@H+](C)Cc2cc(F)c(F)c(F)c2)c1 ZINC001204977288 1133511302 /nfs/dbraw/zinc/51/13/02/1133511302.db2.gz VOMMVZKPEJPLRI-UHFFFAOYSA-N 1 2 269.266 3.637 20 0 CHADLO Cc1coc(C[N@H+](C)Cc2cc(F)c(F)c(F)c2)c1 ZINC001204977288 1133511306 /nfs/dbraw/zinc/51/13/06/1133511306.db2.gz VOMMVZKPEJPLRI-UHFFFAOYSA-N 1 2 269.266 3.637 20 0 CHADLO Cc1cc(N)c(Cl)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204990593 1133513583 /nfs/dbraw/zinc/51/35/83/1133513583.db2.gz BFPHAMYXKZYCGC-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO CCOc1ccc(Nc2ccn3cc[nH+]c3c2)cc1OCC ZINC001204991018 1133513902 /nfs/dbraw/zinc/51/39/02/1133513902.db2.gz KFORJIAIRSRSPW-UHFFFAOYSA-N 1 2 297.358 3.875 20 0 CHADLO Cc1ccc(Nc2ccn3cc[nH+]c3c2)c(Cl)c1O ZINC001204992037 1133514000 /nfs/dbraw/zinc/51/40/00/1133514000.db2.gz YBWROOGMDJLVOX-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO COc1ccc(Nc2ccn3cc[nH+]c3c2)c(Cl)c1 ZINC001204990811 1133514382 /nfs/dbraw/zinc/51/43/82/1133514382.db2.gz GPCNNJYNEGXRBO-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO Oc1ccc2c(cccc2Nc2ccn3cc[nH+]c3c2)c1 ZINC001204990751 1133514416 /nfs/dbraw/zinc/51/44/16/1133514416.db2.gz GASWZXNGUYMXST-UHFFFAOYSA-N 1 2 275.311 3.937 20 0 CHADLO c1coc(-c2ccc(Nc3ccn4cc[nH+]c4c3)cc2)n1 ZINC001204997208 1133515475 /nfs/dbraw/zinc/51/54/75/1133515475.db2.gz GUJREOYAWYJVKU-UHFFFAOYSA-N 1 2 276.299 3.733 20 0 CHADLO Cc1cc(-c2nc3[nH]ccc3c[nH+]2)cc(C)c1Cl ZINC001205036746 1133519017 /nfs/dbraw/zinc/51/90/17/1133519017.db2.gz IBUQMHLVPVUFDU-UHFFFAOYSA-N 1 2 257.724 3.847 20 0 CHADLO Cc1cc(-c2nc3c(ccn3C)c[nH+]2)cc(C)c1Cl ZINC001205037934 1133519197 /nfs/dbraw/zinc/51/91/97/1133519197.db2.gz ZXARFJHEOQLVKD-UHFFFAOYSA-N 1 2 271.751 3.906 20 0 CHADLO Cc1cccc(C[N@H+]2CC[C@@H](C)C(F)(F)C2)c1C ZINC001205066622 1133522233 /nfs/dbraw/zinc/52/22/33/1133522233.db2.gz GCBPOGXWARFHDX-GFCCVEGCSA-N 1 2 253.336 3.781 20 0 CHADLO Cc1cccc(C[N@@H+]2CC[C@@H](C)C(F)(F)C2)c1C ZINC001205066622 1133522239 /nfs/dbraw/zinc/52/22/39/1133522239.db2.gz GCBPOGXWARFHDX-GFCCVEGCSA-N 1 2 253.336 3.781 20 0 CHADLO CN(c1ccc(-c2ccc(O)cc2)cc1)c1[nH+]cccc1N ZINC001205128489 1133533395 /nfs/dbraw/zinc/53/33/95/1133533395.db2.gz ABPYTPGRZCCNEF-UHFFFAOYSA-N 1 2 291.354 3.804 20 0 CHADLO Cc1cc(-c2c(F)cc(F)c(F)c2F)cn2cc[nH+]c12 ZINC001205180062 1133541765 /nfs/dbraw/zinc/54/17/65/1133541765.db2.gz MRIVOYOQODIZGJ-UHFFFAOYSA-N 1 2 280.224 3.866 20 0 CHADLO CCCC[C@@H]([NH2+]C[C@@H](C)c1cccc(Cl)c1)C(=O)OC ZINC001205291965 1133556194 /nfs/dbraw/zinc/55/61/94/1133556194.db2.gz SYODMTBMRFTIDX-IUODEOHRSA-N 1 2 297.826 3.765 20 0 CHADLO COc1cc2ccccc2cc1C[N@@H+]1CCC=C(F)C1 ZINC001205340601 1133564457 /nfs/dbraw/zinc/56/44/57/1133564457.db2.gz GSJQXLLSOWOHAK-UHFFFAOYSA-N 1 2 271.335 3.908 20 0 CHADLO COc1cc2ccccc2cc1C[N@H+]1CCC=C(F)C1 ZINC001205340601 1133564462 /nfs/dbraw/zinc/56/44/62/1133564462.db2.gz GSJQXLLSOWOHAK-UHFFFAOYSA-N 1 2 271.335 3.908 20 0 CHADLO Nc1[nH+]cccc1CNc1ccc(-c2ccco2)cc1F ZINC001205516894 1133583843 /nfs/dbraw/zinc/58/38/43/1133583843.db2.gz ACZZWKHWQHAQFH-UHFFFAOYSA-N 1 2 283.306 3.675 20 0 CHADLO Sc1ccc(-c2ccc(CC[NH+]3CCOCC3)cc2)cc1 ZINC001205655224 1133599795 /nfs/dbraw/zinc/59/97/95/1133599795.db2.gz SJVVLGQBWZYYJE-UHFFFAOYSA-N 1 2 299.439 3.517 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnc3c(ccn3C)c2)cc1 ZINC001205781280 1133613074 /nfs/dbraw/zinc/61/30/74/1133613074.db2.gz TZZBIQODEOOZQU-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnc3c(ccn3C)c2)cc1 ZINC001205781280 1133613078 /nfs/dbraw/zinc/61/30/78/1133613078.db2.gz TZZBIQODEOOZQU-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CCC[C@H](CNc1ccc(-n2c[nH+]c(C)c2C)cc1)OC ZINC001206047817 1133648194 /nfs/dbraw/zinc/64/81/94/1133648194.db2.gz MGXVEZOBEZEHBA-QGZVFWFLSA-N 1 2 287.407 3.716 20 0 CHADLO CCCCOc1ccc(-c2c[nH+]c(N)c(C)c2)c(F)c1 ZINC001206118446 1133660234 /nfs/dbraw/zinc/66/02/34/1133660234.db2.gz GONLJNQOHCKORW-UHFFFAOYSA-N 1 2 274.339 3.957 20 0 CHADLO CCCn1cncc1C[N@@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC001206135488 1133662211 /nfs/dbraw/zinc/66/22/11/1133662211.db2.gz JJWJHQLGLOGRMI-HNNXBMFYSA-N 1 2 289.448 3.938 20 0 CHADLO CCCn1cncc1C[N@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC001206135488 1133662214 /nfs/dbraw/zinc/66/22/14/1133662214.db2.gz JJWJHQLGLOGRMI-HNNXBMFYSA-N 1 2 289.448 3.938 20 0 CHADLO COCc1cc(C)c(-c2c[nH+]c3c(c2)CCCN3)c(C)c1 ZINC001206345488 1133692872 /nfs/dbraw/zinc/69/28/72/1133692872.db2.gz VHSDLYHMUUFLLR-UHFFFAOYSA-N 1 2 282.387 3.513 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccnc1OC(C)(C)C ZINC001206488575 1133708689 /nfs/dbraw/zinc/70/86/89/1133708689.db2.gz XOFUWVHOAJVBBN-UHFFFAOYSA-N 1 2 287.363 3.796 20 0 CHADLO C[C@@H]1CC[C@H](Nc2c[nH+]cc3c2CCCC3)CS1 ZINC001206637012 1133729731 /nfs/dbraw/zinc/72/97/31/1133729731.db2.gz UMTNJIZWAMMSGU-YPMHNXCESA-N 1 2 262.422 3.656 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@@H+]1Cc1ccncc1F ZINC001206785808 1133747969 /nfs/dbraw/zinc/74/79/69/1133747969.db2.gz MOZGEOISLOSXAP-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@H+]1Cc1ccncc1F ZINC001206785808 1133747974 /nfs/dbraw/zinc/74/79/74/1133747974.db2.gz MOZGEOISLOSXAP-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(-c2cc(C[NH+]3CCC(F)(F)CC3)no2)cc1 ZINC001206835725 1133753433 /nfs/dbraw/zinc/75/34/33/1133753433.db2.gz ZSNJYRTYKWRPNY-UHFFFAOYSA-N 1 2 296.292 3.712 20 0 CHADLO C[N@H+](Cc1ncccn1)C(c1ccccc1)c1ccccc1 ZINC001207010966 1133759604 /nfs/dbraw/zinc/75/96/04/1133759604.db2.gz BRGCDJGJEWOKRJ-UHFFFAOYSA-N 1 2 289.382 3.698 20 0 CHADLO C[N@@H+](Cc1ncccn1)C(c1ccccc1)c1ccccc1 ZINC001207010966 1133759609 /nfs/dbraw/zinc/75/96/09/1133759609.db2.gz BRGCDJGJEWOKRJ-UHFFFAOYSA-N 1 2 289.382 3.698 20 0 CHADLO CN(CCc1cccc(Cl)c1)Cc1[nH+]cc2ccccn21 ZINC001207052937 1133762925 /nfs/dbraw/zinc/76/29/25/1133762925.db2.gz HFQPHBCZQBJCNG-UHFFFAOYSA-N 1 2 299.805 3.662 20 0 CHADLO CN(Cc1ccccc1)c1nc2ccccc2n2c[nH+]cc12 ZINC000165442782 1133855309 /nfs/dbraw/zinc/85/53/09/1133855309.db2.gz JYQDELAGVOKTMP-UHFFFAOYSA-N 1 2 288.354 3.519 20 0 CHADLO FC(F)[C@H]([NH2+][C@H]1CC[C@@H]1C1CC1)c1ccccc1 ZINC001207873499 1133857317 /nfs/dbraw/zinc/85/73/17/1133857317.db2.gz WTJFZPXNFXUVEZ-HZSPNIEDSA-N 1 2 251.320 3.771 20 0 CHADLO C[C@@H](C[N@@H+]1CCCC(=O)C1)c1cccc2ccccc21 ZINC001208713893 1133934501 /nfs/dbraw/zinc/93/45/01/1133934501.db2.gz DTYFEGAAVPATNZ-AWEZNQCLSA-N 1 2 267.372 3.608 20 0 CHADLO C[C@@H](C[N@H+]1CCCC(=O)C1)c1cccc2ccccc21 ZINC001208713893 1133934503 /nfs/dbraw/zinc/93/45/03/1133934503.db2.gz DTYFEGAAVPATNZ-AWEZNQCLSA-N 1 2 267.372 3.608 20 0 CHADLO CC(C)(C)c1cccc(Nc2[nH+]cccc2CCO)c1 ZINC001208716429 1133935595 /nfs/dbraw/zinc/93/55/95/1133935595.db2.gz QQNSWIGHZFYKSJ-UHFFFAOYSA-N 1 2 270.376 3.658 20 0 CHADLO COc1cc(NC2=CCN(c3ccccc3)CC2)c(C)c[nH+]1 ZINC001209056379 1133971237 /nfs/dbraw/zinc/97/12/37/1133971237.db2.gz FKNDDNMLLMPYID-UHFFFAOYSA-N 1 2 295.386 3.605 20 0 CHADLO COC(C)(C)CC[N@@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001209409607 1134013261 /nfs/dbraw/zinc/01/32/61/1134013261.db2.gz PQXLTDXEPAEFRB-HNNXBMFYSA-N 1 2 297.389 3.926 20 0 CHADLO COC(C)(C)CC[N@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001209409607 1134013266 /nfs/dbraw/zinc/01/32/66/1134013266.db2.gz PQXLTDXEPAEFRB-HNNXBMFYSA-N 1 2 297.389 3.926 20 0 CHADLO Cc1ccc2[nH]cc(C[N@@H+]3CCC(F)(F)[C@@H](F)C3)c2c1 ZINC001209491943 1134028766 /nfs/dbraw/zinc/02/87/66/1134028766.db2.gz ZENUUHVUABFLHF-AWEZNQCLSA-N 1 2 282.309 3.655 20 0 CHADLO Cc1ccc2[nH]cc(C[N@H+]3CCC(F)(F)[C@@H](F)C3)c2c1 ZINC001209491943 1134028771 /nfs/dbraw/zinc/02/87/71/1134028771.db2.gz ZENUUHVUABFLHF-AWEZNQCLSA-N 1 2 282.309 3.655 20 0 CHADLO Clc1cnc2c(c1)C[N@@H+](Cc1ccc3cc[nH]c3c1)CC2 ZINC001209516256 1134031421 /nfs/dbraw/zinc/03/14/21/1134031421.db2.gz VUAFVGHVYFZWNI-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cnc2c(c1)C[N@H+](Cc1ccc3cc[nH]c3c1)CC2 ZINC001209516256 1134031424 /nfs/dbraw/zinc/03/14/24/1134031424.db2.gz VUAFVGHVYFZWNI-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cnc(Cl)cc1C)C2 ZINC001209629582 1134042638 /nfs/dbraw/zinc/04/26/38/1134042638.db2.gz BIGFEFDUSTUEAN-UHFFFAOYSA-N 1 2 272.779 3.868 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cnc(Cl)cc1C)C2 ZINC001209629582 1134042644 /nfs/dbraw/zinc/04/26/44/1134042644.db2.gz BIGFEFDUSTUEAN-UHFFFAOYSA-N 1 2 272.779 3.868 20 0 CHADLO COc1ccc(C)c(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001209840514 1134077425 /nfs/dbraw/zinc/07/74/25/1134077425.db2.gz PQYDFMZBWJDXOV-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO c1[nH]c2ccc(Nc3nccc4ccccc43)cc2[nH+]1 ZINC001209841577 1134078117 /nfs/dbraw/zinc/07/81/17/1134078117.db2.gz WAAYTWSAHXMRFP-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO c1[nH]c2ccc(Nc3snnc3-c3ccccc3)cc2[nH+]1 ZINC001209842383 1134078290 /nfs/dbraw/zinc/07/82/90/1134078290.db2.gz IXFSIXCZPMDOAY-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO c1[nH]c2ccc(Nc3cccc(C4CCC4)n3)cc2[nH+]1 ZINC001209848524 1134085099 /nfs/dbraw/zinc/08/50/99/1134085099.db2.gz PPCXULVIDHKQEU-UHFFFAOYSA-N 1 2 264.332 3.969 20 0 CHADLO CCCOc1ccc(Nc2[nH+]cc(O)cc2C)cc1 ZINC001209864301 1134089033 /nfs/dbraw/zinc/08/90/33/1134089033.db2.gz CVDDAIJTPQIWKH-UHFFFAOYSA-N 1 2 258.321 3.628 20 0 CHADLO Cc1cc(Nc2ccc(-c3nnco3)cc2)ccc1[NH+](C)C ZINC001210043004 1134132035 /nfs/dbraw/zinc/13/20/35/1134132035.db2.gz IFGOLAVQRMWDRB-UHFFFAOYSA-N 1 2 294.358 3.855 20 0 CHADLO COC(=O)Cc1ccccc1Nc1ccc([NH+](C)C)c(C)c1 ZINC001210041709 1134132426 /nfs/dbraw/zinc/13/24/26/1134132426.db2.gz REAYRTINNGDNSB-UHFFFAOYSA-N 1 2 298.386 3.520 20 0 CHADLO FC(F)(F)c1nccnc1Nc1ccc2c[nH+]ccc2c1 ZINC001210046209 1134133798 /nfs/dbraw/zinc/13/37/98/1134133798.db2.gz AYXLMSOGFUOKQV-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO Cc1cn(-c2ccc([NH+](C)C)c(C)c2)c2cc(N)ccc12 ZINC001210045703 1134133839 /nfs/dbraw/zinc/13/38/39/1134133839.db2.gz WQPUDSWVHZEFBP-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO FC(F)(F)c1ccnnc1Nc1ccc2c[nH+]ccc2c1 ZINC001210047113 1134134178 /nfs/dbraw/zinc/13/41/78/1134134178.db2.gz LAJZBRVWGLIKTG-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO Cc1cc(Nc2ccc3o[nH]c(=O)c3c2)ccc1[NH+](C)C ZINC001210045500 1134134348 /nfs/dbraw/zinc/13/43/48/1134134348.db2.gz VEGUBZXHUKQOQW-UHFFFAOYSA-N 1 2 283.331 3.651 20 0 CHADLO c1nc(Nc2ccc3c[nH+]ccc3c2)cn1C1CCOCC1 ZINC001210047045 1134134508 /nfs/dbraw/zinc/13/45/08/1134134508.db2.gz HGTJMYBYNRAHCP-UHFFFAOYSA-N 1 2 294.358 3.526 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2cccnc2c1 ZINC001210054428 1134136815 /nfs/dbraw/zinc/13/68/15/1134136815.db2.gz FGSKUSMEQGOSHB-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO COc1cc(Nc2ccc3cccnc3c2)cc(C)[nH+]1 ZINC001210055328 1134138293 /nfs/dbraw/zinc/13/82/93/1134138293.db2.gz CJMLSSHRLYPRLJ-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cnccc1C(F)(F)F ZINC001210091474 1134144064 /nfs/dbraw/zinc/14/40/64/1134144064.db2.gz NFPVSNDLWQFJGD-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO Cc1cc2[nH]ncc2cc1Nc1c[nH+]ccc1N1CCCC1 ZINC001210102614 1134146591 /nfs/dbraw/zinc/14/65/91/1134146591.db2.gz PRUFEFPUUCBDFW-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(F)c(F)c1C ZINC001210130697 1134154040 /nfs/dbraw/zinc/15/40/40/1134154040.db2.gz SWTJEAGJXFEZAS-UHFFFAOYSA-N 1 2 264.275 3.811 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(F)c(F)c2C)[nH+]1 ZINC001210129773 1134154253 /nfs/dbraw/zinc/15/42/53/1134154253.db2.gz LUVYEHSWCQZEIL-UHFFFAOYSA-N 1 2 273.286 3.973 20 0 CHADLO Cc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1O ZINC001210187276 1134161328 /nfs/dbraw/zinc/16/13/28/1134161328.db2.gz ABWUPHHBFHLKHX-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO c1cc2cc[nH+]cc2c(Nc2nccnc2C2CC2)c1 ZINC001210224021 1134171000 /nfs/dbraw/zinc/17/10/00/1134171000.db2.gz OAMPKKLKMZQEMY-UHFFFAOYSA-N 1 2 262.316 3.646 20 0 CHADLO Clc1ccc2nc(Nc3cccc4cc[nH+]cc43)cn2n1 ZINC001210224078 1134171520 /nfs/dbraw/zinc/17/15/20/1134171520.db2.gz DBHIBILKISWSGY-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO COc1ccc(C)nc1Nc1cccc2cc[nH+]cc21 ZINC001210224060 1134171669 /nfs/dbraw/zinc/17/16/69/1134171669.db2.gz BYBIEBKTBQDOSQ-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Oc1cnc(Cl)cc1Nc1cccc2cc[nH+]cc21 ZINC001210224560 1134172385 /nfs/dbraw/zinc/17/23/85/1134172385.db2.gz RIZIIYUSXBWFAG-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO FC(F)Oc1ccncc1Nc1cccc2cc[nH+]cc21 ZINC001210226456 1134172758 /nfs/dbraw/zinc/17/27/58/1134172758.db2.gz WUXDHCPVSXWNIJ-UHFFFAOYSA-N 1 2 287.269 3.975 20 0 CHADLO COc1ccc(Nc2cccc3cc[nH+]cc32)c(O)c1 ZINC001210230554 1134172930 /nfs/dbraw/zinc/17/29/30/1134172930.db2.gz JBJTZAAETFAAAF-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)cc(Br)c2)cc1N ZINC001210288159 1134186763 /nfs/dbraw/zinc/18/67/63/1134186763.db2.gz MEWRJAKETPHIKS-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1[nH+]cc(Nc2cc3ccccc3cc2O)cc1N ZINC001210287728 1134185646 /nfs/dbraw/zinc/18/56/46/1134185646.db2.gz BTARJFKHXMBPCG-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO Cc1[nH+]cc(Nc2cnccc2-c2ccccc2)cc1N ZINC001210287169 1134185710 /nfs/dbraw/zinc/18/57/10/1134185710.db2.gz MZWYDAUBAFBPOJ-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1cc(O)c(C(C)C)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210287742 1134185813 /nfs/dbraw/zinc/18/58/13/1134185813.db2.gz CHXFEIUNLXJPRA-UHFFFAOYSA-N 1 2 271.364 3.853 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Br)ccc2F)cc1N ZINC001210288838 1134186027 /nfs/dbraw/zinc/18/60/27/1134186027.db2.gz XBCYJXLYECFPQQ-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3sccc3c2)cc1N ZINC001210289585 1134186174 /nfs/dbraw/zinc/18/61/74/1134186174.db2.gz SQTRNPDKNWTHHT-UHFFFAOYSA-N 1 2 255.346 3.931 20 0 CHADLO COc1c(Nc2c[nH+]c(C)c(N)c2)cccc1C(F)(F)F ZINC001210290525 1134186243 /nfs/dbraw/zinc/18/62/43/1134186243.db2.gz NAWAADXXEOIRRD-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CCCOc1ccccc1Nc1c[nH+]c(C)c(N)c1 ZINC001210290624 1134186309 /nfs/dbraw/zinc/18/63/09/1134186309.db2.gz AVQCLLQJQKOELQ-UHFFFAOYSA-N 1 2 257.337 3.505 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Oc3nccs3)cc2)cc1N ZINC001210288891 1134186349 /nfs/dbraw/zinc/18/63/49/1134186349.db2.gz YHGJLAMPHPBVKU-UHFFFAOYSA-N 1 2 298.371 3.965 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3cc(Cl)cnc3c2)cc1N ZINC001210290639 1134186487 /nfs/dbraw/zinc/18/64/87/1134186487.db2.gz BYIZDDCEPQVTRO-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cc(-c3cccc(F)c3)no2)cc1N ZINC001210288178 1134186624 /nfs/dbraw/zinc/18/66/24/1134186624.db2.gz CUFDRBYRGIJDDP-UHFFFAOYSA-N 1 2 284.294 3.510 20 0 CHADLO Cc1nc2cc(Cl)c(Nc3c[nH+]c(C)c(N)c3)cc2o1 ZINC001210290854 1134186749 /nfs/dbraw/zinc/18/67/49/1134186749.db2.gz RIMSSYPYFMERMA-UHFFFAOYSA-N 1 2 288.738 3.819 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(F)c2Cl)cc1N ZINC001210288367 1134186836 /nfs/dbraw/zinc/18/68/36/1134186836.db2.gz ONBHVKSNCQYQTD-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(F)c2OCC(C)C)cc1N ZINC001210290642 1134186940 /nfs/dbraw/zinc/18/69/40/1134186940.db2.gz CBDUNKCPOUIFSW-UHFFFAOYSA-N 1 2 289.354 3.890 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3nc(Cl)ccc3c2)cc1N ZINC001210291993 1134187444 /nfs/dbraw/zinc/18/74/44/1134187444.db2.gz XNYMEVHNISOUMR-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2OCC2CC2)cc1N ZINC001210293278 1134187627 /nfs/dbraw/zinc/18/76/27/1134187627.db2.gz JGZCOWBWHWNVTL-UHFFFAOYSA-N 1 2 269.348 3.505 20 0 CHADLO Cc1[nH+]cc(Nc2cccc3ncc(Cl)cc32)cc1N ZINC001210291950 1134187950 /nfs/dbraw/zinc/18/79/50/1134187950.db2.gz VITHFZAOKKXFDK-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2c(F)ccc(Cl)c2F)cc1N ZINC001210291289 1134188000 /nfs/dbraw/zinc/18/80/00/1134188000.db2.gz YWGKWHYCJNEPCM-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO c1cn(-c2ccccc2Nc2ccc3ncncc3c2)c[nH+]1 ZINC001210457857 1134218969 /nfs/dbraw/zinc/21/89/69/1134218969.db2.gz SHVHAPZVEZKABE-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO C[C@@H](O)c1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001210644223 1134267388 /nfs/dbraw/zinc/26/73/88/1134267388.db2.gz JRKGFFBXJFJOBD-LLVKDONJSA-N 1 2 254.333 3.756 20 0 CHADLO Cc1ccc(CO)cc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001210682668 1134273923 /nfs/dbraw/zinc/27/39/23/1134273923.db2.gz MYZXDARPOMCPJX-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO COc1cc(Nc2cnc(C(F)(F)F)cc2C)cc(C)[nH+]1 ZINC001210774136 1134293251 /nfs/dbraw/zinc/29/32/51/1134293251.db2.gz JDONNNKNOLBFHM-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO COc1cc(Nc2cccc(C3CCC3)n2)cc(C)[nH+]1 ZINC001210780407 1134295294 /nfs/dbraw/zinc/29/52/94/1134295294.db2.gz RIOOSTJVRZIMDN-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO COc1cc(Nc2c(O)cccc2C(F)(F)F)cc(C)[nH+]1 ZINC001210780482 1134295893 /nfs/dbraw/zinc/29/58/93/1134295893.db2.gz LJGSHSTWMZGPAX-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO COc1cc(Nc2ccc(O)c(Cl)c2)cc(C)[nH+]1 ZINC001210780819 1134295999 /nfs/dbraw/zinc/29/59/99/1134295999.db2.gz IDDNKEJTTZTLJU-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO Cc1cn2cccc(Nc3ccccc3OC3CC3)c2[nH+]1 ZINC001210835455 1134307345 /nfs/dbraw/zinc/30/73/45/1134307345.db2.gz RLPXVUUAOGMNIN-UHFFFAOYSA-N 1 2 279.343 3.928 20 0 CHADLO CCc1cccc(Nc2ccc3c(c2)C(=O)CCC3)[nH+]1 ZINC001210933513 1134330950 /nfs/dbraw/zinc/33/09/50/1134330950.db2.gz VZVSMMPPSDOWDH-UHFFFAOYSA-N 1 2 266.344 3.907 20 0 CHADLO CCc1cccc(Nc2ccc(N3CCOCC3)c(C)c2)[nH+]1 ZINC001210973593 1134341386 /nfs/dbraw/zinc/34/13/86/1134341386.db2.gz VSJUTGPYXBLZNY-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO Cc1ccc(Nc2cccc3c2CC(C)(C)C(=O)N3)[nH+]c1 ZINC001211013409 1134349394 /nfs/dbraw/zinc/34/93/94/1134349394.db2.gz FVQVNKLTRLBYRK-UHFFFAOYSA-N 1 2 281.359 3.654 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cncc(SC)c1 ZINC001211069257 1134362028 /nfs/dbraw/zinc/36/20/28/1134362028.db2.gz OKPXWCOGMYQTSL-UHFFFAOYSA-N 1 2 259.378 3.813 20 0 CHADLO Cc1c(CO)cccc1Nc1cc[nH+]c2ccc(Cl)cc12 ZINC001211162087 1134381638 /nfs/dbraw/zinc/38/16/38/1134381638.db2.gz NDPIQTGWWBUCSI-UHFFFAOYSA-N 1 2 298.773 3.854 20 0 CHADLO c1c2ccccc2[nH]c1Nc1ccc[nH+]c1N1CCCC1 ZINC001211303044 1134406690 /nfs/dbraw/zinc/40/66/90/1134406690.db2.gz FBFPVVIUTQHRRP-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4nsnc43)cc2)[nH]1 ZINC001213087872 1134424505 /nfs/dbraw/zinc/42/45/05/1134424505.db2.gz FHAVKQIQTNXWRU-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO C[C@H](O)c1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213088242 1134424949 /nfs/dbraw/zinc/42/49/49/1134424949.db2.gz XLSVPBFEUAUITP-LBPRGKRZSA-N 1 2 279.343 3.874 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4ncncc4c3)cc2)[nH]1 ZINC001213090923 1134425645 /nfs/dbraw/zinc/42/56/45/1134425645.db2.gz MYJAHUKGMQIEIQ-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO OCc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1Cl ZINC001213090851 1134425751 /nfs/dbraw/zinc/42/57/51/1134425751.db2.gz JGSDERNMWJCTMW-UHFFFAOYSA-N 1 2 299.761 3.966 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4c3CCO4)cc2)[nH]1 ZINC001213089760 1134426197 /nfs/dbraw/zinc/42/61/97/1134426197.db2.gz CNXOXSSTPOMIRK-UHFFFAOYSA-N 1 2 277.327 3.755 20 0 CHADLO O=C1CCCc2cc(Nc3cccn4cc[nH+]c34)ccc21 ZINC001213101031 1134427080 /nfs/dbraw/zinc/42/70/80/1134427080.db2.gz CALSBKRKYJPXBT-UHFFFAOYSA-N 1 2 277.327 3.597 20 0 CHADLO Oc1c(F)cc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1F ZINC001213374849 1134444521 /nfs/dbraw/zinc/44/45/21/1134444521.db2.gz CCQBHKYRXZEMDG-UHFFFAOYSA-N 1 2 295.676 3.715 20 0 CHADLO COc1c(F)cc(Nc2c[nH+]cc(C)c2C)cc1F ZINC001213532987 1134462016 /nfs/dbraw/zinc/46/20/16/1134462016.db2.gz ZQEYOWRRPIORQR-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1cc(C[C@@H](C)Nc2cc(C3CC3)c[nH+]c2C)on1 ZINC001213488680 1134452948 /nfs/dbraw/zinc/45/29/48/1134452948.db2.gz BKYUZRTYKWQABD-SNVBAGLBSA-N 1 2 271.364 3.607 20 0 CHADLO CC(=O)c1ncccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213494954 1134453582 /nfs/dbraw/zinc/45/35/82/1134453582.db2.gz OCSNXSQGWDOZGO-UHFFFAOYSA-N 1 2 267.332 3.609 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cn2ccccc2n1 ZINC001213494662 1134453676 /nfs/dbraw/zinc/45/36/76/1134453676.db2.gz DRIQBKQBSYVRFZ-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO Cc1cc(F)nc(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213496872 1134453871 /nfs/dbraw/zinc/45/38/71/1134453871.db2.gz BIRPOIBVDAJTOA-UHFFFAOYSA-N 1 2 257.312 3.854 20 0 CHADLO COC(=O)c1cc(C)cnc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491809 1134454134 /nfs/dbraw/zinc/45/41/34/1134454134.db2.gz QSBZODURBGNZNI-UHFFFAOYSA-N 1 2 297.358 3.501 20 0 CHADLO CCOC(=O)c1cncc(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213491232 1134454323 /nfs/dbraw/zinc/45/43/23/1134454323.db2.gz DADNRZIWEGBLRS-UHFFFAOYSA-N 1 2 297.358 3.583 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2ncn(C)c2c1 ZINC001213499633 1134454766 /nfs/dbraw/zinc/45/47/66/1134454766.db2.gz TTXGPPNALPPBBN-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(Cl)nc2ccnn21 ZINC001213497128 1134455353 /nfs/dbraw/zinc/45/53/53/1134455353.db2.gz JUIZDUDJNPHUHK-UHFFFAOYSA-N 1 2 299.765 3.707 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(O)c(F)c1F ZINC001213497558 1134455519 /nfs/dbraw/zinc/45/55/19/1134455519.db2.gz VKEKEVBCBXNUSA-UHFFFAOYSA-N 1 2 276.286 3.995 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2cn(C)nc2c1 ZINC001213499353 1134455605 /nfs/dbraw/zinc/45/56/05/1134455605.db2.gz HNNLZIDPWMUKHO-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO Cc1nc2sccc2c(Nc2c[nH+]c(C)cc2C)n1 ZINC001213503489 1134456298 /nfs/dbraw/zinc/45/62/98/1134456298.db2.gz JFIBWXVDAGMNSR-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO CC(=O)c1cccc(Nc2cc(C3CC3)c[nH+]c2C)c1O ZINC001213500933 1134456363 /nfs/dbraw/zinc/45/63/63/1134456363.db2.gz DJDQCHOFNKOPIP-UHFFFAOYSA-N 1 2 282.343 3.919 20 0 CHADLO COc1cccc(O)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213501227 1134456714 /nfs/dbraw/zinc/45/67/14/1134456714.db2.gz NPWACNJXIVNWFO-UHFFFAOYSA-N 1 2 270.332 3.725 20 0 CHADLO Cc1cc(C)c(Nc2ccnc(OC(F)(F)F)c2)c[nH+]1 ZINC001213510883 1134458028 /nfs/dbraw/zinc/45/80/28/1134458028.db2.gz KSGSVLYAPCKZLW-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO CCN(C)c1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001213521062 1134458658 /nfs/dbraw/zinc/45/86/58/1134458658.db2.gz RDIDDCMRYPKXBC-UHFFFAOYSA-N 1 2 255.365 3.898 20 0 CHADLO COCc1ccc(Nc2c[nH+]c(C)cc2C)cc1F ZINC001213518309 1134458699 /nfs/dbraw/zinc/45/86/99/1134458699.db2.gz HDIVLLQHNVDOCR-UHFFFAOYSA-N 1 2 260.312 3.728 20 0 CHADLO CC(=O)c1ccc(C)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213518548 1134459430 /nfs/dbraw/zinc/45/94/30/1134459430.db2.gz USSZULIRGKQLNC-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO Cc1cc(C)c(Nc2ccc(CO)cc2Cl)c[nH+]1 ZINC001213521163 1134460134 /nfs/dbraw/zinc/46/01/34/1134460134.db2.gz XGTJZRLJYICUOC-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1c[nH+]cc(N2c3ccc(N)cc3CC[C@H]2C)c1C ZINC001213528477 1134460158 /nfs/dbraw/zinc/46/01/58/1134460158.db2.gz FLTWEXGPQGCJHR-GFCCVEGCSA-N 1 2 267.376 3.753 20 0 CHADLO Cc1c[nH+]cc(Nc2ncc(Cl)nc2Cl)c1C ZINC001213523324 1134460295 /nfs/dbraw/zinc/46/02/95/1134460295.db2.gz PZPLYJRYUFXAMV-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(C(F)(F)F)cn2)c1C ZINC001213522803 1134460382 /nfs/dbraw/zinc/46/03/82/1134460382.db2.gz GXAHGIHJXBATLY-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1cc(Nc2c[nH+]cc(C)c2C)n(CC2CCC2)n1 ZINC001213527441 1134460586 /nfs/dbraw/zinc/46/05/86/1134460586.db2.gz LGTDHGVGJQEZPU-UHFFFAOYSA-N 1 2 270.380 3.747 20 0 CHADLO Cc1cnn(C2CCC2)c1Nc1c[nH+]cc(C)c1C ZINC001213528588 1134460608 /nfs/dbraw/zinc/46/06/08/1134460608.db2.gz HGRCKBDFGSEVAB-UHFFFAOYSA-N 1 2 256.353 3.672 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)N(C)CCC3)c1C ZINC001213530814 1134461119 /nfs/dbraw/zinc/46/11/19/1134461119.db2.gz MNNXYTHFRAVVEO-UHFFFAOYSA-N 1 2 267.376 3.824 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)NC(=O)CCC3)c1C ZINC001213532537 1134461395 /nfs/dbraw/zinc/46/13/95/1134461395.db2.gz NAQJXCUYVAXPAQ-UHFFFAOYSA-N 1 2 281.359 3.717 20 0 CHADLO CC(=O)c1cc(Nc2c[nH+]cc(C)c2C)ccc1F ZINC001213532370 1134461856 /nfs/dbraw/zinc/46/18/56/1134461856.db2.gz FBOMMHNJJSSSER-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO COc1ccc2cc(Nc3c[nH+]cc(C)c3C)ccc2n1 ZINC001213532761 1134461903 /nfs/dbraw/zinc/46/19/03/1134461903.db2.gz SYJWOFNTNGURCM-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CCn1cc2cc(Nc3c[nH+]cc(C)c3C)ccc2n1 ZINC001213532707 1134461994 /nfs/dbraw/zinc/46/19/94/1134461994.db2.gz QRPHYUOYTUVKDR-UHFFFAOYSA-N 1 2 266.348 3.812 20 0 CHADLO COCc1ccc(C)c(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001213568982 1134463919 /nfs/dbraw/zinc/46/39/19/1134463919.db2.gz SIWYHCBVKHUIDC-UHFFFAOYSA-N 1 2 281.359 3.841 20 0 CHADLO Nc1cc(Cl)ccc1Nc1[nH+]cccc1C1CC1 ZINC001213650454 1134473611 /nfs/dbraw/zinc/47/36/11/1134473611.db2.gz BDUCCDSYMAJVBE-UHFFFAOYSA-N 1 2 259.740 3.938 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N)cc(C)c1OC(C)C ZINC001213691007 1134477994 /nfs/dbraw/zinc/47/79/94/1134477994.db2.gz UGYRCRXUDNXKIU-UHFFFAOYSA-N 1 2 271.364 3.811 20 0 CHADLO CCCOc1cc(F)ccc1Nc1c[nH+]ccc1OC ZINC001214079899 1134509677 /nfs/dbraw/zinc/50/96/77/1134509677.db2.gz YLWLLBNBSNZNOF-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO Cc1cc(N)ccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001214114584 1134512514 /nfs/dbraw/zinc/51/25/14/1134512514.db2.gz HWGGYVVGFZBDIK-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO Cc1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)c(N)c1 ZINC001214177723 1134517441 /nfs/dbraw/zinc/51/74/41/1134517441.db2.gz JNXQKKYAFJTTHB-UHFFFAOYSA-N 1 2 283.762 3.944 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(C)ccc2O)cc1 ZINC001214234136 1134525022 /nfs/dbraw/zinc/52/50/22/1134525022.db2.gz ZBOSCHBRZAXFLU-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(C)ccc2O)cc1 ZINC001214234136 1134525025 /nfs/dbraw/zinc/52/50/25/1134525025.db2.gz ZBOSCHBRZAXFLU-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO Oc1cc(Cl)ccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001214407220 1134535740 /nfs/dbraw/zinc/53/57/40/1134535740.db2.gz HQMSNHVDEZGLBQ-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Cc1ccc(Nc2cccc(C3CC3)[nH+]2)c(CO)c1 ZINC001214455070 1134539756 /nfs/dbraw/zinc/53/97/56/1134539756.db2.gz FMQBKDBALAVUCC-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(CO)c(C(F)(F)F)c1 ZINC001214673035 1134564243 /nfs/dbraw/zinc/56/42/43/1134564243.db2.gz JTFBPHAUFYMSAM-UHFFFAOYSA-N 1 2 282.265 3.645 20 0 CHADLO Cc1c(C)c(Nc2cccc(-n3cc[nH+]c3)c2)ccc1CO ZINC001215242666 1134610209 /nfs/dbraw/zinc/61/02/09/1134610209.db2.gz FAHWKMOGMGHKLZ-UHFFFAOYSA-N 1 2 293.370 3.725 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)s1 ZINC000401610315 1134617764 /nfs/dbraw/zinc/61/77/64/1134617764.db2.gz MKZCUYNZARGMTE-ZYHUDNBSSA-N 1 2 261.394 3.508 20 0 CHADLO CCCOc1ccc(Nc2[nH+]cc(C)cc2N)c(F)c1 ZINC001215486273 1134632458 /nfs/dbraw/zinc/63/24/58/1134632458.db2.gz GBOQMAHCVYVMQG-UHFFFAOYSA-N 1 2 275.327 3.644 20 0 CHADLO COc1c(F)c(C)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001215602296 1134651797 /nfs/dbraw/zinc/65/17/97/1134651797.db2.gz XKOMJIQURKYIPB-UHFFFAOYSA-N 1 2 285.322 3.773 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)cc(C)cc2OC)c[nH+]1 ZINC001215708156 1134664069 /nfs/dbraw/zinc/66/40/69/1134664069.db2.gz SFLZJDSYAUBTIK-UHFFFAOYSA-N 1 2 289.354 3.737 20 0 CHADLO CCOc1ccc(C)c(F)c1Nc1ccn2cc[nH+]c2c1 ZINC001215763466 1134679762 /nfs/dbraw/zinc/67/97/62/1134679762.db2.gz UTEAWPWLYYJVEH-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(O)c(C(C)C)c1 ZINC001215844319 1134700552 /nfs/dbraw/zinc/70/05/52/1134700552.db2.gz FNWWVNWSNAMLPE-UHFFFAOYSA-N 1 2 258.321 3.663 20 0 CHADLO CSc1ncc(Cl)cc1Nc1ccn2cc[nH+]c2c1 ZINC001215917411 1134719009 /nfs/dbraw/zinc/71/90/09/1134719009.db2.gz DTOWLLVYPFLMHJ-UHFFFAOYSA-N 1 2 290.779 3.848 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(C4CC4)cnc3F)ccc21 ZINC001215957104 1134731645 /nfs/dbraw/zinc/73/16/45/1134731645.db2.gz FXGKKZVIDVUWNG-UHFFFAOYSA-N 1 2 282.322 3.728 20 0 CHADLO COc1ccc(Nc2ccn3cc[nH+]c3c2)c(C)c1C ZINC001215974734 1134738335 /nfs/dbraw/zinc/73/83/35/1134738335.db2.gz TYWBRGCBSKLKEA-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COc1cc(Nc2c(O)cccc2Cl)[nH+]cc1C ZINC001215986089 1134741228 /nfs/dbraw/zinc/74/12/28/1134741228.db2.gz IZHYQGZSIBGEBV-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO COc1c(C)cc(C)cc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001215998405 1134747222 /nfs/dbraw/zinc/74/72/22/1134747222.db2.gz IYTNMXMMCUJXCR-UHFFFAOYSA-N 1 2 281.359 3.542 20 0 CHADLO COc1cc(Nc2ccc(N)c(C(C)C)c2)cc(C)[nH+]1 ZINC001216043354 1134758797 /nfs/dbraw/zinc/75/87/97/1134758797.db2.gz JDGWIRWYDRSQPL-UHFFFAOYSA-N 1 2 271.364 3.848 20 0 CHADLO CN(C)c1cc(F)cc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001216159949 1134791675 /nfs/dbraw/zinc/79/16/75/1134791675.db2.gz FLERJGSCACZOAV-UHFFFAOYSA-N 1 2 296.349 3.821 20 0 CHADLO CNc1cc(F)cc(Nc2cc[nH+]c(SC)c2)c1 ZINC001216186131 1134798768 /nfs/dbraw/zinc/79/87/68/1134798768.db2.gz AQJKZNMMLUQTAL-UHFFFAOYSA-N 1 2 263.341 3.728 20 0 CHADLO CNc1cc(F)cc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001216185855 1134798955 /nfs/dbraw/zinc/79/89/55/1134798955.db2.gz WMMWDKSXOWPIBH-UHFFFAOYSA-N 1 2 290.729 3.912 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(F)cc(NC)c2)c1 ZINC001216186491 1134799346 /nfs/dbraw/zinc/79/93/46/1134799346.db2.gz JRHNOCBBDGPHGR-UHFFFAOYSA-N 1 2 259.328 3.959 20 0 CHADLO CCOc1ccc(C)c(Nc2c[nH+]ccc2OCC)c1 ZINC001216236422 1134818485 /nfs/dbraw/zinc/81/84/85/1134818485.db2.gz ZHXBHRKGMFMGET-UHFFFAOYSA-N 1 2 272.348 3.931 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(F)c3ccoc32)c1 ZINC001216294975 1134838408 /nfs/dbraw/zinc/83/84/08/1134838408.db2.gz GVBRDYFYKKMEKI-UHFFFAOYSA-N 1 2 258.252 3.719 20 0 CHADLO COc1cc(C)c(C)cc1Nc1ccn2cc[nH+]c2c1 ZINC001216373339 1134871805 /nfs/dbraw/zinc/87/18/05/1134871805.db2.gz LCKOCSOCADJGSU-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COc1c(F)ccc(Nc2ccc(C)[nH+]c2C)c1OC ZINC001216389287 1134878690 /nfs/dbraw/zinc/87/86/90/1134878690.db2.gz ATVYEYQJWDFSAM-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO CCOC(=O)/C=C/c1ccc(Nc2[nH+]cccc2C)cc1 ZINC001216472644 1134900512 /nfs/dbraw/zinc/90/05/12/1134900512.db2.gz GUDZUNAVDVDUGX-DHZHZOJOSA-N 1 2 282.343 3.710 20 0 CHADLO CCOc1cc(Nc2cccc(C(C)=O)c2F)cc(C)[nH+]1 ZINC001216498545 1134907991 /nfs/dbraw/zinc/90/79/91/1134907991.db2.gz ADVWYMMSHUMZCS-UHFFFAOYSA-N 1 2 288.322 3.874 20 0 CHADLO CCOc1cc(Nc2cccc(Cl)c2N)cc(C)[nH+]1 ZINC001216524408 1134914475 /nfs/dbraw/zinc/91/44/75/1134914475.db2.gz HUSZXSITIFWDLZ-UHFFFAOYSA-N 1 2 277.755 3.768 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2onc(C)c2c1 ZINC001216637618 1134936673 /nfs/dbraw/zinc/93/66/73/1134936673.db2.gz GSAXDZFSDUUDLO-UHFFFAOYSA-N 1 2 269.304 3.674 20 0 CHADLO BrC1=CCC[N@H+](C/C=C\c2ccccc2)C1 ZINC000404201625 1134938802 /nfs/dbraw/zinc/93/88/02/1134938802.db2.gz QBLAPPUIPCGADK-YWEYNIOJSA-N 1 2 278.193 3.684 20 0 CHADLO BrC1=CCC[N@@H+](C/C=C\c2ccccc2)C1 ZINC000404201625 1134938810 /nfs/dbraw/zinc/93/88/10/1134938810.db2.gz QBLAPPUIPCGADK-YWEYNIOJSA-N 1 2 278.193 3.684 20 0 CHADLO FC1(F)C[NH2+]C[C@H](OCCCCCCc2ccccc2)C1 ZINC001217854472 1135009807 /nfs/dbraw/zinc/00/98/07/1135009807.db2.gz GLMBTTQLPYVLRV-MRXNPFEDSA-N 1 2 297.389 3.803 20 0 CHADLO CCCCCc1cc(O)cc(O[C@H]2CC[NH2+]CC2(F)F)c1 ZINC001218006485 1135042138 /nfs/dbraw/zinc/04/21/38/1135042138.db2.gz LIPDCMZMXLDYJJ-HNNXBMFYSA-N 1 2 299.361 3.501 20 0 CHADLO C[C@H](Oc1cc(N)cc[nH+]1)c1ccc(Br)cc1 ZINC001218216063 1135070515 /nfs/dbraw/zinc/07/05/15/1135070515.db2.gz KVMJXTCZHRXVQP-VIFPVBQESA-N 1 2 293.164 3.566 20 0 CHADLO FC1(F)C[NH2+]C[C@H](Oc2ccc(-c3ccccc3)cc2)C1 ZINC001218275526 1135077947 /nfs/dbraw/zinc/07/79/47/1135077947.db2.gz WMUHKBFUHXMDKH-MRXNPFEDSA-N 1 2 289.325 3.730 20 0 CHADLO CCCC[C@@H](Oc1cc(N)cc[nH+]1)c1ccccc1 ZINC001218294464 1135079834 /nfs/dbraw/zinc/07/98/34/1135079834.db2.gz MTJHKSVELHRICD-OAHLLOKOSA-N 1 2 256.349 3.974 20 0 CHADLO Cc1ccc([C@H](Oc2cc(N)cc[nH+]2)C(F)(F)F)cc1 ZINC001218303495 1135082052 /nfs/dbraw/zinc/08/20/52/1135082052.db2.gz QYKAKBGUPKQPPZ-ZDUSSCGKSA-N 1 2 282.265 3.655 20 0 CHADLO CCC[C@@H](Oc1ccc[nH+]c1N)c1ccc(OC)cc1 ZINC001218417821 1135102177 /nfs/dbraw/zinc/10/21/77/1135102177.db2.gz NLHBUSHRYDVMQJ-CQSZACIVSA-N 1 2 272.348 3.593 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1sc2ccccc2c1C)C(=O)OC ZINC000312386874 1135134707 /nfs/dbraw/zinc/13/47/07/1135134707.db2.gz NDCMSYITSDKDOD-YPMHNXCESA-N 1 2 291.416 3.812 20 0 CHADLO N#Cc1cc(Nc2ccc([NH+]3CCCCC3)cc2)ccc1N ZINC000316469952 1135167611 /nfs/dbraw/zinc/16/76/11/1135167611.db2.gz KIXVKUYMPPOFRZ-UHFFFAOYSA-N 1 2 292.386 3.874 20 0 CHADLO CCOc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)c(C)n1 ZINC001222117171 1135194727 /nfs/dbraw/zinc/19/47/27/1135194727.db2.gz ZXFFOVQNTNJXRU-UHFFFAOYSA-N 1 2 293.370 3.856 20 0 CHADLO Cc1ccc([C@H](OCCn2cc[nH+]c2)c2ccccc2)cc1 ZINC001222134626 1135198654 /nfs/dbraw/zinc/19/86/54/1135198654.db2.gz HZMSFVJTBOZCJV-LJQANCHMSA-N 1 2 292.382 3.998 20 0 CHADLO FC(F)(F)Oc1cccc(-c2cccn3cc[nH+]c23)c1 ZINC001222323855 1135225940 /nfs/dbraw/zinc/22/59/40/1135225940.db2.gz WAEDFWHUYNZZLX-UHFFFAOYSA-N 1 2 278.233 3.900 20 0 CHADLO Nc1cn2ccc(-c3ccc(Cl)c(Cl)c3)cc2[nH+]1 ZINC001222353093 1135230567 /nfs/dbraw/zinc/23/05/67/1135230567.db2.gz ZZGMVVSSHHDRHR-UHFFFAOYSA-N 1 2 278.142 3.890 20 0 CHADLO Cc1ccc(C)c2c1CCC[C@@H]2OCc1c[nH+]cn1C ZINC001222595355 1135254150 /nfs/dbraw/zinc/25/41/50/1135254150.db2.gz XRMHAPSZYHLHOG-INIZCTEOSA-N 1 2 270.376 3.631 20 0 CHADLO Cn1c[nH+]cc1CO[C@H]1CCCC[C@@H]1c1ccccc1 ZINC001222601152 1135255101 /nfs/dbraw/zinc/25/51/01/1135255101.db2.gz YMBLIGYMUAQWSD-SJORKVTESA-N 1 2 270.376 3.663 20 0 CHADLO Cn1c[nH+]cc1CO[C@H]1CCc2cc(C(F)(F)F)ccc21 ZINC001222600356 1135255426 /nfs/dbraw/zinc/25/54/26/1135255426.db2.gz NFSSCUFDEMJUMP-AWEZNQCLSA-N 1 2 296.292 3.643 20 0 CHADLO c1ccc(C[NH+]2CC(O[C@@H]3CCc4ccccc43)C2)cc1 ZINC001223417085 1135341435 /nfs/dbraw/zinc/34/14/35/1135341435.db2.gz TVOUJSRKALLHFX-LJQANCHMSA-N 1 2 279.383 3.575 20 0 CHADLO CCOC(=O)[C@@H](OCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC001223420094 1135342141 /nfs/dbraw/zinc/34/21/41/1135342141.db2.gz HTAFSFFRNORZLG-KRWDZBQOSA-N 1 2 299.370 3.519 20 0 CHADLO Cc1ccc([C@H](C)OCc2cc(C)[nH+]c(C)c2)cn1 ZINC001223420028 1135342344 /nfs/dbraw/zinc/34/23/44/1135342344.db2.gz GWFGFSDTVQXAGB-AWEZNQCLSA-N 1 2 256.349 3.680 20 0 CHADLO c1c2ccccc2oc1COC1C[NH+](Cc2ccccc2)C1 ZINC001223645356 1135369291 /nfs/dbraw/zinc/36/92/91/1135369291.db2.gz BNRKOWAMCQGLQG-UHFFFAOYSA-N 1 2 293.366 3.834 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](C)COc1cccc(C)c1 ZINC001224965870 1135497562 /nfs/dbraw/zinc/49/75/62/1135497562.db2.gz MYQMBIIWGGKRLX-OAHLLOKOSA-N 1 2 293.407 3.963 20 0 CHADLO Cc1cc(COc2cc[nH+]cc2)ccc1OC(C)C ZINC001225294410 1135537176 /nfs/dbraw/zinc/53/71/76/1135537176.db2.gz JNRFSIQFKYKVQF-UHFFFAOYSA-N 1 2 257.333 3.756 20 0 CHADLO Cc1cccc(OC[C@@H](C)Oc2c(C)cc[nH+]c2C)c1 ZINC001227265101 1135812496 /nfs/dbraw/zinc/81/24/96/1135812496.db2.gz RWIMIWNTZBJGIC-CQSZACIVSA-N 1 2 271.360 3.853 20 0 CHADLO CC[C@H](C)[C@H]1CCCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000573383972 334933914 /nfs/dbraw/zinc/93/39/14/334933914.db2.gz LZBBRCNUOMZPJM-XJKSGUPXSA-N 1 2 299.418 3.684 20 0 CHADLO CCc1cc(-c2nc(Cc3ccc(C)[nH+]c3)no2)sc1C ZINC000356119391 529504026 /nfs/dbraw/zinc/50/40/26/529504026.db2.gz ZEXKKICFSDINQM-UHFFFAOYSA-N 1 2 299.399 3.963 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1cccc(COC)c1 ZINC000352552702 529730599 /nfs/dbraw/zinc/73/05/99/529730599.db2.gz AJWAPCDVVPQNMG-UHFFFAOYSA-N 1 2 271.360 3.678 20 0 CHADLO CCc1sc(C(=O)Nc2c(C)cc[nH+]c2C)cc1C ZINC000334591313 529770570 /nfs/dbraw/zinc/77/05/70/529770570.db2.gz VPPBTIQWVCOYDE-UHFFFAOYSA-N 1 2 274.389 3.883 20 0 CHADLO CN(CCC1CCOCC1)c1[nH+]ccc2ccc(F)cc21 ZINC000354537263 529878659 /nfs/dbraw/zinc/87/86/59/529878659.db2.gz INJITMCNFPUTKO-UHFFFAOYSA-N 1 2 288.366 3.627 20 0 CHADLO CN(Cc1ccco1)c1[nH+]ccc2ccc(F)cc21 ZINC000354561137 530008147 /nfs/dbraw/zinc/00/81/47/530008147.db2.gz HBZGXFFXYLWWTR-UHFFFAOYSA-N 1 2 256.280 3.603 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(Cl)c2cccnc12 ZINC000353825688 530013595 /nfs/dbraw/zinc/01/35/95/530013595.db2.gz OELYJDONJWPWDA-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(Cl)c2cccnc12 ZINC000353825688 530013597 /nfs/dbraw/zinc/01/35/97/530013597.db2.gz OELYJDONJWPWDA-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@H+](Cc1ncc(Cl)s1)[C@H]1CCc2ccccc21 ZINC000351994948 530025985 /nfs/dbraw/zinc/02/59/85/530025985.db2.gz IFCKYGVURAWPGU-LBPRGKRZSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1ncc(Cl)s1)[C@H]1CCc2ccccc21 ZINC000351994948 530025986 /nfs/dbraw/zinc/02/59/86/530025986.db2.gz IFCKYGVURAWPGU-LBPRGKRZSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N(C)CCCOC(C)C ZINC000574430888 335019302 /nfs/dbraw/zinc/01/93/02/335019302.db2.gz SBCZBLPWTSBOON-UHFFFAOYSA-N 1 2 272.392 3.795 20 0 CHADLO CCCCC1CCC(NC(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000574695808 335040696 /nfs/dbraw/zinc/04/06/96/335040696.db2.gz ZRNKWHMUBSAPFV-QQFBHYJXSA-N 1 2 291.439 3.699 20 0 CHADLO COc1ccccc1[C@H](C)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000192751728 533008731 /nfs/dbraw/zinc/00/87/31/533008731.db2.gz BULTYXXRYKZDII-AWEZNQCLSA-N 1 2 297.402 3.864 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@H](C)c2nc3ccccc3o2)ccn1 ZINC000347565023 533121644 /nfs/dbraw/zinc/12/16/44/533121644.db2.gz QJFHYZDURMEBOR-VXGBXAGGSA-N 1 2 297.358 3.643 20 0 CHADLO Clc1cccc(Cl)c1CCCNc1c[nH]c[nH+]1 ZINC000575034961 335057399 /nfs/dbraw/zinc/05/73/99/335057399.db2.gz JMLSCVTUIBRUBO-UHFFFAOYSA-N 1 2 270.163 3.761 20 0 CHADLO Cc1cc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)ccc1F ZINC000171190151 335058909 /nfs/dbraw/zinc/05/89/09/335058909.db2.gz GWKYGQSMBTVRPF-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]C/C(Cl)=C/Cl)cs1 ZINC000184679915 262291253 /nfs/dbraw/zinc/29/12/53/262291253.db2.gz VQSMBHBMSSJGHP-UDGZVJEDSA-N 1 2 265.209 3.675 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2cccc(O)c2)c[nH+]1 ZINC000037003677 260061922 /nfs/dbraw/zinc/06/19/22/260061922.db2.gz XPIAIUPWMZPBHJ-CYBMUJFWSA-N 1 2 285.391 3.807 20 0 CHADLO COc1c(F)cc(F)cc1NCCCc1c[nH+]ccc1C ZINC000347969777 533431161 /nfs/dbraw/zinc/43/11/61/533431161.db2.gz WAUQSEBSYHMKLM-UHFFFAOYSA-N 1 2 292.329 3.722 20 0 CHADLO COc1cccc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)n1 ZINC000347574775 533474811 /nfs/dbraw/zinc/47/48/11/533474811.db2.gz CJSJWOBIKQUXFN-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO COc1cccc(C[N@H+]2CC(C)(C)[C@@H]2c2cccs2)n1 ZINC000347574775 533474815 /nfs/dbraw/zinc/47/48/15/533474815.db2.gz CJSJWOBIKQUXFN-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(F)c1)c1c(F)cncc1F ZINC000353215249 533504955 /nfs/dbraw/zinc/50/49/55/533504955.db2.gz BFFFRHJLVUKWCX-VHSXEESVSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cncc1F)c1cccc(F)c1 ZINC000353215247 533532805 /nfs/dbraw/zinc/53/28/05/533532805.db2.gz BFFFRHJLVUKWCX-NXEZZACHSA-N 1 2 280.293 3.911 20 0 CHADLO CSCCCNc1[nH+]cccc1OCc1ccccc1 ZINC000354584848 533572153 /nfs/dbraw/zinc/57/21/53/533572153.db2.gz XMBCOUAZTAOECR-UHFFFAOYSA-N 1 2 288.416 3.826 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)c2ccc(C3CCC3)cc2)c2[nH+]ccn21 ZINC000334766522 533635595 /nfs/dbraw/zinc/63/55/95/533635595.db2.gz CCBANYYQYPPLHO-MLGOLLRUSA-N 1 2 295.386 3.586 20 0 CHADLO C[C@@H]1C[C@@H](Nc2[nH+]cccc2OCc2ccccc2)CCO1 ZINC000354586253 533663014 /nfs/dbraw/zinc/66/30/14/533663014.db2.gz KCVLDJMWKFCONS-ZBFHGGJFSA-N 1 2 298.386 3.640 20 0 CHADLO Cc1cc(CN(C)c2cc[nH+]c3c(Cl)cccc23)no1 ZINC000341741268 130014750 /nfs/dbraw/zinc/01/47/50/130014750.db2.gz JLTMQYJENDTNHH-UHFFFAOYSA-N 1 2 287.750 3.821 20 0 CHADLO C[C@@H]([NH2+][C@H](CC(F)(F)F)c1ccccc1)c1nccn1C ZINC000341761714 130026521 /nfs/dbraw/zinc/02/65/21/130026521.db2.gz QUYVRRWURNVFIW-DGCLKSJQSA-N 1 2 297.324 3.764 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(-c2ccccc2)o1)c1nccn1C ZINC000341763365 130027929 /nfs/dbraw/zinc/02/79/29/130027929.db2.gz XTZYXAHELVLUSK-CYBMUJFWSA-N 1 2 281.359 3.531 20 0 CHADLO Cc1noc(C[NH2+][C@H](C)c2ccc(SC(C)C)cc2)n1 ZINC000341791759 130031549 /nfs/dbraw/zinc/03/15/49/130031549.db2.gz QVIHKEGXCXWABX-LLVKDONJSA-N 1 2 291.420 3.729 20 0 CHADLO CCn1cc[nH+]c1CN1CC2(CCCC2)c2c1cccc2F ZINC000341834233 130065305 /nfs/dbraw/zinc/06/53/05/130065305.db2.gz IPJQMNJYSPCGKC-UHFFFAOYSA-N 1 2 299.393 3.874 20 0 CHADLO Cc1csc(C[NH+]2CCC(CC(F)(F)F)CC2)n1 ZINC000342002237 130191746 /nfs/dbraw/zinc/19/17/46/130191746.db2.gz WVCJZUZGAMOKST-UHFFFAOYSA-N 1 2 278.343 3.616 20 0 CHADLO Cn1c2ccc(F)cc2nc1-c1cc(-n2cc[nH+]c2)cs1 ZINC000342124751 130280983 /nfs/dbraw/zinc/28/09/83/130280983.db2.gz KSFMJJCGEBTQQM-UHFFFAOYSA-N 1 2 298.346 3.627 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(OC)ccc1F)c1nccs1 ZINC000339274464 522642180 /nfs/dbraw/zinc/64/21/80/522642180.db2.gz MZSVJXHTDAZSQP-ZDUSSCGKSA-N 1 2 280.368 3.532 20 0 CHADLO COC1(C[C@H](C)[NH2+]c2ccc(N(C)C)cc2)CCC1 ZINC000277070194 522642367 /nfs/dbraw/zinc/64/23/67/522642367.db2.gz IUVJWOBMGHRSPK-ZDUSSCGKSA-N 1 2 262.397 3.512 20 0 CHADLO COC1(C[C@H](C)Nc2ccc([NH+](C)C)cc2)CCC1 ZINC000277070194 522642377 /nfs/dbraw/zinc/64/23/77/522642377.db2.gz IUVJWOBMGHRSPK-ZDUSSCGKSA-N 1 2 262.397 3.512 20 0 CHADLO CCc1cccc(C[NH2+]Cc2nnc(C(C)C)s2)c1 ZINC000575129669 335070441 /nfs/dbraw/zinc/07/04/41/335070441.db2.gz XKYPYBUXJUCECA-UHFFFAOYSA-N 1 2 275.421 3.514 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccccn1 ZINC000020123344 171003931 /nfs/dbraw/zinc/00/39/31/171003931.db2.gz OPMBGKKLTUZHIS-MNOVXSKESA-N 1 2 262.303 3.772 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccco1 ZINC000035739014 172393548 /nfs/dbraw/zinc/39/35/48/172393548.db2.gz LJOHPYIHMAEMIE-NXEZZACHSA-N 1 2 251.276 3.970 20 0 CHADLO Cc1csc(C[NH2+][C@@H](C)c2nc3ccccc3s2)n1 ZINC000038090537 174104201 /nfs/dbraw/zinc/10/42/01/174104201.db2.gz DNXXQJVUMVXAPH-JTQLQIEISA-N 1 2 289.429 3.912 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2cc(Cl)ccc2Cl)c2nccn21 ZINC000334745501 225270379 /nfs/dbraw/zinc/27/03/79/225270379.db2.gz HGZLHPULIKVJTR-ZANVPECISA-N 1 2 296.201 3.986 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](C)C(F)(F)C2)c(C)[nH+]1 ZINC000334701895 225279691 /nfs/dbraw/zinc/27/96/91/225279691.db2.gz QSDAGCKSXNZOGJ-JTQLQIEISA-N 1 2 297.349 3.516 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](C)[C@H](C)C2)c(C)[nH+]1 ZINC000334718243 225287763 /nfs/dbraw/zinc/28/77/63/225287763.db2.gz SAJXBSOYECSLPA-CMPLNLGQSA-N 1 2 275.396 3.517 20 0 CHADLO Cc1oncc1C[N@@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000334669580 225240442 /nfs/dbraw/zinc/24/04/42/225240442.db2.gz XBUWJFFDYFYQLZ-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1oncc1C[N@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000334669580 225240444 /nfs/dbraw/zinc/24/04/44/225240444.db2.gz XBUWJFFDYFYQLZ-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000347339760 226119051 /nfs/dbraw/zinc/11/90/51/226119051.db2.gz CCOIUDYZIGHTKS-OAHLLOKOSA-N 1 2 296.292 3.554 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000347339760 226119055 /nfs/dbraw/zinc/11/90/55/226119055.db2.gz CCOIUDYZIGHTKS-OAHLLOKOSA-N 1 2 296.292 3.554 20 0 CHADLO Cc1oc(-c2ccsc2)nc1C[N@@H+]1CC[C@](C)(F)C1 ZINC000347392013 226143977 /nfs/dbraw/zinc/14/39/77/226143977.db2.gz PJEBKEWXJTYFLE-AWEZNQCLSA-N 1 2 280.368 3.645 20 0 CHADLO Cc1oc(-c2ccsc2)nc1C[N@H+]1CC[C@](C)(F)C1 ZINC000347392013 226143981 /nfs/dbraw/zinc/14/39/81/226143981.db2.gz PJEBKEWXJTYFLE-AWEZNQCLSA-N 1 2 280.368 3.645 20 0 CHADLO CCOc1nnc(C[N@@H+]2CC[C@H](C(C)(C)C)C[C@H]2C)s1 ZINC000347607982 226199786 /nfs/dbraw/zinc/19/97/86/226199786.db2.gz WUOABTQLBCMILE-NEPJUHHUSA-N 1 2 297.468 3.583 20 0 CHADLO CCOc1nnc(C[N@H+]2CC[C@H](C(C)(C)C)C[C@H]2C)s1 ZINC000347607982 226199789 /nfs/dbraw/zinc/19/97/89/226199789.db2.gz WUOABTQLBCMILE-NEPJUHHUSA-N 1 2 297.468 3.583 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCC[C@@H]2c2cccs2)n1 ZINC000347673125 226218434 /nfs/dbraw/zinc/21/84/34/226218434.db2.gz CYDCVVCOBPKHQB-LLVKDONJSA-N 1 2 283.347 3.677 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCC[C@@H]2c2cccs2)n1 ZINC000347673125 226218438 /nfs/dbraw/zinc/21/84/38/226218438.db2.gz CYDCVVCOBPKHQB-LLVKDONJSA-N 1 2 283.347 3.677 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCC[C@H]2c2ccccc2)n1 ZINC000347679764 226219966 /nfs/dbraw/zinc/21/99/66/226219966.db2.gz KGPZURPZAPRSIL-AWEZNQCLSA-N 1 2 277.318 3.615 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCC[C@H]2c2ccccc2)n1 ZINC000347679764 226219969 /nfs/dbraw/zinc/21/99/69/226219969.db2.gz KGPZURPZAPRSIL-AWEZNQCLSA-N 1 2 277.318 3.615 20 0 CHADLO Cn1c[nH+]cc1CNc1cc(F)ccc1Oc1ccccc1 ZINC000347769420 226241779 /nfs/dbraw/zinc/24/17/79/226241779.db2.gz UKMMSORLILDLRU-UHFFFAOYSA-N 1 2 297.333 3.964 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3cccc(F)c3C2)cc1 ZINC000347942201 226394450 /nfs/dbraw/zinc/39/44/50/226394450.db2.gz HPOUYJASRUNVOK-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3cccc(F)c3C2)cc1 ZINC000347942201 226394451 /nfs/dbraw/zinc/39/44/51/226394451.db2.gz HPOUYJASRUNVOK-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1ncc(C)cn1 ZINC000348003066 226394969 /nfs/dbraw/zinc/39/49/69/226394969.db2.gz KIQCFVYXNGDICR-CYBMUJFWSA-N 1 2 281.359 3.690 20 0 CHADLO Cc1ccc(-c2ncc(C[N@@H+](C)Cc3ccccn3)s2)o1 ZINC000350393971 227005456 /nfs/dbraw/zinc/00/54/56/227005456.db2.gz QHFOORAYXYXQCY-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(-c2ncc(C[N@H+](C)Cc3ccccn3)s2)o1 ZINC000350393971 227005458 /nfs/dbraw/zinc/00/54/58/227005458.db2.gz QHFOORAYXYXQCY-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2c(C)cccc2C)n1 ZINC000354537092 227025888 /nfs/dbraw/zinc/02/58/88/227025888.db2.gz CCIXJSQDLUHVAC-STQMWFEESA-N 1 2 273.380 3.661 20 0 CHADLO Cc1cnc(C[NH+]2CCC(=Cc3ccccc3F)CC2)nc1 ZINC000336458424 227026095 /nfs/dbraw/zinc/02/60/95/227026095.db2.gz BPYCLTNOOYEZOC-UHFFFAOYSA-N 1 2 297.377 3.604 20 0 CHADLO CC(C)c1nc(N[C@H](c2ccccc2)[C@@H]2CCCO2)cc[nH+]1 ZINC000171910094 335084464 /nfs/dbraw/zinc/08/44/64/335084464.db2.gz ADMPWKATXVXTCE-DOTOQJQBSA-N 1 2 297.402 3.932 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CCCN1c1[nH]c2ccccc2[nH+]1 ZINC000480309815 227188063 /nfs/dbraw/zinc/18/80/63/227188063.db2.gz LCVJRACGEXWIMZ-UWVGGRQHSA-N 1 2 283.297 3.730 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H]2COc3ccc(F)cc32)o1 ZINC000336623362 227215646 /nfs/dbraw/zinc/21/56/46/227215646.db2.gz VJDMUHKXHVVXOO-ZWNOBZJWSA-N 1 2 261.296 3.511 20 0 CHADLO Cc1cc(NCCc2cccs2)nc(-c2cccnc2)[nH+]1 ZINC000301086132 227443198 /nfs/dbraw/zinc/44/31/98/227443198.db2.gz GSFXVCBWYQXWCT-UHFFFAOYSA-N 1 2 296.399 3.563 20 0 CHADLO Cc1ccc(CCCN(C)c2ccc3cc[nH]c3c2)c[nH+]1 ZINC000355291105 227593790 /nfs/dbraw/zinc/59/37/90/227593790.db2.gz MGNCHGXHNUBJFQ-UHFFFAOYSA-N 1 2 279.387 3.940 20 0 CHADLO Cc1ccc([C@@H]2CCCCN2c2cc(C)[nH+]cn2)cc1 ZINC000301608083 227609681 /nfs/dbraw/zinc/60/96/81/227609681.db2.gz ZTFVSQYDIGNNHO-INIZCTEOSA-N 1 2 267.376 3.825 20 0 CHADLO C[C@H]1CCCCN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000302381472 227842108 /nfs/dbraw/zinc/84/21/08/227842108.db2.gz IDAMCMRWWAITSK-JTQLQIEISA-N 1 2 258.287 3.727 20 0 CHADLO Cc1cccc2[nH+]c(CCc3nc([C@@H]4CC4(C)C)no3)[nH]c21 ZINC000355874653 228031412 /nfs/dbraw/zinc/03/14/12/228031412.db2.gz RZSVCVPPYIUYQV-NSHDSACASA-N 1 2 296.374 3.553 20 0 CHADLO Cc1cccc2[nH]c(CCc3nc([C@@H]4CC4(C)C)no3)[nH+]c21 ZINC000355874653 228031414 /nfs/dbraw/zinc/03/14/14/228031414.db2.gz RZSVCVPPYIUYQV-NSHDSACASA-N 1 2 296.374 3.553 20 0 CHADLO CCn1c[nH+]cc1CN1CCCSc2ccc(F)cc21 ZINC000418075692 228009486 /nfs/dbraw/zinc/00/94/86/228009486.db2.gz FGQINLOPSITIFQ-UHFFFAOYSA-N 1 2 291.395 3.545 20 0 CHADLO Cc1cccc2[nH+]c(CN(C)c3c(F)cccc3F)cn21 ZINC000353447996 228104003 /nfs/dbraw/zinc/10/40/03/228104003.db2.gz NERARWCLDUDENH-UHFFFAOYSA-N 1 2 287.313 3.557 20 0 CHADLO Cc1ccc(-c2ccc(C[N@@H+](C)Cc3cc[nH]n3)o2)cc1 ZINC000353535004 228116278 /nfs/dbraw/zinc/11/62/78/228116278.db2.gz VACKZHKRCHKTKG-UHFFFAOYSA-N 1 2 281.359 3.610 20 0 CHADLO Cc1ccc(-c2ccc(C[N@H+](C)Cc3cc[nH]n3)o2)cc1 ZINC000353535004 228116280 /nfs/dbraw/zinc/11/62/80/228116280.db2.gz VACKZHKRCHKTKG-UHFFFAOYSA-N 1 2 281.359 3.610 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1[nH]ncc1C ZINC000356095162 228118334 /nfs/dbraw/zinc/11/83/34/228118334.db2.gz XIEJDBBNUCDGPU-UHFFFAOYSA-N 1 2 283.375 3.659 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1[nH]ncc1C ZINC000356095162 228118335 /nfs/dbraw/zinc/11/83/35/228118335.db2.gz XIEJDBBNUCDGPU-UHFFFAOYSA-N 1 2 283.375 3.659 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2C/C=C/c2ccccc2)n1 ZINC000353548391 228118999 /nfs/dbraw/zinc/11/89/99/228118999.db2.gz GMHLMMOVYZRJIT-JCVNQNCUSA-N 1 2 283.375 3.618 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2C/C=C/c2ccccc2)n1 ZINC000353548391 228119001 /nfs/dbraw/zinc/11/90/01/228119001.db2.gz GMHLMMOVYZRJIT-JCVNQNCUSA-N 1 2 283.375 3.618 20 0 CHADLO Fc1cccc(F)c1[C@@H]1C[C@H]1Nc1cc[nH+]c(C2CC2)n1 ZINC000116264285 228058269 /nfs/dbraw/zinc/05/82/69/228058269.db2.gz PAAVIQQALDNUHZ-ZWNOBZJWSA-N 1 2 287.313 3.600 20 0 CHADLO Cc1cc2[nH+]cn(CC(=O)[C@H]3Cc4ccccc43)c2cc1C ZINC000355943052 228060154 /nfs/dbraw/zinc/06/01/54/228060154.db2.gz OGZMSCZAPTVQHY-INIZCTEOSA-N 1 2 290.366 3.562 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2CCCCC(F)(F)F)n1 ZINC000353557617 228120464 /nfs/dbraw/zinc/12/04/64/228120464.db2.gz VMCNNIABDTUPPT-LLVKDONJSA-N 1 2 291.317 3.638 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2CCCCC(F)(F)F)n1 ZINC000353557617 228120467 /nfs/dbraw/zinc/12/04/67/228120467.db2.gz VMCNNIABDTUPPT-LLVKDONJSA-N 1 2 291.317 3.638 20 0 CHADLO Cc1ccncc1C[N@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000353363065 228091027 /nfs/dbraw/zinc/09/10/27/228091027.db2.gz KFWXALTVYUQBSR-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1ccncc1C[N@@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000353363065 228091029 /nfs/dbraw/zinc/09/10/29/228091029.db2.gz KFWXALTVYUQBSR-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1ccc(Cc2noc(CSCCC(C)C)n2)c[nH+]1 ZINC000356107388 228123118 /nfs/dbraw/zinc/12/31/18/228123118.db2.gz GZAXWMCCXIFTPT-UHFFFAOYSA-N 1 2 291.420 3.643 20 0 CHADLO COCc1ccc(C[N@@H+]2CCc3c(Cl)cccc3C2)o1 ZINC000353577978 228125544 /nfs/dbraw/zinc/12/55/44/228125544.db2.gz UPSNGNWHNDQVJH-UHFFFAOYSA-N 1 2 291.778 3.638 20 0 CHADLO COCc1ccc(C[N@H+]2CCc3c(Cl)cccc3C2)o1 ZINC000353577978 228125547 /nfs/dbraw/zinc/12/55/47/228125547.db2.gz UPSNGNWHNDQVJH-UHFFFAOYSA-N 1 2 291.778 3.638 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N3CCCC[C@H]3C(C)C)cc2n1C ZINC000356117123 228127443 /nfs/dbraw/zinc/12/74/43/228127443.db2.gz LUYUBYGAFCBRJJ-INIZCTEOSA-N 1 2 299.418 3.532 20 0 CHADLO Cc1sccc1C[N@@H+]1C[C@H](C(F)(F)F)OC(C)(C)C1 ZINC000353599199 228128511 /nfs/dbraw/zinc/12/85/11/228128511.db2.gz WQCJAFKCYIKISQ-LLVKDONJSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1sccc1C[N@H+]1C[C@H](C(F)(F)F)OC(C)(C)C1 ZINC000353599199 228128513 /nfs/dbraw/zinc/12/85/13/228128513.db2.gz WQCJAFKCYIKISQ-LLVKDONJSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CC[C@H](C)C[C@@H]1C ZINC000351943982 228168355 /nfs/dbraw/zinc/16/83/55/228168355.db2.gz POZKWPPSYHNEOM-VHRBIJSZSA-N 1 2 275.396 3.645 20 0 CHADLO FC(F)C1CC[NH+](Cc2ncc(Cl)cc2Cl)CC1 ZINC000335961371 228171532 /nfs/dbraw/zinc/17/15/32/228171532.db2.gz OSSHDSVMJNANSH-UHFFFAOYSA-N 1 2 295.160 3.866 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@H]3CCC[C@H]32)s1 ZINC000352022890 228174866 /nfs/dbraw/zinc/17/48/66/228174866.db2.gz RUXIOIDTCDVEAI-VHSXEESVSA-N 1 2 256.802 3.561 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@H]3CCC[C@H]32)s1 ZINC000352022890 228174867 /nfs/dbraw/zinc/17/48/67/228174867.db2.gz RUXIOIDTCDVEAI-VHSXEESVSA-N 1 2 256.802 3.561 20 0 CHADLO Cc1csc2nc(C)nc(N[C@@H]3C[C@H](C)n4cc[nH+]c43)c12 ZINC000333706679 228211094 /nfs/dbraw/zinc/21/10/94/228211094.db2.gz PASGQVVVAFMUFF-GXSJLCMTSA-N 1 2 299.403 3.623 20 0 CHADLO Cc1oncc1C[N@H+]1CC=C(c2c(F)cccc2F)CC1 ZINC000336181340 228207347 /nfs/dbraw/zinc/20/73/47/228207347.db2.gz SMCGYPKGUBLNPH-UHFFFAOYSA-N 1 2 290.313 3.551 20 0 CHADLO Cc1oncc1C[N@@H+]1CC=C(c2c(F)cccc2F)CC1 ZINC000336181340 228207348 /nfs/dbraw/zinc/20/73/48/228207348.db2.gz SMCGYPKGUBLNPH-UHFFFAOYSA-N 1 2 290.313 3.551 20 0 CHADLO Brc1ccc(CCNc2cccc[nH+]2)s1 ZINC000219702446 260038320 /nfs/dbraw/zinc/03/83/20/260038320.db2.gz PPCXTRXAOUOOCB-UHFFFAOYSA-N 1 2 283.194 3.560 20 0 CHADLO Fc1cccc(CNc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000036939837 260054031 /nfs/dbraw/zinc/05/40/31/260054031.db2.gz OAQBCGDTGYERMR-UHFFFAOYSA-N 1 2 267.307 3.624 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)cc1F ZINC000179623828 260058899 /nfs/dbraw/zinc/05/88/99/260058899.db2.gz NPYIRQJSGRCUTP-SECBINFHSA-N 1 2 297.242 3.878 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)cc1F ZINC000179623828 260058901 /nfs/dbraw/zinc/05/89/01/260058901.db2.gz NPYIRQJSGRCUTP-SECBINFHSA-N 1 2 297.242 3.878 20 0 CHADLO Cc1cc(C)cc(NC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000037212405 260074400 /nfs/dbraw/zinc/07/44/00/260074400.db2.gz MMPARUDDOOHRMO-UHFFFAOYSA-N 1 2 281.403 3.779 20 0 CHADLO CCc1cc(N[C@H]2CC[C@H](C)CC2)nc(-c2ccncc2)[nH+]1 ZINC000521350097 260083541 /nfs/dbraw/zinc/08/35/41/260083541.db2.gz MPPROMAMNZAKNA-SAZUREKKSA-N 1 2 296.418 3.514 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H]2CCC[C@H]2C)c(C)[nH+]1 ZINC000186615707 260107765 /nfs/dbraw/zinc/10/77/65/260107765.db2.gz FFWQLHXLVYQOTD-QMTHXVAHSA-N 1 2 260.381 3.772 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H](C)CCC(C)(C)C)c1ccccc1 ZINC000312500360 260131924 /nfs/dbraw/zinc/13/19/24/260131924.db2.gz KIMKHPHDISIRGN-HIFRSBDPSA-N 1 2 277.408 3.705 20 0 CHADLO Cc1cc[nH+]cc1NCc1csc(Br)c1 ZINC000070958346 260160008 /nfs/dbraw/zinc/16/00/08/260160008.db2.gz XIZYJYZEDLNEGU-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO CC[C@@H](C)Oc1cccc(N[C@H](C)c2[nH+]ccn2C)c1 ZINC000070445426 260157562 /nfs/dbraw/zinc/15/75/62/260157562.db2.gz KSGSNWBGCZSVIE-CHWSQXEVSA-N 1 2 273.380 3.771 20 0 CHADLO C[C@H]([NH2+]C1(C(F)F)CC1)c1cccc(Br)c1 ZINC000309608875 260180953 /nfs/dbraw/zinc/18/09/53/260180953.db2.gz ZJNOFAJKNJHZJA-QMMMGPOBSA-N 1 2 290.151 3.897 20 0 CHADLO Cc1cc(NC(=O)c2cccc(C(F)(F)F)c2F)cc[nH+]1 ZINC000079895348 260177832 /nfs/dbraw/zinc/17/78/32/260177832.db2.gz PHYNIKYJYKNABY-UHFFFAOYSA-N 1 2 298.239 3.800 20 0 CHADLO Cc1csc([C@H](C)Nc2[nH+]c3ccccc3n2C)n1 ZINC000080321258 260180194 /nfs/dbraw/zinc/18/01/94/260180194.db2.gz GCQVGJMGKVFFBO-JTQLQIEISA-N 1 2 272.377 3.511 20 0 CHADLO C[C@H]([NH2+]Cc1nccs1)c1ccc(F)cc1Cl ZINC000041012753 260181579 /nfs/dbraw/zinc/18/15/79/260181579.db2.gz XMTXDROJEZQOTB-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CC[C@@H](c2cccnc2)C1 ZINC000516864304 260196265 /nfs/dbraw/zinc/19/62/65/260196265.db2.gz YAHVPMSKGWOALA-QGZVFWFLSA-N 1 2 289.382 3.932 20 0 CHADLO Cc1[nH+]cccc1NCc1csc(Br)c1 ZINC000085712078 260204936 /nfs/dbraw/zinc/20/49/36/260204936.db2.gz SEMFTMKZYQMQGU-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO Cc1nc2cc(NCc3c[nH+]cn3C(C)C)ccc2o1 ZINC000090207681 260238986 /nfs/dbraw/zinc/23/89/86/260238986.db2.gz HCFYDUMTSJTDIA-UHFFFAOYSA-N 1 2 270.336 3.526 20 0 CHADLO Cc1nc2ccc(NCc3c[nH+]cn3C(C)C)cc2s1 ZINC000090207916 260239476 /nfs/dbraw/zinc/23/94/76/260239476.db2.gz VSBYIFQLUIAZBS-UHFFFAOYSA-N 1 2 286.404 3.994 20 0 CHADLO Fc1ccc(CNc2cc(C(F)(F)F)cc[nH+]2)c(F)c1 ZINC000090803328 260262640 /nfs/dbraw/zinc/26/26/40/260262640.db2.gz BDCGVQSJWQXAQE-UHFFFAOYSA-N 1 2 288.219 3.991 20 0 CHADLO CCc1cccc(C[N@@H+]2CC(C)(C)[C@@H]2c2nccn2C)c1 ZINC000517945726 260323996 /nfs/dbraw/zinc/32/39/96/260323996.db2.gz FNFVRGSUXNEPER-INIZCTEOSA-N 1 2 283.419 3.566 20 0 CHADLO CCc1cccc(C[N@H+]2CC(C)(C)[C@@H]2c2nccn2C)c1 ZINC000517945726 260323998 /nfs/dbraw/zinc/32/39/98/260323998.db2.gz FNFVRGSUXNEPER-INIZCTEOSA-N 1 2 283.419 3.566 20 0 CHADLO CCc1cccc(NCc2ccc(N(C)CC)[nH+]c2)c1 ZINC000190813915 260346229 /nfs/dbraw/zinc/34/62/29/260346229.db2.gz WCKAFJZSHSRDOO-UHFFFAOYSA-N 1 2 269.392 3.712 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1ccon1 ZINC000129030804 260380781 /nfs/dbraw/zinc/38/07/81/260380781.db2.gz ZWTGNGQMMOXJID-NSHDSACASA-N 1 2 256.305 3.580 20 0 CHADLO Cc1cc(N[C@@H]2CCCC[C@H]2Cc2ccccc2)nc[nH+]1 ZINC000518365144 260384803 /nfs/dbraw/zinc/38/48/03/260384803.db2.gz HHSAGTMZIQVETQ-DLBZAZTESA-N 1 2 281.403 3.998 20 0 CHADLO CSc1ccc(C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)cc1 ZINC000152029689 261058530 /nfs/dbraw/zinc/05/85/30/261058530.db2.gz DJJSZYSFDISTNR-OAHLLOKOSA-N 1 2 287.432 3.859 20 0 CHADLO CSc1ccc(C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)cc1 ZINC000152029689 261058532 /nfs/dbraw/zinc/05/85/32/261058532.db2.gz DJJSZYSFDISTNR-OAHLLOKOSA-N 1 2 287.432 3.859 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000152272731 261062111 /nfs/dbraw/zinc/06/21/11/261062111.db2.gz SCCLTXZJTBEYMP-LBPRGKRZSA-N 1 2 269.348 3.581 20 0 CHADLO CC[C@](C)([NH2+]Cc1csc(C2CC2)n1)c1nccs1 ZINC000152665406 261067207 /nfs/dbraw/zinc/06/72/07/261067207.db2.gz YKDKGFWTQZFVAZ-AWEZNQCLSA-N 1 2 293.461 3.892 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@@H](C)[NH2+][C@H](C)c1nccs1 ZINC000153373434 261077690 /nfs/dbraw/zinc/07/76/90/261077690.db2.gz XDCQGBWBGMLPIW-ZYHUDNBSSA-N 1 2 292.452 3.815 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](C)Cc1ccccc1Cl ZINC000153522158 261079843 /nfs/dbraw/zinc/07/98/43/261079843.db2.gz VMDMBAGPCYIYLQ-LLVKDONJSA-N 1 2 288.778 3.861 20 0 CHADLO COc1ccc(CNc2cc[nH+]c(C3CC3)n2)cc1Cl ZINC000153606219 261080946 /nfs/dbraw/zinc/08/09/46/261080946.db2.gz DQNLKRPYIQJCCE-UHFFFAOYSA-N 1 2 289.766 3.628 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1nnc(-c2ccccc2)s1 ZINC000155137555 261098994 /nfs/dbraw/zinc/09/89/94/261098994.db2.gz OHMQPZPCIWEPBC-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1nnc(-c2ccccc2)s1 ZINC000155137555 261098996 /nfs/dbraw/zinc/09/89/96/261098996.db2.gz OHMQPZPCIWEPBC-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO CC(C)(C)[C@@H]1C[N@H+](Cc2cc3c(cccc3F)[nH]2)CCO1 ZINC000448360251 261126285 /nfs/dbraw/zinc/12/62/85/261126285.db2.gz SBQLRJKQTFMFAA-INIZCTEOSA-N 1 2 290.382 3.554 20 0 CHADLO CC(C)(C)[C@@H]1C[N@@H+](Cc2cc3c(cccc3F)[nH]2)CCO1 ZINC000448360251 261126287 /nfs/dbraw/zinc/12/62/87/261126287.db2.gz SBQLRJKQTFMFAA-INIZCTEOSA-N 1 2 290.382 3.554 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000448367958 261130302 /nfs/dbraw/zinc/13/03/02/261130302.db2.gz SXPDNCZKUBYUFC-CYBMUJFWSA-N 1 2 282.453 3.513 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000448367958 261130303 /nfs/dbraw/zinc/13/03/03/261130303.db2.gz SXPDNCZKUBYUFC-CYBMUJFWSA-N 1 2 282.453 3.513 20 0 CHADLO Cc1ccc([C@H](C)N(C)c2cc[nH+]c(C)n2)c(C)c1 ZINC000360975576 261141630 /nfs/dbraw/zinc/14/16/30/261141630.db2.gz UFUMTROPMRXOQG-ZDUSSCGKSA-N 1 2 255.365 3.599 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+][C@H]1CCCc3[nH]ncc31)CCC2 ZINC000367599336 261259048 /nfs/dbraw/zinc/25/90/48/261259048.db2.gz VEWRBDNTUHCEPC-CVEARBPZSA-N 1 2 285.366 3.593 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(OC(F)F)c2)c(C)[nH+]1 ZINC000176201552 261269808 /nfs/dbraw/zinc/26/98/08/261269808.db2.gz CVFIAEDHCSIXKB-UHFFFAOYSA-N 1 2 292.285 3.552 20 0 CHADLO CC[C@@H](C)Sc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000102892176 261271732 /nfs/dbraw/zinc/27/17/32/261271732.db2.gz GDKGTVWURUXIJA-LLVKDONJSA-N 1 2 284.388 3.706 20 0 CHADLO Cc1nn(C)cc1C[N@H+](Cc1ccco1)Cc1ccccc1 ZINC000162204323 261273544 /nfs/dbraw/zinc/27/35/44/261273544.db2.gz WPLHZRBBVIWLJT-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO Cc1nn(C)cc1C[N@@H+](Cc1ccco1)Cc1ccccc1 ZINC000162204323 261273546 /nfs/dbraw/zinc/27/35/46/261273546.db2.gz WPLHZRBBVIWLJT-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2cncc(F)c2)cs1 ZINC000162388910 261280421 /nfs/dbraw/zinc/28/04/21/261280421.db2.gz ROAYMENSDKRZEP-VHSXEESVSA-N 1 2 279.384 3.651 20 0 CHADLO CCn1cc[nH+]c1CNc1ccc(C)c(Br)c1 ZINC000103881785 261287638 /nfs/dbraw/zinc/28/76/38/261287638.db2.gz IZDPFULNAPOQCK-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO CCCC(CCC)[S@@](=O)Cc1cn2ccccc2[nH+]1 ZINC000176640235 261302310 /nfs/dbraw/zinc/30/23/10/261302310.db2.gz AQWWKVMMSQARHX-IBGZPJMESA-N 1 2 278.421 3.552 20 0 CHADLO Cc1ccc(NC(=O)N(CC(C)C)CC(C)C)c(C)[nH+]1 ZINC000176704223 261305286 /nfs/dbraw/zinc/30/52/86/261305286.db2.gz ZXAYSZPRBZPZLG-UHFFFAOYSA-N 1 2 277.412 3.844 20 0 CHADLO Cc1cc(N2CCS[C@@H]3CCCC[C@@H]32)nc(C2CC2)[nH+]1 ZINC000176855824 261313247 /nfs/dbraw/zinc/31/32/47/261313247.db2.gz KDXDFNCVANKGAU-UONOGXRCSA-N 1 2 289.448 3.527 20 0 CHADLO Clc1ccc2[nH+]c(CSCCOCC3CC3)cn2c1 ZINC000176905186 261315798 /nfs/dbraw/zinc/31/57/98/261315798.db2.gz LMDDRKWAPGLGHO-UHFFFAOYSA-N 1 2 296.823 3.648 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccn1)c1cc(Cl)ccc1Cl ZINC000361762754 261389944 /nfs/dbraw/zinc/38/99/44/261389944.db2.gz CINCLFHZRHDHPI-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO Cc1csc([C@H]([NH2+]C/C(Cl)=C/Cl)C2CC2)n1 ZINC000183495042 261492408 /nfs/dbraw/zinc/49/24/08/261492408.db2.gz DJASKJPSNHSQDJ-ZUYFITGHSA-N 1 2 277.220 3.811 20 0 CHADLO Cc1csc(C[N@H+](C)CCOc2ccccc2Cl)n1 ZINC000172403422 261499873 /nfs/dbraw/zinc/49/98/73/261499873.db2.gz BCARQPNFZRWJCL-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1csc(C[N@@H+](C)CCOc2ccccc2Cl)n1 ZINC000172403422 261499874 /nfs/dbraw/zinc/49/98/74/261499874.db2.gz BCARQPNFZRWJCL-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1cc(N)nc(S[C@H](C)c2ncc(C(C)(C)C)o2)[nH+]1 ZINC000177209878 261691569 /nfs/dbraw/zinc/69/15/69/261691569.db2.gz GSEWOPUFAXTWKE-SECBINFHSA-N 1 2 292.408 3.506 20 0 CHADLO COc1ccc([C@H]2CCCN2c2cc[nH+]c(C(C)C)n2)cc1 ZINC000106462620 261844196 /nfs/dbraw/zinc/84/41/96/261844196.db2.gz FDGMNZUTADGVET-MRXNPFEDSA-N 1 2 297.402 3.950 20 0 CHADLO Cc1c([C@H](C)Nc2cc(C)[nH+]c3c(F)cccc23)cnn1C ZINC000180401188 261857889 /nfs/dbraw/zinc/85/78/89/261857889.db2.gz MWPWRUACEAQSBM-NSHDSACASA-N 1 2 298.365 3.897 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)Cc1c[nH]c2cc(Cl)ccc12 ZINC000107291331 261882305 /nfs/dbraw/zinc/88/23/05/261882305.db2.gz HTCJHIDZSZJZOU-UHFFFAOYSA-N 1 2 299.761 3.706 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2noc(-c3ccsc3)n2)cc1 ZINC000182347445 261935681 /nfs/dbraw/zinc/93/56/81/261935681.db2.gz RQELIFSMHFDIRT-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2cc3ccccc3s2)no1 ZINC000184375773 262018538 /nfs/dbraw/zinc/01/85/38/262018538.db2.gz PPBWTDQIZKJYFH-JTQLQIEISA-N 1 2 287.388 3.698 20 0 CHADLO CC[N@H+](Cc1ccccc1Cl)[C@@H](C)c1cnccn1 ZINC000184438475 262020693 /nfs/dbraw/zinc/02/06/93/262020693.db2.gz JKFKTGNNSUZSJO-LBPRGKRZSA-N 1 2 275.783 3.713 20 0 CHADLO CC[N@@H+](Cc1ccccc1Cl)[C@@H](C)c1cnccn1 ZINC000184438475 262020695 /nfs/dbraw/zinc/02/06/95/262020695.db2.gz JKFKTGNNSUZSJO-LBPRGKRZSA-N 1 2 275.783 3.713 20 0 CHADLO Oc1cc(F)cc(C[N@@H+]2CCCC[C@H]2c2nccs2)c1 ZINC000186205920 262084633 /nfs/dbraw/zinc/08/46/33/262084633.db2.gz FMIHSNJQTMOSMN-AWEZNQCLSA-N 1 2 292.379 3.715 20 0 CHADLO Oc1cc(F)cc(C[N@H+]2CCCC[C@H]2c2nccs2)c1 ZINC000186205920 262084634 /nfs/dbraw/zinc/08/46/34/262084634.db2.gz FMIHSNJQTMOSMN-AWEZNQCLSA-N 1 2 292.379 3.715 20 0 CHADLO COc1ncccc1C[NH2+][C@@H](C)c1csc(C(C)C)n1 ZINC000186236878 262085080 /nfs/dbraw/zinc/08/50/80/262085080.db2.gz PMCMQQITKMGYMP-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@H](CCO)c2ccco2)cs1 ZINC000186522769 262097599 /nfs/dbraw/zinc/09/75/99/262097599.db2.gz IEZCZXFWRDSTSN-NWDGAFQWSA-N 1 2 294.420 3.634 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1Cl)[N@@H+]1CCCC2(CC2)C1 ZINC000186968940 262119080 /nfs/dbraw/zinc/11/90/80/262119080.db2.gz UGUBDENNONMTCK-GFCCVEGCSA-N 1 2 292.810 3.543 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1Cl)[N@H+]1CCCC2(CC2)C1 ZINC000186968940 262119082 /nfs/dbraw/zinc/11/90/82/262119082.db2.gz UGUBDENNONMTCK-GFCCVEGCSA-N 1 2 292.810 3.543 20 0 CHADLO C[C@H](C(=O)Nc1ccsc1Cl)[NH+]1CCCCCC1 ZINC000186973811 262119273 /nfs/dbraw/zinc/11/92/73/262119273.db2.gz AOTKHYZOEWYQEN-SNVBAGLBSA-N 1 2 286.828 3.605 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1c(F)cccc1Cl ZINC000310403030 262155235 /nfs/dbraw/zinc/15/52/35/262155235.db2.gz LCZFFNSPUKHVSX-UHFFFAOYSA-N 1 2 253.704 3.670 20 0 CHADLO Cn1cccc1CNc1ccc([N@@H+]2C[C@H]3CC[C@@H]2C3)cc1 ZINC000192215484 262200140 /nfs/dbraw/zinc/20/01/40/262200140.db2.gz UAIRJIFPEFADCX-WMLDXEAASA-N 1 2 281.403 3.626 20 0 CHADLO Cn1cccc1CNc1ccc([N@H+]2C[C@H]3CC[C@@H]2C3)cc1 ZINC000192215484 262200141 /nfs/dbraw/zinc/20/01/41/262200141.db2.gz UAIRJIFPEFADCX-WMLDXEAASA-N 1 2 281.403 3.626 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2F)[C@H](c2ccccc2)CO1 ZINC000525781155 262226287 /nfs/dbraw/zinc/22/62/87/262226287.db2.gz ZHUXOPKIVXKJID-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2F)[C@H](c2ccccc2)CO1 ZINC000525781155 262226288 /nfs/dbraw/zinc/22/62/88/262226288.db2.gz ZHUXOPKIVXKJID-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO OCCC[N@H+](C[C@H]1CCCC(F)(F)C1)c1ccccc1 ZINC000514090542 262238370 /nfs/dbraw/zinc/23/83/70/262238370.db2.gz RFKXXFBUCQLREJ-AWEZNQCLSA-N 1 2 283.362 3.701 20 0 CHADLO OCCC[N@@H+](C[C@H]1CCCC(F)(F)C1)c1ccccc1 ZINC000514090542 262238372 /nfs/dbraw/zinc/23/83/72/262238372.db2.gz RFKXXFBUCQLREJ-AWEZNQCLSA-N 1 2 283.362 3.701 20 0 CHADLO Cc1nc(C)c(CNc2ccc3ccccc3[nH+]2)s1 ZINC000312075071 262347597 /nfs/dbraw/zinc/34/75/97/262347597.db2.gz JEQUOFCPIIBSJZ-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO C[NH+](C)c1ccc(NCc2c[nH]nc2-c2cccs2)cc1 ZINC000057784721 262350109 /nfs/dbraw/zinc/35/01/09/262350109.db2.gz OIKAPPMSMBBDMQ-UHFFFAOYSA-N 1 2 298.415 3.816 20 0 CHADLO CC[C@@H](C)[C@H](C)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000182336558 262535168 /nfs/dbraw/zinc/53/51/68/262535168.db2.gz WLEUYSAJAZHLAI-NEPJUHHUSA-N 1 2 271.364 3.697 20 0 CHADLO C[NH2+]Cc1noc(CC2(CCc3ccccc3)CCCC2)n1 ZINC000414503939 262968720 /nfs/dbraw/zinc/96/87/20/262968720.db2.gz RMQNNFVEBLGCIM-UHFFFAOYSA-N 1 2 299.418 3.525 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2C[C@@H](C)[C@H]2c2ccccc2)s1 ZINC000273196837 263013897 /nfs/dbraw/zinc/01/38/97/263013897.db2.gz PRFYMMMEHUBBIY-SUNKGSAMSA-N 1 2 273.405 3.601 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2C[C@@H](C)[C@H]2c2ccccc2)s1 ZINC000273196837 263013898 /nfs/dbraw/zinc/01/38/98/263013898.db2.gz PRFYMMMEHUBBIY-SUNKGSAMSA-N 1 2 273.405 3.601 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)c2cccc(F)c2)[nH]1 ZINC000277382094 263040833 /nfs/dbraw/zinc/04/08/33/263040833.db2.gz XQJDVFDCSOVGCP-TUAOUCFPSA-N 1 2 290.386 3.869 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]Cc2nnc(C(C)C)o2)cc1 ZINC000281228855 263067244 /nfs/dbraw/zinc/06/72/44/263067244.db2.gz FGZGDOBGYXWNNY-NSHDSACASA-N 1 2 291.420 3.766 20 0 CHADLO Fc1ccccc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414851295 263067717 /nfs/dbraw/zinc/06/77/17/263067717.db2.gz OHWMUDUZRRXPPD-UHFFFAOYSA-N 1 2 256.324 3.796 20 0 CHADLO Cc1ccccc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414852866 263070257 /nfs/dbraw/zinc/07/02/57/263070257.db2.gz QSDADIFXKQIFHU-UHFFFAOYSA-N 1 2 252.361 3.965 20 0 CHADLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)c1ccccc1C ZINC000281878490 263072743 /nfs/dbraw/zinc/07/27/43/263072743.db2.gz RYGYCUDHPPNQEC-INIZCTEOSA-N 1 2 297.402 3.579 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H](C)c2ccncc2F)n1 ZINC000340269085 263103484 /nfs/dbraw/zinc/10/34/84/263103484.db2.gz ZEIBCFKZSGHRCV-JTQLQIEISA-N 1 2 279.384 3.651 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccnc(Cl)c2Cl)CCC1(F)F ZINC000291684827 263124813 /nfs/dbraw/zinc/12/48/13/263124813.db2.gz XMDRPVKBJQOQLL-MRVPVSSYSA-N 1 2 295.160 3.866 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccnc(Cl)c2Cl)CCC1(F)F ZINC000291684827 263124814 /nfs/dbraw/zinc/12/48/14/263124814.db2.gz XMDRPVKBJQOQLL-MRVPVSSYSA-N 1 2 295.160 3.866 20 0 CHADLO Cc1ccccc1C(C)(C)[NH2+]Cc1noc(C(C)C)n1 ZINC000292919567 263136624 /nfs/dbraw/zinc/13/66/24/263136624.db2.gz GDCZRKDDTCAYIM-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)Cc2c(F)cccc2F)s1 ZINC000293425399 263140236 /nfs/dbraw/zinc/14/02/36/263140236.db2.gz NJKUPAOLSRVKEU-UHFFFAOYSA-N 1 2 282.359 3.670 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)Cc2c(F)cccc2F)s1 ZINC000293425399 263140238 /nfs/dbraw/zinc/14/02/38/263140238.db2.gz NJKUPAOLSRVKEU-UHFFFAOYSA-N 1 2 282.359 3.670 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@H+](C)Cc1cc(C)on1 ZINC000293466585 263140998 /nfs/dbraw/zinc/14/09/98/263140998.db2.gz LKWXUVJBNAGFPN-UHFFFAOYSA-N 1 2 287.407 3.696 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@@H+](C)Cc1cc(C)on1 ZINC000293466585 263141000 /nfs/dbraw/zinc/14/10/00/263141000.db2.gz LKWXUVJBNAGFPN-UHFFFAOYSA-N 1 2 287.407 3.696 20 0 CHADLO c1csc([C@@H]([NH2+]CCCC2CCCC2)c2nnc[nH]2)c1 ZINC000293870401 263151225 /nfs/dbraw/zinc/15/12/25/263151225.db2.gz UWJNCWXRNQGWSY-CQSZACIVSA-N 1 2 290.436 3.516 20 0 CHADLO CCCC[C@@H](CC)C[NH2+][C@@H](c1cccs1)c1nnc[nH]1 ZINC000293870449 263151578 /nfs/dbraw/zinc/15/15/78/263151578.db2.gz UWLDWXHGHWBHPF-OCCSQVGLSA-N 1 2 292.452 3.762 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nccc2ccccc21)C(F)F ZINC000453233192 263205448 /nfs/dbraw/zinc/20/54/48/263205448.db2.gz HKIYNJWGOOQNBE-MFKMUULPSA-N 1 2 264.319 3.929 20 0 CHADLO CC[C@H]([NH2+][C@@H](COC)c1ccc(Cl)cc1)C(F)F ZINC000453254005 263210995 /nfs/dbraw/zinc/21/09/95/263210995.db2.gz XOVIXLJPHZWAFP-RYUDHWBXSA-N 1 2 277.742 3.661 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1cnn(C2CCCC2)c1 ZINC000453281768 263217214 /nfs/dbraw/zinc/21/72/14/263217214.db2.gz HRPNDPNBFOFVIR-QWRGUYRKSA-N 1 2 271.355 3.693 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2c(s1)CCC2)C(C)(F)F ZINC000453293541 263221807 /nfs/dbraw/zinc/22/18/07/263221807.db2.gz ONZQOUUKGPKCIX-DTWKUNHWSA-N 1 2 259.365 3.931 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCc2sccc2[C@H]1C ZINC000299549089 263246193 /nfs/dbraw/zinc/24/61/93/263246193.db2.gz BSPJZUJNYDHHPM-GFCCVEGCSA-N 1 2 275.421 3.645 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCc2sccc2[C@H]1C ZINC000299549089 263246194 /nfs/dbraw/zinc/24/61/94/263246194.db2.gz BSPJZUJNYDHHPM-GFCCVEGCSA-N 1 2 275.421 3.645 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1nccn1C ZINC000299567149 263247581 /nfs/dbraw/zinc/24/75/81/263247581.db2.gz GITNTWQGPAMXDZ-NSHDSACASA-N 1 2 297.324 3.632 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1nccn1C ZINC000299567149 263247583 /nfs/dbraw/zinc/24/75/83/263247583.db2.gz GITNTWQGPAMXDZ-NSHDSACASA-N 1 2 297.324 3.632 20 0 CHADLO CC(C)n1ccnc1C[N@H+](Cc1cccs1)C(C)C ZINC000299572392 263248499 /nfs/dbraw/zinc/24/84/99/263248499.db2.gz HNTWNFIWPUJVHT-UHFFFAOYSA-N 1 2 277.437 3.936 20 0 CHADLO CC(C)n1ccnc1C[N@@H+](Cc1cccs1)C(C)C ZINC000299572392 263248500 /nfs/dbraw/zinc/24/85/00/263248500.db2.gz HNTWNFIWPUJVHT-UHFFFAOYSA-N 1 2 277.437 3.936 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H](C)c2cc(C)c(C)o2)o1 ZINC000453392637 263260324 /nfs/dbraw/zinc/26/03/24/263260324.db2.gz RHVOZQFTTDRPAV-NSHDSACASA-N 1 2 262.353 3.688 20 0 CHADLO c1sc(Cc2cn3ccccc3[nH+]2)nc1C1CCC1 ZINC000440510546 263302810 /nfs/dbraw/zinc/30/28/10/263302810.db2.gz KJOSUSAHJDIUEZ-UHFFFAOYSA-N 1 2 269.373 3.649 20 0 CHADLO Cc1cc[nH+]c(NCCc2c[nH]c3ncccc23)c1Cl ZINC000342786806 263485056 /nfs/dbraw/zinc/48/50/56/263485056.db2.gz VKGMTIQPJLICSP-UHFFFAOYSA-N 1 2 286.766 3.574 20 0 CHADLO CSc1ccc(N(C)Cc2c[nH+]cn2C(C)C)cc1 ZINC000425334680 264011994 /nfs/dbraw/zinc/01/19/94/264011994.db2.gz GXGOEIOVUYNLBU-UHFFFAOYSA-N 1 2 275.421 3.822 20 0 CHADLO CC(C)n1c[nH+]cc1CN1C[C@@H](C)Cc2ccccc21 ZINC000425350416 264025100 /nfs/dbraw/zinc/02/51/00/264025100.db2.gz LNLUFLLHIAEKJB-AWEZNQCLSA-N 1 2 269.392 3.663 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C(C)(C)C)[nH]1)c1ccc(Cl)s1 ZINC000331209886 264162291 /nfs/dbraw/zinc/16/22/91/264162291.db2.gz XDBGWUNVSJLJDE-QMMMGPOBSA-N 1 2 298.843 3.668 20 0 CHADLO CC[C@H]1CCCC[C@@H]1OCC(=O)Nc1c(C)cc[nH+]c1C ZINC000331244893 264178568 /nfs/dbraw/zinc/17/85/68/264178568.db2.gz REWRUXCTHQNBLV-GJZGRUSLSA-N 1 2 290.407 3.622 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1c(F)cc(C)cc1F ZINC000425377150 264216134 /nfs/dbraw/zinc/21/61/34/264216134.db2.gz GIIFNLREFIDGIJ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1c(F)cc(C)cc1F ZINC000425377150 264216135 /nfs/dbraw/zinc/21/61/35/264216135.db2.gz GIIFNLREFIDGIJ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCC(F)(F)[C@@H](C)C2)c(F)c1 ZINC000425381975 264219112 /nfs/dbraw/zinc/21/91/12/264219112.db2.gz NBAJHQRIDDIHFJ-JTQLQIEISA-N 1 2 275.289 3.750 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCC(F)(F)[C@@H](C)C2)c(F)c1 ZINC000425381975 264219114 /nfs/dbraw/zinc/21/91/14/264219114.db2.gz NBAJHQRIDDIHFJ-JTQLQIEISA-N 1 2 275.289 3.750 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cc(Cl)ccc2F)n1 ZINC000188972103 264261043 /nfs/dbraw/zinc/26/10/43/264261043.db2.gz WHASEDUZKVVZGC-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cc(Cl)ccc2F)n1 ZINC000188972103 264261045 /nfs/dbraw/zinc/26/10/45/264261045.db2.gz WHASEDUZKVVZGC-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nc(C(F)F)no2)cc1 ZINC000425409768 264285986 /nfs/dbraw/zinc/28/59/86/264285986.db2.gz VUJOYENQWNMQTM-SNVBAGLBSA-N 1 2 281.306 3.509 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nc(C(F)F)no2)cc1 ZINC000425409768 264285987 /nfs/dbraw/zinc/28/59/87/264285987.db2.gz VUJOYENQWNMQTM-SNVBAGLBSA-N 1 2 281.306 3.509 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(Cl)cc2F)c1 ZINC000189401114 264300035 /nfs/dbraw/zinc/30/00/35/264300035.db2.gz HEWULMFZQCODJI-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO Cc1cc(N[C@@H](C)C(C)(C)C)nc(-c2cccnc2)[nH+]1 ZINC000128702865 264300414 /nfs/dbraw/zinc/30/04/14/264300414.db2.gz IFJCAGMHMBIICQ-LBPRGKRZSA-N 1 2 270.380 3.694 20 0 CHADLO CC(C)OCC[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000189491618 264302763 /nfs/dbraw/zinc/30/27/63/264302763.db2.gz CFLUTCHMOLPTKZ-CJNGLKHVSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OCC[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000189491618 264302765 /nfs/dbraw/zinc/30/27/65/264302765.db2.gz CFLUTCHMOLPTKZ-CJNGLKHVSA-N 1 2 297.826 3.527 20 0 CHADLO COc1cc[nH+]cc1NC(=O)Nc1cc(Cl)ccc1C ZINC000426016563 264305194 /nfs/dbraw/zinc/30/51/94/264305194.db2.gz KGRAUIXGTUXSAN-UHFFFAOYSA-N 1 2 291.738 3.696 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3ccsc3Cl)n2c1 ZINC000189525724 264305809 /nfs/dbraw/zinc/30/58/09/264305809.db2.gz HODUMLXCPBDCIZ-UHFFFAOYSA-N 1 2 277.780 3.970 20 0 CHADLO Cc1noc(C)c1[C@H](C)CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000129414458 264324129 /nfs/dbraw/zinc/32/41/29/264324129.db2.gz ZNJGKKKOGLMQOQ-MRVPVSSYSA-N 1 2 299.296 3.921 20 0 CHADLO C[C@H]1C[C@H](C(C)(C)C)CC[N@@H+]1Cc1nc(C(F)F)no1 ZINC000425460781 264332640 /nfs/dbraw/zinc/33/26/40/264332640.db2.gz SXHOEBKGPDFOGN-VHSXEESVSA-N 1 2 287.354 3.654 20 0 CHADLO C[C@H]1C[C@H](C(C)(C)C)CC[N@H+]1Cc1nc(C(F)F)no1 ZINC000425460781 264332642 /nfs/dbraw/zinc/33/26/42/264332642.db2.gz SXHOEBKGPDFOGN-VHSXEESVSA-N 1 2 287.354 3.654 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C(F)F)no1)c1ccc(C)cc1 ZINC000425460224 264332713 /nfs/dbraw/zinc/33/27/13/264332713.db2.gz QNYKNTICWHNXGM-NSHDSACASA-N 1 2 281.306 3.557 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccccc2Cl)cs1 ZINC000054918005 264350640 /nfs/dbraw/zinc/35/06/40/264350640.db2.gz XKBRZNVKYDTROO-UHFFFAOYSA-N 1 2 266.797 3.649 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(C3CCCC3)no2)cc1 ZINC000182352881 264501618 /nfs/dbraw/zinc/50/16/18/264501618.db2.gz MRNMBAJBTVACHQ-CYBMUJFWSA-N 1 2 285.391 3.886 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)CCc1cccc(F)c1F ZINC000425585915 264947189 /nfs/dbraw/zinc/94/71/89/264947189.db2.gz JIGUOAGZXMTWBO-UHFFFAOYSA-N 1 2 290.313 3.548 20 0 CHADLO CCC[C@H](Cc1ccccc1)Nc1cc[nH+]c(C2CC2)n1 ZINC000518895602 265200611 /nfs/dbraw/zinc/20/06/11/265200611.db2.gz ABIFRPNYBBPMKO-MRXNPFEDSA-N 1 2 281.403 3.599 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)c1c(F)cccc1F ZINC000518911133 265211845 /nfs/dbraw/zinc/21/18/45/265211845.db2.gz OACFZOZFSZGBSH-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C2(C3CCC3)CCC2)n1 ZINC000519084859 265317249 /nfs/dbraw/zinc/31/72/49/265317249.db2.gz NFWSYMZAKIAWKH-UHFFFAOYSA-N 1 2 297.402 3.780 20 0 CHADLO CC(C)c1nc(N[C@@H]2CCCc3ccccc32)cc[nH+]1 ZINC000519300285 265389173 /nfs/dbraw/zinc/38/91/73/265389173.db2.gz DFFDKGUCGZDYCA-OAHLLOKOSA-N 1 2 267.376 3.511 20 0 CHADLO Cc1c[nH+]c(C)nc1N1C[C@H](c2ccc(F)cc2)C[C@@H]1C ZINC000519700817 265553969 /nfs/dbraw/zinc/55/39/69/265553969.db2.gz GUMWGOLCPMUCRC-SWLSCSKDSA-N 1 2 285.366 3.615 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC(F)(F)[C@@H](C)C2)n1 ZINC000356239968 266079844 /nfs/dbraw/zinc/07/98/44/266079844.db2.gz BHBBYWPFOSJPQK-JTQLQIEISA-N 1 2 274.380 3.573 20 0 CHADLO CCCc1csc(C[N@H+]2CCC(F)(F)[C@@H](C)C2)n1 ZINC000356239968 266079849 /nfs/dbraw/zinc/07/98/49/266079849.db2.gz BHBBYWPFOSJPQK-JTQLQIEISA-N 1 2 274.380 3.573 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2ccc(F)c(C)c2)o1 ZINC000356281657 266086697 /nfs/dbraw/zinc/08/66/97/266086697.db2.gz MPGBIUZPJXFTMQ-NEPJUHHUSA-N 1 2 262.328 3.842 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)c(C)c2)o1 ZINC000356281664 266087229 /nfs/dbraw/zinc/08/72/29/266087229.db2.gz MPGBIUZPJXFTMQ-VXGBXAGGSA-N 1 2 262.328 3.842 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2ccc(-n3cccn3)cc2)o1 ZINC000356282508 266087481 /nfs/dbraw/zinc/08/74/81/266087481.db2.gz NJIOFKJHRIZTDY-KGLIPLIRSA-N 1 2 296.374 3.581 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2ccccc2-n2cccn2)o1 ZINC000356314456 266093582 /nfs/dbraw/zinc/09/35/82/266093582.db2.gz SHZHNJHFDLEIOQ-UONOGXRCSA-N 1 2 296.374 3.581 20 0 CHADLO C[C@@H]1COC(C)(C)C[N@@H+]1Cc1cscc1C(F)(F)F ZINC000356401933 266107463 /nfs/dbraw/zinc/10/74/63/266107463.db2.gz XBYMEUHUTWNZEA-SECBINFHSA-N 1 2 293.354 3.766 20 0 CHADLO C[C@@H]1COC(C)(C)C[N@H+]1Cc1cscc1C(F)(F)F ZINC000356401933 266107467 /nfs/dbraw/zinc/10/74/67/266107467.db2.gz XBYMEUHUTWNZEA-SECBINFHSA-N 1 2 293.354 3.766 20 0 CHADLO Cc1c[nH]nc1C[N@H+](CCC(F)(F)F)Cc1ccccc1 ZINC000356409526 266108679 /nfs/dbraw/zinc/10/86/79/266108679.db2.gz USSWSDHLXKFIDK-UHFFFAOYSA-N 1 2 297.324 3.673 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](CCC(F)(F)F)Cc1ccccc1 ZINC000356409526 266108682 /nfs/dbraw/zinc/10/86/82/266108682.db2.gz USSWSDHLXKFIDK-UHFFFAOYSA-N 1 2 297.324 3.673 20 0 CHADLO CC[C@]1(C)COCC[N@@H+]1Cc1cscc1C(F)(F)F ZINC000356424704 266111068 /nfs/dbraw/zinc/11/10/68/266111068.db2.gz XABLOTHAITZFQO-GFCCVEGCSA-N 1 2 293.354 3.768 20 0 CHADLO CC[C@]1(C)COCC[N@H+]1Cc1cscc1C(F)(F)F ZINC000356424704 266111069 /nfs/dbraw/zinc/11/10/69/266111069.db2.gz XABLOTHAITZFQO-GFCCVEGCSA-N 1 2 293.354 3.768 20 0 CHADLO CC(C)CC1(C)CCN(C(=O)c2ccc3[nH+]ccn3c2)CC1 ZINC000356489264 266124330 /nfs/dbraw/zinc/12/43/30/266124330.db2.gz YPLXDLQANQHQRW-UHFFFAOYSA-N 1 2 299.418 3.623 20 0 CHADLO CC/C=C(\C)c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000356596121 266145256 /nfs/dbraw/zinc/14/52/56/266145256.db2.gz KQUYITCCANXLSK-LFYBBSHMSA-N 1 2 280.331 3.736 20 0 CHADLO Fc1ccc(CCN(c2cc[nH+]c(C3CC3)n2)C2CC2)cc1 ZINC000356761368 266170451 /nfs/dbraw/zinc/17/04/51/266170451.db2.gz HIQDZEFBVHAGOZ-UHFFFAOYSA-N 1 2 297.377 3.705 20 0 CHADLO C[C@@H]1C[C@H](CNc2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000356796710 266175984 /nfs/dbraw/zinc/17/59/84/266175984.db2.gz RUFPACYNWXCGPW-VXGBXAGGSA-N 1 2 274.339 3.601 20 0 CHADLO C[C@H](c1noc(C[N@H+](C)Cc2ccccc2)n1)C(C)(C)C ZINC000356878136 266202426 /nfs/dbraw/zinc/20/24/26/266202426.db2.gz JHXQKURZJPDUTO-CYBMUJFWSA-N 1 2 287.407 3.851 20 0 CHADLO C[C@H](c1noc(C[N@@H+](C)Cc2ccccc2)n1)C(C)(C)C ZINC000356878136 266202428 /nfs/dbraw/zinc/20/24/28/266202428.db2.gz JHXQKURZJPDUTO-CYBMUJFWSA-N 1 2 287.407 3.851 20 0 CHADLO C[C@H]1C[NH+](Cc2ccc(Oc3ccccc3)o2)C[C@H](C)O1 ZINC000356935221 266214059 /nfs/dbraw/zinc/21/40/59/266214059.db2.gz ZBMHACAXNQXTNK-KBPBESRZSA-N 1 2 287.359 3.681 20 0 CHADLO Cn1cc[nH+]c1[C@@H](Nc1ccc(F)cc1)c1cccc(F)c1 ZINC000356980921 266224314 /nfs/dbraw/zinc/22/43/14/266224314.db2.gz GCFYDVAVHZPUTM-INIZCTEOSA-N 1 2 299.324 3.900 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccc(Cl)c(F)c2)o1 ZINC000357008038 266232205 /nfs/dbraw/zinc/23/22/05/266232205.db2.gz POGJFHAUVZYJJB-JTQLQIEISA-N 1 2 282.746 3.969 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccc(Cl)c(F)c2)o1 ZINC000357008038 266232207 /nfs/dbraw/zinc/23/22/07/266232207.db2.gz POGJFHAUVZYJJB-JTQLQIEISA-N 1 2 282.746 3.969 20 0 CHADLO C[C@H](CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1)C1CCCCC1 ZINC000357130205 266252519 /nfs/dbraw/zinc/25/25/19/266252519.db2.gz PWFJOIKXKOSKSH-UKRRQHHQSA-N 1 2 289.423 3.680 20 0 CHADLO FC1=CCC[N@H+](Cc2cnc(-c3ccsc3)s2)C1 ZINC000357212537 266266244 /nfs/dbraw/zinc/26/62/44/266266244.db2.gz JKOWZUXCAKENIP-UHFFFAOYSA-N 1 2 280.393 3.931 20 0 CHADLO FC1=CCC[N@@H+](Cc2cnc(-c3ccsc3)s2)C1 ZINC000357212537 266266246 /nfs/dbraw/zinc/26/62/46/266266246.db2.gz JKOWZUXCAKENIP-UHFFFAOYSA-N 1 2 280.393 3.931 20 0 CHADLO COCc1cc(N2CCC(C)CC2)c2cc(F)ccc2[nH+]1 ZINC000357306213 266273806 /nfs/dbraw/zinc/27/38/06/266273806.db2.gz SCDOBPGFWHPSCL-UHFFFAOYSA-N 1 2 288.366 3.757 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)CCc2[nH]cc[nH+]2)cc1 ZINC000357459479 266301677 /nfs/dbraw/zinc/30/16/77/266301677.db2.gz WKOHYDNDWWXHLD-UHFFFAOYSA-N 1 2 285.391 3.669 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2ccc3sccc3c2)[nH+]1 ZINC000357601902 266333643 /nfs/dbraw/zinc/33/36/43/266333643.db2.gz LNPHXJSLMAVSKK-UHFFFAOYSA-N 1 2 294.383 3.515 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CC23CCCCC3)c(C)[nH+]1 ZINC000357661317 266342458 /nfs/dbraw/zinc/34/24/58/266342458.db2.gz RQGCFNZNPFKMJX-ZDUSSCGKSA-N 1 2 258.365 3.607 20 0 CHADLO CSc1ccc(F)cc1C[NH2+][C@H](C)c1nccs1 ZINC000357776980 266359590 /nfs/dbraw/zinc/35/95/90/266359590.db2.gz GKXRJPZXASWLHW-SECBINFHSA-N 1 2 282.409 3.855 20 0 CHADLO COC1CCN(c2cc(C(C)(C)C)[nH+]c(C(C)C)n2)CC1 ZINC000357804029 266367794 /nfs/dbraw/zinc/36/77/94/266367794.db2.gz YPGZYXMYFQHFTF-UHFFFAOYSA-N 1 2 291.439 3.513 20 0 CHADLO C[C@H](CC1CCCC1)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000358178965 266434296 /nfs/dbraw/zinc/43/42/96/266434296.db2.gz HPJDNQILSAKWJD-HIFRSBDPSA-N 1 2 289.423 3.680 20 0 CHADLO COc1ccc(CC[NH2+]c2ccc(-n3cccn3)cc2)cc1 ZINC000359521025 266596548 /nfs/dbraw/zinc/59/65/48/266596548.db2.gz FFDJGLQJKLTVKM-UHFFFAOYSA-N 1 2 293.370 3.536 20 0 CHADLO Fc1cccc2oc(Oc3cccc(-n4cc[nH+]c4)c3)nc21 ZINC000362642079 267028326 /nfs/dbraw/zinc/02/83/26/267028326.db2.gz HIBUKNWWFWXXNL-UHFFFAOYSA-N 1 2 295.273 3.945 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367535009 267096973 /nfs/dbraw/zinc/09/69/73/267096973.db2.gz ZTCLWUCCFPYWER-CVEARBPZSA-N 1 2 299.418 3.927 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+]Cc2ncoc2-c2ccccc2)c1 ZINC000367602294 267102958 /nfs/dbraw/zinc/10/29/58/267102958.db2.gz SNMIISRISJBVEG-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO CS[C@H]1CCC[C@@H](Nc2cc[nH+]c(C(C)C)n2)C1 ZINC000117327936 267211354 /nfs/dbraw/zinc/21/13/54/267211354.db2.gz NXGSDWJJXDITFJ-NEPJUHHUSA-N 1 2 265.426 3.686 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](C)c2cccc(F)c2F)cc[nH+]1 ZINC000119163505 267305337 /nfs/dbraw/zinc/30/53/37/267305337.db2.gz VPULMDLHRHGLTR-JTQLQIEISA-N 1 2 291.301 3.551 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2nccs2)cc1 ZINC000370656881 267335113 /nfs/dbraw/zinc/33/51/13/267335113.db2.gz NPPLGMCOVHYSGE-CYBMUJFWSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2nccs2)cc1 ZINC000370656881 267335115 /nfs/dbraw/zinc/33/51/15/267335115.db2.gz NPPLGMCOVHYSGE-CYBMUJFWSA-N 1 2 262.353 3.619 20 0 CHADLO CCC[C@@H]1[C@@H](C)CCCN1C(=O)Nc1cc[nH+]c(C)c1 ZINC000119483042 267338911 /nfs/dbraw/zinc/33/89/11/267338911.db2.gz CUZYKNQEYGRKEJ-SWLSCSKDSA-N 1 2 275.396 3.823 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)CC(C)(C)O1 ZINC000119509152 267343874 /nfs/dbraw/zinc/34/38/74/267343874.db2.gz VRSRQPXDLGGISV-LBPRGKRZSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)CC(C)(C)O1 ZINC000119509152 267343877 /nfs/dbraw/zinc/34/38/77/267343877.db2.gz VRSRQPXDLGGISV-LBPRGKRZSA-N 1 2 294.464 3.800 20 0 CHADLO Cc1cc(NC(=O)N[C@H](C)c2ccccc2Cl)cc[nH+]1 ZINC000119566716 267345188 /nfs/dbraw/zinc/34/51/88/267345188.db2.gz GTQUWZBYJYOYJJ-LLVKDONJSA-N 1 2 289.766 3.926 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2c1cccc2Cl)c1nccs1 ZINC000372061559 267506229 /nfs/dbraw/zinc/50/62/29/267506229.db2.gz WOAOJEHABOEUAS-GZMMTYOYSA-N 1 2 280.780 3.581 20 0 CHADLO CC[C@H](CC(F)(F)F)C(=O)Nc1c(C)cc[nH+]c1C ZINC000425595495 268016559 /nfs/dbraw/zinc/01/65/59/268016559.db2.gz IADPCVMOEJMSNN-SNVBAGLBSA-N 1 2 274.286 3.616 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)C[C@@H]1C[C@H]1c1ccccc1 ZINC000425595330 268018354 /nfs/dbraw/zinc/01/83/54/268018354.db2.gz HWSGNOTZJYTXHD-HOTGVXAUSA-N 1 2 280.371 3.831 20 0 CHADLO CC[C@@H]1CCCC[C@H]1C(=O)Nc1c(C)cc[nH+]c1C ZINC000425595630 268036995 /nfs/dbraw/zinc/03/69/95/268036995.db2.gz INKWCBWJBLHCOV-ZIAGYGMSSA-N 1 2 260.381 3.853 20 0 CHADLO CCCC[N@H+](Cc1noc(C)n1)[C@@H](C)c1ccccc1 ZINC000123352696 268038500 /nfs/dbraw/zinc/03/85/00/268038500.db2.gz AQTHOFHPQGABFA-ZDUSSCGKSA-N 1 2 273.380 3.741 20 0 CHADLO CCCC[N@@H+](Cc1noc(C)n1)[C@@H](C)c1ccccc1 ZINC000123352696 268038503 /nfs/dbraw/zinc/03/85/03/268038503.db2.gz AQTHOFHPQGABFA-ZDUSSCGKSA-N 1 2 273.380 3.741 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCc3ccccc3[C@H]2C)n1 ZINC000172014743 335088491 /nfs/dbraw/zinc/08/84/91/335088491.db2.gz AGSHCMGMAXDICI-CQSZACIVSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCc3ccccc3[C@H]2C)n1 ZINC000172014743 335088492 /nfs/dbraw/zinc/08/84/92/335088492.db2.gz AGSHCMGMAXDICI-CQSZACIVSA-N 1 2 269.392 3.583 20 0 CHADLO Cc1ccccc1C(C)(C)C(=O)Nc1cc[nH+]cc1C ZINC000170955856 327679486 /nfs/dbraw/zinc/67/94/86/327679486.db2.gz WVTSQIMWCVQPRJ-UHFFFAOYSA-N 1 2 268.360 3.615 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2cscn2)oc1C ZINC000570942237 327681163 /nfs/dbraw/zinc/68/11/63/327681163.db2.gz HCFVHGQGIGQYIA-ZJUUUORDSA-N 1 2 250.367 3.765 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H]2C=CCCC2)c(C)[nH+]1 ZINC000186398313 327689102 /nfs/dbraw/zinc/68/91/02/327689102.db2.gz RLBKGLQIWJJJND-CQSZACIVSA-N 1 2 258.365 3.692 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H]2C=CCCC2)c(C)[nH+]1 ZINC000186398293 327689160 /nfs/dbraw/zinc/68/91/60/327689160.db2.gz RLBKGLQIWJJJND-AWEZNQCLSA-N 1 2 258.365 3.692 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000580077632 327693453 /nfs/dbraw/zinc/69/34/53/327693453.db2.gz ILXLGKHZLIZTAT-SOUVJXGZSA-N 1 2 289.423 3.778 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1ccoc1 ZINC000188281831 327748833 /nfs/dbraw/zinc/74/88/33/327748833.db2.gz FSGQKCRGBIURTD-JTQLQIEISA-N 1 2 265.740 3.792 20 0 CHADLO Cc1ccc(C[NH2+]Cc2csc(C(C)(C)C)n2)nc1C ZINC000313817483 327771373 /nfs/dbraw/zinc/77/13/73/327771373.db2.gz JTZLSWLYKSEEIO-UHFFFAOYSA-N 1 2 289.448 3.742 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)N(C)Cc1c[nH+]cn1C ZINC000177249471 327788672 /nfs/dbraw/zinc/78/86/72/327788672.db2.gz MNFSNYPEJLUMNY-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO Cc1cc(OC[C@H]2CCO[C@H](C)C2)c2ccccc2[nH+]1 ZINC000582042508 327816412 /nfs/dbraw/zinc/81/64/12/327816412.db2.gz VTIPZWMMCPFPDQ-KGLIPLIRSA-N 1 2 271.360 3.737 20 0 CHADLO C[C@@H]1C[C@H](Nc2cc[nH+]c3cc(F)c(Cl)cc23)CO1 ZINC000563053511 327850514 /nfs/dbraw/zinc/85/05/14/327850514.db2.gz XNSNJWJXJPLKCV-BDAKNGLRSA-N 1 2 280.730 3.617 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccccc2Cl)s1 ZINC000273191018 327873707 /nfs/dbraw/zinc/87/37/07/327873707.db2.gz NQVCMLDYUNFDON-DTWKUNHWSA-N 1 2 281.812 3.912 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@H](C)c1ccco1 ZINC000122482930 327928629 /nfs/dbraw/zinc/92/86/29/327928629.db2.gz DYRQLGRCZWXRGO-MNOVXSKESA-N 1 2 263.312 3.839 20 0 CHADLO CCC(CC)[C@@H](NC(=O)c1c[nH+]c(C)[nH]1)c1ccccc1 ZINC000339290664 328004163 /nfs/dbraw/zinc/00/41/63/328004163.db2.gz PKIQYJPCRHULBX-MRXNPFEDSA-N 1 2 285.391 3.625 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](c2nccn2C)c2ccccc2)o1 ZINC000531688305 328024063 /nfs/dbraw/zinc/02/40/63/328024063.db2.gz JRAYANIHNUPONN-YOEHRIQHSA-N 1 2 295.386 3.762 20 0 CHADLO CCc1cc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)on1 ZINC000531721101 328028508 /nfs/dbraw/zinc/02/85/08/328028508.db2.gz KEVMKURJQZPJKI-VIFPVBQESA-N 1 2 282.746 3.880 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3ccc(F)c(F)c3C2)cc1 ZINC000531756516 328029714 /nfs/dbraw/zinc/02/97/14/328029714.db2.gz FNDBIDPBKZZJIS-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3ccc(F)c(F)c3C2)cc1 ZINC000531756516 328029716 /nfs/dbraw/zinc/02/97/16/328029716.db2.gz FNDBIDPBKZZJIS-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Cc1nc(COc2ccccc2-n2cc[nH+]c2)sc1C ZINC000531776099 328032688 /nfs/dbraw/zinc/03/26/88/328032688.db2.gz WHXWUDMIHXSHEE-UHFFFAOYSA-N 1 2 285.372 3.525 20 0 CHADLO c1ccc2[nH+]c(N3CC4C[C@@H]5CC3C[C@H](C4)C5)[nH]c2c1 ZINC000534242684 328041740 /nfs/dbraw/zinc/04/17/40/328041740.db2.gz ZBVPHWBPWQWXMO-VTXSZYRJSA-N 1 2 267.376 3.578 20 0 CHADLO COc1ccc([C@H]2CCN(c3cc[nH+]c(C(C)C)n3)C2)cc1 ZINC000110411170 328048222 /nfs/dbraw/zinc/04/82/22/328048222.db2.gz OELPVYNTBPTKPG-HNNXBMFYSA-N 1 2 297.402 3.603 20 0 CHADLO CCCN(C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1)C(C)C ZINC000563412185 328064449 /nfs/dbraw/zinc/06/44/49/328064449.db2.gz CEENRSOWYGVGSJ-MRXNPFEDSA-N 1 2 299.418 3.579 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]C/C=C\c2ccc(Cl)cc2)n1 ZINC000563640612 328079686 /nfs/dbraw/zinc/07/96/86/328079686.db2.gz FDNPJDUQYLNOAD-PLNGDYQASA-N 1 2 291.782 3.570 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cscc2C(F)(F)F)C1 ZINC000583698490 329069306 /nfs/dbraw/zinc/06/93/06/329069306.db2.gz CKMVYMNBWFLMLW-SECBINFHSA-N 1 2 267.291 3.701 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cscc2C(F)(F)F)C1 ZINC000583698490 329069307 /nfs/dbraw/zinc/06/93/07/329069307.db2.gz CKMVYMNBWFLMLW-SECBINFHSA-N 1 2 267.291 3.701 20 0 CHADLO CC1(C)CC[C@H](C[NH2+][C@H](c2ccccc2)C(F)F)O1 ZINC000411760965 328098932 /nfs/dbraw/zinc/09/89/32/328098932.db2.gz TYOQKRDMHURHQS-CHWSQXEVSA-N 1 2 269.335 3.540 20 0 CHADLO Cc1cc[nH+]c(N[C@H]2C[C@@H](OC(C)C)C2)c1Br ZINC000413378430 328144594 /nfs/dbraw/zinc/14/45/94/328144594.db2.gz FRCIYUOGOOYACD-PHIMTYICSA-N 1 2 299.212 3.520 20 0 CHADLO COc1cccc2c(N[C@@H]3CS[C@H](C)C3)cc[nH+]c12 ZINC000413516497 328147609 /nfs/dbraw/zinc/14/76/09/328147609.db2.gz LKWJZRZUFRZKIK-MNOVXSKESA-N 1 2 274.389 3.549 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(C(F)(F)F)c(C)c2)no1 ZINC000414369489 328156318 /nfs/dbraw/zinc/15/63/18/328156318.db2.gz IQBJGRSNTVUKDL-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(C(F)(F)F)c(C)c2)no1 ZINC000414369489 328156320 /nfs/dbraw/zinc/15/63/20/328156320.db2.gz IQBJGRSNTVUKDL-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO C[NH2+]Cc1noc(Cc2coc3cc(C)c(C(C)C)cc23)n1 ZINC000414497259 328189019 /nfs/dbraw/zinc/18/90/19/328189019.db2.gz FOUGQNDIKYIYTO-UHFFFAOYSA-N 1 2 299.374 3.558 20 0 CHADLO COc1cc(C[NH2+][C@H](C)c2csnn2)cc2ccccc21 ZINC000414533457 328192463 /nfs/dbraw/zinc/19/24/63/328192463.db2.gz BLISJQIXHAFHNX-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO c1cc(C2CCC2)ccc1C[NH2+]Cc1nnc(C2CC2)s1 ZINC000414554799 328194118 /nfs/dbraw/zinc/19/41/18/328194118.db2.gz LFWMHLZJRRZOMB-UHFFFAOYSA-N 1 2 299.443 3.973 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccc1F)c1c(F)cccc1F ZINC000528822884 328212933 /nfs/dbraw/zinc/21/29/33/328212933.db2.gz CPZHMVYHBNRSPQ-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO FC(F)(F)C[C@@H]1CCN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000277572016 328221596 /nfs/dbraw/zinc/22/15/96/328221596.db2.gz ZZFXKFQQAWUSPA-QMMMGPOBSA-N 1 2 298.230 3.879 20 0 CHADLO Fc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)cc1F ZINC000414849837 328237906 /nfs/dbraw/zinc/23/79/06/328237906.db2.gz MFAGDWPVCBSULP-UHFFFAOYSA-N 1 2 274.314 3.935 20 0 CHADLO CSc1ccccc1[C@H](C)Nc1cc[nH+]c(C)n1 ZINC000281607702 328240223 /nfs/dbraw/zinc/24/02/23/328240223.db2.gz ITSOFNVERRYOSN-JTQLQIEISA-N 1 2 259.378 3.680 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@H](CC(C)C)C1 ZINC000287501735 328251401 /nfs/dbraw/zinc/25/14/01/328251401.db2.gz LOKDFTREHBVDBJ-CQSZACIVSA-N 1 2 275.396 3.598 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H]1CCc2c1cccc2F ZINC000287494849 328251460 /nfs/dbraw/zinc/25/14/60/328251460.db2.gz LBKSVYOIJBFNRR-HNNXBMFYSA-N 1 2 299.349 3.647 20 0 CHADLO CC(C)COc1ccc([C@@H](C)[NH2+]Cc2ccon2)cc1 ZINC000148716131 328254314 /nfs/dbraw/zinc/25/43/14/328254314.db2.gz HCEKSCWGVLUKQQ-CYBMUJFWSA-N 1 2 274.364 3.560 20 0 CHADLO CCN(CC(C)(C)C)C(=O)Nc1ccc(C)[nH+]c1C ZINC000291201345 328262749 /nfs/dbraw/zinc/26/27/49/328262749.db2.gz BFOQKQFGFZRUFR-UHFFFAOYSA-N 1 2 263.385 3.598 20 0 CHADLO Clc1cccc2c(N3CC[C@H](n4cccn4)C3)cc[nH+]c12 ZINC000292426348 328270325 /nfs/dbraw/zinc/27/03/25/328270325.db2.gz UNJHWHBVJKJRCW-LBPRGKRZSA-N 1 2 298.777 3.536 20 0 CHADLO c1nnsc1C[N@@H+]1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000294881360 328283675 /nfs/dbraw/zinc/28/36/75/328283675.db2.gz FYPFRVATRXJCAT-MRXNPFEDSA-N 1 2 298.415 3.747 20 0 CHADLO c1nnsc1C[N@H+]1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000294881360 328283677 /nfs/dbraw/zinc/28/36/77/328283677.db2.gz FYPFRVATRXJCAT-MRXNPFEDSA-N 1 2 298.415 3.747 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@@H](C)CC(C)C)cc2[nH+]1 ZINC000340527146 328289035 /nfs/dbraw/zinc/28/90/35/328289035.db2.gz DPMKAPPACZSMNT-NSHDSACASA-N 1 2 273.380 3.882 20 0 CHADLO CCCCOc1cccc(N[C@H]2CCn3cc[nH+]c32)c1 ZINC000297053781 328293331 /nfs/dbraw/zinc/29/33/31/328293331.db2.gz VSWXBSDQSJQOEP-HNNXBMFYSA-N 1 2 271.364 3.619 20 0 CHADLO CC(C)c1nc(N2Cc3ccccc3C(C)(C)C2)cc[nH+]1 ZINC000534630563 328331182 /nfs/dbraw/zinc/33/11/82/328331182.db2.gz AFAHOGDVWAQHCQ-UHFFFAOYSA-N 1 2 281.403 3.898 20 0 CHADLO CCC[C@H](NC(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000535061271 328381834 /nfs/dbraw/zinc/38/18/34/328381834.db2.gz FYMFLCKDLQPXPV-INIZCTEOSA-N 1 2 297.402 3.783 20 0 CHADLO FC(F)(F)c1cccc(C[N@@H+]2CCOC3(CCCC3)C2)c1 ZINC000531988493 328382037 /nfs/dbraw/zinc/38/20/37/328382037.db2.gz JXPIJYPZPJDNJI-UHFFFAOYSA-N 1 2 299.336 3.850 20 0 CHADLO FC(F)(F)c1cccc(C[N@H+]2CCOC3(CCCC3)C2)c1 ZINC000531988493 328382038 /nfs/dbraw/zinc/38/20/38/328382038.db2.gz JXPIJYPZPJDNJI-UHFFFAOYSA-N 1 2 299.336 3.850 20 0 CHADLO CCC[C@H](C)[C@@H]1CCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535061735 328382318 /nfs/dbraw/zinc/38/23/18/328382318.db2.gz HQXGBTWRBPACGZ-LRDDRELGSA-N 1 2 289.423 3.553 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)c1cccnc1)c1cscn1 ZINC000535065739 328383008 /nfs/dbraw/zinc/38/30/08/328383008.db2.gz ZMKMLIYXYCAVQM-SUMWQHHRSA-N 1 2 295.411 3.978 20 0 CHADLO CCCc1nc(C)c(CNc2[nH+]c3ccccc3cc2C)o1 ZINC000563818772 328521203 /nfs/dbraw/zinc/52/12/03/328521203.db2.gz QBHPVLMXYKVWJR-UHFFFAOYSA-N 1 2 295.386 3.826 20 0 CHADLO O=C(CCc1[nH]cc[nH+]1)Nc1ccccc1-c1ccccc1 ZINC000345635349 328567012 /nfs/dbraw/zinc/56/70/12/328567012.db2.gz DSUUQYSQYHUJFB-UHFFFAOYSA-N 1 2 291.354 3.648 20 0 CHADLO CCC[C@]1(C(F)(F)F)CCCN1Cc1[nH+]ccn1CC ZINC000346279470 328571829 /nfs/dbraw/zinc/57/18/29/328571829.db2.gz QHTLQZGPEUGIEB-CYBMUJFWSA-N 1 2 289.345 3.600 20 0 CHADLO CC[C@@H]1CO[C@H](C)CN1c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000535593131 328595442 /nfs/dbraw/zinc/59/54/42/328595442.db2.gz OXQKBRQOBRBVSL-ZIAGYGMSSA-N 1 2 291.439 3.727 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CC2(CCC2)[C@@H]2COC[C@@H]21 ZINC000583932184 326800543 /nfs/dbraw/zinc/80/05/43/326800543.db2.gz YNPXRYZWPCTUIG-SJORKVTESA-N 1 2 294.398 3.549 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(C)(C)C)cc1)c1csnn1 ZINC000398243726 326876319 /nfs/dbraw/zinc/87/63/19/326876319.db2.gz QUSJLNLPRMWYEA-LLVKDONJSA-N 1 2 275.421 3.686 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2ccccc2o1)c1csnn1 ZINC000398261956 326876735 /nfs/dbraw/zinc/87/67/35/326876735.db2.gz LIYPIUJGZIBWNE-ZJUUUORDSA-N 1 2 273.361 3.696 20 0 CHADLO Cc1nc(N2CCCC[C@@H]2Cc2ccc(F)cc2)cc[nH+]1 ZINC000530917022 326896732 /nfs/dbraw/zinc/89/67/32/326896732.db2.gz DMEOWKJADSVPFT-MRXNPFEDSA-N 1 2 285.366 3.526 20 0 CHADLO CCCCCC(=O)N[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1 ZINC000556860289 326973801 /nfs/dbraw/zinc/97/38/01/326973801.db2.gz LKXMXHDMQMCBSQ-SHTZXODSSA-N 1 2 289.423 3.501 20 0 CHADLO CCn1cc[nH+]c1CNc1ccc(COC2CCCC2)cc1 ZINC000557031919 326990176 /nfs/dbraw/zinc/99/01/76/326990176.db2.gz PYBWLTFRVDXBEM-UHFFFAOYSA-N 1 2 299.418 3.974 20 0 CHADLO Clc1cccc2c(NC[C@H]3CCCCO3)cc[nH+]c12 ZINC000557527220 327011786 /nfs/dbraw/zinc/01/17/86/327011786.db2.gz TYDYLGPLPVAQNI-LLVKDONJSA-N 1 2 276.767 3.869 20 0 CHADLO CCOC1CC2(C1)CCN(c1[nH+]c3ccccc3cc1C)C2 ZINC000557722576 327022729 /nfs/dbraw/zinc/02/27/29/327022729.db2.gz OXKNKHNOTKXLSS-UHFFFAOYSA-N 1 2 296.414 3.939 20 0 CHADLO CCCCN(C(=O)c1ccn2c(C)c[nH+]c2c1)[C@@H](C)CC ZINC000568900421 327024021 /nfs/dbraw/zinc/02/40/21/327024021.db2.gz ZIIRGIJUWIOURS-ZDUSSCGKSA-N 1 2 287.407 3.684 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(-c2csc(C)n2)c1 ZINC000090170984 327031542 /nfs/dbraw/zinc/03/15/42/327031542.db2.gz MUBDNKBFBZUQAZ-UHFFFAOYSA-N 1 2 298.415 3.947 20 0 CHADLO Fc1cc(C[N@@H+]2CCCc3occc3C2)cc(F)c1F ZINC000584118409 327043425 /nfs/dbraw/zinc/04/34/25/327043425.db2.gz VHZFCYCBNHAPNV-UHFFFAOYSA-N 1 2 281.277 3.645 20 0 CHADLO Fc1cc(C[N@H+]2CCCc3occc3C2)cc(F)c1F ZINC000584118409 327043427 /nfs/dbraw/zinc/04/34/27/327043427.db2.gz VHZFCYCBNHAPNV-UHFFFAOYSA-N 1 2 281.277 3.645 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cc3ccccc3o2)[nH]c1C ZINC000584124507 327046491 /nfs/dbraw/zinc/04/64/91/327046491.db2.gz HHYSHHRRJFNXTH-LBPRGKRZSA-N 1 2 269.348 3.624 20 0 CHADLO Cc1[nH]c(CN[C@@H](C)c2cc3ccccc3o2)[nH+]c1C ZINC000584124507 327046493 /nfs/dbraw/zinc/04/64/93/327046493.db2.gz HHYSHHRRJFNXTH-LBPRGKRZSA-N 1 2 269.348 3.624 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)c1ccc(C(C)(C)C)cc1)C1CC1 ZINC000558124171 327074401 /nfs/dbraw/zinc/07/44/01/327074401.db2.gz GLHGHDBNMGAGGT-MLGOLLRUSA-N 1 2 289.419 3.586 20 0 CHADLO Cc1cccc2c1CC[C@H]2NC(=O)Nc1c(C)cc[nH+]c1C ZINC000558450380 327095319 /nfs/dbraw/zinc/09/53/19/327095319.db2.gz VTCVLQVMQHCRKC-MRXNPFEDSA-N 1 2 295.386 3.816 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cc3cc(C)ccc3o2)no1 ZINC000558603560 327108374 /nfs/dbraw/zinc/10/83/74/327108374.db2.gz BMOATLLRROFYJF-LBPRGKRZSA-N 1 2 270.332 3.888 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1c(F)ccc(Br)c1F ZINC000558618694 327108824 /nfs/dbraw/zinc/10/88/24/327108824.db2.gz HZQUOKZXUBRWNL-YUMQZZPRSA-N 1 2 290.151 3.710 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000558725761 327117461 /nfs/dbraw/zinc/11/74/61/327117461.db2.gz QDGIAMGZOTWSOT-INIZCTEOSA-N 1 2 288.778 3.691 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000558725761 327117462 /nfs/dbraw/zinc/11/74/62/327117462.db2.gz QDGIAMGZOTWSOT-INIZCTEOSA-N 1 2 288.778 3.691 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)c1sccc1F ZINC000558872582 327129223 /nfs/dbraw/zinc/12/92/23/327129223.db2.gz DSOYWRXOTCIADB-UHFFFAOYSA-N 1 2 287.319 3.530 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2Cl)[C@H]1[C@H]1CCCO1 ZINC000559248507 327149892 /nfs/dbraw/zinc/14/98/92/327149892.db2.gz OYIOPCJCRVGKHK-HIFRSBDPSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2Cl)[C@H]1[C@H]1CCCO1 ZINC000559248507 327149894 /nfs/dbraw/zinc/14/98/94/327149894.db2.gz OYIOPCJCRVGKHK-HIFRSBDPSA-N 1 2 297.801 3.869 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1c(F)cccc1F ZINC000293829485 327160361 /nfs/dbraw/zinc/16/03/61/327160361.db2.gz BFOZSNMCJDSUIQ-OUJBWJOFSA-N 1 2 277.318 3.518 20 0 CHADLO CC[C@H](C)n1ncc(C(=O)Nc2cc[nH+]cc2C)c1C1CC1 ZINC000089803250 327173565 /nfs/dbraw/zinc/17/35/65/327173565.db2.gz SQXHDXSQWYZZDJ-LBPRGKRZSA-N 1 2 298.390 3.687 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cnccn1)c1cc(F)ccc1F ZINC000090078035 327177006 /nfs/dbraw/zinc/17/70/06/327177006.db2.gz OBGXDMJTMHOXBC-QMTHXVAHSA-N 1 2 277.318 3.557 20 0 CHADLO CC[C@@H](Cc1ccccc1)C(=O)Nc1c(C)cc[nH+]c1C ZINC000569478810 327183498 /nfs/dbraw/zinc/18/34/98/327183498.db2.gz KJZNVSAQZJALAT-INIZCTEOSA-N 1 2 282.387 3.906 20 0 CHADLO COc1cc(-c2ccccc2)ccc1C[NH2+]C(CF)CF ZINC000449382178 327184273 /nfs/dbraw/zinc/18/42/73/327184273.db2.gz VIQODYLOMALOGH-UHFFFAOYSA-N 1 2 291.341 3.759 20 0 CHADLO CC(C)c1cc(N2C3CCC2CC3)nc(C(C)C)[nH+]1 ZINC000536391539 327235589 /nfs/dbraw/zinc/23/55/89/327235589.db2.gz WZCXIHXWOWMREK-UHFFFAOYSA-N 1 2 259.397 3.855 20 0 CHADLO Cc1ccccc1[C@H]1CCN(c2[nH+]c3ccccc3n2C)C1 ZINC000536501791 327241969 /nfs/dbraw/zinc/24/19/69/327241969.db2.gz IVBUQATWDHKORV-HNNXBMFYSA-N 1 2 291.398 3.876 20 0 CHADLO Cc1ccc2[nH+]c(/C=C/c3nc4ccccc4o3)cn2c1 ZINC000559940676 327272924 /nfs/dbraw/zinc/27/29/24/327272924.db2.gz QVTLBROWUVWYJJ-VQHVLOKHSA-N 1 2 275.311 3.954 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncccc1Cl)c1nc(C)cs1 ZINC000528443692 327285063 /nfs/dbraw/zinc/28/50/63/327285063.db2.gz ZTELUVHRKHQACW-LLVKDONJSA-N 1 2 281.812 3.741 20 0 CHADLO CC[C@@](C)([NH2+]C[C@@H]1CCC(F)(F)C1)C(F)(F)F ZINC000560217498 327290814 /nfs/dbraw/zinc/29/08/14/327290814.db2.gz VLMWMWLNQDCWSD-RKDXNWHRSA-N 1 2 259.262 3.742 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1CCCOc1ccc(Cl)cc1 ZINC000560503438 327316088 /nfs/dbraw/zinc/31/60/88/327316088.db2.gz SVAWXXSKTPONBI-CYBMUJFWSA-N 1 2 289.753 3.838 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1CCCOc1ccc(Cl)cc1 ZINC000560503438 327316090 /nfs/dbraw/zinc/31/60/90/327316090.db2.gz SVAWXXSKTPONBI-CYBMUJFWSA-N 1 2 289.753 3.838 20 0 CHADLO COc1ccc(N[C@@H](c2[nH]cc[nH+]2)C2CCCCC2)cn1 ZINC000560543710 327321555 /nfs/dbraw/zinc/32/15/55/327321555.db2.gz BMNAJDXVZZHMLD-OAHLLOKOSA-N 1 2 286.379 3.547 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCOC3(CCCCC3)C2)s1 ZINC000560545335 327322478 /nfs/dbraw/zinc/32/24/78/327322478.db2.gz UVBJMSBKMDHXNQ-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCOC3(CCCCC3)C2)s1 ZINC000560545335 327322480 /nfs/dbraw/zinc/32/24/80/327322480.db2.gz UVBJMSBKMDHXNQ-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO CC(C)[C@H]1CC[C@H]1[NH2+]c1ccc(-n2cccn2)cc1 ZINC000560545489 327322484 /nfs/dbraw/zinc/32/24/84/327322484.db2.gz NPAAFRKSEMLUGR-HZPDHXFCSA-N 1 2 255.365 3.719 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1Cc1cn2ccccc2n1 ZINC000560559666 327324978 /nfs/dbraw/zinc/32/49/78/327324978.db2.gz RBYJBCDTXFCCMJ-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1Cc1cn2ccccc2n1 ZINC000560559666 327324979 /nfs/dbraw/zinc/32/49/79/327324979.db2.gz RBYJBCDTXFCCMJ-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@@H]1C[C@@H](CC(=O)NC(C)(C)c2[nH]cc[nH+]2)CC(C)(C)C1 ZINC000566363876 327338387 /nfs/dbraw/zinc/33/83/87/327338387.db2.gz DJGJXRJIABWKIQ-OLZOCXBDSA-N 1 2 291.439 3.614 20 0 CHADLO CCC[C@](C)(O)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000561185408 327373039 /nfs/dbraw/zinc/37/30/39/327373039.db2.gz JPRVJNKEFMFPLY-HNNXBMFYSA-N 1 2 278.783 3.851 20 0 CHADLO COc1c(C)c[nH+]c(CSc2ncccc2C)c1C ZINC000561526477 327400872 /nfs/dbraw/zinc/40/08/72/327400872.db2.gz ZWFWCEBBCNTUCI-UHFFFAOYSA-N 1 2 274.389 3.703 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cscn1)c1cnn(C2CCCC2)c1 ZINC000566501412 327401542 /nfs/dbraw/zinc/40/15/42/327401542.db2.gz QSVYDRGGGAOTHK-VXGBXAGGSA-N 1 2 290.436 3.867 20 0 CHADLO O=C(CCC1CCCCC1)Nc1cccc2[nH+]ccn21 ZINC000562235087 327458151 /nfs/dbraw/zinc/45/81/51/327458151.db2.gz LVMCLOMEUUFCGV-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Cc1ccc2[nH+]cc(/C=C/c3nc4ccccc4o3)n2c1 ZINC000151386238 327468231 /nfs/dbraw/zinc/46/82/31/327468231.db2.gz XEOAPUSMYXTDSY-VQHVLOKHSA-N 1 2 275.311 3.954 20 0 CHADLO CC(C)C[C@@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000562850715 327520283 /nfs/dbraw/zinc/52/02/83/327520283.db2.gz HUTSHTJRIIZJPI-NVXWUHKLSA-N 1 2 299.418 3.738 20 0 CHADLO Cc1ccc(C[S@](=O)[C@@H]2CCc3ccccc3C2)c(C)[nH+]1 ZINC000565231655 327528769 /nfs/dbraw/zinc/52/87/69/327528769.db2.gz AYFIXLOCVKSSKE-NQIIRXRSSA-N 1 2 299.439 3.505 20 0 CHADLO FC(F)(F)C1C[NH+]([C@H]2CCc3ccc(Cl)cc32)C1 ZINC000570158921 327572874 /nfs/dbraw/zinc/57/28/74/327572874.db2.gz CMAOFKSXRUVYAG-LBPRGKRZSA-N 1 2 275.701 3.821 20 0 CHADLO CN(C(=O)c1cccc(Oc2cc[nH+]cc2)c1)C(C)(C)C ZINC000570163886 327574192 /nfs/dbraw/zinc/57/41/92/327574192.db2.gz OEOMXHOHBVJSQG-UHFFFAOYSA-N 1 2 284.359 3.744 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CC[C@@H](C2CC2)C1 ZINC000535719738 328619635 /nfs/dbraw/zinc/61/96/35/328619635.db2.gz ZKMNMEWXJAKGKZ-OAHLLOKOSA-N 1 2 252.361 3.780 20 0 CHADLO CCCC[C@H](NC(=O)Nc1cc(C)[nH+]cc1C)C1CCC1 ZINC000536619700 328670354 /nfs/dbraw/zinc/67/03/54/328670354.db2.gz YYYLBLUWJZRSIT-HNNXBMFYSA-N 1 2 289.423 3.601 20 0 CHADLO COc1cc2c(cc1C[NH+]1Cc3ccccc3C1)O[C@@H](C)C2 ZINC000536656768 328676817 /nfs/dbraw/zinc/67/68/17/328676817.db2.gz VHSXYPSMYQOVLK-ZDUSSCGKSA-N 1 2 295.382 3.534 20 0 CHADLO CC(C)(C)c1nccc(Oc2cccc(-n3cc[nH+]c3)c2)n1 ZINC000301600700 328828894 /nfs/dbraw/zinc/82/88/94/328828894.db2.gz VBNQYGHECQJYSA-UHFFFAOYSA-N 1 2 294.358 3.752 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)CC(C)C)c1cccc(Cl)c1 ZINC000507841043 332827244 /nfs/dbraw/zinc/82/72/44/332827244.db2.gz KUAMHVDLILVGKG-BXUZGUMPSA-N 1 2 283.799 3.578 20 0 CHADLO CC(=O)c1c(F)cc(F)cc1OCc1ccc(C)[nH+]c1C ZINC000358767256 328894023 /nfs/dbraw/zinc/89/40/23/328894023.db2.gz IGVGTSMGIMJLCX-UHFFFAOYSA-N 1 2 291.297 3.758 20 0 CHADLO Cc1nc(NCc2ccccc2Oc2ccccc2)cc[nH+]1 ZINC000360536054 328908677 /nfs/dbraw/zinc/90/86/77/328908677.db2.gz SYPQXQZAUVZRBK-UHFFFAOYSA-N 1 2 291.354 3.611 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](c2ccccc2)c2ccncc2)no1 ZINC000368113603 328936177 /nfs/dbraw/zinc/93/61/77/328936177.db2.gz RZGWBHGNUSBXEE-RDTXWAMCSA-N 1 2 293.370 3.818 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+][C@H](C)c1nnc(C)s1 ZINC000391781361 328980842 /nfs/dbraw/zinc/98/08/42/328980842.db2.gz OOHLITALUGEZAZ-GHMZBOCLSA-N 1 2 291.420 3.575 20 0 CHADLO Cc1nnc(C[NH2+]C2c3ccccc3-c3ccccc32)s1 ZINC000391852608 328981481 /nfs/dbraw/zinc/98/14/81/328981481.db2.gz SMAOESDTSVKRTQ-UHFFFAOYSA-N 1 2 293.395 3.706 20 0 CHADLO Cc1cc(CNc2cc(C)[nH+]c3c(F)cccc23)on1 ZINC000122269013 328998240 /nfs/dbraw/zinc/99/82/40/328998240.db2.gz XBMZYDNIEUJFBP-UHFFFAOYSA-N 1 2 271.295 3.591 20 0 CHADLO Clc1cccc2c(N3CC[C@]4(CCOC4)C3)cc[nH+]c12 ZINC000377394249 329013877 /nfs/dbraw/zinc/01/38/77/329013877.db2.gz AQNCKBRUUCAXQC-INIZCTEOSA-N 1 2 288.778 3.505 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@@H]2c2ccncc2)cc1F ZINC000377687656 329024543 /nfs/dbraw/zinc/02/45/43/329024543.db2.gz AKIWUMMIKSTDKU-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@@H]2c2ccncc2)cc1F ZINC000377687656 329024544 /nfs/dbraw/zinc/02/45/44/329024544.db2.gz AKIWUMMIKSTDKU-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2cccc(-c3[nH+]ccn3C)c2)c1 ZINC000377693875 329025347 /nfs/dbraw/zinc/02/53/47/329025347.db2.gz DAXCYELHIKTHBP-CQSZACIVSA-N 1 2 292.386 3.964 20 0 CHADLO CC(C)[N@H+](CC(F)F)C[C@H]1CCOc2ccccc21 ZINC000378368982 329047764 /nfs/dbraw/zinc/04/77/64/329047764.db2.gz IYRAZAIESNEHLH-GFCCVEGCSA-N 1 2 269.335 3.528 20 0 CHADLO CC(C)[N@@H+](CC(F)F)C[C@H]1CCOc2ccccc21 ZINC000378368982 329047765 /nfs/dbraw/zinc/04/77/65/329047765.db2.gz IYRAZAIESNEHLH-GFCCVEGCSA-N 1 2 269.335 3.528 20 0 CHADLO COc1cc(NCc2cccc3[nH+]ccn32)ccc1Cl ZINC000193679823 329060887 /nfs/dbraw/zinc/06/08/87/329060887.db2.gz CVAZEORGCHQUTO-UHFFFAOYSA-N 1 2 287.750 3.608 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@@H+]1Cc1nccn1C(C)C ZINC000170785639 329068238 /nfs/dbraw/zinc/06/82/38/329068238.db2.gz HFVOMIJYGQDWLF-INIZCTEOSA-N 1 2 299.418 3.810 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@H+]1Cc1nccn1C(C)C ZINC000170785639 329068239 /nfs/dbraw/zinc/06/82/39/329068239.db2.gz HFVOMIJYGQDWLF-INIZCTEOSA-N 1 2 299.418 3.810 20 0 CHADLO Fc1ccccc1C[NH2+]Cc1nc(-c2ccccc2)c[nH]1 ZINC000194219229 329068323 /nfs/dbraw/zinc/06/83/23/329068323.db2.gz AALZMGXZFDSLAT-UHFFFAOYSA-N 1 2 281.334 3.506 20 0 CHADLO Cc1ccc2oc(C(=O)Nc3c(C)cc(C)[nH+]c3C)cc2n1 ZINC000171529361 329077133 /nfs/dbraw/zinc/07/71/33/329077133.db2.gz VWRSBGLPHPJOHK-UHFFFAOYSA-N 1 2 295.342 3.709 20 0 CHADLO CC(C)(C[NH2+][C@H](c1nnc[nH]1)c1ccccc1)C1=CCCC1 ZINC000582707965 329133056 /nfs/dbraw/zinc/13/30/56/329133056.db2.gz AYUXKEQATLCRKG-INIZCTEOSA-N 1 2 296.418 3.620 20 0 CHADLO CC(C)n1cc[nH+]c1CN1C[C@@H](C)CN(C)c2ccccc21 ZINC000172930232 329140738 /nfs/dbraw/zinc/14/07/38/329140738.db2.gz KBFXZABNOSSJTE-HNNXBMFYSA-N 1 2 298.434 3.557 20 0 CHADLO CCS[C@H]1CCC[C@H]1Nc1cc[nH+]c(C(C)C)n1 ZINC000175057533 329162345 /nfs/dbraw/zinc/16/23/45/329162345.db2.gz NUZWKQCRXNYAQF-NEPJUHHUSA-N 1 2 265.426 3.686 20 0 CHADLO CC(C)c1nc(N2CCc3ccccc3[C@@H]2C)cc[nH+]1 ZINC000175059448 329162510 /nfs/dbraw/zinc/16/25/10/329162510.db2.gz CYZPGECVQQBAMO-ZDUSSCGKSA-N 1 2 267.376 3.724 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1ncc(CC)s1 ZINC000175522168 329169293 /nfs/dbraw/zinc/16/92/93/329169293.db2.gz LRJARKJBBPFLOT-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1ncc(CC)s1 ZINC000175522168 329169295 /nfs/dbraw/zinc/16/92/95/329169295.db2.gz LRJARKJBBPFLOT-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO C[C@H]([NH2+]Cc1cn2ccsc2n1)c1ccc(F)cc1F ZINC000177759162 329186806 /nfs/dbraw/zinc/18/68/06/329186806.db2.gz OSECGCRLSQXZEW-VIFPVBQESA-N 1 2 293.342 3.525 20 0 CHADLO C[C@H](C(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1)C1CC1 ZINC000178382339 329199208 /nfs/dbraw/zinc/19/92/08/329199208.db2.gz NXMZPBNEJXJMMT-LBPRGKRZSA-N 1 2 297.402 3.747 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccncc1)c1noc(-c2ccccc2)n1 ZINC000179389977 329205010 /nfs/dbraw/zinc/20/50/10/329205010.db2.gz YGLQJWOSKQEBFB-STQMWFEESA-N 1 2 294.358 3.543 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncc1)c1noc(-c2ccccc2)n1 ZINC000179389990 329205021 /nfs/dbraw/zinc/20/50/21/329205021.db2.gz YGLQJWOSKQEBFB-QWHCGFSZSA-N 1 2 294.358 3.543 20 0 CHADLO CC(C)Cc1ccc([C@H](C)C(=O)N[C@H](C)c2[nH]cc[nH+]2)cc1 ZINC000582795797 329207490 /nfs/dbraw/zinc/20/74/90/329207490.db2.gz BDSLKTZYYIMEIX-UONOGXRCSA-N 1 2 299.418 3.589 20 0 CHADLO CC[C@H](Nc1ccc(C)c(-c2ncco2)c1)c1[nH+]ccn1C ZINC000180008484 329214330 /nfs/dbraw/zinc/21/43/30/329214330.db2.gz DGHGUGCADVEJQZ-HNNXBMFYSA-N 1 2 296.374 3.947 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1CCO[C@H](c2ccsc2)C1 ZINC000180223106 329218530 /nfs/dbraw/zinc/21/85/30/329218530.db2.gz RDMRHBYMSGFZCE-INIZCTEOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1CCO[C@H](c2ccsc2)C1 ZINC000180223106 329218532 /nfs/dbraw/zinc/21/85/32/329218532.db2.gz RDMRHBYMSGFZCE-INIZCTEOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(C(=O)OC(C)(C)C)cc1 ZINC000508685421 332847353 /nfs/dbraw/zinc/84/73/53/332847353.db2.gz GGNUBPJEABMTKK-UHFFFAOYSA-N 1 2 298.386 3.957 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(-c2ccccc2)o1)c1cccnc1 ZINC000181323139 329231679 /nfs/dbraw/zinc/23/16/79/329231679.db2.gz XWCHKEWWLULWIC-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2cccc(F)c2)n1)c1ccccn1 ZINC000181780852 329236921 /nfs/dbraw/zinc/23/69/21/329236921.db2.gz JBFKSNMRENBVSV-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(-c2ccccc2)o1)c1ccccn1 ZINC000181881721 329237893 /nfs/dbraw/zinc/23/78/93/329237893.db2.gz YGHFAYNCFHZPQB-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1nc([C@@H]([NH2+][C@H](C)CC(C)C)c2ccccc2F)no1 ZINC000182083459 329239381 /nfs/dbraw/zinc/23/93/81/329239381.db2.gz ONYFFHGDCCVIKK-ABAIWWIYSA-N 1 2 291.370 3.631 20 0 CHADLO CC(C)Oc1cc(F)ccc1NCc1cn2ccccc2[nH+]1 ZINC000508729207 332848741 /nfs/dbraw/zinc/84/87/41/332848741.db2.gz GYRFVVYDNGXWKQ-UHFFFAOYSA-N 1 2 299.349 3.873 20 0 CHADLO O=C(Cc1ccoc1)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000184510690 329259524 /nfs/dbraw/zinc/25/95/24/329259524.db2.gz LHINBXMIQJALOB-UHFFFAOYSA-N 1 2 295.342 3.605 20 0 CHADLO C[C@@H]([NH2+]Cc1ccncc1)c1ncc(-c2ccccc2)o1 ZINC000184847967 329263563 /nfs/dbraw/zinc/26/35/63/329263563.db2.gz RRBURIWISZYXOG-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO C[C@@H]([NH2+]C/C=C/Cl)c1ncc(-c2ccccc2)o1 ZINC000184898434 329263824 /nfs/dbraw/zinc/26/38/24/329263824.db2.gz WTOZPPWBEUTGJB-AYLMVEPYSA-N 1 2 262.740 3.745 20 0 CHADLO CC(C)c1cccc2c1OCCC[C@@H]2[NH2+]Cc1ncccn1 ZINC000582914382 329288135 /nfs/dbraw/zinc/28/81/35/329288135.db2.gz AFMFUQHFBITFFU-INIZCTEOSA-N 1 2 297.402 3.604 20 0 CHADLO COc1c(C)c[nH+]c(CSc2nc(C)c(C)o2)c1C ZINC000583043730 329303236 /nfs/dbraw/zinc/30/32/36/329303236.db2.gz NICKSCORWTVFQN-UHFFFAOYSA-N 1 2 278.377 3.604 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nccn2CC(C)C)c(Cl)c1 ZINC000583146573 329322563 /nfs/dbraw/zinc/32/25/63/329322563.db2.gz BRWVRIRJLMGSIO-UHFFFAOYSA-N 1 2 291.826 3.791 20 0 CHADLO CC(C)[C@@H]1C[C@H]1C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000185767620 329331235 /nfs/dbraw/zinc/33/12/35/329331235.db2.gz ZUQFRQULQHGFNR-UONOGXRCSA-N 1 2 297.402 3.681 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(Cl)cc2Cl)[nH]1 ZINC000392457597 329341624 /nfs/dbraw/zinc/34/16/24/329341624.db2.gz LDBYKHNJVISITL-HTQZYQBOSA-N 1 2 299.205 3.832 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2C[C@@]2(C)C(C)C)c(C)[nH+]1 ZINC000186652879 329346990 /nfs/dbraw/zinc/34/69/90/329346990.db2.gz JZDCIMQIIRXPGE-BBRMVZONSA-N 1 2 260.381 3.628 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2C[C@@]2(C)C(C)C)c(C)[nH+]1 ZINC000186652898 329347031 /nfs/dbraw/zinc/34/70/31/329347031.db2.gz JZDCIMQIIRXPGE-CJNGLKHVSA-N 1 2 260.381 3.628 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@@H+]2CCCC3(CC3)C2)cs1 ZINC000186990860 329352821 /nfs/dbraw/zinc/35/28/21/329352821.db2.gz BLLHEBDRFBKLJP-LBPRGKRZSA-N 1 2 280.437 3.617 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@H+]2CCCC3(CC3)C2)cs1 ZINC000186990860 329352823 /nfs/dbraw/zinc/35/28/23/329352823.db2.gz BLLHEBDRFBKLJP-LBPRGKRZSA-N 1 2 280.437 3.617 20 0 CHADLO CC(=O)Nc1cccc(NCc2ccc(C)[nH+]c2C)c1C ZINC000187712429 329362746 /nfs/dbraw/zinc/36/27/46/329362746.db2.gz XPNNFIUIRSVETB-UHFFFAOYSA-N 1 2 283.375 3.577 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(C)cc(Br)c1 ZINC000232063067 329383959 /nfs/dbraw/zinc/38/39/59/329383959.db2.gz FUXXGTHYMGJIFU-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccccc1OC(F)(F)F ZINC000192150646 329429615 /nfs/dbraw/zinc/42/96/15/329429615.db2.gz PLPYZHYYRRJGLB-UHFFFAOYSA-N 1 2 286.228 3.731 20 0 CHADLO COc1ccc(C2CCC(Nc3c[nH]c[nH+]3)CC2)cc1 ZINC000583725168 329455567 /nfs/dbraw/zinc/45/55/67/329455567.db2.gz WWWHFESYOPVXIB-UHFFFAOYSA-N 1 2 271.364 3.557 20 0 CHADLO CC[C@](C)([NH2+]C/C(Cl)=C/Cl)c1nccs1 ZINC000128547350 329609352 /nfs/dbraw/zinc/60/93/52/329609352.db2.gz ODBIRLXKXMUNDE-ZEBCKKTISA-N 1 2 265.209 3.677 20 0 CHADLO CCn1cc[nH+]c1CNc1cccc(C)c1Br ZINC000131270395 329633782 /nfs/dbraw/zinc/63/37/82/329633782.db2.gz LSUJGZJDDJZNCO-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO Cc1[nH]c2ccc(CNc3cccc[nH+]3)cc2c1C ZINC000049153360 329635535 /nfs/dbraw/zinc/63/55/35/329635535.db2.gz NAXQVRXYZFWYBL-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO CCCOc1cccc2c(NCc3ncc(C)o3)cc[nH+]c12 ZINC000194722666 329688718 /nfs/dbraw/zinc/68/87/18/329688718.db2.gz KLGYAHMJYOKBNR-UHFFFAOYSA-N 1 2 297.358 3.932 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@H](C)c2ccc(C3CC3)cc2)n1 ZINC000419360193 329720027 /nfs/dbraw/zinc/72/00/27/329720027.db2.gz RYJRUXOHNHRWEO-CYBMUJFWSA-N 1 2 299.418 3.996 20 0 CHADLO Cc1cccc2[nH+]c(CSCCC3CCOCC3)cn21 ZINC000419462230 329731851 /nfs/dbraw/zinc/73/18/51/329731851.db2.gz MIGWLTHFOSWWPH-UHFFFAOYSA-N 1 2 290.432 3.693 20 0 CHADLO Cc1cccc(Cl)c1CSCCn1cc[nH+]c1 ZINC000419509320 329733758 /nfs/dbraw/zinc/73/37/58/329733758.db2.gz RYEIGHCJGPGNRW-UHFFFAOYSA-N 1 2 266.797 3.778 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@H](C)c1nncn1C ZINC000419475621 329735417 /nfs/dbraw/zinc/73/54/17/329735417.db2.gz NDRIYNFULCAULC-GFCCVEGCSA-N 1 2 290.436 3.636 20 0 CHADLO CC[C@H](C)NC(=O)CSCc1ccc(C(C)C)[nH+]c1C ZINC000419487288 329736719 /nfs/dbraw/zinc/73/67/19/329736719.db2.gz AXJXPQGAHOORFP-LBPRGKRZSA-N 1 2 294.464 3.661 20 0 CHADLO CCCCN(Cc1c[nH+]c2ccc(C)cn12)CC(F)(F)F ZINC000509580888 332874514 /nfs/dbraw/zinc/87/45/14/332874514.db2.gz UNWSOYUKZBNMHW-UHFFFAOYSA-N 1 2 299.340 3.807 20 0 CHADLO CC[C@H](Cc1nc(Cc2ccc[nH+]c2C)no1)C(C)C ZINC000420509990 329772627 /nfs/dbraw/zinc/77/26/27/329772627.db2.gz GUXFSEWWNIPCEG-CYBMUJFWSA-N 1 2 273.380 3.589 20 0 CHADLO Cn1cc(Cl)c(C[NH2+]C(C)(C)c2cccc(Cl)c2)n1 ZINC000420951727 329790574 /nfs/dbraw/zinc/79/05/74/329790574.db2.gz SZKMVENVRLFKLX-UHFFFAOYSA-N 1 2 298.217 3.752 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H](C)c2cccc(C)c2C)nn1C ZINC000421352291 329815460 /nfs/dbraw/zinc/81/54/60/329815460.db2.gz CWUADGMSKIUNML-LBPRGKRZSA-N 1 2 291.826 3.850 20 0 CHADLO CC[C@H]1CCCC[C@H]1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421578937 329843383 /nfs/dbraw/zinc/84/33/83/329843383.db2.gz SLLYMJIWBDHOTG-JKSUJKDBSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(CNC(=O)[C@H](C)CC2CCCC2)cc(C)[nH+]1 ZINC000421580544 329843640 /nfs/dbraw/zinc/84/36/40/329843640.db2.gz WRHKUXBXITZUBN-GFCCVEGCSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2ncc[nH]2)c2ccccc2)cc1 ZINC000067666843 329851118 /nfs/dbraw/zinc/85/11/18/329851118.db2.gz RRAYBQYMLPRERK-SFHVURJKSA-N 1 2 277.371 3.597 20 0 CHADLO Cc1cc(NC(=O)c2cccc3ccccc32)cc[nH+]1 ZINC000072672366 329858517 /nfs/dbraw/zinc/85/85/17/329858517.db2.gz MSFDZALNPHVBAT-UHFFFAOYSA-N 1 2 262.312 3.796 20 0 CHADLO Cc1cn2cc(NC(=O)CCc3ccccc3C)ccc2[nH+]1 ZINC000074859587 329865538 /nfs/dbraw/zinc/86/55/38/329865538.db2.gz KHMFNBCCFIWZCP-UHFFFAOYSA-N 1 2 293.370 3.522 20 0 CHADLO CC(=O)c1c(C)cc(C)c(C[NH+]2CC(C(F)(F)F)C2)c1C ZINC000564129466 329867412 /nfs/dbraw/zinc/86/74/12/329867412.db2.gz NTEVNSBWPLFCDH-UHFFFAOYSA-N 1 2 299.336 3.809 20 0 CHADLO C[C@H]1C[C@H](C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C[C@@H](C)C1 ZINC000248566932 329892009 /nfs/dbraw/zinc/89/20/09/329892009.db2.gz NMSOCJFZEFXZSV-CBBWQLFWSA-N 1 2 289.423 3.536 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2C[C@H](C)C[C@H](C)C2)c(C)[nH+]1 ZINC000248622712 329896168 /nfs/dbraw/zinc/89/61/68/329896168.db2.gz YGYKWFHYUREKSD-YABSGUDNSA-N 1 2 260.381 3.709 20 0 CHADLO Cc1cc(N[C@@H](C)c2nccs2)nc(C(C)C)[nH+]1 ZINC000070942161 329930820 /nfs/dbraw/zinc/93/08/20/329930820.db2.gz VCYUICRMXLWXBE-JTQLQIEISA-N 1 2 262.382 3.538 20 0 CHADLO C[C@@H]1CCC[C@]1(C)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000572233855 329959471 /nfs/dbraw/zinc/95/94/71/329959471.db2.gz RBBNONGVXKLITO-ACJLOTCBSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc([C@@H]3C[C@@H]3C)o2)no1 ZINC000572259227 329962064 /nfs/dbraw/zinc/96/20/64/329962064.db2.gz UEAKQZIFLJIZNN-XHVZSJERSA-N 1 2 260.337 3.550 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccc1F)c1cscn1 ZINC000162306723 329993047 /nfs/dbraw/zinc/99/30/47/329993047.db2.gz NAFOGFDQFGSHJK-NXEZZACHSA-N 1 2 250.342 3.694 20 0 CHADLO COC(=O)c1ccc(C[NH2+]C2(C(F)F)CCCCC2)cc1 ZINC000510106706 332892649 /nfs/dbraw/zinc/89/26/49/332892649.db2.gz UDJUMFRBNOTWOK-UHFFFAOYSA-N 1 2 297.345 3.531 20 0 CHADLO Cc1ccccc1C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000079586924 330049625 /nfs/dbraw/zinc/04/96/25/330049625.db2.gz NQPGODGCFZHHPO-UHFFFAOYSA-N 1 2 291.354 3.648 20 0 CHADLO Cc1cccc(CCSCC2CC(F)(F)C2)[nH+]1 ZINC000572404566 330063839 /nfs/dbraw/zinc/06/38/39/330063839.db2.gz ONECDIDBHMRTIU-UHFFFAOYSA-N 1 2 257.349 3.711 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H](C)c2ccncc2Cl)n1 ZINC000421827897 330084094 /nfs/dbraw/zinc/08/40/94/330084094.db2.gz ZYOWTIMUFSULAI-VHSXEESVSA-N 1 2 281.812 3.912 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1ccncc1Cl)c1ccc(C)o1 ZINC000421828006 330084151 /nfs/dbraw/zinc/08/41/51/330084151.db2.gz AMMDBISCKORGDP-SMDDNHRTSA-N 1 2 294.782 3.675 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cncc1F)c1ccncc1Cl ZINC000421857567 330089658 /nfs/dbraw/zinc/08/96/58/330089658.db2.gz QAXDIRINFUSXNY-RKDXNWHRSA-N 1 2 297.736 3.820 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1ccncc1Cl ZINC000421857560 330089706 /nfs/dbraw/zinc/08/97/06/330089706.db2.gz QAXDIRINFUSXNY-BDAKNGLRSA-N 1 2 297.736 3.820 20 0 CHADLO Cc1c(N[C@@H]2CCc3ccc[nH+]c3CC2)cnn1CC(C)C ZINC000421831595 330090934 /nfs/dbraw/zinc/09/09/34/330090934.db2.gz FMQWTCXGTQEBGP-MRXNPFEDSA-N 1 2 298.434 3.602 20 0 CHADLO CC[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)C[C@@H](C)O1 ZINC000516987266 330111996 /nfs/dbraw/zinc/11/19/96/330111996.db2.gz MOICUJISSRAKCM-NEPJUHHUSA-N 1 2 290.794 3.892 20 0 CHADLO CCCCCN(C(=O)NCc1cc(C)[nH+]c(C)c1)C(C)C ZINC000422198350 330134259 /nfs/dbraw/zinc/13/42/59/330134259.db2.gz CDUKZSSHFJOCSQ-UHFFFAOYSA-N 1 2 291.439 3.809 20 0 CHADLO Fc1ccc(OCC[NH2+][C@H](c2ccccc2)C(F)F)cc1 ZINC000433046544 330136526 /nfs/dbraw/zinc/13/65/26/330136526.db2.gz UNQQRCUXAOFQOF-OAHLLOKOSA-N 1 2 295.304 3.801 20 0 CHADLO c1ccc(Oc2ccccc2C[N@@H+]2CCOCC23CC3)cc1 ZINC000580454512 330141748 /nfs/dbraw/zinc/14/17/48/330141748.db2.gz NASKWVNVSCZHDG-UHFFFAOYSA-N 1 2 295.382 3.844 20 0 CHADLO c1ccc(Oc2ccccc2C[N@H+]2CCOCC23CC3)cc1 ZINC000580454512 330141750 /nfs/dbraw/zinc/14/17/50/330141750.db2.gz NASKWVNVSCZHDG-UHFFFAOYSA-N 1 2 295.382 3.844 20 0 CHADLO Oc1ccc2c(c1)[C@@H](Nc1ccc3c(c1)CC[NH2+]3)CCC2 ZINC000423210853 330177913 /nfs/dbraw/zinc/17/79/13/330177913.db2.gz GJMKOAHCDJCTCZ-SFHVURJKSA-N 1 2 280.371 3.850 20 0 CHADLO FCCC[C@@H]1CCC[C@H]1[NH2+]c1ccc2c(c1)CCN2 ZINC000423212615 330178010 /nfs/dbraw/zinc/17/80/10/330178010.db2.gz IQLKPEQXNQBGND-BLLLJJGKSA-N 1 2 262.372 3.985 20 0 CHADLO FCCC[C@@H]1CCC[C@H]1Nc1ccc2c(c1)CC[NH2+]2 ZINC000423212615 330178011 /nfs/dbraw/zinc/17/80/11/330178011.db2.gz IQLKPEQXNQBGND-BLLLJJGKSA-N 1 2 262.372 3.985 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)cc1F ZINC000423213619 330178211 /nfs/dbraw/zinc/17/82/11/330178211.db2.gz KCTGCOVAVUZWRI-NSHDSACASA-N 1 2 286.350 3.975 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc2c(c1)COC2 ZINC000423212968 330178217 /nfs/dbraw/zinc/17/82/17/330178217.db2.gz JKKJYWWSWALDAM-LBPRGKRZSA-N 1 2 280.371 3.858 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)cc1 ZINC000423218643 330179017 /nfs/dbraw/zinc/17/90/17/330179017.db2.gz SDWMWVUJDYPMCO-GFCCVEGCSA-N 1 2 268.360 3.836 20 0 CHADLO CCO[C@H]1C[C@@H]([NH2+]c2ccc3c(c2)CCN3)C12CCCC2 ZINC000423219525 330179121 /nfs/dbraw/zinc/17/91/21/330179121.db2.gz UBJOOTRTSVLYTH-SJORKVTESA-N 1 2 286.419 3.804 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc3c(c2)CC[NH2+]3)C12CCCC2 ZINC000423219525 330179123 /nfs/dbraw/zinc/17/91/23/330179123.db2.gz UBJOOTRTSVLYTH-SJORKVTESA-N 1 2 286.419 3.804 20 0 CHADLO COc1ccc2c(c1F)CC[C@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423221812 330179813 /nfs/dbraw/zinc/17/98/13/330179813.db2.gz YHBQCJBAZRLHJK-MRXNPFEDSA-N 1 2 298.361 3.902 20 0 CHADLO C[C@@H](CNc1ccc(N(C)C)[nH+]c1)c1ccc(F)cc1 ZINC000527116428 330223233 /nfs/dbraw/zinc/22/32/33/330223233.db2.gz HBCJHTGGQUPSAS-LBPRGKRZSA-N 1 2 273.355 3.502 20 0 CHADLO Cn1cc(Cl)c(C[NH2+]Cc2ccc(C(C)(C)C)s2)n1 ZINC000393336399 330257751 /nfs/dbraw/zinc/25/77/51/330257751.db2.gz XXTVZHLGDLEWNN-UHFFFAOYSA-N 1 2 297.855 3.722 20 0 CHADLO CC[N@H+](Cc1ccnc(C)c1)Cc1c(F)cccc1F ZINC000527531938 330262654 /nfs/dbraw/zinc/26/26/54/330262654.db2.gz DINQEQABPNVLFB-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccnc(C)c1)Cc1c(F)cccc1F ZINC000527531938 330262655 /nfs/dbraw/zinc/26/26/55/330262655.db2.gz DINQEQABPNVLFB-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3nc(C(C)C)ncc3C2)ccc1F ZINC000527619289 330266172 /nfs/dbraw/zinc/26/61/72/330266172.db2.gz QVRPXPVVINVWMT-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO Cc1cc(C[N@H+]2CCc3nc(C(C)C)ncc3C2)ccc1F ZINC000527619289 330266173 /nfs/dbraw/zinc/26/61/73/330266173.db2.gz QVRPXPVVINVWMT-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc2cc[nH]c2c1)c1nc(C(C)(C)C)no1 ZINC000527667814 330269373 /nfs/dbraw/zinc/26/93/73/330269373.db2.gz DGZYVNCKYAXCPC-LLVKDONJSA-N 1 2 298.390 3.699 20 0 CHADLO Cc1cc(C)c(C[NH2+]Cc2c(F)ccc(F)c2Cl)cn1 ZINC000527716183 330276566 /nfs/dbraw/zinc/27/65/66/330276566.db2.gz IDZGTEHAZWJEQT-UHFFFAOYSA-N 1 2 296.748 3.920 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1ccc2cc[nH]c2c1 ZINC000527732072 330278091 /nfs/dbraw/zinc/27/80/91/330278091.db2.gz ZJRLGSOQBYHHFW-UHFFFAOYSA-N 1 2 266.335 3.939 20 0 CHADLO COc1cc(C)ccc1C[NH2+]Cc1c(F)cc(C)cc1F ZINC000424140901 330281550 /nfs/dbraw/zinc/28/15/50/330281550.db2.gz FYVXHJVFOKPBGS-UHFFFAOYSA-N 1 2 291.341 3.880 20 0 CHADLO Clc1cnc(C[NH2+][C@@H](c2ccccn2)C2CCC2)s1 ZINC000527771267 330282048 /nfs/dbraw/zinc/28/20/48/330282048.db2.gz KCCBBDFPMNPIFU-CQSZACIVSA-N 1 2 293.823 3.823 20 0 CHADLO CCc1nc(C[NH2+][C@H](c2ccccn2)C2CCC2)cs1 ZINC000527772409 330282157 /nfs/dbraw/zinc/28/21/57/330282157.db2.gz MGSAFPLPEAIIAT-INIZCTEOSA-N 1 2 287.432 3.732 20 0 CHADLO C[C@H]([NH2+]Cc1sccc1Cl)c1ncccc1F ZINC000527828380 330286865 /nfs/dbraw/zinc/28/68/65/330286865.db2.gz SOTKDSHNQFHJCS-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CC[C@H](C)c1ccc([C@H](C)[NH2+]Cc2ccn[nH]2)cc1 ZINC000527858301 330289093 /nfs/dbraw/zinc/28/90/93/330289093.db2.gz JIOIEBQIAXJQLZ-STQMWFEESA-N 1 2 257.381 3.774 20 0 CHADLO CCc1cnc(C[NH2+]Cc2c(F)cc(C)cc2F)s1 ZINC000424146052 330292164 /nfs/dbraw/zinc/29/21/64/330292164.db2.gz OUZGYTMOQALCFO-UHFFFAOYSA-N 1 2 282.359 3.582 20 0 CHADLO CC(C)O[C@@H]1CCCN(c2[nH+]ccc3ccccc32)C1 ZINC000528043726 330305831 /nfs/dbraw/zinc/30/58/31/330305831.db2.gz RXLFGNBPZWQKKB-OAHLLOKOSA-N 1 2 270.376 3.629 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2ccc(C)c(C)n2)c(F)c1 ZINC000424163549 330308075 /nfs/dbraw/zinc/30/80/75/330308075.db2.gz AHXKINFPOSREFT-UHFFFAOYSA-N 1 2 276.330 3.575 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H]2COCc3ccccc32)c(F)c1 ZINC000424168637 330310209 /nfs/dbraw/zinc/31/02/09/330310209.db2.gz IQPQBJGVEFCOIT-QGZVFWFLSA-N 1 2 289.325 3.634 20 0 CHADLO Cc1cocc1C(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000528324290 330318792 /nfs/dbraw/zinc/31/87/92/330318792.db2.gz CNXPRIFLJJVQQX-UHFFFAOYSA-N 1 2 295.342 3.984 20 0 CHADLO Clc1cccnc1C[N@H+](Cc1ccccc1)C1CC1 ZINC000528342302 330319579 /nfs/dbraw/zinc/31/95/79/330319579.db2.gz QHODTQVJDSAZEZ-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO Clc1cccnc1C[N@@H+](Cc1ccccc1)C1CC1 ZINC000528342302 330319580 /nfs/dbraw/zinc/31/95/80/330319580.db2.gz QHODTQVJDSAZEZ-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H](C)c2cccc(O)c2)cs1 ZINC000105461227 330326415 /nfs/dbraw/zinc/32/64/15/330326415.db2.gz LFSLWJWLUKYRDA-NSHDSACASA-N 1 2 276.405 3.823 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000533071022 330326825 /nfs/dbraw/zinc/32/68/25/330326825.db2.gz SNNJNOUJJJCBRY-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1CCc2ccc(O)cc2C1 ZINC000533071022 330326826 /nfs/dbraw/zinc/32/68/26/330326826.db2.gz SNNJNOUJJJCBRY-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]Cc2nnc(C3CC3)o2)cc1 ZINC000533211790 330352935 /nfs/dbraw/zinc/35/29/35/330352935.db2.gz CMZRCIKLUMDGCH-JTQLQIEISA-N 1 2 289.404 3.520 20 0 CHADLO c1cn(-c2ccc(CSC[C@H]3CCCOC3)cc2)c[nH+]1 ZINC000533200297 330353293 /nfs/dbraw/zinc/35/32/93/330353293.db2.gz CQVFZSILCPMMPN-HNNXBMFYSA-N 1 2 288.416 3.532 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2C/C=C/c2ccccc2)o1 ZINC000116893165 330361352 /nfs/dbraw/zinc/36/13/52/330361352.db2.gz JKQXNUJLHFDDKT-ZGRWHYIRSA-N 1 2 283.371 3.675 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2C/C=C/c2ccccc2)o1 ZINC000116893165 330361353 /nfs/dbraw/zinc/36/13/53/330361353.db2.gz JKQXNUJLHFDDKT-ZGRWHYIRSA-N 1 2 283.371 3.675 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)/C=C/c1ccnc2ccccc12 ZINC000117795371 330366069 /nfs/dbraw/zinc/36/60/69/330366069.db2.gz HYIHRELRYAGING-VOTSOKGWSA-N 1 2 289.338 3.590 20 0 CHADLO Cc1ccc(NC(=O)C2(c3ccccc3C)CC2)c(C)[nH+]1 ZINC000119141161 330371254 /nfs/dbraw/zinc/37/12/54/330371254.db2.gz LVZDJARWMOLMQM-UHFFFAOYSA-N 1 2 280.371 3.677 20 0 CHADLO Cc1ccc(NC(=O)CCOc2cccc(C)c2C)c(C)[nH+]1 ZINC000119151905 330371337 /nfs/dbraw/zinc/37/13/37/330371337.db2.gz RIFJPPSAUDQJJQ-UHFFFAOYSA-N 1 2 298.386 3.723 20 0 CHADLO Cc1ccc(NC(=O)CCCc2cccs2)c(C)[nH+]1 ZINC000119257957 330371450 /nfs/dbraw/zinc/37/14/50/330371450.db2.gz MALVOLSSIACWNF-UHFFFAOYSA-N 1 2 274.389 3.721 20 0 CHADLO Cc1ccc2cc(C(=O)Nc3ccc(C)[nH+]c3C)[nH]c2c1 ZINC000119284683 330371714 /nfs/dbraw/zinc/37/17/14/330371714.db2.gz KNZXHQJLTRISLW-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000424564815 330378565 /nfs/dbraw/zinc/37/85/65/330378565.db2.gz MGQSDGYFVLUPAI-CJNGLKHVSA-N 1 2 283.375 3.637 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1cccc(-c2ccoc2)c1 ZINC000089760329 330387567 /nfs/dbraw/zinc/38/75/67/330387567.db2.gz FZTKLBLYITVJQO-UHFFFAOYSA-N 1 2 294.310 3.603 20 0 CHADLO Cc1cc(F)cc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000120498344 330388791 /nfs/dbraw/zinc/38/87/91/330388791.db2.gz HDQFUXQSYHVAIA-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO C[C@@H](SCCn1cc[nH+]c1)c1cc(F)ccc1F ZINC000090200629 330391200 /nfs/dbraw/zinc/39/12/00/330391200.db2.gz JQTXZYFBENZVGV-SNVBAGLBSA-N 1 2 268.332 3.656 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1nnsc1Cl)C(C)C ZINC000537060866 330395929 /nfs/dbraw/zinc/39/59/29/330395929.db2.gz UBYUOMAHCZPPLA-ZDUSSCGKSA-N 1 2 295.839 3.987 20 0 CHADLO CCOC(=O)C[NH2+][C@H](c1ccc(Cl)cc1)[C@@H](C)CC ZINC000537086276 330397708 /nfs/dbraw/zinc/39/77/08/330397708.db2.gz KKBDLSFZOVIBBK-NHYWBVRUSA-N 1 2 283.799 3.580 20 0 CHADLO Fc1ccc(CN2CCCC[C@H]2c2[nH]cc[nH+]2)cc1Cl ZINC000092728900 330412525 /nfs/dbraw/zinc/41/25/25/330412525.db2.gz NBJXUMDHFGMKNG-AWEZNQCLSA-N 1 2 293.773 3.929 20 0 CHADLO C[C@H]1CCCC[C@H]1C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000424748119 330461523 /nfs/dbraw/zinc/46/15/23/330461523.db2.gz AUXQQJVHYLGXHZ-XJKSGUPXSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1cc(CNc2ccc(N3CCCC3)[nH+]c2)cc(C)c1O ZINC000315486090 330476643 /nfs/dbraw/zinc/47/66/43/330476643.db2.gz LUDWTLULGYKZIU-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO COc1ccc(CC[C@@H](C)Nc2ccc[nH+]c2C)cc1O ZINC000426368490 330505832 /nfs/dbraw/zinc/50/58/32/330505832.db2.gz YWDCFDWIZVWPSI-GFCCVEGCSA-N 1 2 286.375 3.537 20 0 CHADLO Cc1c[nH+]cc(CCc2nc(-c3ccc(F)c(C)c3)no2)c1 ZINC000426404580 330507045 /nfs/dbraw/zinc/50/70/45/330507045.db2.gz HXVVXVAYOPQZJL-UHFFFAOYSA-N 1 2 297.333 3.673 20 0 CHADLO CCCc1csc(CN2CCCC[C@@H]2c2[nH]cc[nH+]2)n1 ZINC000426414533 330507528 /nfs/dbraw/zinc/50/75/28/330507528.db2.gz BRVZHYKITANKSI-CYBMUJFWSA-N 1 2 290.436 3.546 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H]1CCC[C@@H](C)C1)c1ccc(F)c(C)c1 ZINC000428407778 330522469 /nfs/dbraw/zinc/52/24/69/330522469.db2.gz RMBKVAAHZOGPHU-DIOULYMOSA-N 1 2 293.382 3.517 20 0 CHADLO Cn1c2cc(F)ccc2[nH+]c1N1CC[C@H](C(C)(C)C)C1 ZINC000431428915 330584514 /nfs/dbraw/zinc/58/45/14/330584514.db2.gz WTPFOPMFOVVTDJ-NSHDSACASA-N 1 2 275.371 3.585 20 0 CHADLO Cc1ccc(COc2cc3cnccc3nc2C)c(C)[nH+]1 ZINC000432313746 330597186 /nfs/dbraw/zinc/59/71/86/330597186.db2.gz LYPZIQPNSBORDH-UHFFFAOYSA-N 1 2 279.343 3.529 20 0 CHADLO CC[C@@H](Nc1nccc(Oc2ccccc2)n1)c1[nH]cc[nH+]1 ZINC000432833562 330608658 /nfs/dbraw/zinc/60/86/58/330608658.db2.gz XCVJCQNAODNQNV-CYBMUJFWSA-N 1 2 295.346 3.555 20 0 CHADLO CC[C@H]1CC[C@H](NC(=O)Nc2ccc(C)[nH+]c2C)CC1 ZINC000433022163 330612571 /nfs/dbraw/zinc/61/25/71/330612571.db2.gz TZWQCPSJJUMFBO-HDJSIYSDSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@@H](C)C[C@H]2c2ccco2)[nH+]1 ZINC000433767049 330630960 /nfs/dbraw/zinc/63/09/60/330630960.db2.gz STFXSKNZKPJVIZ-RISCZKNCSA-N 1 2 296.374 3.837 20 0 CHADLO COc1cccc2c(NCC3CCSCC3)cc[nH+]c12 ZINC000436442588 330677653 /nfs/dbraw/zinc/67/76/53/330677653.db2.gz PLLOHHODGWIITA-UHFFFAOYSA-N 1 2 288.416 3.799 20 0 CHADLO CC[C@@H](C)C[C@H](C)NC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000438124483 330717606 /nfs/dbraw/zinc/71/76/06/330717606.db2.gz GGICMTMSZDHBRM-NEPJUHHUSA-N 1 2 288.395 3.589 20 0 CHADLO Cc1cccc(C[NH2+][C@H](C)c2ncc(-c3ccccc3)o2)n1 ZINC000439564108 330740542 /nfs/dbraw/zinc/74/05/42/330740542.db2.gz AETMHUHUHIWTNI-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO CC[C@H](Nc1ncnc2c3ccccc3oc21)c1[nH]cc[nH+]1 ZINC000439640043 330741550 /nfs/dbraw/zinc/74/15/50/330741550.db2.gz CHJKWUSMCNIBTD-NSHDSACASA-N 1 2 293.330 3.662 20 0 CHADLO Cc1ccsc1CCCC(=O)Nc1cccc2[nH+]ccn21 ZINC000439966163 330745316 /nfs/dbraw/zinc/74/53/16/330745316.db2.gz IWUPIONRFGKPGX-UHFFFAOYSA-N 1 2 299.399 3.666 20 0 CHADLO CC[C@@H](F)C[NH2+][C@@H](C)c1ncc(-c2ccccc2)o1 ZINC000440531136 330750066 /nfs/dbraw/zinc/75/00/66/330750066.db2.gz ISHFUDGNKPCQET-WCQYABFASA-N 1 2 262.328 3.740 20 0 CHADLO Fc1cc(Cl)cc(NCc2cn3ccccc3[nH+]2)c1F ZINC000442130423 330774355 /nfs/dbraw/zinc/77/43/55/330774355.db2.gz RBZAYPKSZILQCG-UHFFFAOYSA-N 1 2 293.704 3.878 20 0 CHADLO Cc1ccc(N[C@@H]2Cc3[nH]c4ccccc4c3C2)c(C)[nH+]1 ZINC000442841581 330786310 /nfs/dbraw/zinc/78/63/10/330786310.db2.gz GMNZGHYIRONFBH-ZDUSSCGKSA-N 1 2 277.371 3.759 20 0 CHADLO Cc1ccccc1[C@@H](CC(F)(F)F)[NH2+]Cc1ncc[nH]1 ZINC000444011534 330809251 /nfs/dbraw/zinc/80/92/51/330809251.db2.gz QKRUWFRAQVKNEN-GFCCVEGCSA-N 1 2 283.297 3.501 20 0 CHADLO CCc1[nH+]c2ccccc2n1C[C@@H](O)C1CCCCC1 ZINC000158540459 330834476 /nfs/dbraw/zinc/83/44/76/330834476.db2.gz WXDRLVUKUZABKU-MRXNPFEDSA-N 1 2 272.392 3.540 20 0 CHADLO COc1cc[nH+]cc1COc1ccccc1C(C)C ZINC000336812687 330834906 /nfs/dbraw/zinc/83/49/06/330834906.db2.gz RYMXXPNJTRZCHI-UHFFFAOYSA-N 1 2 257.333 3.793 20 0 CHADLO Cc1ccc(NC(=O)NC[C@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC000447180317 330862521 /nfs/dbraw/zinc/86/25/21/330862521.db2.gz HFDZYDCOUZSBCQ-RISCZKNCSA-N 1 2 275.396 3.646 20 0 CHADLO C[C@H](O)C1CC[NH+](Cc2cc(Cl)sc2Cl)CC1 ZINC000449019647 330892269 /nfs/dbraw/zinc/89/22/69/330892269.db2.gz SEDGDYOVRDFLHZ-QMMMGPOBSA-N 1 2 294.247 3.648 20 0 CHADLO Cc1ccc(NCc2ccnn2C2CCCC2)c(C)[nH+]1 ZINC000449200184 330905829 /nfs/dbraw/zinc/90/58/29/330905829.db2.gz BEFCPNXGMSLAEQ-UHFFFAOYSA-N 1 2 270.380 3.622 20 0 CHADLO CSCC1CCC([NH2+][C@H](C2CC2)C(F)(F)F)CC1 ZINC000449329844 330915106 /nfs/dbraw/zinc/91/51/06/330915106.db2.gz QBXIPEPCJLXLFN-QEWOUOOISA-N 1 2 281.387 3.839 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(C(F)(F)F)c1)C(F)F ZINC000449365147 330918979 /nfs/dbraw/zinc/91/89/79/330918979.db2.gz VAJNYJRNDIYDPQ-SNVBAGLBSA-N 1 2 267.241 3.839 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc(C2CCCC2)s1)C(F)F ZINC000449366163 330919036 /nfs/dbraw/zinc/91/90/36/330919036.db2.gz XUFOLYGVWBZNBQ-NSHDSACASA-N 1 2 274.380 3.934 20 0 CHADLO Cc1cc(C[NH2+][C@@H](CCc2ccccc2)C(F)F)ccn1 ZINC000449402129 330922735 /nfs/dbraw/zinc/92/27/35/330922735.db2.gz KBXPBIGDOPFFCI-INIZCTEOSA-N 1 2 290.357 3.746 20 0 CHADLO CC(C)Cc1ncc(C[NH2+][C@@H](C)c2nccs2)s1 ZINC000162551838 330932912 /nfs/dbraw/zinc/93/29/12/330932912.db2.gz UTKMBJSGPAKFRG-JTQLQIEISA-N 1 2 281.450 3.649 20 0 CHADLO CCc1ccc(NCc2ccc(N(C)CC)[nH+]c2)cc1OC ZINC000449648114 330941879 /nfs/dbraw/zinc/94/18/79/330941879.db2.gz FUEQCQZMFQJITI-UHFFFAOYSA-N 1 2 299.418 3.721 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2cnc(C3CC3)o2)o1 ZINC000449699589 330944699 /nfs/dbraw/zinc/94/46/99/330944699.db2.gz RAGIPNMIXRQTBM-QMTHXVAHSA-N 1 2 272.348 3.558 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000449892745 330953778 /nfs/dbraw/zinc/95/37/78/330953778.db2.gz QJNYVRTXURIDOX-SJORKVTESA-N 1 2 294.398 3.588 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000450389372 330975873 /nfs/dbraw/zinc/97/58/73/330975873.db2.gz MVFCHJXSGLCQHJ-INMHGKMJSA-N 1 2 298.386 3.613 20 0 CHADLO Cc1cc(N[C@H]2CCCC[C@H]2CC(F)(F)F)nc[nH+]1 ZINC000450643724 330991145 /nfs/dbraw/zinc/99/11/45/330991145.db2.gz BRLHXIOAKBSIKI-QWRGUYRKSA-N 1 2 273.302 3.708 20 0 CHADLO CC(C)Oc1cccc([C@@H](C)[NH2+][C@H](C)c2csnn2)c1 ZINC000450671804 330992532 /nfs/dbraw/zinc/99/25/32/330992532.db2.gz XGLFTQOUWCBXSS-VXGBXAGGSA-N 1 2 291.420 3.737 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+][C@H](C)c2csnn2)c1C ZINC000450673855 330992655 /nfs/dbraw/zinc/99/26/55/330992655.db2.gz QWNLUPYYTDAAIL-VXGBXAGGSA-N 1 2 261.394 3.567 20 0 CHADLO COC[C@@H](CNc1cccc[nH+]1)c1ccc(Cl)cc1 ZINC000450710992 330995003 /nfs/dbraw/zinc/99/50/03/330995003.db2.gz CFTHKUSEHINQSN-CYBMUJFWSA-N 1 2 276.767 3.577 20 0 CHADLO Cc1cc[nH+]c(NC[C@H]2CCCC2(F)F)c1Cl ZINC000450741445 330997279 /nfs/dbraw/zinc/99/72/79/330997279.db2.gz XEZHXJMOUSVYPW-SECBINFHSA-N 1 2 260.715 3.891 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+][C@@H](C)c1csnn1 ZINC000450748525 330997669 /nfs/dbraw/zinc/99/76/69/330997669.db2.gz UHSZCBYBUZSGQH-NWDGAFQWSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1cc(N2CC(c3cccc(Cl)c3)C2)nc(C2CC2)[nH+]1 ZINC000450816074 331000880 /nfs/dbraw/zinc/00/08/80/331000880.db2.gz ARSCDRQYIBCGBX-UHFFFAOYSA-N 1 2 299.805 3.920 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCc2ncsc2C1 ZINC000450821278 331001004 /nfs/dbraw/zinc/00/10/04/331001004.db2.gz ABWLVRVOQQXASV-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCc2ncsc2C1 ZINC000450821278 331001005 /nfs/dbraw/zinc/00/10/05/331001005.db2.gz ABWLVRVOQQXASV-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC(C)c1nc(N2CC(c3cccc(Cl)c3)C2)cc[nH+]1 ZINC000450825970 331001338 /nfs/dbraw/zinc/00/13/38/331001338.db2.gz ZKTPOZODCBQPFC-UHFFFAOYSA-N 1 2 287.794 3.857 20 0 CHADLO Cc1cccc2nc(N[C@@H]3C[C@H](C)n4cc[nH+]c43)sc21 ZINC000450827162 331001495 /nfs/dbraw/zinc/00/14/95/331001495.db2.gz HIARBVDFSOQTOM-CMPLNLGQSA-N 1 2 284.388 3.919 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc2cccc(F)c2o1)c1csnn1 ZINC000450835852 331001807 /nfs/dbraw/zinc/00/18/07/331001807.db2.gz PMYIVBSDUHBDKW-IUCAKERBSA-N 1 2 291.351 3.835 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)[C@H](C)c1csnn1 ZINC000450844434 331002330 /nfs/dbraw/zinc/00/23/30/331002330.db2.gz HLASBEKKXGZJBI-KIWPFMIBSA-N 1 2 273.405 3.634 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)[C@H](C)c1csnn1 ZINC000450844434 331002331 /nfs/dbraw/zinc/00/23/31/331002331.db2.gz HLASBEKKXGZJBI-KIWPFMIBSA-N 1 2 273.405 3.634 20 0 CHADLO CCCc1ncc(CNc2cc[nH+]c3c(OC)cccc23)o1 ZINC000450845067 331002531 /nfs/dbraw/zinc/00/25/31/331002531.db2.gz JLTIIRUNFFCNGJ-UHFFFAOYSA-N 1 2 297.358 3.796 20 0 CHADLO CCc1nc(N2CC3(CCC3)[C@@H]2c2ccco2)cc(C)[nH+]1 ZINC000450864913 331003640 /nfs/dbraw/zinc/00/36/40/331003640.db2.gz VHEFKFUUSIKKAB-INIZCTEOSA-N 1 2 283.375 3.672 20 0 CHADLO CC(C)[C@H]1N(c2cc[nH+]c(C3CC3)n2)CC12CCCC2 ZINC000450953925 331008514 /nfs/dbraw/zinc/00/85/14/331008514.db2.gz MJPPPPLWTUIOTG-OAHLLOKOSA-N 1 2 271.408 3.759 20 0 CHADLO CC(C)[C@@H]1N(c2cc[nH+]c(C3CC3)n2)CC12CCCC2 ZINC000450953924 331008523 /nfs/dbraw/zinc/00/85/23/331008523.db2.gz MJPPPPLWTUIOTG-HNNXBMFYSA-N 1 2 271.408 3.759 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCC[C@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451020450 331012214 /nfs/dbraw/zinc/01/22/14/331012214.db2.gz ZXAZSNWKMYOZIE-DOMZBBRYSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCC[C@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451020450 331012215 /nfs/dbraw/zinc/01/22/15/331012215.db2.gz ZXAZSNWKMYOZIE-DOMZBBRYSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451020450 331012216 /nfs/dbraw/zinc/01/22/16/331012216.db2.gz ZXAZSNWKMYOZIE-DOMZBBRYSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451020450 331012217 /nfs/dbraw/zinc/01/22/17/331012217.db2.gz ZXAZSNWKMYOZIE-DOMZBBRYSA-N 1 2 275.371 3.756 20 0 CHADLO Cn1c[nH+]cc1CSC1CCC(C(F)(F)F)CC1 ZINC000451035609 331012846 /nfs/dbraw/zinc/01/28/46/331012846.db2.gz HDDVMFGKOWZVNC-UHFFFAOYSA-N 1 2 278.343 3.774 20 0 CHADLO c1nn(-c2ccccc2)c2c1C[N@@H+](CC1=CCCCC1)C2 ZINC000451079880 331015055 /nfs/dbraw/zinc/01/50/55/331015055.db2.gz PLFZQKLCRCWFKO-UHFFFAOYSA-N 1 2 279.387 3.688 20 0 CHADLO c1nn(-c2ccccc2)c2c1C[N@H+](CC1=CCCCC1)C2 ZINC000451079880 331015056 /nfs/dbraw/zinc/01/50/56/331015056.db2.gz PLFZQKLCRCWFKO-UHFFFAOYSA-N 1 2 279.387 3.688 20 0 CHADLO CCn1c2ccc(F)cc2nc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC000451117188 331016258 /nfs/dbraw/zinc/01/62/58/331016258.db2.gz URTRFHRCBDYFEO-MRXNPFEDSA-N 1 2 293.361 3.519 20 0 CHADLO CCn1c2ccc(F)cc2nc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC000451117188 331016259 /nfs/dbraw/zinc/01/62/59/331016259.db2.gz URTRFHRCBDYFEO-MRXNPFEDSA-N 1 2 293.361 3.519 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000451121151 331016348 /nfs/dbraw/zinc/01/63/48/331016348.db2.gz NINAPGWLAVIJNL-INIZCTEOSA-N 1 2 292.329 3.805 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000451121151 331016349 /nfs/dbraw/zinc/01/63/49/331016349.db2.gz NINAPGWLAVIJNL-INIZCTEOSA-N 1 2 292.329 3.805 20 0 CHADLO C[C@H](c1nc(-c2cccs2)no1)[N@@H+]1CCC[C@](C)(F)C1 ZINC000451121032 331016449 /nfs/dbraw/zinc/01/64/49/331016449.db2.gz MVSSGCREXHOFAT-YGRLFVJLSA-N 1 2 295.383 3.683 20 0 CHADLO C[C@H](c1nc(-c2cccs2)no1)[N@H+]1CCC[C@](C)(F)C1 ZINC000451121032 331016450 /nfs/dbraw/zinc/01/64/50/331016450.db2.gz MVSSGCREXHOFAT-YGRLFVJLSA-N 1 2 295.383 3.683 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](F)C2)oc1-c1ccc(Cl)cc1 ZINC000451187981 331019490 /nfs/dbraw/zinc/01/94/90/331019490.db2.gz NRPTWXCHRIWENR-CYBMUJFWSA-N 1 2 294.757 3.847 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](F)C2)oc1-c1ccc(Cl)cc1 ZINC000451187981 331019491 /nfs/dbraw/zinc/01/94/91/331019491.db2.gz NRPTWXCHRIWENR-CYBMUJFWSA-N 1 2 294.757 3.847 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](F)C2)oc1-c1ccc(Cl)cc1 ZINC000451187985 331019575 /nfs/dbraw/zinc/01/95/75/331019575.db2.gz NRPTWXCHRIWENR-ZDUSSCGKSA-N 1 2 294.757 3.847 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](F)C2)oc1-c1ccc(Cl)cc1 ZINC000451187985 331019576 /nfs/dbraw/zinc/01/95/76/331019576.db2.gz NRPTWXCHRIWENR-ZDUSSCGKSA-N 1 2 294.757 3.847 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CC2)o1)c1ccccc1F ZINC000451247553 331021790 /nfs/dbraw/zinc/02/17/90/331021790.db2.gz NFIDFZRWIJGWSQ-JTQLQIEISA-N 1 2 260.312 3.542 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@H+](Cc1ncnn1C(C)C)C2 ZINC000451260181 331022154 /nfs/dbraw/zinc/02/21/54/331022154.db2.gz IXAWOUBEQFGAGG-UHFFFAOYSA-N 1 2 298.434 3.504 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@@H+](Cc1ncnn1C(C)C)C2 ZINC000451260181 331022155 /nfs/dbraw/zinc/02/21/55/331022155.db2.gz IXAWOUBEQFGAGG-UHFFFAOYSA-N 1 2 298.434 3.504 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nnc(C(C)C)s2)cc1C ZINC000452042221 331051702 /nfs/dbraw/zinc/05/17/02/331051702.db2.gz LKZQTGHQPSFIOG-UHFFFAOYSA-N 1 2 289.448 3.910 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nnc(C(C)C)s2)cc1C ZINC000452042221 331051703 /nfs/dbraw/zinc/05/17/03/331051703.db2.gz LKZQTGHQPSFIOG-UHFFFAOYSA-N 1 2 289.448 3.910 20 0 CHADLO O=C(CC/C=C\c1ccccc1)Nc1c[nH+]c2n1CCCC2 ZINC000452336067 331062724 /nfs/dbraw/zinc/06/27/24/331062724.db2.gz STRYITZLHHIFHY-WMZJFQQLSA-N 1 2 295.386 3.652 20 0 CHADLO CCCC(C)(C)CC(=O)N1CC(C)(C)[C@H]1c1[nH+]ccn1C ZINC000452448502 331064929 /nfs/dbraw/zinc/06/49/29/331064929.db2.gz WHHVFAIICKSCHM-CQSZACIVSA-N 1 2 291.439 3.546 20 0 CHADLO Cc1[nH+]c2ccccc2n1C[C@@H](O)[C@H](C)c1ccccc1 ZINC000453014798 331083556 /nfs/dbraw/zinc/08/35/56/331083556.db2.gz LGLIHKDLKCRYQR-FZKQIMNGSA-N 1 2 280.371 3.509 20 0 CHADLO COCC(C)(C)CNc1ccc(-c2ccc(C)nc2)c[nH+]1 ZINC000453052000 331084935 /nfs/dbraw/zinc/08/49/35/331084935.db2.gz MQRDFEHTIMNYMU-UHFFFAOYSA-N 1 2 285.391 3.537 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)N[C@H](c1ccco1)c1ccccc1 ZINC000453076472 331086962 /nfs/dbraw/zinc/08/69/62/331086962.db2.gz HVASLQBHFQUQCV-PBHICJAKSA-N 1 2 295.386 3.936 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CC[C@H](C)[C@H](C)C3)n2)c[nH+]1 ZINC000453082902 331087571 /nfs/dbraw/zinc/08/75/71/331087571.db2.gz HOWZIAFVZHSOAW-YWPYICTPSA-N 1 2 285.391 3.904 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C(C)C)s1)c1ccsc1 ZINC000453120088 331091156 /nfs/dbraw/zinc/09/11/56/331091156.db2.gz CIXGFWSKLREZCQ-NSHDSACASA-N 1 2 281.450 3.964 20 0 CHADLO CSc1ccc(F)cc1C[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000453128487 331092040 /nfs/dbraw/zinc/09/20/40/331092040.db2.gz WRNHYVXCDOEECW-MFKMUULPSA-N 1 2 291.395 3.540 20 0 CHADLO CSc1ccc(F)cc1C[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000453128488 331092104 /nfs/dbraw/zinc/09/21/04/331092104.db2.gz WRNHYVXCDOEECW-ZWNOBZJWSA-N 1 2 291.395 3.540 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1ccccc1OC1CCC1 ZINC000453145970 331093988 /nfs/dbraw/zinc/09/39/88/331093988.db2.gz OLLBKBIXHFLEKZ-AWEZNQCLSA-N 1 2 299.418 3.685 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)CCCc1cccnc1)C(F)(F)F ZINC000453234616 331105012 /nfs/dbraw/zinc/10/50/12/331105012.db2.gz WYFSETKRKOWTKK-AAEUAGOBSA-N 1 2 274.330 3.723 20 0 CHADLO CC[C@@H]([NH2+][C@H](CC)C(F)F)c1cccc(OC)c1 ZINC000453243817 331106548 /nfs/dbraw/zinc/10/65/48/331106548.db2.gz JVGDUIISAWTTOB-CHWSQXEVSA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)c1ccc(OC)cc1)C(F)F ZINC000453250027 331107582 /nfs/dbraw/zinc/10/75/82/331107582.db2.gz RFYXZNMMNQPRMG-QWHCGFSZSA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(OC)c(OC)cc1C)C(F)F ZINC000453251453 331107644 /nfs/dbraw/zinc/10/76/44/331107644.db2.gz UDOXYEBQNSPRMA-JQWIXIFHSA-N 1 2 287.350 3.707 20 0 CHADLO C[NH+](C)[C@H](c1nc(C(C)(C)C2CCC2)no1)c1ccccc1 ZINC000453265689 331109115 /nfs/dbraw/zinc/10/91/15/331109115.db2.gz ASIKEOBPILRNGV-HNNXBMFYSA-N 1 2 299.418 3.798 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)C(C)(F)F)c(C)c1OC ZINC000453291432 331111709 /nfs/dbraw/zinc/11/17/09/331111709.db2.gz NDOGHZZWOFGKCY-WDEREUQCSA-N 1 2 287.350 3.707 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc2ccccc2n1 ZINC000453298995 331112770 /nfs/dbraw/zinc/11/27/70/331112770.db2.gz TXDHDUHHOMPRSC-GHMZBOCLSA-N 1 2 264.319 3.929 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+]Cc1nn(C)cc1Cl)CCC2 ZINC000453332358 331117874 /nfs/dbraw/zinc/11/78/74/331117874.db2.gz YTEJKZZPRVYYQI-HNNXBMFYSA-N 1 2 289.810 3.549 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H](C)c1ccc2ccccc2n1 ZINC000453350871 331120771 /nfs/dbraw/zinc/12/07/71/331120771.db2.gz MKMURAACWMDHTG-ZIAGYGMSSA-N 1 2 294.402 3.863 20 0 CHADLO CCCc1ncc(C[NH2+][C@H](C)c2ccc(OC)cc2F)o1 ZINC000453355520 331121465 /nfs/dbraw/zinc/12/14/65/331121465.db2.gz DEEDOZPJITXTDQ-LLVKDONJSA-N 1 2 292.354 3.626 20 0 CHADLO COc1cccnc1C[NH2+][C@H](C)c1ccc(F)cc1Cl ZINC000453369098 331123815 /nfs/dbraw/zinc/12/38/15/331123815.db2.gz PWLCAYPVCZROPU-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)o1 ZINC000453391275 331127282 /nfs/dbraw/zinc/12/72/82/331127282.db2.gz YKOVTWDTMXQUDC-VIFPVBQESA-N 1 2 282.746 3.880 20 0 CHADLO C[C@H]1CC=C(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000454782643 331153309 /nfs/dbraw/zinc/15/33/09/331153309.db2.gz ILYGWPBKJPNLEQ-ZDUSSCGKSA-N 1 2 281.359 3.557 20 0 CHADLO CC[C@@H]1CC[C@H](C)N(C(=O)Nc2ccc(C)[nH+]c2C)C1 ZINC000455036830 331159358 /nfs/dbraw/zinc/15/93/58/331159358.db2.gz CEGHKWTYUIYOHQ-GXTWGEPZSA-N 1 2 275.396 3.741 20 0 CHADLO CC[C@@H]1CCC[C@@H]1CNC(=O)Nc1c(C)cc[nH+]c1C ZINC000455436185 331169950 /nfs/dbraw/zinc/16/99/50/331169950.db2.gz JAXIYMMFPOGHDC-ZIAGYGMSSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@@H]1CCCC1(C)C ZINC000455440674 331169979 /nfs/dbraw/zinc/16/99/79/331169979.db2.gz NRLXBLGQYGZWAS-ZDUSSCGKSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@H]2CCC[C@@H]2C)c(C)[nH+]1 ZINC000455543051 331172705 /nfs/dbraw/zinc/17/27/05/331172705.db2.gz ZSBPDJJTUSWVBC-IINYFYTJSA-N 1 2 275.396 3.565 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)[C@H](C)CC1CCCCC1 ZINC000456349874 331190525 /nfs/dbraw/zinc/19/05/25/331190525.db2.gz JOHYFKBGNRIKCR-ZIAGYGMSSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C[C@H]1CCCCC1(C)C ZINC000456356448 331190732 /nfs/dbraw/zinc/19/07/32/331190732.db2.gz OWBZGDQUIIHNBM-ZIAGYGMSSA-N 1 2 291.439 3.687 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000456364320 331191076 /nfs/dbraw/zinc/19/10/76/331191076.db2.gz VKYSAIGNHKCCHO-KGLIPLIRSA-N 1 2 279.428 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)[C@H](c1ccccc1)C(C)C ZINC000456372618 331191539 /nfs/dbraw/zinc/19/15/39/331191539.db2.gz ZJZHGQUASUMLMG-HOCLYGCPSA-N 1 2 299.418 3.520 20 0 CHADLO CC[C@H](CC(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456378280 331191778 /nfs/dbraw/zinc/19/17/78/331191778.db2.gz LGPXXNNBFACEOJ-SQWLQELKSA-N 1 2 297.402 3.589 20 0 CHADLO CC[C@H](CC(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456378265 331191797 /nfs/dbraw/zinc/19/17/97/331191797.db2.gz LGPXXNNBFACEOJ-FMKPAKJESA-N 1 2 297.402 3.589 20 0 CHADLO CC[C@@H](CC(=O)N[C@@H](C)c1[nH+]ccn1CC)c1ccccc1 ZINC000456380293 331191814 /nfs/dbraw/zinc/19/18/14/331191814.db2.gz JRODQIORIAYGFK-GJZGRUSLSA-N 1 2 299.418 3.664 20 0 CHADLO CC(C)CC(CC(C)C)C(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21 ZINC000456426076 331192472 /nfs/dbraw/zinc/19/24/72/331192472.db2.gz QKABAXKAWMNANE-ZFWWWQNUSA-N 1 2 291.439 3.714 20 0 CHADLO CC[C@@H]1CC[C@H](C)N(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000456793083 331204194 /nfs/dbraw/zinc/20/41/94/331204194.db2.gz AUQRYXLEJHQOOR-LSDHHAIUSA-N 1 2 297.402 3.523 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)NCc1c[nH+]c(C)cc1C ZINC000456827003 331206251 /nfs/dbraw/zinc/20/62/51/331206251.db2.gz KBAFXFZJIRFHHZ-OAHLLOKOSA-N 1 2 276.424 3.777 20 0 CHADLO Cc1cc(C)c(CNC(=O)CC2CCC(C)CC2)c[nH+]1 ZINC000456835588 331207135 /nfs/dbraw/zinc/20/71/35/331207135.db2.gz ISWVSXREKOXXOO-UHFFFAOYSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)C(C)(C)C2CCCC2)c[nH+]1 ZINC000456842453 331207254 /nfs/dbraw/zinc/20/72/54/331207254.db2.gz PIXDFLGZVXNBTI-UHFFFAOYSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H](c2ccccc2)C(C)C)c[nH+]1 ZINC000456847003 331207421 /nfs/dbraw/zinc/20/74/21/331207421.db2.gz UOQBDPYRGQMYBQ-GOSISDBHSA-N 1 2 296.414 3.754 20 0 CHADLO Cc1ccc(CCCC(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000456857301 331207674 /nfs/dbraw/zinc/20/76/74/331207674.db2.gz KQLODXTWMOOJJG-UHFFFAOYSA-N 1 2 296.414 3.646 20 0 CHADLO C[C@H](CC(F)(F)F)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000457526812 331225931 /nfs/dbraw/zinc/22/59/31/331225931.db2.gz NGDBGJPBELNXPC-SECBINFHSA-N 1 2 297.280 3.604 20 0 CHADLO CC(C)CCCCC(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000457650852 331230176 /nfs/dbraw/zinc/23/01/76/331230176.db2.gz MXMNZRHEHDHHLZ-HZPDHXFCSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2nccs2)c(Cl)c1 ZINC000459378228 331279069 /nfs/dbraw/zinc/27/90/69/331279069.db2.gz VRLSEUBDNICYNH-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1ccncc1F ZINC000459378176 331279134 /nfs/dbraw/zinc/27/91/34/331279134.db2.gz VLHDMQSUEWCVOD-NEPJUHHUSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1ccncc1F ZINC000459378176 331279135 /nfs/dbraw/zinc/27/91/35/331279135.db2.gz VLHDMQSUEWCVOD-NEPJUHHUSA-N 1 2 288.341 3.868 20 0 CHADLO CCOc1cc(CNc2c[nH+]c3c(c2)CCCC3)ccc1O ZINC000459456288 331282212 /nfs/dbraw/zinc/28/22/12/331282212.db2.gz DVALRMJICWCXDF-UHFFFAOYSA-N 1 2 298.386 3.677 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2nc(-c3ccccc3)c[nH]2)o1 ZINC000459585441 331289269 /nfs/dbraw/zinc/28/92/69/331289269.db2.gz PDISWCJSBBWTFY-UHFFFAOYSA-N 1 2 295.386 3.864 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2nc(-c3ccccc3)c[nH]2)o1 ZINC000459585441 331289270 /nfs/dbraw/zinc/28/92/70/331289270.db2.gz PDISWCJSBBWTFY-UHFFFAOYSA-N 1 2 295.386 3.864 20 0 CHADLO FC(F)(F)c1ncc(C[NH+]2CC(C3CCCC3)C2)s1 ZINC000459608660 331290469 /nfs/dbraw/zinc/29/04/69/331290469.db2.gz ICNZQNZEBAOSEZ-UHFFFAOYSA-N 1 2 290.354 3.784 20 0 CHADLO COCCC1(C)C[NH+](Cc2cccc(Cl)c2Cl)C1 ZINC000459634844 331291412 /nfs/dbraw/zinc/29/14/12/331291412.db2.gz SRRKZCIDFBKCGY-UHFFFAOYSA-N 1 2 288.218 3.852 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CCc2cccc(Cl)c2C1 ZINC000459669171 331293256 /nfs/dbraw/zinc/29/32/56/331293256.db2.gz CLPUVVXMKVRRNH-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO Fc1cccc2c1C[N@H+](CCCCC(F)(F)F)C2 ZINC000459731840 331296516 /nfs/dbraw/zinc/29/65/16/331296516.db2.gz INFFFITXVGZMIF-UHFFFAOYSA-N 1 2 261.262 3.874 20 0 CHADLO Fc1cccc2c1C[N@@H+](CCCCC(F)(F)F)C2 ZINC000459731840 331296517 /nfs/dbraw/zinc/29/65/17/331296517.db2.gz INFFFITXVGZMIF-UHFFFAOYSA-N 1 2 261.262 3.874 20 0 CHADLO C[C@H]([NH2+]Cc1c(Cl)cccc1Cl)c1ccn(C)n1 ZINC000459783033 331300810 /nfs/dbraw/zinc/30/08/10/331300810.db2.gz ICVOZMQXQVIFAA-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2C[C@H]2CC(C)C)c(C)[nH+]1 ZINC000459915026 331303419 /nfs/dbraw/zinc/30/34/19/331303419.db2.gz ADJXBUKAXBRLIW-ZIAGYGMSSA-N 1 2 260.381 3.628 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@@H](C)CC1CC1 ZINC000164930272 331305478 /nfs/dbraw/zinc/30/54/78/331305478.db2.gz LTDHPEIYFAOTQS-ZDUSSCGKSA-N 1 2 263.381 3.621 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2cscn2)c[nH+]1 ZINC000165324013 331306156 /nfs/dbraw/zinc/30/61/56/331306156.db2.gz DGMKBKXRTPGMRS-NSHDSACASA-N 1 2 276.409 3.557 20 0 CHADLO CCc1ccc([C@H](C)C(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000460328749 331309278 /nfs/dbraw/zinc/30/92/78/331309278.db2.gz ZQMQENIUMQQMOX-ZDUSSCGKSA-N 1 2 293.370 3.639 20 0 CHADLO CO[C@@](C)(CNc1cc(C)[nH+]c2c(F)cccc12)C1CC1 ZINC000461882030 331314982 /nfs/dbraw/zinc/31/49/82/331314982.db2.gz KPFZBYARAPPFBD-KRWDZBQOSA-N 1 2 288.366 3.909 20 0 CHADLO c1c2ccccc2n2c1CN(c1[nH]c3ccccc3[nH+]1)CC2 ZINC000462099995 331317334 /nfs/dbraw/zinc/31/73/34/331317334.db2.gz UPBGYQIJJDELRX-UHFFFAOYSA-N 1 2 288.354 3.538 20 0 CHADLO CCC[C@H](CCc1ccccc1)Nc1cc(C)[nH+]cn1 ZINC000462878897 331333838 /nfs/dbraw/zinc/33/38/38/331333838.db2.gz VFGSFASFSQJLFC-MRXNPFEDSA-N 1 2 269.392 3.998 20 0 CHADLO CCSCc1cccc(Nc2[nH+]cnc3[nH]ccc32)c1 ZINC000462885131 331333902 /nfs/dbraw/zinc/33/39/02/331333902.db2.gz AQBHERMDLAOWSX-UHFFFAOYSA-N 1 2 284.388 3.955 20 0 CHADLO Cc1cc(N2CCC(C(C)C)CC2)nc(C2CC2)[nH+]1 ZINC000462900884 331334480 /nfs/dbraw/zinc/33/44/80/331334480.db2.gz QHJCMOFUKTVNLR-UHFFFAOYSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1cc(N2CCC(C(F)(F)F)CC2)nc(C(C)C)[nH+]1 ZINC000462947646 331335833 /nfs/dbraw/zinc/33/58/33/331335833.db2.gz AMFQPNPPYWLQDP-UHFFFAOYSA-N 1 2 287.329 3.687 20 0 CHADLO CC(C)(C)c1[nH]c2ccc(NC(=O)CC3CCC3)cc2[nH+]1 ZINC000463363256 331346625 /nfs/dbraw/zinc/34/66/25/331346625.db2.gz ZJBWVLJHXMZTKA-UHFFFAOYSA-N 1 2 285.391 3.989 20 0 CHADLO CCC1(CC)CN(c2[nH]c3ccccc3[nH+]2)CCS1 ZINC000463571197 331349683 /nfs/dbraw/zinc/34/96/83/331349683.db2.gz SZBIHURAUAYSKY-UHFFFAOYSA-N 1 2 275.421 3.675 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC(C)(C)C)c1ccc(F)cc1)C(=O)OC ZINC000463909761 331353556 /nfs/dbraw/zinc/35/35/56/331353556.db2.gz HUXMBXVLKPBCPT-CABCVRRESA-N 1 2 295.398 3.844 20 0 CHADLO CCc1ccc(C(C)(C)C(=O)Nc2cc[nH+]cc2CC)cc1 ZINC000465462428 331384119 /nfs/dbraw/zinc/38/41/19/331384119.db2.gz BTNLJQYQXNWYLF-UHFFFAOYSA-N 1 2 296.414 3.545 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1cccc(Cl)c1F)CO2 ZINC000466464349 331397853 /nfs/dbraw/zinc/39/78/53/331397853.db2.gz RHNJBOKMOUAZMW-ZDUSSCGKSA-N 1 2 295.716 3.842 20 0 CHADLO c1cnn(-c2ccc([NH2+][C@H]3CC[C@H]3C3CCC3)cc2)c1 ZINC000510678222 332911071 /nfs/dbraw/zinc/91/10/71/332911071.db2.gz ZEMJMBAMQLCNGW-IRXDYDNUSA-N 1 2 267.376 3.863 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]CC(F)(F)CO)c1cc2ccccc2o1 ZINC000468029573 331426911 /nfs/dbraw/zinc/42/69/11/331426911.db2.gz GRIFOUGPRPBJTD-AWEZNQCLSA-N 1 2 297.345 3.737 20 0 CHADLO C[C@H](CSc1[nH+]cnc2[nH]ccc21)c1ccccc1 ZINC000480388819 331771287 /nfs/dbraw/zinc/77/12/87/331771287.db2.gz ZUKZFQIKRQMJCW-LLVKDONJSA-N 1 2 269.373 3.854 20 0 CHADLO Cc1ccc([C@H]2CCN(c3cccc[nH+]3)C2)c(C)c1 ZINC000480555853 331777540 /nfs/dbraw/zinc/77/75/40/331777540.db2.gz RIDNZRWOUPCCFF-HNNXBMFYSA-N 1 2 252.361 3.692 20 0 CHADLO CC[C@@H](C)[C@@H](C)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000483245862 331864926 /nfs/dbraw/zinc/86/49/26/331864926.db2.gz JDEIOCLVXBSBJE-CHWSQXEVSA-N 1 2 257.381 3.934 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+]CC(F)(F)C(F)F)cc2c1C ZINC000483436003 331875545 /nfs/dbraw/zinc/87/55/45/331875545.db2.gz YMTIFVSNISXSHK-UHFFFAOYSA-N 1 2 288.288 3.775 20 0 CHADLO CC[C@H]1CCCC[C@@H]1NC(=O)Nc1c(C)cc[nH+]c1C ZINC000485572413 331917386 /nfs/dbraw/zinc/91/73/86/331917386.db2.gz CSXAEHGFLYLEOP-KBPBESRZSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc(N2C[C@H](c3ccccc3)[C@@H]3CCCC[C@@H]32)nc[nH+]1 ZINC000486027748 331929428 /nfs/dbraw/zinc/92/94/28/331929428.db2.gz KGGRVFPHPSTPRO-KSZLIROESA-N 1 2 293.414 3.948 20 0 CHADLO C[C@@H](Nc1cccc(-c2[nH+]ccn2C)c1)[C@@H]1CC1(C)C ZINC000487330260 331966689 /nfs/dbraw/zinc/96/66/89/331966689.db2.gz UZZRPKZBEFEFSW-DOMZBBRYSA-N 1 2 269.392 3.934 20 0 CHADLO CC(C)[C@@H]1C[C@H](Nc2cccc(-n3cc[nH+]c3)c2)CS1 ZINC000488343947 332000938 /nfs/dbraw/zinc/00/09/38/332000938.db2.gz BLCYSJWUADGKKJ-HOCLYGCPSA-N 1 2 287.432 3.814 20 0 CHADLO Cc1ccc(N[C@H]2CS[C@H](C(C)C)C2)c(C)[nH+]1 ZINC000488440066 332008610 /nfs/dbraw/zinc/00/86/10/332008610.db2.gz IUOSEFRPKPXLJA-OCCSQVGLSA-N 1 2 250.411 3.640 20 0 CHADLO Cc1cc(N2CC3(CCC3)[C@H]2C(C)C)nc(C(C)C)[nH+]1 ZINC000488508448 332014635 /nfs/dbraw/zinc/01/46/35/332014635.db2.gz SYPFBKYLYXHRLU-OAHLLOKOSA-N 1 2 273.424 3.923 20 0 CHADLO CC(C)c1nc(NCc2ccc(C3CC3)cc2F)cc[nH+]1 ZINC000488520603 332015376 /nfs/dbraw/zinc/01/53/76/332015376.db2.gz IAALOUCEEWRTIU-UHFFFAOYSA-N 1 2 285.366 3.651 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@@H+]1CCOC(C)(C)C1 ZINC000488594386 332019721 /nfs/dbraw/zinc/01/97/21/332019721.db2.gz KKZMERNLILMRAK-NSHDSACASA-N 1 2 298.224 3.621 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@H+]1CCOC(C)(C)C1 ZINC000488594386 332019722 /nfs/dbraw/zinc/01/97/22/332019722.db2.gz KKZMERNLILMRAK-NSHDSACASA-N 1 2 298.224 3.621 20 0 CHADLO Cc1cc(NC(=O)Nc2ccc(C3CCC3)cc2)cc[nH+]1 ZINC000488594954 332019797 /nfs/dbraw/zinc/01/97/97/332019797.db2.gz WVSXLICZJYRFDZ-UHFFFAOYSA-N 1 2 281.359 3.723 20 0 CHADLO Cc1cc(NC[C@H]2CCC[C@@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000489209999 332043384 /nfs/dbraw/zinc/04/33/84/332043384.db2.gz ZVXSKQPCDVRFBT-HIFRSBDPSA-N 1 2 296.418 3.507 20 0 CHADLO COC(=O)C[N@H+](C)[C@H](C)c1ccc(Oc2ccccc2)cc1 ZINC000489546946 332058935 /nfs/dbraw/zinc/05/89/35/332058935.db2.gz ALBYETSYBHPWML-CQSZACIVSA-N 1 2 299.370 3.645 20 0 CHADLO COC(=O)C[N@@H+](C)[C@H](C)c1ccc(Oc2ccccc2)cc1 ZINC000489546946 332058937 /nfs/dbraw/zinc/05/89/37/332058937.db2.gz ALBYETSYBHPWML-CQSZACIVSA-N 1 2 299.370 3.645 20 0 CHADLO FC(F)(F)[C@H]([NH2+][C@@H]1CCC[C@H]([C@@H]2CCOC2)C1)C1CC1 ZINC000489829218 332069349 /nfs/dbraw/zinc/06/93/49/332069349.db2.gz RHCCVJGCBJWFAP-REWJHTLYSA-N 1 2 291.357 3.512 20 0 CHADLO CC(C)Cc1cccc([C@H](C)C(=O)N[C@H](C)c2[nH]cc[nH+]2)c1 ZINC000575369141 335098444 /nfs/dbraw/zinc/09/84/44/335098444.db2.gz CVJFLYKCOYPQRR-UONOGXRCSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1cn[nH]c1C[NH2+]Cc1ccc(-c2ccccc2)cc1F ZINC000492403288 332395821 /nfs/dbraw/zinc/39/58/21/332395821.db2.gz ZJBKIXHAPPNTCO-UHFFFAOYSA-N 1 2 295.361 3.814 20 0 CHADLO Cc1c[nH]nc1C[NH2+]Cc1ccc(-c2ccccc2)cc1F ZINC000492403288 332395822 /nfs/dbraw/zinc/39/58/22/332395822.db2.gz ZJBKIXHAPPNTCO-UHFFFAOYSA-N 1 2 295.361 3.814 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+]C2CCC2)C(F)F)c1 ZINC000575430308 335103638 /nfs/dbraw/zinc/10/36/38/335103638.db2.gz XCKANTBESAJUFQ-CQSZACIVSA-N 1 2 269.335 3.922 20 0 CHADLO CCC(CC)CC(=O)N(C)[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000492601572 332405488 /nfs/dbraw/zinc/40/54/88/332405488.db2.gz LKLKVNUXQOKJHI-QGZVFWFLSA-N 1 2 299.418 3.784 20 0 CHADLO O=C(C=Cc1c[nH]c[nH+]1)N1CCCCC[C@H]1c1ccccc1 ZINC000492767180 332412747 /nfs/dbraw/zinc/41/27/47/332412747.db2.gz NQMFDSIPUAUXSA-MQNTZWLQSA-N 1 2 295.386 3.567 20 0 CHADLO CCCN(C(=O)/C=C/c1[nH]cc[nH+]1)[C@H](CC)c1ccccc1 ZINC000493373365 332437580 /nfs/dbraw/zinc/43/75/80/332437580.db2.gz UORJPIUQAGDWKD-SIFUEBAJSA-N 1 2 297.402 3.813 20 0 CHADLO CCCc1csc(C[NH2+][C@H](CC)c2nccs2)n1 ZINC000494134575 332464278 /nfs/dbraw/zinc/46/42/78/332464278.db2.gz FKKNROGLVOHIPX-LLVKDONJSA-N 1 2 281.450 3.793 20 0 CHADLO C[C@@H](Nc1ccc(-c2ccco2)cc1F)c1[nH+]ccn1C ZINC000494522988 332476964 /nfs/dbraw/zinc/47/69/64/332476964.db2.gz IMKSSTMNWAWLKH-LLVKDONJSA-N 1 2 285.322 3.992 20 0 CHADLO Cc1cc(N(C)[C@H]2CCCC[C@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000498839134 332574057 /nfs/dbraw/zinc/57/40/57/332574057.db2.gz QICJEELZVYVYHU-CJNGLKHVSA-N 1 2 296.418 3.862 20 0 CHADLO CC(C)c1nc(N[C@H]2CCCc3cc(F)ccc32)cc[nH+]1 ZINC000499706383 332591898 /nfs/dbraw/zinc/59/18/98/332591898.db2.gz RAEFFLMHVBEBSL-HNNXBMFYSA-N 1 2 285.366 3.651 20 0 CHADLO C[C@@H]1C[C@@]1([NH2+]Cc1cscn1)c1ccc(Cl)cc1 ZINC000500462587 332609993 /nfs/dbraw/zinc/60/99/93/332609993.db2.gz MNDQNYWSJNOVAD-YGRLFVJLSA-N 1 2 278.808 3.821 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1N[C@@H]1CCC12CCC2 ZINC000502406095 332657134 /nfs/dbraw/zinc/65/71/34/332657134.db2.gz NRJCFUKPZVSYRA-OAHLLOKOSA-N 1 2 271.408 3.817 20 0 CHADLO Cc1ccc(NC2CCC3(CCCO3)CC2)c(C)[nH+]1 ZINC000566653568 334658975 /nfs/dbraw/zinc/65/89/75/334658975.db2.gz OQNMTOIWEYMTKV-UHFFFAOYSA-N 1 2 260.381 3.602 20 0 CHADLO Cc1ccc(CCn2c[nH+]c3cc(F)c(F)cc32)cc1 ZINC000503916809 332699140 /nfs/dbraw/zinc/69/91/40/332699140.db2.gz POLUTEUELNWERH-UHFFFAOYSA-N 1 2 272.298 3.866 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H](C)[C@H](C)C1CCCCC1 ZINC000504149160 332704807 /nfs/dbraw/zinc/70/48/07/332704807.db2.gz BFOKZFMJNCXANK-UONOGXRCSA-N 1 2 289.423 3.538 20 0 CHADLO Cc1cc(NC(=O)N[C@H](c2ccccc2)C(C)(C)C)cc[nH+]1 ZINC000504171550 332705102 /nfs/dbraw/zinc/70/51/02/332705102.db2.gz ZKKNFKXRDWINJP-MRXNPFEDSA-N 1 2 297.402 3.721 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCCCCF)c2c1 ZINC000505655555 332748241 /nfs/dbraw/zinc/74/82/41/332748241.db2.gz ALPCMPCMHGJENM-UHFFFAOYSA-N 1 2 263.312 3.680 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000174663273 333005295 /nfs/dbraw/zinc/00/52/95/333005295.db2.gz UEPHQRGEYNCCHP-NSHDSACASA-N 1 2 291.370 3.665 20 0 CHADLO FC(F)C(F)(F)C[NH2+]Cc1ccc(C2CCC2)cc1 ZINC000513196246 333007655 /nfs/dbraw/zinc/00/76/55/333007655.db2.gz NWEIOHDAMOJZSV-UHFFFAOYSA-N 1 2 275.289 3.944 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1CCOc1ccc2ccccc2c1 ZINC000513218743 333009453 /nfs/dbraw/zinc/00/94/53/333009453.db2.gz NTPDSAAULICBJT-MRXNPFEDSA-N 1 2 291.341 3.948 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1CCOc1ccc2ccccc2c1 ZINC000513218743 333009454 /nfs/dbraw/zinc/00/94/54/333009454.db2.gz NTPDSAAULICBJT-MRXNPFEDSA-N 1 2 291.341 3.948 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C/C=C/c1cccc(F)c1 ZINC000176547762 333043035 /nfs/dbraw/zinc/04/30/35/333043035.db2.gz JBISVEDLURGKPX-HWKANZROSA-N 1 2 270.307 3.571 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nccs1)c1ccccc1)c1ccccn1 ZINC000177082662 333053410 /nfs/dbraw/zinc/05/34/10/333053410.db2.gz KZMVYXQYDWBOEN-CZUORRHYSA-N 1 2 295.411 3.978 20 0 CHADLO C[C@H](C1CC1)n1cc(N[C@@H](C)c2cn3ccccc3[nH+]2)cn1 ZINC000575819627 335119063 /nfs/dbraw/zinc/11/90/63/335119063.db2.gz BBEPACBESOHLEG-QWHCGFSZSA-N 1 2 295.390 3.675 20 0 CHADLO c1ccc2c(c1)CCC[N@@H+](Cc1nc(C3CCCC3)no1)C2 ZINC000178681097 333076822 /nfs/dbraw/zinc/07/68/22/333076822.db2.gz APCNOHOPMAFUNA-UHFFFAOYSA-N 1 2 297.402 3.676 20 0 CHADLO c1ccc2c(c1)CCC[N@H+](Cc1nc(C3CCCC3)no1)C2 ZINC000178681097 333076823 /nfs/dbraw/zinc/07/68/23/333076823.db2.gz APCNOHOPMAFUNA-UHFFFAOYSA-N 1 2 297.402 3.676 20 0 CHADLO CC(C)=CC[N@@H+]1CCOc2ccc(Br)cc2C1 ZINC000516775987 333082374 /nfs/dbraw/zinc/08/23/74/333082374.db2.gz MEBCTNALEWRGMK-UHFFFAOYSA-N 1 2 296.208 3.610 20 0 CHADLO CC(C)=CC[N@H+]1CCOc2ccc(Br)cc2C1 ZINC000516775987 333082375 /nfs/dbraw/zinc/08/23/75/333082375.db2.gz MEBCTNALEWRGMK-UHFFFAOYSA-N 1 2 296.208 3.610 20 0 CHADLO COc1ccccc1N(Cc1c[nH+]c2ccccn12)C(C)C ZINC000516896458 333085590 /nfs/dbraw/zinc/08/55/90/333085590.db2.gz JSHAJVXJFHVZTG-UHFFFAOYSA-N 1 2 295.386 3.758 20 0 CHADLO c1ccc2[nH+]c(NC[C@H]3CCOc4ccccc43)ccc2c1 ZINC000517490553 333100574 /nfs/dbraw/zinc/10/05/74/333100574.db2.gz XLIJLXCXJOOUKG-OAHLLOKOSA-N 1 2 290.366 3.635 20 0 CHADLO CC[C@@H](C)[C@H](C)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000183221972 333119690 /nfs/dbraw/zinc/11/96/90/333119690.db2.gz PAAXCHNLTOEMNS-OLZOCXBDSA-N 1 2 285.391 3.708 20 0 CHADLO CSc1cccc(C)c1NCc1cn2ccccc2[nH+]1 ZINC000185429558 333140544 /nfs/dbraw/zinc/14/05/44/333140544.db2.gz WQIPNKWVEDTSBO-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO Clc1cccc([C@@H]2C[N@H+](Cc3ccsc3)CCO2)c1 ZINC000185645837 333145901 /nfs/dbraw/zinc/14/59/01/333145901.db2.gz RZOHSYLINUSEFK-HNNXBMFYSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1cccc([C@@H]2C[N@@H+](Cc3ccsc3)CCO2)c1 ZINC000185645837 333145903 /nfs/dbraw/zinc/14/59/03/333145903.db2.gz RZOHSYLINUSEFK-HNNXBMFYSA-N 1 2 293.819 3.975 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](c3cccc(Cl)c3)C2)o1 ZINC000185645799 333145965 /nfs/dbraw/zinc/14/59/65/333145965.db2.gz LVCIFFLPUTXQOU-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](c3cccc(Cl)c3)C2)o1 ZINC000185645799 333145966 /nfs/dbraw/zinc/14/59/66/333145966.db2.gz LVCIFFLPUTXQOU-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO COCC1=CC[N@H+](Cc2c(Cl)cccc2Cl)CC1 ZINC000185833115 333149936 /nfs/dbraw/zinc/14/99/36/333149936.db2.gz AAWABUMXAHAFSE-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO COCC1=CC[N@@H+](Cc2c(Cl)cccc2Cl)CC1 ZINC000185833115 333149937 /nfs/dbraw/zinc/14/99/37/333149937.db2.gz AAWABUMXAHAFSE-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2sccc2OC)cs1 ZINC000186158501 333156038 /nfs/dbraw/zinc/15/60/38/333156038.db2.gz UIJLAYCIZTZOMK-VIFPVBQESA-N 1 2 282.434 3.626 20 0 CHADLO C[C@@H]1CCC[C@@H]1CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000186646162 333163956 /nfs/dbraw/zinc/16/39/56/333163956.db2.gz ZGGWMYDAFNZELC-ZIAGYGMSSA-N 1 2 283.375 3.637 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCC(C(F)F)CC2)c1 ZINC000521616351 333180038 /nfs/dbraw/zinc/18/00/38/333180038.db2.gz DTQKEHZJUCZSNM-UHFFFAOYSA-N 1 2 280.293 3.855 20 0 CHADLO Cc1cccc(CCSCCc2ccc3c(c2)CCO3)[nH+]1 ZINC000521876330 333195084 /nfs/dbraw/zinc/19/50/84/333195084.db2.gz YESMRCDXVZVAJY-UHFFFAOYSA-N 1 2 299.439 3.843 20 0 CHADLO C[C@@H]1CSCC[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000190463348 333207344 /nfs/dbraw/zinc/20/73/44/333207344.db2.gz VJQHTCRCSCOVAN-VIFPVBQESA-N 1 2 291.247 3.573 20 0 CHADLO C[C@@H]1CSCC[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000190463348 333207345 /nfs/dbraw/zinc/20/73/45/333207345.db2.gz VJQHTCRCSCOVAN-VIFPVBQESA-N 1 2 291.247 3.573 20 0 CHADLO CCOc1cc(C)ccc1NCc1ccc(N(C)CC)[nH+]c1 ZINC000191395693 333219274 /nfs/dbraw/zinc/21/92/74/333219274.db2.gz DOQMLFRJDOBIMM-UHFFFAOYSA-N 1 2 299.418 3.857 20 0 CHADLO CC[C@H](C)[C@H](O)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000521924207 333223658 /nfs/dbraw/zinc/22/36/58/333223658.db2.gz JANMOVDWOXUYKF-IINYFYTJSA-N 1 2 278.783 3.707 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc3[nH]cnc3c2)CCCCC1 ZINC000575889644 335128645 /nfs/dbraw/zinc/12/86/45/335128645.db2.gz BBLCVSBHAMICAQ-UHFFFAOYSA-N 1 2 279.334 3.621 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc3nc[nH]c3c2)CCCCC1 ZINC000575889644 335128646 /nfs/dbraw/zinc/12/86/46/335128646.db2.gz BBLCVSBHAMICAQ-UHFFFAOYSA-N 1 2 279.334 3.621 20 0 CHADLO CCCCc1noc(COc2cc(C)[nH+]c3ccccc32)n1 ZINC000192191527 333232226 /nfs/dbraw/zinc/23/22/26/333232226.db2.gz RIXNCACNOWYBNP-UHFFFAOYSA-N 1 2 297.358 3.848 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(F)c1)c1nc(C(C)(C)C)no1 ZINC000192621962 333237119 /nfs/dbraw/zinc/23/71/19/333237119.db2.gz RYQKGAYKGHAYPQ-WDEREUQCSA-N 1 2 291.370 3.918 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@H+](C)Cc1ccccn1 ZINC000192783014 333239323 /nfs/dbraw/zinc/23/93/23/333239323.db2.gz PYLWTAIPNXAFDW-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@@H+](C)Cc1ccccn1 ZINC000192783014 333239324 /nfs/dbraw/zinc/23/93/24/333239324.db2.gz PYLWTAIPNXAFDW-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1cc(Cl)cs1 ZINC000194416520 333270894 /nfs/dbraw/zinc/27/08/94/333270894.db2.gz UPKNDHDSHFJIJI-VIFPVBQESA-N 1 2 289.828 3.564 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1cc(Cl)cs1 ZINC000194416520 333270895 /nfs/dbraw/zinc/27/08/95/333270895.db2.gz UPKNDHDSHFJIJI-VIFPVBQESA-N 1 2 289.828 3.564 20 0 CHADLO Cc1[nH]c(C(=O)N[C@H](CC2CCCCC2)C(C)(C)C)c[nH+]1 ZINC000522410113 333286823 /nfs/dbraw/zinc/28/68/23/333286823.db2.gz HMGGFLFVWFBQJC-OAHLLOKOSA-N 1 2 291.439 3.833 20 0 CHADLO Cc1cc(NC(=O)[C@@H](CC2CCCC2)C(C)C)cc[nH+]1 ZINC000522530103 333288954 /nfs/dbraw/zinc/28/89/54/333288954.db2.gz OUSDNOSHPQKNPH-INIZCTEOSA-N 1 2 274.408 3.603 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccccc1)c1cccnc1 ZINC000227899246 333290486 /nfs/dbraw/zinc/29/04/86/333290486.db2.gz FUWIMPFWZYBBMB-LBPRGKRZSA-N 1 2 262.303 3.524 20 0 CHADLO Cc1cc(C)cc([C@@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000522566582 333290497 /nfs/dbraw/zinc/29/04/97/333290497.db2.gz LMKBLLQFVKQRQR-CQSZACIVSA-N 1 2 281.403 3.874 20 0 CHADLO Cc1nc(CCNc2[nH+]c3ccccc3cc2C)cs1 ZINC000227928193 333290639 /nfs/dbraw/zinc/29/06/39/333290639.db2.gz DKTWTDQCHKOOAF-UHFFFAOYSA-N 1 2 283.400 3.963 20 0 CHADLO Cc1cc(NC[C@@H](C)c2nccs2)nc(C(C)C)[nH+]1 ZINC000230607394 333319013 /nfs/dbraw/zinc/31/90/13/333319013.db2.gz ASMAVECQZOCNIU-SNVBAGLBSA-N 1 2 276.409 3.581 20 0 CHADLO Cc1[nH]c(CNc2ccc(C)c(C(F)(F)F)c2)[nH+]c1C ZINC000575936404 335133774 /nfs/dbraw/zinc/13/37/74/335133774.db2.gz TUGUSPQLGFTFFL-UHFFFAOYSA-N 1 2 283.297 3.966 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)CO)c1ccc(-c2ccccc2)cc1 ZINC000231793294 333329680 /nfs/dbraw/zinc/32/96/80/333329680.db2.gz PYERVWHUXUQBNL-ZDUSSCGKSA-N 1 2 291.341 3.632 20 0 CHADLO CC(C)C1CC(Nc2ccc(N3CCCC3)[nH+]c2)C1 ZINC000232551707 333338564 /nfs/dbraw/zinc/33/85/64/333338564.db2.gz WLXIAZVFXDAEGJ-UHFFFAOYSA-N 1 2 259.397 3.528 20 0 CHADLO FC(F)C[N@H+](CCCOc1cccc(Cl)c1)C1CC1 ZINC000303292759 333339749 /nfs/dbraw/zinc/33/97/49/333339749.db2.gz LGICFLGEWAQPAL-UHFFFAOYSA-N 1 2 289.753 3.838 20 0 CHADLO FC(F)C[N@@H+](CCCOc1cccc(Cl)c1)C1CC1 ZINC000303292759 333339750 /nfs/dbraw/zinc/33/97/50/333339750.db2.gz LGICFLGEWAQPAL-UHFFFAOYSA-N 1 2 289.753 3.838 20 0 CHADLO CC(C)c1ccc(C[NH2+]CC(F)(F)C(F)F)cc1 ZINC000232754679 333342157 /nfs/dbraw/zinc/34/21/57/333342157.db2.gz OADDQNZFGHLYJM-UHFFFAOYSA-N 1 2 263.278 3.800 20 0 CHADLO CCC[N@H+](CCc1ccc(CC)cc1)CC(F)F ZINC000523778990 333345272 /nfs/dbraw/zinc/34/52/72/333345272.db2.gz PGGJLRBWMPCAEW-UHFFFAOYSA-N 1 2 255.352 3.769 20 0 CHADLO CCC[N@@H+](CCc1ccc(CC)cc1)CC(F)F ZINC000523778990 333345273 /nfs/dbraw/zinc/34/52/73/333345273.db2.gz PGGJLRBWMPCAEW-UHFFFAOYSA-N 1 2 255.352 3.769 20 0 CHADLO CCCn1cc(C[NH2+]Cc2c(Cl)cccc2Cl)cn1 ZINC000523970349 333350336 /nfs/dbraw/zinc/35/03/36/333350336.db2.gz BEZRWEZHAUWOHW-UHFFFAOYSA-N 1 2 298.217 3.890 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1ccc(F)cn1 ZINC000234937431 333363269 /nfs/dbraw/zinc/36/32/69/333363269.db2.gz WSJSJWJKYIPSNY-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@H+](C)Cc2nnc(C3CC3)o2)c1 ZINC000524592899 333367789 /nfs/dbraw/zinc/36/77/89/333367789.db2.gz WJUFAGBHKGIZHP-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@@H+](C)Cc2nnc(C3CC3)o2)c1 ZINC000524592899 333367790 /nfs/dbraw/zinc/36/77/90/333367790.db2.gz WJUFAGBHKGIZHP-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1ccc(C(F)(F)F)cc1 ZINC000237367381 333378993 /nfs/dbraw/zinc/37/89/93/333378993.db2.gz IRIIMQHQCUMXBY-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO COC(=O)C1([NH2+]Cc2ccc3ccccc3c2)CCCCC1 ZINC000524811539 333389054 /nfs/dbraw/zinc/38/90/54/333389054.db2.gz NLOVEYXKMKTDGN-UHFFFAOYSA-N 1 2 297.398 3.805 20 0 CHADLO C[C@@H](Nc1cccc(-n2cc[nH+]c2)c1)[C@H]1CC1(C)C ZINC000397617142 333426382 /nfs/dbraw/zinc/42/63/82/333426382.db2.gz QSSPTFYWDVGMRT-IUODEOHRSA-N 1 2 255.365 3.719 20 0 CHADLO CC[C@@H](CC(=O)NC(C)(C)c1[nH]cc[nH+]1)c1ccc(C)cc1 ZINC000575995050 335139682 /nfs/dbraw/zinc/13/96/82/335139682.db2.gz AGAWNRBQSIHJHS-AWEZNQCLSA-N 1 2 299.418 3.653 20 0 CHADLO Cc1ncc(C[NH2+]Cc2ccc(Cl)cc2Cl)o1 ZINC000397882467 333430250 /nfs/dbraw/zinc/43/02/50/333430250.db2.gz BMNZDXRJETWGOL-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1ncc(C[NH2+][C@H](C)c2ccccc2Br)o1 ZINC000397923908 333430764 /nfs/dbraw/zinc/43/07/64/333430764.db2.gz RJSCXYVGWUEIDM-SECBINFHSA-N 1 2 295.180 3.596 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+]Cc1ncccc1Cl ZINC000528431213 333436949 /nfs/dbraw/zinc/43/69/49/333436949.db2.gz KHKWGTJEZFGMDO-LBPRGKRZSA-N 1 2 290.794 3.985 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](c2ccccn2)C2CCC2)o1 ZINC000528659193 333451223 /nfs/dbraw/zinc/45/12/23/333451223.db2.gz OHUGGHYCWRZSGH-WFASDCNBSA-N 1 2 271.364 3.570 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nc(C)no1)c1cc(C)ccc1C ZINC000528800701 333458810 /nfs/dbraw/zinc/45/88/10/333458810.db2.gz LOBOVCOHCXPUAO-DOMZBBRYSA-N 1 2 273.380 3.797 20 0 CHADLO Cc1ccc(NC(=O)CC2(c3ccccc3)CC2)c(C)[nH+]1 ZINC000528816406 333460030 /nfs/dbraw/zinc/46/00/30/333460030.db2.gz VLCNYEBMSXJXLS-UHFFFAOYSA-N 1 2 280.371 3.759 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)C1CC(F)(F)C1 ZINC000529535700 333494626 /nfs/dbraw/zinc/49/46/26/333494626.db2.gz ZTQJMYRDZROEFW-GFCCVEGCSA-N 1 2 295.377 3.918 20 0 CHADLO Oc1cccc(C[NH2+]Cc2ccccc2Cl)c1Cl ZINC000328255681 333495912 /nfs/dbraw/zinc/49/59/12/333495912.db2.gz UCVFVTDODRCNQJ-UHFFFAOYSA-N 1 2 282.170 3.989 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1ccccc1Cl ZINC000037210753 333514352 /nfs/dbraw/zinc/51/43/52/333514352.db2.gz YJKFHQMFNWTKKX-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO Clc1ccccc1CN[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000125487294 333567115 /nfs/dbraw/zinc/56/71/15/333567115.db2.gz IJKYMGMATZYKTR-INIZCTEOSA-N 1 2 297.789 3.942 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccccc2OC(C)C)no1 ZINC000538126293 333575647 /nfs/dbraw/zinc/57/56/47/333575647.db2.gz ZENFBGISGMRNKD-CYBMUJFWSA-N 1 2 274.364 3.621 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@]2(C)CCCc3ccccc32)no1 ZINC000539808150 333691555 /nfs/dbraw/zinc/69/15/55/333691555.db2.gz STVYVQHWHUVFIO-GOSISDBHSA-N 1 2 299.418 3.708 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc([C@@H]3C[C@@H]3C)o2)sc1C ZINC000174435615 335155086 /nfs/dbraw/zinc/15/50/86/335155086.db2.gz GTHSSIKTUSDGKZ-TVQRCGJNSA-N 1 2 276.405 3.766 20 0 CHADLO CCc1cc(OC[C@@H]2CCCOC2)c2ccccc2[nH+]1 ZINC000539952698 333704011 /nfs/dbraw/zinc/70/40/11/333704011.db2.gz QINMSDYVRIITRP-CYBMUJFWSA-N 1 2 271.360 3.603 20 0 CHADLO CC(C)([NH2+]Cc1nnc(C2CC2)o1)c1cccc(Cl)c1 ZINC000540413193 333729323 /nfs/dbraw/zinc/72/93/23/333729323.db2.gz LJWGZDOHSSGRNT-UHFFFAOYSA-N 1 2 291.782 3.625 20 0 CHADLO Cc1ccc(Cn2c[nH+]c3cc(F)c(F)cc32)cc1C ZINC000128971433 333731550 /nfs/dbraw/zinc/73/15/50/333731550.db2.gz PPPAYTNHKGWJNW-UHFFFAOYSA-N 1 2 272.298 3.980 20 0 CHADLO CCCC[C@H](COC)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000540833962 333749401 /nfs/dbraw/zinc/74/94/01/333749401.db2.gz PELCEXAUFCFVGO-CQSZACIVSA-N 1 2 291.370 3.629 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[NH2+]C(C)(C)c1cccs1 ZINC000541643398 333785618 /nfs/dbraw/zinc/78/56/18/333785618.db2.gz XAAONDFOPQFPSO-GFCCVEGCSA-N 1 2 283.437 3.551 20 0 CHADLO CSc1ccc([C@@H](C)Nc2cc[nH+]c(C(C)C)n2)cc1 ZINC000544017420 333908894 /nfs/dbraw/zinc/90/88/94/333908894.db2.gz FNOCOBQZEPOUJB-GFCCVEGCSA-N 1 2 287.432 3.917 20 0 CHADLO CC(C)CCc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000544750540 333945033 /nfs/dbraw/zinc/94/50/33/333945033.db2.gz NJEOLILUVMEIHK-UHFFFAOYSA-N 1 2 282.347 3.511 20 0 CHADLO CC(C)(C)CCc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000544805178 333946690 /nfs/dbraw/zinc/94/66/90/333946690.db2.gz HIYGVACTRZLBLY-UHFFFAOYSA-N 1 2 296.374 3.901 20 0 CHADLO Cc1cc(NC(=O)CCSc2ccc(F)cc2)cc[nH+]1 ZINC000073053810 333967681 /nfs/dbraw/zinc/96/76/81/333967681.db2.gz ZQHILRFQFXBETJ-UHFFFAOYSA-N 1 2 290.363 3.650 20 0 CHADLO Cc1nc(N[C@@H](c2ccc(F)cc2F)C(C)C)cc[nH+]1 ZINC000131989722 333970150 /nfs/dbraw/zinc/97/01/50/333970150.db2.gz TZJIAZICKIOMNN-OAHLLOKOSA-N 1 2 277.318 3.872 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C[C@H](C)Cc1cccc(F)c1 ZINC000073472031 333973127 /nfs/dbraw/zinc/97/31/27/333973127.db2.gz IMMULKLIPYRBEP-GFCCVEGCSA-N 1 2 286.350 3.737 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](C)Cc1cccc(Cl)c1 ZINC000132361420 333978234 /nfs/dbraw/zinc/97/82/34/333978234.db2.gz CRCXPZZJRXUHGN-NSHDSACASA-N 1 2 288.778 3.861 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](C)c2c(C)cccc2C)n1 ZINC000545518343 333985724 /nfs/dbraw/zinc/98/57/24/333985724.db2.gz IHHLRHJGQROOEU-CQSZACIVSA-N 1 2 287.407 3.880 20 0 CHADLO CCC1CC[NH+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC000132764217 334004941 /nfs/dbraw/zinc/00/49/41/334004941.db2.gz IUXKWWVEVZUHEP-UHFFFAOYSA-N 1 2 278.343 3.784 20 0 CHADLO Cc1cccc2[nH+]c(CN[C@H](C(C)(C)C)C(F)(F)F)cn21 ZINC000545922608 334006489 /nfs/dbraw/zinc/00/64/89/334006489.db2.gz YFNDUMOTDMCFQE-CYBMUJFWSA-N 1 2 299.340 3.709 20 0 CHADLO CCc1ccccc1C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000133185977 334024642 /nfs/dbraw/zinc/02/46/42/334024642.db2.gz CNAFBPPECGFSFW-UHFFFAOYSA-N 1 2 293.370 3.696 20 0 CHADLO CC1(C)CC[C@H](c2nc(Cc3cn4ccccc4[nH+]3)no2)C1 ZINC000546310071 334026683 /nfs/dbraw/zinc/02/66/83/334026683.db2.gz AUAYJQNMZKOYFJ-LBPRGKRZSA-N 1 2 296.374 3.602 20 0 CHADLO Cc1cc(NC(=O)Cc2cc(Cl)ccc2Cl)cc[nH+]1 ZINC000134091207 334079776 /nfs/dbraw/zinc/07/97/76/334079776.db2.gz ILNILZXHYPKXTD-UHFFFAOYSA-N 1 2 295.169 3.878 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](Cc1nccn1C)C1CC1 ZINC000076745494 334088266 /nfs/dbraw/zinc/08/82/66/334088266.db2.gz BWTWQKCUPPXWIJ-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](Cc1nccn1C)C1CC1 ZINC000076745494 334088268 /nfs/dbraw/zinc/08/82/68/334088268.db2.gz BWTWQKCUPPXWIJ-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO Cc1cc2[nH+]cn(Cc3ccc(F)c(F)c3)c2cc1C ZINC000134762954 334103104 /nfs/dbraw/zinc/10/31/04/334103104.db2.gz OBYQTLDFRDAJOG-UHFFFAOYSA-N 1 2 272.298 3.980 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1ccc(F)cc1F ZINC000134772781 334103614 /nfs/dbraw/zinc/10/36/14/334103614.db2.gz KOBCDKRJNVTCAL-SNVBAGLBSA-N 1 2 295.304 3.963 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1ccc(F)c(Br)c1 ZINC000547769881 334114783 /nfs/dbraw/zinc/11/47/83/334114783.db2.gz WLXCPYUWRVZETQ-RKDXNWHRSA-N 1 2 272.161 3.571 20 0 CHADLO CCc1csc(N[C@H](c2[nH+]ccn2C)c2ccccc2)n1 ZINC000547824381 334123279 /nfs/dbraw/zinc/12/32/79/334123279.db2.gz AGLCPEPYAGVGFV-AWEZNQCLSA-N 1 2 298.415 3.641 20 0 CHADLO Fc1cccc(Cn2c[nH+]c3cc(F)c(F)cc32)c1F ZINC000078760470 334140301 /nfs/dbraw/zinc/14/03/01/334140301.db2.gz QDTASEIMUUIDEA-UHFFFAOYSA-N 1 2 280.224 3.641 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1ccc(F)cc1F ZINC000078803131 334140666 /nfs/dbraw/zinc/14/06/66/334140666.db2.gz DCSMTIPIAPKPBZ-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC000078803131 334140667 /nfs/dbraw/zinc/14/06/67/334140667.db2.gz DCSMTIPIAPKPBZ-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO c1cc2cccc(NCc3ccc(-n4cc[nH+]c4)cc3)c2[nH]1 ZINC000548147310 334142850 /nfs/dbraw/zinc/14/28/50/334142850.db2.gz GDLGYESWEPCRAF-UHFFFAOYSA-N 1 2 288.354 3.966 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000548424385 334155545 /nfs/dbraw/zinc/15/55/45/334155545.db2.gz ZWVIFSHCPGUUED-DTORHVGOSA-N 1 2 284.190 3.624 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000548424385 334155546 /nfs/dbraw/zinc/15/55/46/334155546.db2.gz ZWVIFSHCPGUUED-DTORHVGOSA-N 1 2 284.190 3.624 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@@H]3C=CCCC3)ccc2n1C ZINC000548618421 334163955 /nfs/dbraw/zinc/16/39/55/334163955.db2.gz YSAUNNMFCPSZJO-CYBMUJFWSA-N 1 2 283.375 3.567 20 0 CHADLO Cc1cc(N2CC[C@@H](CC(C)C)C2)nc(-c2ccncc2)[nH+]1 ZINC000080696545 334173092 /nfs/dbraw/zinc/17/30/92/334173092.db2.gz QWTLJKVDNZPDMO-HNNXBMFYSA-N 1 2 296.418 3.719 20 0 CHADLO Cc1cc(F)ccc1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000137477796 334173255 /nfs/dbraw/zinc/17/32/55/334173255.db2.gz BTYNGCQDCVWQFK-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cc(NC(=O)N(C)[C@H](C)C2CCCCC2)c(C)c[nH+]1 ZINC000549194880 334187392 /nfs/dbraw/zinc/18/73/92/334187392.db2.gz USPLGEZBSXMUCB-CQSZACIVSA-N 1 2 289.423 3.553 20 0 CHADLO CCc1nocc1CN(C)c1[nH+]c2ccccc2cc1C ZINC000549220684 334187885 /nfs/dbraw/zinc/18/78/85/334187885.db2.gz XGNSRRSFFCOLDR-UHFFFAOYSA-N 1 2 281.359 3.730 20 0 CHADLO Clc1ccsc1C[NH+]1CCN(c2cccs2)CC1 ZINC000549349724 334191031 /nfs/dbraw/zinc/19/10/31/334191031.db2.gz FKYQNTSUAAVZJQ-UHFFFAOYSA-N 1 2 298.864 3.785 20 0 CHADLO C[N@H+](CC(F)F)C1c2ccccc2-c2ccccc21 ZINC000081596924 334198748 /nfs/dbraw/zinc/19/87/48/334198748.db2.gz SFQPGTRLXYYQFH-UHFFFAOYSA-N 1 2 259.299 3.953 20 0 CHADLO C[N@@H+](CC(F)F)C1c2ccccc2-c2ccccc21 ZINC000081596924 334198750 /nfs/dbraw/zinc/19/87/50/334198750.db2.gz SFQPGTRLXYYQFH-UHFFFAOYSA-N 1 2 259.299 3.953 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc(OC(F)F)c(Cl)c1 ZINC000549866560 334207490 /nfs/dbraw/zinc/20/74/90/334207490.db2.gz QKEWWANGNGOSNI-UHFFFAOYSA-N 1 2 285.668 3.686 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2CF)ccc1Br ZINC000550011436 334211402 /nfs/dbraw/zinc/21/14/02/334211402.db2.gz LTZCYRNOANSTNF-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2CF)ccc1Br ZINC000550011436 334211403 /nfs/dbraw/zinc/21/14/03/334211403.db2.gz LTZCYRNOANSTNF-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO FC[C@H]1CCC[N@@H+]1CCc1cccc(Cl)c1Cl ZINC000550028121 334211830 /nfs/dbraw/zinc/21/18/30/334211830.db2.gz BVZNKYNPVWUNFN-LLVKDONJSA-N 1 2 276.182 3.970 20 0 CHADLO FC[C@H]1CCC[N@H+]1CCc1cccc(Cl)c1Cl ZINC000550028121 334211831 /nfs/dbraw/zinc/21/18/31/334211831.db2.gz BVZNKYNPVWUNFN-LLVKDONJSA-N 1 2 276.182 3.970 20 0 CHADLO Cc1sccc1C[NH2+][C@H](c1nccn1C)c1ccccc1 ZINC000550095652 334212962 /nfs/dbraw/zinc/21/29/62/334212962.db2.gz BDZFYWQMJIUSPI-INIZCTEOSA-N 1 2 297.427 3.669 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cccc(OC2CCCC2)c1 ZINC000550259539 334217802 /nfs/dbraw/zinc/21/78/02/334217802.db2.gz XMHRLSJKAIFYBD-UHFFFAOYSA-N 1 2 269.335 3.753 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2ccccc2C)cc(C)[nH+]1 ZINC000550738443 334228000 /nfs/dbraw/zinc/22/80/00/334228000.db2.gz ZGZNIDJZTPPFDK-INIZCTEOSA-N 1 2 281.403 3.997 20 0 CHADLO CC1(C)OCC[C@@H]1[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC000550818545 334228752 /nfs/dbraw/zinc/22/87/52/334228752.db2.gz NCACSAIAYWRODB-LBPRGKRZSA-N 1 2 289.753 3.589 20 0 CHADLO Cc1c([C@@H](C)[NH2+]CC(C)(F)F)cnn1-c1ccc(F)cc1 ZINC000550883190 334231729 /nfs/dbraw/zinc/23/17/29/334231729.db2.gz UUBPCYKLBOYTJE-SNVBAGLBSA-N 1 2 297.324 3.626 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@@H](c2cccc(Br)c2)C1 ZINC000247204362 334277411 /nfs/dbraw/zinc/27/74/11/334277411.db2.gz NDDWIJCBCTZCHL-SMDDNHRTSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@@H](c2cccc(Br)c2)C1 ZINC000247204362 334277412 /nfs/dbraw/zinc/27/74/12/334277412.db2.gz NDDWIJCBCTZCHL-SMDDNHRTSA-N 1 2 298.224 3.621 20 0 CHADLO CC(C)C[N@H+](CCc1cccnc1)c1ccccc1 ZINC000552057783 334282686 /nfs/dbraw/zinc/28/26/86/334282686.db2.gz HPURIELMTWQWMY-UHFFFAOYSA-N 1 2 254.377 3.787 20 0 CHADLO CC(C)C[N@@H+](CCc1cccnc1)c1ccccc1 ZINC000552057783 334282688 /nfs/dbraw/zinc/28/26/88/334282688.db2.gz HPURIELMTWQWMY-UHFFFAOYSA-N 1 2 254.377 3.787 20 0 CHADLO CC[C@@]1(C)C[N@@H+]([C@H](C)c2ncc(C(C)(C)C)o2)CCO1 ZINC000248900167 334321390 /nfs/dbraw/zinc/32/13/90/334321390.db2.gz HDDXGEYLJPFUKP-WBMJQRKESA-N 1 2 280.412 3.534 20 0 CHADLO CC[C@@]1(C)C[N@H+]([C@H](C)c2ncc(C(C)(C)C)o2)CCO1 ZINC000248900167 334321392 /nfs/dbraw/zinc/32/13/92/334321392.db2.gz HDDXGEYLJPFUKP-WBMJQRKESA-N 1 2 280.412 3.534 20 0 CHADLO CCC1(CC)C[N@@H+]([C@H](C)c2ccccc2F)CC[S@@]1=O ZINC000249035927 334325454 /nfs/dbraw/zinc/32/54/54/334325454.db2.gz UCHMALBZWGGJPE-XCLFUZPHSA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@H](C)c2ccccc2F)CC[S@@]1=O ZINC000249035927 334325456 /nfs/dbraw/zinc/32/54/56/334325456.db2.gz UCHMALBZWGGJPE-XCLFUZPHSA-N 1 2 297.439 3.510 20 0 CHADLO Cn1c2ccc(NC(=O)C[C@H]3C=CCC3)cc2[nH+]c1C1CC1 ZINC000089869396 334352624 /nfs/dbraw/zinc/35/26/24/334352624.db2.gz LFWOSFYUSUTFIY-LBPRGKRZSA-N 1 2 295.386 3.746 20 0 CHADLO COc1cc(-c2[nH]c3ccc(C)c(C)c3[nH+]2)ccc1O ZINC000090065909 334356753 /nfs/dbraw/zinc/35/67/53/334356753.db2.gz SMENRMQTXWLVQH-UHFFFAOYSA-N 1 2 268.316 3.561 20 0 CHADLO CC(C)(C)c1noc(C[N@@H+]2CCc3ccccc3C2(C)C)n1 ZINC000553025758 334357448 /nfs/dbraw/zinc/35/74/48/334357448.db2.gz NAXTZRYASMQWGZ-UHFFFAOYSA-N 1 2 299.418 3.661 20 0 CHADLO CC(C)(C)c1noc(C[N@H+]2CCc3ccccc3C2(C)C)n1 ZINC000553025758 334357449 /nfs/dbraw/zinc/35/74/49/334357449.db2.gz NAXTZRYASMQWGZ-UHFFFAOYSA-N 1 2 299.418 3.661 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3ccc(Cl)cc3C2)s1 ZINC000090612051 334364794 /nfs/dbraw/zinc/36/47/94/334364794.db2.gz WPEFWMSKQKRYDV-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3ccc(Cl)cc3C2)s1 ZINC000090612051 334364796 /nfs/dbraw/zinc/36/47/96/334364796.db2.gz WPEFWMSKQKRYDV-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC000090613620 334364810 /nfs/dbraw/zinc/36/48/10/334364810.db2.gz JKONZRWNKULFEE-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CCc2ccc(Cl)cc2C1 ZINC000090613620 334364811 /nfs/dbraw/zinc/36/48/11/334364811.db2.gz JKONZRWNKULFEE-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO C[C@H]1CSCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000553414081 334383173 /nfs/dbraw/zinc/38/31/73/334383173.db2.gz GDXBKLYKMOKURF-JTQLQIEISA-N 1 2 278.808 3.830 20 0 CHADLO FC(F)O[C@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000553590784 334392751 /nfs/dbraw/zinc/39/27/51/334392751.db2.gz LRSBPVUTNUHWSI-VIFPVBQESA-N 1 2 298.720 3.706 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCCCC(C)(C)C ZINC000554380239 334427390 /nfs/dbraw/zinc/42/73/90/334427390.db2.gz CPJQGKKGOIOHTI-UHFFFAOYSA-N 1 2 263.385 3.646 20 0 CHADLO CCCC[C@H](C)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000554543706 334437042 /nfs/dbraw/zinc/43/70/42/334437042.db2.gz WMWQRUXGAQTJFF-NSHDSACASA-N 1 2 273.380 3.647 20 0 CHADLO COc1ccc[nH+]c1N[C@@H]1CCC[C@@H](c2cccnc2)C1 ZINC000554559143 334438273 /nfs/dbraw/zinc/43/82/73/334438273.db2.gz OFBSVJGYNNTHFM-UKRRQHHQSA-N 1 2 283.375 3.624 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cnn(C3CCCC3)c2)s1 ZINC000554558785 334438299 /nfs/dbraw/zinc/43/82/99/334438299.db2.gz XPFFNBYXCBPBCW-GFCCVEGCSA-N 1 2 290.436 3.614 20 0 CHADLO COc1cc(F)ccc1N[C@@H](C)c1cn2ccccc2[nH+]1 ZINC000556264494 334505124 /nfs/dbraw/zinc/50/51/24/334505124.db2.gz QCKFUYLBIUVBEA-NSHDSACASA-N 1 2 285.322 3.655 20 0 CHADLO CCCCOc1cccc(NCc2[nH]c(C)c(C)[nH+]2)c1 ZINC000565236494 334546971 /nfs/dbraw/zinc/54/69/71/334546971.db2.gz AZVBZRUPOWLZOY-UHFFFAOYSA-N 1 2 273.380 3.818 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)CC2(C)CCCC2)c1 ZINC000565736680 334592874 /nfs/dbraw/zinc/59/28/74/334592874.db2.gz HMENBWSNUXSQRV-UHFFFAOYSA-N 1 2 297.402 3.996 20 0 CHADLO CCCC(CCC)[S@](=O)Cc1cn2c(cccc2C)[nH+]1 ZINC000155574075 334724211 /nfs/dbraw/zinc/72/42/11/334724211.db2.gz PFTDJOWLEKMKNA-FQEVSTJZSA-N 1 2 292.448 3.860 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccc(F)c(Cl)c2)s1 ZINC000273128784 334761094 /nfs/dbraw/zinc/76/10/94/334761094.db2.gz IJOGECMZPTVINF-QMMMGPOBSA-N 1 2 299.802 3.744 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(C(C)(C)C)cc1)c1ncn(C)n1 ZINC000275575862 334792199 /nfs/dbraw/zinc/79/21/99/334792199.db2.gz GQVZCQAPZOGYDH-STQMWFEESA-N 1 2 286.423 3.524 20 0 CHADLO CC(C)[C@@H]1C[C@@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000568441740 334824910 /nfs/dbraw/zinc/82/49/10/334824910.db2.gz FVVFVPOUCYESMA-GJZGRUSLSA-N 1 2 294.358 3.682 20 0 CHADLO CC(C)[C@H]1C[C@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000568441741 334824966 /nfs/dbraw/zinc/82/49/66/334824966.db2.gz FVVFVPOUCYESMA-HUUCEWRRSA-N 1 2 294.358 3.682 20 0 CHADLO Cc1ccc([C@H](C)c2noc(C[C@@H](C)n3cc[nH+]c3)n2)cc1 ZINC000569007868 334851210 /nfs/dbraw/zinc/85/12/10/334851210.db2.gz KAVNDMGGYOJSEX-KGLIPLIRSA-N 1 2 296.374 3.530 20 0 CHADLO COc1cc(C)ccc1[C@H](C)Nc1ccc(C)[nH+]c1 ZINC000164677361 334885612 /nfs/dbraw/zinc/88/56/12/334885612.db2.gz DEQGDOFFASWXQW-ZDUSSCGKSA-N 1 2 256.349 3.880 20 0 CHADLO C[C@@]12CCN(c3[nH+]ccc4cc(F)ccc43)C[C@@H]1C2(F)F ZINC000573114022 334909462 /nfs/dbraw/zinc/90/94/62/334909462.db2.gz GVANADSRHLRZJN-DZGCQCFKSA-N 1 2 292.304 3.855 20 0 CHADLO O=C(Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1)[C@H]1C[C@@H]1C1CC1 ZINC000175919828 335217813 /nfs/dbraw/zinc/21/78/13/335217813.db2.gz GYSDIDYMHZETEQ-KGLIPLIRSA-N 1 2 295.386 3.815 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2ncc(-c3ccccc3)s2)C1 ZINC000576643042 335230163 /nfs/dbraw/zinc/23/01/63/335230163.db2.gz NXMCUAXSTWXOCF-CYBMUJFWSA-N 1 2 276.380 3.744 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2ncc(-c3ccccc3)s2)C1 ZINC000576643042 335230164 /nfs/dbraw/zinc/23/01/64/335230164.db2.gz NXMCUAXSTWXOCF-CYBMUJFWSA-N 1 2 276.380 3.744 20 0 CHADLO Cc1cc(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)oc1C ZINC000576681410 335233884 /nfs/dbraw/zinc/23/38/84/335233884.db2.gz GXNSZMBZRXBQRX-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO CC1=CCC[C@H](C)[C@@H]1C[NH2+][C@@H](C1CC1)C(F)(F)F ZINC000576692842 335235252 /nfs/dbraw/zinc/23/52/52/335235252.db2.gz LIOXHEFOGNVAOD-UHTWSYAYSA-N 1 2 261.331 3.909 20 0 CHADLO Cc1cccc(CCSCc2ccn(C(C)C)n2)[nH+]1 ZINC000576876798 335258869 /nfs/dbraw/zinc/25/88/69/335258869.db2.gz WBVXHLQLFULPPH-UHFFFAOYSA-N 1 2 275.421 3.643 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC000176309999 335261541 /nfs/dbraw/zinc/26/15/41/335261541.db2.gz RASMLUQAILBVMH-VIFPVBQESA-N 1 2 280.780 3.847 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](CC)c2ccc(Cl)cc2)o1 ZINC000192515373 335271988 /nfs/dbraw/zinc/27/19/88/335271988.db2.gz CAQZKIKTRBGDQW-LBPRGKRZSA-N 1 2 279.771 3.526 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](CC)c2ccc(C)cc2)n1 ZINC000192520920 335272132 /nfs/dbraw/zinc/27/21/32/335272132.db2.gz DVTQCOWFIWEBHF-HNNXBMFYSA-N 1 2 287.407 3.962 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@H](C)c2cscn2)o1 ZINC000580572074 335285740 /nfs/dbraw/zinc/28/57/40/335285740.db2.gz MMVCBHBWALTYGS-NXEZZACHSA-N 1 2 250.367 3.710 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCC[C@@H]2c2ccccc2)c(C)c[nH+]1 ZINC000577147856 335308809 /nfs/dbraw/zinc/30/88/09/335308809.db2.gz DWLKVQOYWKGRIE-IAGOWNOFSA-N 1 2 294.398 3.643 20 0 CHADLO CC(C)n1ccc(C[NH2+]Cc2cc(F)cc(Cl)c2)n1 ZINC000577325046 335338796 /nfs/dbraw/zinc/33/87/96/335338796.db2.gz URDVBOGBXKBFEF-UHFFFAOYSA-N 1 2 281.762 3.546 20 0 CHADLO CC(C)(C)c1cnc(SCc2c[nH+]c3ccccn23)o1 ZINC000179968124 335536690 /nfs/dbraw/zinc/53/66/90/335536690.db2.gz QQAWVDVNAQVREL-UHFFFAOYSA-N 1 2 287.388 3.912 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H]2CCCCS2)n1 ZINC000351125717 335570663 /nfs/dbraw/zinc/57/06/63/335570663.db2.gz BRLOJVMFWSOTNZ-CYBMUJFWSA-N 1 2 289.404 3.516 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)C[C@H]2CCCCC2(C)C)[nH+]1 ZINC000578330669 335612435 /nfs/dbraw/zinc/61/24/35/335612435.db2.gz XLRRICVCFCIILW-CYBMUJFWSA-N 1 2 291.439 3.676 20 0 CHADLO C[C@@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1ccoc1 ZINC000182909966 335849913 /nfs/dbraw/zinc/84/99/13/335849913.db2.gz HBFPRQGRRYDYNG-LLVKDONJSA-N 1 2 271.295 3.778 20 0 CHADLO C[C@H]1C[C@H](Nc2ncnc3cc(Cl)ccc32)c2[nH+]ccn21 ZINC000579674597 335852280 /nfs/dbraw/zinc/85/22/80/335852280.db2.gz JFHLAMMOTPXUDS-ZANVPECISA-N 1 2 299.765 3.598 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2Cc3cccc(C)c3CC2(C)C)on1 ZINC000581202590 336024314 /nfs/dbraw/zinc/02/43/14/336024314.db2.gz ZQWDFNBNNQKYJM-UHFFFAOYSA-N 1 2 298.386 3.523 20 0 CHADLO CC(=O)c1cc(C[N@H+]2Cc3cccc(C)c3CC2(C)C)on1 ZINC000581202590 336024316 /nfs/dbraw/zinc/02/43/16/336024316.db2.gz ZQWDFNBNNQKYJM-UHFFFAOYSA-N 1 2 298.386 3.523 20 0 CHADLO Oc1cccc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)c1Cl ZINC000380868892 336038162 /nfs/dbraw/zinc/03/81/62/336038162.db2.gz XYSODAVCFAODSV-JTQLQIEISA-N 1 2 293.716 3.820 20 0 CHADLO Oc1cccc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)c1Cl ZINC000380868892 336038164 /nfs/dbraw/zinc/03/81/64/336038164.db2.gz XYSODAVCFAODSV-JTQLQIEISA-N 1 2 293.716 3.820 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1COc2ccccc21 ZINC000581331675 336051338 /nfs/dbraw/zinc/05/13/38/336051338.db2.gz CGTKBHBICHPFDD-HNNXBMFYSA-N 1 2 290.366 3.553 20 0 CHADLO CCCC(C)(C)NC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000581376509 336064384 /nfs/dbraw/zinc/06/43/84/336064384.db2.gz IIRKVOZNDBVYPF-OAHLLOKOSA-N 1 2 299.418 3.627 20 0 CHADLO CCCCOc1ccc([C@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000581619037 336113726 /nfs/dbraw/zinc/11/37/26/336113726.db2.gz WQCBLUTUJQIVGU-AWEZNQCLSA-N 1 2 285.391 3.506 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](Cc1cnccn1)C1CC1 ZINC000581652612 336117877 /nfs/dbraw/zinc/11/78/77/336117877.db2.gz LYQVSFNDODLRHE-LBPRGKRZSA-N 1 2 287.794 3.856 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](Cc1cnccn1)C1CC1 ZINC000581652612 336117878 /nfs/dbraw/zinc/11/78/78/336117878.db2.gz LYQVSFNDODLRHE-LBPRGKRZSA-N 1 2 287.794 3.856 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1CCCSC1 ZINC000384091752 336121737 /nfs/dbraw/zinc/12/17/37/336121737.db2.gz CCOJUJAOAIBRSI-ZDUSSCGKSA-N 1 2 272.417 3.520 20 0 CHADLO C[C@H](CCC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1)c1ccccc1 ZINC000347938351 533789855 /nfs/dbraw/zinc/78/98/55/533789855.db2.gz PPYSOCHOFVWTIA-GDBMZVCRSA-N 1 2 297.402 3.657 20 0 CHADLO Cc1ccc(C[NH+]2CCC(=Cc3ccccc3F)CC2)nn1 ZINC000334837949 533916752 /nfs/dbraw/zinc/91/67/52/533916752.db2.gz ZNDDFXIQQSLFIW-UHFFFAOYSA-N 1 2 297.377 3.604 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000356083138 533991052 /nfs/dbraw/zinc/99/10/52/533991052.db2.gz JFYLSHNEDNZLNK-GFCCVEGCSA-N 1 2 269.348 3.657 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000356083138 533991056 /nfs/dbraw/zinc/99/10/56/533991056.db2.gz JFYLSHNEDNZLNK-GFCCVEGCSA-N 1 2 269.348 3.657 20 0 CHADLO C[C@H](Nc1ccc(F)c(C2CC2)c1)c1[nH+]ccn1C ZINC000343521475 534025375 /nfs/dbraw/zinc/02/53/75/534025375.db2.gz UYHNZEIQJYHBOI-JTQLQIEISA-N 1 2 259.328 3.610 20 0 CHADLO C[C@@H]1CC[C@@H](C(N)=O)CN1c1[nH+]ccc2ccc(F)cc21 ZINC000336540279 534125122 /nfs/dbraw/zinc/12/51/22/534125122.db2.gz MJVLQUTTYJUSHO-ZYHUDNBSSA-N 1 2 287.338 3.514 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1nnc(C2CC2)s1 ZINC000336203592 534150704 /nfs/dbraw/zinc/15/07/04/534150704.db2.gz DUIVPTCNERKCBL-NSHDSACASA-N 1 2 285.416 3.535 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1nnc(C2CC2)s1 ZINC000336203592 534150713 /nfs/dbraw/zinc/15/07/13/534150713.db2.gz DUIVPTCNERKCBL-NSHDSACASA-N 1 2 285.416 3.535 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1ncc(C(C)C)s1 ZINC000353109344 534329843 /nfs/dbraw/zinc/32/98/43/534329843.db2.gz JIQVSNUDWCHZIY-UHFFFAOYSA-N 1 2 293.436 3.896 20 0 CHADLO COc1cc(Cl)ccc1[C@H](C)[NH2+]Cc1ncc(C)s1 ZINC000579224675 518135992 /nfs/dbraw/zinc/13/59/92/518135992.db2.gz XNXAHJSPIGJIIY-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO Cc1ccc(OCCNc2cc(C(F)(F)F)cc[nH+]2)cc1 ZINC000471250136 518189433 /nfs/dbraw/zinc/18/94/33/518189433.db2.gz LSEKKOUXYNEHDI-UHFFFAOYSA-N 1 2 296.292 3.900 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)C[C@H]1C=CCC1 ZINC000132072658 518896776 /nfs/dbraw/zinc/89/67/76/518896776.db2.gz XDZDIJOGARMCKT-LBPRGKRZSA-N 1 2 274.364 3.554 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1noc(C2CC2)n1)c1ccccc1 ZINC000097079181 518968116 /nfs/dbraw/zinc/96/81/16/518968116.db2.gz KVADAGHYJORCBR-OAHLLOKOSA-N 1 2 285.391 3.824 20 0 CHADLO CC(C)(CCCc1ccccc1)C(=O)Nc1ccc(N)[nH+]c1 ZINC000236588341 519213093 /nfs/dbraw/zinc/21/30/93/519213093.db2.gz XVLFXHIYLKLQLL-UHFFFAOYSA-N 1 2 297.402 3.651 20 0 CHADLO F[C@@]1(c2cccc(Cl)c2)CC[N@H+](CC2=CCCOC2)C1 ZINC000367174038 534434912 /nfs/dbraw/zinc/43/49/12/534434912.db2.gz OJQPUVTWDIBDTN-INIZCTEOSA-N 1 2 295.785 3.557 20 0 CHADLO F[C@@]1(c2cccc(Cl)c2)CC[N@@H+](CC2=CCCOC2)C1 ZINC000367174038 534434917 /nfs/dbraw/zinc/43/49/17/534434917.db2.gz OJQPUVTWDIBDTN-INIZCTEOSA-N 1 2 295.785 3.557 20 0 CHADLO CC(C)CCNC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264925098 519662667 /nfs/dbraw/zinc/66/26/67/519662667.db2.gz UQDGBIITCKPPFB-UHFFFAOYSA-N 1 2 284.359 3.650 20 0 CHADLO CC(C)[N@H+](Cc1cscn1)Cc1ccccc1F ZINC000263995510 519663313 /nfs/dbraw/zinc/66/33/13/519663313.db2.gz CPODTWCHCKSOGL-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO CC(C)[N@@H+](Cc1cscn1)Cc1ccccc1F ZINC000263995510 519663314 /nfs/dbraw/zinc/66/33/14/519663314.db2.gz CPODTWCHCKSOGL-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO CC(C)[N@H+](Cc1nccn1C(F)F)Cc1ccccc1F ZINC000299368913 519663643 /nfs/dbraw/zinc/66/36/43/519663643.db2.gz KKHOGUVGDOGJNI-UHFFFAOYSA-N 1 2 297.324 3.828 20 0 CHADLO CC(C)[N@@H+](Cc1nccn1C(F)F)Cc1ccccc1F ZINC000299368913 519663645 /nfs/dbraw/zinc/66/36/45/519663645.db2.gz KKHOGUVGDOGJNI-UHFFFAOYSA-N 1 2 297.324 3.828 20 0 CHADLO CC(C)COCC[NH+](Cc1ccco1)Cc1ccco1 ZINC000337308549 519754329 /nfs/dbraw/zinc/75/43/29/519754329.db2.gz XXMIOACHQZMYHM-UHFFFAOYSA-N 1 2 277.364 3.547 20 0 CHADLO CC(C)OCC[N@@H+]1C[C@H](c2ccccc2Cl)OC[C@H]1C ZINC000111309923 519766470 /nfs/dbraw/zinc/76/64/70/519766470.db2.gz FYNNRTOFASVJCS-CZUORRHYSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OCC[N@H+]1C[C@H](c2ccccc2Cl)OC[C@H]1C ZINC000111309923 519766471 /nfs/dbraw/zinc/76/64/71/519766471.db2.gz FYNNRTOFASVJCS-CZUORRHYSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H](C)c2cncc(F)c2)n1 ZINC000128660844 519855121 /nfs/dbraw/zinc/85/51/21/519855121.db2.gz CDENDVRETDDQBQ-SNVBAGLBSA-N 1 2 279.384 3.651 20 0 CHADLO CC(C)c1nc(NC[C@H]2CCOc3ccccc32)cc[nH+]1 ZINC000110587514 519878507 /nfs/dbraw/zinc/87/85/07/519878507.db2.gz UQKGPICZILOGCC-CYBMUJFWSA-N 1 2 283.375 3.578 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccc(Cl)cc2)o1 ZINC000076292068 519887752 /nfs/dbraw/zinc/88/77/52/519887752.db2.gz PMLAVWISFSTFIU-SNVBAGLBSA-N 1 2 279.771 3.697 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)[C@H](C)c1ccccc1F ZINC000279678444 520097567 /nfs/dbraw/zinc/09/75/67/520097567.db2.gz PQELTHLVGYFSAZ-CYBMUJFWSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)[C@H](C)c1ccccc1F ZINC000279678444 520097577 /nfs/dbraw/zinc/09/75/77/520097577.db2.gz PQELTHLVGYFSAZ-CYBMUJFWSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)Cc1ccc(Cl)s1 ZINC000279681844 520097897 /nfs/dbraw/zinc/09/78/97/520097897.db2.gz PYUXHCNZEMYAPC-UHFFFAOYSA-N 1 2 283.828 3.811 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)Cc1ccc(Cl)s1 ZINC000279681844 520097904 /nfs/dbraw/zinc/09/79/04/520097904.db2.gz PYUXHCNZEMYAPC-UHFFFAOYSA-N 1 2 283.828 3.811 20 0 CHADLO CC(C)n1nccc1C[N@H+](Cc1ccccc1F)C1CC1 ZINC000279510245 520101008 /nfs/dbraw/zinc/10/10/08/520101008.db2.gz AHOAMEKLTRKDAY-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO CC(C)n1nccc1C[N@@H+](Cc1ccccc1F)C1CC1 ZINC000279510245 520101037 /nfs/dbraw/zinc/10/10/37/520101037.db2.gz AHOAMEKLTRKDAY-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO CC(C)n1nccc1C[NH2+]Cc1c(F)cccc1Cl ZINC000279792182 520106538 /nfs/dbraw/zinc/10/65/38/520106538.db2.gz CXTDITNYAKDRCY-UHFFFAOYSA-N 1 2 281.762 3.546 20 0 CHADLO CCCOc1ccc([NH2+][C@@H]2CCOC3(CCC3)C2)cc1 ZINC000094371774 520403651 /nfs/dbraw/zinc/40/36/51/520403651.db2.gz FZZYEUSHKSSWNT-OAHLLOKOSA-N 1 2 275.392 3.989 20 0 CHADLO CC1(C)C[N@H+](Cc2ccccc2F)[C@@H]1c1cccnc1 ZINC000292833823 520483440 /nfs/dbraw/zinc/48/34/40/520483440.db2.gz RONDHRBGTYXZDY-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccccc2F)[C@@H]1c1cccnc1 ZINC000292833823 520483445 /nfs/dbraw/zinc/48/34/45/520483445.db2.gz RONDHRBGTYXZDY-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[C@@H]([NH2+]Cc2nccs2)c2ccc(F)cc2O1 ZINC000128174392 520524675 /nfs/dbraw/zinc/52/46/75/520524675.db2.gz LPXKABLKKBFGNL-GFCCVEGCSA-N 1 2 292.379 3.674 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3CCCSC3)c2c1 ZINC000354537346 534508653 /nfs/dbraw/zinc/50/86/53/534508653.db2.gz KHCBCDFHPXIFSC-GFCCVEGCSA-N 1 2 262.353 3.681 20 0 CHADLO Fc1cccc(C[C@@H]2CCCN2c2cc[nH+]c(C3CC3)n2)c1 ZINC000112552768 534519481 /nfs/dbraw/zinc/51/94/81/534519481.db2.gz FDYOIPKBPYUDID-INIZCTEOSA-N 1 2 297.377 3.705 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@@H+]1CC[C@](C)(F)C1 ZINC000347369242 534528761 /nfs/dbraw/zinc/52/87/61/534528761.db2.gz JDWYANNVACDSBQ-INIZCTEOSA-N 1 2 274.339 3.584 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@H+]1CC[C@](C)(F)C1 ZINC000347369242 534528767 /nfs/dbraw/zinc/52/87/67/534528767.db2.gz JDWYANNVACDSBQ-INIZCTEOSA-N 1 2 274.339 3.584 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@@H+]1CC[C@@](C)(F)C1 ZINC000347369243 534528883 /nfs/dbraw/zinc/52/88/83/534528883.db2.gz JDWYANNVACDSBQ-MRXNPFEDSA-N 1 2 274.339 3.584 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@H+]1CC[C@@](C)(F)C1 ZINC000347369243 534528887 /nfs/dbraw/zinc/52/88/87/534528887.db2.gz JDWYANNVACDSBQ-MRXNPFEDSA-N 1 2 274.339 3.584 20 0 CHADLO CCCC[C@@H](C)N(C)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000291333644 521000148 /nfs/dbraw/zinc/00/01/48/521000148.db2.gz SGEOIBQUXQXHOY-CQSZACIVSA-N 1 2 285.391 3.523 20 0 CHADLO CCCC[C@H](NC(=O)Nc1cc[nH+]cc1C)C1CCC1 ZINC000122108552 521223423 /nfs/dbraw/zinc/22/34/23/521223423.db2.gz PZHFPOLWYVLKEH-HNNXBMFYSA-N 1 2 275.396 3.870 20 0 CHADLO Cc1cnc(C[N@H+]2[C@H](C)c3ccc(F)cc3C[C@@H]2C)o1 ZINC000354826913 534553026 /nfs/dbraw/zinc/55/30/26/534553026.db2.gz FLHIYZHKEDZCOO-CMPLNLGQSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1cnc(C[N@@H+]2[C@H](C)c3ccc(F)cc3C[C@@H]2C)o1 ZINC000354826913 534553033 /nfs/dbraw/zinc/55/30/33/534553033.db2.gz FLHIYZHKEDZCOO-CMPLNLGQSA-N 1 2 274.339 3.630 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1nc(Cl)ccc1Cl ZINC000094914065 521394611 /nfs/dbraw/zinc/39/46/11/521394611.db2.gz IDGQULYJOOJRHO-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1nc(Cl)ccc1Cl ZINC000094914065 521394618 /nfs/dbraw/zinc/39/46/18/521394618.db2.gz IDGQULYJOOJRHO-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CCC(CC)([NH2+]Cc1nc(C)no1)c1ccc(Cl)cc1 ZINC000102181904 521475211 /nfs/dbraw/zinc/47/52/11/521475211.db2.gz BBRFXYSEWFCACY-UHFFFAOYSA-N 1 2 293.798 3.837 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](c2ccccc2)C2CCC2)n1 ZINC000104697648 521586050 /nfs/dbraw/zinc/58/60/50/521586050.db2.gz WMIHTXVIJMAIIO-KRWDZBQOSA-N 1 2 285.391 3.653 20 0 CHADLO CCCN(Cc1c[nH+]c[nH]1)Cc1c(F)cccc1Cl ZINC000280523086 521680476 /nfs/dbraw/zinc/68/04/76/521680476.db2.gz RFEWJWWHRFNITQ-UHFFFAOYSA-N 1 2 281.762 3.614 20 0 CHADLO CCCN(Cc1c[nH]c[nH+]1)Cc1c(F)cccc1Cl ZINC000280523086 521680482 /nfs/dbraw/zinc/68/04/82/521680482.db2.gz RFEWJWWHRFNITQ-UHFFFAOYSA-N 1 2 281.762 3.614 20 0 CHADLO CCC[N@@H+](Cc1ncc(C(F)(F)F)s1)C1CC1 ZINC000279353269 521695237 /nfs/dbraw/zinc/69/52/37/521695237.db2.gz GKURUSSPJZOTQG-UHFFFAOYSA-N 1 2 264.316 3.536 20 0 CHADLO CCC[N@H+](Cc1ncc(C(F)(F)F)s1)C1CC1 ZINC000279353269 521695235 /nfs/dbraw/zinc/69/52/35/521695235.db2.gz GKURUSSPJZOTQG-UHFFFAOYSA-N 1 2 264.316 3.536 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)N1CCCC[C@@H]1c1[nH+]ccn1CC ZINC000366611462 521790845 /nfs/dbraw/zinc/79/08/45/521790845.db2.gz VMSFYOUYLRLHFD-RRFJBIMHSA-N 1 2 291.439 3.639 20 0 CHADLO Cc1nc([C@H](C)[N@@H+]2CC[C@H](c3cccc(Cl)c3)C2)no1 ZINC000336131706 534592849 /nfs/dbraw/zinc/59/28/49/534592849.db2.gz QGKJDVHEDBZVCN-GWCFXTLKSA-N 1 2 291.782 3.582 20 0 CHADLO Cc1nc([C@H](C)[N@H+]2CC[C@H](c3cccc(Cl)c3)C2)no1 ZINC000336131706 534592855 /nfs/dbraw/zinc/59/28/55/534592855.db2.gz QGKJDVHEDBZVCN-GWCFXTLKSA-N 1 2 291.782 3.582 20 0 CHADLO CC[C@@H](C)C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000264751852 521927398 /nfs/dbraw/zinc/92/73/98/521927398.db2.gz HOLJJPGASWKFID-GFCCVEGCSA-N 1 2 270.332 3.859 20 0 CHADLO CC[C@@H](C)CC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000189916513 521951556 /nfs/dbraw/zinc/95/15/56/521951556.db2.gz NPOGMLRUQBONCI-GFCCVEGCSA-N 1 2 295.452 3.504 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1CCCc2ccccc21 ZINC000265040569 522078225 /nfs/dbraw/zinc/07/82/25/522078225.db2.gz WHMWTFLBTSYBOS-MRXNPFEDSA-N 1 2 280.371 3.703 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCc1ccc(Cl)cc1 ZINC000265183043 522079934 /nfs/dbraw/zinc/07/99/34/522079934.db2.gz HBTCSFJYRKKXOY-UHFFFAOYSA-N 1 2 288.778 3.869 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1csc(CC(C)C)n1 ZINC000265171903 522081884 /nfs/dbraw/zinc/08/18/84/522081884.db2.gz FBEGKADAFIYWIU-UHFFFAOYSA-N 1 2 289.404 3.551 20 0 CHADLO C[NH+](C)[C@H](c1nc(CCC(C)(C)C)no1)c1ccccc1 ZINC000289038418 522091513 /nfs/dbraw/zinc/09/15/13/522091513.db2.gz GTLMPOBIRVANHO-HNNXBMFYSA-N 1 2 287.407 3.699 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](C)c2cc(C)c(C)o2)o1 ZINC000291956199 522205684 /nfs/dbraw/zinc/20/56/84/522205684.db2.gz RIEXIWHLMPNMAW-JTQLQIEISA-N 1 2 262.353 3.606 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](C)c2ccc(F)cc2F)o1 ZINC000291843272 522207361 /nfs/dbraw/zinc/20/73/61/522207361.db2.gz GEQXRYYIXVHCIQ-SECBINFHSA-N 1 2 280.318 3.674 20 0 CHADLO CCc1nc(N(Cc2ccco2)Cc2ccco2)cc(C)[nH+]1 ZINC000339973444 522236799 /nfs/dbraw/zinc/23/67/99/522236799.db2.gz DHWWVSISZFKCSI-UHFFFAOYSA-N 1 2 297.358 3.740 20 0 CHADLO CCc1nc(CNc2ccc([NH+]3CCCC3)cc2)cs1 ZINC000061191904 522360228 /nfs/dbraw/zinc/36/02/28/522360228.db2.gz ARVNVVGCWGUMOK-UHFFFAOYSA-N 1 2 287.432 3.918 20 0 CHADLO CCc1nc([C@@H](C)Nc2cc[nH+]c(C(C)C)n2)cs1 ZINC000110602171 522425400 /nfs/dbraw/zinc/42/54/00/522425400.db2.gz JBIFBCHVHNIYFW-SNVBAGLBSA-N 1 2 276.409 3.792 20 0 CHADLO CCc1nnc(C[NH2+]Cc2ccc(C(C)C)cc2)s1 ZINC000289946061 522741774 /nfs/dbraw/zinc/74/17/74/522741774.db2.gz XESKUZQCHNTKKN-UHFFFAOYSA-N 1 2 275.421 3.514 20 0 CHADLO COC[C@H]([NH2+]Cc1ncc(C)s1)c1ccc(Cl)cc1 ZINC000193677558 522756466 /nfs/dbraw/zinc/75/64/66/522756466.db2.gz JZKSYWOKOPTFAO-ZDUSSCGKSA-N 1 2 296.823 3.582 20 0 CHADLO COCC(C)(C)CCCNc1[nH+]ccc2ccc(F)cc21 ZINC000340870469 522802632 /nfs/dbraw/zinc/80/26/32/522802632.db2.gz FZHPEVFHPMPMQR-UHFFFAOYSA-N 1 2 290.382 3.661 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@H](C)c1csc(C(C)C)n1 ZINC000120607651 522848191 /nfs/dbraw/zinc/84/81/91/522848191.db2.gz QWBXLTHNRFSFBX-SNVBAGLBSA-N 1 2 293.436 3.976 20 0 CHADLO CC[C@@H]([NH2+][C@@H](c1nnc[nH]1)c1ccccc1)c1ccccc1 ZINC000364138227 522921241 /nfs/dbraw/zinc/92/12/41/522921241.db2.gz KPLCZPLNNBIPFH-IAGOWNOFSA-N 1 2 292.386 3.635 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CC[C@H](C)[S@](=O)CC1 ZINC000277063652 522929827 /nfs/dbraw/zinc/92/98/27/522929827.db2.gz DHTGVWMIDHBYDF-IOHHAYIISA-N 1 2 299.867 3.634 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CC[C@H](C)[S@](=O)CC1 ZINC000277063652 522929833 /nfs/dbraw/zinc/92/98/33/522929833.db2.gz DHTGVWMIDHBYDF-IOHHAYIISA-N 1 2 299.867 3.634 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C)n1)c1nc(-c2ccccc2)c[nH]1 ZINC000340775551 522965497 /nfs/dbraw/zinc/96/54/97/522965497.db2.gz ISBDAJAGIODNQH-CQSZACIVSA-N 1 2 293.374 3.738 20 0 CHADLO COCc1cccc(CNc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000037998983 523058637 /nfs/dbraw/zinc/05/86/37/523058637.db2.gz POXGCLZHUHRDQC-UHFFFAOYSA-N 1 2 285.391 3.667 20 0 CHADLO COCc1nc(C[NH2+]Cc2ccc(Cl)cc2C)cs1 ZINC000289812441 523202557 /nfs/dbraw/zinc/20/25/57/523202557.db2.gz NDNZOMRMHRYJPM-UHFFFAOYSA-N 1 2 296.823 3.541 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1cc(C)c(OC)cc1C ZINC000296461532 523552857 /nfs/dbraw/zinc/55/28/57/523552857.db2.gz NTXVNMVVEIXBRY-CYBMUJFWSA-N 1 2 273.380 3.702 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(C)ccc1Br ZINC000163800937 523575431 /nfs/dbraw/zinc/57/54/31/523575431.db2.gz QGRDLGMKCGOEOD-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO CCn1nc(C)c(C[N@@H+]2Cc3cccc(Cl)c3C2)c1C ZINC000290456549 523643303 /nfs/dbraw/zinc/64/33/03/523643303.db2.gz MTVWTHXVCQXKLO-UHFFFAOYSA-N 1 2 289.810 3.689 20 0 CHADLO CCn1nc(C)c(C[N@H+]2Cc3cccc(Cl)c3C2)c1C ZINC000290456549 523643310 /nfs/dbraw/zinc/64/33/10/523643310.db2.gz MTVWTHXVCQXKLO-UHFFFAOYSA-N 1 2 289.810 3.689 20 0 CHADLO CCc1cc(N[C@@H](C)c2ccccc2Cl)nc[nH+]1 ZINC000049509533 523823344 /nfs/dbraw/zinc/82/33/44/523823344.db2.gz BGIXFNXCNFBWOA-JTQLQIEISA-N 1 2 261.756 3.866 20 0 CHADLO CCc1cc(N[C@H](C)c2cc(C)sc2C)nc[nH+]1 ZINC000049509427 523825656 /nfs/dbraw/zinc/82/56/56/523825656.db2.gz SJPRNVKLZYKUPW-SNVBAGLBSA-N 1 2 261.394 3.890 20 0 CHADLO CC[C@H](C)C(=O)Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000270507486 523840773 /nfs/dbraw/zinc/84/07/73/523840773.db2.gz RDFZYIJGXIVCAV-ZDUSSCGKSA-N 1 2 295.386 3.586 20 0 CHADLO CO[C@H](C)[C@@H](C)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000278511736 523891517 /nfs/dbraw/zinc/89/15/17/523891517.db2.gz RLTWPDBOUBULOP-ZIAGYGMSSA-N 1 2 299.418 3.722 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@@H]2CCCc3occc32)cs1 ZINC000278747781 523914689 /nfs/dbraw/zinc/91/46/89/523914689.db2.gz NSTNCBVRQVVBHO-ZWNOBZJWSA-N 1 2 292.404 3.611 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+]Cc2ccsc2C)cs1 ZINC000339294863 523915010 /nfs/dbraw/zinc/91/50/10/523915010.db2.gz YQDVMIXNTOXKES-SECBINFHSA-N 1 2 282.434 3.510 20 0 CHADLO CN(C(=O)c1ccc2[nH+]ccn2c1)C1CCCCCCC1 ZINC000057837585 523940447 /nfs/dbraw/zinc/94/04/47/523940447.db2.gz UDYMORDDRBYUMY-UHFFFAOYSA-N 1 2 285.391 3.519 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2csc([C@H](C)OC)n2)o1 ZINC000269046407 524046273 /nfs/dbraw/zinc/04/62/73/524046273.db2.gz HOYVOFWZRXTJQF-QWRGUYRKSA-N 1 2 294.420 3.857 20 0 CHADLO CCc1ccc([C@H]([NH2+]Cc2cn[nH]c2C)C(C)C)cc1 ZINC000036901656 524051832 /nfs/dbraw/zinc/05/18/32/524051832.db2.gz DOPXBSRIGBAPAL-QGZVFWFLSA-N 1 2 271.408 3.767 20 0 CHADLO CCc1ccc([C@H]2C[N@H+](Cc3cncc(C)c3)CCO2)cc1 ZINC000276725302 524055577 /nfs/dbraw/zinc/05/55/77/524055577.db2.gz BBNHLFWVPDMFBO-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@H]2C[N@@H+](Cc3cncc(C)c3)CCO2)cc1 ZINC000276725302 524055581 /nfs/dbraw/zinc/05/55/81/524055581.db2.gz BBNHLFWVPDMFBO-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2ncc(C)cn2)o1 ZINC000340692336 524056370 /nfs/dbraw/zinc/05/63/70/524056370.db2.gz XBUKPGQZXLIYHU-XJKSGUPXSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2ncc(C)cn2)o1 ZINC000340692336 524056372 /nfs/dbraw/zinc/05/63/72/524056372.db2.gz XBUKPGQZXLIYHU-XJKSGUPXSA-N 1 2 299.418 3.914 20 0 CHADLO COc1cccc([C@@H](C)CC(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000074625742 524085886 /nfs/dbraw/zinc/08/58/86/524085886.db2.gz UEOQJCWXPSSDCS-LBPRGKRZSA-N 1 2 284.359 3.531 20 0 CHADLO C[C@H]1CCC[C@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000151350266 524178455 /nfs/dbraw/zinc/17/84/55/524178455.db2.gz LVPZZZQWYOOORB-KBPBESRZSA-N 1 2 283.375 3.637 20 0 CHADLO Cn1ccc(C[NH2+]CC(F)(F)c2ccc(Cl)cc2)c1 ZINC000353087685 534767328 /nfs/dbraw/zinc/76/73/28/534767328.db2.gz OZJPAMKYUOOMEQ-UHFFFAOYSA-N 1 2 284.737 3.560 20 0 CHADLO Cc1cc(C)c2c(c1)CN(C(=O)Nc1cc[nH+]cc1C)CC2 ZINC000187374689 524343694 /nfs/dbraw/zinc/34/36/94/524343694.db2.gz KITGDQDVHJILKO-UHFFFAOYSA-N 1 2 295.386 3.597 20 0 CHADLO Cc1cccc(CC[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)c1 ZINC000292135622 524364270 /nfs/dbraw/zinc/36/42/70/524364270.db2.gz LXWUJQBWTLOHIP-QGZVFWFLSA-N 1 2 298.434 3.567 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2csc(Cl)n2)cc1 ZINC000289385643 524395582 /nfs/dbraw/zinc/39/55/82/524395582.db2.gz QKLHFCVRHSNKND-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2csc(Cl)n2)cc1 ZINC000289385643 524395573 /nfs/dbraw/zinc/39/55/73/524395573.db2.gz QKLHFCVRHSNKND-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1csc(C(=O)NCc2cc(C)[nH+]c(C)c2)c1Cl ZINC000335057032 534786886 /nfs/dbraw/zinc/78/68/86/534786886.db2.gz WHHLZRCWAXZYFL-UHFFFAOYSA-N 1 2 294.807 3.652 20 0 CHADLO Cc1cccc(N(C)Cc2[nH+]ccn2CC(F)(F)F)c1C ZINC000057873206 524519865 /nfs/dbraw/zinc/51/98/65/524519865.db2.gz RDGPKIISJZTOQG-UHFFFAOYSA-N 1 2 297.324 3.699 20 0 CHADLO Cc1ccc(COc2ccnc(C(F)(F)F)c2)c(C)[nH+]1 ZINC000340710322 524631006 /nfs/dbraw/zinc/63/10/06/524631006.db2.gz LSFFKKSONLCLBE-UHFFFAOYSA-N 1 2 282.265 3.691 20 0 CHADLO Cc1cc(C[N@H+](C)CCOc2cccc3ccccc32)no1 ZINC000064952058 524660449 /nfs/dbraw/zinc/66/04/49/524660449.db2.gz IHBQBDZKRQLQTR-UHFFFAOYSA-N 1 2 296.370 3.647 20 0 CHADLO Cc1cc(C[N@@H+](C)CCOc2cccc3ccccc32)no1 ZINC000064952058 524660457 /nfs/dbraw/zinc/66/04/57/524660457.db2.gz IHBQBDZKRQLQTR-UHFFFAOYSA-N 1 2 296.370 3.647 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)ncn1 ZINC000292001009 524668523 /nfs/dbraw/zinc/66/85/23/524668523.db2.gz BQPUTZJOUHXGLD-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2ccccc2Cl)ncn1 ZINC000292001009 524668532 /nfs/dbraw/zinc/66/85/32/524668532.db2.gz BQPUTZJOUHXGLD-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cccc2c1C[N@H+]([C@H](C)c1nc(C(C)C)no1)CC2 ZINC000277280920 524944860 /nfs/dbraw/zinc/94/48/60/524944860.db2.gz SIYZLZRICJNEQQ-CYBMUJFWSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1cccc2c1C[N@@H+]([C@H](C)c1nc(C(C)C)no1)CC2 ZINC000277280920 524944872 /nfs/dbraw/zinc/94/48/72/524944872.db2.gz SIYZLZRICJNEQQ-CYBMUJFWSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1ccc(NC(=O)CCc2cc(F)ccc2F)c(C)[nH+]1 ZINC000119231222 525038631 /nfs/dbraw/zinc/03/86/31/525038631.db2.gz LWNRMBSDNKOHKO-UHFFFAOYSA-N 1 2 290.313 3.548 20 0 CHADLO Cc1ccc(NC(=O)N2CC(C)(C)[C@@H]2C(C)C)c(C)[nH+]1 ZINC000292574707 525117985 /nfs/dbraw/zinc/11/79/85/525117985.db2.gz BXJFDKPHCQTBOB-AWEZNQCLSA-N 1 2 275.396 3.597 20 0 CHADLO Cc1cc(NC(=O)N2C[C@H](c3ccccc3)C[C@@H]2C)cc[nH+]1 ZINC000119806813 525186175 /nfs/dbraw/zinc/18/61/75/525186175.db2.gz YQWNMMPHNFDEAV-GOEBONIOSA-N 1 2 295.386 3.800 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccs2)nc(C2CC2)[nH+]1 ZINC000265398004 525293328 /nfs/dbraw/zinc/29/33/28/525293328.db2.gz XDJHTDGZTOEMSE-JTQLQIEISA-N 1 2 259.378 3.897 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C(C)(C)C)on1)c1cccc(O)c1 ZINC000289447140 525430099 /nfs/dbraw/zinc/43/00/99/525430099.db2.gz ZTLDQQSWQISWEP-NSHDSACASA-N 1 2 274.364 3.529 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CCCCC2)cs1)c1cn[nH]c1 ZINC000278813862 525486175 /nfs/dbraw/zinc/48/61/75/525486175.db2.gz PHWOOUXFAVLWCM-NSHDSACASA-N 1 2 290.436 3.765 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C(C)(C)C)on1)c1cccc(O)c1 ZINC000289447137 525511085 /nfs/dbraw/zinc/51/10/85/525511085.db2.gz ZTLDQQSWQISWEP-LLVKDONJSA-N 1 2 274.364 3.529 20 0 CHADLO Cc1cc([C@H](C)Nc2nc[nH+]c(N)c2Cl)c(C)s1 ZINC000235561149 525534451 /nfs/dbraw/zinc/53/44/51/525534451.db2.gz QLIJMUUGFLFENL-ZETCQYMHSA-N 1 2 282.800 3.564 20 0 CHADLO Cc1cc([C@H](C)Nc2[nH+]cnc(N)c2Cl)c(C)s1 ZINC000235561149 525534459 /nfs/dbraw/zinc/53/44/59/525534459.db2.gz QLIJMUUGFLFENL-ZETCQYMHSA-N 1 2 282.800 3.564 20 0 CHADLO C[C@@H]([NH2+]Cc1cccs1)c1nc(Cc2ccccc2)no1 ZINC000237355039 525542872 /nfs/dbraw/zinc/54/28/72/525542872.db2.gz ZVMURTZJPWVIBC-GFCCVEGCSA-N 1 2 299.399 3.573 20 0 CHADLO C[C@@H]1[N@H+](Cc2cscc2C(F)(F)F)CCOC1(C)C ZINC000356123151 525545955 /nfs/dbraw/zinc/54/59/55/525545955.db2.gz MWTANKCDHUYDPE-VIFPVBQESA-N 1 2 293.354 3.766 20 0 CHADLO C[C@@H]1[N@@H+](Cc2cscc2C(F)(F)F)CCOC1(C)C ZINC000356123151 525545960 /nfs/dbraw/zinc/54/59/60/525545960.db2.gz MWTANKCDHUYDPE-VIFPVBQESA-N 1 2 293.354 3.766 20 0 CHADLO C[C@@H]([NH2+]Cc1nccs1)c1ccccc1OC(F)F ZINC000041011875 525564298 /nfs/dbraw/zinc/56/42/98/525564298.db2.gz IICIRTWRRLAAAK-SECBINFHSA-N 1 2 284.331 3.595 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csc(Cl)c1)c1ccon1 ZINC000309543286 525622826 /nfs/dbraw/zinc/62/28/26/525622826.db2.gz GHLFTTNWYKXYNX-JGVFFNPUSA-N 1 2 256.758 3.801 20 0 CHADLO Cc1cc2[nH+]cn(CC3CC(F)(F)C3)c2cc1C ZINC000295297369 525683652 /nfs/dbraw/zinc/68/36/52/525683652.db2.gz JTOVCSDGLDGSND-UHFFFAOYSA-N 1 2 250.292 3.698 20 0 CHADLO C[C@H](Nc1nc[nH+]c(N)c1Cl)c1ccccc1Cl ZINC000227842408 525684759 /nfs/dbraw/zinc/68/47/59/525684759.db2.gz SVZZVZWLXMUGJG-ZETCQYMHSA-N 1 2 283.162 3.539 20 0 CHADLO C[C@H](Nc1[nH+]cnc(N)c1Cl)c1ccccc1Cl ZINC000227842408 525684768 /nfs/dbraw/zinc/68/47/68/525684768.db2.gz SVZZVZWLXMUGJG-ZETCQYMHSA-N 1 2 283.162 3.539 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1nccs1 ZINC000086508906 525708869 /nfs/dbraw/zinc/70/88/69/525708869.db2.gz JFMYVPDNOAMCCB-GFCCVEGCSA-N 1 2 288.420 3.702 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnc2ccc(Cl)cn12 ZINC000292751052 525798083 /nfs/dbraw/zinc/79/80/83/525798083.db2.gz IKXNZWRTPAQTSO-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnc2ccc(Cl)cn12 ZINC000292751052 525798092 /nfs/dbraw/zinc/79/80/92/525798092.db2.gz IKXNZWRTPAQTSO-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO Cc1ccsc1C[NH2+][C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000292249387 525860780 /nfs/dbraw/zinc/86/07/80/525860780.db2.gz SBFFDFHYRCMUSM-CQSZACIVSA-N 1 2 290.436 3.586 20 0 CHADLO Cc1cn2cc(NC(=O)CC(C)(C)C(F)(F)F)ccc2[nH+]1 ZINC000280092832 525947379 /nfs/dbraw/zinc/94/73/79/525947379.db2.gz SUCKUBLTGUZOOJ-UHFFFAOYSA-N 1 2 299.296 3.560 20 0 CHADLO Cc1cn2cc(NC(=O)C[C@H]3CCC[C@H](C)C3)ccc2[nH+]1 ZINC000339272615 525948411 /nfs/dbraw/zinc/94/84/11/525948411.db2.gz IRUAVSQPHCHUAQ-JSGCOSHPSA-N 1 2 285.391 3.798 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2csc3ccccc23)nc1 ZINC000340661917 526006758 /nfs/dbraw/zinc/00/67/58/526006758.db2.gz PPDYAFXSDFOGBH-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2csc3ccccc23)nc1 ZINC000340661917 526006764 /nfs/dbraw/zinc/00/67/64/526006764.db2.gz PPDYAFXSDFOGBH-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2nc3ccccc3s2)cn1 ZINC000336834840 526007680 /nfs/dbraw/zinc/00/76/80/526007680.db2.gz HRYOKGOJJDTDEP-GFCCVEGCSA-N 1 2 298.415 3.588 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2nc3ccccc3s2)cn1 ZINC000336834840 526007691 /nfs/dbraw/zinc/00/76/91/526007691.db2.gz HRYOKGOJJDTDEP-GFCCVEGCSA-N 1 2 298.415 3.588 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000290358594 526020690 /nfs/dbraw/zinc/02/06/90/526020690.db2.gz GLVFLGSKYRYAFN-XHDPSFHLSA-N 1 2 285.391 3.599 20 0 CHADLO Cc1c[nH]nc1C[N@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000290358594 526020694 /nfs/dbraw/zinc/02/06/94/526020694.db2.gz GLVFLGSKYRYAFN-XHDPSFHLSA-N 1 2 285.391 3.599 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000290366445 526020971 /nfs/dbraw/zinc/02/09/71/526020971.db2.gz HJHAMKVVOSQDFE-LLVKDONJSA-N 1 2 297.324 3.930 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000290366445 526020979 /nfs/dbraw/zinc/02/09/79/526020979.db2.gz HJHAMKVVOSQDFE-LLVKDONJSA-N 1 2 297.324 3.930 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccco2)o1 ZINC000076184208 526022787 /nfs/dbraw/zinc/02/27/87/526022787.db2.gz VWUMQTSROCMLIY-WCQYABFASA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccco2)o1 ZINC000076184208 526022802 /nfs/dbraw/zinc/02/28/02/526022802.db2.gz VWUMQTSROCMLIY-WCQYABFASA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)o1 ZINC000075900323 526025774 /nfs/dbraw/zinc/02/57/74/526025774.db2.gz PKIMOAKAZKVVOW-XHDPSFHLSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)o1 ZINC000075900323 526025784 /nfs/dbraw/zinc/02/57/84/526025784.db2.gz PKIMOAKAZKVVOW-XHDPSFHLSA-N 1 2 274.339 3.705 20 0 CHADLO C[C@@H](c1ccc(C(F)(F)F)cc1)[N@H+](C)Cc1cnc[nH]1 ZINC000280566026 526039564 /nfs/dbraw/zinc/03/95/64/526039564.db2.gz VPUMMCLTAAQJRR-JTQLQIEISA-N 1 2 283.297 3.622 20 0 CHADLO C[C@@H](c1ccc(C(F)(F)F)cc1)[N@@H+](C)Cc1cnc[nH]1 ZINC000280566026 526039566 /nfs/dbraw/zinc/03/95/66/526039566.db2.gz VPUMMCLTAAQJRR-JTQLQIEISA-N 1 2 283.297 3.622 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@H](C)c2noc(C)n2)cc1 ZINC000289484008 526063131 /nfs/dbraw/zinc/06/31/31/526063131.db2.gz YGYGZJGOYPQZER-NXEZZACHSA-N 1 2 277.393 3.512 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+](C)Cc1ccccn1 ZINC000264300702 526064497 /nfs/dbraw/zinc/06/44/97/526064497.db2.gz VNEPCDMFIUADQI-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+](C)Cc1ccccn1 ZINC000264300702 526064505 /nfs/dbraw/zinc/06/45/05/526064505.db2.gz VNEPCDMFIUADQI-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+](C)Cc1cn2c(n1)CCCC2 ZINC000369365466 526078994 /nfs/dbraw/zinc/07/89/94/526078994.db2.gz BVBUGVDZZSVHOV-CYBMUJFWSA-N 1 2 287.382 3.552 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+](C)Cc1cn2c(n1)CCCC2 ZINC000369365466 526079002 /nfs/dbraw/zinc/07/90/02/526079002.db2.gz BVBUGVDZZSVHOV-CYBMUJFWSA-N 1 2 287.382 3.552 20 0 CHADLO C[C@H](c1cccc(F)c1)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000369365466 526079011 /nfs/dbraw/zinc/07/90/11/526079011.db2.gz BVBUGVDZZSVHOV-CYBMUJFWSA-N 1 2 287.382 3.552 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000338592029 526124483 /nfs/dbraw/zinc/12/44/83/526124483.db2.gz XLWQSCHEWXIMFM-GUYCJALGSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000338592029 526124491 /nfs/dbraw/zinc/12/44/91/526124491.db2.gz XLWQSCHEWXIMFM-GUYCJALGSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)C(C)(C)C ZINC000265232048 526132558 /nfs/dbraw/zinc/13/25/58/526132558.db2.gz OQJHVQUCTJDSRZ-LLVKDONJSA-N 1 2 271.364 3.697 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1nc2ccccc2n1C)C1CC1 ZINC000086233099 526147635 /nfs/dbraw/zinc/14/76/35/526147635.db2.gz OVUUXOGHIRALPI-CYBMUJFWSA-N 1 2 295.386 3.892 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1nc2ccccc2n1C)C1CC1 ZINC000086233099 526147641 /nfs/dbraw/zinc/14/76/41/526147641.db2.gz OVUUXOGHIRALPI-CYBMUJFWSA-N 1 2 295.386 3.892 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cccc(OCC(C)C)c2)o1 ZINC000337273337 526158623 /nfs/dbraw/zinc/15/86/23/526158623.db2.gz COJGYGXFEYFMDN-AWEZNQCLSA-N 1 2 288.391 3.869 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1ccnn1C(C)C ZINC000279641496 526159135 /nfs/dbraw/zinc/15/91/35/526159135.db2.gz JGSMEYRIHVOZOF-UHFFFAOYSA-N 1 2 289.448 3.818 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1ccnn1C(C)C ZINC000279641496 526159143 /nfs/dbraw/zinc/15/91/43/526159143.db2.gz JGSMEYRIHVOZOF-UHFFFAOYSA-N 1 2 289.448 3.818 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cc3c(cc(C)cc3C)[nH]2)o1 ZINC000337270301 526159442 /nfs/dbraw/zinc/15/94/42/526159442.db2.gz ZRBXRGFAEFHMFX-ZDUSSCGKSA-N 1 2 283.375 3.932 20 0 CHADLO CSc1ccccc1C[NH2+][C@@H](C)c1c(F)cncc1F ZINC000353218820 526159627 /nfs/dbraw/zinc/15/96/27/526159627.db2.gz LCYKPZBHCCKZAC-JTQLQIEISA-N 1 2 294.370 3.933 20 0 CHADLO C[C@H](c1nc(CCC2CC2)no1)[N@H+](C)Cc1ccccc1 ZINC000276901010 526176338 /nfs/dbraw/zinc/17/63/38/526176338.db2.gz JACDXFDLOFOMMM-CYBMUJFWSA-N 1 2 285.391 3.605 20 0 CHADLO C[C@H](c1nc(CCC2CC2)no1)[N@@H+](C)Cc1ccccc1 ZINC000276901010 526176341 /nfs/dbraw/zinc/17/63/41/526176341.db2.gz JACDXFDLOFOMMM-CYBMUJFWSA-N 1 2 285.391 3.605 20 0 CHADLO CSc1ccccc1[C@H](C)Nc1cc[nH+]c(C(C)C)n1 ZINC000336928861 526188300 /nfs/dbraw/zinc/18/83/00/526188300.db2.gz OBCDCEGTGSORQO-LBPRGKRZSA-N 1 2 287.432 3.917 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C1CCC(C(F)(F)F)CC1 ZINC000277716771 526236482 /nfs/dbraw/zinc/23/64/82/526236482.db2.gz WUQOSQSLSCKOKL-UHFFFAOYSA-N 1 2 286.297 3.697 20 0 CHADLO Cc1ccc(C(C)(C)[NH2+]Cc2csc(C3CC3)n2)cn1 ZINC000353123485 526245984 /nfs/dbraw/zinc/24/59/84/526245984.db2.gz CKIUDMHGEOPXHP-UHFFFAOYSA-N 1 2 287.432 3.749 20 0 CHADLO Cc1ccc(C(C)C)c(OCc2ccc[nH+]c2N)c1 ZINC000052734519 526248089 /nfs/dbraw/zinc/24/80/89/526248089.db2.gz KEKKCNGOFTXGIM-UHFFFAOYSA-N 1 2 256.349 3.675 20 0 CHADLO O=C(CC1CCCC1)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000103965002 526359064 /nfs/dbraw/zinc/35/90/64/526359064.db2.gz YCFDQALPLUFWCI-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2nccn2C(F)F)cc1 ZINC000078297228 526362213 /nfs/dbraw/zinc/36/22/13/526362213.db2.gz LVJXUCOTVOLPCQ-ZDUSSCGKSA-N 1 2 295.308 3.754 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2nccn2C(F)F)cc1 ZINC000078297228 526362218 /nfs/dbraw/zinc/36/22/18/526362218.db2.gz LVJXUCOTVOLPCQ-ZDUSSCGKSA-N 1 2 295.308 3.754 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@H+]2Cc2csc(C3CC3)n2)c1 ZINC000127428505 526411001 /nfs/dbraw/zinc/41/10/01/526411001.db2.gz CTQRDGXKANMOIR-CQSZACIVSA-N 1 2 273.405 3.686 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@@H+]2Cc2csc(C3CC3)n2)c1 ZINC000127428505 526411006 /nfs/dbraw/zinc/41/10/06/526411006.db2.gz CTQRDGXKANMOIR-CQSZACIVSA-N 1 2 273.405 3.686 20 0 CHADLO c1cc(CNc2ccc([NH+]3CCCC3)cc2)cs1 ZINC000037102286 526430513 /nfs/dbraw/zinc/43/05/13/526430513.db2.gz UDFHBSWRAAIWNU-UHFFFAOYSA-N 1 2 258.390 3.960 20 0 CHADLO c1cc(N2CCC3(CCCC3)CC2)nc(C2CC2)[nH+]1 ZINC000150932179 526431807 /nfs/dbraw/zinc/43/18/07/526431807.db2.gz WXYMZQCPCSMQJM-UHFFFAOYSA-N 1 2 257.381 3.515 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2nc(C3CC3)no2)C2CCC2)cc1 ZINC000104697392 526498013 /nfs/dbraw/zinc/49/80/13/526498013.db2.gz MNKFEGPYLQQWOH-INIZCTEOSA-N 1 2 283.375 3.578 20 0 CHADLO c1ccc2c(c1)C[C@H](CNc1ccc3ccccc3[nH+]1)O2 ZINC000037986037 526508857 /nfs/dbraw/zinc/50/88/57/526508857.db2.gz WTYXEHPBCZOKTH-OAHLLOKOSA-N 1 2 276.339 3.650 20 0 CHADLO c1cnc2c(c1)cccc2CCNc1cc[nH+]c(C2CC2)n1 ZINC000264618389 526543503 /nfs/dbraw/zinc/54/35/03/526543503.db2.gz HLJBBEOMJBUHPV-UHFFFAOYSA-N 1 2 290.370 3.557 20 0 CHADLO CC(C)(C)OC1CC[NH+](Cc2ncc(Cl)s2)CC1 ZINC000352011091 526544247 /nfs/dbraw/zinc/54/42/47/526544247.db2.gz OJRYYVCVYHUNDM-UHFFFAOYSA-N 1 2 288.844 3.576 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1C[C@H](C(C)C)c2ccccc21 ZINC000278893674 526555028 /nfs/dbraw/zinc/55/50/28/526555028.db2.gz YVBQMFSKQHJPAR-IAGOWNOFSA-N 1 2 269.392 3.692 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](CC(C)(C)C)c1ccccc1 ZINC000037128616 526556026 /nfs/dbraw/zinc/55/60/26/526556026.db2.gz SVFDHQMZXDVNJC-MRXNPFEDSA-N 1 2 271.408 3.985 20 0 CHADLO c1[nH+]c(-c2cccc(N[C@H]3CCSC3)c2)n2c1CCCC2 ZINC000278594827 526592297 /nfs/dbraw/zinc/59/22/97/526592297.db2.gz ZDHGVMXUARCDOJ-HNNXBMFYSA-N 1 2 299.443 3.804 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)c3ccoc3C)c2)[nH+]c1C ZINC000363374316 526594225 /nfs/dbraw/zinc/59/42/25/526594225.db2.gz TUFWHWTXYUREMH-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO c1sc(C2CCCC2)nc1COc1cc[nH+]cc1 ZINC000276408943 526631682 /nfs/dbraw/zinc/63/16/82/526631682.db2.gz FNWMGGAXQUKGPW-UHFFFAOYSA-N 1 2 260.362 3.775 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSCC(=O)OC(C)C ZINC000338550676 526668280 /nfs/dbraw/zinc/66/82/80/526668280.db2.gz DDUFUNFFDJGZTD-UHFFFAOYSA-N 1 2 281.421 3.698 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COC(=O)CCC(F)(F)F ZINC000293113022 526668548 /nfs/dbraw/zinc/66/85/48/526668548.db2.gz RBGZZSQGOCTUID-UHFFFAOYSA-N 1 2 289.297 3.899 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COC(=O)CC[C@H]1CCCO1 ZINC000293276542 526668966 /nfs/dbraw/zinc/66/89/66/526668966.db2.gz CIADHHAWKXEFPI-OAHLLOKOSA-N 1 2 291.391 3.516 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSc1nncn1C1CC1 ZINC000338540663 526669251 /nfs/dbraw/zinc/66/92/51/526669251.db2.gz UGMSLEBDGDKWOR-UHFFFAOYSA-N 1 2 288.420 3.732 20 0 CHADLO Cc1nc(C)c([C@H](C)[N@@H+](C)Cc2ccnn2C(C)C)s1 ZINC000280280060 526750554 /nfs/dbraw/zinc/75/05/54/526750554.db2.gz OACZCPOWQQUZNA-LBPRGKRZSA-N 1 2 292.452 3.730 20 0 CHADLO Cc1nc(C)c([C@H](C)[N@H+](C)Cc2ccnn2C(C)C)s1 ZINC000280280060 526750559 /nfs/dbraw/zinc/75/05/59/526750559.db2.gz OACZCPOWQQUZNA-LBPRGKRZSA-N 1 2 292.452 3.730 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2ccco2)sc1C ZINC000088587158 526843736 /nfs/dbraw/zinc/84/37/36/526843736.db2.gz AZYPOWVBEAVJCR-JTQLQIEISA-N 1 2 250.367 3.546 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2ccco2)sc1C ZINC000088587158 526843742 /nfs/dbraw/zinc/84/37/42/526843742.db2.gz AZYPOWVBEAVJCR-JTQLQIEISA-N 1 2 250.367 3.546 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(F)cc2)C(C)C)oc1C ZINC000299384259 526849388 /nfs/dbraw/zinc/84/93/88/526849388.db2.gz OYXJTQNPJQWOLJ-UHFFFAOYSA-N 1 2 276.355 3.841 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(F)cc2)C(C)C)oc1C ZINC000299384259 526849391 /nfs/dbraw/zinc/84/93/91/526849391.db2.gz OYXJTQNPJQWOLJ-UHFFFAOYSA-N 1 2 276.355 3.841 20 0 CHADLO Cc1nc(COc2ccc([NH2+][C@H]3CCC34CCC4)cc2)no1 ZINC000282674913 526909093 /nfs/dbraw/zinc/90/90/93/526909093.db2.gz JIOLZNAVNWYOEA-HNNXBMFYSA-N 1 2 299.374 3.702 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@@H+](C[C@@H]1CCCO1)C2 ZINC000338419958 526967211 /nfs/dbraw/zinc/96/72/11/526967211.db2.gz DWAJBTGQTXQQRW-NSHDSACASA-N 1 2 286.202 3.531 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@H+](C[C@@H]1CCCO1)C2 ZINC000338419958 526967216 /nfs/dbraw/zinc/96/72/16/526967216.db2.gz DWAJBTGQTXQQRW-NSHDSACASA-N 1 2 286.202 3.531 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccc(Cl)o2)cs1 ZINC000163939597 527032833 /nfs/dbraw/zinc/03/28/33/527032833.db2.gz ZTHYREDMUDIKTI-SSDOTTSWSA-N 1 2 256.758 3.549 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2CCCc3occc32)cs1 ZINC000036915496 527065768 /nfs/dbraw/zinc/06/57/68/527065768.db2.gz JFIJHBHFLWQLFY-JOYOIKCWSA-N 1 2 262.378 3.773 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCC3)no1 ZINC000289380660 527066687 /nfs/dbraw/zinc/06/66/87/527066687.db2.gz POCKHSXXVYCQAX-NEPJUHHUSA-N 1 2 285.391 3.669 20 0 CHADLO Cc1[nH]c(C(=O)N[C@@H](C)c2ccc(Cl)cc2Cl)c[nH+]1 ZINC000336902002 527238657 /nfs/dbraw/zinc/23/86/57/527238657.db2.gz RAFGDXLOGIBEEF-ZETCQYMHSA-N 1 2 298.173 3.516 20 0 CHADLO Cc1nc(N2CCC[C@H]2c2ccccc2Cl)cc[nH+]1 ZINC000266331840 527346285 /nfs/dbraw/zinc/34/62/85/527346285.db2.gz GYPTXBMBJWCZAR-AWEZNQCLSA-N 1 2 273.767 3.780 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)/C=C/C(C)(C)C)c1 ZINC000074505127 527422452 /nfs/dbraw/zinc/42/24/52/527422452.db2.gz HAWDGAHKNQIJQQ-CMDGGOBGSA-N 1 2 283.375 3.628 20 0 CHADLO OCC[C@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1ccccc1 ZINC000339054026 527569331 /nfs/dbraw/zinc/56/93/31/527569331.db2.gz KZNPDJCJEBSAQL-ZDUSSCGKSA-N 1 2 296.292 3.636 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCC2(F)CCC2)c1 ZINC000340842921 527688304 /nfs/dbraw/zinc/68/83/04/527688304.db2.gz AJFJVIKOBKYNAN-UHFFFAOYSA-N 1 2 262.250 3.795 20 0 CHADLO FC(F)[C@H]([NH2+]CCCOCC(F)(F)F)c1ccccc1 ZINC000292795920 527698238 /nfs/dbraw/zinc/69/82/38/527698238.db2.gz RAYGCYWUTLQDLP-LLVKDONJSA-N 1 2 297.267 3.551 20 0 CHADLO CC(C)[C@H]1CCCCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344390164 527759726 /nfs/dbraw/zinc/75/97/26/527759726.db2.gz HSNJUQAQETXZAM-QGZVFWFLSA-N 1 2 297.402 3.523 20 0 CHADLO CC1(C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CCCC1 ZINC000330256584 527988012 /nfs/dbraw/zinc/98/80/12/527988012.db2.gz KUGIBTROJTUUKN-AWEZNQCLSA-N 1 2 276.428 3.596 20 0 CHADLO CCCC(CCC)c1nc([C@@H]2C[N@@H+](C(C)C)CCO2)no1 ZINC000354814498 528003432 /nfs/dbraw/zinc/00/34/32/528003432.db2.gz ZBDFDHBFDAYNKX-AWEZNQCLSA-N 1 2 295.427 3.535 20 0 CHADLO CCCC(CCC)c1nc([C@@H]2C[N@H+](C(C)C)CCO2)no1 ZINC000354814498 528003437 /nfs/dbraw/zinc/00/34/37/528003437.db2.gz ZBDFDHBFDAYNKX-AWEZNQCLSA-N 1 2 295.427 3.535 20 0 CHADLO CCCCCN(C(=O)c1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000344240550 528162785 /nfs/dbraw/zinc/16/27/85/528162785.db2.gz CZTPJXOEJMDISE-UHFFFAOYSA-N 1 2 299.418 3.913 20 0 CHADLO CC(C)c1ccccc1N(C)CCCn1cc[nH+]c1 ZINC000344294287 528190445 /nfs/dbraw/zinc/19/04/45/528190445.db2.gz TXTOQHOBTXMBSL-UHFFFAOYSA-N 1 2 257.381 3.533 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H](C)c2c(F)cncc2F)s1 ZINC000353145636 528216154 /nfs/dbraw/zinc/21/61/54/528216154.db2.gz WPNPUERDSONXKF-VIFPVBQESA-N 1 2 297.374 3.791 20 0 CHADLO CCCCCn1cc(C[NH2+][C@H](C)c2cc(C)on2)c(C)n1 ZINC000353271853 528289972 /nfs/dbraw/zinc/28/99/72/528289972.db2.gz ZJHBOPVTIWXVPE-CQSZACIVSA-N 1 2 290.411 3.529 20 0 CHADLO CC(C)c1nc(N(C)Cc2cc3ccccc3o2)cc[nH+]1 ZINC000353518931 528363638 /nfs/dbraw/zinc/36/36/38/528363638.db2.gz SBAUBTQHJTULAU-UHFFFAOYSA-N 1 2 281.359 3.983 20 0 CHADLO CC(C)[N@H+](CC[C@@H](C)OCc1ccccc1)CC(F)F ZINC000354862599 528913188 /nfs/dbraw/zinc/91/31/88/528913188.db2.gz SDSNPSICHVYAAO-CQSZACIVSA-N 1 2 285.378 3.957 20 0 CHADLO CC(C)[N@@H+](CC[C@@H](C)OCc1ccccc1)CC(F)F ZINC000354862599 528913197 /nfs/dbraw/zinc/91/31/97/528913197.db2.gz SDSNPSICHVYAAO-CQSZACIVSA-N 1 2 285.378 3.957 20 0 CHADLO CC1(C)C[N@H+](Cc2scnc2C2CC2)[C@H]1c1ccncc1 ZINC000353706577 529125967 /nfs/dbraw/zinc/12/59/67/529125967.db2.gz DEYDBUOYDMKWAR-INIZCTEOSA-N 1 2 299.443 3.999 20 0 CHADLO CC1(C)C[N@@H+](Cc2scnc2C2CC2)[C@H]1c1ccncc1 ZINC000353706577 529125970 /nfs/dbraw/zinc/12/59/70/529125970.db2.gz DEYDBUOYDMKWAR-INIZCTEOSA-N 1 2 299.443 3.999 20 0 CHADLO CC1(C)COCC[N@@H+]1Cc1c(Cl)oc2ccccc21 ZINC000352652415 529132742 /nfs/dbraw/zinc/13/27/42/529132742.db2.gz NTJFDEKGCNMRIY-UHFFFAOYSA-N 1 2 279.767 3.697 20 0 CHADLO CC1(C)COCC[N@H+]1Cc1c(Cl)oc2ccccc21 ZINC000352652415 529132745 /nfs/dbraw/zinc/13/27/45/529132745.db2.gz NTJFDEKGCNMRIY-UHFFFAOYSA-N 1 2 279.767 3.697 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@H+](C)Cc1nccs1 ZINC000347568327 529184573 /nfs/dbraw/zinc/18/45/73/529184573.db2.gz VCDYPUQUSQJTAI-CYBMUJFWSA-N 1 2 276.405 3.735 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@@H+](C)Cc1nccs1 ZINC000347568327 529184576 /nfs/dbraw/zinc/18/45/76/529184576.db2.gz VCDYPUQUSQJTAI-CYBMUJFWSA-N 1 2 276.405 3.735 20 0 CHADLO CCCCc1noc(C[N@@H+]2CCC=C(c3ccccc3)C2)n1 ZINC000341992866 535120751 /nfs/dbraw/zinc/12/07/51/535120751.db2.gz QKTKUFOZRFWLFA-UHFFFAOYSA-N 1 2 297.402 3.702 20 0 CHADLO CCCCc1noc(C[N@H+]2CCC=C(c3ccccc3)C2)n1 ZINC000341992866 535120756 /nfs/dbraw/zinc/12/07/56/535120756.db2.gz QKTKUFOZRFWLFA-UHFFFAOYSA-N 1 2 297.402 3.702 20 0 CHADLO COc1cc[nH+]cc1CSCc1cccc(F)c1 ZINC000341960050 537120670 /nfs/dbraw/zinc/12/06/70/537120670.db2.gz RPIAAJXVFKQYRI-UHFFFAOYSA-N 1 2 263.337 3.663 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCCC(F)(F)CC2)c1F ZINC001137925262 1131436090 /nfs/dbraw/zinc/43/60/90/1131436090.db2.gz CQJIWCNEKQMCHV-UHFFFAOYSA-N 1 2 279.252 3.725 20 0 CHADLO CCCc1cc(C(=O)Nc2cc(C)[nH+]cc2C)sc1C ZINC000536575459 1125470208 /nfs/dbraw/zinc/47/02/08/1125470208.db2.gz MWZBQPAFWRJBOA-UHFFFAOYSA-N 1 2 288.416 3.695 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCc3sc(Cl)cc32)cs1 ZINC000129604574 1125477014 /nfs/dbraw/zinc/47/70/14/1125477014.db2.gz VCBRSOILAYXPEY-JTQLQIEISA-N 1 2 284.837 3.944 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2noc(C3CC3)n2)C2CCCC2)cc1 ZINC000111246716 1125481148 /nfs/dbraw/zinc/48/11/48/1125481148.db2.gz UHTYKWYXGMWTIH-KRWDZBQOSA-N 1 2 297.402 3.968 20 0 CHADLO CC[C@@H]([NH2+]CC(F)(F)CC)c1ccc(OC)c(OC)c1 ZINC000624147124 1117989195 /nfs/dbraw/zinc/98/91/95/1117989195.db2.gz UVDQCWHHEZPKAJ-GFCCVEGCSA-N 1 2 287.350 3.790 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CCCC[C@@H]2C(F)(F)F)cc[nH+]1 ZINC000112298404 1125485078 /nfs/dbraw/zinc/48/50/78/1125485078.db2.gz QHZRRUSIENPURC-RYUDHWBXSA-N 1 2 286.297 3.697 20 0 CHADLO Cc1ccc2c(Nc3ccc(F)cc3CO)cccc2[nH+]1 ZINC001212798246 1125485419 /nfs/dbraw/zinc/48/54/19/1125485419.db2.gz BNDHUJRYKAEDJE-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO Cc1ccc2c(cccc2N2CCc3c2cccc3N)[nH+]1 ZINC001212798167 1125485845 /nfs/dbraw/zinc/48/58/45/1125485845.db2.gz AEWZIQKDMMZTKD-UHFFFAOYSA-N 1 2 275.355 3.820 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cc2ccccc2s1 ZINC001137681182 1118192017 /nfs/dbraw/zinc/19/20/17/1118192017.db2.gz LEOFISUWAJPYDO-RYUDHWBXSA-N 1 2 267.344 3.783 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1cc2ccccc2s1 ZINC001137681182 1118192020 /nfs/dbraw/zinc/19/20/20/1118192020.db2.gz LEOFISUWAJPYDO-RYUDHWBXSA-N 1 2 267.344 3.783 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)cs1)c1cscn1 ZINC000579980306 1118271679 /nfs/dbraw/zinc/27/16/79/1118271679.db2.gz SIMXHFOIANHTRF-SSDOTTSWSA-N 1 2 258.799 3.709 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nc(C)no1)c1ccc(Cl)cc1 ZINC000341187228 1118613476 /nfs/dbraw/zinc/61/34/76/1118613476.db2.gz BQSQPIBJGKEJPK-NOZJJQNGSA-N 1 2 279.771 3.833 20 0 CHADLO Clc1cccc(OCCCOc2cc[nH+]cc2)c1 ZINC000431511300 1119265864 /nfs/dbraw/zinc/26/58/64/1119265864.db2.gz KMUDMVCZMLPSCB-UHFFFAOYSA-N 1 2 263.724 3.583 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@@H](C)c2nc(C3CC3)no2)c1C ZINC000115603361 1125504400 /nfs/dbraw/zinc/50/44/00/1125504400.db2.gz MMFLZASKSHOEAW-STQMWFEESA-N 1 2 285.391 3.976 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000193576893 1120294644 /nfs/dbraw/zinc/29/46/44/1120294644.db2.gz JQCJPOTYMVTWAI-SNVBAGLBSA-N 1 2 279.252 3.739 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000193576893 1120294647 /nfs/dbraw/zinc/29/46/47/1120294647.db2.gz JQCJPOTYMVTWAI-SNVBAGLBSA-N 1 2 279.252 3.739 20 0 CHADLO CCC[C@](C)([NH2+]CCCc1ccccc1Cl)C(=O)OC ZINC000543206772 1120463052 /nfs/dbraw/zinc/46/30/52/1120463052.db2.gz ANUQWKJHKGNFPF-INIZCTEOSA-N 1 2 297.826 3.594 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCC1(F)F)CC2 ZINC000397967967 1120537955 /nfs/dbraw/zinc/53/79/55/1120537955.db2.gz RYSVGEPSUJXXQF-UONOGXRCSA-N 1 2 251.320 3.760 20 0 CHADLO CC[C@H](C)[N@H+](CC)Cc1noc(C2CCCCC2)n1 ZINC000661106284 1120716675 /nfs/dbraw/zinc/71/66/75/1120716675.db2.gz GYDAFLBDURGLAE-LBPRGKRZSA-N 1 2 265.401 3.738 20 0 CHADLO CC[C@H](C)[N@@H+](CC)Cc1noc(C2CCCCC2)n1 ZINC000661106284 1120716677 /nfs/dbraw/zinc/71/66/77/1120716677.db2.gz GYDAFLBDURGLAE-LBPRGKRZSA-N 1 2 265.401 3.738 20 0 CHADLO CC1(C)C[N@H+](Cc2nccs2)[C@@H]1c1ccccc1 ZINC000676715963 1121460585 /nfs/dbraw/zinc/46/05/85/1121460585.db2.gz QXDISGZETPAPGM-CQSZACIVSA-N 1 2 258.390 3.726 20 0 CHADLO CC1(C)C[N@@H+](Cc2nccs2)[C@@H]1c1ccccc1 ZINC000676715963 1121460590 /nfs/dbraw/zinc/46/05/90/1121460590.db2.gz QXDISGZETPAPGM-CQSZACIVSA-N 1 2 258.390 3.726 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001176086542 1121534488 /nfs/dbraw/zinc/53/44/88/1121534488.db2.gz KMWIXOCJBSGWSS-WJFUUDCUSA-N 1 2 268.187 3.579 20 0 CHADLO Cc1cc(N2CC[C@@H](C(C)C)C2)nc(C2CCC2)[nH+]1 ZINC001185691928 1122354229 /nfs/dbraw/zinc/35/42/29/1122354229.db2.gz DOJAHYIUVRXTOK-CQSZACIVSA-N 1 2 259.397 3.535 20 0 CHADLO COc1cc[nH+]cc1NC1=CC[C@@H](C(F)(F)F)CC1 ZINC001196769189 1122931072 /nfs/dbraw/zinc/93/10/72/1122931072.db2.gz ZIBHENSCHJOGPW-SECBINFHSA-N 1 2 272.270 3.748 20 0 CHADLO CC(C)C[N@@H+]1Cc2nc(-c3ccccc3)sc2C1 ZINC001201482300 1123114666 /nfs/dbraw/zinc/11/46/66/1123114666.db2.gz HVCSNODJABCBMI-UHFFFAOYSA-N 1 2 258.390 3.782 20 0 CHADLO CC[C@]1(C)C[N@@H+]([C@H](C)c2cc(F)ccc2F)CCO1 ZINC000442884260 1123347186 /nfs/dbraw/zinc/34/71/86/1123347186.db2.gz RWOQNLMFFAOAAZ-IAQYHMDHSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@]1(C)C[N@H+]([C@H](C)c2cc(F)ccc2F)CCO1 ZINC000442884260 1123347187 /nfs/dbraw/zinc/34/71/87/1123347187.db2.gz RWOQNLMFFAOAAZ-IAQYHMDHSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(OC)c(OC)c1 ZINC000389461477 1124028453 /nfs/dbraw/zinc/02/84/53/1124028453.db2.gz GDDKQZOBRVDWFT-ZYHUDNBSSA-N 1 2 287.350 3.788 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CC[C@H](CC(F)(F)F)C2)o1 ZINC000444713461 1124053845 /nfs/dbraw/zinc/05/38/45/1124053845.db2.gz AQIFMKCBZHEZDU-SNVBAGLBSA-N 1 2 290.329 3.746 20 0 CHADLO CC1(C)C[N@H+](CCCc2c(F)cccc2Cl)CCO1 ZINC000447746503 1124521209 /nfs/dbraw/zinc/52/12/09/1124521209.db2.gz PPJGEQBQJWQSPL-UHFFFAOYSA-N 1 2 285.790 3.523 20 0 CHADLO CC1(C)C[N@@H+](CCCc2c(F)cccc2Cl)CCO1 ZINC000447746503 1124521217 /nfs/dbraw/zinc/52/12/17/1124521217.db2.gz PPJGEQBQJWQSPL-UHFFFAOYSA-N 1 2 285.790 3.523 20 0 CHADLO Clc1ccc([C@@H]2C[N@@H+](CC3CC3)CCO2)cc1Cl ZINC000532421658 1125375878 /nfs/dbraw/zinc/37/58/78/1125375878.db2.gz XEPMMISGAWCIQY-AWEZNQCLSA-N 1 2 286.202 3.777 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2cccnc2Cl)c(Cl)n1 ZINC000883301755 1125392124 /nfs/dbraw/zinc/39/21/24/1125392124.db2.gz HYZSJOPRCXKABC-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO FC1(F)C[C@]12CC[N@H+](Cc1cc(Cl)nc(Cl)c1)C2 ZINC000844471735 1131569406 /nfs/dbraw/zinc/56/94/06/1131569406.db2.gz RIVAWSVYIPSQDO-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@]12CC[N@@H+](Cc1cc(Cl)nc(Cl)c1)C2 ZINC000844471735 1131569411 /nfs/dbraw/zinc/56/94/11/1131569411.db2.gz RIVAWSVYIPSQDO-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001139883944 1131646439 /nfs/dbraw/zinc/64/64/39/1131646439.db2.gz OCCAABRYGTXIKK-TXEJJXNPSA-N 1 2 287.325 3.623 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(C(F)(F)F)ccn2)CCC1(F)F ZINC001140104501 1131663601 /nfs/dbraw/zinc/66/36/01/1131663601.db2.gz QLYRSXYTZQLXHJ-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccccc2)C(C)(C)C)n[nH]1 ZINC001203066487 1131917439 /nfs/dbraw/zinc/91/74/39/1131917439.db2.gz FOIQJINPRAEDRK-UHFFFAOYSA-N 1 2 257.381 3.519 20 0 CHADLO Oc1cc(C[N@@H+]2CCc3ccsc3C2)ccc1Cl ZINC001231734019 1132349605 /nfs/dbraw/zinc/34/96/05/1132349605.db2.gz OBMUQMFKUPFHHG-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1cc(C[N@H+]2CCc3ccsc3C2)ccc1Cl ZINC001231734019 1132349610 /nfs/dbraw/zinc/34/96/10/1132349610.db2.gz OBMUQMFKUPFHHG-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(C(F)(F)F)o2)CCC1(F)F ZINC001232441329 1132470777 /nfs/dbraw/zinc/47/07/77/1132470777.db2.gz ORSSBSALLPBLND-MRVPVSSYSA-N 1 2 283.240 3.776 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(C(F)(F)F)o2)CCC1(F)F ZINC001232441329 1132470782 /nfs/dbraw/zinc/47/07/82/1132470782.db2.gz ORSSBSALLPBLND-MRVPVSSYSA-N 1 2 283.240 3.776 20 0 CHADLO CCC[N@H+](C)Cc1c(F)cc(Br)c(F)c1F ZINC001233059405 1132568939 /nfs/dbraw/zinc/56/89/39/1132568939.db2.gz DLYURESYABYMSO-UHFFFAOYSA-N 1 2 296.130 3.708 20 0 CHADLO CCC[N@@H+](C)Cc1c(F)cc(Br)c(F)c1F ZINC001233059405 1132568943 /nfs/dbraw/zinc/56/89/43/1132568943.db2.gz DLYURESYABYMSO-UHFFFAOYSA-N 1 2 296.130 3.708 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1cc(Cl)ccc1C ZINC001233250434 1132589301 /nfs/dbraw/zinc/58/93/01/1132589301.db2.gz KGHBBRNEGQTASA-UHFFFAOYSA-N 1 2 297.826 3.812 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1cc(Cl)ccc1C ZINC001233250434 1132589307 /nfs/dbraw/zinc/58/93/07/1132589307.db2.gz KGHBBRNEGQTASA-UHFFFAOYSA-N 1 2 297.826 3.812 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCOC[C@H]1C(C)C ZINC001233352656 1132610113 /nfs/dbraw/zinc/61/01/13/1132610113.db2.gz NWVQSGJZLFWUQL-AWEZNQCLSA-N 1 2 299.867 3.919 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCOC[C@H]1C(C)C ZINC001233352656 1132610117 /nfs/dbraw/zinc/61/01/17/1132610117.db2.gz NWVQSGJZLFWUQL-AWEZNQCLSA-N 1 2 299.867 3.919 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(Cl)c1N ZINC001216527733 1134916085 /nfs/dbraw/zinc/91/60/85/1134916085.db2.gz LTHWBNRFPFSAPH-UHFFFAOYSA-N 1 2 261.756 3.932 20 0 CHADLO Cc1csc(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2)n1 ZINC000118403350 1125523388 /nfs/dbraw/zinc/52/33/88/1125523388.db2.gz UPDFBXUOZDRPPJ-NSHDSACASA-N 1 2 264.369 3.784 20 0 CHADLO Cc1csc(C[N@H+](C)[C@@H](C)c2ccc(F)cc2)n1 ZINC000118403350 1125523393 /nfs/dbraw/zinc/52/33/93/1125523393.db2.gz UPDFBXUOZDRPPJ-NSHDSACASA-N 1 2 264.369 3.784 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3ccccc3[C@H]2C)cs1 ZINC000120746642 1125551213 /nfs/dbraw/zinc/55/12/13/1125551213.db2.gz ZRXXIDVCSXNBTK-LLVKDONJSA-N 1 2 258.390 3.571 20 0 CHADLO Cc1nc(C[N@H+]2CCc3ccccc3[C@H]2C)cs1 ZINC000120746642 1125551221 /nfs/dbraw/zinc/55/12/21/1125551221.db2.gz ZRXXIDVCSXNBTK-LLVKDONJSA-N 1 2 258.390 3.571 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2C[C@H](C)[C@@H]2c2ccccc2)n1 ZINC000245433181 1125571122 /nfs/dbraw/zinc/57/11/22/1125571122.db2.gz PAILMSKLQIZPDK-KCQAQPDRSA-N 1 2 285.391 3.947 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2C[C@H](C)[C@@H]2c2ccccc2)n1 ZINC000245433181 1125571127 /nfs/dbraw/zinc/57/11/27/1125571127.db2.gz PAILMSKLQIZPDK-KCQAQPDRSA-N 1 2 285.391 3.947 20 0 CHADLO C[C@H](F)CC[N@@H+]1C[C@H](c2ccccc2Cl)OC[C@H]1C ZINC000509674071 1125573292 /nfs/dbraw/zinc/57/32/92/1125573292.db2.gz SUUXUZNTYXRXEV-YWPYICTPSA-N 1 2 285.790 3.850 20 0 CHADLO C[C@H](F)CC[N@H+]1C[C@H](c2ccccc2Cl)OC[C@H]1C ZINC000509674071 1125573295 /nfs/dbraw/zinc/57/32/95/1125573295.db2.gz SUUXUZNTYXRXEV-YWPYICTPSA-N 1 2 285.790 3.850 20 0 CHADLO Fc1ccc2nc(NCCCCn3cc[nH+]c3)sc2c1 ZINC000091940736 1125587289 /nfs/dbraw/zinc/58/72/89/1125587289.db2.gz AMSVEKKNAFBCAV-UHFFFAOYSA-N 1 2 290.367 3.524 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccn2)C(C)C)c(C)s1 ZINC000533559138 1125616261 /nfs/dbraw/zinc/61/62/61/1125616261.db2.gz JIDDZNQVTBPQJQ-UHFFFAOYSA-N 1 2 275.421 3.566 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccn2)C(C)C)c(C)s1 ZINC000533559138 1125616263 /nfs/dbraw/zinc/61/62/63/1125616263.db2.gz JIDDZNQVTBPQJQ-UHFFFAOYSA-N 1 2 275.421 3.566 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(F)cc2)C[C@@H](c2ccsc2)O1 ZINC000246307539 1125618702 /nfs/dbraw/zinc/61/87/02/1125618702.db2.gz CJVIPHWZVHSYDO-LRDDRELGSA-N 1 2 291.391 3.849 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@@H](c2ccsc2)O1 ZINC000246307539 1125618706 /nfs/dbraw/zinc/61/87/06/1125618706.db2.gz CJVIPHWZVHSYDO-LRDDRELGSA-N 1 2 291.391 3.849 20 0 CHADLO c1ccc([C@H]2CCC[N@@H+](Cc3noc(C4CC4)n3)CC2)cc1 ZINC000066897694 1125624880 /nfs/dbraw/zinc/62/48/80/1125624880.db2.gz WKZICIPVTNQWHF-HNNXBMFYSA-N 1 2 297.402 3.717 20 0 CHADLO c1ccc([C@H]2CCC[N@H+](Cc3noc(C4CC4)n3)CC2)cc1 ZINC000066897694 1125624885 /nfs/dbraw/zinc/62/48/85/1125624885.db2.gz WKZICIPVTNQWHF-HNNXBMFYSA-N 1 2 297.402 3.717 20 0 CHADLO Cc1ccncc1C[NH2+][C@@H](C)c1ncc(-c2ccccc2)o1 ZINC000348359589 1125641161 /nfs/dbraw/zinc/64/11/61/1125641161.db2.gz OSUCVIUICHMUEI-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(O)c(F)c1F ZINC001212007550 1125647456 /nfs/dbraw/zinc/64/74/56/1125647456.db2.gz MQJOGMZKJJHUEM-UHFFFAOYSA-N 1 2 264.275 3.680 20 0 CHADLO Cc1cnc(C[N@H+]2CCS[C@H](C)[C@H]2c2ccccc2)o1 ZINC000246956363 1125652200 /nfs/dbraw/zinc/65/22/00/1125652200.db2.gz AWVGRFFMCFSDHI-CJNGLKHVSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cnc(C[N@@H+]2CCS[C@H](C)[C@H]2c2ccccc2)o1 ZINC000246956363 1125652204 /nfs/dbraw/zinc/65/22/04/1125652204.db2.gz AWVGRFFMCFSDHI-CJNGLKHVSA-N 1 2 288.416 3.662 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cn3ccsc3n2)[C@H]1c1ccccc1 ZINC000248358486 1125664286 /nfs/dbraw/zinc/66/42/86/1125664286.db2.gz QVRPSWFWRKUDNV-IUODEOHRSA-N 1 2 283.400 3.589 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cn3ccsc3n2)[C@H]1c1ccccc1 ZINC000248358486 1125664289 /nfs/dbraw/zinc/66/42/89/1125664289.db2.gz QVRPSWFWRKUDNV-IUODEOHRSA-N 1 2 283.400 3.589 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(C)(C)C)cc1)c1ncco1 ZINC000886275708 1125665641 /nfs/dbraw/zinc/66/56/41/1125665641.db2.gz JLHDAXBNXOXSEE-GFCCVEGCSA-N 1 2 258.365 3.823 20 0 CHADLO Cc1cc(Br)ccc1C[NH2+][C@H](C)c1ncco1 ZINC000886274115 1125665806 /nfs/dbraw/zinc/66/58/06/1125665806.db2.gz MOEKZBYAMFJJQD-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CC[C@H]2CC)c1 ZINC000348377294 1125667240 /nfs/dbraw/zinc/66/72/40/1125667240.db2.gz MHJGVGPQXWHBFY-CHWSQXEVSA-N 1 2 250.411 3.935 20 0 CHADLO Cc1cn2cccc(Nc3cccc(OC(F)F)c3)c2[nH+]1 ZINC001212055899 1125673304 /nfs/dbraw/zinc/67/33/04/1125673304.db2.gz BFKFEDSVOHLEIV-UHFFFAOYSA-N 1 2 289.285 3.988 20 0 CHADLO Cc1cc(CNc2ccc3[nH+]c(C)cc(C)c3c2)on1 ZINC000886370182 1125673819 /nfs/dbraw/zinc/67/38/19/1125673819.db2.gz YHRDJURCLLNKQZ-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@H]2CCc3cccnc32)on1 ZINC000886532144 1125685530 /nfs/dbraw/zinc/68/55/30/1125685530.db2.gz DSQVYENJBQNKBS-HNNXBMFYSA-N 1 2 285.391 3.750 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](C)[C@@H]2c2ccccc2)no1 ZINC000886544841 1125688903 /nfs/dbraw/zinc/68/89/03/1125688903.db2.gz BOEABULJPWBGLS-CZUORRHYSA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](C)[C@@H]2c2ccccc2)no1 ZINC000886544841 1125688906 /nfs/dbraw/zinc/68/89/06/1125688906.db2.gz BOEABULJPWBGLS-CZUORRHYSA-N 1 2 285.391 3.776 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)[N@H+](C)C/C(Cl)=C/Cl ZINC000138374597 1125694312 /nfs/dbraw/zinc/69/43/12/1125694312.db2.gz QVDIZENZTUYOLE-UVIKPUKZSA-N 1 2 274.191 3.574 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)[N@@H+](C)C/C(Cl)=C/Cl ZINC000138374597 1125694316 /nfs/dbraw/zinc/69/43/16/1125694316.db2.gz QVDIZENZTUYOLE-UVIKPUKZSA-N 1 2 274.191 3.574 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(Cl)nc(Cl)c1 ZINC001212090020 1125724554 /nfs/dbraw/zinc/72/45/54/1125724554.db2.gz SRDWYTGNTQNWKH-UHFFFAOYSA-N 1 2 284.146 3.926 20 0 CHADLO Fc1cccc2c1CC[C@H]2[NH2+]Cc1csc(Cl)n1 ZINC000886928540 1125726375 /nfs/dbraw/zinc/72/63/75/1125726375.db2.gz CLDPOYNPSBIOHG-GFCCVEGCSA-N 1 2 282.771 3.713 20 0 CHADLO Cc1ccccc1CCCSCCn1cc[nH+]c1 ZINC000090202188 1125740598 /nfs/dbraw/zinc/74/05/98/1125740598.db2.gz KWFKEGKWHGKDGM-UHFFFAOYSA-N 1 2 260.406 3.558 20 0 CHADLO Cc1ccc(-c2nc(C(=O)Nc3cc[nH+]c(C)c3)cs2)o1 ZINC000078939890 1125743463 /nfs/dbraw/zinc/74/34/63/1125743463.db2.gz UVEYPIMGRSCQDI-UHFFFAOYSA-N 1 2 299.355 3.667 20 0 CHADLO CCCCOC1C[NH+](Cc2cc(C)cc(SC)c2)C1 ZINC001238680811 1131231514 /nfs/dbraw/zinc/23/15/14/1131231514.db2.gz CJPMANJVCQBGSS-UHFFFAOYSA-N 1 2 279.449 3.718 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000092729337 1125761284 /nfs/dbraw/zinc/76/12/84/1125761284.db2.gz VLWAOTXDYPUULP-OAHLLOKOSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cc(F)ccc1C[N@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000092729337 1125761290 /nfs/dbraw/zinc/76/12/90/1125761290.db2.gz VLWAOTXDYPUULP-OAHLLOKOSA-N 1 2 273.355 3.584 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccsc2)C[C@H](c2ccsc2)O1 ZINC000093769114 1125772764 /nfs/dbraw/zinc/77/27/64/1125772764.db2.gz HARCYHIBNZJNEM-BXUZGUMPSA-N 1 2 279.430 3.772 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccsc2)C[C@H](c2ccsc2)O1 ZINC000093769114 1125772772 /nfs/dbraw/zinc/77/27/72/1125772772.db2.gz HARCYHIBNZJNEM-BXUZGUMPSA-N 1 2 279.430 3.772 20 0 CHADLO Cc1cc(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)ccc1F ZINC000635495738 1129183439 /nfs/dbraw/zinc/18/34/39/1129183439.db2.gz MIQKYRJLBMVPCD-OAHLLOKOSA-N 1 2 289.354 3.557 20 0 CHADLO Fc1cccc(Cl)c1[C@H]1C[N@H+](C2CCCC2)CCO1 ZINC000646147246 1129527094 /nfs/dbraw/zinc/52/70/94/1129527094.db2.gz XXZPZZNNQZIGPF-CQSZACIVSA-N 1 2 283.774 3.795 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)s2)C2(CCC2)CO1 ZINC000649822498 1129680073 /nfs/dbraw/zinc/68/00/73/1129680073.db2.gz IIVFOWPICFNJEM-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)s2)C2(CCC2)CO1 ZINC000649822498 1129680076 /nfs/dbraw/zinc/68/00/76/1129680076.db2.gz IIVFOWPICFNJEM-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO CC(C)(C)CC(C)(C)Nc1cc(N2CCCC2)[nH+]cn1 ZINC001169052785 1129692539 /nfs/dbraw/zinc/69/25/39/1129692539.db2.gz SJFKBANYBYQPCA-UHFFFAOYSA-N 1 2 276.428 3.704 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)cnc1Cl ZINC000768168470 1129838376 /nfs/dbraw/zinc/83/83/76/1129838376.db2.gz IJOBQCSJUOXVMR-NSHDSACASA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)cnc1Cl ZINC000768168470 1129838381 /nfs/dbraw/zinc/83/83/81/1129838381.db2.gz IJOBQCSJUOXVMR-NSHDSACASA-N 1 2 292.732 3.818 20 0 CHADLO CSc1ncc(C)cc1C[NH+]1Cc2ccccc2C1 ZINC001238710244 1131236197 /nfs/dbraw/zinc/23/61/97/1131236197.db2.gz BHXMTUXLBGRENG-UHFFFAOYSA-N 1 2 270.401 3.628 20 0 CHADLO CSc1ncc(C)cc1C[N@H+](C)Cc1ccccc1F ZINC001238716505 1131236767 /nfs/dbraw/zinc/23/67/67/1131236767.db2.gz KZSGWYQYSBCKGQ-UHFFFAOYSA-N 1 2 290.407 3.883 20 0 CHADLO CSc1ncc(C)cc1C[N@@H+](C)Cc1ccccc1F ZINC001238716505 1131236774 /nfs/dbraw/zinc/23/67/74/1131236774.db2.gz KZSGWYQYSBCKGQ-UHFFFAOYSA-N 1 2 290.407 3.883 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccco2)c1Cl ZINC000659815808 1126719731 /nfs/dbraw/zinc/71/97/31/1126719731.db2.gz JZWLTGMCWYZCRV-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccco2)c1Cl ZINC000659815808 1126719732 /nfs/dbraw/zinc/71/97/32/1126719732.db2.gz JZWLTGMCWYZCRV-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO CC[C@H]1CCC[C@H]([NH2+]CC(F)(F)Br)C1 ZINC000379584145 1126721078 /nfs/dbraw/zinc/72/10/78/1126721078.db2.gz NXFRHSSLHHXTIU-IUCAKERBSA-N 1 2 270.161 3.533 20 0 CHADLO Clc1ccc(CNc2ccc(N3CCCC3)c[nH+]2)o1 ZINC000338158597 1126721472 /nfs/dbraw/zinc/72/14/72/1126721472.db2.gz WLYQILWVGIFOKX-UHFFFAOYSA-N 1 2 277.755 3.540 20 0 CHADLO CCC[C@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC000481854482 1126723149 /nfs/dbraw/zinc/72/31/49/1126723149.db2.gz GMFZWVBMFHZTKD-INIZCTEOSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cnn(CC(C)C)c2)c1 ZINC000556045142 1126731989 /nfs/dbraw/zinc/73/19/89/1126731989.db2.gz XSWIUNBFIAVTAW-UHFFFAOYSA-N 1 2 289.398 3.619 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cnn(CC(C)C)c2)c1 ZINC000556045142 1126731991 /nfs/dbraw/zinc/73/19/91/1126731991.db2.gz XSWIUNBFIAVTAW-UHFFFAOYSA-N 1 2 289.398 3.619 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)[C@H](C)c1nnc(C)s1 ZINC000338361972 1126738586 /nfs/dbraw/zinc/73/85/86/1126738586.db2.gz PZDPMITYYDKGOT-RSKUSDAESA-N 1 2 287.432 3.943 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)[C@H](C)c1nnc(C)s1 ZINC000338361972 1126738589 /nfs/dbraw/zinc/73/85/89/1126738589.db2.gz PZDPMITYYDKGOT-RSKUSDAESA-N 1 2 287.432 3.943 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)cc2)co1 ZINC000659821749 1126761683 /nfs/dbraw/zinc/76/16/83/1126761683.db2.gz OHDPUMSFXUNQDI-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2ccc(Cl)cc2)co1 ZINC000659821749 1126761686 /nfs/dbraw/zinc/76/16/86/1126761686.db2.gz OHDPUMSFXUNQDI-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)Cc2cc3ccccc3o2)n1 ZINC000175181684 1126778473 /nfs/dbraw/zinc/77/84/73/1126778473.db2.gz XESVRPCNVQNLSD-UHFFFAOYSA-N 1 2 283.375 3.842 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)Cc2cc3ccccc3o2)n1 ZINC000175181684 1126778479 /nfs/dbraw/zinc/77/84/79/1126778479.db2.gz XESVRPCNVQNLSD-UHFFFAOYSA-N 1 2 283.375 3.842 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1cccc(Cl)n1 ZINC000175122113 1126777815 /nfs/dbraw/zinc/77/78/15/1126777815.db2.gz PQAJMDSATZFNGN-HNNXBMFYSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1cccc(Cl)n1 ZINC000175122113 1126777819 /nfs/dbraw/zinc/77/78/19/1126777819.db2.gz PQAJMDSATZFNGN-HNNXBMFYSA-N 1 2 289.810 3.801 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@@H+]1CCOC(C)(C)C1 ZINC000483403928 1126784953 /nfs/dbraw/zinc/78/49/53/1126784953.db2.gz OKTRXECOHHKIOO-NSHDSACASA-N 1 2 298.224 3.621 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@H+]1CCOC(C)(C)C1 ZINC000483403928 1126784956 /nfs/dbraw/zinc/78/49/56/1126784956.db2.gz OKTRXECOHHKIOO-NSHDSACASA-N 1 2 298.224 3.621 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)no1 ZINC000175433293 1126782307 /nfs/dbraw/zinc/78/23/07/1126782307.db2.gz XKIGCDSYNJGOMM-MNOVXSKESA-N 1 2 293.798 3.655 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)[C@@H](C)c2ccc(Cl)cc2)no1 ZINC000175433293 1126782311 /nfs/dbraw/zinc/78/23/11/1126782311.db2.gz XKIGCDSYNJGOMM-MNOVXSKESA-N 1 2 293.798 3.655 20 0 CHADLO CO[C@H](C)C1C[NH+](Cc2c(Cl)ccc(F)c2Cl)C1 ZINC001137359456 1130483883 /nfs/dbraw/zinc/48/38/83/1130483883.db2.gz SHTKUBFSZYAPGW-MRVPVSSYSA-N 1 2 292.181 3.599 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@H+](CC)[C@H](C)c1cccc(O)c1 ZINC000746453290 1126789751 /nfs/dbraw/zinc/78/97/51/1126789751.db2.gz GJWSCKPRYUTCHI-KGLIPLIRSA-N 1 2 293.407 3.507 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@@H+](CC)[C@H](C)c1cccc(O)c1 ZINC000746453290 1126789752 /nfs/dbraw/zinc/78/97/52/1126789752.db2.gz GJWSCKPRYUTCHI-KGLIPLIRSA-N 1 2 293.407 3.507 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679227824 1130505486 /nfs/dbraw/zinc/50/54/86/1130505486.db2.gz TWJMLNHUDFPUQO-BFVZDQMLSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679227824 1130505491 /nfs/dbraw/zinc/50/54/91/1130505491.db2.gz TWJMLNHUDFPUQO-BFVZDQMLSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCOc2c(Cl)cccc21)c1nccs1 ZINC000177924124 1126807187 /nfs/dbraw/zinc/80/71/87/1126807187.db2.gz VLMDPRPNBKPGLM-SKDRFNHKSA-N 1 2 294.807 3.971 20 0 CHADLO C[C@H]([NH2+][C@H]1CCOc2c(Cl)cccc21)c1nccs1 ZINC000177924115 1126807770 /nfs/dbraw/zinc/80/77/70/1126807770.db2.gz VLMDPRPNBKPGLM-CABZTGNLSA-N 1 2 294.807 3.971 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1)C1CC1 ZINC000178461021 1126814670 /nfs/dbraw/zinc/81/46/70/1126814670.db2.gz VYRJYIMZLQUDLA-JTQLQIEISA-N 1 2 289.766 3.510 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](C)c2cc(C)sc2C)n1 ZINC000179371936 1126827425 /nfs/dbraw/zinc/82/74/25/1126827425.db2.gz KAOYUHRZYJDACN-VHSXEESVSA-N 1 2 279.409 3.722 20 0 CHADLO CC[C@@H](C)[N@H+](Cc1cn[nH]c1C)Cc1ccccc1 ZINC000179695584 1126833233 /nfs/dbraw/zinc/83/32/33/1126833233.db2.gz MQRHTPIJINNIJR-CYBMUJFWSA-N 1 2 257.381 3.519 20 0 CHADLO CC[C@@H](C)[N@@H+](Cc1cn[nH]c1C)Cc1ccccc1 ZINC000179695584 1126833235 /nfs/dbraw/zinc/83/32/35/1126833235.db2.gz MQRHTPIJINNIJR-CYBMUJFWSA-N 1 2 257.381 3.519 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)C1CCC1 ZINC000179949970 1126836693 /nfs/dbraw/zinc/83/66/93/1126836693.db2.gz ZHCVQBKMHWCYGS-KGLIPLIRSA-N 1 2 274.408 3.512 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2cccs2)s1 ZINC000180437679 1126843428 /nfs/dbraw/zinc/84/34/28/1126843428.db2.gz WRMADYHBWPYMTB-SNVBAGLBSA-N 1 2 252.408 3.706 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2cccs2)s1 ZINC000180437679 1126843432 /nfs/dbraw/zinc/84/34/32/1126843432.db2.gz WRMADYHBWPYMTB-SNVBAGLBSA-N 1 2 252.408 3.706 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1cc(F)c(F)cc1F ZINC000182065101 1126865201 /nfs/dbraw/zinc/86/52/01/1126865201.db2.gz JTYLWXBIVKNFGN-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1cc(F)c(F)cc1F ZINC000182065101 1126865204 /nfs/dbraw/zinc/86/52/04/1126865204.db2.gz JTYLWXBIVKNFGN-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO CCc1nnc(C[NH2+][C@H](CC)c2cccc(Cl)c2)o1 ZINC000182583672 1126873459 /nfs/dbraw/zinc/87/34/59/1126873459.db2.gz KDXSQMCVOZUHGX-GFCCVEGCSA-N 1 2 279.771 3.526 20 0 CHADLO Fc1cc(-c2c[nH+]c3n2CCCC3)ccc1C(F)F ZINC000904845288 1126879283 /nfs/dbraw/zinc/87/92/83/1126879283.db2.gz YRPALQPPDPBIHY-UHFFFAOYSA-N 1 2 266.266 3.963 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(C(F)F)cc2)no1 ZINC000183925201 1126893435 /nfs/dbraw/zinc/89/34/35/1126893435.db2.gz KGXSUXHZEHSOBK-UHFFFAOYSA-N 1 2 266.291 3.553 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(C(F)F)cc2)no1 ZINC000183925201 1126893438 /nfs/dbraw/zinc/89/34/38/1126893438.db2.gz KGXSUXHZEHSOBK-UHFFFAOYSA-N 1 2 266.291 3.553 20 0 CHADLO c1ncc(CNc2[nH+]c3ccccc3n2CC2CC2)s1 ZINC000905429105 1126902023 /nfs/dbraw/zinc/90/20/23/1126902023.db2.gz FNRJRWDDVMVZOG-UHFFFAOYSA-N 1 2 284.388 3.515 20 0 CHADLO CC(=O)C1CC[NH+](Cc2ccc(C(C)C)nc2Cl)CC1 ZINC001238728314 1131238395 /nfs/dbraw/zinc/23/83/95/1131238395.db2.gz OMOWHYCBNDNSLU-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@@H+](CC1=CCCOC1)CC2 ZINC000668481859 1126912834 /nfs/dbraw/zinc/91/28/34/1126912834.db2.gz LYMVNSNOTOBDRN-UHFFFAOYSA-N 1 2 298.213 3.698 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@H+](CC1=CCCOC1)CC2 ZINC000668481859 1126912836 /nfs/dbraw/zinc/91/28/36/1126912836.db2.gz LYMVNSNOTOBDRN-UHFFFAOYSA-N 1 2 298.213 3.698 20 0 CHADLO CCCc1nc(C[N@H+](CC)[C@@H](C)Cc2ccsc2)no1 ZINC000187788661 1126915118 /nfs/dbraw/zinc/91/51/18/1126915118.db2.gz NUSIGKGYKYIIPH-LBPRGKRZSA-N 1 2 293.436 3.537 20 0 CHADLO CCCc1nc(C[N@@H+](CC)[C@@H](C)Cc2ccsc2)no1 ZINC000187788661 1126915121 /nfs/dbraw/zinc/91/51/21/1126915121.db2.gz NUSIGKGYKYIIPH-LBPRGKRZSA-N 1 2 293.436 3.537 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cc(C)cc(Cl)c1 ZINC001235538637 1130754511 /nfs/dbraw/zinc/75/45/11/1130754511.db2.gz UBZSEGGOPAPQOR-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cc(C)cc(Cl)c1 ZINC001235538637 1130754516 /nfs/dbraw/zinc/75/45/16/1130754516.db2.gz UBZSEGGOPAPQOR-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO COc1c(C)c[nH+]c(CSCC[C@@H]2CCCO2)c1C ZINC000190059334 1126938388 /nfs/dbraw/zinc/93/83/88/1126938388.db2.gz FARSSKPUIVHXPR-ZDUSSCGKSA-N 1 2 281.421 3.509 20 0 CHADLO C[C@@H]1CCC[C@@H]([N@H+](C)Cc2nnc(C(F)(F)F)s2)C1 ZINC000659842790 1126943205 /nfs/dbraw/zinc/94/32/05/1126943205.db2.gz UKMVGCOTVPORLN-RKDXNWHRSA-N 1 2 293.358 3.567 20 0 CHADLO C[C@@H]1CCC[C@@H]([N@@H+](C)Cc2nnc(C(F)(F)F)s2)C1 ZINC000659842790 1126943209 /nfs/dbraw/zinc/94/32/09/1126943209.db2.gz UKMVGCOTVPORLN-RKDXNWHRSA-N 1 2 293.358 3.567 20 0 CHADLO CC(C)C(CNC(=O)c1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000485713108 1126945774 /nfs/dbraw/zinc/94/57/74/1126945774.db2.gz XZGMLKYGDARGJL-UHFFFAOYSA-N 1 2 299.418 3.530 20 0 CHADLO CCCC[NH2+][C@H](c1noc(C)n1)c1ccc(Cl)cc1 ZINC000906527354 1126957101 /nfs/dbraw/zinc/95/71/01/1126957101.db2.gz FZARBEARZAXAAF-ZDUSSCGKSA-N 1 2 279.771 3.511 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1cocn1)c1ccc(F)cc1F ZINC000192325269 1126960872 /nfs/dbraw/zinc/96/08/72/1126960872.db2.gz KRMXPTIZLZUEEE-AWEZNQCLSA-N 1 2 280.318 3.830 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2sccc2Cl)cc1O ZINC000194353083 1126974239 /nfs/dbraw/zinc/97/42/39/1126974239.db2.gz LWCHDAJISJTJOV-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2sccc2Cl)cc1O ZINC000194353083 1126974241 /nfs/dbraw/zinc/97/42/41/1126974241.db2.gz LWCHDAJISJTJOV-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO Fc1ccc([C@@H]2CCCC[N@@H+]2Cc2ccon2)cc1 ZINC000267710377 1127005161 /nfs/dbraw/zinc/00/51/61/1127005161.db2.gz WKCCQRJGYFQJFL-HNNXBMFYSA-N 1 2 260.312 3.541 20 0 CHADLO Fc1ccc([C@@H]2CCCC[N@H+]2Cc2ccon2)cc1 ZINC000267710377 1127005164 /nfs/dbraw/zinc/00/51/64/1127005164.db2.gz WKCCQRJGYFQJFL-HNNXBMFYSA-N 1 2 260.312 3.541 20 0 CHADLO CCC(C)(CC)NC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000668706675 1127008945 /nfs/dbraw/zinc/00/89/45/1127008945.db2.gz VMNOMOGCDGQMRR-OAHLLOKOSA-N 1 2 299.418 3.627 20 0 CHADLO C[C@H]1CC(c2noc([C@@H]3C[C@H]3c3c[nH]c[nH+]3)n2)C[C@H](C)C1 ZINC000923959160 1127011124 /nfs/dbraw/zinc/01/11/24/1127011124.db2.gz HGASRRJNRYNPAL-FPQZTECRSA-N 1 2 286.379 3.604 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nnc(C(C)(C)C)s2)cc1F ZINC000268194415 1127013176 /nfs/dbraw/zinc/01/31/76/1127013176.db2.gz LHABOHJMUPHMJO-UHFFFAOYSA-N 1 2 293.411 3.573 20 0 CHADLO Cc1cc(C[NH2+]Cc2nnc(C(C)(C)C)s2)ccc1F ZINC000268234137 1127014123 /nfs/dbraw/zinc/01/41/23/1127014123.db2.gz VIJDNALLJVXHAS-UHFFFAOYSA-N 1 2 293.411 3.573 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cc(F)ccc1OC(F)F ZINC000659851522 1127015669 /nfs/dbraw/zinc/01/56/69/1127015669.db2.gz IGPZVMAUOYHUOI-UHFFFAOYSA-N 1 2 285.265 3.652 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cc(F)ccc1OC(F)F ZINC000659851522 1127015674 /nfs/dbraw/zinc/01/56/74/1127015674.db2.gz IGPZVMAUOYHUOI-UHFFFAOYSA-N 1 2 285.265 3.652 20 0 CHADLO Cc1csc(C[N@@H+](C)[C@H]2CCCc3nc(C)sc32)n1 ZINC000268699426 1127020510 /nfs/dbraw/zinc/02/05/10/1127020510.db2.gz UTVNAVMBGDESTB-LBPRGKRZSA-N 1 2 293.461 3.726 20 0 CHADLO Cc1csc(C[N@H+](C)[C@H]2CCCc3nc(C)sc32)n1 ZINC000268699426 1127020515 /nfs/dbraw/zinc/02/05/15/1127020515.db2.gz UTVNAVMBGDESTB-LBPRGKRZSA-N 1 2 293.461 3.726 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+]1CCO[C@@H](CC(F)(F)F)C1 ZINC000668768590 1127027038 /nfs/dbraw/zinc/02/70/38/1127027038.db2.gz PUMHUIPXXGANAW-GLNPCMGASA-N 1 2 299.336 3.743 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+]1CCO[C@@H](CC(F)(F)F)C1 ZINC000668768590 1127027039 /nfs/dbraw/zinc/02/70/39/1127027039.db2.gz PUMHUIPXXGANAW-GLNPCMGASA-N 1 2 299.336 3.743 20 0 CHADLO Cc1sccc1C[N@H+]1C[C@@H](C)O[C@@H](CC(F)(F)F)C1 ZINC000668768173 1127027271 /nfs/dbraw/zinc/02/72/71/1127027271.db2.gz MDYQDSUMPXJEQC-SKDRFNHKSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1sccc1C[N@@H+]1C[C@@H](C)O[C@@H](CC(F)(F)F)C1 ZINC000668768173 1127027272 /nfs/dbraw/zinc/02/72/72/1127027272.db2.gz MDYQDSUMPXJEQC-SKDRFNHKSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1nnc(C[N@@H+](C)CCc2ccc(C(C)C)cc2)s1 ZINC000668773870 1127028384 /nfs/dbraw/zinc/02/83/84/1127028384.db2.gz GNXJLVDXKDCTRW-UHFFFAOYSA-N 1 2 289.448 3.644 20 0 CHADLO Cc1nnc(C[N@H+](C)CCc2ccc(C(C)C)cc2)s1 ZINC000668773870 1127028387 /nfs/dbraw/zinc/02/83/87/1127028387.db2.gz GNXJLVDXKDCTRW-UHFFFAOYSA-N 1 2 289.448 3.644 20 0 CHADLO Cc1cc2cc([C@@H](C)[NH2+][C@H](C)c3nncn3C)oc2cc1C ZINC000268954837 1127029982 /nfs/dbraw/zinc/02/99/82/1127029982.db2.gz YNWCZWSUPTWSRZ-CHWSQXEVSA-N 1 2 298.390 3.590 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](C)c2csc(C)n2)cc1F ZINC000269428741 1127039397 /nfs/dbraw/zinc/03/93/97/1127039397.db2.gz OSEOMLPTAASYCL-JTQLQIEISA-N 1 2 294.395 3.840 20 0 CHADLO CC[C@@H](C)C(=O)Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000270507483 1127056566 /nfs/dbraw/zinc/05/65/66/1127056566.db2.gz RDFZYIJGXIVCAV-CYBMUJFWSA-N 1 2 295.386 3.586 20 0 CHADLO CSc1ccc(CSCCn2cc[nH+]c2)cc1 ZINC000270522543 1127056751 /nfs/dbraw/zinc/05/67/51/1127056751.db2.gz RTAROALSNNDMFY-UHFFFAOYSA-N 1 2 264.419 3.538 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncc(C3CC3)o2)s1 ZINC000270602749 1127058503 /nfs/dbraw/zinc/05/85/03/1127058503.db2.gz LYAITVIPXDJHMY-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncc(C3CC3)o2)s1 ZINC000270602749 1127058507 /nfs/dbraw/zinc/05/85/07/1127058507.db2.gz LYAITVIPXDJHMY-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000270642299 1127060115 /nfs/dbraw/zinc/06/01/15/1127060115.db2.gz RYUBIDILIKLGJD-GFCCVEGCSA-N 1 2 256.349 3.745 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000270642299 1127060118 /nfs/dbraw/zinc/06/01/18/1127060118.db2.gz RYUBIDILIKLGJD-GFCCVEGCSA-N 1 2 256.349 3.745 20 0 CHADLO Cn1ccc(C[N@@H+]2CCCC[C@@H]2c2cc3ccccc3[nH]2)n1 ZINC000270819430 1127062705 /nfs/dbraw/zinc/06/27/05/1127062705.db2.gz QUTSGWANIWMJHQ-GOSISDBHSA-N 1 2 294.402 3.629 20 0 CHADLO Cn1ccc(C[N@H+]2CCCC[C@@H]2c2cc3ccccc3[nH]2)n1 ZINC000270819430 1127062708 /nfs/dbraw/zinc/06/27/08/1127062708.db2.gz QUTSGWANIWMJHQ-GOSISDBHSA-N 1 2 294.402 3.629 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@@H+]1CCC[C@@H]1c1ccncc1 ZINC000271022655 1127064927 /nfs/dbraw/zinc/06/49/27/1127064927.db2.gz OLEHSTKDRVXKGV-GOSISDBHSA-N 1 2 296.418 3.730 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@H+]1CCC[C@@H]1c1ccncc1 ZINC000271022655 1127064932 /nfs/dbraw/zinc/06/49/32/1127064932.db2.gz OLEHSTKDRVXKGV-GOSISDBHSA-N 1 2 296.418 3.730 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2ncc(C3CC3)o2)CC1 ZINC000645980460 1127078585 /nfs/dbraw/zinc/07/85/85/1127078585.db2.gz JLPZNCXZOKPBSQ-UHFFFAOYSA-N 1 2 268.360 3.639 20 0 CHADLO CCc1cccc2c1OCC[C@H]2[NH2+][C@@H](C)c1nccs1 ZINC000271501053 1127087790 /nfs/dbraw/zinc/08/77/90/1127087790.db2.gz QOZLWAVQURRSIF-SMDDNHRTSA-N 1 2 288.416 3.880 20 0 CHADLO CC(C)c1cccc(C(C)C)c1NC(=O)C[C@@H]([NH3+])C(F)F ZINC000911915901 1127088568 /nfs/dbraw/zinc/08/85/68/1127088568.db2.gz KKZVMTYOKTVAPF-CYBMUJFWSA-N 1 2 298.377 3.854 20 0 CHADLO CCCC(=CC(=O)N1CCC(n2cc[nH+]c2)CC1)CCC ZINC000271523541 1127089087 /nfs/dbraw/zinc/08/90/87/1127089087.db2.gz QOAMZZDKORVGQE-UHFFFAOYSA-N 1 2 289.423 3.573 20 0 CHADLO CCn1nc(C[N@H+](C)[C@H](C)c2ccccn2)c2ccccc21 ZINC000274460040 1127120213 /nfs/dbraw/zinc/12/02/13/1127120213.db2.gz HEERGLZVVJXSDW-CQSZACIVSA-N 1 2 294.402 3.644 20 0 CHADLO CCn1nc(C[N@@H+](C)[C@H](C)c2ccccn2)c2ccccc21 ZINC000274460040 1127120218 /nfs/dbraw/zinc/12/02/18/1127120218.db2.gz HEERGLZVVJXSDW-CQSZACIVSA-N 1 2 294.402 3.644 20 0 CHADLO Cc1cc(Nc2ccc([NH+]3CCCCC3)cc2)nc(C)n1 ZINC000913029802 1127120538 /nfs/dbraw/zinc/12/05/38/1127120538.db2.gz YHYWUEBKDOQBBU-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC=C(C(C)(C)C)CC2)s1 ZINC000283052338 1127120967 /nfs/dbraw/zinc/12/09/67/1127120967.db2.gz JAZXGYXDILLBMP-SNVBAGLBSA-N 1 2 265.426 3.586 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(C(C)(C)C)CC2)s1 ZINC000283052338 1127120970 /nfs/dbraw/zinc/12/09/70/1127120970.db2.gz JAZXGYXDILLBMP-SNVBAGLBSA-N 1 2 265.426 3.586 20 0 CHADLO C[C@@H](Nc1ccc(Cn2cc[nH+]c2)cn1)C1C(C)(C)C1(C)C ZINC000348834517 1127130547 /nfs/dbraw/zinc/13/05/47/1127130547.db2.gz QPXJEUDMYVYCPZ-CYBMUJFWSA-N 1 2 298.434 3.809 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[N@@H+]2CCOc3cc(O)ccc3C2)o1 ZINC000348880967 1127132478 /nfs/dbraw/zinc/13/24/78/1127132478.db2.gz WHISLERVQMCZJZ-LRDDRELGSA-N 1 2 299.370 3.503 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[N@H+]2CCOc3cc(O)ccc3C2)o1 ZINC000348880967 1127132481 /nfs/dbraw/zinc/13/24/81/1127132481.db2.gz WHISLERVQMCZJZ-LRDDRELGSA-N 1 2 299.370 3.503 20 0 CHADLO S=C1C=COC1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC001237184981 1131063765 /nfs/dbraw/zinc/06/37/65/1131063765.db2.gz RYHFJAHQMBUXKN-UHFFFAOYSA-N 1 2 265.765 3.738 20 0 CHADLO S=C1C=COC1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC001237184981 1131063771 /nfs/dbraw/zinc/06/37/71/1131063771.db2.gz RYHFJAHQMBUXKN-UHFFFAOYSA-N 1 2 265.765 3.738 20 0 CHADLO Cc1cc(CNc2ccc([NH+](C)C)cc2C)c(C)o1 ZINC000163710529 1127139397 /nfs/dbraw/zinc/13/93/97/1127139397.db2.gz FVBKAPWFGGRQKB-UHFFFAOYSA-N 1 2 258.365 3.883 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(Cl)c1)c1ccon1 ZINC000349238491 1127144636 /nfs/dbraw/zinc/14/46/36/1127144636.db2.gz KBNOWPJZBCQVLP-NXEZZACHSA-N 1 2 250.729 3.740 20 0 CHADLO Cc1noc([C@H](C)[N@H+]2CC=C(c3ccc(C)cc3)CC2)n1 ZINC000044586241 1127154243 /nfs/dbraw/zinc/15/42/43/1127154243.db2.gz IYZKDYQTTSVXRM-ZDUSSCGKSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1noc([C@H](C)[N@@H+]2CC=C(c3ccc(C)cc3)CC2)n1 ZINC000044586241 1127154246 /nfs/dbraw/zinc/15/42/46/1127154246.db2.gz IYZKDYQTTSVXRM-ZDUSSCGKSA-N 1 2 283.375 3.537 20 0 CHADLO C[C@H]([NH2+][C@H]1CC2(CCC2)Oc2ccccc21)c1ccon1 ZINC000349835841 1127164189 /nfs/dbraw/zinc/16/41/89/1127164189.db2.gz RBSFWEGIPUSQIS-WFASDCNBSA-N 1 2 284.359 3.772 20 0 CHADLO Cc1nc(N[C@@H]2CC=C(c3ccc(F)cc3)CC2)cc[nH+]1 ZINC000349896846 1127166916 /nfs/dbraw/zinc/16/69/16/1127166916.db2.gz WQAFHARWSOEBFI-MRXNPFEDSA-N 1 2 283.350 3.972 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2ccc(Cl)o2)C1 ZINC000350088070 1127172222 /nfs/dbraw/zinc/17/22/22/1127172222.db2.gz UTSQHDGEOFEGAP-MRVPVSSYSA-N 1 2 267.678 3.707 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2ccc(Cl)o2)C1 ZINC000350088070 1127172224 /nfs/dbraw/zinc/17/22/24/1127172224.db2.gz UTSQHDGEOFEGAP-MRVPVSSYSA-N 1 2 267.678 3.707 20 0 CHADLO CC(C)(C)c1cc(=[NH+]c2ccncc2Cl)s[nH]1 ZINC001212150904 1127175190 /nfs/dbraw/zinc/17/51/90/1127175190.db2.gz KSYLNRVUQFAREE-UHFFFAOYSA-N 1 2 267.785 3.655 20 0 CHADLO Cc1c[nH+]c2cc(-c3nc(CCC(C)(C)C)no3)ccn12 ZINC000571775642 1127177579 /nfs/dbraw/zinc/17/75/79/1127177579.db2.gz CGYJDGGEVKORAC-UHFFFAOYSA-N 1 2 284.363 3.671 20 0 CHADLO Cc1[nH]ncc1C[N@H+](Cc1cccc(F)c1)C1CCCC1 ZINC000351667218 1127201834 /nfs/dbraw/zinc/20/18/34/1127201834.db2.gz ZJNBZLAAPOINEQ-UHFFFAOYSA-N 1 2 287.382 3.802 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](Cc1cccc(F)c1)C1CCCC1 ZINC000351667218 1127201837 /nfs/dbraw/zinc/20/18/37/1127201837.db2.gz ZJNBZLAAPOINEQ-UHFFFAOYSA-N 1 2 287.382 3.802 20 0 CHADLO CC[C@@H]1CCC[C@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000164960267 1127204128 /nfs/dbraw/zinc/20/41/28/1127204128.db2.gz PTRUXNYYTYGLMS-RHSMWYFYSA-N 1 2 274.408 3.514 20 0 CHADLO CC[C@H]1CCC[C@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000164960380 1127204336 /nfs/dbraw/zinc/20/43/36/1127204336.db2.gz PTRUXNYYTYGLMS-WMLDXEAASA-N 1 2 274.408 3.514 20 0 CHADLO CC(C)CCCCCC(=O)Nc1ccn2cc[nH+]c2c1 ZINC001274961319 1127209233 /nfs/dbraw/zinc/20/92/33/1127209233.db2.gz KPPUMCCJFMXNAE-UHFFFAOYSA-N 1 2 273.380 3.879 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)s1)c1c(F)cncc1F ZINC000285475075 1127230796 /nfs/dbraw/zinc/23/07/96/1127230796.db2.gz KYWJJJVMFPCTEE-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO CC(C)CC[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000589599021 1127230855 /nfs/dbraw/zinc/23/08/55/1127230855.db2.gz AAOSBHLZGDKLOP-OAHLLOKOSA-N 1 2 285.790 3.899 20 0 CHADLO CC(C)CC[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000589599021 1127230859 /nfs/dbraw/zinc/23/08/59/1127230859.db2.gz AAOSBHLZGDKLOP-OAHLLOKOSA-N 1 2 285.790 3.899 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2n[nH]c3ccccc32)cc1 ZINC000589643305 1127231742 /nfs/dbraw/zinc/23/17/42/1127231742.db2.gz SOEBRPCWAOGDKY-ZDUSSCGKSA-N 1 2 265.360 3.722 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)s1)c1c(F)cncc1F ZINC000285475078 1127231375 /nfs/dbraw/zinc/23/13/75/1127231375.db2.gz KYWJJJVMFPCTEE-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO CCC[N@H+](CC(=O)OC(C)(C)C)Cc1ccsc1C ZINC000352893619 1127248911 /nfs/dbraw/zinc/24/89/11/1127248911.db2.gz OWKAQWZKYCBLTK-UHFFFAOYSA-N 1 2 283.437 3.610 20 0 CHADLO CCC[N@@H+](CC(=O)OC(C)(C)C)Cc1ccsc1C ZINC000352893619 1127248912 /nfs/dbraw/zinc/24/89/12/1127248912.db2.gz OWKAQWZKYCBLTK-UHFFFAOYSA-N 1 2 283.437 3.610 20 0 CHADLO FC1(F)C[C@@]12CC[N@H+](Cc1cncc(Cl)c1Cl)C2 ZINC001238008739 1131160839 /nfs/dbraw/zinc/16/08/39/1131160839.db2.gz LRAZLLPUZQAQOM-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@@]12CC[N@@H+](Cc1cncc(Cl)c1Cl)C2 ZINC001238008739 1131160841 /nfs/dbraw/zinc/16/08/41/1131160841.db2.gz LRAZLLPUZQAQOM-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO CCc1ccc(C[NH2+]C2(C(F)F)CCCCC2)cn1 ZINC000353036080 1127258715 /nfs/dbraw/zinc/25/87/15/1127258715.db2.gz FAQKEHMAWKLVDA-UHFFFAOYSA-N 1 2 268.351 3.702 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2ccsc2)cc(C)[nH+]1 ZINC000286186498 1127262016 /nfs/dbraw/zinc/26/20/16/1127262016.db2.gz QMONQJMEDATBPC-CYBMUJFWSA-N 1 2 273.405 3.750 20 0 CHADLO C[C@H](O)C[C@H]1CCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000286241274 1127263881 /nfs/dbraw/zinc/26/38/81/1127263881.db2.gz ORTNKOGFQHTLAN-NWDGAFQWSA-N 1 2 290.794 3.628 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc(F)c(F)c1 ZINC000057667900 1127271814 /nfs/dbraw/zinc/27/18/14/1127271814.db2.gz GHGIVRVVNQAQNU-UHFFFAOYSA-N 1 2 276.330 3.951 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cccc(Cl)c2F)n1 ZINC000353742464 1127273658 /nfs/dbraw/zinc/27/36/58/1127273658.db2.gz AAHZEJXXPPSXTK-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cccc(Cl)c2F)n1 ZINC000353742464 1127273661 /nfs/dbraw/zinc/27/36/61/1127273661.db2.gz AAHZEJXXPPSXTK-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COC(=O)[C@H](c1ccccc1C)[N@@H+]1CC[C@H]1c1ccccc1 ZINC000466813738 1127275782 /nfs/dbraw/zinc/27/57/82/1127275782.db2.gz FHHXHYGEWUAPSX-ROUUACIJSA-N 1 2 295.382 3.656 20 0 CHADLO COC(=O)[C@H](c1ccccc1C)[N@H+]1CC[C@H]1c1ccccc1 ZINC000466813738 1127275784 /nfs/dbraw/zinc/27/57/84/1127275784.db2.gz FHHXHYGEWUAPSX-ROUUACIJSA-N 1 2 295.382 3.656 20 0 CHADLO C=C(Br)C[N@@H+]1CCc2sccc2[C@H]1CC ZINC000057753284 1127277862 /nfs/dbraw/zinc/27/78/62/1127277862.db2.gz JPCCZSCWRQFGQC-LLVKDONJSA-N 1 2 286.238 3.966 20 0 CHADLO C=C(Br)C[N@H+]1CCc2sccc2[C@H]1CC ZINC000057753284 1127277864 /nfs/dbraw/zinc/27/78/64/1127277864.db2.gz JPCCZSCWRQFGQC-LLVKDONJSA-N 1 2 286.238 3.966 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(OC)c(C)c2)s1 ZINC000354026113 1127286615 /nfs/dbraw/zinc/28/66/15/1127286615.db2.gz NRWZMOSKBVDHSA-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(OC)c(C)c2)s1 ZINC000354026113 1127286616 /nfs/dbraw/zinc/28/66/16/1127286616.db2.gz NRWZMOSKBVDHSA-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@H](C)c2ccc(C)o2)c(F)cn1 ZINC000287093519 1127288462 /nfs/dbraw/zinc/28/84/62/1127288462.db2.gz WHCYNCUQEZTLMV-WDEREUQCSA-N 1 2 278.327 3.543 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@@H](C)c2ccc(C)o2)c(F)cn1 ZINC000287093517 1127288528 /nfs/dbraw/zinc/28/85/28/1127288528.db2.gz WHCYNCUQEZTLMV-QWRGUYRKSA-N 1 2 278.327 3.543 20 0 CHADLO CCCn1cc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000354118932 1127295257 /nfs/dbraw/zinc/29/52/57/1127295257.db2.gz GRWVGFHNOCYZEA-INIZCTEOSA-N 1 2 289.810 3.894 20 0 CHADLO CCCn1cc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000354118932 1127295261 /nfs/dbraw/zinc/29/52/61/1127295261.db2.gz GRWVGFHNOCYZEA-INIZCTEOSA-N 1 2 289.810 3.894 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)CCC(F)(F)F ZINC000591376243 1127299845 /nfs/dbraw/zinc/29/98/45/1127299845.db2.gz XDKZRPGTMQMOHT-MRVPVSSYSA-N 1 2 267.241 3.910 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)CCC(F)(F)F ZINC000591376243 1127299846 /nfs/dbraw/zinc/29/98/46/1127299846.db2.gz XDKZRPGTMQMOHT-MRVPVSSYSA-N 1 2 267.241 3.910 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ncc(Cl)s1 ZINC000225319622 1127313880 /nfs/dbraw/zinc/31/38/80/1127313880.db2.gz XGOVTPAAXMHIJF-UHFFFAOYSA-N 1 2 281.812 3.783 20 0 CHADLO CCC(C)(C)[C@@H]1CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000573577883 1127319540 /nfs/dbraw/zinc/31/95/40/1127319540.db2.gz XUNMONRHVWXDIQ-HNNXBMFYSA-N 1 2 299.418 3.684 20 0 CHADLO COc1ccc[nH+]c1N[C@@H](C)[C@@H]1C[C@H]1c1cccs1 ZINC000669421436 1127323602 /nfs/dbraw/zinc/32/36/02/1127323602.db2.gz XDERSNAXPHRQRP-SDDRHHMPSA-N 1 2 274.389 3.756 20 0 CHADLO Cc1ccc2oc(C(=O)Nc3ccn4cc[nH+]c4c3)cc2c1 ZINC000355349041 1127334969 /nfs/dbraw/zinc/33/49/69/1127334969.db2.gz XBODZHMCXYEVJV-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000355379608 1127337333 /nfs/dbraw/zinc/33/73/33/1127337333.db2.gz UMMVPTUICSHZKM-ZDUSSCGKSA-N 1 2 299.418 3.875 20 0 CHADLO CCc1nnc([C@H](C)[N@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000355379608 1127337335 /nfs/dbraw/zinc/33/73/35/1127337335.db2.gz UMMVPTUICSHZKM-ZDUSSCGKSA-N 1 2 299.418 3.875 20 0 CHADLO Cc1c(COC(C)(C)C)cccc1NCc1c[nH+]cn1C ZINC000355400071 1127339472 /nfs/dbraw/zinc/33/94/72/1127339472.db2.gz OVDXYWUNAZICLT-UHFFFAOYSA-N 1 2 287.407 3.656 20 0 CHADLO COc1cncc(C[N@@H+]2CC(C)(C)[C@H]2c2cccs2)c1 ZINC000288563653 1127347810 /nfs/dbraw/zinc/34/78/10/1127347810.db2.gz AFYJMLXWJLIVBE-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO COc1cncc(C[N@H+]2CC(C)(C)[C@H]2c2cccs2)c1 ZINC000288563653 1127347814 /nfs/dbraw/zinc/34/78/14/1127347814.db2.gz AFYJMLXWJLIVBE-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO Cc1nccc(Nc2c[nH+]c(N3CCCC[C@H]3C)c(C)c2)n1 ZINC000355569901 1127349713 /nfs/dbraw/zinc/34/97/13/1127349713.db2.gz VCCGCGARMKHIAJ-CYBMUJFWSA-N 1 2 297.406 3.611 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CCCc3sccc3C2)n1 ZINC000592982784 1127366188 /nfs/dbraw/zinc/36/61/88/1127366188.db2.gz HBSHILMPKBRPTK-NSHDSACASA-N 1 2 291.420 3.764 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CCCc3sccc3C2)n1 ZINC000592982784 1127366192 /nfs/dbraw/zinc/36/61/92/1127366192.db2.gz HBSHILMPKBRPTK-NSHDSACASA-N 1 2 291.420 3.764 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCCc3sccc3C2)o1 ZINC000592983582 1127366300 /nfs/dbraw/zinc/36/63/00/1127366300.db2.gz KYLWUVKONCZJSU-UHFFFAOYSA-N 1 2 290.432 3.982 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCCc3sccc3C2)o1 ZINC000592983582 1127366302 /nfs/dbraw/zinc/36/63/02/1127366302.db2.gz KYLWUVKONCZJSU-UHFFFAOYSA-N 1 2 290.432 3.982 20 0 CHADLO Cc1cc(N2CC[C@@H](C(F)(F)F)[C@H]2C)nc(C(C)C)[nH+]1 ZINC000480550224 1127373369 /nfs/dbraw/zinc/37/33/69/1127373369.db2.gz GFTVETRGAOITRE-GHMZBOCLSA-N 1 2 287.329 3.686 20 0 CHADLO C[C@@H](C1CCC1)[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000357018718 1127386359 /nfs/dbraw/zinc/38/63/59/1127386359.db2.gz BEPNGWXPUMSWHX-LBPRGKRZSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H](C1CCC1)[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000357018718 1127386362 /nfs/dbraw/zinc/38/63/62/1127386362.db2.gz BEPNGWXPUMSWHX-LBPRGKRZSA-N 1 2 277.412 3.738 20 0 CHADLO CC/C=C\CC[N@@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000466825505 1127385126 /nfs/dbraw/zinc/38/51/26/1127385126.db2.gz NAQGWSIAJZTVPO-MJSXRHKHSA-N 1 2 281.346 3.694 20 0 CHADLO CC/C=C\CC[N@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000466825505 1127385130 /nfs/dbraw/zinc/38/51/30/1127385130.db2.gz NAQGWSIAJZTVPO-MJSXRHKHSA-N 1 2 281.346 3.694 20 0 CHADLO COCc1cc[nH+]c(NCCSCc2ccccc2)c1 ZINC000593697000 1127394723 /nfs/dbraw/zinc/39/47/23/1127394723.db2.gz JQNOIKIEQOOTNG-UHFFFAOYSA-N 1 2 288.416 3.573 20 0 CHADLO C[C@@H]([NH2+]Cc1cn2ccsc2n1)c1cc(F)ccc1F ZINC000358103445 1127402736 /nfs/dbraw/zinc/40/27/36/1127402736.db2.gz WPOAWLLGBGXZMX-SECBINFHSA-N 1 2 293.342 3.525 20 0 CHADLO CCC(C)(C)CC[S@](=O)Cc1ccc(C)[nH+]c1C ZINC000358139354 1127403902 /nfs/dbraw/zinc/40/39/02/1127403902.db2.gz RGZVNKPDNXHQKF-SFHVURJKSA-N 1 2 267.438 3.773 20 0 CHADLO Cc1cc(C)cc(CNc2ccc([NH+](C)C)cc2)c1 ZINC000058946931 1127404916 /nfs/dbraw/zinc/40/49/16/1127404916.db2.gz AFRXUHJVVNNOGE-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)c(Cl)c2)[nH]1 ZINC000358235500 1127409445 /nfs/dbraw/zinc/40/94/45/1127409445.db2.gz IOURILSGQSWBIX-IUCAKERBSA-N 1 2 296.777 3.571 20 0 CHADLO Cn1c[nH+]cc1CSCCCCc1ccccc1 ZINC000358844077 1127435505 /nfs/dbraw/zinc/43/55/05/1127435505.db2.gz XOKPUPUULVBDDM-UHFFFAOYSA-N 1 2 260.406 3.676 20 0 CHADLO CC(C)C1C[NH+](Cc2c(F)cccc2OC(F)(F)F)C1 ZINC001308721808 1127437143 /nfs/dbraw/zinc/43/71/43/1127437143.db2.gz VKKWKVRQBGAGML-UHFFFAOYSA-N 1 2 291.288 3.812 20 0 CHADLO C[C@H](CSCCF)Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000595022712 1127442624 /nfs/dbraw/zinc/44/26/24/1127442624.db2.gz MTIOCNNWZPCHFV-CYBMUJFWSA-N 1 2 297.443 3.575 20 0 CHADLO Fc1c[nH+]ccc1NCc1cnc(C2CCCCC2)s1 ZINC000574952167 1127460256 /nfs/dbraw/zinc/46/02/56/1127460256.db2.gz RMXTZPTUSKEYFT-UHFFFAOYSA-N 1 2 291.395 3.759 20 0 CHADLO CCC[C@H](CC)[S@](=O)Cc1ccc(C)[nH+]c1C ZINC000359419151 1127462411 /nfs/dbraw/zinc/46/24/11/1127462411.db2.gz YRDXMRQRZXEOAD-WMLDXEAASA-N 1 2 253.411 3.526 20 0 CHADLO Cc1nc(C[N@H+](C)CC2CCC(C(C)(C)C)CC2)no1 ZINC000359519286 1127466481 /nfs/dbraw/zinc/46/64/81/1127466481.db2.gz FRFIXNWMYZGYIQ-UHFFFAOYSA-N 1 2 279.428 3.662 20 0 CHADLO Cc1nc(C[N@@H+](C)CC2CCC(C(C)(C)C)CC2)no1 ZINC000359519286 1127466483 /nfs/dbraw/zinc/46/64/83/1127466483.db2.gz FRFIXNWMYZGYIQ-UHFFFAOYSA-N 1 2 279.428 3.662 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1ccc(F)c(C)c1F ZINC001231477523 1127472869 /nfs/dbraw/zinc/47/28/69/1127472869.db2.gz JQSIPCXTRIGNNQ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1ccc(F)c(C)c1F ZINC001231477523 1127472871 /nfs/dbraw/zinc/47/28/71/1127472871.db2.gz JQSIPCXTRIGNNQ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO Cc1ccc([C@H](Nc2cc[nH+]c(C)n2)c2ccccn2)cc1 ZINC000359903196 1127482783 /nfs/dbraw/zinc/48/27/83/1127482783.db2.gz RFMILLUFZQYCKE-SFHVURJKSA-N 1 2 290.370 3.690 20 0 CHADLO FC(F)Oc1ccc([C@@H]2C[C@H]2Nc2cccc[nH+]2)cc1 ZINC000360052594 1127487579 /nfs/dbraw/zinc/48/75/79/1127487579.db2.gz IHUDIXVRMNNQDM-QWHCGFSZSA-N 1 2 276.286 3.651 20 0 CHADLO Cc1nc(N2CCC(c3cccc(Cl)c3)CC2)cc[nH+]1 ZINC000360053432 1127487753 /nfs/dbraw/zinc/48/77/53/1127487753.db2.gz KIWRYIYEVUZRDM-UHFFFAOYSA-N 1 2 287.794 3.822 20 0 CHADLO Cc1cc(N2CC(c3ccccc3F)C2)nc(C(C)C)[nH+]1 ZINC000360106253 1127489879 /nfs/dbraw/zinc/48/98/79/1127489879.db2.gz YLCNYFUWFDUJJK-UHFFFAOYSA-N 1 2 285.366 3.651 20 0 CHADLO C[C@H]([NH2+][C@H](CC(F)(F)F)c1ccccc1)c1cnccn1 ZINC000360147841 1127490709 /nfs/dbraw/zinc/49/07/09/1127490709.db2.gz HWZGGVNVVWJQLE-WCQYABFASA-N 1 2 295.308 3.821 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1cccc(O)c1Cl ZINC000293583257 1127506744 /nfs/dbraw/zinc/50/67/44/1127506744.db2.gz JWFLAUUTPKGRIJ-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1cccc(O)c1Cl ZINC000293583257 1127506746 /nfs/dbraw/zinc/50/67/46/1127506746.db2.gz JWFLAUUTPKGRIJ-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO Oc1cccc(C[N@@H+]2CCC[C@H]2c2ccncc2)c1Cl ZINC000293777196 1127520035 /nfs/dbraw/zinc/52/00/35/1127520035.db2.gz BOTURBBOJCRDOG-AWEZNQCLSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cccc(C[N@H+]2CCC[C@H]2c2ccncc2)c1Cl ZINC000293777196 1127520037 /nfs/dbraw/zinc/52/00/37/1127520037.db2.gz BOTURBBOJCRDOG-AWEZNQCLSA-N 1 2 288.778 3.778 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2)[C@@H]1c1ccncc1 ZINC000293818446 1127523317 /nfs/dbraw/zinc/52/33/17/1127523317.db2.gz FQWUGWZMMFILHN-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2)[C@@H]1c1ccncc1 ZINC000293818446 1127523320 /nfs/dbraw/zinc/52/33/20/1127523320.db2.gz FQWUGWZMMFILHN-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccc(SC(C)C)cc2)cc[nH+]1 ZINC000361009517 1127529113 /nfs/dbraw/zinc/52/91/13/1127529113.db2.gz KYHMCCRVGVBFIT-LBPRGKRZSA-N 1 2 287.432 3.881 20 0 CHADLO Cc1ccccc1NC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000361071694 1127535584 /nfs/dbraw/zinc/53/55/84/1127535584.db2.gz CCEMGDKEEXFMMC-CABCVRRESA-N 1 2 294.398 3.899 20 0 CHADLO Cc1ccccc1NC(=O)[C@H](C)[N@H+]1Cc2ccccc2[C@H]1C ZINC000361071694 1127535587 /nfs/dbraw/zinc/53/55/87/1127535587.db2.gz CCEMGDKEEXFMMC-CABCVRRESA-N 1 2 294.398 3.899 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1ccc(Sc2ccccn2)o1 ZINC000293946117 1127535768 /nfs/dbraw/zinc/53/57/68/1127535768.db2.gz IMCGZBVZFALQPQ-LBPRGKRZSA-N 1 2 292.379 3.760 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1ccc(Sc2ccccn2)o1 ZINC000293946117 1127535770 /nfs/dbraw/zinc/53/57/70/1127535770.db2.gz IMCGZBVZFALQPQ-LBPRGKRZSA-N 1 2 292.379 3.760 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccc(CC(C)C)cc2)cc[nH+]1 ZINC000361162380 1127543272 /nfs/dbraw/zinc/54/32/72/1127543272.db2.gz SRVLTHISXGWHQM-ZDUSSCGKSA-N 1 2 269.392 3.579 20 0 CHADLO CC[C@@]1(C)CCCN(c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000361181307 1127544419 /nfs/dbraw/zinc/54/44/19/1127544419.db2.gz ZOKFJWVXHPUBBB-INIZCTEOSA-N 1 2 259.397 3.679 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)c(F)cc(F)c1F)c1cccc(O)c1 ZINC000669616328 1127548502 /nfs/dbraw/zinc/54/85/02/1127548502.db2.gz URYUKHJWRXARQT-MRVPVSSYSA-N 1 2 299.267 3.799 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@@H]3C[C@@H]3C)cc2)c1C ZINC000361237344 1127549128 /nfs/dbraw/zinc/54/91/28/1127549128.db2.gz JPTFLPMITSKMKQ-FZMZJTMJSA-N 1 2 255.365 3.557 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1CCn2cccc2[C@H]1C ZINC000361233013 1127549385 /nfs/dbraw/zinc/54/93/85/1127549385.db2.gz SAGDHQFUSUZNDP-GFCCVEGCSA-N 1 2 290.794 3.727 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1CCn2cccc2[C@H]1C ZINC000361233013 1127549388 /nfs/dbraw/zinc/54/93/88/1127549388.db2.gz SAGDHQFUSUZNDP-GFCCVEGCSA-N 1 2 290.794 3.727 20 0 CHADLO FC(F)(F)c1cncc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000361318522 1127556190 /nfs/dbraw/zinc/55/61/90/1127556190.db2.gz MWYSWCYGCYTPGH-CQSZACIVSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1cncc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000361318522 1127556192 /nfs/dbraw/zinc/55/61/92/1127556192.db2.gz MWYSWCYGCYTPGH-CQSZACIVSA-N 1 2 295.308 3.766 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)c2ccccc21)c1ncn(C)n1 ZINC000294267894 1127561244 /nfs/dbraw/zinc/56/12/44/1127561244.db2.gz LRUSXVVRALCPOY-VXGBXAGGSA-N 1 2 298.365 3.519 20 0 CHADLO CCc1ccc(C[NH2+]Cc2c(F)ccc(O)c2F)s1 ZINC000294353368 1127567559 /nfs/dbraw/zinc/56/75/59/1127567559.db2.gz SRPNDIKSKWZOMM-UHFFFAOYSA-N 1 2 283.343 3.584 20 0 CHADLO Cc1oc(C(C)C)cc1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000294418045 1127572407 /nfs/dbraw/zinc/57/24/07/1127572407.db2.gz OJKAJMQRMXPLFL-UHFFFAOYSA-N 1 2 283.331 3.611 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CS[C@H](C)C2)c1 ZINC000602575608 1127596050 /nfs/dbraw/zinc/59/60/50/1127596050.db2.gz GLZOCTCHZZIOOJ-PWSUYJOCSA-N 1 2 268.451 3.641 20 0 CHADLO CCc1oc2ccccc2c1C[NH2+]Cc1nnc(C(C)C)[nH]1 ZINC000294807137 1127600972 /nfs/dbraw/zinc/60/09/72/1127600972.db2.gz NLGPIJPYROAFOH-UHFFFAOYSA-N 1 2 298.390 3.527 20 0 CHADLO Oc1cccc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)c1Cl ZINC000294771386 1127598072 /nfs/dbraw/zinc/59/80/72/1127598072.db2.gz AYOLZANRUPPHHJ-CYBMUJFWSA-N 1 2 276.767 3.711 20 0 CHADLO Oc1cccc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)c1Cl ZINC000294771386 1127598073 /nfs/dbraw/zinc/59/80/73/1127598073.db2.gz AYOLZANRUPPHHJ-CYBMUJFWSA-N 1 2 276.767 3.711 20 0 CHADLO CC1(C)CCC([NH2+][C@@H](c2cccs2)c2nnc[nH]2)CC1 ZINC000294897636 1127606719 /nfs/dbraw/zinc/60/67/19/1127606719.db2.gz VSRUTPYPBVOOOC-ZDUSSCGKSA-N 1 2 290.436 3.514 20 0 CHADLO c1nc(C2CCCC2)sc1C[N@@H+]1CCO[C@H]2CCC[C@H]21 ZINC000362086772 1127611134 /nfs/dbraw/zinc/61/11/34/1127611134.db2.gz NGLMIAVIQMGVHM-CABCVRRESA-N 1 2 292.448 3.554 20 0 CHADLO c1nc(C2CCCC2)sc1C[N@H+]1CCO[C@H]2CCC[C@H]21 ZINC000362086772 1127611137 /nfs/dbraw/zinc/61/11/37/1127611137.db2.gz NGLMIAVIQMGVHM-CABCVRRESA-N 1 2 292.448 3.554 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)[C@@H]2CCCCO2)c1 ZINC000599037804 1127653862 /nfs/dbraw/zinc/65/38/62/1127653862.db2.gz DWQWLNIKXGOZHT-JSGCOSHPSA-N 1 2 280.437 3.704 20 0 CHADLO O=C(CCc1ccccc1Cl)Nc1ccc2[nH+]ccn2c1 ZINC000603785370 1127671243 /nfs/dbraw/zinc/67/12/43/1127671243.db2.gz LTWMZHJAUJPQQE-UHFFFAOYSA-N 1 2 299.761 3.559 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1CC)c1cccc(Cl)c1F ZINC000296512635 1127674926 /nfs/dbraw/zinc/67/49/26/1127674926.db2.gz POKWCFUFJLCWBG-ZDUSSCGKSA-N 1 2 295.789 3.936 20 0 CHADLO CC(C)=C[C@H]1[C@@H](C(=O)Nc2ccc3[nH+]ccn3c2)C1(C)C ZINC000603882818 1127679794 /nfs/dbraw/zinc/67/97/94/1127679794.db2.gz YUBYBSHABPGUSO-ZFWWWQNUSA-N 1 2 283.375 3.511 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2csc(C)c2)[nH]1 ZINC000297033386 1127687779 /nfs/dbraw/zinc/68/77/79/1127687779.db2.gz XOAQVBNUIDHMNV-MWLCHTKSSA-N 1 2 278.425 3.539 20 0 CHADLO CC1C[NH+](Cc2cccc(Cl)c2Br)C1 ZINC000719519929 1127687912 /nfs/dbraw/zinc/68/79/12/1127687912.db2.gz JTVKJRRUULSSGG-UHFFFAOYSA-N 1 2 274.589 3.554 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@@H](C)n2cc[nH+]c2)c1 ZINC001118884724 1131240295 /nfs/dbraw/zinc/24/02/95/1131240295.db2.gz OQVMNMFWMJWBQU-QWHCGFSZSA-N 1 2 271.364 3.596 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2cc(F)cnc2Cl)o1 ZINC000297465848 1127696869 /nfs/dbraw/zinc/69/68/69/1127696869.db2.gz YFNXLJJLEOXZKW-SECBINFHSA-N 1 2 282.746 3.880 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@H](C)c1nccn1CC ZINC000297791887 1127709065 /nfs/dbraw/zinc/70/90/65/1127709065.db2.gz QAGOWXLCOAKZPE-UONOGXRCSA-N 1 2 287.407 3.714 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)on1 ZINC000298436375 1127723256 /nfs/dbraw/zinc/72/32/56/1127723256.db2.gz PTUJLSUPHBFUDJ-BDJLRTHQSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)on1 ZINC000298436375 1127723260 /nfs/dbraw/zinc/72/32/60/1127723260.db2.gz PTUJLSUPHBFUDJ-BDJLRTHQSA-N 1 2 286.375 3.864 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000298516434 1127725054 /nfs/dbraw/zinc/72/50/54/1127725054.db2.gz ZOHSRDSCCWJFTF-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000298516434 1127725059 /nfs/dbraw/zinc/72/50/59/1127725059.db2.gz ZOHSRDSCCWJFTF-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO CC(C)n1ncnc1C[N@@H+]1CCC[C@@H]1/C=C/c1ccccc1 ZINC000365310037 1127725753 /nfs/dbraw/zinc/72/57/53/1127725753.db2.gz UEMGMCMXTXCYGO-SXSDINLZSA-N 1 2 296.418 3.537 20 0 CHADLO CC(C)n1ncnc1C[N@H+]1CCC[C@@H]1/C=C/c1ccccc1 ZINC000365310037 1127725754 /nfs/dbraw/zinc/72/57/54/1127725754.db2.gz UEMGMCMXTXCYGO-SXSDINLZSA-N 1 2 296.418 3.537 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1CCC[C@@H]1c1ccsc1 ZINC000298778084 1127732813 /nfs/dbraw/zinc/73/28/13/1127732813.db2.gz VCWPVQYFISQJEW-ZWNOBZJWSA-N 1 2 289.404 3.907 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1CCC[C@@H]1c1ccsc1 ZINC000298778084 1127732816 /nfs/dbraw/zinc/73/28/16/1127732816.db2.gz VCWPVQYFISQJEW-ZWNOBZJWSA-N 1 2 289.404 3.907 20 0 CHADLO CCS[C@@H](C)c1noc(C[NH+]2CCC(C3CC3)CC2)n1 ZINC000366422456 1127745750 /nfs/dbraw/zinc/74/57/50/1127745750.db2.gz DZEXIBABRBDWNG-NSHDSACASA-N 1 2 295.452 3.506 20 0 CHADLO Cc1cc(N[C@H](Cc2ccccc2)C2CC2)nc(C2CC2)[nH+]1 ZINC000605697491 1127747053 /nfs/dbraw/zinc/74/70/53/1127747053.db2.gz KMZHZZGNOXHUAZ-QGZVFWFLSA-N 1 2 293.414 3.518 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+][C@@H](c1ccccc1)C1CCC1)CCC2 ZINC000367620657 1127754666 /nfs/dbraw/zinc/75/46/66/1127754666.db2.gz QPDJNROXHIVFGU-AEFFLSMTSA-N 1 2 281.403 3.918 20 0 CHADLO c1cc(-c2ccccc2)sc1CN1CCn2c[nH+]cc2C1 ZINC000367966746 1127755070 /nfs/dbraw/zinc/75/50/70/1127755070.db2.gz QPHVEBKAYIOWBB-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2c(C)cccc2C)no1 ZINC000606431673 1127761731 /nfs/dbraw/zinc/76/17/31/1127761731.db2.gz KCGMTULJOIWNDU-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2c(C)cccc2C)no1 ZINC000606431673 1127761735 /nfs/dbraw/zinc/76/17/35/1127761735.db2.gz KCGMTULJOIWNDU-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO C[N@H+](CCCc1ccccc1)Cc1c(Cl)ccnc1F ZINC001232617875 1127759005 /nfs/dbraw/zinc/75/90/05/1127759005.db2.gz ZHQGGZDLUMUUNX-UHFFFAOYSA-N 1 2 292.785 3.939 20 0 CHADLO C[N@@H+](CCCc1ccccc1)Cc1c(Cl)ccnc1F ZINC001232617875 1127759008 /nfs/dbraw/zinc/75/90/08/1127759008.db2.gz ZHQGGZDLUMUUNX-UHFFFAOYSA-N 1 2 292.785 3.939 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1ccc(Cl)cc1Cl ZINC000606451672 1127763702 /nfs/dbraw/zinc/76/37/02/1127763702.db2.gz CKHUFSYQXGBYNA-VIFPVBQESA-N 1 2 276.232 3.931 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1ccc(Cl)cc1Cl ZINC000606451672 1127763706 /nfs/dbraw/zinc/76/37/06/1127763706.db2.gz CKHUFSYQXGBYNA-VIFPVBQESA-N 1 2 276.232 3.931 20 0 CHADLO Oc1cccc2ccc(/C=C/c3c[nH+]c4ccccn34)nc12 ZINC000607059276 1127789229 /nfs/dbraw/zinc/78/92/29/1127789229.db2.gz VGORQRIRZVGRDN-MDZDMXLPSA-N 1 2 287.322 3.759 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2nccs2)ccc1F ZINC000372433156 1127800058 /nfs/dbraw/zinc/80/00/58/1127800058.db2.gz BMXNDAHDBIOARU-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2nccs2)ccc1F ZINC000372433156 1127800062 /nfs/dbraw/zinc/80/00/62/1127800062.db2.gz BMXNDAHDBIOARU-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO CC[C@H](C)C[C@H](CC)[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000372903280 1127817353 /nfs/dbraw/zinc/81/73/53/1127817353.db2.gz HJGMNYVEKVAHDJ-CWRNSKLLSA-N 1 2 286.423 3.699 20 0 CHADLO CC[C@H]1CCC[N@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000173883695 1127858655 /nfs/dbraw/zinc/85/86/55/1127858655.db2.gz NREDYNAOKJWMRF-JTQLQIEISA-N 1 2 283.466 3.631 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000173883695 1127858658 /nfs/dbraw/zinc/85/86/58/1127858658.db2.gz NREDYNAOKJWMRF-JTQLQIEISA-N 1 2 283.466 3.631 20 0 CHADLO CCCC[C@H](C)[N@H+](C)CC(=O)c1[nH]c(C)c(C(C)=O)c1C ZINC000411740076 1127872981 /nfs/dbraw/zinc/87/29/81/1127872981.db2.gz BIRAEJSPWBSKJO-NSHDSACASA-N 1 2 292.423 3.527 20 0 CHADLO CCCC[C@H](C)[N@@H+](C)CC(=O)c1[nH]c(C)c(C(C)=O)c1C ZINC000411740076 1127872986 /nfs/dbraw/zinc/87/29/86/1127872986.db2.gz BIRAEJSPWBSKJO-NSHDSACASA-N 1 2 292.423 3.527 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H]2CCCCC2(C)C)c(C)[nH+]1 ZINC001457723826 1127875576 /nfs/dbraw/zinc/87/55/76/1127875576.db2.gz LXZUIBNJESVDGX-CQSZACIVSA-N 1 2 274.408 3.705 20 0 CHADLO CC[N@H+](Cc1nc2cc(F)ccc2o1)Cc1occc1C ZINC000412032375 1127890631 /nfs/dbraw/zinc/89/06/31/1127890631.db2.gz YYHIJPJIJDUAPP-UHFFFAOYSA-N 1 2 288.322 3.890 20 0 CHADLO CC[N@@H+](Cc1nc2cc(F)ccc2o1)Cc1occc1C ZINC000412032375 1127890636 /nfs/dbraw/zinc/89/06/36/1127890636.db2.gz YYHIJPJIJDUAPP-UHFFFAOYSA-N 1 2 288.322 3.890 20 0 CHADLO C[C@@H]1CC(C)(C)C[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000412032398 1127890885 /nfs/dbraw/zinc/89/08/85/1127890885.db2.gz BQMIFDRGAGEIHP-MRVPVSSYSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1CC(C)(C)C[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000412032398 1127890887 /nfs/dbraw/zinc/89/08/87/1127890887.db2.gz BQMIFDRGAGEIHP-MRVPVSSYSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1CC[N@@H+]([C@@H]2CCc3cc(F)ccc3C2)CC1(F)F ZINC001168426497 1127906567 /nfs/dbraw/zinc/90/65/67/1127906567.db2.gz LHYFMBXWZQLRRB-IAQYHMDHSA-N 1 2 283.337 3.660 20 0 CHADLO C[C@@H]1CC[N@H+]([C@@H]2CCc3cc(F)ccc3C2)CC1(F)F ZINC001168426497 1127906568 /nfs/dbraw/zinc/90/65/68/1127906568.db2.gz LHYFMBXWZQLRRB-IAQYHMDHSA-N 1 2 283.337 3.660 20 0 CHADLO c1coc(CNc2[nH+]c3ccccc3n2C2CCCC2)c1 ZINC000535598706 1127921078 /nfs/dbraw/zinc/92/10/78/1127921078.db2.gz QLJKZTQBGNUDIX-UHFFFAOYSA-N 1 2 281.359 3.778 20 0 CHADLO CCCCC[C@H](CC)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000375006975 1127924341 /nfs/dbraw/zinc/92/43/41/1127924341.db2.gz ZNQLVTFAJZOYKV-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO CCCCC[C@H](CC)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000375006975 1127924344 /nfs/dbraw/zinc/92/43/44/1127924344.db2.gz ZNQLVTFAJZOYKV-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nc2ccccc2c(=O)[nH]1)c1ccsc1 ZINC000669828143 1127927159 /nfs/dbraw/zinc/92/71/59/1127927159.db2.gz CYGVUCXKGGYSJC-GHMZBOCLSA-N 1 2 299.399 3.809 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@H+](Cc2ccccn2)CC1 ZINC000375224929 1127934227 /nfs/dbraw/zinc/93/42/27/1127934227.db2.gz AYSPDMZFTVEYAN-UHFFFAOYSA-N 1 2 286.325 3.649 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@@H+](Cc2ccccn2)CC1 ZINC000375224929 1127934230 /nfs/dbraw/zinc/93/42/30/1127934230.db2.gz AYSPDMZFTVEYAN-UHFFFAOYSA-N 1 2 286.325 3.649 20 0 CHADLO CC1=CC[N@H+](Cc2ccc(F)c(C(F)(F)F)c2)CC1 ZINC000539825170 1127942291 /nfs/dbraw/zinc/94/22/91/1127942291.db2.gz NBDXGFMVAPAHPJ-UHFFFAOYSA-N 1 2 273.273 3.997 20 0 CHADLO CC1=CC[N@@H+](Cc2ccc(F)c(C(F)(F)F)c2)CC1 ZINC000539825170 1127942292 /nfs/dbraw/zinc/94/22/92/1127942292.db2.gz NBDXGFMVAPAHPJ-UHFFFAOYSA-N 1 2 273.273 3.997 20 0 CHADLO CC1=CC[N@H+](Cc2cc(C(F)(F)F)ccc2F)CC1 ZINC000539827747 1127944095 /nfs/dbraw/zinc/94/40/95/1127944095.db2.gz WMPXMLAIVQRSQT-UHFFFAOYSA-N 1 2 273.273 3.997 20 0 CHADLO CC1=CC[N@@H+](Cc2cc(C(F)(F)F)ccc2F)CC1 ZINC000539827747 1127944098 /nfs/dbraw/zinc/94/40/98/1127944098.db2.gz WMPXMLAIVQRSQT-UHFFFAOYSA-N 1 2 273.273 3.997 20 0 CHADLO C[C@H]1C[N@H+](Cc2noc(C3CCCCC3)n2)CC(C)(C)C1 ZINC000544116121 1127958950 /nfs/dbraw/zinc/95/89/50/1127958950.db2.gz ZYIZSJICKRADNG-CYBMUJFWSA-N 1 2 291.439 3.985 20 0 CHADLO C[C@H]1C[N@@H+](Cc2noc(C3CCCCC3)n2)CC(C)(C)C1 ZINC000544116121 1127958952 /nfs/dbraw/zinc/95/89/52/1127958952.db2.gz ZYIZSJICKRADNG-CYBMUJFWSA-N 1 2 291.439 3.985 20 0 CHADLO CC1CC[NH+](Cc2cn3cc(Cl)cc(Cl)c3n2)CC1 ZINC000506176608 1127972809 /nfs/dbraw/zinc/97/28/09/1127972809.db2.gz KGNIKAQFFXUJNN-UHFFFAOYSA-N 1 2 298.217 3.873 20 0 CHADLO Cc1cccn2c(CNc3cnc4c(c3)CCCC4)c[nH+]c12 ZINC000541065941 1128006520 /nfs/dbraw/zinc/00/65/20/1128006520.db2.gz WBLAZVGNRJSFKT-UHFFFAOYSA-N 1 2 292.386 3.529 20 0 CHADLO CCc1cc(N(Cc2ccccc2)CC(F)F)nc(C)[nH+]1 ZINC000541091015 1128008058 /nfs/dbraw/zinc/00/80/58/1128008058.db2.gz TXAVCRKOEFEKFQ-UHFFFAOYSA-N 1 2 291.345 3.619 20 0 CHADLO CC[N@H+](Cc1nc(Cc2ccc(C(C)C)cc2)no1)C1CC1 ZINC000545581046 1128022791 /nfs/dbraw/zinc/02/27/91/1128022791.db2.gz OFARMXREORNOFT-UHFFFAOYSA-N 1 2 299.418 3.768 20 0 CHADLO CC[N@@H+](Cc1nc(Cc2ccc(C(C)C)cc2)no1)C1CC1 ZINC000545581046 1128022795 /nfs/dbraw/zinc/02/27/95/1128022795.db2.gz OFARMXREORNOFT-UHFFFAOYSA-N 1 2 299.418 3.768 20 0 CHADLO C[C@H]1CCC[C@H]1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000086673024 1128059704 /nfs/dbraw/zinc/05/97/04/1128059704.db2.gz LGOWKCFXQCOGEI-DZGCQCFKSA-N 1 2 259.397 3.672 20 0 CHADLO O=C(/C=C/c1cccs1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000029951518 1128071392 /nfs/dbraw/zinc/07/13/92/1128071392.db2.gz LPIXFGGGSAESFH-BQYQJAHWSA-N 1 2 295.367 3.586 20 0 CHADLO Cc1ccc(-c2noc(C[NH2+][C@H](C)c3ccsc3)n2)cc1 ZINC000669938498 1128076546 /nfs/dbraw/zinc/07/65/46/1128076546.db2.gz PXWPEUNLBIYOJZ-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470910424 1128081576 /nfs/dbraw/zinc/08/15/76/1128081576.db2.gz ZCFCFIBFFKTKOG-UEKVPHQBSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470910424 1128081579 /nfs/dbraw/zinc/08/15/79/1128081579.db2.gz ZCFCFIBFFKTKOG-UEKVPHQBSA-N 1 2 281.346 3.525 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@H](C)c1ccccc1C(F)(F)F ZINC000924764950 1128112049 /nfs/dbraw/zinc/11/20/49/1128112049.db2.gz CWVNXMJHRYMXSJ-BDAKNGLRSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1[nH]c(CN2CCCC[C@@H]2c2ccc(F)cc2)c(C)[nH+]1 ZINC000929788770 1128117074 /nfs/dbraw/zinc/11/70/74/1128117074.db2.gz KZCMQILOBQNUAA-QGZVFWFLSA-N 1 2 287.382 3.893 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cc(C)no1)c1ncccc1Cl ZINC000924957929 1128117851 /nfs/dbraw/zinc/11/78/51/1128117851.db2.gz BPVVDPHDXNAFNS-CYBMUJFWSA-N 1 2 279.771 3.662 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2cc(O)cc(F)c2)cs1 ZINC000925032122 1128119442 /nfs/dbraw/zinc/11/94/42/1128119442.db2.gz FKCSJISRDMUGNS-VHSXEESVSA-N 1 2 294.395 3.962 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2Nc1ccc([NH+](C)C)cc1C ZINC000925721480 1128138145 /nfs/dbraw/zinc/13/81/45/1128138145.db2.gz NYYKTJPSUPVFDP-HNNXBMFYSA-N 1 2 285.391 3.847 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)c1ccns1 ZINC000925720979 1128138195 /nfs/dbraw/zinc/13/81/95/1128138195.db2.gz LHQWUQTWLLVHGA-NSHDSACASA-N 1 2 261.394 3.691 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nnc(-c3ccccc3)[nH]2)cc1 ZINC000777574581 1128143047 /nfs/dbraw/zinc/14/30/47/1128143047.db2.gz KCUVZJLCJBVHLN-CQSZACIVSA-N 1 2 292.386 3.631 20 0 CHADLO Cc1ccsc1[C@H](C)[NH2+]Cc1nnc(-c2ccccc2)[nH]1 ZINC000777574645 1128143309 /nfs/dbraw/zinc/14/33/09/1128143309.db2.gz KXRSETDDUAMLQT-LBPRGKRZSA-N 1 2 298.415 3.692 20 0 CHADLO Cc1ccsc1[C@H](C)[NH2+]Cc1nc(-c2ccccc2)n[nH]1 ZINC000777574645 1128143312 /nfs/dbraw/zinc/14/33/12/1128143312.db2.gz KXRSETDDUAMLQT-LBPRGKRZSA-N 1 2 298.415 3.692 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nnc(-c3ccccc3)[nH]2)s1 ZINC000777577035 1128144189 /nfs/dbraw/zinc/14/41/89/1128144189.db2.gz YNSCMWDWRUBMLU-GFCCVEGCSA-N 1 2 298.415 3.692 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2Nc1ccc([NH+](C)C)c(C)c1 ZINC000926052997 1128151250 /nfs/dbraw/zinc/15/12/50/1128151250.db2.gz UKXBQBNZKIEMQM-AWEZNQCLSA-N 1 2 285.391 3.847 20 0 CHADLO Cc1noc(C)c1[C@H](C)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000926067558 1128152659 /nfs/dbraw/zinc/15/26/59/1128152659.db2.gz YJNJVHRZSOGFDW-NSHDSACASA-N 1 2 285.391 3.847 20 0 CHADLO Cc1noc(C)c1[C@H](C)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000926067558 1128152661 /nfs/dbraw/zinc/15/26/61/1128152661.db2.gz YJNJVHRZSOGFDW-NSHDSACASA-N 1 2 285.391 3.847 20 0 CHADLO Clc1ccc2c(cc3n2CC[N@H+](CC2CC2)C3)c1 ZINC000494014723 1128154177 /nfs/dbraw/zinc/15/41/77/1128154177.db2.gz DLJBNOHONGNQTQ-UHFFFAOYSA-N 1 2 260.768 3.520 20 0 CHADLO Clc1ccc2c(cc3n2CC[N@@H+](CC2CC2)C3)c1 ZINC000494014723 1128154181 /nfs/dbraw/zinc/15/41/81/1128154181.db2.gz DLJBNOHONGNQTQ-UHFFFAOYSA-N 1 2 260.768 3.520 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1nonc1C ZINC000926361081 1128169359 /nfs/dbraw/zinc/16/93/59/1128169359.db2.gz OBWXMHMFYZWJRU-ZJUUUORDSA-N 1 2 277.393 3.512 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@H](C)c1ncco1 ZINC000926359554 1128169428 /nfs/dbraw/zinc/16/94/28/1128169428.db2.gz GCYKLQHTQOMLEY-GHMZBOCLSA-N 1 2 262.378 3.808 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2c(F)cccc21)c1ncco1 ZINC000926496304 1128175393 /nfs/dbraw/zinc/17/53/93/1128175393.db2.gz ALEUSTPLMRBGCF-HZMBPMFUSA-N 1 2 260.312 3.542 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nn(CC)nc1C)c1ccccc1F ZINC000926646154 1128186004 /nfs/dbraw/zinc/18/60/04/1128186004.db2.gz IWKUAXLJLQNXOB-ABAIWWIYSA-N 1 2 290.386 3.547 20 0 CHADLO C[C@H]([NH2+]C1(c2ncccn2)CCC1)c1coc2ccccc21 ZINC000926853642 1128198630 /nfs/dbraw/zinc/19/86/30/1128198630.db2.gz YIGHRVJWIVZOTE-ZDUSSCGKSA-N 1 2 293.370 3.953 20 0 CHADLO COc1ccnc([C@H](C)[NH2+]Cc2nsc3ccccc23)c1 ZINC000926864351 1128199189 /nfs/dbraw/zinc/19/91/89/1128199189.db2.gz JMXLOPMCYZXXLY-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@H](C)c1cc2cnccc2o1 ZINC000926811048 1128196345 /nfs/dbraw/zinc/19/63/45/1128196345.db2.gz GJZYDLGSXZPTEH-ZWNOBZJWSA-N 1 2 297.358 3.852 20 0 CHADLO C[C@H]1CC(C)(C)c2cccc(NC(=O)CCc3[nH]cc[nH+]3)c21 ZINC000928586006 1128243970 /nfs/dbraw/zinc/24/39/70/1128243970.db2.gz KKNRUUKAZDNFQW-LBPRGKRZSA-N 1 2 297.402 3.766 20 0 CHADLO Clc1ccc(C[N@@H+]2CCc3nc[nH]c3C2)c2ccccc12 ZINC000929070315 1128256307 /nfs/dbraw/zinc/25/63/07/1128256307.db2.gz UFJWYQFLCMNAKZ-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc(C[N@H+]2CCc3nc[nH]c3C2)c2ccccc12 ZINC000929070315 1128256309 /nfs/dbraw/zinc/25/63/09/1128256309.db2.gz UFJWYQFLCMNAKZ-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cc2ccccc2c(C[N@@H+]2CCc3nc[nH]c3C2)c1 ZINC000929069992 1128256472 /nfs/dbraw/zinc/25/64/72/1128256472.db2.gz PLKOCUGPBDMUPH-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cc2ccccc2c(C[N@H+]2CCc3nc[nH]c3C2)c1 ZINC000929069992 1128256473 /nfs/dbraw/zinc/25/64/73/1128256473.db2.gz PLKOCUGPBDMUPH-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Cl/C=C/C[N@H+](C/C=C\Cl)Cc1ccccc1 ZINC000914875172 1128258665 /nfs/dbraw/zinc/25/86/65/1128258665.db2.gz YZOVFQDVVYVSBI-MVTUOISNSA-N 1 2 256.176 3.994 20 0 CHADLO Cl/C=C/C[N@@H+](C/C=C\Cl)Cc1ccccc1 ZINC000914875172 1128258668 /nfs/dbraw/zinc/25/86/68/1128258668.db2.gz YZOVFQDVVYVSBI-MVTUOISNSA-N 1 2 256.176 3.994 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H](C)c2cccnc2)cc1 ZINC001234584551 1128207066 /nfs/dbraw/zinc/20/70/66/1128207066.db2.gz DYIMHQANXDDMMG-LBPRGKRZSA-N 1 2 270.332 3.583 20 0 CHADLO C[C@H](c1ncccn1)[N@H+](C)Cc1csc2ccccc12 ZINC000929264054 1128266135 /nfs/dbraw/zinc/26/61/35/1128266135.db2.gz KGQRZFPTEYJABR-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](c1ncccn1)[N@@H+](C)Cc1csc2ccccc12 ZINC000929264054 1128266136 /nfs/dbraw/zinc/26/61/36/1128266136.db2.gz KGQRZFPTEYJABR-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO CC(C)C(C)(C)C[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000494942851 1128215277 /nfs/dbraw/zinc/21/52/77/1128215277.db2.gz ZBVCFGRAOJBULJ-CQSZACIVSA-N 1 2 278.444 3.698 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C2CC2)n(C)n1)c1ccccc1F ZINC000927109673 1128217930 /nfs/dbraw/zinc/21/79/30/1128217930.db2.gz VFANRBVGMKCFSJ-MRXNPFEDSA-N 1 2 287.382 3.678 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc([NH+](C)C)c(C)c2)C12CCC2 ZINC000777642934 1128233590 /nfs/dbraw/zinc/23/35/90/1128233590.db2.gz GNBWUAGYXUEVHM-SJORKVTESA-N 1 2 288.435 3.821 20 0 CHADLO CC(C)[C@H](C)[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000777659833 1128233992 /nfs/dbraw/zinc/23/39/92/1128233992.db2.gz MQYRCPQDKLLIMH-ZNMIVQPWSA-N 1 2 276.424 3.757 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)[C@H](C)c1ncccn1 ZINC000929379026 1128272283 /nfs/dbraw/zinc/27/22/83/1128272283.db2.gz HUIRBBGDTPPPOM-GFCCVEGCSA-N 1 2 275.783 3.713 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)[C@H](C)c1ncccn1 ZINC000929379026 1128272286 /nfs/dbraw/zinc/27/22/86/1128272286.db2.gz HUIRBBGDTPPPOM-GFCCVEGCSA-N 1 2 275.783 3.713 20 0 CHADLO COc1cccc(C(F)(F)F)c1CNc1cccc[nH+]1 ZINC001168488381 1128288161 /nfs/dbraw/zinc/28/81/61/1128288161.db2.gz BJCHJCJXHAIREO-UHFFFAOYSA-N 1 2 282.265 3.721 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)c(C)nn1 ZINC000929786205 1128286908 /nfs/dbraw/zinc/28/69/08/1128286908.db2.gz DGPNMRZNAIVJDK-MRXNPFEDSA-N 1 2 287.794 3.694 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)c(C)nn1 ZINC000929786205 1128286910 /nfs/dbraw/zinc/28/69/10/1128286910.db2.gz DGPNMRZNAIVJDK-MRXNPFEDSA-N 1 2 287.794 3.694 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1CCOC2(C1)CCCCCC2 ZINC000929841842 1128290630 /nfs/dbraw/zinc/29/06/30/1128290630.db2.gz PQFHICFQDXWMTC-CQSZACIVSA-N 1 2 292.398 3.707 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1CCOC2(C1)CCCCCC2 ZINC000929841842 1128290633 /nfs/dbraw/zinc/29/06/33/1128290633.db2.gz PQFHICFQDXWMTC-CQSZACIVSA-N 1 2 292.398 3.707 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccn1)c1ccc(Cl)cc1 ZINC000929860683 1128290999 /nfs/dbraw/zinc/29/09/99/1128290999.db2.gz DESRLQLPUZFFSW-MNOVXSKESA-N 1 2 261.756 3.542 20 0 CHADLO CC(C)(C)c1nc(C[NH2+]C2(c3ccc(F)cc3)CC2)co1 ZINC000929971040 1128296647 /nfs/dbraw/zinc/29/66/47/1128296647.db2.gz KCHPSLYIAKKNSN-UHFFFAOYSA-N 1 2 288.366 3.890 20 0 CHADLO CC[C@@H](C)c1ccc(-c2nc(Cc3c[nH+]cn3C)no2)cc1 ZINC000762409382 1128298006 /nfs/dbraw/zinc/29/80/06/1128298006.db2.gz LTXYVCFZGIIKDX-GFCCVEGCSA-N 1 2 296.374 3.574 20 0 CHADLO CC[C@H]([NH2+]Cc1c(F)cncc1F)c1ccccc1OC ZINC000930201212 1128306222 /nfs/dbraw/zinc/30/62/22/1128306222.db2.gz MILNWXBBTFIRDY-HNNXBMFYSA-N 1 2 292.329 3.609 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+][C@H](C)c1ncccn1 ZINC000930257745 1128310950 /nfs/dbraw/zinc/31/09/50/1128310950.db2.gz LSOVUWLQVJTQEL-CHWSQXEVSA-N 1 2 281.359 3.943 20 0 CHADLO Clc1cccc2c1C[N@H+](CCSc1ccncc1)C2 ZINC000930489402 1128321367 /nfs/dbraw/zinc/32/13/67/1128321367.db2.gz JRODVYYGLQYIDM-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1cccc2c1C[N@@H+](CCSc1ccncc1)C2 ZINC000930489402 1128321372 /nfs/dbraw/zinc/32/13/72/1128321372.db2.gz JRODVYYGLQYIDM-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2nncs2)C2CC2)cc1Cl ZINC000930611831 1128327169 /nfs/dbraw/zinc/32/71/69/1128327169.db2.gz MQBVXYAMQHUFFV-ZDUSSCGKSA-N 1 2 297.786 3.572 20 0 CHADLO CNc1ccc(Nc2ccnn2-c2cccc(Cl)c2)c[nH+]1 ZINC001203452977 1128328418 /nfs/dbraw/zinc/32/84/18/1128328418.db2.gz VBCZEDHIDFSSCQ-UHFFFAOYSA-N 1 2 299.765 3.706 20 0 CHADLO Clc1cnc(C[NH+]2CCC3(CCCCC3)CC2)nc1 ZINC001235418191 1128330019 /nfs/dbraw/zinc/33/00/19/1128330019.db2.gz PMKLNJLJFVQUAC-UHFFFAOYSA-N 1 2 279.815 3.676 20 0 CHADLO CC(C)Cc1ccccc1C[NH2+][C@H](C)c1csnn1 ZINC000930724973 1128332718 /nfs/dbraw/zinc/33/27/18/1128332718.db2.gz BCQCLBYZHPMIIW-GFCCVEGCSA-N 1 2 275.421 3.587 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000930970543 1128342163 /nfs/dbraw/zinc/34/21/63/1128342163.db2.gz ZRWZVFSEKNNIES-NHYWBVRUSA-N 1 2 274.314 3.868 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000930970543 1128342167 /nfs/dbraw/zinc/34/21/67/1128342167.db2.gz ZRWZVFSEKNNIES-NHYWBVRUSA-N 1 2 274.314 3.868 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@H](c2ccccn2)C2CCC2)co1 ZINC000931171321 1128351503 /nfs/dbraw/zinc/35/15/03/1128351503.db2.gz AELZTWRAKXKZDK-INIZCTEOSA-N 1 2 299.418 3.998 20 0 CHADLO C[C@@H]1C[N@H+](Cc2sc3ccccc3c2Cl)CCO1 ZINC000054172709 1128359710 /nfs/dbraw/zinc/35/97/10/1128359710.db2.gz JXTZDUMGCUWXIO-SNVBAGLBSA-N 1 2 281.808 3.775 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2sc3ccccc3c2Cl)CCO1 ZINC000054172709 1128359713 /nfs/dbraw/zinc/35/97/13/1128359713.db2.gz JXTZDUMGCUWXIO-SNVBAGLBSA-N 1 2 281.808 3.775 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]Cc1nnc(C(C)C)s1 ZINC000932561343 1128414586 /nfs/dbraw/zinc/41/45/86/1128414586.db2.gz NCJTXXXDTAUNOF-NSHDSACASA-N 1 2 293.411 3.960 20 0 CHADLO Cc1ccccc1C(C)(C)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000561934596 1128363368 /nfs/dbraw/zinc/36/33/68/1128363368.db2.gz KRBKABXHFDJWRB-UHFFFAOYSA-N 1 2 293.370 3.559 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(C)n(C)n1)c1cc(F)ccc1F ZINC000282117790 1128364382 /nfs/dbraw/zinc/36/43/82/1128364382.db2.gz JJMFDGONDKANQX-XHDPSFHLSA-N 1 2 293.361 3.809 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2csc(Cl)n2)cc1C ZINC000932570660 1128415772 /nfs/dbraw/zinc/41/57/72/1128415772.db2.gz RTKDVIMZSHLXDS-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2ncc(C)o2)cc1C ZINC000932571676 1128415796 /nfs/dbraw/zinc/41/57/96/1128415796.db2.gz VOQZSYRWXIHKKS-STQMWFEESA-N 1 2 274.364 3.712 20 0 CHADLO CCN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@@H]1CCCC[C@H]1C ZINC000931700424 1128377793 /nfs/dbraw/zinc/37/77/93/1128377793.db2.gz OHMNABJUSCIWME-MLGOLLRUSA-N 1 2 285.391 3.604 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2csc(Cl)n2)cc1C ZINC000933483949 1128435344 /nfs/dbraw/zinc/43/53/44/1128435344.db2.gz RTKDVIMZSHLXDS-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2ncc(C3CC3)o2)cc1C ZINC000933486124 1128436151 /nfs/dbraw/zinc/43/61/51/1128436151.db2.gz UUCVLYBMKICHOJ-GFCCVEGCSA-N 1 2 286.375 3.720 20 0 CHADLO CC(C)Oc1ccnc(C[N@H+](Cc2ccoc2)C2CC2)c1 ZINC000934005242 1128449364 /nfs/dbraw/zinc/44/93/64/1128449364.db2.gz XVMUNRLZKSBMIQ-UHFFFAOYSA-N 1 2 286.375 3.626 20 0 CHADLO CC(C)Oc1ccnc(C[N@@H+](Cc2ccoc2)C2CC2)c1 ZINC000934005242 1128449366 /nfs/dbraw/zinc/44/93/66/1128449366.db2.gz XVMUNRLZKSBMIQ-UHFFFAOYSA-N 1 2 286.375 3.626 20 0 CHADLO CCSc1cccc(C(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000126046324 1128461273 /nfs/dbraw/zinc/46/12/73/1128461273.db2.gz JDFDNLVHYPUPDY-UHFFFAOYSA-N 1 2 272.373 3.754 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1nc2ccccc2[nH]1 ZINC000780792950 1128462499 /nfs/dbraw/zinc/46/24/99/1128462499.db2.gz KIGLRQCNOHPNQX-QWRGUYRKSA-N 1 2 297.324 3.726 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1nc2ccccc2[nH]1 ZINC000780792950 1128462501 /nfs/dbraw/zinc/46/25/01/1128462501.db2.gz KIGLRQCNOHPNQX-QWRGUYRKSA-N 1 2 297.324 3.726 20 0 CHADLO C[C@H]([NH2+]c1ccc(N(C)C)cc1)C1CCSCC1 ZINC000187495038 1128463302 /nfs/dbraw/zinc/46/33/02/1128463302.db2.gz FCMRZTUYFQDSNW-LBPRGKRZSA-N 1 2 264.438 3.696 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)C1CCSCC1 ZINC000187495038 1128463305 /nfs/dbraw/zinc/46/33/05/1128463305.db2.gz FCMRZTUYFQDSNW-LBPRGKRZSA-N 1 2 264.438 3.696 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](C)c2ccc(C3CC3)cc2)n1 ZINC000563799743 1128479568 /nfs/dbraw/zinc/47/95/68/1128479568.db2.gz NAMBBVVSNUOTAL-NWDGAFQWSA-N 1 2 285.391 3.921 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)cc(F)c1F ZINC000127403591 1128477161 /nfs/dbraw/zinc/47/71/61/1128477161.db2.gz JLXKOHISLBXSPX-AWEZNQCLSA-N 1 2 280.293 3.769 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)cc(F)c1F ZINC000127403591 1128477164 /nfs/dbraw/zinc/47/71/64/1128477164.db2.gz JLXKOHISLBXSPX-AWEZNQCLSA-N 1 2 280.293 3.769 20 0 CHADLO C[N@H+](Cc1csc(Cl)c1)Cc1cnc2ccccn12 ZINC001142760539 1128477671 /nfs/dbraw/zinc/47/76/71/1128477671.db2.gz YGIJLUXWZFXIRE-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@@H+](Cc1csc(Cl)c1)Cc1cnc2ccccn12 ZINC001142760539 1128477675 /nfs/dbraw/zinc/47/76/75/1128477675.db2.gz YGIJLUXWZFXIRE-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[C@H]1C[C@H](C)C[NH+](Cc2noc(C3CCCCC3)n2)C1 ZINC000127694171 1128481969 /nfs/dbraw/zinc/48/19/69/1128481969.db2.gz PVELJTFAYIJUNA-STQMWFEESA-N 1 2 277.412 3.595 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](c2ccccc2)C(C)C)n1 ZINC000128687723 1128510856 /nfs/dbraw/zinc/51/08/56/1128510856.db2.gz RSOVUMAWSVALTR-KRWDZBQOSA-N 1 2 287.407 3.899 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@H](C(F)(F)F)C(C)(C)C2)o1 ZINC000564836336 1128516513 /nfs/dbraw/zinc/51/65/13/1128516513.db2.gz OCHSDLKAJWLXNE-NSHDSACASA-N 1 2 290.329 3.647 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@H](C(F)(F)F)C(C)(C)C2)o1 ZINC000564836336 1128516516 /nfs/dbraw/zinc/51/65/16/1128516516.db2.gz OCHSDLKAJWLXNE-NSHDSACASA-N 1 2 290.329 3.647 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cn(C)cn1)c1cc(F)ccc1F ZINC000925454795 1128558770 /nfs/dbraw/zinc/55/87/70/1128558770.db2.gz ZVTFAGUNQBWKJG-QMTHXVAHSA-N 1 2 279.334 3.500 20 0 CHADLO C[C@@H](CC(=O)N[C@@H](C)c1cccc(C2CC2)c1)n1cc[nH+]c1 ZINC000565048408 1128518949 /nfs/dbraw/zinc/51/89/49/1128518949.db2.gz LWHQXQZBNNPMFO-KBPBESRZSA-N 1 2 297.402 3.589 20 0 CHADLO COC1(CNc2ccc([NH+]3CCCC3)cc2)CCCC1 ZINC000319838318 1128529918 /nfs/dbraw/zinc/52/99/18/1128529918.db2.gz JVEMKIZMSPYGRZ-UHFFFAOYSA-N 1 2 274.408 3.658 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](C(C)(C)C)C[C@@H]2C)no1 ZINC000565851245 1128533843 /nfs/dbraw/zinc/53/38/43/1128533843.db2.gz JKRHBIUVQVXGFN-QWHCGFSZSA-N 1 2 279.428 3.840 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](C(C)(C)C)C[C@@H]2C)no1 ZINC000565851245 1128533846 /nfs/dbraw/zinc/53/38/46/1128533846.db2.gz JKRHBIUVQVXGFN-QWHCGFSZSA-N 1 2 279.428 3.840 20 0 CHADLO CC1(C)CC[C@H](Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000129317162 1128536862 /nfs/dbraw/zinc/53/68/62/1128536862.db2.gz KDCBPIBBWIOFGY-AWEZNQCLSA-N 1 2 255.365 3.863 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cnc(-c3ccsc3)s2)C1 ZINC000566014690 1128537028 /nfs/dbraw/zinc/53/70/28/1128537028.db2.gz OYCNRECKQYLSIP-LLVKDONJSA-N 1 2 282.409 3.806 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cnc(-c3ccsc3)s2)C1 ZINC000566014690 1128537032 /nfs/dbraw/zinc/53/70/32/1128537032.db2.gz OYCNRECKQYLSIP-LLVKDONJSA-N 1 2 282.409 3.806 20 0 CHADLO CC[C@@]1(C)CN(c2[nH+]ccc3c(C)cccc32)CCO1 ZINC000566150185 1128539255 /nfs/dbraw/zinc/53/92/55/1128539255.db2.gz WFFFNEVQNADJEQ-KRWDZBQOSA-N 1 2 270.376 3.549 20 0 CHADLO Cc1cc(N2CCOCC2)[nH+]cc1-c1ccc(Cl)cc1 ZINC001236087527 1128541235 /nfs/dbraw/zinc/54/12/35/1128541235.db2.gz AKWVHSNHIPVIBP-UHFFFAOYSA-N 1 2 288.778 3.547 20 0 CHADLO C[C@@H](CC(=O)N1c2ccccc2CCC1(C)C)n1cc[nH+]c1 ZINC000566305446 1128542094 /nfs/dbraw/zinc/54/20/94/1128542094.db2.gz WTGVSZQADWTSQN-AWEZNQCLSA-N 1 2 297.402 3.592 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+](C)Cc1nc2ccccc2o1 ZINC000930031593 1128553327 /nfs/dbraw/zinc/55/33/27/1128553327.db2.gz KQOUXZSTKJALGP-NSHDSACASA-N 1 2 285.322 3.555 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+](C)Cc1nc2ccccc2o1 ZINC000930031593 1128553329 /nfs/dbraw/zinc/55/33/29/1128553329.db2.gz KQOUXZSTKJALGP-NSHDSACASA-N 1 2 285.322 3.555 20 0 CHADLO CCN(CC)c1ccc(N[C@@H]2CCO[C@H](C(C)C)C2)c[nH+]1 ZINC000070351682 1128562125 /nfs/dbraw/zinc/56/21/25/1128562125.db2.gz HIWIAUHTNVMENV-ZBFHGGJFSA-N 1 2 291.439 3.543 20 0 CHADLO CCc1nc(C[N@H+](C)C[C@@H](OC)c2ccccc2)cs1 ZINC000567370373 1128563301 /nfs/dbraw/zinc/56/33/01/1128563301.db2.gz WCEZCISUFMFEQB-OAHLLOKOSA-N 1 2 290.432 3.525 20 0 CHADLO CCc1nc(C[N@@H+](C)C[C@@H](OC)c2ccccc2)cs1 ZINC000567370373 1128563303 /nfs/dbraw/zinc/56/33/03/1128563303.db2.gz WCEZCISUFMFEQB-OAHLLOKOSA-N 1 2 290.432 3.525 20 0 CHADLO Cc1cnccc1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000567439829 1128564881 /nfs/dbraw/zinc/56/48/81/1128564881.db2.gz AZSXCMPBOLQIKD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cnccc1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000567439829 1128564885 /nfs/dbraw/zinc/56/48/85/1128564885.db2.gz AZSXCMPBOLQIKD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCCC[C@H]2c2ccccc2)on1 ZINC000568166554 1128579639 /nfs/dbraw/zinc/57/96/39/1128579639.db2.gz NORHNRJSHNYUNO-KRWDZBQOSA-N 1 2 284.359 3.604 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCCC[C@H]2c2ccccc2)on1 ZINC000568166554 1128579641 /nfs/dbraw/zinc/57/96/41/1128579641.db2.gz NORHNRJSHNYUNO-KRWDZBQOSA-N 1 2 284.359 3.604 20 0 CHADLO ClC1(Cl)C[C@@H]1Cn1c[nH+]c(Cc2ccccc2)c1 ZINC000919136772 1128591434 /nfs/dbraw/zinc/59/14/34/1128591434.db2.gz OSOFMSQEVPOSIX-GFCCVEGCSA-N 1 2 281.186 3.668 20 0 CHADLO Fc1cccc(OC(F)(F)F)c1C[NH+]1CCCCC1 ZINC000556601684 1128608404 /nfs/dbraw/zinc/60/84/04/1128608404.db2.gz RWPITEPWFZMAJO-UHFFFAOYSA-N 1 2 277.261 3.710 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000131271820 1128611863 /nfs/dbraw/zinc/61/18/63/1128611863.db2.gz ITLUYOOHKMVMHT-DTORHVGOSA-N 1 2 278.343 3.640 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000131271820 1128611864 /nfs/dbraw/zinc/61/18/64/1128611864.db2.gz ITLUYOOHKMVMHT-DTORHVGOSA-N 1 2 278.343 3.640 20 0 CHADLO Cc1coc2ccc(NC(=O)C[C@@H](C)n3cc[nH+]c3)cc12 ZINC000570336629 1128621171 /nfs/dbraw/zinc/62/11/71/1128621171.db2.gz UHYVQFJQQAEFSA-GFCCVEGCSA-N 1 2 283.331 3.528 20 0 CHADLO CCCn1cc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000131573056 1128628056 /nfs/dbraw/zinc/62/80/56/1128628056.db2.gz CLVURKFALAZCBT-GFCCVEGCSA-N 1 2 293.361 3.764 20 0 CHADLO CCCn1cc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000131573056 1128628060 /nfs/dbraw/zinc/62/80/60/1128628060.db2.gz CLVURKFALAZCBT-GFCCVEGCSA-N 1 2 293.361 3.764 20 0 CHADLO Cc1cc(NC(=O)C[C@H](C)c2ccc(F)cc2F)cc[nH+]1 ZINC000131732847 1128636283 /nfs/dbraw/zinc/63/62/83/1128636283.db2.gz HQCDLCLDGKHWQC-JTQLQIEISA-N 1 2 290.313 3.801 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000571725716 1128638132 /nfs/dbraw/zinc/63/81/32/1128638132.db2.gz SDKCKGJVLDSWQK-XFJVYGCCSA-N 1 2 295.373 3.915 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC000571725716 1128638135 /nfs/dbraw/zinc/63/81/35/1128638135.db2.gz SDKCKGJVLDSWQK-XFJVYGCCSA-N 1 2 295.373 3.915 20 0 CHADLO CC[N@H+](Cc1ccccc1)[C@@H](C)c1nc(C(C)(C)C)no1 ZINC000132068501 1128642532 /nfs/dbraw/zinc/64/25/32/1128642532.db2.gz ZAZVEHLBPGCMBA-ZDUSSCGKSA-N 1 2 287.407 3.950 20 0 CHADLO CC[N@@H+](Cc1ccccc1)[C@@H](C)c1nc(C(C)(C)C)no1 ZINC000132068501 1128642534 /nfs/dbraw/zinc/64/25/34/1128642534.db2.gz ZAZVEHLBPGCMBA-ZDUSSCGKSA-N 1 2 287.407 3.950 20 0 CHADLO Clc1ccc([C@H]2CC[N@@H+]2Cc2cnn3ccccc23)cc1 ZINC000556926303 1128644418 /nfs/dbraw/zinc/64/44/18/1128644418.db2.gz BXHVYFLJZGWSSJ-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccc([C@H]2CC[N@H+]2Cc2cnn3ccccc23)cc1 ZINC000556926303 1128644419 /nfs/dbraw/zinc/64/44/19/1128644419.db2.gz BXHVYFLJZGWSSJ-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCC[C@@H]3CCCC[C@@H]23)c(C)c[nH+]1 ZINC000556935698 1128647890 /nfs/dbraw/zinc/64/78/90/1128647890.db2.gz HDCOTNLXDOGSFY-ARFHVFGLSA-N 1 2 286.419 3.665 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCC[C@H]3CCCC[C@@H]32)c(C)c[nH+]1 ZINC000556935707 1128648332 /nfs/dbraw/zinc/64/83/32/1128648332.db2.gz HDCOTNLXDOGSFY-OWCLPIDISA-N 1 2 286.419 3.665 20 0 CHADLO CC(C)CO[C@@H]1CCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000573718258 1128657037 /nfs/dbraw/zinc/65/70/37/1128657037.db2.gz XPGQOVYZFMLREI-OAHLLOKOSA-N 1 2 288.366 3.625 20 0 CHADLO COc1cc(CSCCc2[nH+]cc(C)cc2C)ccn1 ZINC000575401701 1128666444 /nfs/dbraw/zinc/66/64/44/1128666444.db2.gz INSXKNZPZKZYMV-UHFFFAOYSA-N 1 2 288.416 3.578 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1ccc2c[nH]nc2c1 ZINC000158901272 1128672331 /nfs/dbraw/zinc/67/23/31/1128672331.db2.gz TZHOHPXYFKZQQT-UHFFFAOYSA-N 1 2 291.358 3.545 20 0 CHADLO CC[N@H+](Cc1nnc(C(C)(C)C)o1)Cc1ccccc1C ZINC000048059141 1128677958 /nfs/dbraw/zinc/67/79/58/1128677958.db2.gz DVDNRMCWRZQUBQ-UHFFFAOYSA-N 1 2 287.407 3.698 20 0 CHADLO CC[N@@H+](Cc1nnc(C(C)(C)C)o1)Cc1ccccc1C ZINC000048059141 1128677960 /nfs/dbraw/zinc/67/79/60/1128677960.db2.gz DVDNRMCWRZQUBQ-UHFFFAOYSA-N 1 2 287.407 3.698 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cc(F)c(F)c(F)c2)s1 ZINC000134904880 1128713024 /nfs/dbraw/zinc/71/30/24/1128713024.db2.gz LQRJYVAQSGPJLA-QMMMGPOBSA-N 1 2 286.322 3.720 20 0 CHADLO C[C@H](c1ccccc1)N(C(=O)C[C@H](C)n1cc[nH+]c1)C1CC1 ZINC000578365110 1128715403 /nfs/dbraw/zinc/71/54/03/1128715403.db2.gz WUPRWWUSRVAYQB-LSDHHAIUSA-N 1 2 297.402 3.586 20 0 CHADLO CCN(CC)c1ccc(NCc2cc3cccnc3o2)c[nH+]1 ZINC000578603086 1128723370 /nfs/dbraw/zinc/72/33/70/1128723370.db2.gz IGMNVYFVNKHXRH-UHFFFAOYSA-N 1 2 296.374 3.681 20 0 CHADLO CC[C@H]([NH2+][C@H](C(=O)OC)c1ccccc1)C1CCCCC1 ZINC000609804319 1128726478 /nfs/dbraw/zinc/72/64/78/1128726478.db2.gz NEAJLEOXRNXIEP-IRXDYDNUSA-N 1 2 289.419 3.849 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2C[C@@H]3CCC[C@H]3C2)c1 ZINC000578762247 1128728279 /nfs/dbraw/zinc/72/82/79/1128728279.db2.gz FKNGREHAHSKBRG-STQMWFEESA-N 1 2 256.324 3.610 20 0 CHADLO Cc1nocc1C[NH2+][C@H](c1ccc(C)cc1)c1ccccn1 ZINC000339377643 1117765893 /nfs/dbraw/zinc/76/58/93/1117765893.db2.gz NELWMUOEMPJYJA-GOSISDBHSA-N 1 2 293.370 3.566 20 0 CHADLO CSc1cc(C)c(C(=O)Nc2c(C)cc(C)[nH+]c2C)o1 ZINC000622776793 1117766336 /nfs/dbraw/zinc/76/63/36/1117766336.db2.gz RIUJWCZTXONUNG-UHFFFAOYSA-N 1 2 290.388 3.882 20 0 CHADLO Cc1ccc(C[N@@H+]([C@H](C)C(=O)OC(C)(C)C)C2CC2)cc1 ZINC000610012896 1128735625 /nfs/dbraw/zinc/73/56/25/1128735625.db2.gz KSOLBPABLJURCS-CQSZACIVSA-N 1 2 289.419 3.690 20 0 CHADLO Cc1ccc(C[N@H+]([C@H](C)C(=O)OC(C)(C)C)C2CC2)cc1 ZINC000610012896 1128735626 /nfs/dbraw/zinc/73/56/26/1128735626.db2.gz KSOLBPABLJURCS-CQSZACIVSA-N 1 2 289.419 3.690 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1N1CCc2cccc(N)c21 ZINC001213503661 1117804024 /nfs/dbraw/zinc/80/40/24/1117804024.db2.gz RDTJTTAJXFVEHC-UHFFFAOYSA-N 1 2 265.360 3.544 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1cc(-c2ccco2)on1 ZINC000623121091 1117816339 /nfs/dbraw/zinc/81/63/39/1117816339.db2.gz PRUYMPZBHOAQQJ-UHFFFAOYSA-N 1 2 288.269 3.709 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1cc(-c2ccco2)on1 ZINC000623121091 1117816347 /nfs/dbraw/zinc/81/63/47/1117816347.db2.gz PRUYMPZBHOAQQJ-UHFFFAOYSA-N 1 2 288.269 3.709 20 0 CHADLO Cc1c(Cl)ccc(C[N@@H+]2CCOCC2(C)C)c1C ZINC001238218725 1117824060 /nfs/dbraw/zinc/82/40/60/1117824060.db2.gz LAIFQGUSQFOTFZ-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1c(Cl)ccc(C[N@H+]2CCOCC2(C)C)c1C ZINC001238218725 1117824064 /nfs/dbraw/zinc/82/40/64/1117824064.db2.gz LAIFQGUSQFOTFZ-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO CCCCC1CCC(NC(=O)CCCn2cc[nH+]c2)CC1 ZINC000623281632 1117829144 /nfs/dbraw/zinc/82/91/44/1117829144.db2.gz FIQGVMXHRCGYPH-UHFFFAOYSA-N 1 2 291.439 3.529 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CCC[C@H]2C[C@H]21 ZINC000672322580 1117833011 /nfs/dbraw/zinc/83/30/11/1117833011.db2.gz FAEMXSLTFDDDOY-GXTWGEPZSA-N 1 2 268.360 3.541 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2cccnc2Cl)n1 ZINC000125574422 1117866908 /nfs/dbraw/zinc/86/69/08/1117866908.db2.gz JDROVSLZSFIPEA-UHFFFAOYSA-N 1 2 281.812 3.605 20 0 CHADLO Cc1cc(C)c(Nc2ccnn2-c2ccccc2F)c[nH+]1 ZINC001213510984 1117867217 /nfs/dbraw/zinc/86/72/17/1117867217.db2.gz QBOKFSARCBINNQ-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO Nc1[nH+]c(N2CC[C@@H](C3CCCCC3)C2)ccc1Cl ZINC001167067266 1117868966 /nfs/dbraw/zinc/86/89/66/1117868966.db2.gz CLYDAWMMPYNSLL-GFCCVEGCSA-N 1 2 279.815 3.724 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccon1)c1ccccc1OC(F)F ZINC000178263317 1117890205 /nfs/dbraw/zinc/89/02/05/1117890205.db2.gz JGJIBQCQLICFMK-GFCCVEGCSA-N 1 2 282.290 3.517 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1CCc1ccccc1)c1ccoc1 ZINC000178351162 1117891627 /nfs/dbraw/zinc/89/16/27/1117891627.db2.gz QOQCBCLYBIWYTF-OAHLLOKOSA-N 1 2 295.386 3.570 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cnc(C(C)C)s2)c(C)[nH+]1 ZINC000178866357 1117897367 /nfs/dbraw/zinc/89/73/67/1117897367.db2.gz USXFDTJDBZAGLV-UHFFFAOYSA-N 1 2 289.404 3.839 20 0 CHADLO C[C@H](CNc1ccc([NH+](C)C)cc1)c1ccccc1 ZINC000036335135 1117911599 /nfs/dbraw/zinc/91/15/99/1117911599.db2.gz ICDVDVDBNFBNKW-CQSZACIVSA-N 1 2 254.377 3.968 20 0 CHADLO C[C@H](C[NH2+]c1ccc(N(C)C)cc1)c1ccccc1 ZINC000036335135 1117911603 /nfs/dbraw/zinc/91/16/03/1117911603.db2.gz ICDVDVDBNFBNKW-CQSZACIVSA-N 1 2 254.377 3.968 20 0 CHADLO FC1(F)C[N@H+](CCC2CC2)CC[C@@H]1c1ccccc1 ZINC001208685571 1117913514 /nfs/dbraw/zinc/91/35/14/1117913514.db2.gz BNIMTEZRLFOIIN-OAHLLOKOSA-N 1 2 265.347 3.911 20 0 CHADLO FC1(F)C[N@@H+](CCC2CC2)CC[C@@H]1c1ccccc1 ZINC001208685571 1117913517 /nfs/dbraw/zinc/91/35/17/1117913517.db2.gz BNIMTEZRLFOIIN-OAHLLOKOSA-N 1 2 265.347 3.911 20 0 CHADLO CCCCCN(CCCCC)C(=O)[C@@H](C)n1cc[nH+]c1 ZINC000053802389 1128743663 /nfs/dbraw/zinc/74/36/63/1128743663.db2.gz OJRUIBXEMXYICY-OAHLLOKOSA-N 1 2 279.428 3.653 20 0 CHADLO c1ncc(C[N@H+]2CCc3ccccc3[C@H]2c2ccccc2)[nH]1 ZINC000339611126 1117917520 /nfs/dbraw/zinc/91/75/20/1117917520.db2.gz QRSBIHGHNNWHAD-LJQANCHMSA-N 1 2 289.382 3.557 20 0 CHADLO Cc1ccnc(C[N@@H+]([C@H](C)c2ccccc2)C2CC2)n1 ZINC000339659406 1117949093 /nfs/dbraw/zinc/94/90/93/1117949093.db2.gz QCESQIQUBXEQIQ-CQSZACIVSA-N 1 2 267.376 3.511 20 0 CHADLO Cc1ccnc(C[N@H+]([C@H](C)c2ccccc2)C2CC2)n1 ZINC000339659406 1117949095 /nfs/dbraw/zinc/94/90/95/1117949095.db2.gz QCESQIQUBXEQIQ-CQSZACIVSA-N 1 2 267.376 3.511 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2nccc(C)n2)o1 ZINC000339662511 1117950431 /nfs/dbraw/zinc/95/04/31/1117950431.db2.gz KASQHAKFWKVZBD-WFASDCNBSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2nccc(C)n2)o1 ZINC000339662511 1117950432 /nfs/dbraw/zinc/95/04/32/1117950432.db2.gz KASQHAKFWKVZBD-WFASDCNBSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1ccnc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2F)n1 ZINC000339660132 1117950645 /nfs/dbraw/zinc/95/06/45/1117950645.db2.gz SLXCXCXKWOJULG-WBMJQRKESA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2F)n1 ZINC000339660132 1117950649 /nfs/dbraw/zinc/95/06/49/1117950649.db2.gz SLXCXCXKWOJULG-WBMJQRKESA-N 1 2 285.366 3.507 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H]2COc3c2ccc(C)c3C)n1 ZINC000623911772 1117953532 /nfs/dbraw/zinc/95/35/32/1117953532.db2.gz NBRMSPMHYIWPHN-OCCSQVGLSA-N 1 2 288.416 3.853 20 0 CHADLO C(C1CC1)[C@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000339686495 1117953740 /nfs/dbraw/zinc/95/37/40/1117953740.db2.gz NKOUKFLAUFKELA-CQSZACIVSA-N 1 2 289.423 3.739 20 0 CHADLO C(C1CC1)[C@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000339686495 1117953744 /nfs/dbraw/zinc/95/37/44/1117953744.db2.gz NKOUKFLAUFKELA-CQSZACIVSA-N 1 2 289.423 3.739 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@H]1CCCc2scnc21 ZINC000623908468 1117953970 /nfs/dbraw/zinc/95/39/70/1117953970.db2.gz CDRBBHOMLSHGIA-ZDUSSCGKSA-N 1 2 288.416 3.627 20 0 CHADLO C[C@H](C[N@@H+]1CCc2cc(F)c(F)cc2C1)C(F)(F)F ZINC001208897733 1117958338 /nfs/dbraw/zinc/95/83/38/1117958338.db2.gz HKDXAFHXNYEJRH-MRVPVSSYSA-N 1 2 279.252 3.521 20 0 CHADLO C[C@H](C[N@H+]1CCc2cc(F)c(F)cc2C1)C(F)(F)F ZINC001208897733 1117958341 /nfs/dbraw/zinc/95/83/41/1117958341.db2.gz HKDXAFHXNYEJRH-MRVPVSSYSA-N 1 2 279.252 3.521 20 0 CHADLO CN(Cc1ccc(-n2cc[nH+]c2)cc1)c1ccccc1F ZINC000339725075 1117965889 /nfs/dbraw/zinc/96/58/89/1117965889.db2.gz DEQDXZIDQRBTRQ-UHFFFAOYSA-N 1 2 281.334 3.648 20 0 CHADLO CC(C)CCC[N@@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000339804198 1117976232 /nfs/dbraw/zinc/97/62/32/1117976232.db2.gz HNVHXPCVLSYERS-UHFFFAOYSA-N 1 2 269.392 3.624 20 0 CHADLO CC(C)CCC[N@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000339804198 1117976234 /nfs/dbraw/zinc/97/62/34/1117976234.db2.gz HNVHXPCVLSYERS-UHFFFAOYSA-N 1 2 269.392 3.624 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCc3ccccc3[C@H]2C)c1 ZINC000339820148 1117978849 /nfs/dbraw/zinc/97/88/49/1117978849.db2.gz OZRYKGXHVJIYNU-CQSZACIVSA-N 1 2 282.387 3.518 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1cc(OC)c(OC)cc1C ZINC000624148219 1117990218 /nfs/dbraw/zinc/99/02/18/1117990218.db2.gz YQHWZYAURGSXHR-NSHDSACASA-N 1 2 287.350 3.708 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1ccc(-c2ccncc2)cc1 ZINC000182073233 1118012698 /nfs/dbraw/zinc/01/26/98/1118012698.db2.gz SNUMZKAPSMFCJF-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO COc1ccccc1[C@H](C)N(C)c1[nH+]c(C)ccc1OC ZINC001167106338 1118022333 /nfs/dbraw/zinc/02/23/33/1118022333.db2.gz RXHKTGWHSPQEIP-ZDUSSCGKSA-N 1 2 286.375 3.605 20 0 CHADLO Clc1ncccc1C[N@@H+]1Cc2ccccc2C2(CC2)C1 ZINC000186152794 1118038590 /nfs/dbraw/zinc/03/85/90/1118038590.db2.gz PKURBPNIFFXFSQ-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Clc1ncccc1C[N@H+]1Cc2ccccc2C2(CC2)C1 ZINC000186152794 1118038596 /nfs/dbraw/zinc/03/85/96/1118038596.db2.gz PKURBPNIFFXFSQ-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Clc1ccc(CSCCn2cc[nH+]c2)s1 ZINC000090199841 1118059346 /nfs/dbraw/zinc/05/93/46/1118059346.db2.gz XCTBOJXSSYQGJN-UHFFFAOYSA-N 1 2 258.799 3.531 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2c(F)cccc2F)C2CC2)s1 ZINC000185376446 1118061595 /nfs/dbraw/zinc/06/15/95/1118061595.db2.gz NOEMMWQRFRPMIC-AWEZNQCLSA-N 1 2 294.370 3.971 20 0 CHADLO Cc1oc2ccccc2c1CNc1ccc(N(C)C)c[nH+]1 ZINC000340106556 1118065992 /nfs/dbraw/zinc/06/59/92/1118065992.db2.gz RAEATSWGONDQMH-UHFFFAOYSA-N 1 2 281.359 3.814 20 0 CHADLO CCS[C@H](C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)C(C)C ZINC000340116092 1118071069 /nfs/dbraw/zinc/07/10/69/1118071069.db2.gz YEKGKPUFQJTJOY-AWEZNQCLSA-N 1 2 291.420 3.588 20 0 CHADLO Fc1ccc([C@H]([NH2+]C/C=C\Cl)c2ccccn2)cc1 ZINC000749448562 1118075292 /nfs/dbraw/zinc/07/52/92/1118075292.db2.gz KVVOWJNPDKJGPA-YHTRPRNISA-N 1 2 276.742 3.652 20 0 CHADLO C[N@H+](Cc1ccc(C#N)cc1)Cc1cccc(Cl)c1O ZINC000179683097 1128754203 /nfs/dbraw/zinc/75/42/03/1128754203.db2.gz KMMMVFXLKICQLD-UHFFFAOYSA-N 1 2 286.762 3.549 20 0 CHADLO C[N@@H+](Cc1ccc(C#N)cc1)Cc1cccc(Cl)c1O ZINC000179683097 1128754207 /nfs/dbraw/zinc/75/42/07/1128754207.db2.gz KMMMVFXLKICQLD-UHFFFAOYSA-N 1 2 286.762 3.549 20 0 CHADLO CCOCCC1(CNc2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000127747604 1118093578 /nfs/dbraw/zinc/09/35/78/1118093578.db2.gz YQJJTSAHLHAAPX-UHFFFAOYSA-N 1 2 277.412 3.527 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC23CCCC3)c(C)[nH+]1 ZINC000650211229 1118116749 /nfs/dbraw/zinc/11/67/49/1118116749.db2.gz XTIMIBIZXFTGFA-UHFFFAOYSA-N 1 2 273.380 3.557 20 0 CHADLO CSc1cc(-c2c[nH+]c3c(c2)CCCN3)ccc1F ZINC001238964242 1118120013 /nfs/dbraw/zinc/12/00/13/1118120013.db2.gz AGNDQCLTYUZKLU-UHFFFAOYSA-N 1 2 274.364 3.610 20 0 CHADLO C[C@H](c1ccccc1)[C@H](C)[NH2+]CC(=O)OCc1ccccc1 ZINC000754179205 1118129126 /nfs/dbraw/zinc/12/91/26/1118129126.db2.gz AGRWTBWFLMMWMH-HOTGVXAUSA-N 1 2 297.398 3.512 20 0 CHADLO CO[C@@H](C)c1nc(C[NH+]2CCC(F)(C3CC3)CC2)cs1 ZINC000932630907 1118142055 /nfs/dbraw/zinc/14/20/55/1118142055.db2.gz QIHRLNWLLHXXLE-NSHDSACASA-N 1 2 298.427 3.565 20 0 CHADLO Fc1cncc(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)c1 ZINC000779158413 1128758414 /nfs/dbraw/zinc/75/84/14/1128758414.db2.gz QWKARVFLTWIKSX-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cncc(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)c1 ZINC000779158413 1128758417 /nfs/dbraw/zinc/75/84/17/1128758417.db2.gz QWKARVFLTWIKSX-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3cc(C)cc(C)c3)cc2[nH+]1 ZINC000010896063 1118159686 /nfs/dbraw/zinc/15/96/86/1118159686.db2.gz UIPYBVHVMUPTEV-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(-c3cccs3)cc2)o1 ZINC000683522735 1118168640 /nfs/dbraw/zinc/16/86/40/1118168640.db2.gz YYMRRLNTSVKFOF-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO CCc1ccc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000023889668 1118180846 /nfs/dbraw/zinc/18/08/46/1118180846.db2.gz XDVFDZDPGSTOPA-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1nc(C(C)(C)C)co1 ZINC000921558974 1118200131 /nfs/dbraw/zinc/20/01/31/1118200131.db2.gz CBICMNJKZBKZAJ-UHFFFAOYSA-N 1 2 287.407 3.959 20 0 CHADLO CNc1ccc(Nc2ccc(Cl)cc2C(C)=O)c[nH+]1 ZINC001203458306 1118220696 /nfs/dbraw/zinc/22/06/96/1118220696.db2.gz JHUTUJPPCQGWFK-UHFFFAOYSA-N 1 2 275.739 3.723 20 0 CHADLO Cc1cc(Br)cc(Nc2c[nH+]c(C)c(N)c2)c1 ZINC001210287748 1118233395 /nfs/dbraw/zinc/23/33/95/1118233395.db2.gz CRTSMGPFXHYXFN-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO CNc1ccc(Nc2ccc(C)c(O)c2Cl)c[nH+]1 ZINC001203459070 1118233821 /nfs/dbraw/zinc/23/38/21/1118233821.db2.gz XEGIQTUGSGQGNY-UHFFFAOYSA-N 1 2 263.728 3.534 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc(Cl)cc2)o1 ZINC000072556496 1118242135 /nfs/dbraw/zinc/24/21/35/1118242135.db2.gz MDIKOCNSNZJMLH-JTQLQIEISA-N 1 2 264.756 3.741 20 0 CHADLO CC(C)Oc1ccc(NCc2cccc3[nH+]ccn32)cc1 ZINC001648866438 1118267295 /nfs/dbraw/zinc/26/72/95/1118267295.db2.gz YQUHKWLPHAQTGR-UHFFFAOYSA-N 1 2 281.359 3.734 20 0 CHADLO CCCCOCC[N@@H+]1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000056495259 1118273345 /nfs/dbraw/zinc/27/33/45/1118273345.db2.gz GXVVOKXXKHXNOA-INIZCTEOSA-N 1 2 297.826 3.530 20 0 CHADLO CCCCOCC[N@H+]1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000056495259 1118273349 /nfs/dbraw/zinc/27/33/49/1118273349.db2.gz GXVVOKXXKHXNOA-INIZCTEOSA-N 1 2 297.826 3.530 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2ccc(F)cc2Cl)nn1C ZINC000282180434 1118276802 /nfs/dbraw/zinc/27/68/02/1118276802.db2.gz MUFLMLAITAOURQ-GHMZBOCLSA-N 1 2 295.789 3.933 20 0 CHADLO Oc1cccc(C[NH2+][C@H](c2ccccc2)c2ccccn2)c1 ZINC000072671382 1118279392 /nfs/dbraw/zinc/27/93/92/1118279392.db2.gz BPIXTPCYHZZOKQ-LJQANCHMSA-N 1 2 290.366 3.666 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(C)n(C)n1)c1ccc(F)cc1F ZINC000282208074 1118290352 /nfs/dbraw/zinc/29/03/52/1118290352.db2.gz OFSKZDBTFFJTJH-XHDPSFHLSA-N 1 2 293.361 3.809 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(C)n(C)n1)c1ccc(F)cc1F ZINC000282208070 1118290358 /nfs/dbraw/zinc/29/03/58/1118290358.db2.gz OFSKZDBTFFJTJH-IAQYHMDHSA-N 1 2 293.361 3.809 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cc(C)c(C)o2)s1 ZINC000282216116 1118295311 /nfs/dbraw/zinc/29/53/11/1118295311.db2.gz ORSOVJGMUCDJOD-JTQLQIEISA-N 1 2 264.394 3.766 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cc(F)cc1F)c1ccco1 ZINC000340500477 1118307985 /nfs/dbraw/zinc/30/79/85/1118307985.db2.gz SXOVEWFZEGWABC-QMMMGPOBSA-N 1 2 255.239 3.548 20 0 CHADLO Cc1ccc([C@@H]([NH2+][C@H](C)c2cn[nH]c2)c2ccccn2)cc1 ZINC000340504012 1118309366 /nfs/dbraw/zinc/30/93/66/1118309366.db2.gz YWTTXWZXENDSAJ-RDTXWAMCSA-N 1 2 292.386 3.553 20 0 CHADLO O=C1CC(Nc2[nH+]ccc3cc(-c4ccc(F)cc4)[nH]c32)C1 ZINC001167336237 1118322794 /nfs/dbraw/zinc/32/27/94/1118322794.db2.gz URYFHOYDQQRQNS-UHFFFAOYSA-N 1 2 295.317 3.512 20 0 CHADLO CC[N@H+](Cc1nc(CCC(C)C)no1)Cc1ccccc1 ZINC000064507856 1118325997 /nfs/dbraw/zinc/32/59/97/1118325997.db2.gz BVFZNKJKFCVLIJ-UHFFFAOYSA-N 1 2 287.407 3.680 20 0 CHADLO CC[N@@H+](Cc1nc(CCC(C)C)no1)Cc1ccccc1 ZINC000064507856 1118326004 /nfs/dbraw/zinc/32/60/04/1118326004.db2.gz BVFZNKJKFCVLIJ-UHFFFAOYSA-N 1 2 287.407 3.680 20 0 CHADLO Cc1sc(Cl)nc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC001125404771 1118329835 /nfs/dbraw/zinc/32/98/35/1118329835.db2.gz RWNYFPRDTGDWCK-UHFFFAOYSA-N 1 2 295.795 3.677 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2)oc1C ZINC000066420283 1118344786 /nfs/dbraw/zinc/34/47/86/1118344786.db2.gz AIGJXVJPAZMZDG-HNNXBMFYSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2)oc1C ZINC000066420283 1118344790 /nfs/dbraw/zinc/34/47/90/1118344790.db2.gz AIGJXVJPAZMZDG-HNNXBMFYSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2nnc(-c3ccccc3)o2)o1 ZINC000066561419 1118346493 /nfs/dbraw/zinc/34/64/93/1118346493.db2.gz ABMRGCOPQGHPLN-CYBMUJFWSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2nnc(-c3ccccc3)o2)o1 ZINC000066561419 1118346496 /nfs/dbraw/zinc/34/64/96/1118346496.db2.gz ABMRGCOPQGHPLN-CYBMUJFWSA-N 1 2 297.358 3.831 20 0 CHADLO C[C@H](O)c1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1 ZINC001210644940 1118358582 /nfs/dbraw/zinc/35/85/82/1118358582.db2.gz PLEZHZOSRCALCH-AWEZNQCLSA-N 1 2 297.402 3.869 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)c(C)n1 ZINC000671770775 1118366031 /nfs/dbraw/zinc/36/60/31/1118366031.db2.gz RHKCIAAQULMKPF-RYUDHWBXSA-N 1 2 291.345 3.783 20 0 CHADLO CCN(C)c1ccc(Nc2cnc3sccc3c2)c[nH+]1 ZINC001210693408 1118368213 /nfs/dbraw/zinc/36/82/13/1118368213.db2.gz VQLJYNZKOPCPMR-UHFFFAOYSA-N 1 2 284.388 3.891 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccc(F)cc1 ZINC000072558000 1118373831 /nfs/dbraw/zinc/37/38/31/1118373831.db2.gz AHDFHHHSJYTEMQ-HNNXBMFYSA-N 1 2 289.354 3.573 20 0 CHADLO COc1cc(Nc2cc(C)c3ccccc3n2)cc(C)[nH+]1 ZINC001210776176 1118382892 /nfs/dbraw/zinc/38/28/92/1118382892.db2.gz NUCPFMFNEPHMGI-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Fc1cccc2c1SCC[C@@H]2Nc1cccc[nH+]1 ZINC000073803051 1118384391 /nfs/dbraw/zinc/38/43/91/1118384391.db2.gz OWZJPBPXUUIRES-LBPRGKRZSA-N 1 2 260.337 3.870 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1ccc(F)cc1 ZINC000073957319 1118385644 /nfs/dbraw/zinc/38/56/44/1118385644.db2.gz LMERLDSGYGIPFX-OAHLLOKOSA-N 1 2 289.354 3.573 20 0 CHADLO Clc1ccsc1C[N@@H+]1CCOc2ccccc2C1 ZINC000683827406 1118392679 /nfs/dbraw/zinc/39/26/79/1118392679.db2.gz VVWKEJGDGDAHLQ-UHFFFAOYSA-N 1 2 279.792 3.796 20 0 CHADLO Clc1ccsc1C[N@H+]1CCOc2ccccc2C1 ZINC000683827406 1118392680 /nfs/dbraw/zinc/39/26/80/1118392680.db2.gz VVWKEJGDGDAHLQ-UHFFFAOYSA-N 1 2 279.792 3.796 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCCC[C@@H]2c2ccc(C)cc2)on1 ZINC000580209179 1118401766 /nfs/dbraw/zinc/40/17/66/1118401766.db2.gz WPRYTWZJMPWQRL-GOSISDBHSA-N 1 2 298.386 3.913 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCCC[C@@H]2c2ccc(C)cc2)on1 ZINC000580209179 1118401769 /nfs/dbraw/zinc/40/17/69/1118401769.db2.gz WPRYTWZJMPWQRL-GOSISDBHSA-N 1 2 298.386 3.913 20 0 CHADLO C/C=C\C[C@H]1CCCN(C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC000626548359 1128775080 /nfs/dbraw/zinc/77/50/80/1128775080.db2.gz DXDXSGKJHBOWAI-SFAKSCPVSA-N 1 2 298.390 3.544 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@H]2CCCC23CC3)c(C)[nH+]1 ZINC000626568817 1128775095 /nfs/dbraw/zinc/77/50/95/1128775095.db2.gz LSYVFKCPRSYHGJ-CQSZACIVSA-N 1 2 287.407 3.709 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc(-c3ccco3)s2)nc1 ZINC000683839243 1118403780 /nfs/dbraw/zinc/40/37/80/1118403780.db2.gz GUFDGDUABAOGBV-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc(-c3ccco3)s2)nc1 ZINC000683839243 1118403783 /nfs/dbraw/zinc/40/37/83/1118403783.db2.gz GUFDGDUABAOGBV-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2ccoc2)c2ccccn2)c1 ZINC000765792751 1118408404 /nfs/dbraw/zinc/40/84/04/1118408404.db2.gz PNKFXVBYOZVLCU-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)[C@@H](C)c2ccccc2F)o1 ZINC000683898222 1118434167 /nfs/dbraw/zinc/43/41/67/1118434167.db2.gz HROKZKDUSNNEJS-NSHDSACASA-N 1 2 262.328 3.624 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)[C@@H](C)c2ccccc2F)o1 ZINC000683898222 1118434169 /nfs/dbraw/zinc/43/41/69/1118434169.db2.gz HROKZKDUSNNEJS-NSHDSACASA-N 1 2 262.328 3.624 20 0 CHADLO CCCc1csc(C[N@H+](Cc2ccccn2)C(C)C)n1 ZINC000580388345 1118442884 /nfs/dbraw/zinc/44/28/84/1118442884.db2.gz URZPUHLCQULASA-UHFFFAOYSA-N 1 2 289.448 3.901 20 0 CHADLO CCCc1csc(C[N@@H+](Cc2ccccn2)C(C)C)n1 ZINC000580388345 1118442885 /nfs/dbraw/zinc/44/28/85/1118442885.db2.gz URZPUHLCQULASA-UHFFFAOYSA-N 1 2 289.448 3.901 20 0 CHADLO Cc1c[nH+]c(CCNc2cccc(C(F)(F)F)n2)c(C)c1 ZINC000656210629 1118446067 /nfs/dbraw/zinc/44/60/67/1118446067.db2.gz BFTMRCNUVFIJHZ-UHFFFAOYSA-N 1 2 295.308 3.767 20 0 CHADLO CSc1cncc(Nc2cc[nH+]c(SC)c2)c1 ZINC001211071070 1118455311 /nfs/dbraw/zinc/45/53/11/1118455311.db2.gz QDQWISPRZOXQKP-UHFFFAOYSA-N 1 2 263.391 3.664 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](Cc1cncnc1)C1CC1 ZINC000129881299 1118465194 /nfs/dbraw/zinc/46/51/94/1118465194.db2.gz XSAPBYKHBYJRLF-LBPRGKRZSA-N 1 2 287.794 3.856 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](Cc1cncnc1)C1CC1 ZINC000129881299 1118465196 /nfs/dbraw/zinc/46/51/96/1118465196.db2.gz XSAPBYKHBYJRLF-LBPRGKRZSA-N 1 2 287.794 3.856 20 0 CHADLO CCSCc1ccc[nH+]c1NC[C@@](C)(CC)OC ZINC001658780227 1118473105 /nfs/dbraw/zinc/47/31/05/1118473105.db2.gz UAFKACIPIPTQML-CQSZACIVSA-N 1 2 268.426 3.562 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1c(F)cncc1F ZINC001167420956 1118482690 /nfs/dbraw/zinc/48/26/90/1118482690.db2.gz MYTRCIOGTBKJNB-KRWDZBQOSA-N 1 2 288.341 3.869 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1c(F)cncc1F ZINC001167420956 1118482692 /nfs/dbraw/zinc/48/26/92/1118482692.db2.gz MYTRCIOGTBKJNB-KRWDZBQOSA-N 1 2 288.341 3.869 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](C/C=C\c1ccccc1OC)C2 ZINC000656275727 1118492968 /nfs/dbraw/zinc/49/29/68/1118492968.db2.gz WSDVKPARCSRSJA-ALCCZGGFSA-N 1 2 295.382 3.733 20 0 CHADLO COc1ccc2c(c1)C[N@H+](C/C=C\c1ccccc1OC)C2 ZINC000656275727 1118492969 /nfs/dbraw/zinc/49/29/69/1118492969.db2.gz WSDVKPARCSRSJA-ALCCZGGFSA-N 1 2 295.382 3.733 20 0 CHADLO CCOc1cccc(C[N@@H+]2Cc3ccc(OC)cc3C2)c1 ZINC000656275413 1118494000 /nfs/dbraw/zinc/49/40/00/1118494000.db2.gz NOHCHQBXHXMYKR-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO CCOc1cccc(C[N@H+]2Cc3ccc(OC)cc3C2)c1 ZINC000656275413 1118494001 /nfs/dbraw/zinc/49/40/01/1118494001.db2.gz NOHCHQBXHXMYKR-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO CCOC(=O)c1ccc(F)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213515811 1118512525 /nfs/dbraw/zinc/51/25/25/1118512525.db2.gz MNQNDZWWKJCJPS-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO Cc1nn(C)c2cc(Nc3c[nH+]c(C)cc3C)ccc12 ZINC001213515956 1118513351 /nfs/dbraw/zinc/51/33/51/1118513351.db2.gz VGNHPUFFODBWBL-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(F)nc2Br)c1C ZINC001213524932 1118528018 /nfs/dbraw/zinc/52/80/18/1118528018.db2.gz JTLGYFYSSVHFHY-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO COc1cc(OC)c(Nc2c[nH+]cc(C)c2C)cc1F ZINC001213530529 1118545315 /nfs/dbraw/zinc/54/53/15/1118545315.db2.gz DYQLDFWKDFIJHL-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO COC(=O)C1(c2ccc(Nc3c[nH+]cc(C)c3C)cc2)CC1 ZINC001213532574 1118550640 /nfs/dbraw/zinc/55/06/40/1118550640.db2.gz PCIOJRFTIJAYMK-UHFFFAOYSA-N 1 2 296.370 3.647 20 0 CHADLO COC(=O)c1ccc([C@@H](C)[N@@H+]2Cc3ccccc3[C@H]2C)o1 ZINC000340921331 1118556284 /nfs/dbraw/zinc/55/62/84/1118556284.db2.gz QESIIBKXIIGHDR-VXGBXAGGSA-N 1 2 285.343 3.704 20 0 CHADLO COC(=O)c1ccc([C@@H](C)[N@H+]2Cc3ccccc3[C@H]2C)o1 ZINC000340921331 1118556288 /nfs/dbraw/zinc/55/62/88/1118556288.db2.gz QESIIBKXIIGHDR-VXGBXAGGSA-N 1 2 285.343 3.704 20 0 CHADLO CCCc1noc(C[NH2+][C@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000128663621 1118557537 /nfs/dbraw/zinc/55/75/37/1118557537.db2.gz PARBRJKLLNEIAF-CYBMUJFWSA-N 1 2 299.418 3.752 20 0 CHADLO CC(C)n1cncc1C[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000644901973 1118560049 /nfs/dbraw/zinc/56/00/49/1118560049.db2.gz RDOIJDDOGKZUEK-INIZCTEOSA-N 1 2 273.355 3.550 20 0 CHADLO CC(C)n1cncc1C[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000644901973 1118560051 /nfs/dbraw/zinc/56/00/51/1118560051.db2.gz RDOIJDDOGKZUEK-INIZCTEOSA-N 1 2 273.355 3.550 20 0 CHADLO CC[C@@H](C)[C@H]1CCCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000933220710 1118573489 /nfs/dbraw/zinc/57/34/89/1118573489.db2.gz IUDWPGQGWMMVFP-MLGOLLRUSA-N 1 2 285.391 3.604 20 0 CHADLO CC(C)(C)CCOc1cnccc1CNc1cccc[nH+]1 ZINC000340998924 1118575597 /nfs/dbraw/zinc/57/55/97/1118575597.db2.gz SLWRADQVPWBDGV-UHFFFAOYSA-N 1 2 285.391 3.904 20 0 CHADLO Cc1cc(OCCC[C@@H]2CCOC2)c2ccccc2[nH+]1 ZINC000647158658 1118579996 /nfs/dbraw/zinc/57/99/96/1118579996.db2.gz YTUXOZFHIWWCMN-CQSZACIVSA-N 1 2 271.360 3.739 20 0 CHADLO Cc1ccc(CCCC(=O)Nc2cccc3[nH+]ccn32)s1 ZINC000430593547 1118581867 /nfs/dbraw/zinc/58/18/67/1118581867.db2.gz DZHPAMLUEURMTI-UHFFFAOYSA-N 1 2 299.399 3.666 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000341036644 1118593007 /nfs/dbraw/zinc/59/30/07/1118593007.db2.gz RBUXVDRMEFLWSY-CHWSQXEVSA-N 1 2 299.418 3.923 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@@H]2COc3ccccc32)c1 ZINC000341052963 1118599282 /nfs/dbraw/zinc/59/92/82/1118599282.db2.gz PPGXAUWNPYGIFM-SNVBAGLBSA-N 1 2 294.276 3.689 20 0 CHADLO COCc1nc(C[NH2+][C@H](C)c2cccc(Cl)c2)cs1 ZINC000341072944 1118599713 /nfs/dbraw/zinc/59/97/13/1118599713.db2.gz NDMNSWFKMHEPLV-SNVBAGLBSA-N 1 2 296.823 3.794 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000341071759 1118599744 /nfs/dbraw/zinc/59/97/44/1118599744.db2.gz LBOWDWFURLBSBW-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C)cn1)c1cccc(Cl)c1 ZINC000341095242 1118602140 /nfs/dbraw/zinc/60/21/40/1118602140.db2.gz HVZGWSCEINASBV-AWEZNQCLSA-N 1 2 275.783 3.679 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncc(Br)s2)C(C)(C)C1 ZINC000341128802 1118604456 /nfs/dbraw/zinc/60/44/56/1118604456.db2.gz FKUYBVRFGFBGTD-MRVPVSSYSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncc(Br)s2)C(C)(C)C1 ZINC000341128802 1118604457 /nfs/dbraw/zinc/60/44/57/1118604457.db2.gz FKUYBVRFGFBGTD-MRVPVSSYSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nc(C(C)C)no1)c1ccccc1 ZINC000341203295 1118620507 /nfs/dbraw/zinc/62/05/07/1118620507.db2.gz CKJKCYSJNKIEEB-GXTWGEPZSA-N 1 2 273.380 3.995 20 0 CHADLO FC(F)(F)CC1C[NH+](Cc2sccc2Cl)C1 ZINC000656688431 1118637060 /nfs/dbraw/zinc/63/70/60/1118637060.db2.gz QIVOKDVUQGNUHE-UHFFFAOYSA-N 1 2 269.719 3.786 20 0 CHADLO Fc1ccc(/C=C/C[NH+]2CC(CC(F)(F)F)C2)c(F)c1 ZINC000656689018 1118637513 /nfs/dbraw/zinc/63/75/13/1118637513.db2.gz ZEACAQWXXXVOHS-OWOJBTEDSA-N 1 2 291.263 3.862 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cc1Cl)CC1(F)CC1 ZINC000684354965 1118643488 /nfs/dbraw/zinc/64/34/88/1118643488.db2.gz HQKAHTZYVJLXOG-UHFFFAOYSA-N 1 2 262.155 3.927 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cc1Cl)CC1(F)CC1 ZINC000684354965 1118643491 /nfs/dbraw/zinc/64/34/91/1118643491.db2.gz HQKAHTZYVJLXOG-UHFFFAOYSA-N 1 2 262.155 3.927 20 0 CHADLO CCc1cnc(CNc2c[nH+]cc3c2CCCC3)s1 ZINC000656776985 1118655276 /nfs/dbraw/zinc/65/52/76/1118655276.db2.gz XCCGDNCTQAYKLX-UHFFFAOYSA-N 1 2 273.405 3.591 20 0 CHADLO CC(C)(C)c1nc(C[NH+](C2CC2)C2CC2)cs1 ZINC000625838184 1118672853 /nfs/dbraw/zinc/67/28/53/1118672853.db2.gz ZDKPBFLSZAUVGL-UHFFFAOYSA-N 1 2 250.411 3.567 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(C)cnc2Cl)cc1 ZINC000891465929 1118689287 /nfs/dbraw/zinc/68/92/87/1118689287.db2.gz HMDQBUFDSVUYNL-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(C)cnc2Cl)cc1 ZINC000891465929 1118689289 /nfs/dbraw/zinc/68/92/89/1118689289.db2.gz HMDQBUFDSVUYNL-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO C[N@H+](CCc1cccs1)Cc1cccc(Cl)c1O ZINC000180476834 1128794210 /nfs/dbraw/zinc/79/42/10/1128794210.db2.gz WCOWZJOCPHRKDC-UHFFFAOYSA-N 1 2 281.808 3.782 20 0 CHADLO C[N@@H+](CCc1cccs1)Cc1cccc(Cl)c1O ZINC000180476834 1128794213 /nfs/dbraw/zinc/79/42/13/1128794213.db2.gz WCOWZJOCPHRKDC-UHFFFAOYSA-N 1 2 281.808 3.782 20 0 CHADLO Fc1ccc(Nc2[nH+]cnc3[nH]ccc32)cc1C1CC1 ZINC000341414742 1118697811 /nfs/dbraw/zinc/69/78/11/1118697811.db2.gz WTHHKWPAAMTKQV-UHFFFAOYSA-N 1 2 268.295 3.718 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2cc(-c3ccccc3)on2)s1 ZINC000090407002 1118712405 /nfs/dbraw/zinc/71/24/05/1118712405.db2.gz LTGRLWYYUYFBET-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1cc(N(Cc2ccccn2)C2CC2)nc(C2CCC2)[nH+]1 ZINC000891580484 1118713793 /nfs/dbraw/zinc/71/37/93/1118713793.db2.gz JRFPPRBPWKNOQO-UHFFFAOYSA-N 1 2 294.402 3.617 20 0 CHADLO COc1ccc([C@H](C)N(C)c2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000341500855 1118716963 /nfs/dbraw/zinc/71/69/63/1118716963.db2.gz OPJVTJYBONPJPC-ZDUSSCGKSA-N 1 2 297.402 3.868 20 0 CHADLO CCCc1noc(C[N@H+](C)[C@H]2CCCc3ccccc32)n1 ZINC000627655562 1128797068 /nfs/dbraw/zinc/79/70/68/1128797068.db2.gz WQGXPUKPQSWXCZ-HNNXBMFYSA-N 1 2 285.391 3.532 20 0 CHADLO CCCc1noc(C[N@@H+](C)[C@H]2CCCc3ccccc32)n1 ZINC000627655562 1128797071 /nfs/dbraw/zinc/79/70/71/1128797071.db2.gz WQGXPUKPQSWXCZ-HNNXBMFYSA-N 1 2 285.391 3.532 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cc(O)cc(Cl)c2)on1 ZINC000891720649 1118750898 /nfs/dbraw/zinc/75/08/98/1118750898.db2.gz ZKEKRANUOGRAIL-AWEZNQCLSA-N 1 2 292.766 3.679 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cc(O)cc(Cl)c2)on1 ZINC000891720649 1118750901 /nfs/dbraw/zinc/75/09/01/1118750901.db2.gz ZKEKRANUOGRAIL-AWEZNQCLSA-N 1 2 292.766 3.679 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@H+]1Cc1ccnn1C1CCC1 ZINC000891747007 1118756470 /nfs/dbraw/zinc/75/64/70/1118756470.db2.gz FCWUTRVAISIVAE-CQSZACIVSA-N 1 2 297.402 3.564 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@@H+]1Cc1ccnn1C1CCC1 ZINC000891747007 1118756472 /nfs/dbraw/zinc/75/64/72/1118756472.db2.gz FCWUTRVAISIVAE-CQSZACIVSA-N 1 2 297.402 3.564 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)c1 ZINC000348699100 1118762117 /nfs/dbraw/zinc/76/21/17/1118762117.db2.gz AINMSNREYNJFRF-MRXNPFEDSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)c1 ZINC000348699100 1118762120 /nfs/dbraw/zinc/76/21/20/1118762120.db2.gz AINMSNREYNJFRF-MRXNPFEDSA-N 1 2 273.355 3.584 20 0 CHADLO CC1=C[C@H](C)C[N@H+](Cc2cnc(C(F)(F)F)s2)C1 ZINC000430869323 1118779976 /nfs/dbraw/zinc/77/99/76/1118779976.db2.gz XTRBKTBVLDFGCC-QMMMGPOBSA-N 1 2 276.327 3.560 20 0 CHADLO CC1=C[C@H](C)C[N@@H+](Cc2cnc(C(F)(F)F)s2)C1 ZINC000430869323 1118779979 /nfs/dbraw/zinc/77/99/79/1118779979.db2.gz XTRBKTBVLDFGCC-QMMMGPOBSA-N 1 2 276.327 3.560 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CC12CCC2 ZINC000684519461 1118782383 /nfs/dbraw/zinc/78/23/83/1118782383.db2.gz ZHBTVKUMGALNQI-ZDUSSCGKSA-N 1 2 281.359 3.514 20 0 CHADLO c1sc(C2CC2)nc1C[NH2+]C1(c2ccccc2)CC1 ZINC000341654073 1118783344 /nfs/dbraw/zinc/78/33/44/1118783344.db2.gz FXKJKMBQASQJKV-UHFFFAOYSA-N 1 2 270.401 3.799 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1N[C@H]1CC[C@@H]1C1CCC1 ZINC000510670704 1118785543 /nfs/dbraw/zinc/78/55/43/1118785543.db2.gz OATWVGGGAOCNJA-CVEARBPZSA-N 1 2 271.408 3.672 20 0 CHADLO C[C@H](F)CC[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000510814040 1118824143 /nfs/dbraw/zinc/82/41/43/1118824143.db2.gz WNSKOGNCDBZPOF-YWPYICTPSA-N 1 2 285.790 3.850 20 0 CHADLO C[C@H](F)CC[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000510814040 1118824147 /nfs/dbraw/zinc/82/41/47/1118824147.db2.gz WNSKOGNCDBZPOF-YWPYICTPSA-N 1 2 285.790 3.850 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CSCCS1 ZINC000133214724 1118862622 /nfs/dbraw/zinc/86/26/22/1118862622.db2.gz HJESKXKOSFNRKK-ZDUSSCGKSA-N 1 2 290.457 3.804 20 0 CHADLO FC(F)(F)Cc1ncc(C[NH+]2Cc3ccccc3C2)s1 ZINC000891949365 1118868286 /nfs/dbraw/zinc/86/82/86/1118868286.db2.gz QRCZBVLNXFGQCU-UHFFFAOYSA-N 1 2 298.333 3.764 20 0 CHADLO CCS[C@@H]1CCCC[C@H]1Nc1cc(C)[nH+]c(C2CC2)n1 ZINC000426422144 1118873947 /nfs/dbraw/zinc/87/39/47/1118873947.db2.gz UTVJXMNEVZZSBZ-ZIAGYGMSSA-N 1 2 291.464 3.561 20 0 CHADLO Cc1cc(NCCc2cccs2)nc(C(C)C)[nH+]1 ZINC000035121407 1118875849 /nfs/dbraw/zinc/87/58/49/1118875849.db2.gz QDKIBQYJHDLMKU-UHFFFAOYSA-N 1 2 261.394 3.625 20 0 CHADLO C[C@@H]([NH2+]C/C=C\c1ccc(F)c(F)c1)C(C)(F)F ZINC000449417444 1118878672 /nfs/dbraw/zinc/87/86/72/1118878672.db2.gz YACVFHNGBQCNIG-ZBJFTSOASA-N 1 2 261.262 3.611 20 0 CHADLO COc1nc2ccccc2cc1C[N@H+](C)Cc1ccc(C)o1 ZINC000535780159 1118887570 /nfs/dbraw/zinc/88/75/70/1118887570.db2.gz MPFAZTILBGITDT-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1nc2ccccc2cc1C[N@@H+](C)Cc1ccc(C)o1 ZINC000535780159 1118887575 /nfs/dbraw/zinc/88/75/75/1118887575.db2.gz MPFAZTILBGITDT-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO CS[C@H]1CCCC[N@H+](Cc2cccc(Cl)c2O)C1 ZINC000180763533 1128808673 /nfs/dbraw/zinc/80/86/73/1128808673.db2.gz FLNWJRVEABFOJT-LBPRGKRZSA-N 1 2 285.840 3.763 20 0 CHADLO CS[C@H]1CCCC[N@@H+](Cc2cccc(Cl)c2O)C1 ZINC000180763533 1128808678 /nfs/dbraw/zinc/80/86/78/1128808678.db2.gz FLNWJRVEABFOJT-LBPRGKRZSA-N 1 2 285.840 3.763 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000535852464 1118906245 /nfs/dbraw/zinc/90/62/45/1118906245.db2.gz ZKCPUXXGUTYIIA-LLVKDONJSA-N 1 2 277.314 3.986 20 0 CHADLO Cc1ccoc1C[N@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000535852464 1118906247 /nfs/dbraw/zinc/90/62/47/1118906247.db2.gz ZKCPUXXGUTYIIA-LLVKDONJSA-N 1 2 277.314 3.986 20 0 CHADLO Cc1cc(NCc2ccc([C@H](C)O)cc2)nc(C2CCC2)[nH+]1 ZINC000891969222 1118909794 /nfs/dbraw/zinc/90/97/94/1118909794.db2.gz ISKSVJJYKSNHAZ-ZDUSSCGKSA-N 1 2 297.402 3.718 20 0 CHADLO C[C@H](CC(=O)Nc1cc(C(F)F)ccc1F)n1cc[nH+]c1 ZINC000650587308 1118911701 /nfs/dbraw/zinc/91/17/01/1118911701.db2.gz CUZBXKGURMVTBM-SECBINFHSA-N 1 2 297.280 3.550 20 0 CHADLO c1cn(C2CC2)c(C[N@@H+]2CCS[C@H](c3ccccc3)C2)n1 ZINC000892052926 1118949490 /nfs/dbraw/zinc/94/94/90/1118949490.db2.gz RKXOBPAVKAJZSG-INIZCTEOSA-N 1 2 299.443 3.508 20 0 CHADLO c1cn(C2CC2)c(C[N@H+]2CCS[C@H](c3ccccc3)C2)n1 ZINC000892052926 1118949491 /nfs/dbraw/zinc/94/94/91/1118949491.db2.gz RKXOBPAVKAJZSG-INIZCTEOSA-N 1 2 299.443 3.508 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC000055899731 1118955968 /nfs/dbraw/zinc/95/59/68/1118955968.db2.gz IUELDGNFSQAKMI-HNNXBMFYSA-N 1 2 284.403 3.852 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCn2cccc2[C@@H]1C ZINC000055899731 1118955970 /nfs/dbraw/zinc/95/59/70/1118955970.db2.gz IUELDGNFSQAKMI-HNNXBMFYSA-N 1 2 284.403 3.852 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2ccc(F)cc2F)C(C)C)s1 ZINC000342270070 1118962280 /nfs/dbraw/zinc/96/22/80/1118962280.db2.gz VBCXIHYLPFZXRH-CQSZACIVSA-N 1 2 297.374 3.612 20 0 CHADLO c1c2ccccc2oc1C[NH2+]Cc1noc2c1CCCC2 ZINC000342309879 1118972528 /nfs/dbraw/zinc/97/25/28/1118972528.db2.gz IDCZKPLGNPXNFR-UHFFFAOYSA-N 1 2 282.343 3.589 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc3ccccc3c2)[nH]c1C ZINC000628121039 1128813444 /nfs/dbraw/zinc/81/34/44/1128813444.db2.gz OPMWWVYNHNBJGI-UHFFFAOYSA-N 1 2 279.387 3.812 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc3ccccc3c2)[nH]c1C ZINC000628121039 1128813447 /nfs/dbraw/zinc/81/34/47/1128813447.db2.gz OPMWWVYNHNBJGI-UHFFFAOYSA-N 1 2 279.387 3.812 20 0 CHADLO Cc1[nH]c(CN(C)Cc2ccc3ccccc3c2)[nH+]c1C ZINC000628121039 1128813451 /nfs/dbraw/zinc/81/34/51/1128813451.db2.gz OPMWWVYNHNBJGI-UHFFFAOYSA-N 1 2 279.387 3.812 20 0 CHADLO CC(C)[C@H](O)CCNc1cc[nH+]c2c(Cl)cccc12 ZINC000342351455 1118988002 /nfs/dbraw/zinc/98/80/02/1118988002.db2.gz REWZPBRAOGMUDW-CQSZACIVSA-N 1 2 278.783 3.707 20 0 CHADLO CC1(C)C[NH+](Cc2ncn(-c3ccccc3)n2)CC(C)(C)C1 ZINC000342369353 1118993957 /nfs/dbraw/zinc/99/39/57/1118993957.db2.gz RYWQCSGUXNLBRL-UHFFFAOYSA-N 1 2 298.434 3.525 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1cnc(C2CC2)o1 ZINC000628131038 1128814446 /nfs/dbraw/zinc/81/44/46/1128814446.db2.gz PQGOEPMJZINCOK-NSHDSACASA-N 1 2 274.339 3.884 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1cnc(C2CC2)o1 ZINC000628131038 1128814453 /nfs/dbraw/zinc/81/44/53/1128814453.db2.gz PQGOEPMJZINCOK-NSHDSACASA-N 1 2 274.339 3.884 20 0 CHADLO Cc1cc(N2Cc3ccc(Cl)cc3C2)nc(C2CC2)[nH+]1 ZINC000413443625 1119002131 /nfs/dbraw/zinc/00/21/31/1119002131.db2.gz FFXNBEBWGPLTLM-UHFFFAOYSA-N 1 2 285.778 3.836 20 0 CHADLO Cc1c[nH+]c(CNc2ccc(Oc3cccnc3)cc2)n1C ZINC000342407677 1119006289 /nfs/dbraw/zinc/00/62/89/1119006289.db2.gz ANMYYQSCFAGIHE-UHFFFAOYSA-N 1 2 294.358 3.528 20 0 CHADLO Clc1cccc2c(N3C[C@@H]4C[C@H]3CS4)cc[nH+]c12 ZINC000413450726 1119022520 /nfs/dbraw/zinc/02/25/20/1119022520.db2.gz HUSDJWBLKMKLOE-UWVGGRQHSA-N 1 2 276.792 3.582 20 0 CHADLO COc1ccc(C[N@H+](C)[C@H](C)c2ccccc2Cl)nc1 ZINC000342470545 1119042864 /nfs/dbraw/zinc/04/28/64/1119042864.db2.gz IYECUUBGBJYQJI-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)nc1 ZINC000342470545 1119042866 /nfs/dbraw/zinc/04/28/66/1119042866.db2.gz IYECUUBGBJYQJI-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1cc(C[N@@H+]2CC(C)(C)C2(C)C)cnc1Br ZINC001167572312 1119073100 /nfs/dbraw/zinc/07/31/00/1119073100.db2.gz LNLZIBBIUKHQPY-UHFFFAOYSA-N 1 2 297.240 3.773 20 0 CHADLO Cc1cc(C[N@H+]2CC(C)(C)C2(C)C)cnc1Br ZINC001167572312 1119073104 /nfs/dbraw/zinc/07/31/04/1119073104.db2.gz LNLZIBBIUKHQPY-UHFFFAOYSA-N 1 2 297.240 3.773 20 0 CHADLO C[C@H](CC(=O)Nc1cccc(C(C)(F)F)c1)n1cc[nH+]c1 ZINC000582423635 1119076301 /nfs/dbraw/zinc/07/63/01/1119076301.db2.gz GMBHUJXYMBAFLK-LLVKDONJSA-N 1 2 293.317 3.585 20 0 CHADLO Cc1[nH]c(CN2CC[C@H](C)C[C@@H]2c2ccco2)[nH+]c1C ZINC000628186793 1128825483 /nfs/dbraw/zinc/82/54/83/1128825483.db2.gz WMERDZGGFHMGES-SMDDNHRTSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccco2)[nH]c1C ZINC000628186793 1128825487 /nfs/dbraw/zinc/82/54/87/1128825487.db2.gz WMERDZGGFHMGES-SMDDNHRTSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccco2)[nH]c1C ZINC000628186793 1128825491 /nfs/dbraw/zinc/82/54/91/1128825491.db2.gz WMERDZGGFHMGES-SMDDNHRTSA-N 1 2 273.380 3.593 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC000684892734 1119097435 /nfs/dbraw/zinc/09/74/35/1119097435.db2.gz IHOWPHJOANQUPO-NXEZZACHSA-N 1 2 277.393 3.512 20 0 CHADLO C[C@H]([NH2+]Cc1cscc1Cl)c1nccs1 ZINC000309125852 1119115504 /nfs/dbraw/zinc/11/55/04/1119115504.db2.gz POFROLPWTFEUDA-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO C[C@H](CCC(C)(C)C)Nc1cc(CSCCO)cc[nH+]1 ZINC000342724048 1119142766 /nfs/dbraw/zinc/14/27/66/1119142766.db2.gz FJYSUMQHCNACKH-CYBMUJFWSA-N 1 2 296.480 3.934 20 0 CHADLO Cn1nccc1[C@H]1CCCC[N@@H+]1Cc1cscc1Cl ZINC000684967627 1119147020 /nfs/dbraw/zinc/14/70/20/1119147020.db2.gz IXLIZZPLGNJOLF-CQSZACIVSA-N 1 2 295.839 3.862 20 0 CHADLO Cn1nccc1[C@H]1CCCC[N@H+]1Cc1cscc1Cl ZINC000684967627 1119147023 /nfs/dbraw/zinc/14/70/23/1119147023.db2.gz IXLIZZPLGNJOLF-CQSZACIVSA-N 1 2 295.839 3.862 20 0 CHADLO CC(C)O[C@@H](COc1cc[nH+]cc1)c1ccccc1 ZINC000431474024 1119160444 /nfs/dbraw/zinc/16/04/44/1119160444.db2.gz CHVAFGXBOMTVDH-INIZCTEOSA-N 1 2 257.333 3.627 20 0 CHADLO Cc1c[nH+]c(CN(C)Cc2csc3ccccc23)n1C ZINC000342795802 1119173575 /nfs/dbraw/zinc/17/35/75/1119173575.db2.gz HWHARGFPJZGPIH-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2csc3ccccc23)n1C ZINC000342795802 1119173576 /nfs/dbraw/zinc/17/35/76/1119173576.db2.gz HWHARGFPJZGPIH-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2csc3ccccc23)n1C ZINC000342795802 1119173578 /nfs/dbraw/zinc/17/35/78/1119173578.db2.gz HWHARGFPJZGPIH-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO C[C@@H](CCC(C)(C)C)[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000342796166 1119174038 /nfs/dbraw/zinc/17/40/38/1119174038.db2.gz JFQLRBLHNFNEOF-DZGCQCFKSA-N 1 2 286.423 3.699 20 0 CHADLO C[C@@H](CCC(C)(C)C)[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000342796169 1119174154 /nfs/dbraw/zinc/17/41/54/1119174154.db2.gz JFQLRBLHNFNEOF-ZFWWWQNUSA-N 1 2 286.423 3.699 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(Cl)cc1SC ZINC000657555442 1119176710 /nfs/dbraw/zinc/17/67/10/1119176710.db2.gz KTEBGNDNUOCLJK-UHFFFAOYSA-N 1 2 281.812 3.890 20 0 CHADLO CC(C)[C@H]1C[N@H+](Cc2ncc(C(C)(C)C)o2)CCS1 ZINC000076065383 1119182519 /nfs/dbraw/zinc/18/25/19/1119182519.db2.gz GTJNRLCBAWQNQY-GFCCVEGCSA-N 1 2 282.453 3.546 20 0 CHADLO CC(C)[C@H]1C[N@@H+](Cc2ncc(C(C)(C)C)o2)CCS1 ZINC000076065383 1119182520 /nfs/dbraw/zinc/18/25/20/1119182520.db2.gz GTJNRLCBAWQNQY-GFCCVEGCSA-N 1 2 282.453 3.546 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)o1 ZINC000076184622 1119184052 /nfs/dbraw/zinc/18/40/52/1119184052.db2.gz WDFOOABTCRGQPE-TZMCWYRMSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)o1 ZINC000076184622 1119184054 /nfs/dbraw/zinc/18/40/54/1119184054.db2.gz WDFOOABTCRGQPE-TZMCWYRMSA-N 1 2 274.364 3.803 20 0 CHADLO CCOC1CC[NH+](Cc2c(F)ccc(F)c2Cl)CC1 ZINC000628140488 1119187207 /nfs/dbraw/zinc/18/72/07/1119187207.db2.gz MVQINBQWDNAGQE-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO OCCCCN(c1cc[nH+]c2c(Cl)cccc12)C1CC1 ZINC000342846065 1119206610 /nfs/dbraw/zinc/20/66/10/1119206610.db2.gz HYHKCUBZAPAKOM-UHFFFAOYSA-N 1 2 290.794 3.630 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(F)cc1OC(C)C ZINC001212294010 1128829515 /nfs/dbraw/zinc/82/95/15/1128829515.db2.gz LFQRUOAWSUFMOT-UHFFFAOYSA-N 1 2 299.349 3.842 20 0 CHADLO c1nc(C2CC2)oc1CNc1ccc(N2CCCCC2)[nH+]c1 ZINC000628234297 1128831928 /nfs/dbraw/zinc/83/19/28/1128831928.db2.gz TZLUWOSQXKRLPL-UHFFFAOYSA-N 1 2 298.390 3.549 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1CCC=C(Br)C1 ZINC000404222395 1119264172 /nfs/dbraw/zinc/26/41/72/1119264172.db2.gz YZTVXOWDDAIXGL-UHFFFAOYSA-N 1 2 284.172 3.619 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1CCC=C(Br)C1 ZINC000404222395 1119264174 /nfs/dbraw/zinc/26/41/74/1119264174.db2.gz YZTVXOWDDAIXGL-UHFFFAOYSA-N 1 2 284.172 3.619 20 0 CHADLO CCc1nc(C[N@H+](C)CCOc2ccccc2C)cs1 ZINC000042631719 1119266820 /nfs/dbraw/zinc/26/68/20/1119266820.db2.gz UHVQZKKQBAKTKS-UHFFFAOYSA-N 1 2 290.432 3.525 20 0 CHADLO CCc1nc(C[N@@H+](C)CCOc2ccccc2C)cs1 ZINC000042631719 1119266822 /nfs/dbraw/zinc/26/68/22/1119266822.db2.gz UHVQZKKQBAKTKS-UHFFFAOYSA-N 1 2 290.432 3.525 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cnc(C(C)(C)C)s1 ZINC000449563426 1119292423 /nfs/dbraw/zinc/29/24/23/1119292423.db2.gz UYQRGDSJHMJBNZ-LLVKDONJSA-N 1 2 292.452 3.508 20 0 CHADLO Cc1[nH]c(CN2CCc3c(cccc3C(C)C)C2)[nH+]c1C ZINC000628250257 1128836291 /nfs/dbraw/zinc/83/62/91/1128836291.db2.gz JSVYLGKXJMNFTJ-UHFFFAOYSA-N 1 2 283.419 3.708 20 0 CHADLO CC1=CC[N@H+](Cc2ccc(Br)c(F)c2)CC1 ZINC000535971248 1119323515 /nfs/dbraw/zinc/32/35/15/1119323515.db2.gz QEBSXZLWPVMTNQ-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CC[N@@H+](Cc2ccc(Br)c(F)c2)CC1 ZINC000535971248 1119323519 /nfs/dbraw/zinc/32/35/19/1119323519.db2.gz QEBSXZLWPVMTNQ-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2c(C)noc2C)c(C)s1 ZINC000092327007 1119328141 /nfs/dbraw/zinc/32/81/41/1119328141.db2.gz RLUCUHFDUDDJOH-CBAPKCEASA-N 1 2 279.409 3.777 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1c(F)cccc1Cl ZINC000092328005 1119328333 /nfs/dbraw/zinc/32/83/33/1119328333.db2.gz OJYPCSOJZXTMAI-MRVPVSSYSA-N 1 2 282.746 3.935 20 0 CHADLO FC1(F)COC2(C[NH+](Cc3csc4ccccc34)C2)C1 ZINC001140639076 1119335185 /nfs/dbraw/zinc/33/51/85/1119335185.db2.gz IUARBFZAKDYHAH-UHFFFAOYSA-N 1 2 295.354 3.511 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]C[C@@H]2CCC[N@@H+]2CC)cc1 ZINC000535990247 1119337637 /nfs/dbraw/zinc/33/76/37/1119337637.db2.gz WZEUNXLWQFYYIT-ZBFHGGJFSA-N 1 2 292.492 3.934 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]C[C@@H]2CCC[N@H+]2CC)cc1 ZINC000535990247 1119337639 /nfs/dbraw/zinc/33/76/39/1119337639.db2.gz WZEUNXLWQFYYIT-ZBFHGGJFSA-N 1 2 292.492 3.934 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]C[C@H]2CCC[N@@H+]2CC)cc1 ZINC000535990244 1119337728 /nfs/dbraw/zinc/33/77/28/1119337728.db2.gz WZEUNXLWQFYYIT-GDBMZVCRSA-N 1 2 292.492 3.934 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]C[C@H]2CCC[N@H+]2CC)cc1 ZINC000535990244 1119337729 /nfs/dbraw/zinc/33/77/29/1119337729.db2.gz WZEUNXLWQFYYIT-GDBMZVCRSA-N 1 2 292.492 3.934 20 0 CHADLO Cc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)ccc1CO ZINC001211578782 1119350536 /nfs/dbraw/zinc/35/05/36/1119350536.db2.gz PRSLCZMISVJRAG-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO Cc1ccccc1C[NH2+]CC(F)(F)c1ccccc1 ZINC000227899478 1119351771 /nfs/dbraw/zinc/35/17/71/1119351771.db2.gz GNPYTQCWUDFBEX-UHFFFAOYSA-N 1 2 261.315 3.877 20 0 CHADLO Cc1cc(CNC(=O)N(C)C[C@H](C)C(C)(C)C)cc(C)[nH+]1 ZINC000634960035 1119352844 /nfs/dbraw/zinc/35/28/44/1119352844.db2.gz INIZIOABEPRKPL-LBPRGKRZSA-N 1 2 291.439 3.522 20 0 CHADLO Cc1cccc(CCCNc2nc3ccccc3nc2C)[nH+]1 ZINC000343222288 1119355093 /nfs/dbraw/zinc/35/50/93/1119355093.db2.gz MYEAXXFRNWRUQS-UHFFFAOYSA-N 1 2 292.386 3.686 20 0 CHADLO CC[N@H+](Cc1snnc1C)Cc1ccc(Cl)cc1 ZINC000565046412 1119372370 /nfs/dbraw/zinc/37/23/70/1119372370.db2.gz KSVGJDNWVGKEJS-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO CC[N@@H+](Cc1snnc1C)Cc1ccc(Cl)cc1 ZINC000565046412 1119372374 /nfs/dbraw/zinc/37/23/74/1119372374.db2.gz KSVGJDNWVGKEJS-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO COc1cccc2c(NCc3ccn(C(C)C)n3)cc[nH+]c12 ZINC000583602876 1119384358 /nfs/dbraw/zinc/38/43/58/1119384358.db2.gz JNKPDXNOMWPPGI-UHFFFAOYSA-N 1 2 296.374 3.633 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1ccsc1 ZINC000128910193 1119387069 /nfs/dbraw/zinc/38/70/69/1119387069.db2.gz SCGUCJSVOHDDRJ-UHFFFAOYSA-N 1 2 275.352 3.869 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(-c4ncc[nH]4)cc3)ccc21 ZINC001213089831 1119391403 /nfs/dbraw/zinc/39/14/03/1119391403.db2.gz GYJAKSKIOBQKGS-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO OCc1cc(Cl)cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213090052 1119391793 /nfs/dbraw/zinc/39/17/93/1119391793.db2.gz RIIBCSIVLOYEFZ-UHFFFAOYSA-N 1 2 299.761 3.966 20 0 CHADLO Cc1ccc(-c2n[nH]cc2C[NH2+]CC(F)(F)C(C)(C)C)o1 ZINC000657793743 1119413201 /nfs/dbraw/zinc/41/32/01/1119413201.db2.gz PKRMTVRALJMKSM-UHFFFAOYSA-N 1 2 297.349 3.749 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1cccc(-n2cccn2)c1 ZINC000657794696 1119413628 /nfs/dbraw/zinc/41/36/28/1119413628.db2.gz ZWJKGTXCMMPEBL-UHFFFAOYSA-N 1 2 293.361 3.643 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cnc(C3CC3)o2)C2CC2)o1 ZINC000628131521 1119420494 /nfs/dbraw/zinc/42/04/94/1119420494.db2.gz ZKPBTRSQMRTMPL-UHFFFAOYSA-N 1 2 272.348 3.618 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cnc(C3CC3)o2)C2CC2)o1 ZINC000628131521 1119420496 /nfs/dbraw/zinc/42/04/96/1119420496.db2.gz ZKPBTRSQMRTMPL-UHFFFAOYSA-N 1 2 272.348 3.618 20 0 CHADLO COc1ccc2c(c1)[C@H]([NH2+][C@@H](C)c1ccon1)CCS2 ZINC000343440830 1119421802 /nfs/dbraw/zinc/42/18/02/1119421802.db2.gz JWRWZDCSUZINFY-IINYFYTJSA-N 1 2 290.388 3.571 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nc(C2CC2)cs1 ZINC000343538167 1119447280 /nfs/dbraw/zinc/44/72/80/1119447280.db2.gz SNOGCQNIUUYZCG-GFCCVEGCSA-N 1 2 284.428 3.967 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1nc(C2CC2)cs1 ZINC000343538167 1119447283 /nfs/dbraw/zinc/44/72/83/1119447283.db2.gz SNOGCQNIUUYZCG-GFCCVEGCSA-N 1 2 284.428 3.967 20 0 CHADLO Cc1nc(C[N@H+](C)C/C=C/c2ccc(F)cc2F)cs1 ZINC000349974493 1119458315 /nfs/dbraw/zinc/45/83/15/1119458315.db2.gz XBEMOXJRMIRQFX-ONEGZZNKSA-N 1 2 294.370 3.875 20 0 CHADLO Cc1nc(C[N@@H+](C)C/C=C/c2ccc(F)cc2F)cs1 ZINC000349974493 1119458318 /nfs/dbraw/zinc/45/83/18/1119458318.db2.gz XBEMOXJRMIRQFX-ONEGZZNKSA-N 1 2 294.370 3.875 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@H+]1Cc2ccc(C)cc2C1 ZINC000343598049 1119467511 /nfs/dbraw/zinc/46/75/11/1119467511.db2.gz FLWBKFMUHJSELI-UHFFFAOYSA-N 1 2 269.392 3.597 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@@H+]1Cc2ccc(C)cc2C1 ZINC000343598049 1119467508 /nfs/dbraw/zinc/46/75/08/1119467508.db2.gz FLWBKFMUHJSELI-UHFFFAOYSA-N 1 2 269.392 3.597 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2ccccc2OC(C)C)[nH+]1 ZINC000080950031 1119507319 /nfs/dbraw/zinc/50/73/19/1119507319.db2.gz SQVOLRDJHSEHKD-UHFFFAOYSA-N 1 2 296.374 3.666 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1ccccn1 ZINC000169274577 1119510673 /nfs/dbraw/zinc/51/06/73/1119510673.db2.gz OISYFCMYIWLFIN-LLVKDONJSA-N 1 2 276.767 3.594 20 0 CHADLO Cc1occc1C[NH2+]Cc1coc(-c2ccc(C)cc2)n1 ZINC000085304784 1119532124 /nfs/dbraw/zinc/53/21/24/1119532124.db2.gz LFSBUUGEVHKNJX-UHFFFAOYSA-N 1 2 282.343 3.841 20 0 CHADLO Clc1cccnc1NCc1ccc(Cn2cc[nH+]c2)cc1 ZINC000088701347 1119537105 /nfs/dbraw/zinc/53/71/05/1119537105.db2.gz AMDIOLSNJDPWDE-UHFFFAOYSA-N 1 2 298.777 3.592 20 0 CHADLO Clc1cccnc1NCc1cccc(-n2cc[nH+]c2)c1 ZINC000088723578 1119537379 /nfs/dbraw/zinc/53/73/79/1119537379.db2.gz YCNJYCFEPCQECM-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO CC(C)c1cc(NC[C@@H]2CCC[C@@H](O)C2)nc(C(C)C)[nH+]1 ZINC000090094930 1119545529 /nfs/dbraw/zinc/54/55/29/1119545529.db2.gz BYGNTFADCKAXJE-ZIAGYGMSSA-N 1 2 291.439 3.686 20 0 CHADLO CC(C)c1cc(N[C@H](C)c2cnn(C)c2)nc(C(C)C)[nH+]1 ZINC000090094950 1119545663 /nfs/dbraw/zinc/54/56/63/1119545663.db2.gz LCBNAPRNDZGNJD-GFCCVEGCSA-N 1 2 287.411 3.630 20 0 CHADLO CC(C)[N@@H+](Cc1cccc2[nH]ccc21)CC(F)F ZINC000092045358 1119561417 /nfs/dbraw/zinc/56/14/17/1119561417.db2.gz QKFZILFQOVLKPH-UHFFFAOYSA-N 1 2 252.308 3.643 20 0 CHADLO CC(C)[N@H+](Cc1cccc2[nH]ccc21)CC(F)F ZINC000092045358 1119561418 /nfs/dbraw/zinc/56/14/18/1119561418.db2.gz QKFZILFQOVLKPH-UHFFFAOYSA-N 1 2 252.308 3.643 20 0 CHADLO CC(C)(C)Oc1ccc(CNc2cccc[nH+]2)cc1 ZINC000082712499 1119580762 /nfs/dbraw/zinc/58/07/62/1119580762.db2.gz RFPOZYKSYCXYRC-UHFFFAOYSA-N 1 2 256.349 3.871 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nc(C(C)C)no2)C(C)C)s1 ZINC000144896887 1119582848 /nfs/dbraw/zinc/58/28/48/1119582848.db2.gz ZAEGXKWUADKJTI-UHFFFAOYSA-N 1 2 293.436 3.974 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nc(C(C)C)no2)C(C)C)s1 ZINC000144896887 1119582851 /nfs/dbraw/zinc/58/28/51/1119582851.db2.gz ZAEGXKWUADKJTI-UHFFFAOYSA-N 1 2 293.436 3.974 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3ccc(C)cc3C2)c(OC)c1 ZINC000204777039 1119588026 /nfs/dbraw/zinc/58/80/26/1119588026.db2.gz AWKYKFSHVDCCCN-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1ccc(C[N@H+]2Cc3ccc(C)cc3C2)c(OC)c1 ZINC000204777039 1119588029 /nfs/dbraw/zinc/58/80/29/1119588029.db2.gz AWKYKFSHVDCCCN-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cc(C)c(C)cc1C[N@@H+]1Cc2ccc(F)cc2C1 ZINC001238768175 1131243342 /nfs/dbraw/zinc/24/33/42/1131243342.db2.gz VGMMTASQYCRGFB-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1cc(C)c(C)cc1C[N@H+]1Cc2ccc(F)cc2C1 ZINC001238768175 1131243346 /nfs/dbraw/zinc/24/33/46/1131243346.db2.gz VGMMTASQYCRGFB-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2cccs2)oc1C ZINC000139656569 1119602414 /nfs/dbraw/zinc/60/24/14/1119602414.db2.gz CYFURWOBPVPNRT-JTQLQIEISA-N 1 2 250.367 3.546 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2cccs2)oc1C ZINC000139656569 1119602416 /nfs/dbraw/zinc/60/24/16/1119602416.db2.gz CYFURWOBPVPNRT-JTQLQIEISA-N 1 2 250.367 3.546 20 0 CHADLO c1cncc([C@@H]2CCC[N@H+]2Cc2nc3c(s2)CCCC3)c1 ZINC000685452974 1119610187 /nfs/dbraw/zinc/61/01/87/1119610187.db2.gz SMJVYAIBHJMZCU-HNNXBMFYSA-N 1 2 299.443 3.754 20 0 CHADLO c1cncc([C@@H]2CCC[N@@H+]2Cc2nc3c(s2)CCCC3)c1 ZINC000685452974 1119610188 /nfs/dbraw/zinc/61/01/88/1119610188.db2.gz SMJVYAIBHJMZCU-HNNXBMFYSA-N 1 2 299.443 3.754 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1cc2ccccc2[nH]1 ZINC000926595084 1119619633 /nfs/dbraw/zinc/61/96/33/1119619633.db2.gz CATKWHISUBSOAH-JTQLQIEISA-N 1 2 298.415 3.748 20 0 CHADLO CCc1ccc(C[N@@H+]2Cc3ccc(O)cc3C2)s1 ZINC000628419384 1128858444 /nfs/dbraw/zinc/85/84/44/1128858444.db2.gz WDASMJJMNJTLEL-UHFFFAOYSA-N 1 2 259.374 3.532 20 0 CHADLO CCc1ccc(C[N@H+]2Cc3ccc(O)cc3C2)s1 ZINC000628419384 1128858454 /nfs/dbraw/zinc/85/84/54/1128858454.db2.gz WDASMJJMNJTLEL-UHFFFAOYSA-N 1 2 259.374 3.532 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1csc(-c2ccccc2)n1 ZINC000685574150 1119633541 /nfs/dbraw/zinc/63/35/41/1119633541.db2.gz ZFRHLJCMFOMXMK-GASCZTMLSA-N 1 2 298.411 3.506 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1csc(-c2ccccc2)n1 ZINC000685574150 1119633544 /nfs/dbraw/zinc/63/35/44/1119633544.db2.gz ZFRHLJCMFOMXMK-GASCZTMLSA-N 1 2 298.411 3.506 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCc3cccnc3C)c2c1 ZINC000685578355 1119633683 /nfs/dbraw/zinc/63/36/83/1119633683.db2.gz MAGRZUOQFTYBSV-UHFFFAOYSA-N 1 2 294.354 3.834 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000628431151 1128859869 /nfs/dbraw/zinc/85/98/69/1128859869.db2.gz PDACLWFXWVKSOZ-KGLIPLIRSA-N 1 2 285.391 3.986 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2cocn2)cc1 ZINC000182073996 1119645060 /nfs/dbraw/zinc/64/50/60/1119645060.db2.gz MVMPIMLHFYTVBM-NSHDSACASA-N 1 2 262.378 3.637 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(C)c3ccccc3n2)c(C)o1 ZINC000183822348 1119649684 /nfs/dbraw/zinc/64/96/84/1119649684.db2.gz SYIKFEIGGISKCC-LBPRGKRZSA-N 1 2 295.386 3.999 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(C(F)F)nc2)s1 ZINC000657975225 1119658325 /nfs/dbraw/zinc/65/83/25/1119658325.db2.gz XZGOHPXEBMWGJK-YUMQZZPRSA-N 1 2 298.362 3.591 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(C(F)F)nc2)s1 ZINC000657975223 1119658448 /nfs/dbraw/zinc/65/84/48/1119658448.db2.gz XZGOHPXEBMWGJK-SFYZADRCSA-N 1 2 298.362 3.591 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2ccncc2s1)c1csnn1 ZINC000658034947 1119668937 /nfs/dbraw/zinc/66/89/37/1119668937.db2.gz MVYHWGPDDGSICA-BDAKNGLRSA-N 1 2 290.417 3.560 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2cnccc2o1)c1cscn1 ZINC000658153328 1119692074 /nfs/dbraw/zinc/69/20/74/1119692074.db2.gz UPORPVNTUNMUAR-ZJUUUORDSA-N 1 2 273.361 3.696 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+][C@@H]1COC2(CCC2)C1 ZINC000658183739 1119699360 /nfs/dbraw/zinc/69/93/60/1119699360.db2.gz PUQUDMLNGWDCIF-GJZGRUSLSA-N 1 2 295.373 3.554 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccnn1C)c1nc(C(C)(C)C)cs1 ZINC000192841103 1119744716 /nfs/dbraw/zinc/74/47/16/1119744716.db2.gz PGJZAOVYWSBWLB-QWRGUYRKSA-N 1 2 292.452 3.586 20 0 CHADLO CC[C@H](CC#N)[NH2+]Cc1cc2ccccc2nc1Cl ZINC000193657264 1119773800 /nfs/dbraw/zinc/77/38/00/1119773800.db2.gz QMMBVJUWFBZJBT-CYBMUJFWSA-N 1 2 273.767 3.670 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2cc(Cl)ccc21)c1csnn1 ZINC000336281111 1119776045 /nfs/dbraw/zinc/77/60/45/1119776045.db2.gz XMFMUJQXYXNWAR-QPUJVOFHSA-N 1 2 279.796 3.530 20 0 CHADLO CC(C)c1cccc2c1CC[N@H+](Cn1cccnc1=S)C2 ZINC000190594456 1128868288 /nfs/dbraw/zinc/86/82/88/1128868288.db2.gz RNVGUNCXNLUMDD-UHFFFAOYSA-N 1 2 299.443 3.752 20 0 CHADLO CC(C)c1cccc2c1CC[N@@H+](Cn1cccnc1=S)C2 ZINC000190594456 1128868290 /nfs/dbraw/zinc/86/82/90/1128868290.db2.gz RNVGUNCXNLUMDD-UHFFFAOYSA-N 1 2 299.443 3.752 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)c1 ZINC000375895118 1119779638 /nfs/dbraw/zinc/77/96/38/1119779638.db2.gz JNTDYVFMHZBRQO-WBMJQRKESA-N 1 2 285.391 3.537 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+][C@@H]2CCCc3[nH]ncc32)cc1 ZINC000375895726 1119779693 /nfs/dbraw/zinc/77/96/93/1119779693.db2.gz PGNPQFHKKDBSKV-MRXNPFEDSA-N 1 2 283.419 3.874 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)c(Cl)c1)c1cnn(C)n1 ZINC000924544053 1119787077 /nfs/dbraw/zinc/78/70/77/1119787077.db2.gz XFUABCKIUWXJQC-IUCAKERBSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COCc2cccc(Cl)c21)c1ccccn1 ZINC000924544734 1119788093 /nfs/dbraw/zinc/78/80/93/1119788093.db2.gz WJIWQWKCVKELJI-IAQYHMDHSA-N 1 2 288.778 3.657 20 0 CHADLO CCc1cc(N[C@@H](C)c2cnn(C(C)C)c2)nc(CC)[nH+]1 ZINC000892505016 1119801663 /nfs/dbraw/zinc/80/16/63/1119801663.db2.gz INNJXSJVUZPFHA-LBPRGKRZSA-N 1 2 287.411 3.552 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)CC/C=C/c1ccccc1 ZINC001625884033 1119808399 /nfs/dbraw/zinc/80/83/99/1119808399.db2.gz JOYWVGHNHAVDEA-UXBLZVDNSA-N 1 2 280.371 3.552 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccnn1CC1CCC1 ZINC000926690399 1119814147 /nfs/dbraw/zinc/81/41/47/1119814147.db2.gz AZWKQQLTDFEWFS-NWDGAFQWSA-N 1 2 290.436 3.552 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccnn1CC1CCC1)c1cscn1 ZINC000926690398 1119814174 /nfs/dbraw/zinc/81/41/74/1119814174.db2.gz AZWKQQLTDFEWFS-NEPJUHHUSA-N 1 2 290.436 3.552 20 0 CHADLO Cc1ccc2oc(C[NH2+][C@@H](C)c3cn(C(C)C)nn3)cc2c1 ZINC000926704477 1119830834 /nfs/dbraw/zinc/83/08/34/1119830834.db2.gz YSUQPNNTKTVCCH-ZDUSSCGKSA-N 1 2 298.390 3.764 20 0 CHADLO Cc1c[nH]nc1C[NH+](Cc1ccccc1)Cc1ccccc1 ZINC000685832840 1119852412 /nfs/dbraw/zinc/85/24/12/1119852412.db2.gz SVUHKLNBEWKQBP-UHFFFAOYSA-N 1 2 291.398 3.921 20 0 CHADLO Cc1cn[nH]c1C[NH+](Cc1ccccc1)Cc1ccccc1 ZINC000685832840 1119852414 /nfs/dbraw/zinc/85/24/14/1119852414.db2.gz SVUHKLNBEWKQBP-UHFFFAOYSA-N 1 2 291.398 3.921 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CC3(CCC3)[C@@H]2C2CC2)cc1F ZINC000685850168 1119872678 /nfs/dbraw/zinc/87/26/78/1119872678.db2.gz GPGVURUIRXXGEH-HNNXBMFYSA-N 1 2 281.321 3.868 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CC3(CCC3)[C@@H]2C2CC2)cc1F ZINC000685850168 1119872680 /nfs/dbraw/zinc/87/26/80/1119872680.db2.gz GPGVURUIRXXGEH-HNNXBMFYSA-N 1 2 281.321 3.868 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccn(C)n1)c1ccc(F)cc1Cl ZINC000414108735 1119901406 /nfs/dbraw/zinc/90/14/06/1119901406.db2.gz GKVKPEINNNTWMT-ZJUUUORDSA-N 1 2 281.762 3.624 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cn1)c1nc2c(s1)CCC2 ZINC000414133016 1119910615 /nfs/dbraw/zinc/91/06/15/1119910615.db2.gz AETREFAKUAUYGN-VHSXEESVSA-N 1 2 291.395 3.578 20 0 CHADLO CC[C@H]([NH2+]Cc1ccn(CC)n1)c1cccc(Cl)c1F ZINC000414208279 1119940427 /nfs/dbraw/zinc/94/04/27/1119940427.db2.gz LFQNNVVXICFCHO-AWEZNQCLSA-N 1 2 295.789 3.936 20 0 CHADLO COc1cc(F)c(C[N@@H+]2CCCC(F)(F)CC2)c(F)c1 ZINC001140823247 1119971257 /nfs/dbraw/zinc/97/12/57/1119971257.db2.gz AKXNDDIHOAZTSW-UHFFFAOYSA-N 1 2 291.288 3.595 20 0 CHADLO COc1cc(F)c(C[N@H+]2CCCC(F)(F)CC2)c(F)c1 ZINC001140823247 1119971261 /nfs/dbraw/zinc/97/12/61/1119971261.db2.gz AKXNDDIHOAZTSW-UHFFFAOYSA-N 1 2 291.288 3.595 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[NH2+][C@@H](C)c1csnn1 ZINC000414312384 1119978832 /nfs/dbraw/zinc/97/88/32/1119978832.db2.gz ZWVXDPJBDMGDAF-IUCAKERBSA-N 1 2 296.465 3.838 20 0 CHADLO COC(=[NH2+])c1cccc(NCc2nccc3ccccc32)c1 ZINC001167702933 1120009984 /nfs/dbraw/zinc/00/99/84/1120009984.db2.gz OYOJJOBJXUEJSN-UHFFFAOYSA-N 1 2 291.354 3.819 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@H+](Cc2ncc(C3CC3)o2)C1 ZINC000376551158 1120044617 /nfs/dbraw/zinc/04/46/17/1120044617.db2.gz HUBRBOHBIIOVTE-SWLSCSKDSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@@H+](Cc2ncc(C3CC3)o2)C1 ZINC000376551158 1120044619 /nfs/dbraw/zinc/04/46/19/1120044619.db2.gz HUBRBOHBIIOVTE-SWLSCSKDSA-N 1 2 283.375 3.530 20 0 CHADLO CC[N@H+](CCC[C@H]1CCOC1)c1cc(C)cc(C)c1 ZINC001167709171 1120055754 /nfs/dbraw/zinc/05/57/54/1120055754.db2.gz UCUVXZBQVHTZBQ-INIZCTEOSA-N 1 2 261.409 3.946 20 0 CHADLO CC[N@@H+](CCC[C@H]1CCOC1)c1cc(C)cc(C)c1 ZINC001167709171 1120055756 /nfs/dbraw/zinc/05/57/56/1120055756.db2.gz UCUVXZBQVHTZBQ-INIZCTEOSA-N 1 2 261.409 3.946 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C=C3CCC3)cc2)[nH+]c1C ZINC000921659772 1120057538 /nfs/dbraw/zinc/05/75/38/1120057538.db2.gz FIZMUWWQZILVNR-UHFFFAOYSA-N 1 2 281.359 3.742 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc2oc(C(C)C)nc2c1 ZINC000090171904 1120059731 /nfs/dbraw/zinc/05/97/31/1120059731.db2.gz SOQVEAUTMDQTHJ-UHFFFAOYSA-N 1 2 284.363 3.780 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C3CCCC3)cc2)[nH+]c1C ZINC000921668496 1120063267 /nfs/dbraw/zinc/06/32/67/1120063267.db2.gz RHMFFSXUDOABAR-UHFFFAOYSA-N 1 2 283.375 3.822 20 0 CHADLO CC(C)n1cc2c(n1)[C@H]([NH2+][C@H](C)c1ccco1)CCC2 ZINC000765819189 1120075926 /nfs/dbraw/zinc/07/59/26/1120075926.db2.gz QSZNITZQQHFMJJ-TZMCWYRMSA-N 1 2 273.380 3.785 20 0 CHADLO Cc1cccc([C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C(C)C)c1 ZINC000628969960 1128889550 /nfs/dbraw/zinc/88/95/50/1128889550.db2.gz XVICUMRKHUAZEN-QAPCUYQASA-N 1 2 299.418 3.656 20 0 CHADLO C[C@H](CN(C)C(=O)C[C@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000628981383 1128889958 /nfs/dbraw/zinc/88/99/58/1128889958.db2.gz NUYKUGJMLRSSQK-CABCVRRESA-N 1 2 291.439 3.509 20 0 CHADLO Cc1occc1C[NH2+][C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000343838188 1120106123 /nfs/dbraw/zinc/10/61/23/1120106123.db2.gz JPSMYASGLSWUNB-ZETCQYMHSA-N 1 2 290.310 3.914 20 0 CHADLO COc1cc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)cc2)on1 ZINC000659821386 1120116837 /nfs/dbraw/zinc/11/68/37/1120116837.db2.gz MCESJDOSRYZXEJ-CQSZACIVSA-N 1 2 292.766 3.674 20 0 CHADLO COc1cc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)cc2)on1 ZINC000659821386 1120116839 /nfs/dbraw/zinc/11/68/39/1120116839.db2.gz MCESJDOSRYZXEJ-CQSZACIVSA-N 1 2 292.766 3.674 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)c1cccc(F)c1)c1ncc[nH]1 ZINC000353394246 1120128571 /nfs/dbraw/zinc/12/85/71/1120128571.db2.gz LWYPLEATVTZMBJ-SUMWQHHRSA-N 1 2 295.361 3.989 20 0 CHADLO COC(=O)c1sccc1C[NH2+][C@H](C)c1cc(C)oc1C ZINC000183778812 1120141096 /nfs/dbraw/zinc/14/10/96/1120141096.db2.gz KVWUENJJOIFNMG-SNVBAGLBSA-N 1 2 293.388 3.595 20 0 CHADLO Brc1ccc(C[NH+]2CC3(C2)CCCCC3)o1 ZINC000378915924 1120141635 /nfs/dbraw/zinc/14/16/35/1120141635.db2.gz MPZHRHSJNMCUOP-UHFFFAOYSA-N 1 2 284.197 3.808 20 0 CHADLO Cc1cc(N[C@@H](C)c2ncc[nH]2)c[nH+]c1N1CCCC[C@H]1C ZINC000353686053 1120159551 /nfs/dbraw/zinc/15/95/51/1120159551.db2.gz JKUJBDTXPOVNET-KGLIPLIRSA-N 1 2 299.422 3.665 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CC[C@H]2c2ccccc2)cc1F ZINC001140990576 1120164791 /nfs/dbraw/zinc/16/47/91/1120164791.db2.gz HUKIQDCJAHCBOF-HNNXBMFYSA-N 1 2 275.298 3.617 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CC[C@H]2c2ccccc2)cc1F ZINC001140990576 1120164792 /nfs/dbraw/zinc/16/47/92/1120164792.db2.gz HUKIQDCJAHCBOF-HNNXBMFYSA-N 1 2 275.298 3.617 20 0 CHADLO Cc1cc(N[C@@H]2CCC(F)(F)C2)nc(C2CCC2)[nH+]1 ZINC000892937624 1120166106 /nfs/dbraw/zinc/16/61/06/1120166106.db2.gz FOCFLXLXVYYKNF-LLVKDONJSA-N 1 2 267.323 3.652 20 0 CHADLO Cc1cc(NC(=O)[C@]2(C)CC[C@H](C)C2(C)C)c(C)c[nH+]1 ZINC001127646330 1128899427 /nfs/dbraw/zinc/89/94/27/1128899427.db2.gz OVZHBTRERPDFDW-SJCJKPOMSA-N 1 2 274.408 3.521 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)c(C)c1 ZINC001239826707 1120170094 /nfs/dbraw/zinc/17/00/94/1120170094.db2.gz WROFUSROOFEDIO-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cc(C3CC3)no2)C2CC2)o1 ZINC000659845454 1120173741 /nfs/dbraw/zinc/17/37/41/1120173741.db2.gz OUJKOCSIVWNEFI-UHFFFAOYSA-N 1 2 272.348 3.618 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cc(C3CC3)no2)C2CC2)o1 ZINC000659845454 1120173744 /nfs/dbraw/zinc/17/37/44/1120173744.db2.gz OUJKOCSIVWNEFI-UHFFFAOYSA-N 1 2 272.348 3.618 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+]Cc1nc(C)c(C)s1 ZINC000892975019 1120186427 /nfs/dbraw/zinc/18/64/27/1120186427.db2.gz KBCDAUKUXJJEKP-UHFFFAOYSA-N 1 2 296.823 3.712 20 0 CHADLO CC[N@H+](Cc1cn(C)cn1)Cc1ccc(Cl)c(Cl)c1 ZINC000659849994 1120191120 /nfs/dbraw/zinc/19/11/20/1120191120.db2.gz UQHXTKVZASHVIH-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1cn(C)cn1)Cc1ccc(Cl)c(Cl)c1 ZINC000659849994 1120191122 /nfs/dbraw/zinc/19/11/22/1120191122.db2.gz UQHXTKVZASHVIH-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1C1CC1)c1ccc(F)cc1Cl ZINC000893012248 1120198280 /nfs/dbraw/zinc/19/82/80/1120198280.db2.gz MVABZYUOXMWXDV-SNVBAGLBSA-N 1 2 293.773 3.861 20 0 CHADLO CCc1cnc(C[NH2+]C2(c3ccc(F)cc3)CCC2)o1 ZINC000072597793 1120198390 /nfs/dbraw/zinc/19/83/90/1120198390.db2.gz IMDKWYRUBXMYCM-UHFFFAOYSA-N 1 2 274.339 3.545 20 0 CHADLO CCc1onc(C)c1C[NH2+]Cc1cc(C(CC)CC)no1 ZINC000893063931 1120212423 /nfs/dbraw/zinc/21/24/23/1120212423.db2.gz GVGKMTVOGKRWIA-UHFFFAOYSA-N 1 2 291.395 3.727 20 0 CHADLO COc1ccc(C[C@H]2CCCN(c3cccc[nH+]3)C2)cc1 ZINC000462888097 1120217707 /nfs/dbraw/zinc/21/77/07/1120217707.db2.gz DFGQSTVYKNTNEO-MRXNPFEDSA-N 1 2 282.387 3.549 20 0 CHADLO CC[NH2+]Cc1noc(C[C@H](C)c2ccc(C(C)C)cc2)n1 ZINC000843142385 1120222671 /nfs/dbraw/zinc/22/26/71/1120222671.db2.gz VUVMYMJJBBRZQV-ZDUSSCGKSA-N 1 2 287.407 3.649 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2cc(C)cnc2Cl)s1 ZINC000921823193 1120229589 /nfs/dbraw/zinc/22/95/89/1120229589.db2.gz SHCYPKHCZJGIKT-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1O)Cc1ccccc1F ZINC000179566005 1120250581 /nfs/dbraw/zinc/25/05/81/1120250581.db2.gz ATXRIGUCYCIGJA-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1O)Cc1ccccc1F ZINC000179566005 1120250584 /nfs/dbraw/zinc/25/05/84/1120250584.db2.gz ATXRIGUCYCIGJA-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)nc1)Cc1cccc(Cl)c1O ZINC000179664276 1120251894 /nfs/dbraw/zinc/25/18/94/1120251894.db2.gz VGLSZZJRBTUBDI-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO Cc1cnc(Cl)c(CNc2ccc([NH+](C)C)cc2)c1 ZINC000921861787 1120257239 /nfs/dbraw/zinc/25/72/39/1120257239.db2.gz FSPHSNRONTZTTA-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO CC[C@H](C)[C@@H](C)[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000179952417 1120259178 /nfs/dbraw/zinc/25/91/78/1120259178.db2.gz CQCCNCDYJCBXBF-RRFJBIMHSA-N 1 2 276.424 3.758 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@@H]2c2ccccc2OC)o1 ZINC000075712288 1120265058 /nfs/dbraw/zinc/26/50/58/1120265058.db2.gz WHXCQKAABONKON-OAHLLOKOSA-N 1 2 286.375 3.583 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@@H]2c2ccccc2OC)o1 ZINC000075712288 1120265060 /nfs/dbraw/zinc/26/50/60/1120265060.db2.gz WHXCQKAABONKON-OAHLLOKOSA-N 1 2 286.375 3.583 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3nc(C(C)(C)C)co3)ccc21 ZINC000921884263 1120265523 /nfs/dbraw/zinc/26/55/23/1120265523.db2.gz SDWIDQVXCJMUQL-UHFFFAOYSA-N 1 2 299.418 3.967 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3nc(C(C)(C)C)co3)ccc21 ZINC000921884263 1120265528 /nfs/dbraw/zinc/26/55/28/1120265528.db2.gz SDWIDQVXCJMUQL-UHFFFAOYSA-N 1 2 299.418 3.967 20 0 CHADLO COCCC1(CNc2cc3cc[nH]c3c[nH+]2)CCCCC1 ZINC000672253745 1120268022 /nfs/dbraw/zinc/26/80/22/1120268022.db2.gz LLKVOMGHHISUFW-UHFFFAOYSA-N 1 2 287.407 3.962 20 0 CHADLO Fc1cc(Cl)ccc1CNc1cc2cc[nH]c2c[nH+]1 ZINC000672254472 1120268924 /nfs/dbraw/zinc/26/89/24/1120268924.db2.gz VTLHEGZVIKYMMD-UHFFFAOYSA-N 1 2 275.714 3.968 20 0 CHADLO Oc1cc(Cl)cc(C[NH2+]Cc2nc(C3CC3)cs2)c1 ZINC000893172113 1120276157 /nfs/dbraw/zinc/27/61/57/1120276157.db2.gz LXPLTXHDDXDRRG-UHFFFAOYSA-N 1 2 294.807 3.669 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000076399776 1120282902 /nfs/dbraw/zinc/28/29/02/1120282902.db2.gz VYJVTLRRTFCBHG-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000076399776 1120282906 /nfs/dbraw/zinc/28/29/06/1120282906.db2.gz VYJVTLRRTFCBHG-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1cn2cc(NC(=O)c3cccc(C)c3C)ccc2[nH+]1 ZINC000339125780 1120293722 /nfs/dbraw/zinc/29/37/22/1120293722.db2.gz SDEKRLHRTAEVDH-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@@H](c2cccnc2)C2CC2)n1 ZINC000921937822 1120295474 /nfs/dbraw/zinc/29/54/74/1120295474.db2.gz PQGNVTFKGPRELU-MRXNPFEDSA-N 1 2 285.391 3.608 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@H](c2cccnc2)C2CC2)n1 ZINC000921937821 1120295484 /nfs/dbraw/zinc/29/54/84/1120295484.db2.gz PQGNVTFKGPRELU-INIZCTEOSA-N 1 2 285.391 3.608 20 0 CHADLO CCC[C@H](NC(=O)CCCn1cc[nH+]c1)C1CCCCC1 ZINC000076836087 1120298333 /nfs/dbraw/zinc/29/83/33/1120298333.db2.gz PRWUFGJLJFFBPA-INIZCTEOSA-N 1 2 291.439 3.529 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1cncc(F)c1 ZINC001240234849 1120314932 /nfs/dbraw/zinc/31/49/32/1120314932.db2.gz OPWMSBXUAYVULB-UHFFFAOYSA-N 1 2 271.339 3.581 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cnc(Cl)c(C)c3)n2c1 ZINC000181854343 1128907607 /nfs/dbraw/zinc/90/76/07/1128907607.db2.gz QMTMDBXUKBIFFD-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CC(C)c1cccc(C[N@H+](C)Cc2nccs2)c1 ZINC000659977506 1120319090 /nfs/dbraw/zinc/31/90/90/1120319090.db2.gz QIRFEZQRXXCOSM-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO CC(C)c1cccc(C[N@@H+](C)Cc2nccs2)c1 ZINC000659977506 1120319094 /nfs/dbraw/zinc/31/90/94/1120319094.db2.gz QIRFEZQRXXCOSM-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]C(C)(C)c2nccs2)o1 ZINC000116380823 1120332632 /nfs/dbraw/zinc/33/26/32/1120332632.db2.gz JCGFOIPWPIDEQB-PWSUYJOCSA-N 1 2 276.405 3.884 20 0 CHADLO Cc1ccc2c(c1)OCCC[C@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000672622185 1120335866 /nfs/dbraw/zinc/33/58/66/1120335866.db2.gz BLFNMUWEIHLQLT-UKRRQHHQSA-N 1 2 281.346 3.986 20 0 CHADLO Cc1ccc2c(c1)OCCC[C@H]2[NH2+][C@H]1CCCC1(F)F ZINC000672622184 1120336337 /nfs/dbraw/zinc/33/63/37/1120336337.db2.gz BLFNMUWEIHLQLT-HIFRSBDPSA-N 1 2 281.346 3.986 20 0 CHADLO Cc1cc2cc(N[C@H](C)CCCC(C)(C)O)[nH+]cc2[nH]1 ZINC001168700446 1120356918 /nfs/dbraw/zinc/35/69/18/1120356918.db2.gz ZCMHOPZIOQPWKJ-LLVKDONJSA-N 1 2 275.396 3.613 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]C/C(Cl)=C\Cl ZINC000255456626 1120368867 /nfs/dbraw/zinc/36/88/67/1120368867.db2.gz AWWBKUMFIKUKGN-CYXIBPNKSA-N 1 2 278.154 3.804 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCc3cccs3)cc2[nH+]1 ZINC000010896294 1120378092 /nfs/dbraw/zinc/37/80/92/1120378092.db2.gz WKBCPOWOSJQBEM-UHFFFAOYSA-N 1 2 285.372 3.504 20 0 CHADLO CC(C)c1cc(C[NH2+]Cc2ccc([C@@H]3C[C@@H]3C)o2)on1 ZINC000114510636 1120385666 /nfs/dbraw/zinc/38/56/66/1120385666.db2.gz CQDAKEQBOGAQFX-SMDDNHRTSA-N 1 2 274.364 3.804 20 0 CHADLO Cc1cccn2cc(C[N@@H+]([C@H](C)c3ccco3)C3CC3)nc12 ZINC000086235123 1120386974 /nfs/dbraw/zinc/38/69/74/1120386974.db2.gz WXLSUVQZZWRCGE-CQSZACIVSA-N 1 2 295.386 3.961 20 0 CHADLO Cc1cccn2cc(C[N@H+]([C@H](C)c3ccco3)C3CC3)nc12 ZINC000086235123 1120386980 /nfs/dbraw/zinc/38/69/80/1120386980.db2.gz WXLSUVQZZWRCGE-CQSZACIVSA-N 1 2 295.386 3.961 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(F)c(OC)c1F ZINC001212498781 1120400789 /nfs/dbraw/zinc/40/07/89/1120400789.db2.gz XFCYIHIKNHWFEE-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO Fc1cc(Cl)cc(C[N@H+]2CCC[C@@H](OC(F)F)C2)c1 ZINC000681630478 1120403558 /nfs/dbraw/zinc/40/35/58/1120403558.db2.gz UCMUWYCWMBGVBX-GFCCVEGCSA-N 1 2 293.716 3.683 20 0 CHADLO Fc1cc(Cl)cc(C[N@@H+]2CCC[C@@H](OC(F)F)C2)c1 ZINC000681630478 1120403559 /nfs/dbraw/zinc/40/35/59/1120403559.db2.gz UCMUWYCWMBGVBX-GFCCVEGCSA-N 1 2 293.716 3.683 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCC[C@H](OC(F)F)C2)c1 ZINC000682086926 1120408044 /nfs/dbraw/zinc/40/80/44/1120408044.db2.gz YNGOSORWTNNAIX-NSHDSACASA-N 1 2 293.716 3.683 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCC[C@H](OC(F)F)C2)c1 ZINC000682086926 1120408042 /nfs/dbraw/zinc/40/80/42/1120408042.db2.gz YNGOSORWTNNAIX-NSHDSACASA-N 1 2 293.716 3.683 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)[C@H](C)c2cc(C)ccc2C)on1 ZINC000584728199 1120420497 /nfs/dbraw/zinc/42/04/97/1120420497.db2.gz DZHCVPKGMYKJQZ-CYBMUJFWSA-N 1 2 286.375 3.687 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)[C@H](C)c2cc(C)ccc2C)on1 ZINC000584728199 1120420503 /nfs/dbraw/zinc/42/05/03/1120420503.db2.gz DZHCVPKGMYKJQZ-CYBMUJFWSA-N 1 2 286.375 3.687 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2OC(F)F)c(C)o1 ZINC000660180513 1120421546 /nfs/dbraw/zinc/42/15/46/1120421546.db2.gz UQHYQEGGOZSKOE-SECBINFHSA-N 1 2 296.317 3.744 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cccc(OC(C)C)c2)co1 ZINC000660184759 1120422723 /nfs/dbraw/zinc/42/27/23/1120422723.db2.gz RRWZTFQJQOZFQI-LBPRGKRZSA-N 1 2 274.364 3.621 20 0 CHADLO CC[C@@H]([NH2+]CC(F)(F)CC)c1cccc(OC)c1 ZINC000624147707 1120427538 /nfs/dbraw/zinc/42/75/38/1120427538.db2.gz IVNPBWDHHSAPTF-CYBMUJFWSA-N 1 2 257.324 3.781 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C2CC2)no1)c1cccc(F)c1F ZINC000660203578 1120440497 /nfs/dbraw/zinc/44/04/97/1120440497.db2.gz FOPBMHHIRAPSPR-SECBINFHSA-N 1 2 278.302 3.681 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1nc2ccccc2s1 ZINC000079601573 1120442080 /nfs/dbraw/zinc/44/20/80/1120442080.db2.gz LRFKMQCHZVTCOC-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1nc2ccccc2s1 ZINC000079601573 1120442083 /nfs/dbraw/zinc/44/20/83/1120442083.db2.gz LRFKMQCHZVTCOC-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO CC(F)(F)C[NH2+][C@@H](Cc1ccncc1)c1cccs1 ZINC000584774936 1120442866 /nfs/dbraw/zinc/44/28/66/1120442866.db2.gz ZREVGZOVOCSGCU-LBPRGKRZSA-N 1 2 282.359 3.672 20 0 CHADLO Cc1ccc([C@H]2CCN(c3cc(C)[nH+]c(C4CC4)n3)C2)cc1 ZINC000543196722 1120458189 /nfs/dbraw/zinc/45/81/89/1120458189.db2.gz GAZXBPNKOXPQCF-KRWDZBQOSA-N 1 2 293.414 3.965 20 0 CHADLO Cc1nc(NC2CC(c3ccccc3Cl)C2)cc[nH+]1 ZINC000338827036 1120458361 /nfs/dbraw/zinc/45/83/61/1120458361.db2.gz BERCUANPXMEIQW-UHFFFAOYSA-N 1 2 273.767 3.797 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2cccc(C(C)(C)C)c2)n1 ZINC000660262180 1120471806 /nfs/dbraw/zinc/47/18/06/1120471806.db2.gz DGNCWVDILDTWPK-NSHDSACASA-N 1 2 273.380 3.526 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)n(C)n1 ZINC000417708360 1120475212 /nfs/dbraw/zinc/47/52/12/1120475212.db2.gz VTEBMQRAXXDDKH-SJKOYZFVSA-N 1 2 299.418 3.610 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)n(C)n1 ZINC000417708360 1120475215 /nfs/dbraw/zinc/47/52/15/1120475215.db2.gz VTEBMQRAXXDDKH-SJKOYZFVSA-N 1 2 299.418 3.610 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(SC)c(Cl)c1 ZINC000417856930 1120486133 /nfs/dbraw/zinc/48/61/33/1120486133.db2.gz TVJAHLWNVUCHBY-UHFFFAOYSA-N 1 2 281.812 3.890 20 0 CHADLO Fc1ccc([C@H]2CC[N@@H+]2Cc2cnc(C3CC3)o2)cc1 ZINC000930970144 1120492092 /nfs/dbraw/zinc/49/20/92/1120492092.db2.gz WCZLMQAKDDMZRW-OAHLLOKOSA-N 1 2 272.323 3.638 20 0 CHADLO Fc1ccc([C@H]2CC[N@H+]2Cc2cnc(C3CC3)o2)cc1 ZINC000930970144 1120492094 /nfs/dbraw/zinc/49/20/94/1120492094.db2.gz WCZLMQAKDDMZRW-OAHLLOKOSA-N 1 2 272.323 3.638 20 0 CHADLO CC[C@H]1CCC[N@H+](Cc2noc(Cc3ccccc3)n2)CC1 ZINC000117343380 1120497039 /nfs/dbraw/zinc/49/70/39/1120497039.db2.gz JKKVOQCPGBIXPU-HNNXBMFYSA-N 1 2 299.418 3.673 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cc2noc(Cc3ccccc3)n2)CC1 ZINC000117343380 1120497040 /nfs/dbraw/zinc/49/70/40/1120497040.db2.gz JKKVOQCPGBIXPU-HNNXBMFYSA-N 1 2 299.418 3.673 20 0 CHADLO Cc1cnc(CNc2[nH+]c3ccccc3n2C(C)C)o1 ZINC000922328722 1120513777 /nfs/dbraw/zinc/51/37/77/1120513777.db2.gz LWQONVKACFOHON-UHFFFAOYSA-N 1 2 270.336 3.526 20 0 CHADLO Cc1ncoc1CNc1[nH+]c2ccccc2n1C(C)C ZINC000922329017 1120514580 /nfs/dbraw/zinc/51/45/80/1120514580.db2.gz OXTUNLSZVAEJHZ-UHFFFAOYSA-N 1 2 270.336 3.526 20 0 CHADLO F[C@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1cnn(C2CCC2)c1 ZINC000922335719 1120516536 /nfs/dbraw/zinc/51/65/36/1120516536.db2.gz NXAFFPJSWLPAEU-ROUUACIJSA-N 1 2 299.393 3.723 20 0 CHADLO CCc1onc(C)c1C[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000931083215 1120523855 /nfs/dbraw/zinc/52/38/55/1120523855.db2.gz IWZKCLQUVLHYSZ-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1onc(C)c1C[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000931083215 1120523859 /nfs/dbraw/zinc/52/38/59/1120523859.db2.gz IWZKCLQUVLHYSZ-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)[N@@H+]1CCC(F)(F)F ZINC000364276661 1120525539 /nfs/dbraw/zinc/52/55/39/1120525539.db2.gz CDDICCCRWPYWPE-YRGRVCCFSA-N 1 2 287.325 3.789 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)[C@@H](C)[N@H+]1CCC(F)(F)F ZINC000364276661 1120525544 /nfs/dbraw/zinc/52/55/44/1120525544.db2.gz CDDICCCRWPYWPE-YRGRVCCFSA-N 1 2 287.325 3.789 20 0 CHADLO Cc1sccc1C[NH2+][C@@H]1c2ccccc2OC[C@H]1F ZINC000922521462 1120546990 /nfs/dbraw/zinc/54/69/90/1120546990.db2.gz KBQIHJYCYXNGEX-UKRRQHHQSA-N 1 2 277.364 3.618 20 0 CHADLO CC[N@@H+]1CCC[C@H]1C[NH2+][C@@H](C)c1ccc(SC)cc1 ZINC000019960915 1120553960 /nfs/dbraw/zinc/55/39/60/1120553960.db2.gz UEIBCRSWBMYJRH-ZFWWWQNUSA-N 1 2 278.465 3.543 20 0 CHADLO Oc1cccc(C[NH2+][C@H](c2ccccn2)C2CC2)c1Cl ZINC000922584312 1120554138 /nfs/dbraw/zinc/55/41/38/1120554138.db2.gz HHFVLUUIMJFVPE-INIZCTEOSA-N 1 2 288.778 3.682 20 0 CHADLO Clc1cc(NC[C@H]2CCC=CO2)ccc1-n1cc[nH+]c1 ZINC000194146304 1120593288 /nfs/dbraw/zinc/59/32/88/1120593288.db2.gz HLOCDDCGOVDKLD-CYBMUJFWSA-N 1 2 289.766 3.630 20 0 CHADLO CCCOc1cc(C[NH2+][C@@H]2CCCC2(F)F)ccc1OC ZINC000673618041 1120600500 /nfs/dbraw/zinc/60/05/00/1120600500.db2.gz QHWXQMDTVBHBBO-OAHLLOKOSA-N 1 2 299.361 3.761 20 0 CHADLO Cc1ccc2[nH]c([C@@H]3C[C@@H](C)O[C@@H](C)C3)[nH+]c2c1C ZINC000724357573 1120604852 /nfs/dbraw/zinc/60/48/52/1120604852.db2.gz LOLUFGJFXOWYDX-PTEHBNRSSA-N 1 2 258.365 3.851 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cc(C(C)C)no2)c(F)c1 ZINC000631071954 1120608794 /nfs/dbraw/zinc/60/87/94/1120608794.db2.gz PWXKAWVBBPTLSK-UHFFFAOYSA-N 1 2 262.328 3.535 20 0 CHADLO C1=CC[C@@]2(CC1)CCC[N@@H+](Cc1noc(C3CCC3)n1)C2 ZINC000625165248 1120641203 /nfs/dbraw/zinc/64/12/03/1120641203.db2.gz CACJERDSRZAMAL-QGZVFWFLSA-N 1 2 287.407 3.659 20 0 CHADLO C1=CC[C@@]2(CC1)CCC[N@H+](Cc1noc(C3CCC3)n1)C2 ZINC000625165248 1120641206 /nfs/dbraw/zinc/64/12/06/1120641206.db2.gz CACJERDSRZAMAL-QGZVFWFLSA-N 1 2 287.407 3.659 20 0 CHADLO C[C@@H](CC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)CC(C)(C)C ZINC000625207000 1120646222 /nfs/dbraw/zinc/64/62/22/1120646222.db2.gz NFQLQFHCKMFGBS-ZDUSSCGKSA-N 1 2 291.439 3.578 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@H+](C)Cc1coc(C)n1 ZINC000660558701 1120657722 /nfs/dbraw/zinc/65/77/22/1120657722.db2.gz RWXBQFYMIQMSOU-OAHLLOKOSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@@H+](C)Cc1coc(C)n1 ZINC000660558701 1120657725 /nfs/dbraw/zinc/65/77/25/1120657725.db2.gz RWXBQFYMIQMSOU-OAHLLOKOSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@@H]1CCC[C@H](C[NH2+][C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000545600937 1120661136 /nfs/dbraw/zinc/66/11/36/1120661136.db2.gz YOJVONSHOWQSRS-VYDXJSESSA-N 1 2 298.434 3.700 20 0 CHADLO C[C@H](c1ccc(C(C)(C)C)cc1)[N@@H+]1CCn2cncc2C1 ZINC000660580535 1120665187 /nfs/dbraw/zinc/66/51/87/1120665187.db2.gz QADWREXCEILAPN-CQSZACIVSA-N 1 2 283.419 3.757 20 0 CHADLO C[C@H](c1ccc(C(C)(C)C)cc1)[N@H+]1CCn2cncc2C1 ZINC000660580535 1120665189 /nfs/dbraw/zinc/66/51/89/1120665189.db2.gz QADWREXCEILAPN-CQSZACIVSA-N 1 2 283.419 3.757 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1ncccc1Cl ZINC000625314993 1120666943 /nfs/dbraw/zinc/66/69/43/1120666943.db2.gz RRPRYVLAZRRHOF-ZJUUUORDSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1ncccc1Cl ZINC000625314993 1120666946 /nfs/dbraw/zinc/66/69/46/1120666946.db2.gz RRPRYVLAZRRHOF-ZJUUUORDSA-N 1 2 292.732 3.898 20 0 CHADLO c1cn(Cc2ccc(NC(C3CC3)C3CC3)cc2)c[nH+]1 ZINC001168744836 1120683570 /nfs/dbraw/zinc/68/35/70/1120683570.db2.gz WCUOABMXYOLKNW-UHFFFAOYSA-N 1 2 267.376 3.532 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(C(F)(F)F)cc3)CC2)co1 ZINC000660921445 1120702517 /nfs/dbraw/zinc/70/25/17/1120702517.db2.gz FZYGTNYVLNMWQT-UHFFFAOYSA-N 1 2 296.292 3.781 20 0 CHADLO CC[C@@H](CC(F)F)C[NH+]1Cc2cc(F)c(F)cc2C1 ZINC000660925874 1120702982 /nfs/dbraw/zinc/70/29/82/1120702982.db2.gz GNPPARRCNKTCPG-VIFPVBQESA-N 1 2 275.289 3.962 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2[nH]ncc21)c1ccc(Cl)cc1 ZINC000335082741 1120713614 /nfs/dbraw/zinc/71/36/14/1120713614.db2.gz JBUCCXDFPHKWCC-HZMBPMFUSA-N 1 2 275.783 3.791 20 0 CHADLO Nc1ccc(NC2c3ccccc3-c3ccccc32)c[nH+]1 ZINC001167775866 1120722246 /nfs/dbraw/zinc/72/22/46/1120722246.db2.gz CWIIGTRADUKXSQ-UHFFFAOYSA-N 1 2 273.339 3.846 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccsc2)C(C)(C)C)co1 ZINC000661133154 1120723864 /nfs/dbraw/zinc/72/38/64/1120723864.db2.gz FLWOZIQSEYVGQX-UHFFFAOYSA-N 1 2 264.394 3.845 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccsc2)C(C)(C)C)co1 ZINC000661133154 1120723869 /nfs/dbraw/zinc/72/38/69/1120723869.db2.gz FLWOZIQSEYVGQX-UHFFFAOYSA-N 1 2 264.394 3.845 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169536 1120731083 /nfs/dbraw/zinc/73/10/83/1120731083.db2.gz MNEHOXWRRGZUNI-BZNIZROVSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169536 1120731088 /nfs/dbraw/zinc/73/10/88/1120731088.db2.gz MNEHOXWRRGZUNI-BZNIZROVSA-N 1 2 281.346 3.527 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2cc3cnccc3o2)cs1 ZINC000651766128 1120739529 /nfs/dbraw/zinc/73/95/29/1120739529.db2.gz WOUGSHNSPPHVHE-OAHLLOKOSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2cc3cnccc3o2)cs1 ZINC000651766128 1120739536 /nfs/dbraw/zinc/73/95/36/1120739536.db2.gz WOUGSHNSPPHVHE-OAHLLOKOSA-N 1 2 299.399 3.930 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc2c1CCC2)c1nc(C(C)(C)C)no1 ZINC000651784500 1120744604 /nfs/dbraw/zinc/74/46/04/1120744604.db2.gz SHVMPBBTFCNUAA-GFCCVEGCSA-N 1 2 299.418 3.707 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCc2ccc(C(C)C)cc2C1 ZINC000661374915 1120757623 /nfs/dbraw/zinc/75/76/23/1120757623.db2.gz TXFZRRXJGXBIAJ-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO CCn1ccnc1C[N@H+]1CCc2ccc(C(C)C)cc2C1 ZINC000661374915 1120757627 /nfs/dbraw/zinc/75/76/27/1120757627.db2.gz TXFZRRXJGXBIAJ-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CCc3ccc(C(C)C)cc3C2)o1 ZINC000661374791 1120757769 /nfs/dbraw/zinc/75/77/69/1120757769.db2.gz RGORTPPFFKVFSE-LBPRGKRZSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CCc3ccc(C(C)C)cc3C2)o1 ZINC000661374791 1120757775 /nfs/dbraw/zinc/75/77/75/1120757775.db2.gz RGORTPPFFKVFSE-LBPRGKRZSA-N 1 2 285.391 3.621 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(COC)s1)c1ccccc1F ZINC000651932801 1120785224 /nfs/dbraw/zinc/78/52/24/1120785224.db2.gz CQVOFPQZFDGYRA-AWEZNQCLSA-N 1 2 294.395 3.670 20 0 CHADLO FCC[C@H]1CCC[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000661691085 1120795006 /nfs/dbraw/zinc/79/50/06/1120795006.db2.gz SGTBAUDVBJMQOI-SECBINFHSA-N 1 2 296.333 3.734 20 0 CHADLO FCC[C@H]1CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000661691085 1120795009 /nfs/dbraw/zinc/79/50/09/1120795009.db2.gz SGTBAUDVBJMQOI-SECBINFHSA-N 1 2 296.333 3.734 20 0 CHADLO CC[C@@H](C)CCc1nc(-c2ccccc2-n2cc[nH+]c2)no1 ZINC001211671759 1120799761 /nfs/dbraw/zinc/79/97/61/1120799761.db2.gz GCYOBXSTQSCEEA-CYBMUJFWSA-N 1 2 296.374 3.901 20 0 CHADLO CC[C@H](Nc1cc(COC)cc[nH+]1)c1ccsc1 ZINC000652330362 1120827990 /nfs/dbraw/zinc/82/79/90/1120827990.db2.gz DGYSOCBRTISGNT-ZDUSSCGKSA-N 1 2 262.378 3.853 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCC[C@@H]2CCCF)c1 ZINC000652330827 1120829141 /nfs/dbraw/zinc/82/91/41/1120829141.db2.gz QKYYSLQUXGPNGG-KGLIPLIRSA-N 1 2 266.360 3.558 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)[C@@H]2C[C@H]2c2cccs2)c1 ZINC000652331471 1120830498 /nfs/dbraw/zinc/83/04/98/1120830498.db2.gz IBFURRAMDFJTJO-KWCYVHTRSA-N 1 2 288.416 3.894 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1cc(C)n(C)n1 ZINC000487366100 1120833442 /nfs/dbraw/zinc/83/34/42/1120833442.db2.gz NUMRLNVTJOBHCC-UHFFFAOYSA-N 1 2 297.402 3.669 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1cc(C)n(C)n1 ZINC000487366100 1120833447 /nfs/dbraw/zinc/83/34/47/1120833447.db2.gz NUMRLNVTJOBHCC-UHFFFAOYSA-N 1 2 297.402 3.669 20 0 CHADLO CCOc1cc(Nc2cc(F)c(OC)c(F)c2)cc(C)[nH+]1 ZINC001211676613 1120841854 /nfs/dbraw/zinc/84/18/54/1120841854.db2.gz INXGLPLMIFLODX-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO CCc1ccc(C(=O)Nc2cccc3[nH+]ccn32)cc1CC ZINC001126177292 1120842061 /nfs/dbraw/zinc/84/20/61/1120842061.db2.gz CTPWXSPOGXXDEJ-UHFFFAOYSA-N 1 2 293.370 3.711 20 0 CHADLO Cc1cn2cccc(Nc3cnccc3C3CC3)c2[nH+]1 ZINC001213869396 1120852417 /nfs/dbraw/zinc/85/24/17/1120852417.db2.gz TXSULZPYSAPMIU-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO Fc1ccc(OC(F)F)c(-c2c[nH+]c3n2CCCC3)c1 ZINC000630050491 1128945411 /nfs/dbraw/zinc/94/54/11/1128945411.db2.gz GLLKJCNMSRKKQX-UHFFFAOYSA-N 1 2 282.265 3.627 20 0 CHADLO CCc1cc(Cl)c(Cl)cc1OCc1c[nH+]cn1C ZINC000432294255 1120865087 /nfs/dbraw/zinc/86/50/87/1120865087.db2.gz QRKKQGPKIIVKOT-UHFFFAOYSA-N 1 2 285.174 3.868 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+](Cc1noc(C)n1)C(C)C ZINC000662108621 1120877704 /nfs/dbraw/zinc/87/77/04/1120877704.db2.gz OIQCDBAJGSPKFJ-OAHLLOKOSA-N 1 2 273.380 3.740 20 0 CHADLO CC[C@H](c1ccccc1)[N@@H+](Cc1noc(C)n1)C(C)C ZINC000662108621 1120877710 /nfs/dbraw/zinc/87/77/10/1120877710.db2.gz OIQCDBAJGSPKFJ-OAHLLOKOSA-N 1 2 273.380 3.740 20 0 CHADLO Fc1cc(OC(F)F)ccc1-c1c[nH+]c2n1CCCC2 ZINC000630053569 1128946370 /nfs/dbraw/zinc/94/63/70/1128946370.db2.gz RGAHGBDMWISQAG-UHFFFAOYSA-N 1 2 282.265 3.627 20 0 CHADLO CC[C@@H]1CCC[C@H](C)[N@H+]1Cc1noc(C(C)(C)C)n1 ZINC000662158986 1120888732 /nfs/dbraw/zinc/88/87/32/1120888732.db2.gz ZVQCCKYSNDSKOV-NWDGAFQWSA-N 1 2 265.401 3.520 20 0 CHADLO CC[C@@H]1CCC[C@H](C)[N@@H+]1Cc1noc(C(C)(C)C)n1 ZINC000662158986 1120888730 /nfs/dbraw/zinc/88/87/30/1120888730.db2.gz ZVQCCKYSNDSKOV-NWDGAFQWSA-N 1 2 265.401 3.520 20 0 CHADLO c1cn(Cc2nc(C3CCCCCC3)cs2)c[nH+]1 ZINC000663220948 1120892726 /nfs/dbraw/zinc/89/27/26/1120892726.db2.gz XJLFHRLMEYJIDM-UHFFFAOYSA-N 1 2 261.394 3.826 20 0 CHADLO C[N@@H+](Cc1csc(C2CCCC2)n1)CC1=CCSC1 ZINC000662269797 1120914535 /nfs/dbraw/zinc/91/45/35/1120914535.db2.gz ICYKISBLOWOVDV-UHFFFAOYSA-N 1 2 294.489 3.906 20 0 CHADLO C[N@H+](Cc1csc(C2CCCC2)n1)CC1=CCSC1 ZINC000662269797 1120914540 /nfs/dbraw/zinc/91/45/40/1120914540.db2.gz ICYKISBLOWOVDV-UHFFFAOYSA-N 1 2 294.489 3.906 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1cccc(C2CCC2)c1 ZINC000643293396 1120945443 /nfs/dbraw/zinc/94/54/43/1120945443.db2.gz KVELPYFCORVHEM-UHFFFAOYSA-N 1 2 283.375 3.570 20 0 CHADLO CC(C)=C[C@H]1[C@H](C(=O)Nc2ccc3[nH+]ccn3c2)C1(C)C ZINC000603882815 1120970606 /nfs/dbraw/zinc/97/06/06/1120970606.db2.gz YUBYBSHABPGUSO-DZGCQCFKSA-N 1 2 283.375 3.511 20 0 CHADLO CC(C)(C)CC(C)(C)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000931770324 1121020616 /nfs/dbraw/zinc/02/06/16/1121020616.db2.gz AAXZLBIOPGNJOV-ZDUSSCGKSA-N 1 2 291.439 3.578 20 0 CHADLO CNc1ccc(Nc2cc(-c3ccc(F)cc3)no2)c[nH+]1 ZINC001203448796 1121040150 /nfs/dbraw/zinc/04/01/50/1121040150.db2.gz KJIKLIZSMZQOOQ-UHFFFAOYSA-N 1 2 284.294 3.661 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cnc(-c2ccccc2)nc1 ZINC000618986654 1128959009 /nfs/dbraw/zinc/95/90/09/1128959009.db2.gz UFVDWWOMTAJHRU-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cnc(-c2ccccc2)nc1 ZINC000618986654 1128959011 /nfs/dbraw/zinc/95/90/11/1128959011.db2.gz UFVDWWOMTAJHRU-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)ccc2C)c[nH+]1 ZINC001174885585 1121061039 /nfs/dbraw/zinc/06/10/39/1121061039.db2.gz JXZKRKKFUPREEF-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)c(Cl)c1)c1ccon1 ZINC000543574008 1121062698 /nfs/dbraw/zinc/06/26/98/1121062698.db2.gz IBOSPBYLEWIQON-RKDXNWHRSA-N 1 2 268.719 3.879 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1[C@@H](C(=O)OC(C)(C)C)C1CC1 ZINC001174854044 1121066033 /nfs/dbraw/zinc/06/60/33/1121066033.db2.gz MPLZMIHYCHENBK-MLGOLLRUSA-N 1 2 287.403 3.684 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1[C@@H](C(=O)OC(C)(C)C)C1CC1 ZINC001174854044 1121066042 /nfs/dbraw/zinc/06/60/42/1121066042.db2.gz MPLZMIHYCHENBK-MLGOLLRUSA-N 1 2 287.403 3.684 20 0 CHADLO Cc1cn2cccc(Nc3cccc4cccnc43)c2[nH+]1 ZINC001174857320 1121066769 /nfs/dbraw/zinc/06/67/69/1121066769.db2.gz ZKKRGKWLRPNJFY-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc3cccnc32)[nH+]1 ZINC001174856027 1121067181 /nfs/dbraw/zinc/06/71/81/1121067181.db2.gz NPDQJPZJLKEGAP-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc4cccnc43)ccc21 ZINC001174860392 1121068117 /nfs/dbraw/zinc/06/81/17/1121068117.db2.gz YZBOYRKJNNTWST-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc2c(c1)OCO2 ZINC001174864117 1121071130 /nfs/dbraw/zinc/07/11/30/1121071130.db2.gz RGHVPLFJPACIQH-UHFFFAOYSA-N 1 2 270.332 3.986 20 0 CHADLO CN(C)c1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1 ZINC001174877182 1121077044 /nfs/dbraw/zinc/07/70/44/1121077044.db2.gz MRZLPRBKBDDRHO-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CN(C)c1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001174879118 1121077955 /nfs/dbraw/zinc/07/79/55/1121077955.db2.gz ZRLHZSNDEFIXTF-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)c(OC)cc1F ZINC001174930842 1121081797 /nfs/dbraw/zinc/08/17/97/1121081797.db2.gz GILKWLAVXVRFJE-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO c1cn2c(cccc2Nc2cccc3ncccc32)[nH+]1 ZINC001174904894 1121085201 /nfs/dbraw/zinc/08/52/01/1121085201.db2.gz IKZSXAHKYPWLFF-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc3cnccc3c2)CCCC1 ZINC000674132104 1121089799 /nfs/dbraw/zinc/08/97/99/1121089799.db2.gz VQGQRYVZKRHXGC-UHFFFAOYSA-N 1 2 276.330 3.902 20 0 CHADLO Cc1cc[nH+]c(Nc2cccc(C(=O)OC(C)C)c2)c1 ZINC001174914343 1121090781 /nfs/dbraw/zinc/09/07/81/1121090781.db2.gz YEBGBJVYCVAJHB-UHFFFAOYSA-N 1 2 270.332 3.699 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(C)[nH+]c(C(C)C)n2)o1 ZINC000037976228 1121093864 /nfs/dbraw/zinc/09/38/64/1121093864.db2.gz GZCGUTBXILKJKJ-GFCCVEGCSA-N 1 2 259.353 3.983 20 0 CHADLO CCOc1ccc(C[NH2+]C2(C(F)F)CCCC2)cc1OC ZINC000674135682 1121094431 /nfs/dbraw/zinc/09/44/31/1121094431.db2.gz YQKRUAVLLOPUFV-UHFFFAOYSA-N 1 2 299.361 3.761 20 0 CHADLO COCc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001174920925 1121096171 /nfs/dbraw/zinc/09/61/71/1121096171.db2.gz CSUONKXSZQRWKV-UHFFFAOYSA-N 1 2 279.343 3.762 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2ccc(F)c3ccccc32)o1 ZINC000344133926 1121098888 /nfs/dbraw/zinc/09/88/88/1121098888.db2.gz ZCJREMBAOSEFSG-LLVKDONJSA-N 1 2 299.349 3.775 20 0 CHADLO c1cnc2c(c1)CC[C@H]2Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000318294242 1121101084 /nfs/dbraw/zinc/10/10/84/1121101084.db2.gz LNNHWBJJTOQHPP-MRXNPFEDSA-N 1 2 294.402 3.566 20 0 CHADLO Cc1nnc(C[NH2+][C@H](C)c2ccc(F)c3ccccc32)o1 ZINC000344148137 1121103508 /nfs/dbraw/zinc/10/35/08/1121103508.db2.gz RSQQJOOHHBZLKB-SNVBAGLBSA-N 1 2 285.322 3.521 20 0 CHADLO C[C@@H]1CCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000344183677 1121113064 /nfs/dbraw/zinc/11/30/64/1121113064.db2.gz SJCBDKKUOSQBBB-ZBFHGGJFSA-N 1 2 297.402 3.571 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccc(C(F)F)cc1)C2 ZINC000625726739 1121115130 /nfs/dbraw/zinc/11/51/30/1121115130.db2.gz BQEGLKCZTVSBBO-UHFFFAOYSA-N 1 2 275.298 3.846 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccc(C(F)F)cc1)C2 ZINC000625726739 1121115134 /nfs/dbraw/zinc/11/51/34/1121115134.db2.gz BQEGLKCZTVSBBO-UHFFFAOYSA-N 1 2 275.298 3.846 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCCc2occc2C1 ZINC000344195538 1121117840 /nfs/dbraw/zinc/11/78/40/1121117840.db2.gz CCKRJTNJGQRUHT-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCCc2occc2C1 ZINC000344195538 1121117843 /nfs/dbraw/zinc/11/78/43/1121117843.db2.gz CCKRJTNJGQRUHT-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO C[C@H](CC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)CC(C)(C)C ZINC000674362055 1121124122 /nfs/dbraw/zinc/12/41/22/1121124122.db2.gz XYLVYBLQDXZAOP-CYBMUJFWSA-N 1 2 291.439 3.578 20 0 CHADLO C[C@H](CC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)CC(C)(C)C ZINC000674362055 1121124126 /nfs/dbraw/zinc/12/41/26/1121124126.db2.gz XYLVYBLQDXZAOP-CYBMUJFWSA-N 1 2 291.439 3.578 20 0 CHADLO CCN(Cc1c[nH+]cn1C)c1cccc2ccccc21 ZINC000674370351 1121125464 /nfs/dbraw/zinc/12/54/64/1121125464.db2.gz UHANSFIWEJKGNI-UHFFFAOYSA-N 1 2 265.360 3.600 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+]1Cc2ccc(O)cc2C1 ZINC000625726873 1121142348 /nfs/dbraw/zinc/14/23/48/1121142348.db2.gz OPUYISHUUFPXGH-LLVKDONJSA-N 1 2 257.308 3.608 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+]1Cc2ccc(O)cc2C1 ZINC000625726873 1121142352 /nfs/dbraw/zinc/14/23/52/1121142352.db2.gz OPUYISHUUFPXGH-LLVKDONJSA-N 1 2 257.308 3.608 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@@H+]1Cc2ccc(O)cc2C1 ZINC000625728175 1121145617 /nfs/dbraw/zinc/14/56/17/1121145617.db2.gz YGQJNOSHCNKJSB-UHFFFAOYSA-N 1 2 296.414 3.989 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@H+]1Cc2ccc(O)cc2C1 ZINC000625728175 1121145620 /nfs/dbraw/zinc/14/56/20/1121145620.db2.gz YGQJNOSHCNKJSB-UHFFFAOYSA-N 1 2 296.414 3.989 20 0 CHADLO Cn1c[nH+]cc1COc1ccc2oc3ccccc3c2c1 ZINC000674649278 1121162276 /nfs/dbraw/zinc/16/22/76/1121162276.db2.gz OWBNBFWQNVFIHR-UHFFFAOYSA-N 1 2 278.311 3.899 20 0 CHADLO CCOc1ccc(C)cc1Nc1cccc2[nH+]ccn21 ZINC001175122549 1121191739 /nfs/dbraw/zinc/19/17/39/1121191739.db2.gz GHDSEGBNPTUFSS-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO Clc1ccc2nc(SCCCn3cc[nH+]c3)oc2c1 ZINC000351630754 1121193734 /nfs/dbraw/zinc/19/37/34/1121193734.db2.gz SBBARCCDZPGMOO-UHFFFAOYSA-N 1 2 293.779 3.860 20 0 CHADLO CC(C)(C)OCCCSc1[nH+]cc2ccccn21 ZINC000674904422 1121194774 /nfs/dbraw/zinc/19/47/74/1121194774.db2.gz VBJDBCZXLQKDEH-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(F)cc(OC(C)C)c1 ZINC001175141479 1121199137 /nfs/dbraw/zinc/19/91/37/1121199137.db2.gz CUUCTOLTWQWPLE-UHFFFAOYSA-N 1 2 299.349 3.842 20 0 CHADLO Fc1cnccc1Nc1cc[nH+]c2cc(Cl)ccc12 ZINC001175199283 1121206926 /nfs/dbraw/zinc/20/69/26/1121206926.db2.gz QLLWRFSRYMKXLE-UHFFFAOYSA-N 1 2 273.698 3.588 20 0 CHADLO CO[C@H]1CCC[C@H](Nc2ccc([NH+]3CCCC3)cc2)C1 ZINC000070327859 1128969521 /nfs/dbraw/zinc/96/95/21/1128969521.db2.gz XZWIKKYBJHUWBO-RDJZCZTQSA-N 1 2 274.408 3.656 20 0 CHADLO CO[C@H]1CCC[C@H]([NH2+]c2ccc(N3CCCC3)cc2)C1 ZINC000070327859 1128969524 /nfs/dbraw/zinc/96/95/24/1128969524.db2.gz XZWIKKYBJHUWBO-RDJZCZTQSA-N 1 2 274.408 3.656 20 0 CHADLO Fc1cccc(C[NH2+][C@H]2COc3c2cccc3Cl)c1F ZINC000675103089 1121216759 /nfs/dbraw/zinc/21/67/59/1121216759.db2.gz ILJWZIBUHLGECO-ZDUSSCGKSA-N 1 2 295.716 3.842 20 0 CHADLO CSc1cc(Nc2ccccc2C(C)=O)cc[nH+]1 ZINC001175176994 1121217819 /nfs/dbraw/zinc/21/78/19/1121217819.db2.gz VHMHTLAXBQKLRR-UHFFFAOYSA-N 1 2 258.346 3.750 20 0 CHADLO CCOc1ccc(Nc2ccc(N(C)CC)[nH+]c2)c(F)c1 ZINC001175248873 1121246223 /nfs/dbraw/zinc/24/62/23/1121246223.db2.gz DZGMJAQMAKISEQ-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO CCOc1c(F)cccc1Nc1cccc2[nH+]ccn21 ZINC001212533715 1121247564 /nfs/dbraw/zinc/24/75/64/1121247564.db2.gz XWIBBEUNTNRSOO-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOc1cc(F)ccc1Nc1ccc(C)[nH+]c1C ZINC001175287577 1121254823 /nfs/dbraw/zinc/25/48/23/1121254823.db2.gz HYFHHNONMGFMCK-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Fc1ccc(Cl)cc1Nc1cccc2[nH+]ccn21 ZINC001175296491 1121261058 /nfs/dbraw/zinc/26/10/58/1121261058.db2.gz GWNZNUDSXYTNOX-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CN(C)c1ccc(Nc2cncc(C(C)(C)C)c2)c[nH+]1 ZINC001175341677 1121272823 /nfs/dbraw/zinc/27/28/23/1121272823.db2.gz IFYIDMDJVCWWTA-UHFFFAOYSA-N 1 2 270.380 3.584 20 0 CHADLO CN(C)c1ccc(Nc2cc3cccccc-3c2)c[nH+]1 ZINC001175341626 1121272870 /nfs/dbraw/zinc/27/28/70/1121272870.db2.gz VUGHDRNXCVKIHA-UHFFFAOYSA-N 1 2 263.344 3.996 20 0 CHADLO Cc1cncc2cccc(Nc3ccc(N(C)C)[nH+]c3)c12 ZINC001175344773 1121273654 /nfs/dbraw/zinc/27/36/54/1121273654.db2.gz GOMWIVXNMWFKTM-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO COc1cc(Cl)cc(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001175344828 1121274308 /nfs/dbraw/zinc/27/43/08/1121274308.db2.gz IXZWLEYSPLLSTP-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO Cc1cc(Cl)ncc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175346411 1121274454 /nfs/dbraw/zinc/27/44/54/1121274454.db2.gz SJYCKKFIQYVRHH-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO Oc1cccc(Nc2ccc[nH+]c2N2CCCCC2)c1F ZINC001212546844 1121277556 /nfs/dbraw/zinc/27/75/56/1121277556.db2.gz YARPZFWHVZDXEQ-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO c1noc2c1cccc2Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175349211 1121290268 /nfs/dbraw/zinc/29/02/68/1121290268.db2.gz MHHLPUFTJHPKEH-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO Cc1cc(C(F)(F)F)ncc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175338901 1121290607 /nfs/dbraw/zinc/29/06/07/1121290607.db2.gz QNYRTJYUOWBTPK-UHFFFAOYSA-N 1 2 296.296 3.613 20 0 CHADLO COc1cc(Nc2ccccc2-n2cc[nH+]c2)ccc1F ZINC001175397161 1121291632 /nfs/dbraw/zinc/29/16/32/1121291632.db2.gz YMGJNAATXYVWPD-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Fc1c(Cl)nccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175350550 1121291830 /nfs/dbraw/zinc/29/18/30/1121291830.db2.gz VRCQLCPIAGAGPO-UHFFFAOYSA-N 1 2 292.745 3.613 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(N3CCCC3)nc2)c1 ZINC001175351235 1121291842 /nfs/dbraw/zinc/29/18/42/1121291842.db2.gz NNFGDSCOHPCJDT-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(N2CCCC2)nc1 ZINC001175350325 1121292460 /nfs/dbraw/zinc/29/24/60/1121292460.db2.gz GTIGFSUPFZXMNG-UHFFFAOYSA-N 1 2 282.391 3.691 20 0 CHADLO c1cc2cc[nH+]cc2c(Nc2ccc(N3CCCC3)nc2)c1 ZINC001175352492 1121293120 /nfs/dbraw/zinc/29/31/20/1121293120.db2.gz QACBVJVAVMKRJA-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnc2n[nH]cc2c1 ZINC001175402711 1121315844 /nfs/dbraw/zinc/31/58/44/1121315844.db2.gz JHEOYHOPCDINLS-UHFFFAOYSA-N 1 2 267.336 3.528 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc2c1cnn2C ZINC001175432133 1121322806 /nfs/dbraw/zinc/32/28/06/1121322806.db2.gz GLWHUVMYCGDKIK-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO Cc1c[nH+]c(Nc2c3c[nH]nc3ccc2C)c(C)c1 ZINC001175523295 1121363581 /nfs/dbraw/zinc/36/35/81/1121363581.db2.gz FJCFGSDVESXVTM-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H]2CCOc3ccc(F)cc32)n1 ZINC000675809231 1121363983 /nfs/dbraw/zinc/36/39/83/1121363983.db2.gz DBXFBXCMQGHOQX-ZWNOBZJWSA-N 1 2 292.379 3.765 20 0 CHADLO Cc1[nH+]c2c(Nc3c4cn[nH]c4ccc3C)cccn2c1C ZINC001175525322 1121366165 /nfs/dbraw/zinc/36/61/65/1121366165.db2.gz BDQBHIQUYUVGQN-UHFFFAOYSA-N 1 2 291.358 3.879 20 0 CHADLO COCc1ccc(C[N@H+](C)Cc2cccc(F)c2F)s1 ZINC001139831674 1121382678 /nfs/dbraw/zinc/38/26/78/1121382678.db2.gz YWHAWAXEOBGKBF-UHFFFAOYSA-N 1 2 297.370 3.805 20 0 CHADLO COCc1ccc(C[N@@H+](C)Cc2cccc(F)c2F)s1 ZINC001139831674 1121382687 /nfs/dbraw/zinc/38/26/87/1121382687.db2.gz YWHAWAXEOBGKBF-UHFFFAOYSA-N 1 2 297.370 3.805 20 0 CHADLO C[N@@H+]1CCC=C(Nc2c(Cl)cccc2Cl)C1 ZINC001175577111 1121394434 /nfs/dbraw/zinc/39/44/34/1121394434.db2.gz WIXRUDYYGLYXIU-UHFFFAOYSA-N 1 2 257.164 3.625 20 0 CHADLO C[N@H+]1CCC=C(Nc2c(Cl)cccc2Cl)C1 ZINC001175577111 1121394442 /nfs/dbraw/zinc/39/44/42/1121394442.db2.gz WIXRUDYYGLYXIU-UHFFFAOYSA-N 1 2 257.164 3.625 20 0 CHADLO C[N@@H+]1CCC=C(Nc2c(Cl)cc(F)cc2Cl)C1 ZINC001175577102 1121397118 /nfs/dbraw/zinc/39/71/18/1121397118.db2.gz VZWFPYPPNIYIHN-UHFFFAOYSA-N 1 2 275.154 3.764 20 0 CHADLO C[N@H+]1CCC=C(Nc2c(Cl)cc(F)cc2Cl)C1 ZINC001175577102 1121397128 /nfs/dbraw/zinc/39/71/28/1121397128.db2.gz VZWFPYPPNIYIHN-UHFFFAOYSA-N 1 2 275.154 3.764 20 0 CHADLO Cc1cc(Br)c(NC2=CCC[N@@H+](C)C2)cc1C ZINC001175578296 1121397422 /nfs/dbraw/zinc/39/74/22/1121397422.db2.gz JZGNVOJZVCUCHT-UHFFFAOYSA-N 1 2 295.224 3.697 20 0 CHADLO Cc1cc(Br)c(NC2=CCC[N@H+](C)C2)cc1C ZINC001175578296 1121397431 /nfs/dbraw/zinc/39/74/31/1121397431.db2.gz JZGNVOJZVCUCHT-UHFFFAOYSA-N 1 2 295.224 3.697 20 0 CHADLO CCNC(=O)c1ccc(Nc2ccc([N@H+](C)CC)cc2)cc1 ZINC001175634989 1121402640 /nfs/dbraw/zinc/40/26/40/1121402640.db2.gz BNNBQXMLGRMEPP-UHFFFAOYSA-N 1 2 297.402 3.636 20 0 CHADLO CCNC(=O)c1ccc(Nc2ccc([N@@H+](C)CC)cc2)cc1 ZINC001175634989 1121402644 /nfs/dbraw/zinc/40/26/44/1121402644.db2.gz BNNBQXMLGRMEPP-UHFFFAOYSA-N 1 2 297.402 3.636 20 0 CHADLO Cl/C=C(\Cl)C[NH2+][C@H](Cn1cccn1)c1ccccc1 ZINC000763560275 1128983353 /nfs/dbraw/zinc/98/33/53/1128983353.db2.gz LIYAXSDSDDATEN-RNQWEJQRSA-N 1 2 296.201 3.533 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1C/C(Cl)=C/Cl ZINC000763560326 1128983828 /nfs/dbraw/zinc/98/38/28/1128983828.db2.gz MYQVUWLCAVYAOJ-BNDQCTAISA-N 1 2 256.176 3.752 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1C/C(Cl)=C/Cl ZINC000763560326 1128983831 /nfs/dbraw/zinc/98/38/31/1128983831.db2.gz MYQVUWLCAVYAOJ-BNDQCTAISA-N 1 2 256.176 3.752 20 0 CHADLO CCOc1cc(Nc2ccc3[nH]nc(C)c3c2)cc(C)[nH+]1 ZINC001175693636 1121415268 /nfs/dbraw/zinc/41/52/68/1121415268.db2.gz GDCBLUIDAJHMON-UHFFFAOYSA-N 1 2 282.347 3.717 20 0 CHADLO CCOc1cc(Nc2ccc3n[nH]c(C)c3c2)cc(C)[nH+]1 ZINC001175693636 1121415272 /nfs/dbraw/zinc/41/52/72/1121415272.db2.gz GDCBLUIDAJHMON-UHFFFAOYSA-N 1 2 282.347 3.717 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc(C)cc1F)c1ccc(C)o1 ZINC000631082326 1128984053 /nfs/dbraw/zinc/98/40/53/1128984053.db2.gz HIWJTXHGBBDXRW-HNNXBMFYSA-N 1 2 277.339 3.513 20 0 CHADLO FC[C@@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC001175716351 1121436617 /nfs/dbraw/zinc/43/66/17/1121436617.db2.gz TXBNPCLGEVCEME-ZETCQYMHSA-N 1 2 268.184 3.846 20 0 CHADLO FC[C@@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC001175716351 1121436620 /nfs/dbraw/zinc/43/66/20/1121436620.db2.gz TXBNPCLGEVCEME-ZETCQYMHSA-N 1 2 268.184 3.846 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+](C)[C@@H](C)c2ccccc2)n1 ZINC000893906421 1121438031 /nfs/dbraw/zinc/43/80/31/1121438031.db2.gz YFSZGBIYPQSTOU-ZDUSSCGKSA-N 1 2 257.381 3.726 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+](C)[C@@H](C)c2ccccc2)n1 ZINC000893906421 1121438034 /nfs/dbraw/zinc/43/80/34/1121438034.db2.gz YFSZGBIYPQSTOU-ZDUSSCGKSA-N 1 2 257.381 3.726 20 0 CHADLO Clc1ccc(C[N@@H+]2C[C@@H]3CCCC[C@@H]32)c(Cl)n1 ZINC000706885578 1121443542 /nfs/dbraw/zinc/44/35/42/1121443542.db2.gz WADZVWYBMFMDHD-ONGXEEELSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1ccc(C[N@H+]2C[C@@H]3CCCC[C@@H]32)c(Cl)n1 ZINC000706885578 1121443545 /nfs/dbraw/zinc/44/35/45/1121443545.db2.gz WADZVWYBMFMDHD-ONGXEEELSA-N 1 2 271.191 3.763 20 0 CHADLO CCc1nc(C)c(CNc2cc(C)[nH+]c(C3CCC3)n2)o1 ZINC000893965582 1121447908 /nfs/dbraw/zinc/44/79/08/1121447908.db2.gz SWANKBRVTXKCIE-UHFFFAOYSA-N 1 2 286.379 3.523 20 0 CHADLO c1cn(Cc2cccc(NC3=CCCCC3)c2)c[nH+]1 ZINC001175753207 1121452269 /nfs/dbraw/zinc/45/22/69/1121452269.db2.gz MHXNIGCUKZIBPR-UHFFFAOYSA-N 1 2 253.349 3.801 20 0 CHADLO CC(C)c1cccc(Nc2[nH+]cccc2N2CCOCC2)c1 ZINC001175775932 1121463449 /nfs/dbraw/zinc/46/34/49/1121463449.db2.gz LFWVKJBHESQISQ-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO Oc1ccc(C[NH2+]Cc2csc(C3CC3)n2)cc1Cl ZINC000708208886 1121463580 /nfs/dbraw/zinc/46/35/80/1121463580.db2.gz SKFCVKBBERYUOD-UHFFFAOYSA-N 1 2 294.807 3.669 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2ccc(C)cc2F)[nH]1 ZINC000631119837 1128986177 /nfs/dbraw/zinc/98/61/77/1128986177.db2.gz DNTZWYZTCYSRGN-NWDGAFQWSA-N 1 2 290.386 3.617 20 0 CHADLO Cc1[nH]c(CNc2ccc(OC(F)(F)F)cc2)[nH+]c1C ZINC000709028920 1121467070 /nfs/dbraw/zinc/46/70/70/1121467070.db2.gz GSOIHKSYVBYJHN-UHFFFAOYSA-N 1 2 285.269 3.537 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2cc(Cl)cn2C)o1 ZINC000894090011 1121467910 /nfs/dbraw/zinc/46/79/10/1121467910.db2.gz YYXCPVLMBBQQHT-UHFFFAOYSA-N 1 2 284.812 3.625 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2cc(Cl)cn2C)o1 ZINC000894090011 1121467915 /nfs/dbraw/zinc/46/79/15/1121467915.db2.gz YYXCPVLMBBQQHT-UHFFFAOYSA-N 1 2 284.812 3.625 20 0 CHADLO C/C=C/C[C@H]([NH2+][C@@H](C)CCc1ccsc1)C(=O)OCC ZINC000432904142 1121475762 /nfs/dbraw/zinc/47/57/62/1121475762.db2.gz GUVISGWKIKWLEE-VZFYUFDISA-N 1 2 295.448 3.557 20 0 CHADLO c1ccc2cc(N3CCC[C@]4(CCCOC4)C3)[nH+]cc2c1 ZINC000631143098 1128987045 /nfs/dbraw/zinc/98/70/45/1128987045.db2.gz QEEGFIPAEUZGNB-SFHVURJKSA-N 1 2 282.387 3.632 20 0 CHADLO C[N@H+](Cc1ccc(C(F)(F)F)s1)Cc1ccccn1 ZINC000432921948 1121481500 /nfs/dbraw/zinc/48/15/00/1121481500.db2.gz IERBWUUMHVDLTK-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1ccc(C(F)(F)F)s1)Cc1ccccn1 ZINC000432921948 1121481502 /nfs/dbraw/zinc/48/15/02/1121481502.db2.gz IERBWUUMHVDLTK-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nnc(C(C)(C)C)[nH]2)cc1 ZINC000432937866 1121488592 /nfs/dbraw/zinc/48/85/92/1121488592.db2.gz IYYKURYSXFPQBM-ZDUSSCGKSA-N 1 2 286.423 3.604 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nnc(C(C)(C)C)[nH]2)cc1 ZINC000432937866 1121488597 /nfs/dbraw/zinc/48/85/97/1121488597.db2.gz IYYKURYSXFPQBM-ZDUSSCGKSA-N 1 2 286.423 3.604 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nc3ccccc3o2)C2CC2)o1 ZINC000051966837 1121488903 /nfs/dbraw/zinc/48/89/03/1121488903.db2.gz VGUAYJZMCYAMEQ-UHFFFAOYSA-N 1 2 282.343 3.894 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nc3ccccc3o2)C2CC2)o1 ZINC000051966837 1121488905 /nfs/dbraw/zinc/48/89/05/1121488905.db2.gz VGUAYJZMCYAMEQ-UHFFFAOYSA-N 1 2 282.343 3.894 20 0 CHADLO COc1ccc(Nc2c(C)cc[nH+]c2C(C)C)cc1CO ZINC001175983138 1121511551 /nfs/dbraw/zinc/51/15/51/1121511551.db2.gz GKSFGLPKDTUYCV-UHFFFAOYSA-N 1 2 286.375 3.758 20 0 CHADLO COc1cc(Nc2cccc(-c3nnc(C)o3)c2)cc(C)[nH+]1 ZINC001175991514 1121514488 /nfs/dbraw/zinc/51/44/88/1121514488.db2.gz GDBGLLNXGZBRHR-UHFFFAOYSA-N 1 2 296.330 3.501 20 0 CHADLO CC(C)(F)C[NH2+]Cc1csc(COc2ccccc2)n1 ZINC000631189721 1128990678 /nfs/dbraw/zinc/99/06/78/1128990678.db2.gz SFZYIPWJJQUHRX-UHFFFAOYSA-N 1 2 294.395 3.560 20 0 CHADLO C[C@@H]([NH2+]Cc1ncnn1C(C)(C)C)c1cc2ccccc2o1 ZINC000282536347 1121530533 /nfs/dbraw/zinc/53/05/33/1121530533.db2.gz QZPZPIFEUQFEOX-GFCCVEGCSA-N 1 2 298.390 3.630 20 0 CHADLO FC(F)[C@H]([NH2+]CCCO[C@@H]1CCCCO1)c1ccccc1 ZINC000433049329 1121533748 /nfs/dbraw/zinc/53/37/48/1121533748.db2.gz SRFFYEPABQPQHH-HUUCEWRRSA-N 1 2 299.361 3.516 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001176086542 1121534481 /nfs/dbraw/zinc/53/44/81/1121534481.db2.gz KMWIXOCJBSGWSS-WJFUUDCUSA-N 1 2 268.187 3.579 20 0 CHADLO FC(F)[C@H]([NH2+]CC[C@@H]1CCCCO1)c1ccccc1 ZINC000433049709 1121538094 /nfs/dbraw/zinc/53/80/94/1121538094.db2.gz XQITVUKVOLCTOI-UONOGXRCSA-N 1 2 269.335 3.542 20 0 CHADLO Cc1nocc1C[NH2+]Cc1csc(Cl)c1Cl ZINC000631208495 1128992455 /nfs/dbraw/zinc/99/24/55/1128992455.db2.gz BEVXJJSXIOQOTB-UHFFFAOYSA-N 1 2 277.176 3.641 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc2c(cccc2OC)[nH]1 ZINC001176061083 1121544051 /nfs/dbraw/zinc/54/40/51/1121544051.db2.gz CCFXYFRNVIEUBM-UHFFFAOYSA-N 1 2 283.331 3.714 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(OC)c(F)cc1F ZINC001176108815 1121552451 /nfs/dbraw/zinc/55/24/51/1121552451.db2.gz IHUXCGVJCDBXBR-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CCC[C@@H](C)c1cc(Nc2c[nH+]ccc2OC)on1 ZINC001176119736 1121556759 /nfs/dbraw/zinc/55/67/59/1121556759.db2.gz XHRSHAOBOOCRAX-SNVBAGLBSA-N 1 2 261.325 3.725 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc2c(F)cccc2c1 ZINC001176119623 1121557361 /nfs/dbraw/zinc/55/73/61/1121557361.db2.gz WVHANJLBAVXECK-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+]Cc2ccc(Cl)o2)c1 ZINC000800283798 1121557444 /nfs/dbraw/zinc/55/74/44/1121557444.db2.gz UZWGROCVMFGGAZ-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO COc1cc[nH+]cc1Nc1c(C)ccc2cccnc21 ZINC001176121130 1121558295 /nfs/dbraw/zinc/55/82/95/1121558295.db2.gz PAIONXONOTYIFK-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+]1CCOC2(CCCC2)C1 ZINC000509373392 1121558409 /nfs/dbraw/zinc/55/84/09/1121558409.db2.gz PUWOHHUATUMMAF-UHFFFAOYSA-N 1 2 299.336 3.850 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+]1CCOC2(CCCC2)C1 ZINC000509373392 1121558414 /nfs/dbraw/zinc/55/84/14/1121558414.db2.gz PUWOHHUATUMMAF-UHFFFAOYSA-N 1 2 299.336 3.850 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)c(Cl)cc1N ZINC001176122588 1121558529 /nfs/dbraw/zinc/55/85/29/1121558529.db2.gz CJSUNFJCGDXUAQ-UHFFFAOYSA-N 1 2 284.146 3.723 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(-c2ccncc2)c1 ZINC001176123091 1121558553 /nfs/dbraw/zinc/55/85/53/1121558553.db2.gz MPFQSOZJJSJGLK-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO CCOC1CC(C[N@H+](CC)c2cccc(C)c2C)C1 ZINC000509377491 1121558823 /nfs/dbraw/zinc/55/88/23/1121558823.db2.gz DLQNACGBSPTDPF-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO CCOC1CC(C[N@@H+](CC)c2cccc(C)c2C)C1 ZINC000509377491 1121558830 /nfs/dbraw/zinc/55/88/30/1121558830.db2.gz DLQNACGBSPTDPF-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1oc(C(C)C)nc1C ZINC000429388920 1121559767 /nfs/dbraw/zinc/55/97/67/1121559767.db2.gz PFYDEJXGEKRDBH-UHFFFAOYSA-N 1 2 262.353 3.640 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1oc(C(C)C)nc1C ZINC000429388920 1121559768 /nfs/dbraw/zinc/55/97/68/1121559768.db2.gz PFYDEJXGEKRDBH-UHFFFAOYSA-N 1 2 262.353 3.640 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(C)(C)C)ccc1O ZINC001176124970 1121560231 /nfs/dbraw/zinc/56/02/31/1121560231.db2.gz UAPBWILYLOCCJE-UHFFFAOYSA-N 1 2 272.348 3.837 20 0 CHADLO COc1cccc2c1C[N@@H+]([C@@H](C)c1ccc(F)cc1)C2 ZINC000626021081 1121581483 /nfs/dbraw/zinc/58/14/83/1121581483.db2.gz NAPUMOQYRWQRRS-LBPRGKRZSA-N 1 2 271.335 3.911 20 0 CHADLO COc1cccc2c1C[N@H+]([C@@H](C)c1ccc(F)cc1)C2 ZINC000626021081 1121581490 /nfs/dbraw/zinc/58/14/90/1121581490.db2.gz NAPUMOQYRWQRRS-LBPRGKRZSA-N 1 2 271.335 3.911 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2OC)nc1 ZINC000411479180 1121592179 /nfs/dbraw/zinc/59/21/79/1121592179.db2.gz ZAYAFPHCKHSNIU-LBPRGKRZSA-N 1 2 288.366 3.643 20 0 CHADLO Oc1ccc(Nc2ccn3cc[nH+]c3c2)c(C(F)(F)F)c1 ZINC001176338327 1121600358 /nfs/dbraw/zinc/60/03/58/1121600358.db2.gz CTIXIVFOEQWGKM-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO COc1cc(Cl)cc(Nc2[nH+]cc(O)cc2C)c1 ZINC001176343936 1121602589 /nfs/dbraw/zinc/60/25/89/1121602589.db2.gz SLAUMGVBINVDAE-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO CCc1ccccc1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000433170107 1121603244 /nfs/dbraw/zinc/60/32/44/1121603244.db2.gz ZVMWJHJDEGBZPB-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](CF)c2ccc(F)cc2)s1 ZINC000631283046 1128996750 /nfs/dbraw/zinc/99/67/50/1128996750.db2.gz GKCNVTZMZQDFJI-ZDUSSCGKSA-N 1 2 282.359 3.645 20 0 CHADLO Cc1ccc(O)c(Nc2ccc3cc(O)ccc3c2)[nH+]1 ZINC001176387295 1121608533 /nfs/dbraw/zinc/60/85/33/1121608533.db2.gz ZZXCAWXLIAFSOS-UHFFFAOYSA-N 1 2 266.300 3.698 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccoc1 ZINC000094868925 1128997634 /nfs/dbraw/zinc/99/76/34/1128997634.db2.gz DBTKWODUPJCHSY-ZJUUUORDSA-N 1 2 251.276 3.970 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1csc(CO)c1 ZINC001176370723 1121617523 /nfs/dbraw/zinc/61/75/23/1121617523.db2.gz NYCAZZASWGEEHY-UHFFFAOYSA-N 1 2 262.378 3.811 20 0 CHADLO CC[C@@H]1CCCC[C@H]1CNC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001129314025 1121623634 /nfs/dbraw/zinc/62/36/34/1121623634.db2.gz INKOWZALRMCEGZ-ZBFHGGJFSA-N 1 2 299.418 3.589 20 0 CHADLO C[C@H]([NH2+]C(c1cccs1)c1cccs1)c1ncc[nH]1 ZINC000138980876 1121629967 /nfs/dbraw/zinc/62/99/67/1121629967.db2.gz XOGCOWPBEPJFEM-JTQLQIEISA-N 1 2 289.429 3.973 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1noc2c1CCCC2 ZINC000162541202 1121668286 /nfs/dbraw/zinc/66/82/86/1121668286.db2.gz AZQPATNLSPWBAY-INIZCTEOSA-N 1 2 285.391 3.532 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1noc2c1CCCC2 ZINC000162541202 1121668289 /nfs/dbraw/zinc/66/82/89/1121668289.db2.gz AZQPATNLSPWBAY-INIZCTEOSA-N 1 2 285.391 3.532 20 0 CHADLO Cc1cccc2nc(C[N@@H+](C)[C@@H](C)c3ccccc3F)cn21 ZINC000052074507 1121673937 /nfs/dbraw/zinc/67/39/37/1121673937.db2.gz FPBNJVRTHOBROD-AWEZNQCLSA-N 1 2 297.377 3.975 20 0 CHADLO Cc1cccc2nc(C[N@H+](C)[C@@H](C)c3ccccc3F)cn21 ZINC000052074507 1121673942 /nfs/dbraw/zinc/67/39/42/1121673942.db2.gz FPBNJVRTHOBROD-AWEZNQCLSA-N 1 2 297.377 3.975 20 0 CHADLO Cc1cc(Cl)ncc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176743836 1121686395 /nfs/dbraw/zinc/68/63/95/1121686395.db2.gz OSASLBRICTZVOG-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO CSc1cc(Nc2ccc[nH+]c2N2CCCC2)ccn1 ZINC001176748828 1121686509 /nfs/dbraw/zinc/68/65/09/1121686509.db2.gz CSYCPKTVBJXZSA-UHFFFAOYSA-N 1 2 286.404 3.542 20 0 CHADLO CC(C)c1ccc(Nc2ccc[nH+]c2N2CCCC2)cn1 ZINC001176749411 1121686626 /nfs/dbraw/zinc/68/66/26/1121686626.db2.gz BSXGDEYMJSIMAL-UHFFFAOYSA-N 1 2 282.391 3.944 20 0 CHADLO CC[C@H]1C[N@@H+]([C@@H](C)c2ccc(Cl)cc2)CCO1 ZINC000052441026 1121686867 /nfs/dbraw/zinc/68/68/67/1121686867.db2.gz GOUUYONNSITKNL-FZMZJTMJSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@H]1C[N@H+]([C@@H](C)c2ccc(Cl)cc2)CCO1 ZINC000052441026 1121686872 /nfs/dbraw/zinc/68/68/72/1121686872.db2.gz GOUUYONNSITKNL-FZMZJTMJSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000397996515 1121703594 /nfs/dbraw/zinc/70/35/94/1121703594.db2.gz SRQORQRUFCAQQK-OLZOCXBDSA-N 1 2 284.306 3.823 20 0 CHADLO CC[NH+](Cc1ccccc1F)Cc1ccccc1F ZINC001203353334 1121717723 /nfs/dbraw/zinc/71/77/23/1121717723.db2.gz BOPWOUULFLIPKT-UHFFFAOYSA-N 1 2 261.315 3.987 20 0 CHADLO Cc1cc(F)c(Nc2[nH+]c3ccccc3n2C)cc1F ZINC001249793722 1121739964 /nfs/dbraw/zinc/73/99/64/1121739964.db2.gz VBGLRZLHQBQLSR-UHFFFAOYSA-N 1 2 273.286 3.904 20 0 CHADLO CC[C@H](C)c1ccc(NC(=O)[C@@H](C)n2cc[nH+]c2)cc1 ZINC001177918140 1121756313 /nfs/dbraw/zinc/75/63/13/1121756313.db2.gz QKRXPYGSJYGLQB-QWHCGFSZSA-N 1 2 271.364 3.596 20 0 CHADLO CCOc1cccc(F)c1C[NH2+]Cc1c(C)cccc1F ZINC001177928659 1121758568 /nfs/dbraw/zinc/75/85/68/1121758568.db2.gz NZLLTGMTYRCAMN-UHFFFAOYSA-N 1 2 291.341 3.962 20 0 CHADLO Cc1ccc(CNc2ccc(N(C)C)c[nH+]2)c(Cl)c1 ZINC001178060659 1121763762 /nfs/dbraw/zinc/76/37/62/1121763762.db2.gz WDKMQOHHJVOLDL-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO Cc1cc(CN(C)c2cc(C)c3cccc(C)c3[nH+]2)n(C)n1 ZINC001166596933 1121775165 /nfs/dbraw/zinc/77/51/65/1121775165.db2.gz HVENTLMVIDJFJG-UHFFFAOYSA-N 1 2 294.402 3.530 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)Cc2ccccc2)c(C)[nH+]1 ZINC000171808802 1121776354 /nfs/dbraw/zinc/77/63/54/1121776354.db2.gz RZKACYPRIPIHDN-ZDUSSCGKSA-N 1 2 282.387 3.824 20 0 CHADLO CC[C@@H]1CCC[C@@H](C[NH2+]CC(F)(F)Br)C1 ZINC001178665324 1121805181 /nfs/dbraw/zinc/80/51/81/1121805181.db2.gz BKCYJAKGRMKJPX-NXEZZACHSA-N 1 2 284.188 3.780 20 0 CHADLO CC(C)O[C@H]1CCC[N@H+](Cc2csc(C(C)(C)C)n2)C1 ZINC000649697124 1129010579 /nfs/dbraw/zinc/01/05/79/1129010579.db2.gz ABBIVTFRFQXJCP-AWEZNQCLSA-N 1 2 296.480 3.830 20 0 CHADLO CC(C)O[C@H]1CCC[N@@H+](Cc2csc(C(C)(C)C)n2)C1 ZINC000649697124 1129010584 /nfs/dbraw/zinc/01/05/84/1129010584.db2.gz ABBIVTFRFQXJCP-AWEZNQCLSA-N 1 2 296.480 3.830 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2CSc3ccccc32)[nH+]1 ZINC000433788219 1121809606 /nfs/dbraw/zinc/80/96/06/1121809606.db2.gz CRHAXWOWUNWVOP-GFCCVEGCSA-N 1 2 282.372 3.525 20 0 CHADLO Cc1cc(N2CC[C@@H](CC(F)(F)F)C2)nc(C(C)C)[nH+]1 ZINC000433794076 1121810390 /nfs/dbraw/zinc/81/03/90/1121810390.db2.gz VZYONDWMRVIWPA-NSHDSACASA-N 1 2 287.329 3.687 20 0 CHADLO Cc1ccc(F)c(C[NH2+]Cc2cc(F)cnc2Cl)c1 ZINC001178827530 1121821125 /nfs/dbraw/zinc/82/11/25/1121821125.db2.gz PXTASUSPPYYRQC-UHFFFAOYSA-N 1 2 282.721 3.611 20 0 CHADLO Cc1ccc(N(C(=O)C[C@H](C)n2cc[nH+]c2)C2CCC2)cc1 ZINC000894231957 1121828681 /nfs/dbraw/zinc/82/86/81/1121828681.db2.gz UUNPYFLPLMUURJ-HNNXBMFYSA-N 1 2 297.402 3.728 20 0 CHADLO CC(C)[C@@H](C)N(C(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000894231051 1121829157 /nfs/dbraw/zinc/82/91/57/1121829157.db2.gz VCEMRKIVADVSQG-HZPDHXFCSA-N 1 2 299.418 3.912 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1ccc(F)cc1Cl ZINC000731028928 1121841575 /nfs/dbraw/zinc/84/15/75/1121841575.db2.gz KGLKWJARDGRXGX-UHFFFAOYSA-N 1 2 298.770 3.782 20 0 CHADLO NC(Cc1cccs1)=[NH+]OC/C=C\c1ccccc1 ZINC000731029343 1121842178 /nfs/dbraw/zinc/84/21/78/1121842178.db2.gz SPAYEYOCMIAQSG-YWEYNIOJSA-N 1 2 272.373 3.502 20 0 CHADLO NC(Cc1cccs1)=[NH+]OC/C=C/c1ccccc1 ZINC000731029341 1121842242 /nfs/dbraw/zinc/84/22/42/1121842242.db2.gz SPAYEYOCMIAQSG-XBXARRHUSA-N 1 2 272.373 3.502 20 0 CHADLO Clc1ccc2sc(/C=C/c3[nH]cc[nH+]3)nc2c1 ZINC000731156829 1121851661 /nfs/dbraw/zinc/85/16/61/1121851661.db2.gz NLEBSMZZCYKNFC-ONEGZZNKSA-N 1 2 261.737 3.843 20 0 CHADLO CCCOc1ccc(NCc2cccc3[nH+]ccn32)cc1F ZINC001179291868 1121867634 /nfs/dbraw/zinc/86/76/34/1121867634.db2.gz YFANAHUHKGPEQZ-UHFFFAOYSA-N 1 2 299.349 3.874 20 0 CHADLO CC[NH+](CC)[C@H](C(=O)Oc1ccccc1)c1ccccc1 ZINC000731471460 1121868662 /nfs/dbraw/zinc/86/86/62/1121868662.db2.gz WLWFQIDBDLMZLS-KRWDZBQOSA-N 1 2 283.371 3.675 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1ccns1 ZINC001179298764 1121869234 /nfs/dbraw/zinc/86/92/34/1121869234.db2.gz CZEGJIDFVNBMMI-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO C[C@@H](CNc1ccc(N2CCCC2)c[nH+]1)C(C)(C)C ZINC001206377309 1121869683 /nfs/dbraw/zinc/86/96/83/1121869683.db2.gz UIXBDKGIQBGPGB-ZDUSSCGKSA-N 1 2 261.413 3.776 20 0 CHADLO Cc1cc(C)c(/C=C\C[NH2+][C@H](C)C(F)F)c(C)c1 ZINC001179438113 1121878431 /nfs/dbraw/zinc/87/84/31/1121878431.db2.gz RFHOXRFPNRYOKE-CFHLNLSMSA-N 1 2 253.336 3.868 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(C(F)(F)F)co2)CCC1(F)F ZINC000878625466 1121884486 /nfs/dbraw/zinc/88/44/86/1121884486.db2.gz VCTJHSMDSWFFOJ-QMMMGPOBSA-N 1 2 283.240 3.776 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(C(F)(F)F)co2)CCC1(F)F ZINC000878625466 1121884492 /nfs/dbraw/zinc/88/44/92/1121884492.db2.gz VCTJHSMDSWFFOJ-QMMMGPOBSA-N 1 2 283.240 3.776 20 0 CHADLO COc1cccc2[nH]c(NCc3cccc(C)c3C)[nH+]c21 ZINC001179497538 1121885379 /nfs/dbraw/zinc/88/53/79/1121885379.db2.gz JWSVRHJTAVKARW-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](Cc3ccccc3)C2)cc1F ZINC001168765848 1121912875 /nfs/dbraw/zinc/91/28/75/1121912875.db2.gz NEXBFTQYYAUQPH-SFHVURJKSA-N 1 2 299.389 3.578 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](Cc3ccccc3)C2)cc1F ZINC001168765848 1121912879 /nfs/dbraw/zinc/91/28/79/1121912879.db2.gz NEXBFTQYYAUQPH-SFHVURJKSA-N 1 2 299.389 3.578 20 0 CHADLO C[C@H](c1ccc(C(F)(F)F)cc1)[N@H+](C)Cc1ncc[nH]1 ZINC000732199574 1121913039 /nfs/dbraw/zinc/91/30/39/1121913039.db2.gz JUIHKCQFHWRVSB-SNVBAGLBSA-N 1 2 283.297 3.622 20 0 CHADLO C[C@H](c1ccc(C(F)(F)F)cc1)[N@@H+](C)Cc1ncc[nH]1 ZINC000732199574 1121913044 /nfs/dbraw/zinc/91/30/44/1121913044.db2.gz JUIHKCQFHWRVSB-SNVBAGLBSA-N 1 2 283.297 3.622 20 0 CHADLO CC(C)(F)C[NH2+][C@H](c1cccnc1)c1ccc(F)cc1F ZINC000631658020 1129017935 /nfs/dbraw/zinc/01/79/35/1129017935.db2.gz IJGUVGJPKZDUMU-OAHLLOKOSA-N 1 2 294.320 3.787 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)CCOc2ccccc2)c(F)c1 ZINC001179849473 1121917641 /nfs/dbraw/zinc/91/76/41/1121917641.db2.gz FWONULMABLPOGM-UHFFFAOYSA-N 1 2 291.341 3.784 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)CCOc2ccccc2)c(F)c1 ZINC001179849473 1121917646 /nfs/dbraw/zinc/91/76/46/1121917646.db2.gz FWONULMABLPOGM-UHFFFAOYSA-N 1 2 291.341 3.784 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1cn(C2CC2)cn1)C1CC1 ZINC001180064202 1121946722 /nfs/dbraw/zinc/94/67/22/1121946722.db2.gz CIYKTCDMJIEVDK-GFCCVEGCSA-N 1 2 271.364 3.537 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1cn(C2CC2)cn1)C1CC1 ZINC001180064202 1121946729 /nfs/dbraw/zinc/94/67/29/1121946729.db2.gz CIYKTCDMJIEVDK-GFCCVEGCSA-N 1 2 271.364 3.537 20 0 CHADLO c1ccc2c(c1)CC[C@H]2[N@H+](Cc1nnc(C2CC2)o1)C1CC1 ZINC000072580405 1121969192 /nfs/dbraw/zinc/96/91/92/1121969192.db2.gz ZAXWQGNTWHNYFG-MRXNPFEDSA-N 1 2 295.386 3.599 20 0 CHADLO c1ccc2c(c1)CC[C@H]2[N@@H+](Cc1nnc(C2CC2)o1)C1CC1 ZINC000072580405 1121969197 /nfs/dbraw/zinc/96/91/97/1121969197.db2.gz ZAXWQGNTWHNYFG-MRXNPFEDSA-N 1 2 295.386 3.599 20 0 CHADLO Fc1cc(F)c(C[NH2+]Cc2ccsc2)c(F)c1F ZINC000430036753 1121970627 /nfs/dbraw/zinc/97/06/27/1121970627.db2.gz QHRVROVCJSNCSN-UHFFFAOYSA-N 1 2 275.270 3.594 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1ncc(C(C)C)o1 ZINC000733304482 1121971245 /nfs/dbraw/zinc/97/12/45/1121971245.db2.gz NOQGZYCXXKOIMT-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1ncc(C(C)C)o1 ZINC000733304482 1121971253 /nfs/dbraw/zinc/97/12/53/1121971253.db2.gz NOQGZYCXXKOIMT-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733304052 1121972446 /nfs/dbraw/zinc/97/24/46/1121972446.db2.gz GRGOURYGKIHCDU-UHFFFAOYSA-N 1 2 288.391 3.829 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733304052 1121972453 /nfs/dbraw/zinc/97/24/53/1121972453.db2.gz GRGOURYGKIHCDU-UHFFFAOYSA-N 1 2 288.391 3.829 20 0 CHADLO C[C@H]([NH2+]C[C@@]1(C)C[C@@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC001180348865 1121979287 /nfs/dbraw/zinc/97/92/87/1121979287.db2.gz DXOYELCKSAKHEV-JCKWVBRZSA-N 1 2 289.419 3.500 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@@H+]1CC[C@](C)(F)C1 ZINC000501450632 1121979627 /nfs/dbraw/zinc/97/96/27/1121979627.db2.gz WKHDPOJSJKVWJK-GWCFXTLKSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@H+]1CC[C@](C)(F)C1 ZINC000501450632 1121979634 /nfs/dbraw/zinc/97/96/34/1121979634.db2.gz WKHDPOJSJKVWJK-GWCFXTLKSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@@H+]1CC[C@](C)(F)C1 ZINC000501450634 1121980705 /nfs/dbraw/zinc/98/07/05/1121980705.db2.gz WKHDPOJSJKVWJK-MFKMUULPSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@H+]1CC[C@](C)(F)C1 ZINC000501450634 1121980708 /nfs/dbraw/zinc/98/07/08/1121980708.db2.gz WKHDPOJSJKVWJK-MFKMUULPSA-N 1 2 286.188 3.944 20 0 CHADLO CCCn1c[nH+]cc1CN(C)c1cc(C)ccc1C ZINC001180654381 1122006361 /nfs/dbraw/zinc/00/63/61/1122006361.db2.gz GYBHLFXCSDNSQV-UHFFFAOYSA-N 1 2 257.381 3.546 20 0 CHADLO COC(=O)[C@@H]1CCCCC[N@@H+]1Cc1ccc(Cl)c(C)c1 ZINC001180741364 1122010667 /nfs/dbraw/zinc/01/06/67/1122010667.db2.gz SEXBTYAAMIKMPY-HNNXBMFYSA-N 1 2 295.810 3.566 20 0 CHADLO COC(=O)[C@@H]1CCCCC[N@H+]1Cc1ccc(Cl)c(C)c1 ZINC001180741364 1122010675 /nfs/dbraw/zinc/01/06/75/1122010675.db2.gz SEXBTYAAMIKMPY-HNNXBMFYSA-N 1 2 295.810 3.566 20 0 CHADLO CCC[N@H+](Cc1cccc(C)c1F)[C@H](CC)C(=O)OCC ZINC001180858709 1122018927 /nfs/dbraw/zinc/01/89/27/1122018927.db2.gz SYNNUDUJZYPAEN-OAHLLOKOSA-N 1 2 295.398 3.688 20 0 CHADLO CCC[N@@H+](Cc1cccc(C)c1F)[C@H](CC)C(=O)OCC ZINC001180858709 1122018931 /nfs/dbraw/zinc/01/89/31/1122018931.db2.gz SYNNUDUJZYPAEN-OAHLLOKOSA-N 1 2 295.398 3.688 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2nn(C)cc2Cl)c1 ZINC000420903648 1122040765 /nfs/dbraw/zinc/04/07/65/1122040765.db2.gz OJLAKSGRGPHUIN-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2nn(C)cc2Cl)c1 ZINC000420903648 1122040771 /nfs/dbraw/zinc/04/07/71/1122040771.db2.gz OJLAKSGRGPHUIN-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccc(Nc2c(C)nc(Cl)nc2Cl)c(C)[nH+]1 ZINC001203366539 1122041120 /nfs/dbraw/zinc/04/11/20/1122041120.db2.gz BMLYBMVUCCWLNV-UHFFFAOYSA-N 1 2 283.162 3.847 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@H](CC(F)(F)F)C2)cs1 ZINC000420941353 1122045950 /nfs/dbraw/zinc/04/59/50/1122045950.db2.gz FMGYUNUZWFMUSU-SNVBAGLBSA-N 1 2 292.370 3.870 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@H](CC(F)(F)F)C2)cs1 ZINC000420941353 1122045954 /nfs/dbraw/zinc/04/59/54/1122045954.db2.gz FMGYUNUZWFMUSU-SNVBAGLBSA-N 1 2 292.370 3.870 20 0 CHADLO CC(C)[N@H+](Cc1nc(C2CC2)no1)[C@@H](C)c1ccsc1 ZINC000421009945 1122055697 /nfs/dbraw/zinc/05/56/97/1122055697.db2.gz OAEYAJKQLLXBQF-NSHDSACASA-N 1 2 291.420 3.980 20 0 CHADLO CC(C)[N@@H+](Cc1nc(C2CC2)no1)[C@@H](C)c1ccsc1 ZINC000421009945 1122055703 /nfs/dbraw/zinc/05/57/03/1122055703.db2.gz OAEYAJKQLLXBQF-NSHDSACASA-N 1 2 291.420 3.980 20 0 CHADLO C[C@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1ccncc1 ZINC000631798516 1129029566 /nfs/dbraw/zinc/02/95/66/1129029566.db2.gz UMSIKNOOZUDOSK-NHYWBVRUSA-N 1 2 262.303 3.582 20 0 CHADLO CC[C@@H](CC(C)C)C(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001181833572 1122083998 /nfs/dbraw/zinc/08/39/98/1122083998.db2.gz SWZIAIGIIDUFRZ-INIZCTEOSA-N 1 2 299.418 3.942 20 0 CHADLO CCCOc1ccc(C[NH+]2CC(C(F)(F)F)C2)cc1C ZINC001181861475 1122086958 /nfs/dbraw/zinc/08/69/58/1122086958.db2.gz UNGPSMLGFYYDAU-UHFFFAOYSA-N 1 2 287.325 3.778 20 0 CHADLO Cc1cc(CCC(=O)c2ccc(F)cc2)cc(C)[nH+]1 ZINC001250025808 1122092528 /nfs/dbraw/zinc/09/25/28/1122092528.db2.gz LPQZTFNAGCTVBP-UHFFFAOYSA-N 1 2 257.308 3.653 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2ccc(C)c(F)c2)nn1C ZINC000421346824 1122098260 /nfs/dbraw/zinc/09/82/60/1122098260.db2.gz ZSWVKLXNRLNUPI-SNVBAGLBSA-N 1 2 295.789 3.680 20 0 CHADLO Cc1ccc(Nc2ccc3nnc(C(C)C)n3c2)c(C)[nH+]1 ZINC001203368619 1122100571 /nfs/dbraw/zinc/10/05/71/1122100571.db2.gz BFSCKFULIFOYOE-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO Cc1cc(Nc2ccc(C)[nH+]c2C)n(C2CCC2)n1 ZINC001203369700 1122114915 /nfs/dbraw/zinc/11/49/15/1122114915.db2.gz RXFSZLCLJHUQSJ-UHFFFAOYSA-N 1 2 256.353 3.672 20 0 CHADLO CCCc1noc(C[NH2+][C@]2(c3cccc(C)c3)C[C@H]2CC)n1 ZINC000502580393 1122115542 /nfs/dbraw/zinc/11/55/42/1122115542.db2.gz HFUFKJVZYPVBEH-RDTXWAMCSA-N 1 2 299.418 3.746 20 0 CHADLO CC[C@@H](C)NC(=O)[C@@H](c1ccccc1Cl)[NH+](CC)CC ZINC001182223028 1122122473 /nfs/dbraw/zinc/12/24/73/1122122473.db2.gz INYWREPFNFMJCR-IUODEOHRSA-N 1 2 296.842 3.638 20 0 CHADLO Cc1cc(CNC(=O)C2CCC(C)(C)CC2)cc(C)[nH+]1 ZINC000421577946 1122139540 /nfs/dbraw/zinc/13/95/40/1122139540.db2.gz QAIZMPJQROWXFF-UHFFFAOYSA-N 1 2 274.408 3.531 20 0 CHADLO CC(C)(C)c1cn(C[C@H]2CCCC3(CCC3)O2)c[nH+]1 ZINC000901589136 1129034287 /nfs/dbraw/zinc/03/42/87/1129034287.db2.gz YVKORVDNNAEDHW-CYBMUJFWSA-N 1 2 262.397 3.672 20 0 CHADLO Cc1cc(C(C)(C)C)cc(C)c1C[N@@H+](C)Cc1nccn1C ZINC000503179362 1122171626 /nfs/dbraw/zinc/17/16/26/1122171626.db2.gz DCCAMUNCFPYVTE-UHFFFAOYSA-N 1 2 299.462 3.966 20 0 CHADLO Cc1cc(C(C)(C)C)cc(C)c1C[N@H+](C)Cc1nccn1C ZINC000503179362 1122171629 /nfs/dbraw/zinc/17/16/29/1122171629.db2.gz DCCAMUNCFPYVTE-UHFFFAOYSA-N 1 2 299.462 3.966 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc3c(c1)C[C@@H](C)O3)C2 ZINC001182593900 1122178338 /nfs/dbraw/zinc/17/83/38/1122178338.db2.gz CSJCEYMBVMJZRX-CYBMUJFWSA-N 1 2 295.382 3.534 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc3c(c1)C[C@@H](C)O3)C2 ZINC001182593900 1122178341 /nfs/dbraw/zinc/17/83/41/1122178341.db2.gz CSJCEYMBVMJZRX-CYBMUJFWSA-N 1 2 295.382 3.534 20 0 CHADLO CC(C)(CNC(=O)c1cccc2[nH+]ccn21)C1=CCCCC1 ZINC000774534951 1122184022 /nfs/dbraw/zinc/18/40/22/1122184022.db2.gz AXJOLTGYGBNHOD-UHFFFAOYSA-N 1 2 297.402 3.591 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCOc2cc(F)ccc21)c1cscn1 ZINC000271991264 1122185650 /nfs/dbraw/zinc/18/56/50/1122185650.db2.gz IVWUWXJTDHOLFP-GWCFXTLKSA-N 1 2 292.379 3.847 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nc(C(C)(C)C)no1)C1CC1 ZINC000272015892 1122186847 /nfs/dbraw/zinc/18/68/47/1122186847.db2.gz KNIFVRRAEFAMON-MRXNPFEDSA-N 1 2 299.418 3.916 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2cnc(C)s2)c1 ZINC000272070574 1122189285 /nfs/dbraw/zinc/18/92/85/1122189285.db2.gz QVBCUSYCDXVLKO-UHFFFAOYSA-N 1 2 280.418 3.597 20 0 CHADLO COC(=O)c1ccc(F)c(F)c1Nc1ccc(C)[nH+]c1C ZINC001203373759 1122190006 /nfs/dbraw/zinc/19/00/06/1122190006.db2.gz BWCJBDSYYDLFHF-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](c2ccc(F)c(C)c2)C2CC2)o1 ZINC000272114708 1122191705 /nfs/dbraw/zinc/19/17/05/1122191705.db2.gz RNUVJSJPQUPCRT-BMIGLBTASA-N 1 2 289.354 3.627 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)OCCCO3)c(C)[nH+]1 ZINC001203374137 1122194608 /nfs/dbraw/zinc/19/46/08/1122194608.db2.gz VEOMFDJATHULED-UHFFFAOYSA-N 1 2 270.332 3.603 20 0 CHADLO Cc1nc([C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)cs1 ZINC000272335671 1122198888 /nfs/dbraw/zinc/19/88/88/1122198888.db2.gz DGUCVIOIBMFPFQ-LLVKDONJSA-N 1 2 275.421 3.999 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nnc(C(C)C)[nH]1)c1ccccc1F ZINC000272610224 1122207162 /nfs/dbraw/zinc/20/71/62/1122207162.db2.gz KFNPZBBASMGBJD-SMDDNHRTSA-N 1 2 290.386 3.869 20 0 CHADLO Cc1cc(NCc2cc(C)c(O)c(C)c2)c[nH+]c1C ZINC001183526539 1122226265 /nfs/dbraw/zinc/22/62/65/1122226265.db2.gz NLSAFCCDNMILCQ-UHFFFAOYSA-N 1 2 256.349 3.633 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@@H](CC)c1nc(C)cs1 ZINC000273502001 1122236308 /nfs/dbraw/zinc/23/63/08/1122236308.db2.gz MTQNKBCZNMGQSM-ZDUSSCGKSA-N 1 2 279.409 3.551 20 0 CHADLO C(=C/[C@@H]1CCCN1c1cccc[nH+]1)\c1ccccc1 ZINC000503282237 1122254227 /nfs/dbraw/zinc/25/42/27/1122254227.db2.gz NBWFSGHTSITDEP-PCUGXKRQSA-N 1 2 250.345 3.764 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)c(N)n1 ZINC000711197098 1122269509 /nfs/dbraw/zinc/26/95/09/1122269509.db2.gz GLTAQRMVKZNVHD-GFCCVEGCSA-N 1 2 289.810 3.819 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2ccccc2Cl)c(N)n1 ZINC000711197098 1122269515 /nfs/dbraw/zinc/26/95/15/1122269515.db2.gz GLTAQRMVKZNVHD-GFCCVEGCSA-N 1 2 289.810 3.819 20 0 CHADLO CC[C@H](C)Cc1noc(-c2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000632246825 1129044031 /nfs/dbraw/zinc/04/40/31/1129044031.db2.gz FOPUWBJBUFGLPQ-ZDUSSCGKSA-N 1 2 296.374 3.570 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2ccccc2)nc(CC)[nH+]1 ZINC001184720062 1122294184 /nfs/dbraw/zinc/29/41/84/1122294184.db2.gz NKNKCPGOIJRZOC-MRXNPFEDSA-N 1 2 281.403 3.943 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(F)c2)c(Cl)n1 ZINC000688584203 1129048867 /nfs/dbraw/zinc/04/88/67/1129048867.db2.gz APXORYWMOFADPE-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(F)c2)c(Cl)n1 ZINC000688584203 1129048869 /nfs/dbraw/zinc/04/88/69/1129048869.db2.gz APXORYWMOFADPE-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(=O)c1c(F)cccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001184963839 1122303113 /nfs/dbraw/zinc/30/31/13/1122303113.db2.gz CAUFHHLCWJAWFV-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO Nc1ccc(Cl)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001184967367 1122304484 /nfs/dbraw/zinc/30/44/84/1122304484.db2.gz AUGRVIIYMPAEKJ-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO C[C@H]1CCCC[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000424624443 1122319982 /nfs/dbraw/zinc/31/99/82/1122319982.db2.gz FSNYEEBSTOWRRM-SWLSCSKDSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1nc(N(C)[C@H](C)C(C)(C)C)c2c([nH+]1)CCCC2 ZINC001185129819 1122321570 /nfs/dbraw/zinc/32/15/70/1122321570.db2.gz ZCTRPHKCSQNZOK-LLVKDONJSA-N 1 2 261.413 3.535 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2cc(C)ccc2F)[nH+]1 ZINC001185219571 1122327075 /nfs/dbraw/zinc/32/70/75/1122327075.db2.gz HKAPJTYEWIWCCS-UHFFFAOYSA-N 1 2 298.321 3.734 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nnc(C(C)(C)C)o2)cc1 ZINC000774844132 1122327597 /nfs/dbraw/zinc/32/75/97/1122327597.db2.gz RXNTZZLLRRHRPF-LBPRGKRZSA-N 1 2 273.380 3.526 20 0 CHADLO COc1c(C)[nH+]c(C2CC2)nc1NCC[C@]1(C)CC1(F)F ZINC000664398495 1122336215 /nfs/dbraw/zinc/33/62/15/1122336215.db2.gz MAPGEKQDXBDTDM-CQSZACIVSA-N 1 2 297.349 3.518 20 0 CHADLO Cc1cc(NCCNc2ccccc2)nc(C2CCC2)[nH+]1 ZINC001185466004 1122342835 /nfs/dbraw/zinc/34/28/35/1122342835.db2.gz BLZCQUYKTYUFKL-UHFFFAOYSA-N 1 2 282.391 3.577 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1cc(Cl)ccc1F ZINC000505248914 1122352260 /nfs/dbraw/zinc/35/22/60/1122352260.db2.gz IJUSQOBFMQNZNW-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1cc(Cl)ccc1F ZINC000505248914 1122352265 /nfs/dbraw/zinc/35/22/65/1122352265.db2.gz IJUSQOBFMQNZNW-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO Cc1nc(NC2C(C)(C)C2(C)C)c2c([nH+]1)CCCC2 ZINC001185657782 1122352835 /nfs/dbraw/zinc/35/28/35/1122352835.db2.gz IEGBWBWHNBMANX-UHFFFAOYSA-N 1 2 259.397 3.510 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ncsc3C2)c(C)c1Cl ZINC001250203135 1122353748 /nfs/dbraw/zinc/35/37/48/1122353748.db2.gz BPYGLEVSFGEOQY-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ncsc3C2)c(C)c1Cl ZINC001250203135 1122353753 /nfs/dbraw/zinc/35/37/53/1122353753.db2.gz BPYGLEVSFGEOQY-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1c[nH+]cc(Cc2c(F)ccc(F)c2F)c1C ZINC001250216651 1122363068 /nfs/dbraw/zinc/36/30/68/1122363068.db2.gz IARSNYJVNNNJFJ-UHFFFAOYSA-N 1 2 251.251 3.707 20 0 CHADLO CCc1cc(NCc2cccc3cc[nH]c32)nc(CC)[nH+]1 ZINC001186121189 1122376072 /nfs/dbraw/zinc/37/60/72/1122376072.db2.gz NOPNFTFYAFLBAW-UHFFFAOYSA-N 1 2 280.375 3.695 20 0 CHADLO Cc1cc(N2CCC(C)(C(C)C)CC2)nc(C2CC2)[nH+]1 ZINC001186195422 1122379603 /nfs/dbraw/zinc/37/96/03/1122379603.db2.gz NWBMRDKOPAGWHP-UHFFFAOYSA-N 1 2 273.424 3.925 20 0 CHADLO CCc1cc(N[C@@H](C)Cc2ccccc2OC)nc(CC)[nH+]1 ZINC001186315708 1122384161 /nfs/dbraw/zinc/38/41/61/1122384161.db2.gz JGNSQEOEZFPAOU-ZDUSSCGKSA-N 1 2 299.418 3.653 20 0 CHADLO CCO[C@H](CNc1cc(CC)[nH+]c(CC)n1)c1ccccc1 ZINC001186304147 1122384314 /nfs/dbraw/zinc/38/43/14/1122384314.db2.gz JVGYWTURGJBGQK-MRXNPFEDSA-N 1 2 299.418 3.791 20 0 CHADLO C[N@H+](CCOc1ccccc1)Cc1c(F)cccc1Cl ZINC000058875622 1122385397 /nfs/dbraw/zinc/38/53/97/1122385397.db2.gz JTOQJOMIXQTMMM-UHFFFAOYSA-N 1 2 293.769 3.990 20 0 CHADLO C[N@@H+](CCOc1ccccc1)Cc1c(F)cccc1Cl ZINC000058875622 1122385402 /nfs/dbraw/zinc/38/54/02/1122385402.db2.gz JTOQJOMIXQTMMM-UHFFFAOYSA-N 1 2 293.769 3.990 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)o1 ZINC000430087597 1122397549 /nfs/dbraw/zinc/39/75/49/1122397549.db2.gz SWNVXCPRCFMDRT-INIZCTEOSA-N 1 2 270.376 3.820 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)o1 ZINC000430087597 1122397555 /nfs/dbraw/zinc/39/75/55/1122397555.db2.gz SWNVXCPRCFMDRT-INIZCTEOSA-N 1 2 270.376 3.820 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)o1 ZINC000430087598 1122398412 /nfs/dbraw/zinc/39/84/12/1122398412.db2.gz SWNVXCPRCFMDRT-MRXNPFEDSA-N 1 2 270.376 3.820 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)o1 ZINC000430087598 1122398417 /nfs/dbraw/zinc/39/84/17/1122398417.db2.gz SWNVXCPRCFMDRT-MRXNPFEDSA-N 1 2 270.376 3.820 20 0 CHADLO CCCC[N@H+](CC)Cc1noc(Cc2ccccc2C)n1 ZINC000434829128 1122415691 /nfs/dbraw/zinc/41/56/91/1122415691.db2.gz FLMKPQHZUYOGGU-UHFFFAOYSA-N 1 2 287.407 3.591 20 0 CHADLO CCCC[N@@H+](CC)Cc1noc(Cc2ccccc2C)n1 ZINC000434829128 1122415693 /nfs/dbraw/zinc/41/56/93/1122415693.db2.gz FLMKPQHZUYOGGU-UHFFFAOYSA-N 1 2 287.407 3.591 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(C)n(C)n1)c1cc(F)ccc1F ZINC000282117776 1122421888 /nfs/dbraw/zinc/42/18/88/1122421888.db2.gz JJMFDGONDKANQX-ABAIWWIYSA-N 1 2 293.361 3.809 20 0 CHADLO COC(=O)CCc1ccc(Nc2cc(C)c[nH+]c2C)cc1 ZINC001203663192 1122428252 /nfs/dbraw/zinc/42/82/52/1122428252.db2.gz CDMSTUFCRPSATR-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(-n3ccccc3=O)cc2)c1 ZINC001203663754 1122431127 /nfs/dbraw/zinc/43/11/27/1122431127.db2.gz QSVMNWUSUIVDJP-UHFFFAOYSA-N 1 2 291.354 3.593 20 0 CHADLO CC(C)[C@@H]1CC[N@H+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000435126964 1122435913 /nfs/dbraw/zinc/43/59/13/1122435913.db2.gz SQUNESLNJDOPOP-SECBINFHSA-N 1 2 278.343 3.640 20 0 CHADLO CC(C)[C@@H]1CC[N@@H+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000435126964 1122435915 /nfs/dbraw/zinc/43/59/15/1122435915.db2.gz SQUNESLNJDOPOP-SECBINFHSA-N 1 2 278.343 3.640 20 0 CHADLO COC(=O)/C=C/c1ccc(Nc2cc(C)c[nH+]c2C)cc1 ZINC001203668226 1122441222 /nfs/dbraw/zinc/44/12/22/1122441222.db2.gz CIIVQSMYEZUQSC-RMKNXTFCSA-N 1 2 282.343 3.628 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)C[C@H](C)C(=O)N3C)c1 ZINC001203668195 1122441245 /nfs/dbraw/zinc/44/12/45/1122441245.db2.gz BXCNHRFHLBIHSP-LBPRGKRZSA-N 1 2 295.386 3.597 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)s1)Cc1cnc(C)cn1 ZINC000505628731 1122444752 /nfs/dbraw/zinc/44/47/52/1122444752.db2.gz ATSNNERZHLFUDQ-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)s1)Cc1cnc(C)cn1 ZINC000505628731 1122444754 /nfs/dbraw/zinc/44/47/54/1122444754.db2.gz ATSNNERZHLFUDQ-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO Cc1ccc(C[N@@H+]2CCn3cccc3[C@H]2C)cc1F ZINC000505630560 1122444799 /nfs/dbraw/zinc/44/47/99/1122444799.db2.gz DZDLFQSJYKOAIR-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1ccc(C[N@H+]2CCn3cccc3[C@H]2C)cc1F ZINC000505630560 1122444800 /nfs/dbraw/zinc/44/48/00/1122444800.db2.gz DZDLFQSJYKOAIR-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(C3(C)COC3)cc2)c1 ZINC001203669131 1122445328 /nfs/dbraw/zinc/44/53/28/1122445328.db2.gz MXGHKKZJDGEUQO-UHFFFAOYSA-N 1 2 268.360 3.730 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@@H](c1ccc(F)cc1)C(C)C ZINC000435297856 1122456646 /nfs/dbraw/zinc/45/66/46/1122456646.db2.gz LHAQKPJUQOGVBB-MRXNPFEDSA-N 1 2 275.371 3.686 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@@H](c1ccc(F)cc1)C(C)C ZINC000435297856 1122456649 /nfs/dbraw/zinc/45/66/49/1122456649.db2.gz LHAQKPJUQOGVBB-MRXNPFEDSA-N 1 2 275.371 3.686 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCn3cccc3[C@H]2C)o1 ZINC000505694276 1122465941 /nfs/dbraw/zinc/46/59/41/1122465941.db2.gz LGIKAHQEKJEGOG-UMVBOHGHSA-N 1 2 270.376 3.781 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+]2CCn3cccc3[C@H]2C)o1 ZINC000505694276 1122465942 /nfs/dbraw/zinc/46/59/42/1122465942.db2.gz LGIKAHQEKJEGOG-UMVBOHGHSA-N 1 2 270.376 3.781 20 0 CHADLO Cc1nc2[nH]ccc2c(NC2CCC3(CC3(F)F)CC2)[nH+]1 ZINC000664496809 1122482225 /nfs/dbraw/zinc/48/22/25/1122482225.db2.gz XKBOWAFBQUOGBV-UHFFFAOYSA-N 1 2 292.333 3.646 20 0 CHADLO FC(F)(F)c1ccc(Cl)c(CCn2cc[nH+]c2)c1 ZINC001250320437 1122492762 /nfs/dbraw/zinc/49/27/62/1122492762.db2.gz LKVODSMDFNONKO-UHFFFAOYSA-N 1 2 274.673 3.798 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)c1ccccc1-n1cc[nH+]c1 ZINC001190108065 1122525143 /nfs/dbraw/zinc/52/51/43/1122525143.db2.gz ZCIQHDASHLHGKD-OAHLLOKOSA-N 1 2 299.418 3.817 20 0 CHADLO Cc1cc(N2CCC[C@@H](C(F)(F)F)C2)nc(C(C)C)[nH+]1 ZINC000505779493 1122526241 /nfs/dbraw/zinc/52/62/41/1122526241.db2.gz QVWHSAFSZRCJCI-LLVKDONJSA-N 1 2 287.329 3.687 20 0 CHADLO CC1=CCC[N@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000436256551 1122528259 /nfs/dbraw/zinc/52/82/59/1122528259.db2.gz AYUNURXMYNCGHV-UHFFFAOYSA-N 1 2 262.422 3.953 20 0 CHADLO CC1=CCC[N@@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000436256551 1122528263 /nfs/dbraw/zinc/52/82/63/1122528263.db2.gz AYUNURXMYNCGHV-UHFFFAOYSA-N 1 2 262.422 3.953 20 0 CHADLO CC1=CCC[N@H+](Cc2c(F)cccc2Br)C1 ZINC000436270699 1122532075 /nfs/dbraw/zinc/53/20/75/1122532075.db2.gz OZDKGKCBSQXHPD-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CCC[N@@H+](Cc2c(F)cccc2Br)C1 ZINC000436270699 1122532077 /nfs/dbraw/zinc/53/20/77/1122532077.db2.gz OZDKGKCBSQXHPD-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO COc1ccc([C@@H]([NH2+]CCF)c2ccccc2Cl)cc1 ZINC000436291093 1122534548 /nfs/dbraw/zinc/53/45/48/1122534548.db2.gz PLZSABTXHRAJKW-MRXNPFEDSA-N 1 2 293.769 3.997 20 0 CHADLO CCCCc1noc(C[NH2+][C@@](C)(CC)c2ccccc2)n1 ZINC000775387928 1122538557 /nfs/dbraw/zinc/53/85/57/1122538557.db2.gz PVICXYZGBMMING-KRWDZBQOSA-N 1 2 287.407 3.827 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+]Cc2nnc(C(C)(C)C)o2)c1 ZINC000775388770 1122539512 /nfs/dbraw/zinc/53/95/12/1122539512.db2.gz SYTRTSUXVFNIBV-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(CC(C)C)no1)c1ccccc1 ZINC000775390253 1122542221 /nfs/dbraw/zinc/54/22/21/1122542221.db2.gz KWPYVCJRYJVHPR-QGZVFWFLSA-N 1 2 287.407 3.683 20 0 CHADLO C[C@@H]1CC[C@H](C[N@H+](C)Cc2c(Cl)cccc2Cl)O1 ZINC001190560597 1122542914 /nfs/dbraw/zinc/54/29/14/1122542914.db2.gz LZMJXLKMKIVHOI-GHMZBOCLSA-N 1 2 288.218 3.993 20 0 CHADLO C[C@@H]1CC[C@H](C[N@@H+](C)Cc2c(Cl)cccc2Cl)O1 ZINC001190560597 1122542920 /nfs/dbraw/zinc/54/29/20/1122542920.db2.gz LZMJXLKMKIVHOI-GHMZBOCLSA-N 1 2 288.218 3.993 20 0 CHADLO CCCCC[C@H]1CCCCN1C(=O)CCCn1cc[nH+]c1 ZINC000620563561 1129061501 /nfs/dbraw/zinc/06/15/01/1129061501.db2.gz NMCSQSIGJXMWBY-INIZCTEOSA-N 1 2 291.439 3.625 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000436487694 1122555757 /nfs/dbraw/zinc/55/57/57/1122555757.db2.gz DHXVCPGOCIWSCN-CYBMUJFWSA-N 1 2 283.375 3.890 20 0 CHADLO C[C@@H]1Cc2ccccc2[N@@H+](C[Si](C)(C)c2ccccc2)C1 ZINC001190872023 1122559616 /nfs/dbraw/zinc/55/96/16/1122559616.db2.gz DZZZUIAIIHSHPC-MRXNPFEDSA-N 1 2 295.502 3.840 20 0 CHADLO C[C@@H]1Cc2ccccc2[N@H+](C[Si](C)(C)c2ccccc2)C1 ZINC001190872023 1122559620 /nfs/dbraw/zinc/55/96/20/1122559620.db2.gz DZZZUIAIIHSHPC-MRXNPFEDSA-N 1 2 295.502 3.840 20 0 CHADLO CC[C@H]1CCC[N@@H+]([C@@H](C(=O)OC)c2ccccc2F)CC1 ZINC001190967181 1122562229 /nfs/dbraw/zinc/56/22/29/1122562229.db2.gz SDKHURKBAFXSPN-XJKSGUPXSA-N 1 2 293.382 3.552 20 0 CHADLO CC[C@H]1CCC[N@H+]([C@@H](C(=O)OC)c2ccccc2F)CC1 ZINC001190967181 1122562232 /nfs/dbraw/zinc/56/22/32/1122562232.db2.gz SDKHURKBAFXSPN-XJKSGUPXSA-N 1 2 293.382 3.552 20 0 CHADLO COC[C@@H](C[N@@H+](Cc1ccccc1)CC(F)F)C(C)C ZINC001191427018 1122598499 /nfs/dbraw/zinc/59/84/99/1122598499.db2.gz KQFTYYANXMJZEG-OAHLLOKOSA-N 1 2 285.378 3.672 20 0 CHADLO COC[C@@H](C[N@H+](Cc1ccccc1)CC(F)F)C(C)C ZINC001191427018 1122598503 /nfs/dbraw/zinc/59/85/03/1122598503.db2.gz KQFTYYANXMJZEG-OAHLLOKOSA-N 1 2 285.378 3.672 20 0 CHADLO CCCCC[C@H]1CCCCN1C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000620566625 1129065376 /nfs/dbraw/zinc/06/53/76/1129065376.db2.gz LZVZWYDDYLTHRK-ZBFHGGJFSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCC[C@H]1CCCCN1C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000620566625 1129065380 /nfs/dbraw/zinc/06/53/80/1129065380.db2.gz LZVZWYDDYLTHRK-ZBFHGGJFSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCc1[nH+]c2ccc(F)c(F)c2n1C1CC1 ZINC001250426289 1122605963 /nfs/dbraw/zinc/60/59/63/1122605963.db2.gz QCQAJRANFRVMSD-UHFFFAOYSA-N 1 2 250.292 3.992 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CCOC1(C)C ZINC000664605833 1122612698 /nfs/dbraw/zinc/61/26/98/1122612698.db2.gz VQHVTUCGLCIREC-CQSZACIVSA-N 1 2 270.376 3.770 20 0 CHADLO C[C@H](CNc1[nH+]cnc2[nH]c3ccccc3c21)CC(F)F ZINC000645306304 1122615187 /nfs/dbraw/zinc/61/51/87/1122615187.db2.gz GDDFECMHJJMXPH-VIFPVBQESA-N 1 2 290.317 3.814 20 0 CHADLO CCC[C@@H]1CCc2ccccc2N1CCCn1cc[nH+]c1 ZINC001191920755 1122621489 /nfs/dbraw/zinc/62/14/89/1122621489.db2.gz OKTKDJQXNCAESR-QGZVFWFLSA-N 1 2 283.419 3.895 20 0 CHADLO Cc1cccn2c(CNc3ccc(Cl)nc3C)c[nH+]c12 ZINC000193341284 1129069050 /nfs/dbraw/zinc/06/90/50/1129069050.db2.gz YJUPJSWEIWHCCI-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO Cc1cc2[nH]c(C[N@@H+]3CCC[C@H]3c3ncc[nH]3)cc2c(C)c1 ZINC000437970867 1122668780 /nfs/dbraw/zinc/66/87/80/1122668780.db2.gz OFGTXEYAGQFQTJ-KRWDZBQOSA-N 1 2 294.402 3.845 20 0 CHADLO Cc1cc2[nH]c(C[N@H+]3CCC[C@H]3c3ncc[nH]3)cc2c(C)c1 ZINC000437970867 1122668783 /nfs/dbraw/zinc/66/87/83/1122668783.db2.gz OFGTXEYAGQFQTJ-KRWDZBQOSA-N 1 2 294.402 3.845 20 0 CHADLO c1ccc(OCCCCCNc2cccc[nH+]2)cc1 ZINC000438083296 1122676909 /nfs/dbraw/zinc/67/69/09/1122676909.db2.gz XFGRMFSRZFNNIR-UHFFFAOYSA-N 1 2 256.349 3.743 20 0 CHADLO CCC1(CC)C[N@@H+](Cc2nc(C(C)C)ns2)CCS1 ZINC001193129857 1122678932 /nfs/dbraw/zinc/67/89/32/1122678932.db2.gz LNRLZGNQABFZFB-UHFFFAOYSA-N 1 2 299.509 3.769 20 0 CHADLO CCC1(CC)C[N@H+](Cc2nc(C(C)C)ns2)CCS1 ZINC001193129857 1122678930 /nfs/dbraw/zinc/67/89/30/1122678930.db2.gz LNRLZGNQABFZFB-UHFFFAOYSA-N 1 2 299.509 3.769 20 0 CHADLO Fc1cccc(NCc2c[nH+]cn2Cc2ccccc2)c1F ZINC000438301817 1122689969 /nfs/dbraw/zinc/68/99/69/1122689969.db2.gz GWWIDLQERAPBFI-UHFFFAOYSA-N 1 2 299.324 3.822 20 0 CHADLO Fc1cc(F)cc(NCc2c[nH+]cn2Cc2ccccc2)c1 ZINC000438302006 1122690205 /nfs/dbraw/zinc/69/02/05/1122690205.db2.gz HJHPQFIYQORLTK-UHFFFAOYSA-N 1 2 299.324 3.822 20 0 CHADLO O=C(Nc1ccc(Cn2cc[nH+]c2)cc1)OC1CCCCC1 ZINC001193629803 1122702343 /nfs/dbraw/zinc/70/23/43/1122702343.db2.gz VISGTYMITFXSLZ-UHFFFAOYSA-N 1 2 299.374 3.813 20 0 CHADLO CCCc1csc(C[NH+]2CCC(C(F)(F)F)CC2)n1 ZINC000426062281 1122709984 /nfs/dbraw/zinc/70/99/84/1122709984.db2.gz MHLNRCLBYHHFLN-UHFFFAOYSA-N 1 2 292.370 3.870 20 0 CHADLO Fc1ccc2cc(Nc3ccn4cc[nH+]c4c3)cnc2c1 ZINC001204965394 1122730820 /nfs/dbraw/zinc/73/08/20/1122730820.db2.gz AVLVSXSAMZYDJR-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC(C)(C)C2)c(OC(F)F)cc1C ZINC000509559626 1122731380 /nfs/dbraw/zinc/73/13/80/1122731380.db2.gz MHEIIXVBBZSXDK-UHFFFAOYSA-N 1 2 299.361 3.516 20 0 CHADLO Cc1cc(C[N@H+]2CCOC(C)(C)C2)c(OC(F)F)cc1C ZINC000509559626 1122731382 /nfs/dbraw/zinc/73/13/82/1122731382.db2.gz MHEIIXVBBZSXDK-UHFFFAOYSA-N 1 2 299.361 3.516 20 0 CHADLO CCc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1ccon1 ZINC000148751822 1122737041 /nfs/dbraw/zinc/73/70/41/1122737041.db2.gz OSGAQFJFPQXIIY-LLVKDONJSA-N 1 2 270.332 3.834 20 0 CHADLO CCC[C@H]([NH2+]CCCc1ccc(Cl)cc1)C(=O)OCC ZINC000439574128 1122792682 /nfs/dbraw/zinc/79/26/82/1122792682.db2.gz QOOMBRIORMRNHQ-HNNXBMFYSA-N 1 2 297.826 3.594 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1csc(C)n1 ZINC000506663941 1122801727 /nfs/dbraw/zinc/80/17/27/1122801727.db2.gz TWEDZKVTFTUZFI-LCYFTJDESA-N 1 2 272.417 3.987 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1csc(C)n1 ZINC000506663941 1122801729 /nfs/dbraw/zinc/80/17/29/1122801729.db2.gz TWEDZKVTFTUZFI-LCYFTJDESA-N 1 2 272.417 3.987 20 0 CHADLO CC(C)c1ccc2c(c1)CCCN2CCCn1cc[nH+]c1 ZINC000775619986 1122820369 /nfs/dbraw/zinc/82/03/69/1122820369.db2.gz UXVPUTRKIFBWBT-UHFFFAOYSA-N 1 2 283.419 3.849 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)Oc1ccc(F)cc1 ZINC001194998243 1122830919 /nfs/dbraw/zinc/83/09/19/1122830919.db2.gz CPESZFSOQIOMJD-UHFFFAOYSA-N 1 2 297.289 3.622 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)Oc1ccc(F)cc1 ZINC001195036327 1122833982 /nfs/dbraw/zinc/83/39/82/1122833982.db2.gz ZTWDXENBIOLTDF-UHFFFAOYSA-N 1 2 297.289 3.622 20 0 CHADLO CCCc1nc(C)c(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)o1 ZINC000664816919 1122858113 /nfs/dbraw/zinc/85/81/13/1122858113.db2.gz ANRWKDJDYNYUHH-QGZVFWFLSA-N 1 2 299.418 3.914 20 0 CHADLO CCCc1nc(C)c(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)o1 ZINC000664816919 1122858117 /nfs/dbraw/zinc/85/81/17/1122858117.db2.gz ANRWKDJDYNYUHH-QGZVFWFLSA-N 1 2 299.418 3.914 20 0 CHADLO CCC(=O)N1CC[N@@H+]([C@@H](C)c2ccc(C(C)(C)C)cc2)C1 ZINC001195342321 1122864624 /nfs/dbraw/zinc/86/46/24/1122864624.db2.gz NPEGTQWIVHQLTQ-AWEZNQCLSA-N 1 2 288.435 3.557 20 0 CHADLO CCC(=O)N1CC[N@H+]([C@@H](C)c2ccc(C(C)(C)C)cc2)C1 ZINC001195342321 1122864631 /nfs/dbraw/zinc/86/46/31/1122864631.db2.gz NPEGTQWIVHQLTQ-AWEZNQCLSA-N 1 2 288.435 3.557 20 0 CHADLO CC1(C)C[N@H+](Cc2cnn(C3CCC3)c2)[C@H]1c1cccnc1 ZINC000894584057 1122877782 /nfs/dbraw/zinc/87/77/82/1122877782.db2.gz FAGMYICWDHQHJC-KRWDZBQOSA-N 1 2 296.418 3.586 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnn(C3CCC3)c2)[C@H]1c1cccnc1 ZINC000894584057 1122877786 /nfs/dbraw/zinc/87/77/86/1122877786.db2.gz FAGMYICWDHQHJC-KRWDZBQOSA-N 1 2 296.418 3.586 20 0 CHADLO CCn1nccc1C[N@H+](CC)Cc1ccccc1Cl ZINC000154171227 1129084593 /nfs/dbraw/zinc/08/45/93/1129084593.db2.gz JHVBSHFFWLAHJH-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CCn1nccc1C[N@@H+](CC)Cc1ccccc1Cl ZINC000154171227 1129084595 /nfs/dbraw/zinc/08/45/95/1129084595.db2.gz JHVBSHFFWLAHJH-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CN(C)c1ccc(NC2=CC[C@@H](C(F)(F)F)CC2)c[nH+]1 ZINC001195833728 1122891531 /nfs/dbraw/zinc/89/15/31/1122891531.db2.gz HXJVMCUCHGSSNU-SNVBAGLBSA-N 1 2 285.313 3.806 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2c(Cl)cncc2Cl)C1 ZINC000880239197 1122900486 /nfs/dbraw/zinc/90/04/86/1122900486.db2.gz XENTUTZCSSAJMM-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2c(Cl)cncc2Cl)C1 ZINC000880239197 1122900488 /nfs/dbraw/zinc/90/04/88/1122900488.db2.gz XENTUTZCSSAJMM-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cc(C(C)(C)C)oc1C ZINC000894743433 1122901591 /nfs/dbraw/zinc/90/15/91/1122901591.db2.gz HNEJOQMAOWZOTK-LBPRGKRZSA-N 1 2 289.423 3.953 20 0 CHADLO COc1cccc(C[N@@H+]2CCc3c4ccccc4oc3C2)c1 ZINC001203826583 1122909036 /nfs/dbraw/zinc/90/90/36/1122909036.db2.gz YQHRDMGPINXBNZ-UHFFFAOYSA-N 1 2 293.366 4.000 20 0 CHADLO COc1cccc(C[N@H+]2CCc3c4ccccc4oc3C2)c1 ZINC001203826583 1122909039 /nfs/dbraw/zinc/90/90/39/1122909039.db2.gz YQHRDMGPINXBNZ-UHFFFAOYSA-N 1 2 293.366 4.000 20 0 CHADLO CC[C@@H](C[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)[C@@H]1C)OC ZINC001196254255 1122912908 /nfs/dbraw/zinc/91/29/08/1122912908.db2.gz LHHJQIZGRFXBHG-VBNZEHGJSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@@H](C[N@H+]1CCO[C@@H](c2cccc(Cl)c2)[C@@H]1C)OC ZINC001196254255 1122912911 /nfs/dbraw/zinc/91/29/11/1122912911.db2.gz LHHJQIZGRFXBHG-VBNZEHGJSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C)C[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000163228994 1129086454 /nfs/dbraw/zinc/08/64/54/1129086454.db2.gz GGLUPAUAGKIHAS-LBPRGKRZSA-N 1 2 265.401 3.595 20 0 CHADLO CC[C@H](C)C[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000163228994 1129086456 /nfs/dbraw/zinc/08/64/56/1129086456.db2.gz GGLUPAUAGKIHAS-LBPRGKRZSA-N 1 2 265.401 3.595 20 0 CHADLO c1n[nH]c2c1C[N@H+](Cc1cc3ccccc3s1)CCC2 ZINC000664861673 1122937331 /nfs/dbraw/zinc/93/73/31/1122937331.db2.gz BAYCASIQCZPBTH-UHFFFAOYSA-N 1 2 283.400 3.573 20 0 CHADLO c1n[nH]c2c1C[N@@H+](Cc1cc3ccccc3s1)CCC2 ZINC000664861673 1122937333 /nfs/dbraw/zinc/93/73/33/1122937333.db2.gz BAYCASIQCZPBTH-UHFFFAOYSA-N 1 2 283.400 3.573 20 0 CHADLO Fc1cc(C(F)(F)F)c(F)cc1C[NH+]1CCC(F)CC1 ZINC001197334968 1122954219 /nfs/dbraw/zinc/95/42/19/1122954219.db2.gz HJBDWLOQPAOTHK-UHFFFAOYSA-N 1 2 297.242 3.918 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1nc2cc(C)ccc2[nH]1 ZINC000507487349 1122959643 /nfs/dbraw/zinc/95/96/43/1122959643.db2.gz QXBUCAMRYLYIET-ZDUSSCGKSA-N 1 2 294.402 3.680 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1nc2ccc(C)cc2[nH]1 ZINC000507487349 1122959647 /nfs/dbraw/zinc/95/96/47/1122959647.db2.gz QXBUCAMRYLYIET-ZDUSSCGKSA-N 1 2 294.402 3.680 20 0 CHADLO CCc1cccc(Nc2ccc(NC(=O)C(C)C)cc2)[nH+]1 ZINC001197679436 1122965880 /nfs/dbraw/zinc/96/58/80/1122965880.db2.gz UBZVKIJRLASEDJ-UHFFFAOYSA-N 1 2 283.375 3.982 20 0 CHADLO CC(C)[C@H](C)[N@H+](CC[C@@H]1CCOC1)c1ccccc1 ZINC001197719710 1122968047 /nfs/dbraw/zinc/96/80/47/1122968047.db2.gz LGICLYFIRWCAFJ-JKSUJKDBSA-N 1 2 261.409 3.964 20 0 CHADLO CC(C)[C@H](C)[N@@H+](CC[C@@H]1CCOC1)c1ccccc1 ZINC001197719710 1122968052 /nfs/dbraw/zinc/96/80/52/1122968052.db2.gz LGICLYFIRWCAFJ-JKSUJKDBSA-N 1 2 261.409 3.964 20 0 CHADLO CN(CCC[N@H+](CC(F)F)C1CCC1)c1ccccc1 ZINC001197836390 1122969505 /nfs/dbraw/zinc/96/95/05/1122969505.db2.gz BTGPWLRUBCPLKR-UHFFFAOYSA-N 1 2 282.378 3.633 20 0 CHADLO CN(CCC[N@@H+](CC(F)F)C1CCC1)c1ccccc1 ZINC001197836390 1122969508 /nfs/dbraw/zinc/96/95/08/1122969508.db2.gz BTGPWLRUBCPLKR-UHFFFAOYSA-N 1 2 282.378 3.633 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCCC(F)(F)C2)c(C)[nH+]1 ZINC000334325750 1122987611 /nfs/dbraw/zinc/98/76/11/1122987611.db2.gz BBJQDBRAMALKPF-GFCCVEGCSA-N 1 2 282.334 3.771 20 0 CHADLO CC1=CC[N@H+]([C@@H](C)c2nnc(-c3cccs3)o2)CC1 ZINC000334343120 1122991167 /nfs/dbraw/zinc/99/11/67/1122991167.db2.gz QRHFASNQCQHGRQ-NSHDSACASA-N 1 2 275.377 3.511 20 0 CHADLO CC1=CC[N@@H+]([C@@H](C)c2nnc(-c3cccs3)o2)CC1 ZINC000334343120 1122991169 /nfs/dbraw/zinc/99/11/69/1122991169.db2.gz QRHFASNQCQHGRQ-NSHDSACASA-N 1 2 275.377 3.511 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@H+]1C/C(Cl)=C\Cl ZINC000794433583 1123008066 /nfs/dbraw/zinc/00/80/66/1123008066.db2.gz BODZZLCKRHIIGB-GTUWVTDSSA-N 1 2 279.598 3.837 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@@H+]1C/C(Cl)=C\Cl ZINC000794433583 1123008070 /nfs/dbraw/zinc/00/80/70/1123008070.db2.gz BODZZLCKRHIIGB-GTUWVTDSSA-N 1 2 279.598 3.837 20 0 CHADLO Brc1ccccc1Nc1ccn2cc[nH+]c2c1 ZINC001204970918 1123008499 /nfs/dbraw/zinc/00/84/99/1123008499.db2.gz BFJPOUJYBSOBEH-UHFFFAOYSA-N 1 2 288.148 3.840 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2CCCOc3ccc(F)cc32)no1 ZINC000334431337 1123011742 /nfs/dbraw/zinc/01/17/42/1123011742.db2.gz KHQIJWMJRVYXSB-BXUZGUMPSA-N 1 2 290.338 3.687 20 0 CHADLO Cc1ccc(-c2nnc([C@H](C)[N@@H+]3CC[C@@](C)(F)C3)o2)cc1 ZINC000334449258 1123021051 /nfs/dbraw/zinc/02/10/51/1123021051.db2.gz JRZSOIRCZBWBFA-BLLLJJGKSA-N 1 2 289.354 3.540 20 0 CHADLO Cc1ccc(-c2nnc([C@H](C)[N@H+]3CC[C@@](C)(F)C3)o2)cc1 ZINC000334449258 1123021053 /nfs/dbraw/zinc/02/10/53/1123021053.db2.gz JRZSOIRCZBWBFA-BLLLJJGKSA-N 1 2 289.354 3.540 20 0 CHADLO C[C@H]([NH2+]Cc1ncccc1F)c1ccccc1Cl ZINC000154894907 1129093958 /nfs/dbraw/zinc/09/39/58/1129093958.db2.gz UUQSZZNDXMNFNU-JTQLQIEISA-N 1 2 264.731 3.725 20 0 CHADLO CCC[N@H+](Cc1noc(CC)n1)[C@@H](CC)c1ccccc1 ZINC000519906663 1123036411 /nfs/dbraw/zinc/03/64/11/1123036411.db2.gz ORWDTUNJZGPBHD-HNNXBMFYSA-N 1 2 287.407 3.995 20 0 CHADLO CCC[N@@H+](Cc1noc(CC)n1)[C@@H](CC)c1ccccc1 ZINC000519906663 1123036415 /nfs/dbraw/zinc/03/64/15/1123036415.db2.gz ORWDTUNJZGPBHD-HNNXBMFYSA-N 1 2 287.407 3.995 20 0 CHADLO CC[C@H](F)C[N@@H+]1C[C@H](c2ccccc2C)OC[C@H]1C ZINC000440793181 1123041754 /nfs/dbraw/zinc/04/17/54/1123041754.db2.gz OOSLWSMBHCZGJY-IJEWVQPXSA-N 1 2 265.372 3.505 20 0 CHADLO CC[C@H](F)C[N@H+]1C[C@H](c2ccccc2C)OC[C@H]1C ZINC000440793181 1123041756 /nfs/dbraw/zinc/04/17/56/1123041756.db2.gz OOSLWSMBHCZGJY-IJEWVQPXSA-N 1 2 265.372 3.505 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2F)nc1 ZINC000776028848 1123043442 /nfs/dbraw/zinc/04/34/42/1123043442.db2.gz DSBMYDPSAVMQHH-NSHDSACASA-N 1 2 292.329 3.609 20 0 CHADLO COc1cc[nH+]cc1NCc1cscc1Br ZINC000336721371 1123046356 /nfs/dbraw/zinc/04/63/56/1123046356.db2.gz ZOLWCRUUEMFTCA-UHFFFAOYSA-N 1 2 299.193 3.526 20 0 CHADLO CC(C)(O)C[N@H+](C/C(Cl)=C/Cl)Cc1ccccc1 ZINC000776033368 1123047591 /nfs/dbraw/zinc/04/75/91/1123047591.db2.gz LDXODYKCMRNXLC-JYRVWZFOSA-N 1 2 288.218 3.579 20 0 CHADLO CC(C)(O)C[N@@H+](C/C(Cl)=C/Cl)Cc1ccccc1 ZINC000776033368 1123047596 /nfs/dbraw/zinc/04/75/96/1123047596.db2.gz LDXODYKCMRNXLC-JYRVWZFOSA-N 1 2 288.218 3.579 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(C)o1)c1nc(C)cs1 ZINC000105503993 1123054343 /nfs/dbraw/zinc/05/43/43/1123054343.db2.gz FKCWRYCEEDCWCC-LBPRGKRZSA-N 1 2 250.367 3.594 20 0 CHADLO CC[C@H]([NH2+]Cc1cccnc1Cl)c1nc(C)cs1 ZINC000105502828 1123054492 /nfs/dbraw/zinc/05/44/92/1123054492.db2.gz AJAZERZNUXJWGA-NSHDSACASA-N 1 2 281.812 3.741 20 0 CHADLO Cc1cc(C)c(CNC(=O)Nc2c(C)cccc2C)c[nH+]1 ZINC000334498081 1123069363 /nfs/dbraw/zinc/06/93/63/1123069363.db2.gz JPTFCMBIBHXUPG-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO CCCOc1cc(C)ccc1C[NH2+]Cc1nccn1C1CC1 ZINC001200990546 1123069883 /nfs/dbraw/zinc/06/98/83/1123069883.db2.gz XLPWBIYDJKTIBS-UHFFFAOYSA-N 1 2 299.418 3.605 20 0 CHADLO CCc1ccccc1NC(=S)Nc1cccc2[nH+]ccn21 ZINC001201137383 1123075237 /nfs/dbraw/zinc/07/52/37/1123075237.db2.gz NBLHFPTUASXLFR-UHFFFAOYSA-N 1 2 296.399 3.706 20 0 CHADLO Nc1ccc(N(c2ccccc2)c2ccccc2N)c[nH+]1 ZINC001201283764 1123081418 /nfs/dbraw/zinc/08/14/18/1123081418.db2.gz FZBDWCRDKWNYCN-UHFFFAOYSA-N 1 2 276.343 3.716 20 0 CHADLO Nc1ccc(Nc2ccc(Oc3nccs3)cc2)c[nH+]1 ZINC001201287177 1123082200 /nfs/dbraw/zinc/08/22/00/1123082200.db2.gz XVSGBFIYMGMUPS-UHFFFAOYSA-N 1 2 284.344 3.656 20 0 CHADLO Nc1ccc(Nc2cc(Cl)c3ncccc3c2)c[nH+]1 ZINC001201291345 1123083210 /nfs/dbraw/zinc/08/32/10/1123083210.db2.gz GGXMSEBJMUCVJI-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2ccc3c(Cl)ccnc3c2)c[nH+]1 ZINC001201292463 1123083674 /nfs/dbraw/zinc/08/36/74/1123083674.db2.gz YTXLLSQQUBFLIM-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO CSc1cc(C)nc(SC)c1Nc1ccc(N)[nH+]c1 ZINC001201272065 1123083939 /nfs/dbraw/zinc/08/39/39/1123083939.db2.gz HIXQJWYMEDMUFQ-UHFFFAOYSA-N 1 2 292.433 3.555 20 0 CHADLO CCc1cnc(C[NH2+][C@H](CC(F)F)c2ccccc2)o1 ZINC000520389476 1123084100 /nfs/dbraw/zinc/08/41/00/1123084100.db2.gz NKTFBVUOIAXZCH-CYBMUJFWSA-N 1 2 280.318 3.723 20 0 CHADLO COc1cc(Cl)c(Nc2ccc(N)[nH+]c2)c(Cl)c1 ZINC001201292139 1123084578 /nfs/dbraw/zinc/08/45/78/1123084578.db2.gz KFTBLRJOGTULRR-UHFFFAOYSA-N 1 2 284.146 3.723 20 0 CHADLO Nc1ccc(Nc2cccc3nc(Cl)ccc32)c[nH+]1 ZINC001201295397 1123085300 /nfs/dbraw/zinc/08/53/00/1123085300.db2.gz QWBGLVBJRNHFIV-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)n(-c2ccc(Cl)cc2)n1 ZINC001201279189 1123085479 /nfs/dbraw/zinc/08/54/79/1123085479.db2.gz KYHHDRCPDXKAEE-UHFFFAOYSA-N 1 2 299.765 3.555 20 0 CHADLO Cc1cccc(C)c1OCCC[N@@H+](C)Cc1cscn1 ZINC000112697196 1123095006 /nfs/dbraw/zinc/09/50/06/1123095006.db2.gz AXBHNVJWGGGUNQ-UHFFFAOYSA-N 1 2 290.432 3.661 20 0 CHADLO Cc1cccc(C)c1OCCC[N@H+](C)Cc1cscn1 ZINC000112697196 1123095008 /nfs/dbraw/zinc/09/50/08/1123095008.db2.gz AXBHNVJWGGGUNQ-UHFFFAOYSA-N 1 2 290.432 3.661 20 0 CHADLO FC(F)C1C[NH+](Cc2cc3ccnc(Cl)c3s2)C1 ZINC000894977456 1123096545 /nfs/dbraw/zinc/09/65/45/1123096545.db2.gz SFPVZNUEWYYQMC-UHFFFAOYSA-N 1 2 288.750 3.647 20 0 CHADLO CC(C)(C)C[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001201441810 1123105458 /nfs/dbraw/zinc/10/54/58/1123105458.db2.gz MXRZTMUJNGDEML-CQSZACIVSA-N 1 2 267.800 3.759 20 0 CHADLO CC(C)(C)C[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001201441810 1123105460 /nfs/dbraw/zinc/10/54/60/1123105460.db2.gz MXRZTMUJNGDEML-CQSZACIVSA-N 1 2 267.800 3.759 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cccc(OC)c1F)c1nccs1 ZINC000192687493 1123107032 /nfs/dbraw/zinc/10/70/32/1123107032.db2.gz WAIASBLCKXKBCR-OAHLLOKOSA-N 1 2 294.395 3.706 20 0 CHADLO CCCN(CC1CCCCC1)C(=O)c1cccc2[nH+]ccn21 ZINC000843770832 1123107156 /nfs/dbraw/zinc/10/71/56/1123107156.db2.gz ANWPUCMCPIJXHI-UHFFFAOYSA-N 1 2 299.418 3.767 20 0 CHADLO CCn1ncc(Nc2ccc([NH2+]C(C)C)cc2)c1C ZINC001201487828 1123107966 /nfs/dbraw/zinc/10/79/66/1123107966.db2.gz KJIJLZFDZXXYDX-UHFFFAOYSA-N 1 2 258.369 3.775 20 0 CHADLO COc1nccc(C)c1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201489046 1123108733 /nfs/dbraw/zinc/10/87/33/1123108733.db2.gz YDLAOYASRCUHGX-UHFFFAOYSA-N 1 2 271.364 3.963 20 0 CHADLO CC(C)[NH2+]c1ccc(N(C)c2ccccc2N)cc1 ZINC001201498346 1123111522 /nfs/dbraw/zinc/11/15/22/1123111522.db2.gz ZPGBSXUBIBPSOC-UHFFFAOYSA-N 1 2 255.365 3.857 20 0 CHADLO CC(C)C[N@H+]1Cc2nc(-c3ccccc3)sc2C1 ZINC001201482300 1123114665 /nfs/dbraw/zinc/11/46/65/1123114665.db2.gz HVCSNODJABCBMI-UHFFFAOYSA-N 1 2 258.390 3.782 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccsc1)CC(CC)CC ZINC001201536320 1123115447 /nfs/dbraw/zinc/11/54/47/1123115447.db2.gz YBPHKQGMPWCDIM-UHFFFAOYSA-N 1 2 283.437 3.549 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccsc1)CC(CC)CC ZINC001201536320 1123115448 /nfs/dbraw/zinc/11/54/48/1123115448.db2.gz YBPHKQGMPWCDIM-UHFFFAOYSA-N 1 2 283.437 3.549 20 0 CHADLO CCCC[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001201717493 1123140131 /nfs/dbraw/zinc/14/01/31/1123140131.db2.gz SLDMUGNMLYFTHU-CQSZACIVSA-N 1 2 253.773 3.513 20 0 CHADLO CCCC[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001201717493 1123140133 /nfs/dbraw/zinc/14/01/33/1123140133.db2.gz SLDMUGNMLYFTHU-CQSZACIVSA-N 1 2 253.773 3.513 20 0 CHADLO CC[N@@H+](Cc1c[nH]c2ccccc12)Cc1nnc(C(C)C)o1 ZINC000190500311 1123150297 /nfs/dbraw/zinc/15/02/97/1123150297.db2.gz GWXKRDZYDLLQLH-UHFFFAOYSA-N 1 2 298.390 3.696 20 0 CHADLO CC[N@H+](Cc1c[nH]c2ccccc12)Cc1nnc(C(C)C)o1 ZINC000190500311 1123150299 /nfs/dbraw/zinc/15/02/99/1123150299.db2.gz GWXKRDZYDLLQLH-UHFFFAOYSA-N 1 2 298.390 3.696 20 0 CHADLO O=C(Nc1ccccc1Cl)Nc1cccc2[nH+]ccn21 ZINC001202052095 1123169470 /nfs/dbraw/zinc/16/94/70/1123169470.db2.gz RQMWTXKAKGKFJS-UHFFFAOYSA-N 1 2 286.722 3.632 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2c(C)cccc2C)[nH+]1 ZINC001202192353 1123185409 /nfs/dbraw/zinc/18/54/09/1123185409.db2.gz KDKTUMNKKOEANH-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3c(C)cccc3C)ccc2[nH+]1 ZINC001202198537 1123186051 /nfs/dbraw/zinc/18/60/51/1123186051.db2.gz ILUBEBNZIWVLFC-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO COc1ccccc1C[N@H+](CC(=O)c1ccccc1)C(C)C ZINC001203438117 1123193458 /nfs/dbraw/zinc/19/34/58/1123193458.db2.gz SLWIQWCWVLCIMH-UHFFFAOYSA-N 1 2 297.398 3.789 20 0 CHADLO COc1ccccc1C[N@@H+](CC(=O)c1ccccc1)C(C)C ZINC001203438117 1123193462 /nfs/dbraw/zinc/19/34/62/1123193462.db2.gz SLWIQWCWVLCIMH-UHFFFAOYSA-N 1 2 297.398 3.789 20 0 CHADLO c1ccc([C@H]2[N@H+](Cc3ncccn3)CC23CCCC3)cc1 ZINC000334517696 1123204172 /nfs/dbraw/zinc/20/41/72/1123204172.db2.gz BJQGSYSKEIRTAI-QGZVFWFLSA-N 1 2 279.387 3.594 20 0 CHADLO c1ccc([C@H]2[N@@H+](Cc3ncccn3)CC23CCCC3)cc1 ZINC000334517696 1123204174 /nfs/dbraw/zinc/20/41/74/1123204174.db2.gz BJQGSYSKEIRTAI-QGZVFWFLSA-N 1 2 279.387 3.594 20 0 CHADLO Cc1ccc(CSCc2noc(C(C)(C)C)n2)c(C)[nH+]1 ZINC000184815816 1123211687 /nfs/dbraw/zinc/21/16/87/1123211687.db2.gz QEYGBOMDQDZLEV-UHFFFAOYSA-N 1 2 291.420 3.812 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2csnn2)cc1Cl ZINC000665242510 1123248769 /nfs/dbraw/zinc/24/87/69/1123248769.db2.gz HRDQPAKQUFEUDB-IUCAKERBSA-N 1 2 297.811 3.612 20 0 CHADLO CN1CCCc2cc([NH2+][C@@H]3CCCSCC3)ccc21 ZINC000850459398 1123270209 /nfs/dbraw/zinc/27/02/09/1123270209.db2.gz VAOWLETUIWMVQB-CQSZACIVSA-N 1 2 276.449 3.767 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@@H]3CCCSCC3)ccc21 ZINC000850459398 1123270210 /nfs/dbraw/zinc/27/02/10/1123270210.db2.gz VAOWLETUIWMVQB-CQSZACIVSA-N 1 2 276.449 3.767 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@@H]3CCCSCC3)ccc21 ZINC000850459398 1123270213 /nfs/dbraw/zinc/27/02/13/1123270213.db2.gz VAOWLETUIWMVQB-CQSZACIVSA-N 1 2 276.449 3.767 20 0 CHADLO CN1CCCc2cc([NH2+][C@H]3CCCSCC3)ccc21 ZINC000850459397 1123270352 /nfs/dbraw/zinc/27/03/52/1123270352.db2.gz VAOWLETUIWMVQB-AWEZNQCLSA-N 1 2 276.449 3.767 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@H]3CCCSCC3)ccc21 ZINC000850459397 1123270353 /nfs/dbraw/zinc/27/03/53/1123270353.db2.gz VAOWLETUIWMVQB-AWEZNQCLSA-N 1 2 276.449 3.767 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@H]3CCCSCC3)ccc21 ZINC000850459397 1123270354 /nfs/dbraw/zinc/27/03/54/1123270354.db2.gz VAOWLETUIWMVQB-AWEZNQCLSA-N 1 2 276.449 3.767 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)nc1 ZINC000156222874 1129110876 /nfs/dbraw/zinc/11/08/76/1129110876.db2.gz LFXHPJRIVDMHKJ-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)nc1 ZINC000156222874 1129110878 /nfs/dbraw/zinc/11/08/78/1129110878.db2.gz LFXHPJRIVDMHKJ-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO CCOC1CC(CNc2ccc(-n3c[nH+]c(C)c3C)cc2)C1 ZINC000639197901 1123288425 /nfs/dbraw/zinc/28/84/25/1123288425.db2.gz LZBSLZWFCQTRBQ-UHFFFAOYSA-N 1 2 299.418 3.716 20 0 CHADLO Cc1ccc(C(=O)NCc2cc(C)[nH+]c(C)c2)c(Cl)c1 ZINC000335058031 1123290058 /nfs/dbraw/zinc/29/00/58/1123290058.db2.gz XPDPPVPNMBXMBL-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO FC(F)(F)c1cccnc1C[N@@H+]1CCc2ccccc2C1 ZINC000156370422 1129112372 /nfs/dbraw/zinc/11/23/72/1129112372.db2.gz BRRDICIKUDSQGC-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccnc1C[N@H+]1CCc2ccccc2C1 ZINC000156370422 1129112374 /nfs/dbraw/zinc/11/23/74/1129112374.db2.gz BRRDICIKUDSQGC-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO c1nc([C@H](Nc2cccc(C3CC3)[nH+]2)C2CCCCC2)n[nH]1 ZINC000639207794 1123301514 /nfs/dbraw/zinc/30/15/14/1123301514.db2.gz SRMTURJWUPWCKJ-MRXNPFEDSA-N 1 2 297.406 3.811 20 0 CHADLO CC[C@]1(C)C[N@H+](Cc2cccc(C(F)(F)F)c2)CCO1 ZINC000442883681 1123346383 /nfs/dbraw/zinc/34/63/83/1123346383.db2.gz DOEDHKXCZNKWAX-CQSZACIVSA-N 1 2 287.325 3.706 20 0 CHADLO CC[C@]1(C)C[N@@H+](Cc2cccc(C(F)(F)F)c2)CCO1 ZINC000442883681 1123346386 /nfs/dbraw/zinc/34/63/86/1123346386.db2.gz DOEDHKXCZNKWAX-CQSZACIVSA-N 1 2 287.325 3.706 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@H]2c2cccc(C)n2)s1 ZINC000544351273 1123353020 /nfs/dbraw/zinc/35/30/20/1123353020.db2.gz SEXSCQKYAXNPRI-HNNXBMFYSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@H]2c2cccc(C)n2)s1 ZINC000544351273 1123353022 /nfs/dbraw/zinc/35/30/22/1123353022.db2.gz SEXSCQKYAXNPRI-HNNXBMFYSA-N 1 2 287.432 3.746 20 0 CHADLO CCC[C@@H]1CCCC[C@@H]1OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000851453155 1123359610 /nfs/dbraw/zinc/35/96/10/1123359610.db2.gz NSZSQUCYUQOHAV-ZNMIVQPWSA-N 1 2 278.396 3.736 20 0 CHADLO CCc1nc([C@H](C)[NH2+]CC=C(Cl)Cl)cs1 ZINC000851930899 1123390371 /nfs/dbraw/zinc/39/03/71/1123390371.db2.gz GJEAACVRSSLYPC-ZETCQYMHSA-N 1 2 265.209 3.675 20 0 CHADLO Clc1cnc(C[NH2+][C@H]2CCCOc3ccccc32)s1 ZINC000335677107 1123393116 /nfs/dbraw/zinc/39/31/16/1123393116.db2.gz BERQBCYCXAMTKC-NSHDSACASA-N 1 2 294.807 3.800 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@H+](Cc2cccc(F)n2)C1 ZINC000852014447 1123393500 /nfs/dbraw/zinc/39/35/00/1123393500.db2.gz CPEMBGPXSMTVQQ-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@@H+](Cc2cccc(F)n2)C1 ZINC000852014447 1123393503 /nfs/dbraw/zinc/39/35/03/1123393503.db2.gz CPEMBGPXSMTVQQ-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO ClC(Cl)=CC[N@@H+]1CCC=C(c2ccco2)C1 ZINC000852038537 1123394232 /nfs/dbraw/zinc/39/42/32/1123394232.db2.gz PGKWYGLAAMWXLE-UHFFFAOYSA-N 1 2 258.148 3.688 20 0 CHADLO ClC(Cl)=CC[N@H+]1CCC=C(c2ccco2)C1 ZINC000852038537 1123394236 /nfs/dbraw/zinc/39/42/36/1123394236.db2.gz PGKWYGLAAMWXLE-UHFFFAOYSA-N 1 2 258.148 3.688 20 0 CHADLO Fc1ccc(Br)c2[nH+]ccc(N3CCCC3)c12 ZINC000443403274 1123394377 /nfs/dbraw/zinc/39/43/77/1123394377.db2.gz AFHCKHDMFBXSKN-UHFFFAOYSA-N 1 2 295.155 3.737 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)n2)[C@H]1c1cccs1 ZINC000852050731 1123394694 /nfs/dbraw/zinc/39/46/94/1123394694.db2.gz FTZXBKZKDRFTAN-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)n2)[C@H]1c1cccs1 ZINC000852050731 1123394696 /nfs/dbraw/zinc/39/46/96/1123394696.db2.gz FTZXBKZKDRFTAN-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2c2nc3cc(C)ccc3o2)[nH+]c1C ZINC000895193678 1123404372 /nfs/dbraw/zinc/40/43/72/1123404372.db2.gz QXXYVIVNXFSCBJ-CQSZACIVSA-N 1 2 296.374 3.818 20 0 CHADLO CCC(=O)CC[N@@H+]1CCc2ccc(Cl)c(Cl)c2C1 ZINC000852406755 1123404398 /nfs/dbraw/zinc/40/43/98/1123404398.db2.gz WWCNKZGTDDGAKH-UHFFFAOYSA-N 1 2 286.202 3.721 20 0 CHADLO CCC(=O)CC[N@H+]1CCc2ccc(Cl)c(Cl)c2C1 ZINC000852406755 1123404399 /nfs/dbraw/zinc/40/43/99/1123404399.db2.gz WWCNKZGTDDGAKH-UHFFFAOYSA-N 1 2 286.202 3.721 20 0 CHADLO Fc1ccc([C@H]2CC[N@@H+]2Cc2cccc3nsnc32)cc1 ZINC000852469431 1123405833 /nfs/dbraw/zinc/40/58/33/1123405833.db2.gz VHPWLKOCLHKRBB-OAHLLOKOSA-N 1 2 299.374 3.777 20 0 CHADLO Fc1ccc([C@H]2CC[N@H+]2Cc2cccc3nsnc32)cc1 ZINC000852469431 1123405834 /nfs/dbraw/zinc/40/58/34/1123405834.db2.gz VHPWLKOCLHKRBB-OAHLLOKOSA-N 1 2 299.374 3.777 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CCC[C@H](OC3CCC3)C2)n1 ZINC000895211359 1123409248 /nfs/dbraw/zinc/40/92/48/1123409248.db2.gz KUIHDZIQXVLEJY-AWEZNQCLSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CCC[C@H](OC3CCC3)C2)n1 ZINC000895211359 1123409252 /nfs/dbraw/zinc/40/92/52/1123409252.db2.gz KUIHDZIQXVLEJY-AWEZNQCLSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CCC[C@@H](OC3CCC3)C2)n1 ZINC000895211361 1123409409 /nfs/dbraw/zinc/40/94/09/1123409409.db2.gz KUIHDZIQXVLEJY-CQSZACIVSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CCC[C@@H](OC3CCC3)C2)n1 ZINC000895211361 1123409411 /nfs/dbraw/zinc/40/94/11/1123409411.db2.gz KUIHDZIQXVLEJY-CQSZACIVSA-N 1 2 292.423 3.506 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2CCOc3c(C)cccc32)cs1 ZINC000335706320 1123413672 /nfs/dbraw/zinc/41/36/72/1123413672.db2.gz ROPHISXQMFUFBI-SMDDNHRTSA-N 1 2 288.416 3.934 20 0 CHADLO Clc1ncc(C[N@@H+]2CC3CC2(c2ccccc2)C3)s1 ZINC000852760836 1123414872 /nfs/dbraw/zinc/41/48/72/1123414872.db2.gz BVLGSASSXWTBMS-UHFFFAOYSA-N 1 2 290.819 3.918 20 0 CHADLO Clc1ncc(C[N@H+]2CC3CC2(c2ccccc2)C3)s1 ZINC000852760836 1123414874 /nfs/dbraw/zinc/41/48/74/1123414874.db2.gz BVLGSASSXWTBMS-UHFFFAOYSA-N 1 2 290.819 3.918 20 0 CHADLO COc1cc(C)ccc1C[NH2+][C@H]1CCCc2scnc21 ZINC000623904737 1123424270 /nfs/dbraw/zinc/42/42/70/1123424270.db2.gz IWIANMCRUPFYFJ-ZDUSSCGKSA-N 1 2 288.416 3.627 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]C[C@H](O)c1ccccc1Cl ZINC000853124726 1123426578 /nfs/dbraw/zinc/42/65/78/1123426578.db2.gz KOGBBQGFRVMRFQ-LBPRGKRZSA-N 1 2 291.769 3.644 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2csc(C(C)C)n2)cc1 ZINC000051315977 1123438166 /nfs/dbraw/zinc/43/81/66/1123438166.db2.gz KXTYVGJEWLLDDM-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2csc(C(C)C)n2)cc1 ZINC000051315977 1123438171 /nfs/dbraw/zinc/43/81/71/1123438171.db2.gz KXTYVGJEWLLDDM-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO Cc1nnc(C[N@H+]2CCC[C@@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000428288106 1123467351 /nfs/dbraw/zinc/46/73/51/1123467351.db2.gz GDDWEBLRKJAPPL-MRXNPFEDSA-N 1 2 284.407 3.574 20 0 CHADLO Cc1nnc(C[N@@H+]2CCC[C@@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000428288106 1123467353 /nfs/dbraw/zinc/46/73/53/1123467353.db2.gz GDDWEBLRKJAPPL-MRXNPFEDSA-N 1 2 284.407 3.574 20 0 CHADLO Cc1nnc(C[N@H+]2CCC[C@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000428288103 1123467884 /nfs/dbraw/zinc/46/78/84/1123467884.db2.gz GDDWEBLRKJAPPL-INIZCTEOSA-N 1 2 284.407 3.574 20 0 CHADLO Cc1nnc(C[N@@H+]2CCC[C@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000428288103 1123467888 /nfs/dbraw/zinc/46/78/88/1123467888.db2.gz GDDWEBLRKJAPPL-INIZCTEOSA-N 1 2 284.407 3.574 20 0 CHADLO C[N@H+](Cc1cc[nH]n1)Cc1ccc(-c2ccccc2)cc1 ZINC000114461166 1123487508 /nfs/dbraw/zinc/48/75/08/1123487508.db2.gz BFKRERSYKXXPOV-UHFFFAOYSA-N 1 2 277.371 3.709 20 0 CHADLO C[N@@H+](Cc1cc[nH]n1)Cc1ccc(-c2ccccc2)cc1 ZINC000114461166 1123487509 /nfs/dbraw/zinc/48/75/09/1123487509.db2.gz BFKRERSYKXXPOV-UHFFFAOYSA-N 1 2 277.371 3.709 20 0 CHADLO CCCc1nc(C[N@@H+]2CCc3c(C)cccc3C2)cs1 ZINC000729543046 1123488214 /nfs/dbraw/zinc/48/82/14/1123488214.db2.gz DIUFLWYDFLLXNL-UHFFFAOYSA-N 1 2 286.444 3.962 20 0 CHADLO CCCc1nc(C[N@H+]2CCc3c(C)cccc3C2)cs1 ZINC000729543046 1123488218 /nfs/dbraw/zinc/48/82/18/1123488218.db2.gz DIUFLWYDFLLXNL-UHFFFAOYSA-N 1 2 286.444 3.962 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2cc3ccccc3o2)s1 ZINC000273320607 1129124929 /nfs/dbraw/zinc/12/49/29/1129124929.db2.gz RWZIARZKFIVFMO-SNVBAGLBSA-N 1 2 287.388 3.698 20 0 CHADLO COc1c(F)c(F)ccc1Nc1cccc2[nH+]c(C)cn21 ZINC001211884513 1123491931 /nfs/dbraw/zinc/49/19/31/1123491931.db2.gz WDQIVJJZCNHAOH-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CCN(C(=O)c1cccc2[nH+]ccn21)c1ccc(Cl)cc1 ZINC000776797050 1123508476 /nfs/dbraw/zinc/50/84/76/1123508476.db2.gz DNUZANJHXFFNND-UHFFFAOYSA-N 1 2 299.761 3.654 20 0 CHADLO CCCC[C@@H](COC)Nc1ccc([NH+]2CCCC2)cc1 ZINC000776802076 1123512666 /nfs/dbraw/zinc/51/26/66/1123512666.db2.gz BMVIKEWTFPKYFA-INIZCTEOSA-N 1 2 276.424 3.904 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2cccc(Cl)c2)s1 ZINC000273722453 1129127667 /nfs/dbraw/zinc/12/76/67/1129127667.db2.gz RXVNBFZMHQIWHO-BDAKNGLRSA-N 1 2 281.812 3.912 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CC[C@@](C)(C(F)(F)F)C2)n1 ZINC000895938337 1123533439 /nfs/dbraw/zinc/53/34/39/1123533439.db2.gz BKGXZFDKMKFCGU-CYBMUJFWSA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CC[C@@](C)(C(F)(F)F)C2)n1 ZINC000895938337 1123533443 /nfs/dbraw/zinc/53/34/43/1123533443.db2.gz BKGXZFDKMKFCGU-CYBMUJFWSA-N 1 2 290.329 3.746 20 0 CHADLO CC[C@@H]([NH2+]Cc1cn(C)nc1Cl)c1cc(F)ccc1F ZINC000856770261 1123567026 /nfs/dbraw/zinc/56/70/26/1123567026.db2.gz NRQMEMDRZMRBTQ-CYBMUJFWSA-N 1 2 299.752 3.593 20 0 CHADLO Cc1nc(C)c(C)c(Nc2ccc(NC3CCCC3)[nH+]c2)n1 ZINC000474787407 1123588956 /nfs/dbraw/zinc/58/89/56/1123588956.db2.gz IYVVMAFNCMGNOP-UHFFFAOYSA-N 1 2 297.406 3.895 20 0 CHADLO CC[C@@]1(C)COCC[N@@H+]1Cc1cc(C)ccc1OC(F)F ZINC000428304000 1123596602 /nfs/dbraw/zinc/59/66/02/1123596602.db2.gz SFGGMWDHMLVOGM-INIZCTEOSA-N 1 2 299.361 3.597 20 0 CHADLO CC[C@@]1(C)COCC[N@H+]1Cc1cc(C)ccc1OC(F)F ZINC000428304000 1123596607 /nfs/dbraw/zinc/59/66/07/1123596607.db2.gz SFGGMWDHMLVOGM-INIZCTEOSA-N 1 2 299.361 3.597 20 0 CHADLO Fc1cc(C[NH2+][C@H]2CCCc3cn[nH]c32)c(F)cc1Cl ZINC000857865720 1123622840 /nfs/dbraw/zinc/62/28/40/1123622840.db2.gz QGBGQASGSFFWLL-ZDUSSCGKSA-N 1 2 297.736 3.509 20 0 CHADLO Fc1cc(C[NH2+][C@H]2CCCc3c[nH]nc32)c(F)cc1Cl ZINC000857865720 1123622843 /nfs/dbraw/zinc/62/28/43/1123622843.db2.gz QGBGQASGSFFWLL-ZDUSSCGKSA-N 1 2 297.736 3.509 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@@H+](Cc1ccc(CF)cc1)C2 ZINC000857881413 1123624430 /nfs/dbraw/zinc/62/44/30/1123624430.db2.gz XKMIRBHSUANCHL-UHFFFAOYSA-N 1 2 299.393 3.628 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@H+](Cc1ccc(CF)cc1)C2 ZINC000857881413 1123624435 /nfs/dbraw/zinc/62/44/35/1123624435.db2.gz XKMIRBHSUANCHL-UHFFFAOYSA-N 1 2 299.393 3.628 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2CCc3ccc(Cl)nc32)n1 ZINC000857903935 1123625448 /nfs/dbraw/zinc/62/54/48/1123625448.db2.gz SINRQNWRSDNHAG-ONGXEEELSA-N 1 2 293.823 3.838 20 0 CHADLO c1cn(CCCSc2nc3ccccc3s2)c[nH+]1 ZINC000194431958 1129134612 /nfs/dbraw/zinc/13/46/12/1129134612.db2.gz BHOXXXRIVNAEOW-UHFFFAOYSA-N 1 2 275.402 3.675 20 0 CHADLO c1[nH]nc2cccc(CNc3ccc([NH+]4CCCC4)cc3)c12 ZINC000858101347 1123631207 /nfs/dbraw/zinc/63/12/07/1123631207.db2.gz LQKMAXKJWGRXSM-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO c1nc(C[N@@H+]2Cc3ccccc3C[C@@H]2c2ccccc2)co1 ZINC000191945545 1123631382 /nfs/dbraw/zinc/63/13/82/1123631382.db2.gz PSMPDXFKMSYPKL-LJQANCHMSA-N 1 2 290.366 3.974 20 0 CHADLO c1nc(C[N@H+]2Cc3ccccc3C[C@@H]2c2ccccc2)co1 ZINC000191945545 1123631384 /nfs/dbraw/zinc/63/13/84/1123631384.db2.gz PSMPDXFKMSYPKL-LJQANCHMSA-N 1 2 290.366 3.974 20 0 CHADLO Cc1cccc(-c2ccc(C[NH2+]Cc3cocn3)cc2)c1 ZINC000191973904 1123633193 /nfs/dbraw/zinc/63/31/93/1123633193.db2.gz HGSDNAZYFGZRTA-UHFFFAOYSA-N 1 2 278.355 3.940 20 0 CHADLO COc1ccc([C@@H](C)Oc2c(C)cc[nH+]c2C)c(F)c1 ZINC001227261985 1123659396 /nfs/dbraw/zinc/65/93/96/1123659396.db2.gz IROMRCONYHTPLF-GFCCVEGCSA-N 1 2 275.323 3.986 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@H](C)c2ccc(OC)cc2)s1 ZINC000346129788 1123665649 /nfs/dbraw/zinc/66/56/49/1123665649.db2.gz HKLONUKMUGAQBT-GFCCVEGCSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@H](C)c2ccc(OC)cc2)s1 ZINC000346129788 1123665651 /nfs/dbraw/zinc/66/56/51/1123665651.db2.gz HKLONUKMUGAQBT-GFCCVEGCSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1cnc(C[N@@H+](CC)Cc2cccc(F)c2)s1 ZINC000346147168 1123667602 /nfs/dbraw/zinc/66/76/02/1123667602.db2.gz VCJDKSYKVMOHSW-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCc1cnc(C[N@H+](CC)Cc2cccc(F)c2)s1 ZINC000346147168 1123667603 /nfs/dbraw/zinc/66/76/03/1123667603.db2.gz VCJDKSYKVMOHSW-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@H](C)OC[C@H]2c2ccccc2)nc1 ZINC000339012738 1123669241 /nfs/dbraw/zinc/66/92/41/1123669241.db2.gz VZKPYWUKJLFOLP-KXBFYZLASA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@H](C)OC[C@H]2c2ccccc2)nc1 ZINC000339012738 1123669243 /nfs/dbraw/zinc/66/92/43/1123669243.db2.gz VZKPYWUKJLFOLP-KXBFYZLASA-N 1 2 296.414 3.606 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@@H+](C[C@@H]1CCCO1)C2 ZINC000339016360 1123677071 /nfs/dbraw/zinc/67/70/71/1123677071.db2.gz VROUXEDFYJRYNU-LBPRGKRZSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@H+](C[C@@H]1CCCO1)C2 ZINC000339016360 1123677074 /nfs/dbraw/zinc/67/70/74/1123677074.db2.gz VROUXEDFYJRYNU-LBPRGKRZSA-N 1 2 286.202 3.531 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+](C)Cc1cc(Cl)n(C)n1 ZINC000859080525 1123683253 /nfs/dbraw/zinc/68/32/53/1123683253.db2.gz MFPUDSKDKBGPRC-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cc(Cl)n(C)n1 ZINC000859080525 1123683258 /nfs/dbraw/zinc/68/32/58/1123683258.db2.gz MFPUDSKDKBGPRC-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1ccnc(F)c1 ZINC000859222109 1123690824 /nfs/dbraw/zinc/69/08/24/1123690824.db2.gz JKQNKYCYFVBGMT-NEPJUHHUSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1ccnc(F)c1 ZINC000859222109 1123690826 /nfs/dbraw/zinc/69/08/26/1123690826.db2.gz JKQNKYCYFVBGMT-NEPJUHHUSA-N 1 2 288.341 3.868 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+][C@@H](CO)c2ccccc2)cs1 ZINC000346528428 1123692401 /nfs/dbraw/zinc/69/24/01/1123692401.db2.gz PEQXAURERZZWQC-OCCSQVGLSA-N 1 2 290.432 3.651 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2cnccc2C)c[nH+]1 ZINC000346945712 1123735406 /nfs/dbraw/zinc/73/54/06/1123735406.db2.gz SUVVUQXALHRWLA-AWEZNQCLSA-N 1 2 284.407 3.804 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000346997209 1123740443 /nfs/dbraw/zinc/74/04/43/1123740443.db2.gz CODUEJUEZAJTPO-LLVKDONJSA-N 1 2 288.313 3.715 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000346997209 1123740447 /nfs/dbraw/zinc/74/04/47/1123740447.db2.gz CODUEJUEZAJTPO-LLVKDONJSA-N 1 2 288.313 3.715 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000346997211 1123740580 /nfs/dbraw/zinc/74/05/80/1123740580.db2.gz CODUEJUEZAJTPO-NSHDSACASA-N 1 2 288.313 3.715 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000346997211 1123740583 /nfs/dbraw/zinc/74/05/83/1123740583.db2.gz CODUEJUEZAJTPO-NSHDSACASA-N 1 2 288.313 3.715 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@@H+](C[C@H]1CCCO1)CC2 ZINC000339024886 1123771097 /nfs/dbraw/zinc/77/10/97/1123771097.db2.gz WWQYTEIHAPWOHX-GFCCVEGCSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@H+](C[C@H]1CCCO1)CC2 ZINC000339024886 1123771100 /nfs/dbraw/zinc/77/11/00/1123771100.db2.gz WWQYTEIHAPWOHX-GFCCVEGCSA-N 1 2 286.202 3.531 20 0 CHADLO COCc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000195461729 1129145558 /nfs/dbraw/zinc/14/55/58/1129145558.db2.gz CAUSGAONTPODRU-UHFFFAOYSA-N 1 2 293.370 3.631 20 0 CHADLO CC(C)(C)c1coc(C[NH+]2CCC(F)(C3CC3)CC2)n1 ZINC000896945701 1123791619 /nfs/dbraw/zinc/79/16/19/1123791619.db2.gz HFTKMGMJXQDJJI-UHFFFAOYSA-N 1 2 280.387 3.686 20 0 CHADLO Cc1c(F)nccc1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000862240761 1123794289 /nfs/dbraw/zinc/79/42/89/1123794289.db2.gz SWLDUAYQBVWRAX-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1c(F)nccc1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000862240761 1123794293 /nfs/dbraw/zinc/79/42/93/1123794293.db2.gz SWLDUAYQBVWRAX-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO CCC[C@@H]([NH2+]C1CC(c2cccc(Cl)c2)C1)C(=O)OC ZINC000475106178 1123794642 /nfs/dbraw/zinc/79/46/42/1123794642.db2.gz FIPNKFOMKPYPEC-PESDSKBTSA-N 1 2 295.810 3.517 20 0 CHADLO Cc1ccc(CSCc2cc(Cl)n(C)n2)c(C)[nH+]1 ZINC000863396563 1123867865 /nfs/dbraw/zinc/86/78/65/1123867865.db2.gz RPNCILYBYHCOLX-UHFFFAOYSA-N 1 2 281.812 3.519 20 0 CHADLO Cc1cc(N(C)Cc2ccccc2F)nc(C(C)C)[nH+]1 ZINC000301055386 1123870126 /nfs/dbraw/zinc/87/01/26/1123870126.db2.gz AHUMVQFRANLTJC-UHFFFAOYSA-N 1 2 273.355 3.684 20 0 CHADLO c1ccc(NCc2ccccc2N2CCCCC2)[nH+]c1 ZINC000301073423 1123871572 /nfs/dbraw/zinc/87/15/72/1123871572.db2.gz GCGZOIMZDQVROF-UHFFFAOYSA-N 1 2 267.376 3.684 20 0 CHADLO Cc1cc(C[NH2+][C@H](COCC(C)C)c2ccco2)c(C)o1 ZINC000119544592 1123878889 /nfs/dbraw/zinc/87/88/89/1123878889.db2.gz AHJUATRWZRLKDI-MRXNPFEDSA-N 1 2 291.391 3.993 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@H]2Nc1cc[nH+]c(C)n1 ZINC000301355822 1123895048 /nfs/dbraw/zinc/89/50/48/1123895048.db2.gz KHFPACGISMZEQF-CYBMUJFWSA-N 1 2 271.364 3.812 20 0 CHADLO c1ccc(NCc2ccc(-c3cccnc3)cc2)[nH+]c1 ZINC000301467677 1123903152 /nfs/dbraw/zinc/90/31/52/1123903152.db2.gz YLIQEBSIFUACRQ-UHFFFAOYSA-N 1 2 261.328 3.756 20 0 CHADLO Cc1cc(SCc2cc[nH+]c(N)c2)nc2ccccc12 ZINC000193059103 1123907443 /nfs/dbraw/zinc/90/74/43/1123907443.db2.gz RMHADMBXCCXPBR-UHFFFAOYSA-N 1 2 281.384 3.813 20 0 CHADLO Cc1c[nH+]c(N2CCCC[C@@H]2C)nc1N1CCCC[C@@H]1C ZINC000301565974 1123912769 /nfs/dbraw/zinc/91/27/69/1123912769.db2.gz MCJOMKWYYXFLOU-GJZGRUSLSA-N 1 2 288.439 3.543 20 0 CHADLO CCn1c2ccccc2nc1[C@H]1CCC[N@@H+]1C/C=C/Cl ZINC000084496602 1129153165 /nfs/dbraw/zinc/15/31/65/1129153165.db2.gz UDDNXELUBLPPBB-WCLQSUPFSA-N 1 2 289.810 3.946 20 0 CHADLO CCn1c2ccccc2nc1[C@H]1CCC[N@H+]1C/C=C/Cl ZINC000084496602 1129153168 /nfs/dbraw/zinc/15/31/68/1129153168.db2.gz UDDNXELUBLPPBB-WCLQSUPFSA-N 1 2 289.810 3.946 20 0 CHADLO Cc1nc(N2CCSC3(CCCCC3)C2)c(C)c(C)[nH+]1 ZINC000301638365 1123919695 /nfs/dbraw/zinc/91/96/95/1123919695.db2.gz ANOSVOBUPXRKEI-UHFFFAOYSA-N 1 2 291.464 3.658 20 0 CHADLO Cc1nc(N2CC[C@H](c3ccccc3)[C@@H]2C)c(C)c(C)[nH+]1 ZINC000301669010 1123922139 /nfs/dbraw/zinc/92/21/39/1123922139.db2.gz NKHLTFQNAJVXJC-YOEHRIQHSA-N 1 2 281.403 3.784 20 0 CHADLO CC[C@H](C)[C@](C)(O)CNc1[nH+]c2ccccc2cc1C ZINC000301744479 1123929298 /nfs/dbraw/zinc/92/92/98/1123929298.db2.gz ZWAVAPLNGCEYJA-SUMWQHHRSA-N 1 2 272.392 3.752 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCC[C@H]2OCC[C@H]21 ZINC000301744499 1123929345 /nfs/dbraw/zinc/92/93/45/1123929345.db2.gz ZWQSGLYLBRDIAE-USXIJHARSA-N 1 2 282.387 3.913 20 0 CHADLO CC(C)[C@H](O)C(C)(C)CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000301814979 1123935722 /nfs/dbraw/zinc/93/57/22/1123935722.db2.gz IPEZNNYQKLTOEM-LBPRGKRZSA-N 1 2 290.329 3.555 20 0 CHADLO c1ccc([C@H](Nc2cc(NCC3CC3)[nH+]cn2)C2CC2)cc1 ZINC000301824592 1123936340 /nfs/dbraw/zinc/93/63/40/1123936340.db2.gz LXUBXKWNHCNRMO-SFHVURJKSA-N 1 2 294.402 3.862 20 0 CHADLO c1ccc([C@H](Nc2cc(NCC3CC3)nc[nH+]2)C2CC2)cc1 ZINC000301824592 1123936343 /nfs/dbraw/zinc/93/63/43/1123936343.db2.gz LXUBXKWNHCNRMO-SFHVURJKSA-N 1 2 294.402 3.862 20 0 CHADLO CC(C)c1cc(NC[C@H]2CCCOC2)nc(C(C)C)[nH+]1 ZINC000301869432 1123939086 /nfs/dbraw/zinc/93/90/86/1123939086.db2.gz JKGXILUZFPOSMX-CYBMUJFWSA-N 1 2 277.412 3.562 20 0 CHADLO Cc1c[nH+]c(N2[C@H](C)CC[C@@H]2C)nc1N1[C@H](C)CC[C@H]1C ZINC000301876860 1123939721 /nfs/dbraw/zinc/93/97/21/1123939721.db2.gz HAWBFOQSTURJSE-TUVASFSCSA-N 1 2 288.439 3.539 20 0 CHADLO Cc1cc(N[C@@H]2CC(C)(C)OC2(C)C)nc(C(C)C)[nH+]1 ZINC000302021082 1123950762 /nfs/dbraw/zinc/95/07/62/1123950762.db2.gz DVQPXXMIKCCPKM-GFCCVEGCSA-N 1 2 277.412 3.666 20 0 CHADLO Cc1nc(N2CC[C@@H](c3ccccc3)C2)c2c([nH+]1)CCCC2 ZINC000302027807 1123951056 /nfs/dbraw/zinc/95/10/56/1123951056.db2.gz AMKAJCGHHNOHDH-MRXNPFEDSA-N 1 2 293.414 3.658 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[C@@H](Nc1cccc[nH+]1)CC3 ZINC000302039396 1123951614 /nfs/dbraw/zinc/95/16/14/1123951614.db2.gz LAKOPQKRPCQONL-ZDUSSCGKSA-N 1 2 277.371 3.841 20 0 CHADLO CCOc1ccccc1CNc1nc(C)[nH+]c2c1CCCC2 ZINC000302041955 1123951648 /nfs/dbraw/zinc/95/16/48/1123951648.db2.gz IPBQIUIWNLSTSX-UHFFFAOYSA-N 1 2 297.402 3.675 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)[C@@]12C[C@@H]1CCCC2 ZINC000864951288 1123952532 /nfs/dbraw/zinc/95/25/32/1123952532.db2.gz JFLSKOZNMXCBCC-SJCJKPOMSA-N 1 2 299.349 3.530 20 0 CHADLO CS[C@@H]1CCC[C@H](Nc2nc(C)[nH+]c3c2CCCC3)C1 ZINC000302048524 1123952620 /nfs/dbraw/zinc/95/26/20/1123952620.db2.gz MHOVRXWLYGJILN-QWHCGFSZSA-N 1 2 291.464 3.750 20 0 CHADLO Cc1nc(NCCSC(C)(C)C)c2c([nH+]1)CCCC2 ZINC000302064273 1123953552 /nfs/dbraw/zinc/95/35/52/1123953552.db2.gz VGPUIYCSZFDEAM-UHFFFAOYSA-N 1 2 279.453 3.607 20 0 CHADLO Cc1nc(N2CCC(c3ccsc3)CC2)c(C)c(C)[nH+]1 ZINC000302477060 1123969664 /nfs/dbraw/zinc/96/96/64/1123969664.db2.gz QSARKLVLHZPPGI-UHFFFAOYSA-N 1 2 287.432 3.847 20 0 CHADLO COc1cccc2c(N[C@H]3CCCSC3)cc[nH+]c12 ZINC000302504001 1123970211 /nfs/dbraw/zinc/97/02/11/1123970211.db2.gz DJAFOGHZMBWOIB-NSHDSACASA-N 1 2 274.389 3.551 20 0 CHADLO COc1cccc2c(NCCc3ccc(C)nc3)cc[nH+]c12 ZINC000302563416 1123972796 /nfs/dbraw/zinc/97/27/96/1123972796.db2.gz VKKWSYAAGFFKOQ-UHFFFAOYSA-N 1 2 293.370 3.601 20 0 CHADLO CO[C@H]1CN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC[C@@H]1C ZINC000302583975 1123973620 /nfs/dbraw/zinc/97/36/20/1123973620.db2.gz UORCFLCTSMVDQW-ZFWWWQNUSA-N 1 2 291.439 3.585 20 0 CHADLO Clc1cccnc1NCc1ccccc1-n1cc[nH+]c1 ZINC000302627335 1123974618 /nfs/dbraw/zinc/97/46/18/1123974618.db2.gz FTVALXJQUADOHC-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO CCc1nc(CNc2cc[nH+]c3c(OC)cccc23)cs1 ZINC000302622316 1123974660 /nfs/dbraw/zinc/97/46/60/1123974660.db2.gz DSXFCAZBAXDKGW-UHFFFAOYSA-N 1 2 299.399 3.874 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccccc1)c1ccc(F)cc1)c1ncc[nH]1 ZINC000336859423 1123976585 /nfs/dbraw/zinc/97/65/85/1123976585.db2.gz HJNXUQZRQPRIMM-DYVFJYSZSA-N 1 2 295.361 3.989 20 0 CHADLO Cc1cc([NH2+][C@@H]2C[C@H]2c2ccc(F)c(F)c2)ccc1N ZINC001168068187 1123995187 /nfs/dbraw/zinc/99/51/87/1123995187.db2.gz PEOJRGQENDNPBB-BLLLJJGKSA-N 1 2 274.314 3.823 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]Cc2cn3ccccc3n2)o1 ZINC000865814889 1124016416 /nfs/dbraw/zinc/01/64/16/1124016416.db2.gz PXKSPNWSZBFUHT-UHFFFAOYSA-N 1 2 283.375 3.515 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]Cc2nc3c(s2)CCC3)o1 ZINC000865814499 1124016455 /nfs/dbraw/zinc/01/64/55/1124016455.db2.gz HHXUJUBMKGAZHI-UHFFFAOYSA-N 1 2 290.432 3.812 20 0 CHADLO Cc1cc(C[NH2+]Cc2cc(Cl)cc(Cl)c2F)on1 ZINC000865817130 1124016902 /nfs/dbraw/zinc/01/69/02/1124016902.db2.gz AVYFGBMJZBTVNX-UHFFFAOYSA-N 1 2 289.137 3.719 20 0 CHADLO Cc1cc(C[NH2+][C@H](CF)c2ccc(F)cc2)c(C)o1 ZINC000865822209 1124017739 /nfs/dbraw/zinc/01/77/39/1124017739.db2.gz AWYWSQPYGNEQRX-OAHLLOKOSA-N 1 2 265.303 3.836 20 0 CHADLO C[C@H]([NH2+]Cc1sccc1Cl)c1nccs1 ZINC000193093129 1124035219 /nfs/dbraw/zinc/03/52/19/1124035219.db2.gz GINVEFXUYGSADC-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO Cc1cc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)c(C)s1 ZINC000866271608 1124045955 /nfs/dbraw/zinc/04/59/55/1124045955.db2.gz BCAIAIMOOBVXRT-HOCLYGCPSA-N 1 2 291.391 3.926 20 0 CHADLO C[C@@H]([NH2+][C@@H]1c2ccccc2OC[C@H]1F)c1ccccc1 ZINC000866293895 1124048671 /nfs/dbraw/zinc/04/86/71/1124048671.db2.gz GUAMEWCSTCJSNL-SRCQZFHVSA-N 1 2 271.335 3.809 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CC[C@H](CC(F)(F)F)C2)o1 ZINC000444713461 1124053842 /nfs/dbraw/zinc/05/38/42/1124053842.db2.gz AQIFMKCBZHEZDU-SNVBAGLBSA-N 1 2 290.329 3.746 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccn(C(C)C)n2)ccc1F ZINC000444744511 1124058640 /nfs/dbraw/zinc/05/86/40/1124058640.db2.gz MTTUUHQGICIJCM-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccn(C(C)C)n2)ccc1F ZINC000444744511 1124058643 /nfs/dbraw/zinc/05/86/43/1124058643.db2.gz MTTUUHQGICIJCM-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCc3c(F)cccc32)c1 ZINC000866385741 1124060173 /nfs/dbraw/zinc/06/01/73/1124060173.db2.gz YXIMVMVFIRQDSM-INIZCTEOSA-N 1 2 286.350 3.857 20 0 CHADLO Cc1nccnc1C[NH2+][C@H](C)c1cc(Cl)ccc1Cl ZINC000621331584 1129163843 /nfs/dbraw/zinc/16/38/43/1129163843.db2.gz GIEWVYQSHKYXFW-SECBINFHSA-N 1 2 296.201 3.943 20 0 CHADLO Cc1scc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)c1C ZINC000866428974 1124065450 /nfs/dbraw/zinc/06/54/50/1124065450.db2.gz HDHATVIDOUCCJM-HOCLYGCPSA-N 1 2 291.391 3.926 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)Nc2ccccc2F)c1 ZINC000114112128 1124080953 /nfs/dbraw/zinc/08/09/53/1124080953.db2.gz JEOGDXIHYMGENK-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(C(C)(C)C)o1)c1nccn1C ZINC000866572708 1124084152 /nfs/dbraw/zinc/08/41/52/1124084152.db2.gz BDONUEYMRVYCTK-CYBMUJFWSA-N 1 2 275.396 3.552 20 0 CHADLO Cn1ccnc1[C@H]([NH2+]Cc1ccc(C(C)(C)C)o1)C1CC1 ZINC000866573026 1124084405 /nfs/dbraw/zinc/08/44/05/1124084405.db2.gz FWHQWPRBITZBDA-OAHLLOKOSA-N 1 2 287.407 3.552 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2noc3cc(F)ccc32)o1 ZINC000866611377 1124088156 /nfs/dbraw/zinc/08/81/56/1124088156.db2.gz TWODRXFSMPCUCF-SNVBAGLBSA-N 1 2 288.322 3.973 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CCC[C@@H]2c2csc(C)n2)o1 ZINC000428420469 1124104860 /nfs/dbraw/zinc/10/48/60/1124104860.db2.gz DQYUHGQYGQNYPI-CYBMUJFWSA-N 1 2 291.420 3.647 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CCC[C@@H]2c2csc(C)n2)o1 ZINC000428420469 1124104862 /nfs/dbraw/zinc/10/48/62/1124104862.db2.gz DQYUHGQYGQNYPI-CYBMUJFWSA-N 1 2 291.420 3.647 20 0 CHADLO Fc1ccc2oc(C[NH2+][C@H]3CCCc4occc43)nc2c1 ZINC000634952383 1129166662 /nfs/dbraw/zinc/16/66/62/1129166662.db2.gz XHCKBVAASMHFJZ-LBPRGKRZSA-N 1 2 286.306 3.727 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1ccc2c(c1)OCCO2 ZINC000193202931 1124124124 /nfs/dbraw/zinc/12/41/24/1124124124.db2.gz JYGCUJMDIPJPHX-ZDUSSCGKSA-N 1 2 298.386 3.697 20 0 CHADLO CC1=CC[C@](C)(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000665934355 1124130954 /nfs/dbraw/zinc/13/09/54/1124130954.db2.gz IPLSKLVVUYIGJF-SFHVURJKSA-N 1 2 295.386 3.947 20 0 CHADLO CCc1nc(C)c(C[N@H+](C)[C@@H](C)c2ccccc2F)o1 ZINC000428470261 1124134537 /nfs/dbraw/zinc/13/45/37/1124134537.db2.gz ZMAHUNZKLHFJMT-LBPRGKRZSA-N 1 2 276.355 3.878 20 0 CHADLO CCc1nc(C)c(C[N@@H+](C)[C@@H](C)c2ccccc2F)o1 ZINC000428470261 1124134539 /nfs/dbraw/zinc/13/45/39/1124134539.db2.gz ZMAHUNZKLHFJMT-LBPRGKRZSA-N 1 2 276.355 3.878 20 0 CHADLO CCCc1ccc(C[NH2+]Cc2nccc(C(F)F)n2)s1 ZINC000621355383 1129171003 /nfs/dbraw/zinc/17/10/03/1129171003.db2.gz KVEARXFWKIWUBO-UHFFFAOYSA-N 1 2 297.374 3.718 20 0 CHADLO Cc1ccc(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)c(C)c1 ZINC000635062904 1129171971 /nfs/dbraw/zinc/17/19/71/1129171971.db2.gz SSLOIWMLKGKIPJ-INIZCTEOSA-N 1 2 285.391 3.726 20 0 CHADLO Cc1ncc(C[NH2+][C@@H](C(F)F)C2CCCCC2)s1 ZINC000621401733 1129173764 /nfs/dbraw/zinc/17/37/64/1129173764.db2.gz IJBPUANUCHSCEQ-GFCCVEGCSA-N 1 2 274.380 3.755 20 0 CHADLO Cc1ccc([C@@H](C)NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)cc1 ZINC000635224851 1129176251 /nfs/dbraw/zinc/17/62/51/1129176251.db2.gz DZKRQUXOIINZHJ-WBVHZDCISA-N 1 2 299.418 3.656 20 0 CHADLO Cc1cc(F)cc(C[NH+]2CC3(CCC3)C2)c1Cl ZINC001238776649 1131245312 /nfs/dbraw/zinc/24/53/12/1131245312.db2.gz IXJWYJXPYZLTMV-UHFFFAOYSA-N 1 2 253.748 3.773 20 0 CHADLO COc1cc(F)c(C[NH+]2CC3(C2)CCCC3)cc1Cl ZINC001238791927 1131249903 /nfs/dbraw/zinc/24/99/03/1131249903.db2.gz FFZMDVRHWDPLKW-UHFFFAOYSA-N 1 2 283.774 3.864 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000867943209 1124450926 /nfs/dbraw/zinc/45/09/26/1124450926.db2.gz OWIKNDDRURIAMR-ZGKBOVNRSA-N 1 2 295.386 3.616 20 0 CHADLO CCC[N@H+](Cc1c(Cl)cnn1C)Cc1ccc(C)cc1 ZINC000525864883 1124473162 /nfs/dbraw/zinc/47/31/62/1124473162.db2.gz SHCLDMLMHXGERE-UHFFFAOYSA-N 1 2 291.826 3.794 20 0 CHADLO CCC[N@@H+](Cc1c(Cl)cnn1C)Cc1ccc(C)cc1 ZINC000525864883 1124473168 /nfs/dbraw/zinc/47/31/68/1124473168.db2.gz SHCLDMLMHXGERE-UHFFFAOYSA-N 1 2 291.826 3.794 20 0 CHADLO Cc1cc(CNC(=O)/C=C/CCc2ccccc2)cc(C)[nH+]1 ZINC000868911142 1124491610 /nfs/dbraw/zinc/49/16/10/1124491610.db2.gz ZSZZJMZRMSGPAQ-YRNVUSSQSA-N 1 2 294.398 3.504 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+]Cc1cccc(Cl)c1Cl ZINC000526106828 1124508040 /nfs/dbraw/zinc/50/80/40/1124508040.db2.gz QCZXMXIUNPUMFA-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO C[C@H](CC[N@H+](CC(F)F)C1CC1)c1ccccc1 ZINC000447737233 1124517724 /nfs/dbraw/zinc/51/77/24/1124517724.db2.gz BODSSWDDPAJVSW-GFCCVEGCSA-N 1 2 253.336 3.910 20 0 CHADLO C[C@H](CC[N@@H+](CC(F)F)C1CC1)c1ccccc1 ZINC000447737233 1124517730 /nfs/dbraw/zinc/51/77/30/1124517730.db2.gz BODSSWDDPAJVSW-GFCCVEGCSA-N 1 2 253.336 3.910 20 0 CHADLO FC(F)CCCNc1[nH+]cnc2[nH]c3ccccc3c21 ZINC001120057368 1131271510 /nfs/dbraw/zinc/27/15/10/1131271510.db2.gz CRCYBMHJNBPOOE-UHFFFAOYSA-N 1 2 276.290 3.568 20 0 CHADLO OCC[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000449001770 1124631812 /nfs/dbraw/zinc/63/18/12/1124631812.db2.gz GGAMRXJGRUHUAJ-JTQLQIEISA-N 1 2 294.247 3.792 20 0 CHADLO OCC[C@@H]1CCCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000449001770 1124631814 /nfs/dbraw/zinc/63/18/14/1124631814.db2.gz GGAMRXJGRUHUAJ-JTQLQIEISA-N 1 2 294.247 3.792 20 0 CHADLO c1cc(C[N@@H+]2CCc3sccc3C2)n(C2CCCC2)n1 ZINC000449011280 1124633313 /nfs/dbraw/zinc/63/33/13/1124633313.db2.gz YQPUSOQWNBXXSA-UHFFFAOYSA-N 1 2 287.432 3.618 20 0 CHADLO c1cc(C[N@H+]2CCc3sccc3C2)n(C2CCCC2)n1 ZINC000449011280 1124633317 /nfs/dbraw/zinc/63/33/17/1124633317.db2.gz YQPUSOQWNBXXSA-UHFFFAOYSA-N 1 2 287.432 3.618 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2ncccc2Cl)n1 ZINC000528409039 1124655296 /nfs/dbraw/zinc/65/52/96/1124655296.db2.gz WCOAZRZSKKNFSJ-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2ncccc2Cl)n1 ZINC000528409039 1124655300 /nfs/dbraw/zinc/65/53/00/1124655300.db2.gz WCOAZRZSKKNFSJ-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N(C)C)cc1C)[C@@H]1CCCOC1 ZINC000872056377 1124668252 /nfs/dbraw/zinc/66/82/52/1124668252.db2.gz QYDRQXACMWJKPH-GDBMZVCRSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@@H](Nc1ccc([NH+](C)C)cc1C)[C@@H]1CCCOC1 ZINC000872056377 1124668249 /nfs/dbraw/zinc/66/82/49/1124668249.db2.gz QYDRQXACMWJKPH-GDBMZVCRSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1ccc(N[C@@H]2CCOC3(CCCC3)C2)c(C)[nH+]1 ZINC000872058659 1124669211 /nfs/dbraw/zinc/66/92/11/1124669211.db2.gz WQIFDQLHSCUVLO-CQSZACIVSA-N 1 2 260.381 3.602 20 0 CHADLO CC(C)(CF)[NH2+]Cc1cc(Cl)sc1Cl ZINC000449248222 1124680515 /nfs/dbraw/zinc/68/05/15/1124680515.db2.gz IILWNMSXQSNKEW-UHFFFAOYSA-N 1 2 256.173 3.893 20 0 CHADLO CO[C@H](C)C[N@H+](C)Cc1cc(Cl)sc1Cl ZINC000449320184 1124693491 /nfs/dbraw/zinc/69/34/91/1124693491.db2.gz MTGUPRZCFVTBKH-SSDOTTSWSA-N 1 2 268.209 3.522 20 0 CHADLO CO[C@H](C)C[N@@H+](C)Cc1cc(Cl)sc1Cl ZINC000449320184 1124693494 /nfs/dbraw/zinc/69/34/94/1124693494.db2.gz MTGUPRZCFVTBKH-SSDOTTSWSA-N 1 2 268.209 3.522 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cnc(C3CCC3)s2)C1 ZINC000449350732 1124697185 /nfs/dbraw/zinc/69/71/85/1124697185.db2.gz MWJNNNYJJXOKEC-AWEZNQCLSA-N 1 2 268.401 3.735 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cnc(C3CCC3)s2)C1 ZINC000449350732 1124697188 /nfs/dbraw/zinc/69/71/88/1124697188.db2.gz MWJNNNYJJXOKEC-AWEZNQCLSA-N 1 2 268.401 3.735 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCC[C@@](C)(F)C2)s1 ZINC000449352575 1124698207 /nfs/dbraw/zinc/69/82/07/1124698207.db2.gz VLOJLUGSGUQGSW-CYBMUJFWSA-N 1 2 256.390 3.591 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCC[C@@](C)(F)C2)s1 ZINC000449352575 1124698210 /nfs/dbraw/zinc/69/82/10/1124698210.db2.gz VLOJLUGSGUQGSW-CYBMUJFWSA-N 1 2 256.390 3.591 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449335945 1124699591 /nfs/dbraw/zinc/69/95/91/1124699591.db2.gz LDRTZRQUERGECB-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449335945 1124699597 /nfs/dbraw/zinc/69/95/97/1124699597.db2.gz LDRTZRQUERGECB-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO CCCCCOc1ccc(C[NH2+]C(CF)CF)cc1 ZINC000449372573 1124704060 /nfs/dbraw/zinc/70/40/60/1124704060.db2.gz JFSIPTSREZPUBJ-UHFFFAOYSA-N 1 2 271.351 3.653 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(Br)cc1)C(F)F ZINC000449360435 1124709230 /nfs/dbraw/zinc/70/92/30/1124709230.db2.gz JFHLZFKSEXICNA-JTQLQIEISA-N 1 2 278.140 3.582 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(OC(F)(F)F)cc1)C(F)F ZINC000449358748 1124709562 /nfs/dbraw/zinc/70/95/62/1124709562.db2.gz GIEUTOSDRIMQLX-JTQLQIEISA-N 1 2 283.240 3.719 20 0 CHADLO Cc1ccc(C)c(C[N@H+]2CC(C)(C)[C@H]2c2nccn2C)c1 ZINC000449413311 1124721192 /nfs/dbraw/zinc/72/11/92/1124721192.db2.gz RLZXUVITZBPPCK-MRXNPFEDSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1ccc(C)c(C[N@@H+]2CC(C)(C)[C@H]2c2nccn2C)c1 ZINC000449413311 1124721189 /nfs/dbraw/zinc/72/11/89/1124721189.db2.gz RLZXUVITZBPPCK-MRXNPFEDSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1cc(NCc2ccc(O)c(Cl)c2)nc(C2CC2)[nH+]1 ZINC000872725702 1124727904 /nfs/dbraw/zinc/72/79/04/1124727904.db2.gz IOECBBHTQLBHCY-UHFFFAOYSA-N 1 2 289.766 3.634 20 0 CHADLO Cc1nn(C[N@@H+](C)C[C@@H](C)C(C)(C)C)c(=S)n1C1CC1 ZINC000872818856 1124730593 /nfs/dbraw/zinc/73/05/93/1124730593.db2.gz QMBUMICGZLYUHX-LLVKDONJSA-N 1 2 296.484 3.629 20 0 CHADLO Cc1nn(C[N@H+](C)C[C@@H](C)C(C)(C)C)c(=S)n1C1CC1 ZINC000872818856 1124730597 /nfs/dbraw/zinc/73/05/97/1124730597.db2.gz QMBUMICGZLYUHX-LLVKDONJSA-N 1 2 296.484 3.629 20 0 CHADLO CC(C)n1cc(CNc2[nH]c3ccc(Cl)cc3[nH+]2)cn1 ZINC000873188789 1124749789 /nfs/dbraw/zinc/74/97/89/1124749789.db2.gz LJNNMCIQFRSANG-UHFFFAOYSA-N 1 2 289.770 3.606 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cnc(OCC)cc2C)c1 ZINC001238978851 1131284343 /nfs/dbraw/zinc/28/43/43/1131284343.db2.gz YGZMAZTUWHSFRJ-UHFFFAOYSA-N 1 2 284.359 3.818 20 0 CHADLO COc1cccc(-c2ccc3[nH+]c(C)cn3c2)c1C ZINC001238982193 1131284940 /nfs/dbraw/zinc/28/49/40/1131284940.db2.gz DHKYVXYRRYHRBD-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cccc(Cl)c1F ZINC000449563257 1124803287 /nfs/dbraw/zinc/80/32/87/1124803287.db2.gz SZXSHZTWVYBDAS-SNVBAGLBSA-N 1 2 281.762 3.546 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cccc(Cl)c1F ZINC000449563256 1124803529 /nfs/dbraw/zinc/80/35/29/1124803529.db2.gz SZXSHZTWVYBDAS-JTQLQIEISA-N 1 2 281.762 3.546 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cc(Cl)ccc1F ZINC000449563511 1124805178 /nfs/dbraw/zinc/80/51/78/1124805178.db2.gz VUNQJKCIDYKLKD-JTQLQIEISA-N 1 2 281.762 3.546 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2cc(Cl)ccc2F)o1 ZINC000449609224 1124815519 /nfs/dbraw/zinc/81/55/19/1124815519.db2.gz AXEBYQRJLKCBIL-UHFFFAOYSA-N 1 2 282.746 3.709 20 0 CHADLO COc1cnc(F)c(C[N@@H+]2CCC[C@@H]2c2ccsc2)c1 ZINC000876579620 1124962491 /nfs/dbraw/zinc/96/24/91/1124962491.db2.gz IVMYYEBPGNMDGB-CQSZACIVSA-N 1 2 292.379 3.628 20 0 CHADLO COc1cnc(F)c(C[N@H+]2CCC[C@@H]2c2ccsc2)c1 ZINC000876579620 1124962496 /nfs/dbraw/zinc/96/24/96/1124962496.db2.gz IVMYYEBPGNMDGB-CQSZACIVSA-N 1 2 292.379 3.628 20 0 CHADLO Fc1cccc2c1CC[N@@H+](Cc1ccc(C(F)F)nc1)C2 ZINC000876719153 1124973841 /nfs/dbraw/zinc/97/38/41/1124973841.db2.gz DRRMRWOEYZDXQZ-UHFFFAOYSA-N 1 2 292.304 3.717 20 0 CHADLO Fc1cccc2c1CC[N@H+](Cc1ccc(C(F)F)nc1)C2 ZINC000876719153 1124973843 /nfs/dbraw/zinc/97/38/43/1124973843.db2.gz DRRMRWOEYZDXQZ-UHFFFAOYSA-N 1 2 292.304 3.717 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]c2ccc(N3CCSCC3)cc2)CS1 ZINC000876863412 1124985387 /nfs/dbraw/zinc/98/53/87/1124985387.db2.gz FFIFRZNANDQPSZ-GXTWGEPZSA-N 1 2 294.489 3.546 20 0 CHADLO C[C@H](c1csnn1)[N@H+](C)CCC1CCCCC1 ZINC000450337886 1124985925 /nfs/dbraw/zinc/98/59/25/1124985925.db2.gz HPQIGGZHAPPYSI-LLVKDONJSA-N 1 2 253.415 3.501 20 0 CHADLO C[C@H](c1csnn1)[N@@H+](C)CCC1CCCCC1 ZINC000450337886 1124985927 /nfs/dbraw/zinc/98/59/27/1124985927.db2.gz HPQIGGZHAPPYSI-LLVKDONJSA-N 1 2 253.415 3.501 20 0 CHADLO CN(C[C@@H]1CCOC1)c1cc[nH+]c2cc(F)c(Cl)cc21 ZINC000450368523 1125001728 /nfs/dbraw/zinc/00/17/28/1125001728.db2.gz HUJMHOSVIKYFDI-JTQLQIEISA-N 1 2 294.757 3.500 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1nc(Cl)cs1)C(C)C ZINC000877043349 1125001765 /nfs/dbraw/zinc/00/17/65/1125001765.db2.gz GVDQSYKPGRKANG-CYBMUJFWSA-N 1 2 295.839 3.987 20 0 CHADLO C[C@H]1CCSCC[N@@H+]1Cc1c(Cl)cncc1Cl ZINC000877473604 1125030960 /nfs/dbraw/zinc/03/09/60/1125030960.db2.gz KQMHZXGGWFWVDQ-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CCSCC[N@H+]1Cc1c(Cl)cncc1Cl ZINC000877473604 1125030970 /nfs/dbraw/zinc/03/09/70/1125030970.db2.gz KQMHZXGGWFWVDQ-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO Cc1noc([C@H]2CCC[N@@H+]2Cc2cccc(Cl)c2C)n1 ZINC000877522407 1125039541 /nfs/dbraw/zinc/03/95/41/1125039541.db2.gz DYUVOELAIJSTMA-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@H]2CCC[N@H+]2Cc2cccc(Cl)c2C)n1 ZINC000877522407 1125039548 /nfs/dbraw/zinc/03/95/48/1125039548.db2.gz DYUVOELAIJSTMA-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc(C[NH2+][C@H](CF)c2ccc(F)cc2)cc(Cl)n1 ZINC000877758658 1125074513 /nfs/dbraw/zinc/07/45/13/1125074513.db2.gz JEQOKWHPPMDAFX-CQSZACIVSA-N 1 2 296.748 3.983 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1cccc(Cl)c1 ZINC000450663604 1125080426 /nfs/dbraw/zinc/08/04/26/1125080426.db2.gz SFFUKHMBUHGMPM-VIFPVBQESA-N 1 2 293.823 3.920 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccc(F)c(F)c1 ZINC000450665099 1125081975 /nfs/dbraw/zinc/08/19/75/1125081975.db2.gz VMPDVUJPUXZRAQ-QMMMGPOBSA-N 1 2 295.358 3.545 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2csnn2)cc(C)c1F ZINC000450683523 1125084816 /nfs/dbraw/zinc/08/48/16/1125084816.db2.gz SNAFQBVPQMFZSA-GHMZBOCLSA-N 1 2 279.384 3.706 20 0 CHADLO CS[C@@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000450684285 1125085873 /nfs/dbraw/zinc/08/58/73/1125085873.db2.gz RIPKRJCSKQJWHR-SNVBAGLBSA-N 1 2 278.808 3.830 20 0 CHADLO C[N@@H+]1CCc2oc(-c3ccc(-c4ccccc4)cc3)nc2C1 ZINC001239468633 1131330516 /nfs/dbraw/zinc/33/05/16/1131330516.db2.gz YETIUCYYEGCKKZ-UHFFFAOYSA-N 1 2 290.366 3.997 20 0 CHADLO C[N@H+]1CCc2oc(-c3ccc(-c4ccccc4)cc3)nc2C1 ZINC001239468633 1131330521 /nfs/dbraw/zinc/33/05/21/1131330521.db2.gz YETIUCYYEGCKKZ-UHFFFAOYSA-N 1 2 290.366 3.997 20 0 CHADLO C[C@H]([NH2+]CC1=CCCCC1)c1noc(Cc2ccccc2)n1 ZINC000450739524 1125097538 /nfs/dbraw/zinc/09/75/38/1125097538.db2.gz RBWGNKLACOQKGD-AWEZNQCLSA-N 1 2 297.402 3.811 20 0 CHADLO CC[N@H+](Cc1nc2c(o1)CCCC2)Cc1ccccc1 ZINC000877902091 1125098476 /nfs/dbraw/zinc/09/84/76/1125098476.db2.gz FYYSMUPDVDXISW-UHFFFAOYSA-N 1 2 270.376 3.576 20 0 CHADLO CC[N@@H+](Cc1nc2c(o1)CCCC2)Cc1ccccc1 ZINC000877902091 1125098482 /nfs/dbraw/zinc/09/84/82/1125098482.db2.gz FYYSMUPDVDXISW-UHFFFAOYSA-N 1 2 270.376 3.576 20 0 CHADLO CO[C@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C[C@H]1C ZINC000450749945 1125099167 /nfs/dbraw/zinc/09/91/67/1125099167.db2.gz AOXJESNUVYTDNI-ABAIWWIYSA-N 1 2 290.794 3.749 20 0 CHADLO Nc1cn2ccc(-c3cccc4ccccc43)cc2[nH+]1 ZINC001239471678 1131331931 /nfs/dbraw/zinc/33/19/31/1131331931.db2.gz OZCKPUJWLFJKSF-UHFFFAOYSA-N 1 2 259.312 3.737 20 0 CHADLO CO[C@@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C[C@@H]1C ZINC000450757125 1125103417 /nfs/dbraw/zinc/10/34/17/1125103417.db2.gz KSZIUJVTWNJWDY-DZGCQCFKSA-N 1 2 291.439 3.585 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877971556 1125108356 /nfs/dbraw/zinc/10/83/56/1125108356.db2.gz XMXQJXWVXIIOLS-NSHDSACASA-N 1 2 276.405 3.808 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877971556 1125108361 /nfs/dbraw/zinc/10/83/61/1125108361.db2.gz XMXQJXWVXIIOLS-NSHDSACASA-N 1 2 276.405 3.808 20 0 CHADLO Cc1conc1C[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000877977335 1125108558 /nfs/dbraw/zinc/10/85/58/1125108558.db2.gz WWOVDMMSVDXUTP-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1conc1C[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000877977335 1125108562 /nfs/dbraw/zinc/10/85/62/1125108562.db2.gz WWOVDMMSVDXUTP-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO C=C/C=C\CC[N@@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000877981508 1125109974 /nfs/dbraw/zinc/10/99/74/1125109974.db2.gz WLQGCSPHBLCDBP-VQTKUKTRSA-N 1 2 273.380 3.606 20 0 CHADLO C=C/C=C\CC[N@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000877981508 1125109975 /nfs/dbraw/zinc/10/99/75/1125109975.db2.gz WLQGCSPHBLCDBP-VQTKUKTRSA-N 1 2 273.380 3.606 20 0 CHADLO C(CC1CC1)C[N@@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000877980028 1125110953 /nfs/dbraw/zinc/11/09/53/1125110953.db2.gz HTXRPMOECDQDRY-AWEZNQCLSA-N 1 2 275.396 3.664 20 0 CHADLO C(CC1CC1)C[N@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000877980028 1125110961 /nfs/dbraw/zinc/11/09/61/1125110961.db2.gz HTXRPMOECDQDRY-AWEZNQCLSA-N 1 2 275.396 3.664 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CC(C)(C)CC(F)F)cc2[nH+]1 ZINC000878032857 1125114276 /nfs/dbraw/zinc/11/42/76/1125114276.db2.gz LDBPQHGEBDCBSY-UHFFFAOYSA-N 1 2 295.333 3.881 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC000878157673 1125125197 /nfs/dbraw/zinc/12/51/97/1125125197.db2.gz JYPIITMECNMKPI-INIZCTEOSA-N 1 2 299.418 3.619 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1nc2c(o1)CCCC2 ZINC000878157673 1125125201 /nfs/dbraw/zinc/12/52/01/1125125201.db2.gz JYPIITMECNMKPI-INIZCTEOSA-N 1 2 299.418 3.619 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137878420 1125126894 /nfs/dbraw/zinc/12/68/94/1125126894.db2.gz UVFXOADAGVERQR-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137878420 1125126898 /nfs/dbraw/zinc/12/68/98/1125126898.db2.gz UVFXOADAGVERQR-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO COc1cccc(C=C2CC[NH+](Cc3nocc3C)CC2)c1 ZINC000878190093 1125128911 /nfs/dbraw/zinc/12/89/11/1125128911.db2.gz DEZFHUMSLWXBCZ-UHFFFAOYSA-N 1 2 298.386 3.671 20 0 CHADLO COc1cccc2cc(C[N@@H+]3CCC[C@H]3c3ncc[nH]3)oc21 ZINC000783672212 1125130402 /nfs/dbraw/zinc/13/04/02/1125130402.db2.gz DFSJAHFTUURKAM-AWEZNQCLSA-N 1 2 297.358 3.502 20 0 CHADLO COc1cccc2cc(C[N@H+]3CCC[C@H]3c3ncc[nH]3)oc21 ZINC000783672212 1125130405 /nfs/dbraw/zinc/13/04/05/1125130405.db2.gz DFSJAHFTUURKAM-AWEZNQCLSA-N 1 2 297.358 3.502 20 0 CHADLO CC(C)([NH2+]Cc1nc2c(o1)CCCC2)c1ccc(F)cc1 ZINC000878237126 1125134071 /nfs/dbraw/zinc/13/40/71/1125134071.db2.gz VCUZRYWXKXFRCK-UHFFFAOYSA-N 1 2 288.366 3.717 20 0 CHADLO c1ccc2c(c1)[nH]c1c2CC[N@@H+](C[C@H]2CCC3(CCC3)O2)C1 ZINC000878306249 1125138576 /nfs/dbraw/zinc/13/85/76/1125138576.db2.gz XWWZOPXIFCOWGE-CQSZACIVSA-N 1 2 296.414 3.628 20 0 CHADLO c1ccc2c(c1)[nH]c1c2CC[N@H+](C[C@H]2CCC3(CCC3)O2)C1 ZINC000878306249 1125138580 /nfs/dbraw/zinc/13/85/80/1125138580.db2.gz XWWZOPXIFCOWGE-CQSZACIVSA-N 1 2 296.414 3.628 20 0 CHADLO O=C(NCCCC1CCCC1)c1cccc(-n2cc[nH+]c2)c1 ZINC000303658785 1125138887 /nfs/dbraw/zinc/13/88/87/1125138887.db2.gz GWCGSAATEVWMHK-UHFFFAOYSA-N 1 2 297.402 3.573 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2cccnc2)nc1Cl ZINC000878309282 1125139317 /nfs/dbraw/zinc/13/93/17/1125139317.db2.gz NTKDMAHYGILYFJ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2cccnc2)nc1Cl ZINC000878309282 1125139318 /nfs/dbraw/zinc/13/93/18/1125139318.db2.gz NTKDMAHYGILYFJ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1conc1C[NH2+][C@H](C)c1ccccc1OC(C)C ZINC000878352759 1125143338 /nfs/dbraw/zinc/14/33/38/1125143338.db2.gz NMMBDMHSPZXDBZ-CYBMUJFWSA-N 1 2 274.364 3.621 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1noc2c1CC(C)(C)CC2 ZINC000878404174 1125149242 /nfs/dbraw/zinc/14/92/42/1125149242.db2.gz XPTSFAQRSMIWSN-ZDUSSCGKSA-N 1 2 299.418 3.744 20 0 CHADLO CC(C)([NH2+]Cc1nc2c(o1)CCCC2)c1cccs1 ZINC000878418345 1125152142 /nfs/dbraw/zinc/15/21/42/1125152142.db2.gz LOCHNEKLGRZOIV-UHFFFAOYSA-N 1 2 276.405 3.640 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001137134229 1125162380 /nfs/dbraw/zinc/16/23/80/1125162380.db2.gz LFLSNKPBBNJKPY-JKSUJKDBSA-N 1 2 283.362 3.746 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001137134229 1125162384 /nfs/dbraw/zinc/16/23/84/1125162384.db2.gz LFLSNKPBBNJKPY-JKSUJKDBSA-N 1 2 283.362 3.746 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@@H]1C[C@H]3CCC[C@H]3O1)C2 ZINC000878703363 1125173431 /nfs/dbraw/zinc/17/34/31/1125173431.db2.gz GKZWBYBGDYVWQJ-XFBWCDHKSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@@H]1C[C@H]3CCC[C@H]3O1)C2 ZINC000878703363 1125173434 /nfs/dbraw/zinc/17/34/34/1125173434.db2.gz GKZWBYBGDYVWQJ-XFBWCDHKSA-N 1 2 277.795 3.613 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCC[C@H](c2cccnc2)C1 ZINC000878752919 1125177005 /nfs/dbraw/zinc/17/70/05/1125177005.db2.gz DAZVCIZAPMHCSG-ALFJJAPYSA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCC[C@H](c2cccnc2)C1 ZINC000878752919 1125177009 /nfs/dbraw/zinc/17/70/09/1125177009.db2.gz DAZVCIZAPMHCSG-ALFJJAPYSA-N 1 2 271.191 3.580 20 0 CHADLO Cc1nc(CSCc2cc[nH+]c(N(C)C)c2)sc1C ZINC000127667536 1125209204 /nfs/dbraw/zinc/20/92/04/1125209204.db2.gz QZCWHHBOLVIESP-UHFFFAOYSA-N 1 2 293.461 3.654 20 0 CHADLO c1coc(-c2cc(C[N@@H+]3CCC[C@H]3c3ccccn3)no2)c1 ZINC000531439961 1125219460 /nfs/dbraw/zinc/21/94/60/1125219460.db2.gz KDNPGMQYHZAGEI-HNNXBMFYSA-N 1 2 295.342 3.667 20 0 CHADLO c1coc(-c2cc(C[N@H+]3CCC[C@H]3c3ccccn3)no2)c1 ZINC000531439961 1125219467 /nfs/dbraw/zinc/21/94/67/1125219467.db2.gz KDNPGMQYHZAGEI-HNNXBMFYSA-N 1 2 295.342 3.667 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cc(F)cc2cccnc21 ZINC000025927907 1125225727 /nfs/dbraw/zinc/22/57/27/1125225727.db2.gz CXLDDYRFGXVKII-CYBMUJFWSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cc(F)cc2cccnc21 ZINC000025927907 1125225735 /nfs/dbraw/zinc/22/57/35/1125225735.db2.gz CXLDDYRFGXVKII-CYBMUJFWSA-N 1 2 295.361 3.752 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2ncc(C(C)C)o2)c1 ZINC000879746644 1125229311 /nfs/dbraw/zinc/22/93/11/1125229311.db2.gz CDGQXDWNHIJCSB-HNNXBMFYSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2ncc(C(C)C)o2)c1 ZINC000879746644 1125229316 /nfs/dbraw/zinc/22/93/16/1125229316.db2.gz CDGQXDWNHIJCSB-HNNXBMFYSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2ncc(C3CC3)o2)c1 ZINC000879750121 1125230010 /nfs/dbraw/zinc/23/00/10/1125230010.db2.gz PUNXFBVMACJVHA-HNNXBMFYSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2ncc(C3CC3)o2)c1 ZINC000879750121 1125230014 /nfs/dbraw/zinc/23/00/14/1125230014.db2.gz PUNXFBVMACJVHA-HNNXBMFYSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2coc(C3CC3)n2)c1 ZINC000879753140 1125230952 /nfs/dbraw/zinc/23/09/52/1125230952.db2.gz XNTLKBZICOLIDZ-MRXNPFEDSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2coc(C3CC3)n2)c1 ZINC000879753140 1125230956 /nfs/dbraw/zinc/23/09/56/1125230956.db2.gz XNTLKBZICOLIDZ-MRXNPFEDSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2scnc2Cl)c1 ZINC000879751955 1125231141 /nfs/dbraw/zinc/23/11/41/1125231141.db2.gz JYCAGOQGJHRARC-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2scnc2Cl)c1 ZINC000879751955 1125231147 /nfs/dbraw/zinc/23/11/47/1125231147.db2.gz JYCAGOQGJHRARC-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO COc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)cc1 ZINC001239484392 1131340606 /nfs/dbraw/zinc/34/06/06/1131340606.db2.gz BSRXPUWGCUJLAW-UHFFFAOYSA-N 1 2 264.328 3.763 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3ccsc3[C@H]2C)s1 ZINC000880377483 1125269936 /nfs/dbraw/zinc/26/99/36/1125269936.db2.gz XFXCTAQTGOJAIG-SNVBAGLBSA-N 1 2 264.419 3.632 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3ccsc3[C@H]2C)s1 ZINC000880377483 1125269940 /nfs/dbraw/zinc/26/99/40/1125269940.db2.gz XFXCTAQTGOJAIG-SNVBAGLBSA-N 1 2 264.419 3.632 20 0 CHADLO FC(F)[C@H]([NH2+]C[C@H]1CCCOC1)c1cccc(Cl)c1 ZINC000880537108 1125279415 /nfs/dbraw/zinc/27/94/15/1125279415.db2.gz KWKNGYQCJLEXLC-ZWNOBZJWSA-N 1 2 289.753 3.662 20 0 CHADLO CC(C)c1cc(NC[C@@H](C)C(F)(F)F)nc(C(C)C)[nH+]1 ZINC000475739863 1125284163 /nfs/dbraw/zinc/28/41/63/1125284163.db2.gz PPDFRYIFCRMVGA-SNVBAGLBSA-N 1 2 289.345 3.756 20 0 CHADLO Cc1ncc(C[NH2+][C@H]2COc3c2ccc(Cl)c3Cl)o1 ZINC000666167116 1125304642 /nfs/dbraw/zinc/30/46/42/1125304642.db2.gz KBJWVGZVGOKTNL-NSHDSACASA-N 1 2 299.157 3.513 20 0 CHADLO C[N@H+](Cc1cn2ccsc2n1)Cc1cccc(Cl)c1 ZINC000064344755 1125330128 /nfs/dbraw/zinc/33/01/28/1125330128.db2.gz RECFMJGSFPDNSB-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@@H+](Cc1cn2ccsc2n1)Cc1cccc(Cl)c1 ZINC000064344755 1125330130 /nfs/dbraw/zinc/33/01/30/1125330130.db2.gz RECFMJGSFPDNSB-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO CCSc1cccc(CNc2cc[nH+]cc2F)c1 ZINC000192149485 1125331642 /nfs/dbraw/zinc/33/16/42/1125331642.db2.gz JQVRWFYDTXABOV-UHFFFAOYSA-N 1 2 262.353 3.945 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nnc(C2CC2)o1)c1ccc(F)cc1 ZINC000639926847 1125336315 /nfs/dbraw/zinc/33/63/15/1125336315.db2.gz CRXGJLNEPOWTDJ-MRXNPFEDSA-N 1 2 289.354 3.501 20 0 CHADLO CCCC[C@@H](C)C(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882872210 1125359215 /nfs/dbraw/zinc/35/92/15/1125359215.db2.gz ZNJZDJFYHUGVML-NVXWUHKLSA-N 1 2 299.418 3.567 20 0 CHADLO CCCC[C@H](C)C(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882872209 1125359238 /nfs/dbraw/zinc/35/92/38/1125359238.db2.gz ZNJZDJFYHUGVML-DOTOQJQBSA-N 1 2 299.418 3.567 20 0 CHADLO CC[C@H](C)CCC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882872205 1125359313 /nfs/dbraw/zinc/35/93/13/1125359313.db2.gz ZIPQSFXUCKSBKE-DOTOQJQBSA-N 1 2 299.418 3.567 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2ccc([C@@H]3C[C@H]3C)o2)n1 ZINC000882903489 1125361082 /nfs/dbraw/zinc/36/10/82/1125361082.db2.gz DFZFWANDGFCADE-DGCLKSJQSA-N 1 2 273.380 3.539 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]C(C)(C)c2ccccc2F)n1 ZINC000883127189 1125373237 /nfs/dbraw/zinc/37/32/37/1125373237.db2.gz OOHDISZGJCELDC-UHFFFAOYSA-N 1 2 275.371 3.697 20 0 CHADLO FC(F)c1ccc(C[NH2+]Cc2nnc(C3CC3)s2)cc1 ZINC000666471326 1125373285 /nfs/dbraw/zinc/37/32/85/1125373285.db2.gz NOWFCBPMMGTWLC-UHFFFAOYSA-N 1 2 295.358 3.643 20 0 CHADLO Clc1ccc([C@@H]2C[N@H+](CC3CC3)CCO2)cc1Cl ZINC000532421658 1125375875 /nfs/dbraw/zinc/37/58/75/1125375875.db2.gz XEPMMISGAWCIQY-AWEZNQCLSA-N 1 2 286.202 3.777 20 0 CHADLO C/C=C\C[C@H]1CCC[N@@H+](Cc2noc(CCCC)n2)C1 ZINC000626162626 1125381009 /nfs/dbraw/zinc/38/10/09/1125381009.db2.gz WWWBEXPYCXUIQG-MFBWXBCUSA-N 1 2 277.412 3.590 20 0 CHADLO C/C=C\C[C@H]1CCC[N@H+](Cc2noc(CCCC)n2)C1 ZINC000626162626 1125381011 /nfs/dbraw/zinc/38/10/11/1125381011.db2.gz WWWBEXPYCXUIQG-MFBWXBCUSA-N 1 2 277.412 3.590 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ncc(C(C)C)s2)c(Cl)n1 ZINC000883292990 1125392342 /nfs/dbraw/zinc/39/23/42/1125392342.db2.gz GITVWEOWTHCJKY-UHFFFAOYSA-N 1 2 295.839 3.913 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2cccc(O)c2F)c(Cl)n1 ZINC000883302402 1125392904 /nfs/dbraw/zinc/39/29/04/1125392904.db2.gz XULVUEHBPMEXMZ-SNVBAGLBSA-N 1 2 294.757 3.739 20 0 CHADLO COC(=O)[C@H](c1ccc(Cl)cc1)[N@@H+]1CC[C@@H](C)[C@H](C)C1 ZINC001168201133 1125392981 /nfs/dbraw/zinc/39/29/81/1125392981.db2.gz IRMLUBLJWJWYEQ-JMSVASOKSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1ccc(Cl)cc1)[N@H+]1CC[C@@H](C)[C@H](C)C1 ZINC001168201133 1125392983 /nfs/dbraw/zinc/39/29/83/1125392983.db2.gz IRMLUBLJWJWYEQ-JMSVASOKSA-N 1 2 295.810 3.532 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2cc(O)ccc2F)c(Cl)n1 ZINC000883302207 1125392993 /nfs/dbraw/zinc/39/29/93/1125392993.db2.gz SUWPZZCMHHAMLN-SNVBAGLBSA-N 1 2 294.757 3.739 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(OC)cc1F)c1nccs1 ZINC000228598469 1125393317 /nfs/dbraw/zinc/39/33/17/1125393317.db2.gz BBECYIAPIITDLJ-ZDUSSCGKSA-N 1 2 280.368 3.532 20 0 CHADLO Fc1ccc2c(c1)CCC[C@@H]2[NH2+]C1(c2ncccn2)CCC1 ZINC000883312617 1125393571 /nfs/dbraw/zinc/39/35/71/1125393571.db2.gz IXMHEXDEBQWGDH-INIZCTEOSA-N 1 2 297.377 3.662 20 0 CHADLO CC1(C)Cc2occc2[C@H]([NH2+]C2(c3ncccn3)CCC2)C1 ZINC000883313446 1125393798 /nfs/dbraw/zinc/39/37/98/1125393798.db2.gz DFPNYJMFMALSOE-CQSZACIVSA-N 1 2 297.402 3.752 20 0 CHADLO C[C@H]([NH2+]C1(c2ncccn2)CCC1)c1cc2ccccc2o1 ZINC000883314225 1125394313 /nfs/dbraw/zinc/39/43/13/1125394313.db2.gz YRAOSYSOOMEKJV-ZDUSSCGKSA-N 1 2 293.370 3.953 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccccc2)nc2ccccc12 ZINC000532460649 1125394448 /nfs/dbraw/zinc/39/44/48/1125394448.db2.gz QXYBBTXJMCFNOQ-UHFFFAOYSA-N 1 2 277.371 3.570 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccccc2)nc2ccccc12 ZINC000532460649 1125394450 /nfs/dbraw/zinc/39/44/50/1125394450.db2.gz QXYBBTXJMCFNOQ-UHFFFAOYSA-N 1 2 277.371 3.570 20 0 CHADLO Cc1nc([C@@H](C)Nc2c[nH+]c(C)c(C)c2)c(C)s1 ZINC000883342335 1125398393 /nfs/dbraw/zinc/39/83/93/1125398393.db2.gz SUWLEUKNMDXDJA-SNVBAGLBSA-N 1 2 261.394 3.945 20 0 CHADLO Cc1cc(N[C@H]2CCOC3(CCCC3)C2)c[nH+]c1C ZINC000883342567 1125398651 /nfs/dbraw/zinc/39/86/51/1125398651.db2.gz CVFUZKOBJWMIJQ-AWEZNQCLSA-N 1 2 260.381 3.602 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccnc2Cl)c[nH+]c1C ZINC000883342379 1125398698 /nfs/dbraw/zinc/39/86/98/1125398698.db2.gz UTYZDDZKCLDJOB-NSHDSACASA-N 1 2 261.756 3.920 20 0 CHADLO CC[N@H+](Cc1cscn1)[C@H](C)c1cccc(OC)c1 ZINC000171064772 1125401565 /nfs/dbraw/zinc/40/15/65/1125401565.db2.gz IHFMJZPMUDTKCK-GFCCVEGCSA-N 1 2 276.405 3.735 20 0 CHADLO CC[N@@H+](Cc1cscn1)[C@H](C)c1cccc(OC)c1 ZINC000171064772 1125401566 /nfs/dbraw/zinc/40/15/66/1125401566.db2.gz IHFMJZPMUDTKCK-GFCCVEGCSA-N 1 2 276.405 3.735 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@H]1F)c1ccccn1 ZINC000883376082 1125404006 /nfs/dbraw/zinc/40/40/06/1125404006.db2.gz DYXUBGNILLFGLK-MJEQTWJJSA-N 1 2 270.351 3.758 20 0 CHADLO COCc1ccc(C[NH2+][C@@H]2c3ccccc3CC[C@@H]2F)o1 ZINC000883383251 1125407483 /nfs/dbraw/zinc/40/74/83/1125407483.db2.gz WBLLBKINABGUKD-DLBZAZTESA-N 1 2 289.350 3.541 20 0 CHADLO Cc1ccc2c(cccc2Nc2cccn3cc[nH+]c23)n1 ZINC001212795051 1125416025 /nfs/dbraw/zinc/41/60/25/1125416025.db2.gz LJMHBJFYWZAENU-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC000035040246 1125422835 /nfs/dbraw/zinc/42/28/35/1125422835.db2.gz FESRQRDRHIJDDS-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO CC[C@H](C)[C@@H]([NH2+]Cc1cccc2ccccc21)C(=O)OC ZINC000035043286 1125423121 /nfs/dbraw/zinc/42/31/21/1125423121.db2.gz RBTNINYAFHYYQY-SUMWQHHRSA-N 1 2 285.387 3.517 20 0 CHADLO CCN(CC)c1ccc(NCc2ccsc2)c[nH+]1 ZINC000037003373 1125427255 /nfs/dbraw/zinc/42/72/55/1125427255.db2.gz YCIVQTWJNRRNAL-UHFFFAOYSA-N 1 2 261.394 3.601 20 0 CHADLO CCCn1cc(C[N@@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000123825961 1125432605 /nfs/dbraw/zinc/43/26/05/1125432605.db2.gz BLWPDIHFEOYSEZ-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO CCCn1cc(C[N@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000123825961 1125432607 /nfs/dbraw/zinc/43/26/07/1125432607.db2.gz BLWPDIHFEOYSEZ-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO Cc1nc(C[N@H+](C)CCOc2ccc(Cl)cc2)cs1 ZINC000042366546 1125442123 /nfs/dbraw/zinc/44/21/23/1125442123.db2.gz DKUJMSPPRDVQEL-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1nc(C[N@@H+](C)CCOc2ccc(Cl)cc2)cs1 ZINC000042366546 1125442124 /nfs/dbraw/zinc/44/21/24/1125442124.db2.gz DKUJMSPPRDVQEL-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1nc(C[N@H+](C)C/C=C/c2ccc(F)cc2)cs1 ZINC000125046867 1125444221 /nfs/dbraw/zinc/44/42/21/1125444221.db2.gz IBNDEFIPABOKKN-ONEGZZNKSA-N 1 2 276.380 3.736 20 0 CHADLO Cc1nc(C[N@@H+](C)C/C=C/c2ccc(F)cc2)cs1 ZINC000125046867 1125444223 /nfs/dbraw/zinc/44/42/23/1125444223.db2.gz IBNDEFIPABOKKN-ONEGZZNKSA-N 1 2 276.380 3.736 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@H](C)[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC001126725926 1125449925 /nfs/dbraw/zinc/44/99/25/1125449925.db2.gz JIYZQBLNGKLOBC-LSDHHAIUSA-N 1 2 296.414 3.929 20 0 CHADLO CCCc1ccc(C[N@H+](C)Cc2nccs2)s1 ZINC000621717547 1129185563 /nfs/dbraw/zinc/18/55/63/1129185563.db2.gz HHVQQZXLPMKAFH-UHFFFAOYSA-N 1 2 266.435 3.789 20 0 CHADLO CCCc1ccc(C[N@@H+](C)Cc2nccs2)s1 ZINC000621717547 1129185564 /nfs/dbraw/zinc/18/55/64/1129185564.db2.gz HHVQQZXLPMKAFH-UHFFFAOYSA-N 1 2 266.435 3.789 20 0 CHADLO CC[N@H+](Cc1ccc(F)c(C)n1)Cc1ccccc1F ZINC001237819556 1129199817 /nfs/dbraw/zinc/19/98/17/1129199817.db2.gz KMXUYLRRYUFJHV-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccc(F)c(C)n1)Cc1ccccc1F ZINC001237819556 1129199819 /nfs/dbraw/zinc/19/98/19/1129199819.db2.gz KMXUYLRRYUFJHV-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CCCCc1ccc(C[N@@H+]2CCC(=O)C[C@@H]2C)s1 ZINC001138753625 1129214364 /nfs/dbraw/zinc/21/43/64/1129214364.db2.gz YWAVWDIBRUUTPZ-LBPRGKRZSA-N 1 2 265.422 3.644 20 0 CHADLO CCCCc1ccc(C[N@H+]2CCC(=O)C[C@@H]2C)s1 ZINC001138753625 1129214367 /nfs/dbraw/zinc/21/43/67/1129214367.db2.gz YWAVWDIBRUUTPZ-LBPRGKRZSA-N 1 2 265.422 3.644 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000638637226 1129264472 /nfs/dbraw/zinc/26/44/72/1129264472.db2.gz PAWSHNLTTKDZAI-UHFFFAOYSA-N 1 2 292.766 3.504 20 0 CHADLO CC[C@@H]1CCC[C@@H]1[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000638743870 1129267892 /nfs/dbraw/zinc/26/78/92/1129267892.db2.gz PFTCBTOBWOSFIZ-SNPRPXQTSA-N 1 2 276.428 3.594 20 0 CHADLO C[N@@H+]1CCC[C@H]1C(=O)Oc1ccc2oc3ccccc3c2c1 ZINC000733870843 1129271493 /nfs/dbraw/zinc/27/14/93/1129271493.db2.gz YZLDKSGKEOWUPV-HNNXBMFYSA-N 1 2 295.338 3.586 20 0 CHADLO C[N@H+]1CCC[C@H]1C(=O)Oc1ccc2oc3ccccc3c2c1 ZINC000733870843 1129271494 /nfs/dbraw/zinc/27/14/94/1129271494.db2.gz YZLDKSGKEOWUPV-HNNXBMFYSA-N 1 2 295.338 3.586 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2CCSc3ccccc32)no1 ZINC000282680301 1129279283 /nfs/dbraw/zinc/27/92/83/1129279283.db2.gz JQZLXOJSRQIZSG-YPMHNXCESA-N 1 2 274.389 3.871 20 0 CHADLO Cc1cc(C)cc(-c2c[nH+]c(N3CCOCC3)cc2C)c1 ZINC001239523371 1131363256 /nfs/dbraw/zinc/36/32/56/1131363256.db2.gz DRLZIDFRTCACNJ-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO CC1(O)CC[NH+](Cc2csc(C3CCCCC3)n2)CC1 ZINC000177338157 1129282623 /nfs/dbraw/zinc/28/26/23/1129282623.db2.gz HGHGRFRHYFMUDB-UHFFFAOYSA-N 1 2 294.464 3.538 20 0 CHADLO c1cc(C[NH2+]Cc2ccc(OCc3ccccc3)cc2)no1 ZINC000378930694 1129284292 /nfs/dbraw/zinc/28/42/92/1129284292.db2.gz XTBLBETURMDZMK-UHFFFAOYSA-N 1 2 294.354 3.543 20 0 CHADLO COC[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1ccns1 ZINC000639130040 1129286043 /nfs/dbraw/zinc/28/60/43/1129286043.db2.gz BDKQLCCRSAPTJD-CQSZACIVSA-N 1 2 296.823 3.616 20 0 CHADLO COC[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1ccns1 ZINC000639130040 1129286046 /nfs/dbraw/zinc/28/60/46/1129286046.db2.gz BDKQLCCRSAPTJD-CQSZACIVSA-N 1 2 296.823 3.616 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nnc[nH]1)C1CCCCC1)c1ccsc1 ZINC000639142170 1129286278 /nfs/dbraw/zinc/28/62/78/1129286278.db2.gz VUFCIQQDMAQYDG-SMDDNHRTSA-N 1 2 290.436 3.838 20 0 CHADLO CSc1cccc(F)c1C[NH2+]Cc1cc(C2CC2)no1 ZINC000639156970 1129287457 /nfs/dbraw/zinc/28/74/57/1129287457.db2.gz JRSAXOINQGFYGC-UHFFFAOYSA-N 1 2 292.379 3.703 20 0 CHADLO COC1CC[NH+](Cc2cc(Cl)cc(Cl)c2F)CC1 ZINC000639432740 1129313301 /nfs/dbraw/zinc/31/33/01/1129313301.db2.gz MNXJVSFQDOGPKX-UHFFFAOYSA-N 1 2 292.181 3.743 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1nc(C2CCC2)no1 ZINC000639458818 1129317001 /nfs/dbraw/zinc/31/70/01/1129317001.db2.gz ZKEMEAIRKHDRHK-CYBMUJFWSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1nc(C2CCC2)no1 ZINC000639458818 1129317005 /nfs/dbraw/zinc/31/70/05/1129317005.db2.gz ZKEMEAIRKHDRHK-CYBMUJFWSA-N 1 2 285.391 3.839 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C2CCC2)no1)c1ccccc1 ZINC000639561820 1129324127 /nfs/dbraw/zinc/32/41/27/1129324127.db2.gz JKHGOQWGPXZKOJ-AWEZNQCLSA-N 1 2 271.364 3.578 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(OC2CCCCC2)cc1 ZINC000734848460 1129324495 /nfs/dbraw/zinc/32/44/95/1129324495.db2.gz ICEXFCYNQUYITE-UHFFFAOYSA-N 1 2 285.391 3.744 20 0 CHADLO CC(C)(C)c1csc(C[N@@H+]2CCC[C@@H]2c2cn[nH]c2)n1 ZINC000639777361 1129332649 /nfs/dbraw/zinc/33/26/49/1129332649.db2.gz CELPTRJXUUPIBO-GFCCVEGCSA-N 1 2 290.436 3.501 20 0 CHADLO CC(C)(C)c1csc(C[N@H+]2CCC[C@@H]2c2cn[nH]c2)n1 ZINC000639777361 1129332652 /nfs/dbraw/zinc/33/26/52/1129332652.db2.gz CELPTRJXUUPIBO-GFCCVEGCSA-N 1 2 290.436 3.501 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2cn[nH]c2)cc1Cl ZINC000639776045 1129332966 /nfs/dbraw/zinc/33/29/66/1129332966.db2.gz JPCUUXPNSRYRQD-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2cn[nH]c2)cc1Cl ZINC000639776045 1129332971 /nfs/dbraw/zinc/33/29/71/1129332971.db2.gz JPCUUXPNSRYRQD-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO CCc1ccc(CO[NH+]=C(N)Cc2cccs2)cc1 ZINC000735303573 1129338883 /nfs/dbraw/zinc/33/88/83/1129338883.db2.gz WBBNKPWQLBWJLJ-UHFFFAOYSA-N 1 2 274.389 3.552 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc3c(s2)CCC3)c(Cl)c1 ZINC000719540945 1129343732 /nfs/dbraw/zinc/34/37/32/1129343732.db2.gz TUUJLWQBGKZDAT-UHFFFAOYSA-N 1 2 292.835 3.883 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2cc(C(C)(C)C)on2)s1 ZINC000639996929 1129344168 /nfs/dbraw/zinc/34/41/68/1129344168.db2.gz SRPNTDZWMQVYQN-VIFPVBQESA-N 1 2 279.409 3.588 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCCC3(CC3)CC2)n1 ZINC000626114551 1129349380 /nfs/dbraw/zinc/34/93/80/1129349380.db2.gz KLAWDZQXSMKTLS-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCCC3(CC3)CC2)n1 ZINC000626114551 1129349384 /nfs/dbraw/zinc/34/93/84/1129349384.db2.gz KLAWDZQXSMKTLS-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO Nc1cn2ccc(-c3ccc(C(F)(F)F)cc3)cc2[nH+]1 ZINC001240820129 1129360898 /nfs/dbraw/zinc/36/08/98/1129360898.db2.gz AYRJVSZBQPOCJH-UHFFFAOYSA-N 1 2 277.249 3.602 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1cccc(Cl)c1F ZINC000091812854 1129370640 /nfs/dbraw/zinc/37/06/40/1129370640.db2.gz PFAWFTMTAHMENJ-UHFFFAOYSA-N 1 2 282.746 3.716 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1cccc(Cl)c1F ZINC000091812854 1129370644 /nfs/dbraw/zinc/37/06/44/1129370644.db2.gz PFAWFTMTAHMENJ-UHFFFAOYSA-N 1 2 282.746 3.716 20 0 CHADLO OCc1cc[nH+]c(NCc2ccccc2OC2CCCC2)c1 ZINC000641302576 1129378327 /nfs/dbraw/zinc/37/83/27/1129378327.db2.gz QMTOOHOQFXPBKU-UHFFFAOYSA-N 1 2 298.386 3.507 20 0 CHADLO CC(C)Oc1cccc([C@H](C)Nc2cc(CO)cc[nH+]2)c1 ZINC000641296080 1129378950 /nfs/dbraw/zinc/37/89/50/1129378950.db2.gz QKVPVGJXNVIHQO-ZDUSSCGKSA-N 1 2 286.375 3.534 20 0 CHADLO COc1ccccc1[C@H]1CCCN1c1cc[nH+]cc1F ZINC000641340324 1129379752 /nfs/dbraw/zinc/37/97/52/1129379752.db2.gz WWANMAFSNQJZPB-CQSZACIVSA-N 1 2 272.323 3.571 20 0 CHADLO C[N@H+](Cn1nc(C2CC2)n(C2CC2)c1=S)CC(C)(C)C ZINC000738100253 1129390070 /nfs/dbraw/zinc/39/00/70/1129390070.db2.gz SWXNEWNJVRIXGC-UHFFFAOYSA-N 1 2 294.468 3.562 20 0 CHADLO C[N@@H+](Cn1nc(C2CC2)n(C2CC2)c1=S)CC(C)(C)C ZINC000738100253 1129390074 /nfs/dbraw/zinc/39/00/74/1129390074.db2.gz SWXNEWNJVRIXGC-UHFFFAOYSA-N 1 2 294.468 3.562 20 0 CHADLO CSc1ccc(C[NH2+][C@@H]2COc3ccc(C)cc32)o1 ZINC000641737938 1129390187 /nfs/dbraw/zinc/39/01/87/1129390187.db2.gz AYTCUFSUUCGXJZ-CYBMUJFWSA-N 1 2 275.373 3.533 20 0 CHADLO C[C@@H]([NH2+]CCCc1cccc(Cl)c1)C(=O)OC(C)(C)C ZINC000738416553 1129393920 /nfs/dbraw/zinc/39/39/20/1129393920.db2.gz SPNSIZDOGPBLDQ-GFCCVEGCSA-N 1 2 297.826 3.592 20 0 CHADLO Cc1nnc(C[NH2+]C(C)(C)c2ccc3ccccc3c2)o1 ZINC000626115293 1129401952 /nfs/dbraw/zinc/40/19/52/1129401952.db2.gz NSWMGNQFZPZOKU-UHFFFAOYSA-N 1 2 281.359 3.556 20 0 CHADLO C[C@H]1CCCC[C@@H]1CNc1ccc(Cl)c(N)[nH+]1 ZINC001155078415 1129419116 /nfs/dbraw/zinc/41/91/16/1129419116.db2.gz RYMMZSVBMAUJPO-VHSXEESVSA-N 1 2 253.777 3.555 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](c3ccsc3)C2)c(C)o1 ZINC000093768489 1129428149 /nfs/dbraw/zinc/42/81/49/1129428149.db2.gz QPOLNBVQIAQJRT-OAHLLOKOSA-N 1 2 277.389 3.531 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](c3ccsc3)C2)c(C)o1 ZINC000093768489 1129428151 /nfs/dbraw/zinc/42/81/51/1129428151.db2.gz QPOLNBVQIAQJRT-OAHLLOKOSA-N 1 2 277.389 3.531 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)OCc1ccccc1C)c1ccccc1 ZINC000741151187 1129430019 /nfs/dbraw/zinc/43/00/19/1129430019.db2.gz XNEPTGCDSOFWEK-SFHVURJKSA-N 1 2 297.398 3.731 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)OCc1ccccc1C)c1ccccc1 ZINC000741151187 1129430021 /nfs/dbraw/zinc/43/00/21/1129430021.db2.gz XNEPTGCDSOFWEK-SFHVURJKSA-N 1 2 297.398 3.731 20 0 CHADLO Cn1ccc2c[nH+]c(-c3ccc(F)c(C(F)(F)F)c3)nc21 ZINC001241091720 1129437590 /nfs/dbraw/zinc/43/75/90/1129437590.db2.gz BXPSOXHPSNYKCN-UHFFFAOYSA-N 1 2 295.239 3.793 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2C(=O)[C@@H](C)C2CCCC2)[nH+]c1C ZINC001155173827 1129440858 /nfs/dbraw/zinc/44/08/58/1129440858.db2.gz IDDCVTBVPIYNSA-XHDPSFHLSA-N 1 2 289.423 3.516 20 0 CHADLO Cc1n[nH]cc1[C@@H](C)[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000180020877 1129444317 /nfs/dbraw/zinc/44/43/17/1129444317.db2.gz SWKAWMWGPICUSV-PXAZEXFGSA-N 1 2 281.359 3.751 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](c1ccccc1)C1CC1 ZINC000180032967 1129447259 /nfs/dbraw/zinc/44/72/59/1129447259.db2.gz WTHARVWQLXPFLX-BDJLRTHQSA-N 1 2 255.365 3.520 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]CCOc1ccc(C(C)C)cc1 ZINC000180288240 1129467240 /nfs/dbraw/zinc/46/72/40/1129467240.db2.gz BMAPRURSPQOQDA-CYBMUJFWSA-N 1 2 287.407 3.571 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)C[C@@H]1C ZINC000644544337 1129469496 /nfs/dbraw/zinc/46/94/96/1129469496.db2.gz ZYRXTALUUDOKHW-VRKREXBASA-N 1 2 290.455 3.840 20 0 CHADLO Cc1nc(N2CCC(c3cc4ccccc4[nH]3)CC2)cc[nH+]1 ZINC000742397666 1129474906 /nfs/dbraw/zinc/47/49/06/1129474906.db2.gz KOHKPUMFEYXRSX-UHFFFAOYSA-N 1 2 292.386 3.650 20 0 CHADLO Cc1nc(NCc2ccc(-c3ccc(F)cc3)o2)cc[nH+]1 ZINC000742406867 1129476647 /nfs/dbraw/zinc/47/66/47/1129476647.db2.gz VAGVXHQAHRJGRF-UHFFFAOYSA-N 1 2 283.306 3.796 20 0 CHADLO FC(F)c1noc(C[NH2+][C@@H](CC2CC2)c2ccccc2)n1 ZINC000644928500 1129480997 /nfs/dbraw/zinc/48/09/97/1129480997.db2.gz QVECIUGQTKBUKP-LBPRGKRZSA-N 1 2 293.317 3.638 20 0 CHADLO CCCCCC[C@](C)(CC)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000742543846 1129486342 /nfs/dbraw/zinc/48/63/42/1129486342.db2.gz KPAZJVLGDFVXFQ-WBVHZDCISA-N 1 2 293.455 3.775 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+]Cc2cccc(O)c2Cl)cn1 ZINC000645155119 1129489083 /nfs/dbraw/zinc/48/90/83/1129489083.db2.gz FADOYGDQACQUDP-LLVKDONJSA-N 1 2 293.798 3.674 20 0 CHADLO C[C@@H](CNc1cc(C(F)(F)F)cc[nH+]1)CC(F)F ZINC000645304836 1129494151 /nfs/dbraw/zinc/49/41/51/1129494151.db2.gz KSVNOLHPVVVSGO-SSDOTTSWSA-N 1 2 268.229 3.804 20 0 CHADLO Cc1cc(C)c(-c2ccc(C[NH+]3CCOCC3)cn2)c(C)c1 ZINC001239546211 1131378406 /nfs/dbraw/zinc/37/84/06/1131378406.db2.gz VKUSBIHPXZTSME-UHFFFAOYSA-N 1 2 296.414 3.506 20 0 CHADLO Oc1ccc(F)c(-c2ccc(-n3cc[nH+]c3)cc2)c1F ZINC001241292453 1129510742 /nfs/dbraw/zinc/51/07/42/1129510742.db2.gz FYTALWIRHZBMQC-UHFFFAOYSA-N 1 2 272.254 3.523 20 0 CHADLO CCCC[C@@](C)(F)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000822417938 1131379352 /nfs/dbraw/zinc/37/93/52/1131379352.db2.gz WMVJKQYFXCFNMW-MRXNPFEDSA-N 1 2 289.354 3.729 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1conc1Cc1ccccc1 ZINC000646071562 1129521008 /nfs/dbraw/zinc/52/10/08/1129521008.db2.gz URCPWYACYAEJCH-UHFFFAOYSA-N 1 2 296.370 3.881 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1conc1Cc1ccccc1 ZINC000646071562 1129521010 /nfs/dbraw/zinc/52/10/10/1129521010.db2.gz URCPWYACYAEJCH-UHFFFAOYSA-N 1 2 296.370 3.881 20 0 CHADLO Cc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC001241354098 1129523961 /nfs/dbraw/zinc/52/39/61/1129523961.db2.gz XEPGTSVSBCMEON-UHFFFAOYSA-N 1 2 252.292 3.987 20 0 CHADLO Fc1cccc(Cl)c1[C@H]1C[N@@H+](C2CCCC2)CCO1 ZINC000646147246 1129527091 /nfs/dbraw/zinc/52/70/91/1129527091.db2.gz XXZPZZNNQZIGPF-CQSZACIVSA-N 1 2 283.774 3.795 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCCC[C@@H]1C1CCC1 ZINC000646391984 1129534630 /nfs/dbraw/zinc/53/46/30/1129534630.db2.gz SGANXUDTVNYZRG-OAHLLOKOSA-N 1 2 298.390 3.521 20 0 CHADLO FCC1([NH2+]Cc2csc(-c3cccs3)n2)CCC1 ZINC000647254761 1129561391 /nfs/dbraw/zinc/56/13/91/1129561391.db2.gz RTUJFSWCGANDMH-UHFFFAOYSA-N 1 2 282.409 3.853 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@H]2C[C@H]2C)o1)c1cc2n(n1)CCCC2 ZINC000647283459 1129564324 /nfs/dbraw/zinc/56/43/24/1129564324.db2.gz AFSBXVWRPQJRNV-WWGRRREGSA-N 1 2 299.418 3.787 20 0 CHADLO C[C@H]([NH2+]C1(c2ccccc2F)CC1)c1cc2n(n1)CCCC2 ZINC000647297020 1129565742 /nfs/dbraw/zinc/56/57/42/1129565742.db2.gz IEDHOMBBHLIQPR-ZDUSSCGKSA-N 1 2 299.393 3.698 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnn(-c3ccccc3C)c2)o1 ZINC000647999654 1129590949 /nfs/dbraw/zinc/59/09/49/1129590949.db2.gz VMBFLOLQQRWFCD-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnn(-c3ccccc3C)c2)o1 ZINC000647999654 1129590952 /nfs/dbraw/zinc/59/09/52/1129590952.db2.gz VMBFLOLQQRWFCD-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO FC(F)(Br)C[NH2+]CC1CCCCCC1 ZINC000379646230 1129596105 /nfs/dbraw/zinc/59/61/05/1129596105.db2.gz YHNPHUIBTLVEJC-UHFFFAOYSA-N 1 2 270.161 3.534 20 0 CHADLO CCCC[C@H]([NH2+]CC(F)F)c1ccc(OC)cc1 ZINC000182151733 1129599001 /nfs/dbraw/zinc/59/90/01/1129599001.db2.gz RPHJPPBYDVEMJM-ZDUSSCGKSA-N 1 2 257.324 3.781 20 0 CHADLO COc1nscc1C[N@@H+]1CCC[C@H]1c1ccsc1 ZINC001459313147 1129629403 /nfs/dbraw/zinc/62/94/03/1129629403.db2.gz MDSVWUUUWYWXCR-LBPRGKRZSA-N 1 2 280.418 3.550 20 0 CHADLO COc1nscc1C[N@H+]1CCC[C@H]1c1ccsc1 ZINC001459313147 1129629405 /nfs/dbraw/zinc/62/94/05/1129629405.db2.gz MDSVWUUUWYWXCR-LBPRGKRZSA-N 1 2 280.418 3.550 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+]Cc1csc(C)n1 ZINC000041010639 1129634420 /nfs/dbraw/zinc/63/44/20/1129634420.db2.gz MYHFCGQXFXVBKP-LLVKDONJSA-N 1 2 276.405 3.619 20 0 CHADLO CCc1nnc(Nc2ccc([NH+](CC)CC)cc2)s1 ZINC001212968109 1129636921 /nfs/dbraw/zinc/63/69/21/1129636921.db2.gz KEHCYIMXSXFWJL-UHFFFAOYSA-N 1 2 276.409 3.690 20 0 CHADLO Cc1cc(-c2ccc(C3OCCO3)c(F)c2)cc(C)[nH+]1 ZINC001241992218 1129641803 /nfs/dbraw/zinc/64/18/03/1129641803.db2.gz IWRFFETYUARPOI-UHFFFAOYSA-N 1 2 273.307 3.550 20 0 CHADLO Cc1cc(OCc2noc3c2CCCC3)c2ccccc2[nH+]1 ZINC000192191591 1129642673 /nfs/dbraw/zinc/64/26/73/1129642673.db2.gz YNKXLGODXSKSEA-UHFFFAOYSA-N 1 2 294.354 3.989 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@@H](C)c2c(F)cccc2Cl)o1 ZINC000389140279 1129655981 /nfs/dbraw/zinc/65/59/81/1129655981.db2.gz SALCFYZUTAENOZ-VIFPVBQESA-N 1 2 282.746 3.935 20 0 CHADLO C[C@@H](CC(=O)N[C@@H](c1ccccc1)C(C)(C)C)n1cc[nH+]c1 ZINC000649606762 1129662492 /nfs/dbraw/zinc/66/24/92/1129662492.db2.gz QTSWAYZHJRQLNF-YOEHRIQHSA-N 1 2 299.418 3.738 20 0 CHADLO Cc1ccc(C(C)(C)Nc2ccn3cc(N)[nH+]c3c2)cc1 ZINC001168807665 1129673618 /nfs/dbraw/zinc/67/36/18/1129673618.db2.gz NYFLZOCCRUBHDS-UHFFFAOYSA-N 1 2 280.375 3.572 20 0 CHADLO CCOc1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1 ZINC001239565152 1131389932 /nfs/dbraw/zinc/38/99/32/1131389932.db2.gz WEGPNNICCLTPAM-UHFFFAOYSA-N 1 2 278.355 3.997 20 0 CHADLO COc1c(C)[nH+]c(C2CC2)nc1N[C@@H](C)c1ccc(C)cn1 ZINC001168852814 1129676637 /nfs/dbraw/zinc/67/66/37/1129676637.db2.gz VVTJWANNFGXORW-NSHDSACASA-N 1 2 298.390 3.548 20 0 CHADLO CCCCc1noc([C@@H](C)[NH+]2CCC3(CC3(F)F)CC2)n1 ZINC000649776861 1129677035 /nfs/dbraw/zinc/67/70/35/1129677035.db2.gz ZXNIOQVTXOCBDZ-LLVKDONJSA-N 1 2 299.365 3.594 20 0 CHADLO CCC(CC)N(CC(C)C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000649801833 1129678542 /nfs/dbraw/zinc/67/85/42/1129678542.db2.gz ACUQWPFBFOKZMY-AWEZNQCLSA-N 1 2 279.428 3.507 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2oc3c(cccc3C)c2C)nn1C ZINC000649819933 1129679717 /nfs/dbraw/zinc/67/97/17/1129679717.db2.gz MZTMGFRTBQQEPJ-UHFFFAOYSA-N 1 2 297.402 3.724 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2oc3c(cccc3C)c2C)nn1C ZINC000649819933 1129679719 /nfs/dbraw/zinc/67/97/19/1129679719.db2.gz MZTMGFRTBQQEPJ-UHFFFAOYSA-N 1 2 297.402 3.724 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2oc3c(cccc3C)c2C)no1 ZINC000649818956 1129679815 /nfs/dbraw/zinc/67/98/15/1129679815.db2.gz HEFLUMGRBFVCRB-UHFFFAOYSA-N 1 2 284.359 3.978 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2oc3c(cccc3C)c2C)no1 ZINC000649818956 1129679816 /nfs/dbraw/zinc/67/98/16/1129679816.db2.gz HEFLUMGRBFVCRB-UHFFFAOYSA-N 1 2 284.359 3.978 20 0 CHADLO COc1cccc2c(NCCC3CCC3)cc[nH+]c12 ZINC001168889120 1129679988 /nfs/dbraw/zinc/67/99/88/1129679988.db2.gz CDBOJAQHTYDBFI-UHFFFAOYSA-N 1 2 256.349 3.846 20 0 CHADLO Cc1ccc([C@@H](C)Nc2ccc(-n3cccc3)c[nH+]2)nc1 ZINC001168850119 1129680286 /nfs/dbraw/zinc/68/02/86/1129680286.db2.gz AGVQVQFYOILULL-CQSZACIVSA-N 1 2 278.359 3.749 20 0 CHADLO c1cc2nc(NCCc3cn4ccccc4[nH+]3)ccc2s1 ZINC001169000109 1129688594 /nfs/dbraw/zinc/68/85/94/1129688594.db2.gz BSZYGDAJPHMPSR-UHFFFAOYSA-N 1 2 294.383 3.599 20 0 CHADLO CC(C)(C)CC(C)(C)Nc1cc(N2CCCC2)nc[nH+]1 ZINC001169052785 1129692537 /nfs/dbraw/zinc/69/25/37/1129692537.db2.gz SJFKBANYBYQPCA-UHFFFAOYSA-N 1 2 276.428 3.704 20 0 CHADLO CC[C@@H]1C[C@H]1C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000822732715 1131396118 /nfs/dbraw/zinc/39/61/18/1131396118.db2.gz YNJBSJPDDSXFQI-IUODEOHRSA-N 1 2 283.375 3.678 20 0 CHADLO Cc1c[nH+]cc(NCc2c(=O)[nH]c(C)c3c2CCCC3)c1C ZINC001169403802 1129723446 /nfs/dbraw/zinc/72/34/46/1129723446.db2.gz ZGSQVZZMDMWDQR-UHFFFAOYSA-N 1 2 297.402 3.598 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@@H]1C[C@H]1C1CCCC1 ZINC000651082695 1129729098 /nfs/dbraw/zinc/72/90/98/1129729098.db2.gz YHIXRSXKPPBTRK-LSDHHAIUSA-N 1 2 295.386 3.637 20 0 CHADLO CON(Cc1ccc(-n2cc[nH+]c2)cc1C)CC(C)(C)C ZINC000796470110 1129736209 /nfs/dbraw/zinc/73/62/09/1129736209.db2.gz CLNADZYIGMZMLX-UHFFFAOYSA-N 1 2 287.407 3.590 20 0 CHADLO CCCCC(=O)[C@H](C)Nc1cccc(-c2c[nH+]cn2C)c1 ZINC001169550697 1129736367 /nfs/dbraw/zinc/73/63/67/1129736367.db2.gz HQFYVDXFYYSTCY-ZDUSSCGKSA-N 1 2 285.391 3.647 20 0 CHADLO CCCCC(=O)[C@H](C)Nc1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001169550977 1129736558 /nfs/dbraw/zinc/73/65/58/1129736558.db2.gz LPLDYGODJKDAGD-LBPRGKRZSA-N 1 2 289.354 3.571 20 0 CHADLO CO[C@@H](C)CNc1cc(-c2ccc(C)cc2)cc[nH+]1 ZINC001169772064 1129754926 /nfs/dbraw/zinc/75/49/26/1129754926.db2.gz DYWJMEAQBMHBDM-ZDUSSCGKSA-N 1 2 256.349 3.504 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCC[C@@H](c3cccnc3)C2)c1 ZINC000652068093 1129757209 /nfs/dbraw/zinc/75/72/09/1129757209.db2.gz RGNZYQKRVKYWTH-NVXWUHKLSA-N 1 2 297.402 3.761 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCc3c2cc(F)cc3F)c1 ZINC000652330398 1129763373 /nfs/dbraw/zinc/76/33/73/1129763373.db2.gz FEYBXLTXCZIPFX-OAHLLOKOSA-N 1 2 290.313 3.606 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2C[C@H](OC(C)(C)C)C2(C)C)c1 ZINC000652331788 1129764460 /nfs/dbraw/zinc/76/44/60/1129764460.db2.gz UJHYKRNZVQMPSE-KBPBESRZSA-N 1 2 292.423 3.622 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[NH+]1CCC(F)(F)CC1 ZINC000796552706 1129777828 /nfs/dbraw/zinc/77/78/28/1129777828.db2.gz UHTHSTVOXLNLTB-NSHDSACASA-N 1 2 293.317 3.529 20 0 CHADLO Cc1ccc(C[N@H+](Cc2c(C)nsc2C)C2CC2)o1 ZINC000653497643 1129781995 /nfs/dbraw/zinc/78/19/95/1129781995.db2.gz PFTDOYLJUYOCHZ-UHFFFAOYSA-N 1 2 276.405 3.826 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2c(C)nsc2C)C2CC2)o1 ZINC000653497643 1129781999 /nfs/dbraw/zinc/78/19/99/1129781999.db2.gz PFTDOYLJUYOCHZ-UHFFFAOYSA-N 1 2 276.405 3.826 20 0 CHADLO C[C@@H](CCO)Nc1cc(SCc2ccccc2)cc[nH+]1 ZINC001156452352 1129782231 /nfs/dbraw/zinc/78/22/31/1129782231.db2.gz RYPSBOJQJUUVFI-ZDUSSCGKSA-N 1 2 288.416 3.557 20 0 CHADLO CC1=C(C)C[N@H+](Cc2cc(Cl)nc(Cl)c2)CC1 ZINC000796592155 1129797291 /nfs/dbraw/zinc/79/72/91/1129797291.db2.gz BEBWLWWWRYGTAN-UHFFFAOYSA-N 1 2 271.191 3.931 20 0 CHADLO CC1=C(C)C[N@@H+](Cc2cc(Cl)nc(Cl)c2)CC1 ZINC000796592155 1129797293 /nfs/dbraw/zinc/79/72/93/1129797293.db2.gz BEBWLWWWRYGTAN-UHFFFAOYSA-N 1 2 271.191 3.931 20 0 CHADLO CCc1ccc(-c2cc[nH+]c(N3CCCC3)c2)cc1 ZINC001239589845 1131403281 /nfs/dbraw/zinc/40/32/81/1131403281.db2.gz YEJCAIBNUWVODD-UHFFFAOYSA-N 1 2 252.361 3.911 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1ccoc1)CC3 ZINC000768766901 1129871686 /nfs/dbraw/zinc/87/16/86/1129871686.db2.gz KZZCDWADVIUSQK-UHFFFAOYSA-N 1 2 266.344 3.628 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1ccoc1)CC3 ZINC000768766901 1129871690 /nfs/dbraw/zinc/87/16/90/1129871690.db2.gz KZZCDWADVIUSQK-UHFFFAOYSA-N 1 2 266.344 3.628 20 0 CHADLO Brc1cccc2c1C[N@H+](Cc1ccoc1)CC2 ZINC000769451320 1129911200 /nfs/dbraw/zinc/91/12/00/1129911200.db2.gz LBNXKLCEKXMVCD-UHFFFAOYSA-N 1 2 292.176 3.600 20 0 CHADLO Brc1cccc2c1C[N@@H+](Cc1ccoc1)CC2 ZINC000769451320 1129911202 /nfs/dbraw/zinc/91/12/02/1129911202.db2.gz LBNXKLCEKXMVCD-UHFFFAOYSA-N 1 2 292.176 3.600 20 0 CHADLO C[C@@H]1C[N@H+](Cc2coc(C3CC3)n2)[C@H]1c1ccccc1 ZINC000769539698 1129916129 /nfs/dbraw/zinc/91/61/29/1129916129.db2.gz LYGKBASKBMGRMV-MLGOLLRUSA-N 1 2 268.360 3.745 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2coc(C3CC3)n2)[C@H]1c1ccccc1 ZINC000769539698 1129916130 /nfs/dbraw/zinc/91/61/30/1129916130.db2.gz LYGKBASKBMGRMV-MLGOLLRUSA-N 1 2 268.360 3.745 20 0 CHADLO Cc1cccc(C)c1-c1c[nH+]c(N2CCOCC2)c(C)c1 ZINC001239596958 1131407159 /nfs/dbraw/zinc/40/71/59/1131407159.db2.gz BOGBCCYKCCYZTK-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@@H+]2CCO[C@@H](C(F)F)C2)cc1 ZINC000801563881 1129927391 /nfs/dbraw/zinc/92/73/91/1129927391.db2.gz IMSHBQOPKVNXFM-IUODEOHRSA-N 1 2 283.362 3.837 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@H+]2CCO[C@@H](C(F)F)C2)cc1 ZINC000801563881 1129927393 /nfs/dbraw/zinc/92/73/93/1129927393.db2.gz IMSHBQOPKVNXFM-IUODEOHRSA-N 1 2 283.362 3.837 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2cccnc2Cl)c1 ZINC000769847539 1129929076 /nfs/dbraw/zinc/92/90/76/1129929076.db2.gz VGQMWFRMIRJKJN-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2cccnc2Cl)c1 ZINC000769847539 1129929078 /nfs/dbraw/zinc/92/90/78/1129929078.db2.gz VGQMWFRMIRJKJN-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO CSc1cccc(C)c1NC(=O)c1cccc2[nH+]ccn21 ZINC000770195051 1129941156 /nfs/dbraw/zinc/94/11/56/1129941156.db2.gz UALKVUOWBQREIV-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO COc1ccc([NH2+]C[C@@H]2C[C@@H]2c2ccccc2)c(OC)c1 ZINC000770401718 1129945784 /nfs/dbraw/zinc/94/57/84/1129945784.db2.gz DQOHPWUNNNOJOF-GOEBONIOSA-N 1 2 283.371 3.919 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3ccc(Cl)o3)ccc21 ZINC000770541736 1129950859 /nfs/dbraw/zinc/95/08/59/1129950859.db2.gz VSHNTYKHSCDQCE-UHFFFAOYSA-N 1 2 276.767 3.928 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3ccc(Cl)o3)ccc21 ZINC000770541736 1129950863 /nfs/dbraw/zinc/95/08/63/1129950863.db2.gz VSHNTYKHSCDQCE-UHFFFAOYSA-N 1 2 276.767 3.928 20 0 CHADLO Cc1sc(/C=C\c2c[nH+]c3ccc(C)cn23)cc1C=O ZINC000771861945 1129991787 /nfs/dbraw/zinc/99/17/87/1129991787.db2.gz XRSVZZHTBNUUER-PLNGDYQASA-N 1 2 282.368 3.996 20 0 CHADLO FC1(F)[C@@H]2C[C@@H](COc3cccc(-n4cc[nH+]c4)c3)C[C@@H]21 ZINC000902263813 1129994852 /nfs/dbraw/zinc/99/48/52/1129994852.db2.gz FUUPAQYTMJWACX-UWEBFBLFSA-N 1 2 290.313 3.542 20 0 CHADLO Cc1ccc(CSCCc2ccns2)c(C)[nH+]1 ZINC000902370951 1130004285 /nfs/dbraw/zinc/00/42/85/1130004285.db2.gz VSMAWUQFYIIOQR-UHFFFAOYSA-N 1 2 264.419 3.631 20 0 CHADLO c1cc(-c2ccc3c(c2)OCCO3)cc(N2CCCCC2)[nH+]1 ZINC001239603334 1130007779 /nfs/dbraw/zinc/00/77/79/1130007779.db2.gz RVCVVQKOIJGEBO-UHFFFAOYSA-N 1 2 296.370 3.510 20 0 CHADLO COc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)c(OC)c1 ZINC001239622197 1130016941 /nfs/dbraw/zinc/01/69/41/1130016941.db2.gz ABPKTIBEOZBNJJ-UHFFFAOYSA-N 1 2 294.354 3.771 20 0 CHADLO COc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)c(OC)c1 ZINC001239621716 1130017070 /nfs/dbraw/zinc/01/70/70/1130017070.db2.gz AYIYBJSXVKWASA-UHFFFAOYSA-N 1 2 294.354 3.616 20 0 CHADLO Fc1cc(-c2cc[nH+]c3c2CCN3)cc(F)c1Cl ZINC001242799113 1130052568 /nfs/dbraw/zinc/05/25/68/1130052568.db2.gz USTUAUMCMCSYFW-UHFFFAOYSA-N 1 2 266.678 3.648 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)OCCCc1[nH+]ccn1C ZINC000802789661 1130038943 /nfs/dbraw/zinc/03/89/43/1130038943.db2.gz DIKMMBUGLQMWKC-HNNXBMFYSA-N 1 2 292.423 3.502 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)OCCCc1[nH+]ccn1C ZINC000802789662 1130039368 /nfs/dbraw/zinc/03/93/68/1130039368.db2.gz DIKMMBUGLQMWKC-OAHLLOKOSA-N 1 2 292.423 3.502 20 0 CHADLO Cc1cc(COc2cc(C)[nH+]c3ccc(C)cc32)no1 ZINC000902693100 1130039497 /nfs/dbraw/zinc/03/94/97/1130039497.db2.gz OCLUVJOOSIKORO-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO Cn1cc[nH+]c1CCCOC(=O)CC1CCC(C)(C)CC1 ZINC000802788959 1130039782 /nfs/dbraw/zinc/03/97/82/1130039782.db2.gz UIRCVXCXUORYMF-UHFFFAOYSA-N 1 2 292.423 3.502 20 0 CHADLO COc1ccc(C(C)C)cc1-c1c[nH+]c2c(c1)CCCN2 ZINC001239663361 1130039758 /nfs/dbraw/zinc/03/97/58/1130039758.db2.gz OTVDNJLHZYSILY-UHFFFAOYSA-N 1 2 282.387 3.881 20 0 CHADLO c1cn(-c2ccc(-c3ccc(-c4ccoc4)nn3)cc2)c[nH+]1 ZINC001239689496 1130055981 /nfs/dbraw/zinc/05/59/81/1130055981.db2.gz OMDTXKZFIXWZRS-UHFFFAOYSA-N 1 2 288.310 3.589 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc2[nH]ccc2c1 ZINC001239695880 1130059392 /nfs/dbraw/zinc/05/93/92/1130059392.db2.gz QNZRHEDGAQQPJO-UHFFFAOYSA-N 1 2 263.300 3.720 20 0 CHADLO Fc1cccc(-c2ccc(-n3cc[nH+]c3)cc2)c1F ZINC001239706364 1130063453 /nfs/dbraw/zinc/06/34/53/1130063453.db2.gz VZWJHYAOFJMASF-UHFFFAOYSA-N 1 2 256.255 3.818 20 0 CHADLO Cc1cccc(-c2ccc(C[NH+]3CCOCC3)cc2F)c1C ZINC001239716855 1130070543 /nfs/dbraw/zinc/07/05/43/1130070543.db2.gz YUJPYILAMMYBKO-UHFFFAOYSA-N 1 2 299.389 3.942 20 0 CHADLO CCCn1cc([C@@H](C)[NH2+][C@@H](CC)c2ccccc2F)nn1 ZINC000903038958 1130104213 /nfs/dbraw/zinc/10/42/13/1130104213.db2.gz PHXMDUBAOJIBFR-DOMZBBRYSA-N 1 2 290.386 3.629 20 0 CHADLO COc1ccc2c(c1Cl)[C@H]([NH2+]Cc1ccon1)CCC2 ZINC000903106563 1130113204 /nfs/dbraw/zinc/11/32/04/1130113204.db2.gz ZVLNHKORHSYQDF-GFCCVEGCSA-N 1 2 292.766 3.504 20 0 CHADLO Cc1ccc(C2=CC[N@H+](Cc3nccs3)CC2)cc1 ZINC000749514044 1130113429 /nfs/dbraw/zinc/11/34/29/1130113429.db2.gz HSTWBUJYJJMDRS-UHFFFAOYSA-N 1 2 270.401 3.741 20 0 CHADLO Cc1ccc(C2=CC[N@@H+](Cc3nccs3)CC2)cc1 ZINC000749514044 1130113431 /nfs/dbraw/zinc/11/34/31/1130113431.db2.gz HSTWBUJYJJMDRS-UHFFFAOYSA-N 1 2 270.401 3.741 20 0 CHADLO COc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c(C)c1 ZINC001239829521 1130129866 /nfs/dbraw/zinc/12/98/66/1130129866.db2.gz HFEUYEMGGFCZLU-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CCc2cccc(F)c2[C@H]1C ZINC000787175066 1130137429 /nfs/dbraw/zinc/13/74/29/1130137429.db2.gz HTTSTNABDDQWJF-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CCc2cccc(F)c2[C@H]1C ZINC000787175066 1130137432 /nfs/dbraw/zinc/13/74/32/1130137432.db2.gz HTTSTNABDDQWJF-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+][C@@H]1CCCc2ncccc21 ZINC000903265443 1130139382 /nfs/dbraw/zinc/13/93/82/1130139382.db2.gz DVUBQRSNYZYCBJ-QGPMSJSTSA-N 1 2 298.361 3.520 20 0 CHADLO CCC1(CC)CCCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC000787614743 1130156578 /nfs/dbraw/zinc/15/65/78/1130156578.db2.gz IVGILBLXHRPNJW-UHFFFAOYSA-N 1 2 299.418 3.767 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1ccccc1Cl ZINC000903333699 1130159939 /nfs/dbraw/zinc/15/99/39/1130159939.db2.gz SYCWOZGCIRGDTH-IAQYHMDHSA-N 1 2 287.794 3.932 20 0 CHADLO Cn1cnc(C[NH2+][C@H]2CCc3cc(Cl)cc(Cl)c32)c1 ZINC000903352873 1130165476 /nfs/dbraw/zinc/16/54/76/1130165476.db2.gz YJMSVKUEFDGFDC-ZDUSSCGKSA-N 1 2 296.201 3.504 20 0 CHADLO COCc1cnc2n1CC[N@H+]([C@H](C)CCCC(C)C)[C@H]2C ZINC001170079595 1130166619 /nfs/dbraw/zinc/16/66/19/1130166619.db2.gz DMYWBGAGYYBTDE-CABCVRRESA-N 1 2 293.455 3.621 20 0 CHADLO COCc1cnc2n1CC[N@@H+]([C@H](C)CCCC(C)C)[C@H]2C ZINC001170079595 1130166623 /nfs/dbraw/zinc/16/66/23/1130166623.db2.gz DMYWBGAGYYBTDE-CABCVRRESA-N 1 2 293.455 3.621 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cc(C)ccc1F ZINC001239966661 1130176295 /nfs/dbraw/zinc/17/62/95/1130176295.db2.gz OLGYRQYDMOYZJU-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO c1cn(Cc2ccccc2-c2ccc3cncnc3c2)c[nH+]1 ZINC001239991983 1130183896 /nfs/dbraw/zinc/18/38/96/1130183896.db2.gz QXWMJVYZYKRFDP-UHFFFAOYSA-N 1 2 286.338 3.542 20 0 CHADLO CC(C)(C)NC(=O)c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001239992259 1130184088 /nfs/dbraw/zinc/18/40/88/1130184088.db2.gz YTTWYWXVOPYMLC-UHFFFAOYSA-N 1 2 293.370 3.530 20 0 CHADLO COC(=O)[C@@H]1CCCCC[N@@H+]1Cc1cccc(Cl)c1C ZINC001462072836 1130186804 /nfs/dbraw/zinc/18/68/04/1130186804.db2.gz YCGAKOFQEKEASZ-HNNXBMFYSA-N 1 2 295.810 3.566 20 0 CHADLO COC(=O)[C@@H]1CCCCC[N@H+]1Cc1cccc(Cl)c1C ZINC001462072836 1130186806 /nfs/dbraw/zinc/18/68/06/1130186806.db2.gz YCGAKOFQEKEASZ-HNNXBMFYSA-N 1 2 295.810 3.566 20 0 CHADLO CCSCC[C@H](C)N(C)C(=O)c1c(C)cc(C)[nH+]c1C ZINC000903674736 1130188664 /nfs/dbraw/zinc/18/86/64/1130188664.db2.gz LVRFFJBGELAJTP-ZDUSSCGKSA-N 1 2 294.464 3.611 20 0 CHADLO c1cn2cccc(-c3ccc(N4CCCCC4)cc3)c2[nH+]1 ZINC001240012416 1130192228 /nfs/dbraw/zinc/19/22/28/1130192228.db2.gz GLGYSKANBAWCHR-UHFFFAOYSA-N 1 2 277.371 3.992 20 0 CHADLO c1cn2c(cccc2-c2ccc(N3CCCCC3)cc2)[nH+]1 ZINC001240012959 1130193058 /nfs/dbraw/zinc/19/30/58/1130193058.db2.gz QVWKRKSXOCLOCP-UHFFFAOYSA-N 1 2 277.371 3.992 20 0 CHADLO Fc1cc2[nH]ccc2c(-c2cccc3[nH+]ccn32)c1 ZINC001240033059 1130200773 /nfs/dbraw/zinc/20/07/73/1130200773.db2.gz ORRQCEKMGWRUGP-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO FC(F)(F)OCCNc1[nH+]ccc2cc(Cl)ccc21 ZINC001157387630 1130209993 /nfs/dbraw/zinc/20/99/93/1130209993.db2.gz VHIOYZGLUNYMOZ-UHFFFAOYSA-N 1 2 290.672 3.837 20 0 CHADLO COc1ccc(F)c(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001240117155 1130214259 /nfs/dbraw/zinc/21/42/59/1130214259.db2.gz JYDPRXMNHXXRKD-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccc3cccnc3c1)C2 ZINC001139167641 1130220840 /nfs/dbraw/zinc/22/08/40/1130220840.db2.gz BNZFMTASLJBBJG-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccc3cccnc3c1)C2 ZINC001139167641 1130220844 /nfs/dbraw/zinc/22/08/44/1130220844.db2.gz BNZFMTASLJBBJG-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO CSc1nc2sccc2c(-c2ccc3[nH+]ccn3c2)n1 ZINC001240214927 1130234015 /nfs/dbraw/zinc/23/40/15/1130234015.db2.gz VPEONPYNDXLZOB-UHFFFAOYSA-N 1 2 298.396 3.728 20 0 CHADLO Fc1ccc2cc(-c3ccc4[nH+]ccn4c3)ncc2c1 ZINC001240216127 1130234547 /nfs/dbraw/zinc/23/45/47/1130234547.db2.gz CURATGSRKFZPKE-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO c1cn2cc(-c3cc(C4CC4)nc(C4CC4)n3)ccc2[nH+]1 ZINC001240217819 1130235524 /nfs/dbraw/zinc/23/55/24/1130235524.db2.gz XHOOJCAHMIMAMT-UHFFFAOYSA-N 1 2 276.343 3.546 20 0 CHADLO Fc1ccc(-c2ccc3[nH+]ccn3c2)c2cccnc12 ZINC001240218180 1130236251 /nfs/dbraw/zinc/23/62/51/1130236251.db2.gz FCYBNNPAVIYZJU-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CCN(CC)c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240219168 1130236581 /nfs/dbraw/zinc/23/65/81/1130236581.db2.gz CUNUUBNSTRDRSF-UHFFFAOYSA-N 1 2 265.360 3.848 20 0 CHADLO Fc1cc(-c2ccc3[nH+]ccn3c2)cc2cccnc12 ZINC001240219113 1130237052 /nfs/dbraw/zinc/23/70/52/1130237052.db2.gz ADBVMQOQQCXANT-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CC(C)(C)OC(=O)c1ccccc1-c1ccc2[nH+]ccn2c1 ZINC001240218759 1130237112 /nfs/dbraw/zinc/23/71/12/1130237112.db2.gz XOESPIGBXZUJQA-UHFFFAOYSA-N 1 2 294.354 3.957 20 0 CHADLO CC(C)(C)OC(=O)c1cccc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240219387 1130237116 /nfs/dbraw/zinc/23/71/16/1130237116.db2.gz JSLIXLXNWCFNEG-UHFFFAOYSA-N 1 2 294.354 3.957 20 0 CHADLO CSc1ccc(F)cc1-c1ccc2[nH+]ccn2c1 ZINC001240218967 1130237223 /nfs/dbraw/zinc/23/72/23/1130237223.db2.gz RSSWVVZMJGLFJP-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO COCOc1ccc(-c2ccc3[nH+]ccn3c2)c(C)c1C ZINC001240218868 1130237441 /nfs/dbraw/zinc/23/74/41/1130237441.db2.gz MZZADIBMLSTOFS-UHFFFAOYSA-N 1 2 282.343 3.601 20 0 CHADLO CSc1ccc(-c2ccc3[nH+]ccn3c2)c(F)c1 ZINC001240218706 1130237750 /nfs/dbraw/zinc/23/77/50/1130237750.db2.gz URYYKVQHCYGLCF-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO Cc1ccnc2ccc(-c3ccc4[nH+]ccn4c3)cc12 ZINC001240218550 1130237860 /nfs/dbraw/zinc/23/78/60/1130237860.db2.gz RGQZXCCOQLTLND-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCn1ccc2cc(-c3ccc4[nH+]ccn4c3)ccc21 ZINC001240220149 1130238380 /nfs/dbraw/zinc/23/83/80/1130238380.db2.gz BGUDVDRKFIDWMI-UHFFFAOYSA-N 1 2 261.328 3.976 20 0 CHADLO CSc1cc(-c2ccc3[nH+]ccn3c2)ccc1F ZINC001240220945 1130238660 /nfs/dbraw/zinc/23/86/60/1130238660.db2.gz CUFUPUMZCSCEDR-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO CCN(CC)c1cc(F)cc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240222051 1130239321 /nfs/dbraw/zinc/23/93/21/1130239321.db2.gz VVZOWGRZPXBDKI-UHFFFAOYSA-N 1 2 283.350 3.987 20 0 CHADLO CC1(C)COc2ccc(-c3ccc4[nH+]ccn4c3)cc21 ZINC001240221221 1130239710 /nfs/dbraw/zinc/23/97/10/1130239710.db2.gz IYRVQCDPODHPHA-UHFFFAOYSA-N 1 2 264.328 3.671 20 0 CHADLO Cc1cc2cc(-c3ccc4[nH+]ccn4c3)ccc2cn1 ZINC001240221338 1130239837 /nfs/dbraw/zinc/23/98/37/1130239837.db2.gz RCQXCXYVYUQVRF-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Sc1ccc(-c2cccc(CC[NH+]3CCOCC3)c2)cc1 ZINC001240266574 1130252135 /nfs/dbraw/zinc/25/21/35/1130252135.db2.gz ZTJTUDCEZXOPSG-UHFFFAOYSA-N 1 2 299.439 3.517 20 0 CHADLO CC(C)COC(=O)[C@H](C)[NH2+][C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000789577144 1130259909 /nfs/dbraw/zinc/25/99/09/1130259909.db2.gz KBBIVJUPJHZTAY-USXIJHARSA-N 1 2 289.419 3.500 20 0 CHADLO CCOC[C@@H]([NH2+]CC(F)(F)c1ccc(F)cc1)C(C)C ZINC001170118749 1130275284 /nfs/dbraw/zinc/27/52/84/1130275284.db2.gz SBHBUGYEDQAJTL-CQSZACIVSA-N 1 2 289.341 3.568 20 0 CHADLO Cc1c(CN(C)Cc2ccccc2Cl)[nH+]c2ccccn12 ZINC001137271998 1130277509 /nfs/dbraw/zinc/27/75/09/1130277509.db2.gz CVILTWALUHBPGV-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1ccc(-c2ccc3c(c2)ncn3C(C)C)[nH+]c1N ZINC001240368912 1130281402 /nfs/dbraw/zinc/28/14/02/1130281402.db2.gz POXMGAOWJCHWMZ-UHFFFAOYSA-N 1 2 266.348 3.570 20 0 CHADLO CC(C)(O)c1cccc(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001240407603 1130293699 /nfs/dbraw/zinc/29/36/99/1130293699.db2.gz IANTYZYBOPYOGN-UHFFFAOYSA-N 1 2 292.382 3.826 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc2occc2c1 ZINC001240426086 1130305123 /nfs/dbraw/zinc/30/51/23/1130305123.db2.gz UNSYRHFFTUHXNC-UHFFFAOYSA-N 1 2 264.284 3.756 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cc(C)sc1C ZINC001240431527 1130309020 /nfs/dbraw/zinc/30/90/20/1130309020.db2.gz YSTNTKUZBKQLQS-UHFFFAOYSA-N 1 2 258.346 3.688 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)c2ccc(SC)cc2)c1 ZINC000677440844 1130310983 /nfs/dbraw/zinc/31/09/83/1130310983.db2.gz CQGCNSWYEXXFFU-UHFFFAOYSA-N 1 2 288.416 3.757 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[NH+]1CC2(C1)CC(F)(F)C2 ZINC000677736298 1130326487 /nfs/dbraw/zinc/32/64/87/1130326487.db2.gz MERSHTCZXDMACE-VIFPVBQESA-N 1 2 273.273 3.757 20 0 CHADLO Cc1ccc2ccnc(-c3ccn4cc[nH+]c4c3)c2c1 ZINC001240497078 1130329734 /nfs/dbraw/zinc/32/97/34/1130329734.db2.gz XSNLHWHQCOBYOU-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cc2ncnc(-c3ccn4cc[nH+]c4c3)c2cc1C ZINC001240503704 1130332713 /nfs/dbraw/zinc/33/27/13/1130332713.db2.gz TWHPLONIXPZHLU-UHFFFAOYSA-N 1 2 274.327 3.561 20 0 CHADLO c1cn2ccc(-c3nccc4c5c([nH]c43)CCCC5)cc2[nH+]1 ZINC001240502919 1130332838 /nfs/dbraw/zinc/33/28/38/1130332838.db2.gz AHMWUFWKBBEHSZ-UHFFFAOYSA-N 1 2 288.354 3.756 20 0 CHADLO Fc1cc2ncccc2cc1-c1ccn2cc[nH+]c2c1 ZINC001240508809 1130334312 /nfs/dbraw/zinc/33/43/12/1130334312.db2.gz KGSLJDHVPMOXCO-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Fc1ccc(-c2ccn3cc[nH+]c3c2)c2cc[nH]c21 ZINC001240509368 1130334768 /nfs/dbraw/zinc/33/47/68/1130334768.db2.gz XROHXBRIWMTBAO-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO c1cn2ccc(-c3ccc(OC4CC4)cc3)cc2[nH+]1 ZINC001240511191 1130335225 /nfs/dbraw/zinc/33/52/25/1130335225.db2.gz BCPUWLXEWTZGIT-UHFFFAOYSA-N 1 2 250.301 3.543 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(OC(F)(F)F)cc1 ZINC000677853022 1130335389 /nfs/dbraw/zinc/33/53/89/1130335389.db2.gz PNQBHVRNBNRHPW-UHFFFAOYSA-N 1 2 298.264 3.601 20 0 CHADLO COc1cccc2nccc(-c3ccn4cc[nH+]c4c3)c21 ZINC001240510620 1130335492 /nfs/dbraw/zinc/33/54/92/1130335492.db2.gz OVTJQFHKGFZORZ-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1ccnc2cc(-c3ccn4cc[nH+]c4c3)ccc12 ZINC001240510395 1130335836 /nfs/dbraw/zinc/33/58/36/1130335836.db2.gz JEHCZOJPFSPFNJ-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1ccc2nccc(-c3ccn4cc[nH+]c4c3)c2c1 ZINC001240510285 1130336278 /nfs/dbraw/zinc/33/62/78/1130336278.db2.gz GRDDACQVNLNGNU-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ccc(-c2ccn3cc[nH+]c3c2)c2cccnc12 ZINC001240510811 1130336291 /nfs/dbraw/zinc/33/62/91/1130336291.db2.gz SRCQNPVTALHWBW-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1nc(N)c3ccccc3[nH+]1)CC2 ZINC000677879848 1130336194 /nfs/dbraw/zinc/33/61/94/1130336194.db2.gz AMUKHEUFQFQKOL-INIZCTEOSA-N 1 2 290.370 3.620 20 0 CHADLO c1cn2ccc(-c3ccc(OC4CCC4)cc3)cc2[nH+]1 ZINC001240511883 1130336604 /nfs/dbraw/zinc/33/66/04/1130336604.db2.gz KTKQSAGNGIMDCJ-UHFFFAOYSA-N 1 2 264.328 3.933 20 0 CHADLO C=C(C(=O)OCC)c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240513094 1130337574 /nfs/dbraw/zinc/33/75/74/1130337574.db2.gz GGCALKPJHDTAEO-UHFFFAOYSA-N 1 2 292.338 3.578 20 0 CHADLO Cc1cc2ncccc2cc1-c1ccn2cc[nH+]c2c1 ZINC001240512547 1130338015 /nfs/dbraw/zinc/33/80/15/1130338015.db2.gz VOLCVXJZADYILX-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1ccc2ncc(-c3ccn4cc[nH+]c4c3)cc2c1 ZINC001240513684 1130338035 /nfs/dbraw/zinc/33/80/35/1130338035.db2.gz ZLLPACVPMLLPOC-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1c(-c2cccnc2)cncc1-c1ccn2cc[nH+]c2c1 ZINC001240518027 1130340584 /nfs/dbraw/zinc/34/05/84/1130340584.db2.gz LIPAPSMMFJINMC-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO Cc1c(F)cc[nH+]c1N1CCC(c2cccc(O)c2)CC1 ZINC001157572406 1130353597 /nfs/dbraw/zinc/35/35/97/1130353597.db2.gz WGCDDYXIGVDFMA-UHFFFAOYSA-N 1 2 286.350 3.619 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)[C@@H]1CC[C@@H](C)C1 ZINC000791439163 1130373577 /nfs/dbraw/zinc/37/35/77/1130373577.db2.gz YZMIPXMFGNVWAY-UKRRQHHQSA-N 1 2 298.386 3.660 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)[C@@H]1C[C@@H]1C(C)C ZINC000791444978 1130374121 /nfs/dbraw/zinc/37/41/21/1130374121.db2.gz KTXHEQKXBLLLKP-IAGOWNOFSA-N 1 2 298.386 3.516 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1c[nH]c(-c2ccccc2)n1 ZINC000678233550 1130374723 /nfs/dbraw/zinc/37/47/23/1130374723.db2.gz HSLXZGDHKQFKFS-UHFFFAOYSA-N 1 2 281.359 3.692 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1c[nH]c(-c2ccccc2)n1 ZINC000678233550 1130374733 /nfs/dbraw/zinc/37/47/33/1130374733.db2.gz HSLXZGDHKQFKFS-UHFFFAOYSA-N 1 2 281.359 3.692 20 0 CHADLO c1n[nH]cc1C[NH+]1Cc2ccccc2-c2ccccc2C1 ZINC000678235409 1130375998 /nfs/dbraw/zinc/37/59/98/1130375998.db2.gz SMANEVKBGONLJC-UHFFFAOYSA-N 1 2 275.355 3.593 20 0 CHADLO c1coc([C@@H]2COCC[N@H+]2Cc2ccccc2C2CC2)c1 ZINC000678264507 1130381529 /nfs/dbraw/zinc/38/15/29/1130381529.db2.gz NNLJNGIGZKTIFF-KRWDZBQOSA-N 1 2 283.371 3.731 20 0 CHADLO c1coc([C@@H]2COCC[N@@H+]2Cc2ccccc2C2CC2)c1 ZINC000678264507 1130381535 /nfs/dbraw/zinc/38/15/35/1130381535.db2.gz NNLJNGIGZKTIFF-KRWDZBQOSA-N 1 2 283.371 3.731 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)o1 ZINC000678278752 1130389485 /nfs/dbraw/zinc/38/94/85/1130389485.db2.gz DOIDJWQZCBKRNN-KBXCAEBGSA-N 1 2 285.387 3.804 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)o1 ZINC000678278752 1130389489 /nfs/dbraw/zinc/38/94/89/1130389489.db2.gz DOIDJWQZCBKRNN-KBXCAEBGSA-N 1 2 285.387 3.804 20 0 CHADLO CCc1ncc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)s1 ZINC000678284121 1130390196 /nfs/dbraw/zinc/39/01/96/1130390196.db2.gz VRAXFPMTSBEWSI-CQSZACIVSA-N 1 2 276.380 3.792 20 0 CHADLO CCc1ncc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)s1 ZINC000678284121 1130390200 /nfs/dbraw/zinc/39/02/00/1130390200.db2.gz VRAXFPMTSBEWSI-CQSZACIVSA-N 1 2 276.380 3.792 20 0 CHADLO CCCC1CCC(N(C)C(=O)c2cccc3[nH+]ccn32)CC1 ZINC000791854521 1130409983 /nfs/dbraw/zinc/40/99/83/1130409983.db2.gz UKRRXEUDEFIUSW-UHFFFAOYSA-N 1 2 299.418 3.765 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2nc3c(s2)CCC3)o1 ZINC000678497424 1130423947 /nfs/dbraw/zinc/42/39/47/1130423947.db2.gz OSUCBFVLYYEOES-ZYHUDNBSSA-N 1 2 288.416 3.638 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1c[nH]c3ccc(F)cc13)C2 ZINC001137340110 1130429226 /nfs/dbraw/zinc/42/92/26/1130429226.db2.gz QSJACUWSDRJPHH-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1c[nH]c3ccc(F)cc13)C2 ZINC001137340110 1130429228 /nfs/dbraw/zinc/42/92/28/1130429228.db2.gz QSJACUWSDRJPHH-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2COc3ccc(C)cc32)c(C)s1 ZINC000678596797 1130435716 /nfs/dbraw/zinc/43/57/16/1130435716.db2.gz QBDVYZOQJMSSNX-HZMBPMFUSA-N 1 2 288.416 3.853 20 0 CHADLO c1cc2c(ccnc2NCCCNc2cccc[nH+]2)s1 ZINC000678708504 1130447528 /nfs/dbraw/zinc/44/75/28/1130447528.db2.gz XAQZRGNEMIFIOD-UHFFFAOYSA-N 1 2 284.388 3.605 20 0 CHADLO C[C@H]([NH2+]C1c2ccccc2-c2ccccc21)c1nccn1C ZINC000678764268 1130450604 /nfs/dbraw/zinc/45/06/04/1130450604.db2.gz MQGHZXUBRJGNMT-ZDUSSCGKSA-N 1 2 289.382 3.841 20 0 CHADLO COc1ccccc1[C@@H](C)[N@H+](C)Cc1n[nH]c2ccccc21 ZINC001137349769 1130452405 /nfs/dbraw/zinc/45/24/05/1130452405.db2.gz JXGAYRIZKDRBBC-CYBMUJFWSA-N 1 2 295.386 3.765 20 0 CHADLO COc1ccccc1[C@@H](C)[N@@H+](C)Cc1n[nH]c2ccccc21 ZINC001137349769 1130452410 /nfs/dbraw/zinc/45/24/10/1130452410.db2.gz JXGAYRIZKDRBBC-CYBMUJFWSA-N 1 2 295.386 3.765 20 0 CHADLO CC[N@H+](Cc1[nH]nc2ccccc21)Cc1ccccc1F ZINC001137349858 1130453787 /nfs/dbraw/zinc/45/37/87/1130453787.db2.gz PUHIHYFUFUOWLA-UHFFFAOYSA-N 1 2 283.350 3.724 20 0 CHADLO CC[N@@H+](Cc1[nH]nc2ccccc21)Cc1ccccc1F ZINC001137349858 1130453791 /nfs/dbraw/zinc/45/37/91/1130453791.db2.gz PUHIHYFUFUOWLA-UHFFFAOYSA-N 1 2 283.350 3.724 20 0 CHADLO CC[N@H+](Cc1n[nH]c2ccccc21)Cc1ccccc1F ZINC001137349858 1130453794 /nfs/dbraw/zinc/45/37/94/1130453794.db2.gz PUHIHYFUFUOWLA-UHFFFAOYSA-N 1 2 283.350 3.724 20 0 CHADLO CC[N@@H+](Cc1n[nH]c2ccccc21)Cc1ccccc1F ZINC001137349858 1130453798 /nfs/dbraw/zinc/45/37/98/1130453798.db2.gz PUHIHYFUFUOWLA-UHFFFAOYSA-N 1 2 283.350 3.724 20 0 CHADLO CN(Cc1ccc(C(F)(F)F)cc1)c1cc[nH+]cc1F ZINC000678788885 1130454710 /nfs/dbraw/zinc/45/47/10/1130454710.db2.gz PMYVCRGDKMTHDU-UHFFFAOYSA-N 1 2 284.256 3.876 20 0 CHADLO COCOc1cc(C)ccc1-c1cc(C)c2[nH+]ccn2c1 ZINC001240755153 1130456043 /nfs/dbraw/zinc/45/60/43/1130456043.db2.gz QGTDPJWUDKCMSU-UHFFFAOYSA-N 1 2 282.343 3.601 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1nc2c(s1)CCC2 ZINC000678811102 1130457627 /nfs/dbraw/zinc/45/76/27/1130457627.db2.gz GLMNAEGBRIZXQA-JTQLQIEISA-N 1 2 290.457 3.813 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1nc2c(s1)CCC2 ZINC000678811102 1130457630 /nfs/dbraw/zinc/45/76/30/1130457630.db2.gz GLMNAEGBRIZXQA-JTQLQIEISA-N 1 2 290.457 3.813 20 0 CHADLO CCCCc1noc(C[NH2+]C(C)(C)c2ccc(F)cc2)n1 ZINC000103482899 1130460349 /nfs/dbraw/zinc/46/03/49/1130460349.db2.gz ITIQJSUSDHJMQO-UHFFFAOYSA-N 1 2 291.370 3.576 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc2c(c1)CCCO2 ZINC001240773884 1130466022 /nfs/dbraw/zinc/46/60/22/1130466022.db2.gz ZMGLMUUSQHJLGE-UHFFFAOYSA-N 1 2 280.327 3.564 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)Cc1ccccc1Cl)C(=O)OCC ZINC001170253558 1130474354 /nfs/dbraw/zinc/47/43/54/1130474354.db2.gz ACKLARQMXHKMEH-WFASDCNBSA-N 1 2 297.826 3.592 20 0 CHADLO COc1ccc[nH+]c1NCc1csc(-c2ccccc2)n1 ZINC000678941569 1130476301 /nfs/dbraw/zinc/47/63/01/1130476301.db2.gz RHFHQAUWZUBEEA-UHFFFAOYSA-N 1 2 297.383 3.826 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc3c(s2)CCCC3)o1 ZINC000678946474 1130477749 /nfs/dbraw/zinc/47/77/49/1130477749.db2.gz NMHHSHGWTCREFU-LLVKDONJSA-N 1 2 276.405 3.774 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[N@@H+]1Cc2ccncc2C1 ZINC001170284429 1130479665 /nfs/dbraw/zinc/47/96/65/1130479665.db2.gz NBUYPXFFCOHEFT-LBPRGKRZSA-N 1 2 272.779 3.682 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[N@H+]1Cc2ccncc2C1 ZINC001170284429 1130479667 /nfs/dbraw/zinc/47/96/67/1130479667.db2.gz NBUYPXFFCOHEFT-LBPRGKRZSA-N 1 2 272.779 3.682 20 0 CHADLO C[N@H+](Cc1c(Cl)ccc(F)c1Cl)CC1(C)COC1 ZINC001137358119 1130480317 /nfs/dbraw/zinc/48/03/17/1130480317.db2.gz CXXWAODBSLCWRJ-UHFFFAOYSA-N 1 2 292.181 3.601 20 0 CHADLO C[N@@H+](Cc1c(Cl)ccc(F)c1Cl)CC1(C)COC1 ZINC001137358119 1130480321 /nfs/dbraw/zinc/48/03/21/1130480321.db2.gz CXXWAODBSLCWRJ-UHFFFAOYSA-N 1 2 292.181 3.601 20 0 CHADLO FC(F)(F)C1(c2ccc(CNc3cccc[nH+]3)cc2)N=N1 ZINC001170289022 1130480767 /nfs/dbraw/zinc/48/07/67/1130480767.db2.gz FLHCBBZIJWXKDO-UHFFFAOYSA-N 1 2 292.264 3.875 20 0 CHADLO Cn1ccc2cc(-c3cccc(-c4c[nH+]cn4C)c3)cnc21 ZINC001240844527 1130490756 /nfs/dbraw/zinc/49/07/56/1130490756.db2.gz FOFIDYQFRYDHEX-UHFFFAOYSA-N 1 2 288.354 3.641 20 0 CHADLO COc1ccc(CC[C@@H](C)Nc2[nH+]cccc2OC)cc1 ZINC000679087212 1130492207 /nfs/dbraw/zinc/49/22/07/1130492207.db2.gz SKFCWTGHYDUWJM-CYBMUJFWSA-N 1 2 286.375 3.532 20 0 CHADLO CCOC(COc1cc(C)[nH+]c2c(C)cccc12)OCC ZINC000792733193 1130497286 /nfs/dbraw/zinc/49/72/86/1130497286.db2.gz OJMPGTXQCCHHIG-UHFFFAOYSA-N 1 2 289.375 3.630 20 0 CHADLO Cc1ccc(CCC[NH2+]c2ccc(N(C)C)cc2)cn1 ZINC000792860525 1130502012 /nfs/dbraw/zinc/50/20/12/1130502012.db2.gz WWTYGOUVMZVHOX-UHFFFAOYSA-N 1 2 269.392 3.501 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CCOc2ccccc2C1 ZINC000679212718 1130502315 /nfs/dbraw/zinc/50/23/15/1130502315.db2.gz ISYPIQXCACLDTA-CYBMUJFWSA-N 1 2 294.354 3.783 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CCOc2ccccc2C1 ZINC000679212718 1130502319 /nfs/dbraw/zinc/50/23/19/1130502319.db2.gz ISYPIQXCACLDTA-CYBMUJFWSA-N 1 2 294.354 3.783 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@H]1F ZINC000679220645 1130504336 /nfs/dbraw/zinc/50/43/36/1130504336.db2.gz IMVYRSYLFMRLBP-GXFFZTMASA-N 1 2 275.289 3.885 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@H]1F ZINC000679220645 1130504340 /nfs/dbraw/zinc/50/43/40/1130504340.db2.gz IMVYRSYLFMRLBP-GXFFZTMASA-N 1 2 275.289 3.885 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](c1ccccc1)C(C)C ZINC000679330228 1130515228 /nfs/dbraw/zinc/51/52/28/1130515228.db2.gz DUQQLHGKYAYFTA-WBMJQRKESA-N 1 2 257.381 3.766 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](c1ccccc1C)C1CC1 ZINC000679342260 1130517930 /nfs/dbraw/zinc/51/79/30/1130517930.db2.gz NLFRLMUKKWGPAN-SJKOYZFVSA-N 1 2 269.392 3.828 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+]2CC[C@H](C)[C@@H](F)C2)s1 ZINC000679359914 1130521912 /nfs/dbraw/zinc/52/19/12/1130521912.db2.gz SCCCDNMVTDJGDK-AAEUAGOBSA-N 1 2 270.417 3.522 20 0 CHADLO CC(C)Cc1ncc(C[N@H+]2CC[C@H](C)[C@@H](F)C2)s1 ZINC000679359914 1130521917 /nfs/dbraw/zinc/52/19/17/1130521917.db2.gz SCCCDNMVTDJGDK-AAEUAGOBSA-N 1 2 270.417 3.522 20 0 CHADLO CC(C)(C)OCc1ccc(-c2ccc[nH+]c2N)cc1 ZINC001240942956 1130526653 /nfs/dbraw/zinc/52/66/53/1130526653.db2.gz YLJLSDAUIZWNST-UHFFFAOYSA-N 1 2 256.349 3.646 20 0 CHADLO COCc1ccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)cc1 ZINC001240954605 1130534179 /nfs/dbraw/zinc/53/41/79/1130534179.db2.gz NJSJEIQEMOOYNJ-UHFFFAOYSA-N 1 2 291.341 3.840 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)cs1 ZINC000679546325 1130539720 /nfs/dbraw/zinc/53/97/20/1130539720.db2.gz OTTPBWGOHVNTHO-UWVGGRQHSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)cs1 ZINC000679546325 1130539724 /nfs/dbraw/zinc/53/97/24/1130539724.db2.gz OTTPBWGOHVNTHO-UWVGGRQHSA-N 1 2 292.370 3.868 20 0 CHADLO Cc1ccnc(F)c1-c1cc(N2CCCCC2)cc[nH+]1 ZINC001243550279 1130541752 /nfs/dbraw/zinc/54/17/52/1130541752.db2.gz CUAWLNVNHPQPBE-UHFFFAOYSA-N 1 2 271.339 3.581 20 0 CHADLO CC1(C(=O)Nc2ccc3[nH+]ccn3c2)CCCCCC1 ZINC000679657131 1130549808 /nfs/dbraw/zinc/54/98/08/1130549808.db2.gz YGCVCAYIRBRWKV-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Cc1[nH+]c(-c2ccc(F)c(F)c2F)cc2[nH]ccc21 ZINC001240987667 1130553649 /nfs/dbraw/zinc/55/36/49/1130553649.db2.gz GZCMDNACUHUNTA-UHFFFAOYSA-N 1 2 262.234 3.956 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccccc2OC2CC2)no1 ZINC000827331936 1130558272 /nfs/dbraw/zinc/55/82/72/1130558272.db2.gz HEWITTACZDTSSA-KRWDZBQOSA-N 1 2 298.386 3.861 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccccc2OC2CC2)no1 ZINC000827331936 1130558277 /nfs/dbraw/zinc/55/82/77/1130558277.db2.gz HEWITTACZDTSSA-KRWDZBQOSA-N 1 2 298.386 3.861 20 0 CHADLO Cc1nc(NCc2ccc(Cl)s2)c2c([nH+]1)CCCC2 ZINC000679837349 1130558782 /nfs/dbraw/zinc/55/87/82/1130558782.db2.gz XRHBOWWXIGQCCY-UHFFFAOYSA-N 1 2 293.823 3.991 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2CCCc2ccsc2)no1 ZINC000679924516 1130562621 /nfs/dbraw/zinc/56/26/21/1130562621.db2.gz IRFMQJMQBSNOGT-AWEZNQCLSA-N 1 2 291.420 3.599 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2CCCc2ccsc2)no1 ZINC000679924516 1130562624 /nfs/dbraw/zinc/56/26/24/1130562624.db2.gz IRFMQJMQBSNOGT-AWEZNQCLSA-N 1 2 291.420 3.599 20 0 CHADLO CCC(CC)(CC)C(=O)NC[C@H]1c2ccccc2C[N@H+]1C ZINC001132625205 1130588842 /nfs/dbraw/zinc/58/88/42/1130588842.db2.gz ZSSBDVWDYFKODA-INIZCTEOSA-N 1 2 288.435 3.506 20 0 CHADLO CCC(CC)(CC)C(=O)NC[C@H]1c2ccccc2C[N@@H+]1C ZINC001132625205 1130588844 /nfs/dbraw/zinc/58/88/44/1130588844.db2.gz ZSSBDVWDYFKODA-INIZCTEOSA-N 1 2 288.435 3.506 20 0 CHADLO C[C@H]([NH2+]Cc1ccnc(Cl)c1Cl)c1ccccn1 ZINC000811471975 1130614079 /nfs/dbraw/zinc/61/40/79/1130614079.db2.gz PYIYBLHGZGOXSE-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO Cc1cc(C(F)(F)F)nn1C[N@H+]1CC[C@@H](C)C(C)(C)C1 ZINC000811764418 1130644365 /nfs/dbraw/zinc/64/43/65/1130644365.db2.gz SHWOLSRJVZSWCI-SNVBAGLBSA-N 1 2 289.345 3.536 20 0 CHADLO Cc1cc(C(F)(F)F)nn1C[N@@H+]1CC[C@@H](C)C(C)(C)C1 ZINC000811764418 1130644369 /nfs/dbraw/zinc/64/43/69/1130644369.db2.gz SHWOLSRJVZSWCI-SNVBAGLBSA-N 1 2 289.345 3.536 20 0 CHADLO CNc1cc(F)cc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001244825786 1130646682 /nfs/dbraw/zinc/64/66/82/1130646682.db2.gz LZPCRDCRZMXISU-UHFFFAOYSA-N 1 2 267.307 3.720 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](CCF)[C@H](c1ccccc1)C2 ZINC000759446828 1130658249 /nfs/dbraw/zinc/65/82/49/1130658249.db2.gz VRAHDZOKWQSJAB-SFHVURJKSA-N 1 2 285.362 3.764 20 0 CHADLO COc1ccc2c(c1)C[N@H+](CCF)[C@H](c1ccccc1)C2 ZINC000759446828 1130658251 /nfs/dbraw/zinc/65/82/51/1130658251.db2.gz VRAHDZOKWQSJAB-SFHVURJKSA-N 1 2 285.362 3.764 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1coc(C2CC2)n1 ZINC000780793632 1130692847 /nfs/dbraw/zinc/69/28/47/1130692847.db2.gz UKWYHFGTCFPZOU-KOLCDFICSA-N 1 2 288.313 3.715 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1coc(C2CC2)n1 ZINC000780793632 1130692850 /nfs/dbraw/zinc/69/28/50/1130692850.db2.gz UKWYHFGTCFPZOU-KOLCDFICSA-N 1 2 288.313 3.715 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H](C)C[C@@H]3CCOC3)cc2)c1C ZINC000812785109 1130714039 /nfs/dbraw/zinc/71/40/39/1130714039.db2.gz FVXXNDJEASGLOL-BBRMVZONSA-N 1 2 299.418 3.716 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)C[C@H]1CCCCC1(C)C ZINC001133455654 1130719434 /nfs/dbraw/zinc/71/94/34/1130719434.db2.gz LXPHSYKUMNJIQQ-CQSZACIVSA-N 1 2 274.408 3.665 20 0 CHADLO CCc1cccc(C[N@H+](CC(=O)c2ccccc2)C(C)C)n1 ZINC001235265647 1130731114 /nfs/dbraw/zinc/73/11/14/1130731114.db2.gz YUWPGLKBTCQGBN-UHFFFAOYSA-N 1 2 296.414 3.737 20 0 CHADLO CCc1cccc(C[N@@H+](CC(=O)c2ccccc2)C(C)C)n1 ZINC001235265647 1130731120 /nfs/dbraw/zinc/73/11/20/1130731120.db2.gz YUWPGLKBTCQGBN-UHFFFAOYSA-N 1 2 296.414 3.737 20 0 CHADLO CCc1cccc(C[N@H+](C)Cc2ccc(F)cc2F)n1 ZINC001235265633 1130731338 /nfs/dbraw/zinc/73/13/38/1130731338.db2.gz YRHQEHZUJSGYFC-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO CCc1cccc(C[N@@H+](C)Cc2ccc(F)cc2F)n1 ZINC001235265633 1130731344 /nfs/dbraw/zinc/73/13/44/1130731344.db2.gz YRHQEHZUJSGYFC-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(SC(F)F)cc2)no1 ZINC000781627978 1130732729 /nfs/dbraw/zinc/73/27/29/1130732729.db2.gz FFBNRBLXTGWHOF-UHFFFAOYSA-N 1 2 284.331 3.588 20 0 CHADLO Fc1cc(C[NH+]2CCCCCC2)c(F)c(F)c1F ZINC001235341392 1130733414 /nfs/dbraw/zinc/73/34/14/1130733414.db2.gz MUCZHWQIEWKXSR-UHFFFAOYSA-N 1 2 261.262 3.619 20 0 CHADLO CCC1CC[NH+](Cc2cc(F)c(F)c(F)c2F)CC1 ZINC001235346447 1130735626 /nfs/dbraw/zinc/73/56/26/1130735626.db2.gz QRXNKMLRTWVAPR-UHFFFAOYSA-N 1 2 275.289 3.865 20 0 CHADLO Cc1cn2cc(-c3ccc(CO)c(C)c3Cl)ccc2[nH+]1 ZINC001244968297 1130740734 /nfs/dbraw/zinc/74/07/34/1130740734.db2.gz OQIGEXSOZBEBLH-UHFFFAOYSA-N 1 2 286.762 3.764 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1cncc(F)c1Cl ZINC001235496960 1130748476 /nfs/dbraw/zinc/74/84/76/1130748476.db2.gz CZKNWNRSNBRSLJ-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1cncc(F)c1Cl ZINC001235496960 1130748481 /nfs/dbraw/zinc/74/84/81/1130748481.db2.gz CZKNWNRSNBRSLJ-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO Cc1cc(Br)cc(C[NH+]2CC3(CCC3)C2)c1F ZINC001235723168 1130774355 /nfs/dbraw/zinc/77/43/55/1130774355.db2.gz XNIYVORUDIWYHZ-UHFFFAOYSA-N 1 2 298.199 3.883 20 0 CHADLO COc1nccc(C[N@H+](C)Cc2ccccc2C)c1Cl ZINC001235834432 1130784961 /nfs/dbraw/zinc/78/49/61/1130784961.db2.gz UVZWGKNJVVOULU-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1nccc(C[N@@H+](C)Cc2ccccc2C)c1Cl ZINC001235834432 1130784964 /nfs/dbraw/zinc/78/49/64/1130784964.db2.gz UVZWGKNJVVOULU-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2c(CC)noc2C)o1 ZINC000813883677 1130793754 /nfs/dbraw/zinc/79/37/54/1130793754.db2.gz BUCQYOVWYZZPKW-NSHDSACASA-N 1 2 291.395 3.645 20 0 CHADLO Clc1cc([NH2+]CC[C@@H]2CCOC2)ccc1N1CCCC1 ZINC000783586521 1130840563 /nfs/dbraw/zinc/84/05/63/1130840563.db2.gz VXMIPHJKFULURQ-CYBMUJFWSA-N 1 2 294.826 3.779 20 0 CHADLO Cc1cc(-c2cc(C(F)(F)F)ccc2CO)cc(C)[nH+]1 ZINC001236058325 1130843351 /nfs/dbraw/zinc/84/33/51/1130843351.db2.gz JKCPFFCICOJMNW-UHFFFAOYSA-N 1 2 281.277 3.877 20 0 CHADLO C[C@@H]([NH2+]C[C@@H](c1ccccc1)C1CC1)C(=O)OC(C)(C)C ZINC000814248437 1130855972 /nfs/dbraw/zinc/85/59/72/1130855972.db2.gz VFXQONKTNVZEKL-CJNGLKHVSA-N 1 2 289.419 3.500 20 0 CHADLO CC/C(=C/C(=O)OCCCn1cc[nH+]c1)c1ccc(C)cc1 ZINC000783838125 1130858103 /nfs/dbraw/zinc/85/81/03/1130858103.db2.gz HTYMPLKSEHHCJQ-SSZFMOIBSA-N 1 2 298.386 3.618 20 0 CHADLO CC(C)Oc1ncccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001236102384 1130862089 /nfs/dbraw/zinc/86/20/89/1130862089.db2.gz HXDGLVHLFGJDKX-UHFFFAOYSA-N 1 2 279.343 3.722 20 0 CHADLO C[N@H+](C[C@@H]1CC=CCC1)Cn1nc(C2CC2)sc1=S ZINC000784011230 1130867311 /nfs/dbraw/zinc/86/73/11/1130867311.db2.gz PFWWCKAZGKVVPV-LLVKDONJSA-N 1 2 295.477 3.797 20 0 CHADLO C[N@@H+](C[C@@H]1CC=CCC1)Cn1nc(C2CC2)sc1=S ZINC000784011230 1130867316 /nfs/dbraw/zinc/86/73/16/1130867316.db2.gz PFWWCKAZGKVVPV-LLVKDONJSA-N 1 2 295.477 3.797 20 0 CHADLO CC(C)C(C)(C)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000784326371 1130899145 /nfs/dbraw/zinc/89/91/45/1130899145.db2.gz XHEORJPNRVDMAS-UHFFFAOYSA-N 1 2 286.375 3.598 20 0 CHADLO CC(C)C(=O)Nc1ccc(-c2cccc3[nH+]ccn32)cc1 ZINC001236260023 1130907836 /nfs/dbraw/zinc/90/78/36/1130907836.db2.gz GBDHNZCQYVZUHR-UHFFFAOYSA-N 1 2 279.343 3.596 20 0 CHADLO CC(C)C(=O)Nc1ccc(-c2cccn3cc[nH+]c23)cc1 ZINC001236260379 1130908266 /nfs/dbraw/zinc/90/82/66/1130908266.db2.gz JWBXMAMZLFZGIE-UHFFFAOYSA-N 1 2 279.343 3.596 20 0 CHADLO Nc1ccc(-c2ccc(NC(=O)c3ccccc3)cc2)c[nH+]1 ZINC001236316763 1130913022 /nfs/dbraw/zinc/91/30/22/1130913022.db2.gz PQLPLVBGBLWUTC-UHFFFAOYSA-N 1 2 289.338 3.583 20 0 CHADLO CCOc1ncc(Cl)cc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001236496757 1130957365 /nfs/dbraw/zinc/95/73/65/1130957365.db2.gz VJNFPYRBVWALNK-UHFFFAOYSA-N 1 2 299.761 3.986 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)c(OC(C)C)cc1C ZINC001159282344 1130957772 /nfs/dbraw/zinc/95/77/72/1130957772.db2.gz UDMUVUGSJJZKIE-UHFFFAOYSA-N 1 2 271.364 3.811 20 0 CHADLO Cc1ccc(F)c(C[NH2+]Cc2c(F)cc(F)cc2F)c1 ZINC000815152477 1130961690 /nfs/dbraw/zinc/96/16/90/1130961690.db2.gz UXFLGIRAFCGVLT-UHFFFAOYSA-N 1 2 283.268 3.841 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2ccnc(OC(C)C)c2)cc1 ZINC001236559995 1130975584 /nfs/dbraw/zinc/97/55/84/1130975584.db2.gz CKMUHEJNKMPWJD-UHFFFAOYSA-N 1 2 284.359 3.898 20 0 CHADLO Clc1nccnc1C[N@@H+]1CCCC[C@H]1c1ccccc1 ZINC001236614326 1130983080 /nfs/dbraw/zinc/98/30/80/1130983080.db2.gz WZYSPQVVDUCTLK-HNNXBMFYSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1nccnc1C[N@H+]1CCCC[C@H]1c1ccccc1 ZINC001236614326 1130983084 /nfs/dbraw/zinc/98/30/84/1130983084.db2.gz WZYSPQVVDUCTLK-HNNXBMFYSA-N 1 2 287.794 3.857 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1CCC[C@H](F)C1 ZINC001236604989 1130983127 /nfs/dbraw/zinc/98/31/27/1130983127.db2.gz IBGINZHJXYJXIA-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1CCC[C@H](F)C1 ZINC001236604989 1130983128 /nfs/dbraw/zinc/98/31/28/1130983128.db2.gz IBGINZHJXYJXIA-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Clc1nccnc1C[N@@H+]1CCCC[C@@H]1c1ccccc1 ZINC001236614327 1130983653 /nfs/dbraw/zinc/98/36/53/1130983653.db2.gz WZYSPQVVDUCTLK-OAHLLOKOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1nccnc1C[N@H+]1CCCC[C@@H]1c1ccccc1 ZINC001236614327 1130983656 /nfs/dbraw/zinc/98/36/56/1130983656.db2.gz WZYSPQVVDUCTLK-OAHLLOKOSA-N 1 2 287.794 3.857 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@@H+]1C[C@@H]2C[C@H]1C[C@@H]2F ZINC001236606972 1130983675 /nfs/dbraw/zinc/98/36/75/1130983675.db2.gz CSBIUBYNLVILTM-QXEWZRGKSA-N 1 2 289.181 3.629 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@H+]1C[C@@H]2C[C@H]1C[C@@H]2F ZINC001236606972 1130983676 /nfs/dbraw/zinc/98/36/76/1130983676.db2.gz CSBIUBYNLVILTM-QXEWZRGKSA-N 1 2 289.181 3.629 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+](C)Cc1nccnc1Cl ZINC001236622068 1130984752 /nfs/dbraw/zinc/98/47/52/1130984752.db2.gz SASQHTSIOXUMHI-CQSZACIVSA-N 1 2 275.783 3.713 20 0 CHADLO CC[C@H](c1ccccc1)[N@@H+](C)Cc1nccnc1Cl ZINC001236622068 1130984756 /nfs/dbraw/zinc/98/47/56/1130984756.db2.gz SASQHTSIOXUMHI-CQSZACIVSA-N 1 2 275.783 3.713 20 0 CHADLO C[C@H]1C[C@@H](C(C)(C)C)CC[N@@H+]1Cc1noc(C2CCC2)n1 ZINC001170783477 1130985315 /nfs/dbraw/zinc/98/53/15/1130985315.db2.gz OELRXQUGFNPGSP-JSGCOSHPSA-N 1 2 291.439 3.984 20 0 CHADLO C[C@H]1C[C@@H](C(C)(C)C)CC[N@H+]1Cc1noc(C2CCC2)n1 ZINC001170783477 1130985321 /nfs/dbraw/zinc/98/53/21/1130985321.db2.gz OELRXQUGFNPGSP-JSGCOSHPSA-N 1 2 291.439 3.984 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC001236637567 1130986612 /nfs/dbraw/zinc/98/66/12/1130986612.db2.gz BMBSQKCNIUEZAX-SNVBAGLBSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC001236637567 1130986616 /nfs/dbraw/zinc/98/66/16/1130986616.db2.gz BMBSQKCNIUEZAX-SNVBAGLBSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC[C@@H]2c2cccnc2)n1 ZINC001236637209 1130987839 /nfs/dbraw/zinc/98/78/39/1130987839.db2.gz WKPQLLWMGUSGHZ-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC[C@@H]2c2cccnc2)n1 ZINC001236637209 1130987844 /nfs/dbraw/zinc/98/78/44/1130987844.db2.gz WKPQLLWMGUSGHZ-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO CO[C@@H](C)C1C[NH+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236652726 1130989326 /nfs/dbraw/zinc/98/93/26/1130989326.db2.gz BKXCODAYLMVQGM-QMMMGPOBSA-N 1 2 292.181 3.599 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@@H]2c2ccccc2)c(F)cc1F ZINC001236833891 1131019877 /nfs/dbraw/zinc/01/98/77/1131019877.db2.gz HPJAWYYGXLETRP-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cc(C[N@H+]2CC[C@@H]2c2ccccc2)c(F)cc1F ZINC001236833891 1131019880 /nfs/dbraw/zinc/01/98/80/1131019880.db2.gz HPJAWYYGXLETRP-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO CC(C)(C)Oc1ccccc1C[N@@H+]1CCC(=O)C(C)(C)C1 ZINC001237030399 1131037909 /nfs/dbraw/zinc/03/79/09/1131037909.db2.gz CDZUOGBGIICQHN-UHFFFAOYSA-N 1 2 289.419 3.665 20 0 CHADLO CC(C)(C)Oc1ccccc1C[N@H+]1CCC(=O)C(C)(C)C1 ZINC001237030399 1131037914 /nfs/dbraw/zinc/03/79/14/1131037914.db2.gz CDZUOGBGIICQHN-UHFFFAOYSA-N 1 2 289.419 3.665 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCC[C@H]([C@@H]3CCOC3)C2)cc1 ZINC000798933186 1131062392 /nfs/dbraw/zinc/06/23/92/1131062392.db2.gz NPIQIEWIRIKREV-ZMSDIMECSA-N 1 2 288.435 3.760 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCC[C@H]([C@@H]3CCOC3)C2)cc1 ZINC000798933186 1131062397 /nfs/dbraw/zinc/06/23/97/1131062397.db2.gz NPIQIEWIRIKREV-ZMSDIMECSA-N 1 2 288.435 3.760 20 0 CHADLO Cc1c(C[N@@H+]2CCOc3ccccc3C2)ccc(F)c1F ZINC001237160939 1131062698 /nfs/dbraw/zinc/06/26/98/1131062698.db2.gz MECMIZOORCTETG-UHFFFAOYSA-N 1 2 289.325 3.668 20 0 CHADLO Cc1c(C[N@H+]2CCOc3ccccc3C2)ccc(F)c1F ZINC001237160939 1131062704 /nfs/dbraw/zinc/06/27/04/1131062704.db2.gz MECMIZOORCTETG-UHFFFAOYSA-N 1 2 289.325 3.668 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1cccc(OC)c1Cl ZINC001237189304 1131067174 /nfs/dbraw/zinc/06/71/74/1131067174.db2.gz PBJXRZBOFVXQOW-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1cccc(OC)c1Cl ZINC001237189304 1131067179 /nfs/dbraw/zinc/06/71/79/1131067179.db2.gz PBJXRZBOFVXQOW-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO Cc1ccc(C2=CC[N@H+](Cc3cnc(Cl)cn3)CC2)cc1 ZINC000799105577 1131069425 /nfs/dbraw/zinc/06/94/25/1131069425.db2.gz CMIFUSGOCMRYPI-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1ccc(C2=CC[N@@H+](Cc3cnc(Cl)cn3)CC2)cc1 ZINC000799105577 1131069430 /nfs/dbraw/zinc/06/94/30/1131069430.db2.gz CMIFUSGOCMRYPI-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@H]2c2ccsc2)cn1 ZINC000799111652 1131070612 /nfs/dbraw/zinc/07/06/12/1131070612.db2.gz LEAGVBDQPAXFPZ-LBPRGKRZSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@H]2c2ccsc2)cn1 ZINC000799111652 1131070620 /nfs/dbraw/zinc/07/06/20/1131070620.db2.gz LEAGVBDQPAXFPZ-LBPRGKRZSA-N 1 2 279.796 3.529 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+](C)Cc1cnn(C)c1Cl ZINC000816485596 1131097992 /nfs/dbraw/zinc/09/79/92/1131097992.db2.gz SHKZCFJFHFJVNP-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cnn(C)c1Cl ZINC000816485596 1131097995 /nfs/dbraw/zinc/09/79/95/1131097995.db2.gz SHKZCFJFHFJVNP-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO CC(C)c1cccc(C[N@H+](C)CC(=O)OC(C)(C)C)c1 ZINC001237458812 1131101371 /nfs/dbraw/zinc/10/13/71/1131101371.db2.gz SXJFUJQEKDJIET-UHFFFAOYSA-N 1 2 277.408 3.584 20 0 CHADLO CC(C)c1cccc(C[N@@H+](C)CC(=O)OC(C)(C)C)c1 ZINC001237458812 1131101374 /nfs/dbraw/zinc/10/13/74/1131101374.db2.gz SXJFUJQEKDJIET-UHFFFAOYSA-N 1 2 277.408 3.584 20 0 CHADLO C[C@H]1CCC[C@H](C)[NH+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237525034 1131110362 /nfs/dbraw/zinc/11/03/62/1131110362.db2.gz JMQKQZKRWXEKMZ-IUCAKERBSA-N 1 2 289.206 3.857 20 0 CHADLO CC1(C)CC[NH+](Cc2cc(Cl)nc(Cl)c2O)CC1 ZINC001237534735 1131110627 /nfs/dbraw/zinc/11/06/27/1131110627.db2.gz MLGZZYDMLOMKCN-UHFFFAOYSA-N 1 2 289.206 3.716 20 0 CHADLO Cc1cc([NH2+][C@@H]2CC=C(C)CC2)ccc1N1CCOCC1 ZINC000816567224 1131112317 /nfs/dbraw/zinc/11/23/17/1131112317.db2.gz UXOWQTYNDLOOPS-MRXNPFEDSA-N 1 2 286.419 3.742 20 0 CHADLO C[C@@H](C(=O)N1CCCCC[C@@H]1c1ccccc1)n1cc[nH+]c1 ZINC001115901284 1131122632 /nfs/dbraw/zinc/12/26/32/1131122632.db2.gz JNUOHPCRMGJZCP-DOTOQJQBSA-N 1 2 297.402 3.588 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCCC[C@@H](F)C2)c(F)c1 ZINC001237638466 1131123462 /nfs/dbraw/zinc/12/34/62/1131123462.db2.gz PBXZQUJAZVLOMJ-CYBMUJFWSA-N 1 2 269.335 3.548 20 0 CHADLO CCOc1ccc(C[N@H+]2CCCC[C@@H](F)C2)c(F)c1 ZINC001237638466 1131123467 /nfs/dbraw/zinc/12/34/67/1131123467.db2.gz PBXZQUJAZVLOMJ-CYBMUJFWSA-N 1 2 269.335 3.548 20 0 CHADLO CCc1[nH]ncc1C[N@@H+](CC)Cc1ccc(Cl)cc1 ZINC001237653145 1131125140 /nfs/dbraw/zinc/12/51/40/1131125140.db2.gz BQDSVKLIKUNMPG-UHFFFAOYSA-N 1 2 277.799 3.648 20 0 CHADLO CCc1[nH]ncc1C[N@H+](CC)Cc1ccc(Cl)cc1 ZINC001237653145 1131125144 /nfs/dbraw/zinc/12/51/44/1131125144.db2.gz BQDSVKLIKUNMPG-UHFFFAOYSA-N 1 2 277.799 3.648 20 0 CHADLO CC[C@@H](C)C[N@@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116248423 1131136696 /nfs/dbraw/zinc/13/66/96/1131136696.db2.gz ILDFBFDWKRYGDN-MNOVXSKESA-N 1 2 273.829 3.821 20 0 CHADLO CC[C@@H](C)C[N@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116248423 1131136700 /nfs/dbraw/zinc/13/67/00/1131136700.db2.gz ILDFBFDWKRYGDN-MNOVXSKESA-N 1 2 273.829 3.821 20 0 CHADLO COc1cccc([C@@H](C)[NH2+]Cc2ncoc2C(C)C)c1 ZINC001116466121 1131149239 /nfs/dbraw/zinc/14/92/39/1131149239.db2.gz PLORRHYSHXMKBN-GFCCVEGCSA-N 1 2 274.364 3.657 20 0 CHADLO CSc1ccc(F)c(-c2cccc3[nH+]ccn32)c1 ZINC001245747345 1131152443 /nfs/dbraw/zinc/15/24/43/1131152443.db2.gz FUCJTNHXIMNSFO-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO FC(F)(F)c1ncc(C[N@@H+]2CC3CCC2CC3)s1 ZINC001237948289 1131155664 /nfs/dbraw/zinc/15/56/64/1131155664.db2.gz ZIGZSDXAOZWZPP-UHFFFAOYSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1ncc(C[N@H+]2CC3CCC2CC3)s1 ZINC001237948289 1131155669 /nfs/dbraw/zinc/15/56/69/1131155669.db2.gz ZIGZSDXAOZWZPP-UHFFFAOYSA-N 1 2 276.327 3.536 20 0 CHADLO Cc1sccc1C[N@H+](C)Cc1cnc(Cl)s1 ZINC001237965465 1131156899 /nfs/dbraw/zinc/15/68/99/1131156899.db2.gz ZQXVJXCDUJGXDN-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1sccc1C[N@@H+](C)Cc1cnc(Cl)s1 ZINC001237965465 1131156902 /nfs/dbraw/zinc/15/69/02/1131156902.db2.gz ZQXVJXCDUJGXDN-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CC[C@@H]3CCC[C@H]3C2)cc1Cl ZINC001237984065 1131158698 /nfs/dbraw/zinc/15/86/98/1131158698.db2.gz LGYYVFJFDOLWEQ-RYUDHWBXSA-N 1 2 283.774 3.807 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CC[C@@H]3CCC[C@H]3C2)cc1Cl ZINC001237984065 1131158700 /nfs/dbraw/zinc/15/87/00/1131158700.db2.gz LGYYVFJFDOLWEQ-RYUDHWBXSA-N 1 2 283.774 3.807 20 0 CHADLO c1ccc2c(c1)C[NH+](Cc1cccc(N3CCCCC3)n1)C2 ZINC001238000553 1131159735 /nfs/dbraw/zinc/15/97/35/1131159735.db2.gz NMJHOHTWRASLSK-UHFFFAOYSA-N 1 2 293.414 3.588 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCCCC23CC3)cc1Cl ZINC001237998074 1131159808 /nfs/dbraw/zinc/15/98/08/1131159808.db2.gz QAJAUMCCJIISOB-UHFFFAOYSA-N 1 2 269.747 3.703 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CCCCC23CC3)cc1Cl ZINC001237998074 1131159812 /nfs/dbraw/zinc/15/98/12/1131159812.db2.gz QAJAUMCCJIISOB-UHFFFAOYSA-N 1 2 269.747 3.703 20 0 CHADLO CC1CC[NH+](Cc2cncc(Cl)c2Cl)CC1 ZINC001238009206 1131161323 /nfs/dbraw/zinc/16/13/23/1131161323.db2.gz UVRHZFCDHMEMGP-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO Cc1cc(CNC(=O)C=C2CCC(C)(C)CC2)cc(C)[nH+]1 ZINC000817458401 1131162363 /nfs/dbraw/zinc/16/23/63/1131162363.db2.gz GTNRBAXVAGYVQB-UHFFFAOYSA-N 1 2 286.419 3.841 20 0 CHADLO C/C(=C\c1ccc(C)cc1)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000817458923 1131162591 /nfs/dbraw/zinc/16/25/91/1131162591.db2.gz NIQIXTPCLHDSSZ-NTEUORMPSA-N 1 2 294.398 3.727 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@@H]1CS[C@@H](C(C)C)C1 ZINC001116758550 1131162544 /nfs/dbraw/zinc/16/25/44/1131162544.db2.gz VJBRQUWCFVRMQR-GXTWGEPZSA-N 1 2 280.437 3.811 20 0 CHADLO COc1ccc(C(F)(F)F)c(C[N@@H+]2C[C@H](F)C[C@H]2C)c1 ZINC001238051131 1131164854 /nfs/dbraw/zinc/16/48/54/1131164854.db2.gz JVOHXUINVVWCRF-MWLCHTKSSA-N 1 2 291.288 3.646 20 0 CHADLO COc1ccc(C(F)(F)F)c(C[N@H+]2C[C@H](F)C[C@H]2C)c1 ZINC001238051131 1131164859 /nfs/dbraw/zinc/16/48/59/1131164859.db2.gz JVOHXUINVVWCRF-MWLCHTKSSA-N 1 2 291.288 3.646 20 0 CHADLO Fc1cccc2c1CC[C@H]2[N@@H+]1CCC=C(Br)C1 ZINC001116907969 1131169692 /nfs/dbraw/zinc/16/96/92/1131169692.db2.gz JLJZCGUMGUKFKG-CQSZACIVSA-N 1 2 296.183 3.798 20 0 CHADLO Fc1cccc2c1CC[C@H]2[N@H+]1CCC=C(Br)C1 ZINC001116907969 1131169695 /nfs/dbraw/zinc/16/96/95/1131169695.db2.gz JLJZCGUMGUKFKG-CQSZACIVSA-N 1 2 296.183 3.798 20 0 CHADLO CC[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238150469 1131174309 /nfs/dbraw/zinc/17/43/09/1131174309.db2.gz VMRYQAPAFLHFCU-LLVKDONJSA-N 1 2 271.763 3.949 20 0 CHADLO CC[C@@H]1CCCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238150469 1131174314 /nfs/dbraw/zinc/17/43/14/1131174314.db2.gz VMRYQAPAFLHFCU-LLVKDONJSA-N 1 2 271.763 3.949 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cccc(-c3cccs3)n2)C1 ZINC001238219143 1131183012 /nfs/dbraw/zinc/18/30/12/1131183012.db2.gz LOOKRTUEIUSSJS-GFCCVEGCSA-N 1 2 276.380 3.744 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cccc(-c3cccs3)n2)C1 ZINC001238219143 1131183016 /nfs/dbraw/zinc/18/30/16/1131183016.db2.gz LOOKRTUEIUSSJS-GFCCVEGCSA-N 1 2 276.380 3.744 20 0 CHADLO CC[N@H+](C)Cc1c(Br)ccc(C)c1Cl ZINC001238287001 1131188489 /nfs/dbraw/zinc/18/84/89/1131188489.db2.gz YBEGDYTXJLAIHO-UHFFFAOYSA-N 1 2 276.605 3.863 20 0 CHADLO CC[N@@H+](C)Cc1c(Br)ccc(C)c1Cl ZINC001238287001 1131188492 /nfs/dbraw/zinc/18/84/92/1131188492.db2.gz YBEGDYTXJLAIHO-UHFFFAOYSA-N 1 2 276.605 3.863 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc(Cl)nc1 ZINC000817948951 1131188967 /nfs/dbraw/zinc/18/89/67/1131188967.db2.gz FNXHEMQYZSKUQB-SNVBAGLBSA-N 1 2 273.767 3.876 20 0 CHADLO C[C@H](CSC(C)(C)C)[NH2+]c1ccc2c(c1)CCN2 ZINC000817949855 1131189717 /nfs/dbraw/zinc/18/97/17/1131189717.db2.gz OIGWJBTZWCEPON-LLVKDONJSA-N 1 2 264.438 3.987 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+]C/C=C/C1CC1 ZINC001117749929 1131195865 /nfs/dbraw/zinc/19/58/65/1131195865.db2.gz DGSTWLQGWCZGCG-SZTZYQKNSA-N 1 2 265.347 3.809 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccccn2)ncc1Cl ZINC001238418384 1131197089 /nfs/dbraw/zinc/19/70/89/1131197089.db2.gz OFVUBIKQBAAWTL-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccccn2)ncc1Cl ZINC001238418384 1131197091 /nfs/dbraw/zinc/19/70/91/1131197091.db2.gz OFVUBIKQBAAWTL-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO CC(C)Oc1cccc(C[N@H+](C)Cc2ccccn2)c1F ZINC001238422068 1131197196 /nfs/dbraw/zinc/19/71/96/1131197196.db2.gz KPTYPGAGERDLIO-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+](C)Cc2ccccn2)c1F ZINC001238422068 1131197198 /nfs/dbraw/zinc/19/71/98/1131197198.db2.gz KPTYPGAGERDLIO-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(F)c(OC(C)C)c1 ZINC001238458538 1131203595 /nfs/dbraw/zinc/20/35/95/1131203595.db2.gz PLKLFCLFMAXNQZ-MRXNPFEDSA-N 1 2 293.382 3.556 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(F)c(OC(C)C)c1 ZINC001238458538 1131203600 /nfs/dbraw/zinc/20/36/00/1131203600.db2.gz PLKLFCLFMAXNQZ-MRXNPFEDSA-N 1 2 293.382 3.556 20 0 CHADLO COc1c(C[N@@H+]2CC[C@H]2c2ccccc2)ccc(F)c1F ZINC001238472861 1131205623 /nfs/dbraw/zinc/20/56/23/1131205623.db2.gz NUKRZEXVRXFWGN-HNNXBMFYSA-N 1 2 289.325 3.920 20 0 CHADLO COc1c(C[N@H+]2CC[C@H]2c2ccccc2)ccc(F)c1F ZINC001238472861 1131205628 /nfs/dbraw/zinc/20/56/28/1131205628.db2.gz NUKRZEXVRXFWGN-HNNXBMFYSA-N 1 2 289.325 3.920 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1ccnc(Cl)c1F ZINC001139662108 1131208030 /nfs/dbraw/zinc/20/80/30/1131208030.db2.gz RKZSAHVQRGLHMR-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1ccnc(Cl)c1F ZINC001139662108 1131208034 /nfs/dbraw/zinc/20/80/34/1131208034.db2.gz RKZSAHVQRGLHMR-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1cc(C)ccc1Cl)CC2 ZINC001238557681 1131215416 /nfs/dbraw/zinc/21/54/16/1131215416.db2.gz SBWAGKYIWCPWLX-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1cc(C)ccc1Cl)CC2 ZINC001238557681 1131215423 /nfs/dbraw/zinc/21/54/23/1131215423.db2.gz SBWAGKYIWCPWLX-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO COc1cc(C)cc(F)c1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001238582647 1131218579 /nfs/dbraw/zinc/21/85/79/1131218579.db2.gz IDKCFIRRJSXGIN-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1cc(C)cc(F)c1C[N@H+]1Cc2cccc(C)c2C1 ZINC001238582647 1131218583 /nfs/dbraw/zinc/21/85/83/1131218583.db2.gz IDKCFIRRJSXGIN-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1cc(C)cc(F)c1C[N@H+](C)Cc1ccsc1 ZINC001238591507 1131220169 /nfs/dbraw/zinc/22/01/69/1131220169.db2.gz LPKRHTIFBUTCRK-UHFFFAOYSA-N 1 2 279.380 3.836 20 0 CHADLO COc1cc(C)cc(F)c1C[N@@H+](C)Cc1ccsc1 ZINC001238591507 1131220173 /nfs/dbraw/zinc/22/01/73/1131220173.db2.gz LPKRHTIFBUTCRK-UHFFFAOYSA-N 1 2 279.380 3.836 20 0 CHADLO COc1cc(C)cc(F)c1C[N@@H+]1CCn2cccc2[C@H]1C ZINC001238594269 1131220476 /nfs/dbraw/zinc/22/04/76/1131220476.db2.gz JVQGUIYZZZSSSS-CYBMUJFWSA-N 1 2 288.366 3.521 20 0 CHADLO COc1cc(C)cc(F)c1C[N@H+]1CCn2cccc2[C@H]1C ZINC001238594269 1131220480 /nfs/dbraw/zinc/22/04/80/1131220480.db2.gz JVQGUIYZZZSSSS-CYBMUJFWSA-N 1 2 288.366 3.521 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)cnc1C1CC1 ZINC001238615736 1131223332 /nfs/dbraw/zinc/22/33/32/1131223332.db2.gz JFHWONMWZVZXJK-SJORKVTESA-N 1 2 298.430 3.601 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)cnc1C1CC1 ZINC001238615736 1131223336 /nfs/dbraw/zinc/22/33/36/1131223336.db2.gz JFHWONMWZVZXJK-SJORKVTESA-N 1 2 298.430 3.601 20 0 CHADLO CSc1ccc(Cl)cc1C[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC001238673984 1131227886 /nfs/dbraw/zinc/22/78/86/1131227886.db2.gz NUYPWEOLYDRJRZ-GHMZBOCLSA-N 1 2 285.840 3.671 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccnc(Cl)c2F)cc1 ZINC000823387231 1131425152 /nfs/dbraw/zinc/42/51/52/1131425152.db2.gz WCJQWOHVFLRQGK-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccnc(Cl)c2F)cc1 ZINC000823387231 1131425155 /nfs/dbraw/zinc/42/51/55/1131425155.db2.gz WCJQWOHVFLRQGK-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Fc1cc(F)c(F)c(C[NH+]2CCC(OC3CCC3)CC2)c1 ZINC001137868636 1131425853 /nfs/dbraw/zinc/42/58/53/1131425853.db2.gz MJKMEXBIAZAODH-UHFFFAOYSA-N 1 2 299.336 3.637 20 0 CHADLO C[C@H](Cc1ccoc1)Nc1ccc([NH+]2CCCC2)cc1 ZINC000823495781 1131429708 /nfs/dbraw/zinc/42/97/08/1131429708.db2.gz ZZTIUPXXLQFWHZ-CQSZACIVSA-N 1 2 270.376 3.923 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCCC(F)(F)CC2)c1F ZINC001137925262 1131436088 /nfs/dbraw/zinc/43/60/88/1131436088.db2.gz CQJIWCNEKQMCHV-UHFFFAOYSA-N 1 2 279.252 3.725 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ncc(C(C)C)o2)CC2CC2)cn1 ZINC000829854649 1131436345 /nfs/dbraw/zinc/43/63/45/1131436345.db2.gz JHSGKGNJALYVAE-UHFFFAOYSA-N 1 2 299.418 3.914 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ncc(C(C)C)o2)CC2CC2)cn1 ZINC000829854649 1131436354 /nfs/dbraw/zinc/43/63/54/1131436354.db2.gz JHSGKGNJALYVAE-UHFFFAOYSA-N 1 2 299.418 3.914 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c1Cl ZINC001138003547 1131448849 /nfs/dbraw/zinc/44/88/49/1131448849.db2.gz BOSCHNYCPWCACN-YVZVNANGSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c1Cl ZINC001138003547 1131448854 /nfs/dbraw/zinc/44/88/54/1131448854.db2.gz BOSCHNYCPWCACN-YVZVNANGSA-N 1 2 275.701 3.551 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138003955 1131449213 /nfs/dbraw/zinc/44/92/13/1131449213.db2.gz PMCWEDNUBPSLBC-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138003955 1131449216 /nfs/dbraw/zinc/44/92/16/1131449216.db2.gz PMCWEDNUBPSLBC-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(F)ccc(F)c2Cl)[C@H](C)C1 ZINC001138005514 1131449841 /nfs/dbraw/zinc/44/98/41/1131449841.db2.gz HPGRHWZXYKXKQD-NXEZZACHSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(F)ccc(F)c2Cl)[C@H](C)C1 ZINC001138005514 1131449843 /nfs/dbraw/zinc/44/98/43/1131449843.db2.gz HPGRHWZXYKXKQD-NXEZZACHSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H](F)C(=O)C2)c2ccccc12 ZINC001138013693 1131451061 /nfs/dbraw/zinc/45/10/61/1131451061.db2.gz SZKSKUYSMWVFDO-QGZVFWFLSA-N 1 2 285.362 3.651 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H](F)C(=O)C2)c2ccccc12 ZINC001138013693 1131451066 /nfs/dbraw/zinc/45/10/66/1131451066.db2.gz SZKSKUYSMWVFDO-QGZVFWFLSA-N 1 2 285.362 3.651 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@@H+]1Cc1cc(F)ncc1F ZINC000824180627 1131456862 /nfs/dbraw/zinc/45/68/62/1131456862.db2.gz DARYREGTESIPHI-OAHLLOKOSA-N 1 2 288.341 3.697 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@H+]1Cc1cc(F)ncc1F ZINC000824180627 1131456865 /nfs/dbraw/zinc/45/68/65/1131456865.db2.gz DARYREGTESIPHI-OAHLLOKOSA-N 1 2 288.341 3.697 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2cc(F)ncc2F)c1 ZINC000824293147 1131461651 /nfs/dbraw/zinc/46/16/51/1131461651.db2.gz YQSIXJZCGBSGMT-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2cc(F)ncc2F)c1 ZINC000824293147 1131461655 /nfs/dbraw/zinc/46/16/55/1131461655.db2.gz YQSIXJZCGBSGMT-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Fc1c(C[N@@H+]2CC[C@H](CC(F)(F)F)C2)ccnc1Cl ZINC000824291183 1131461791 /nfs/dbraw/zinc/46/17/91/1131461791.db2.gz BVZJIZWJZQKRRG-MRVPVSSYSA-N 1 2 296.695 3.648 20 0 CHADLO Fc1c(C[N@H+]2CC[C@H](CC(F)(F)F)C2)ccnc1Cl ZINC000824291183 1131461793 /nfs/dbraw/zinc/46/17/93/1131461793.db2.gz BVZJIZWJZQKRRG-MRVPVSSYSA-N 1 2 296.695 3.648 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](C)OC3(CCCC3)C2)cc(Cl)n1 ZINC000830859648 1131470623 /nfs/dbraw/zinc/47/06/23/1131470623.db2.gz GHHQQROXBUPZTD-ZDUSSCGKSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](C)OC3(CCCC3)C2)cc(Cl)n1 ZINC000830859648 1131470627 /nfs/dbraw/zinc/47/06/27/1131470627.db2.gz GHHQQROXBUPZTD-ZDUSSCGKSA-N 1 2 294.826 3.577 20 0 CHADLO COc1c(F)ccc(C[N@@H+]2CCc3ccccc3C2)c1F ZINC001143304908 1131474715 /nfs/dbraw/zinc/47/47/15/1131474715.db2.gz XUCUTHFAGXRQQJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1c(F)ccc(C[N@H+]2CCc3ccccc3C2)c1F ZINC001143304908 1131474717 /nfs/dbraw/zinc/47/47/17/1131474717.db2.gz XUCUTHFAGXRQQJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(F)c(F)cc(F)c2F)C2(CC2)C1 ZINC001138237071 1131480255 /nfs/dbraw/zinc/48/02/55/1131480255.db2.gz XNTGBBAEAUXTCS-QMMMGPOBSA-N 1 2 273.273 3.617 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(F)c(F)cc(F)c2F)C2(CC2)C1 ZINC001138237071 1131480260 /nfs/dbraw/zinc/48/02/60/1131480260.db2.gz XNTGBBAEAUXTCS-QMMMGPOBSA-N 1 2 273.273 3.617 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1nc3ccccc3s1)C2 ZINC001136950213 1131487526 /nfs/dbraw/zinc/48/75/26/1131487526.db2.gz SEIRVGXYPBRQIB-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1nc3ccccc3s1)C2 ZINC001136950213 1131487528 /nfs/dbraw/zinc/48/75/28/1131487528.db2.gz SEIRVGXYPBRQIB-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO Cc1ccc2c(C[N@@H+]3CCC(F)(F)[C@@H](F)C3)c[nH]c2c1 ZINC001136969552 1131489391 /nfs/dbraw/zinc/48/93/91/1131489391.db2.gz SRLMKSWSFNHNBA-AWEZNQCLSA-N 1 2 282.309 3.655 20 0 CHADLO Cc1ccc2c(C[N@H+]3CCC(F)(F)[C@@H](F)C3)c[nH]c2c1 ZINC001136969552 1131489393 /nfs/dbraw/zinc/48/93/93/1131489393.db2.gz SRLMKSWSFNHNBA-AWEZNQCLSA-N 1 2 282.309 3.655 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1c(F)cccc1OC ZINC001138385787 1131497209 /nfs/dbraw/zinc/49/72/09/1131497209.db2.gz CZAKZYABQQYTMJ-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1c(F)cccc1OC ZINC001138385787 1131497211 /nfs/dbraw/zinc/49/72/11/1131497211.db2.gz CZAKZYABQQYTMJ-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+](C)Cc3cncs3)cc2c1 ZINC001138417083 1131501055 /nfs/dbraw/zinc/50/10/55/1131501055.db2.gz GFEQFZKZUKTOKR-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+](C)Cc3cncs3)cc2c1 ZINC001138417083 1131501058 /nfs/dbraw/zinc/50/10/58/1131501058.db2.gz GFEQFZKZUKTOKR-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO CCC[C@H]1CCCC[N@@H+]1Cc1nc(C(F)(F)F)c[nH]1 ZINC001137015890 1131505217 /nfs/dbraw/zinc/50/52/17/1131505217.db2.gz AWYUACAUECDTIG-JTQLQIEISA-N 1 2 275.318 3.583 20 0 CHADLO CCC[C@H]1CCCC[N@H+]1Cc1nc(C(F)(F)F)c[nH]1 ZINC001137015890 1131505221 /nfs/dbraw/zinc/50/52/21/1131505221.db2.gz AWYUACAUECDTIG-JTQLQIEISA-N 1 2 275.318 3.583 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(C(F)(F)F)c[nH]2)cc1C ZINC001137018901 1131506354 /nfs/dbraw/zinc/50/63/54/1131506354.db2.gz JTPUAOURNXASSO-UHFFFAOYSA-N 1 2 297.324 3.677 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(C(F)(F)F)c[nH]2)cc1C ZINC001137018901 1131506357 /nfs/dbraw/zinc/50/63/57/1131506357.db2.gz JTPUAOURNXASSO-UHFFFAOYSA-N 1 2 297.324 3.677 20 0 CHADLO COc1ccc2[nH]cc(C[N@@H+]3Cc4ccc(F)cc4C3)c2c1 ZINC001138511091 1131514628 /nfs/dbraw/zinc/51/46/28/1131514628.db2.gz WJXXIFYBZKZSTM-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1ccc2[nH]cc(C[N@H+]3Cc4ccc(F)cc4C3)c2c1 ZINC001138511091 1131514630 /nfs/dbraw/zinc/51/46/30/1131514630.db2.gz WJXXIFYBZKZSTM-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1ccc(F)c(Cl)c1F ZINC001143629099 1131519164 /nfs/dbraw/zinc/51/91/64/1131519164.db2.gz SISXILVRJCNSKH-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1ccc(F)c(Cl)c1F ZINC001143629099 1131519167 /nfs/dbraw/zinc/51/91/67/1131519167.db2.gz SISXILVRJCNSKH-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cc(C[N@H+](C)CC(=O)c2ccccc2)c(C)s1 ZINC001138570275 1131522502 /nfs/dbraw/zinc/52/25/02/1131522502.db2.gz BMGDVZMIFGRXLG-UHFFFAOYSA-N 1 2 273.401 3.680 20 0 CHADLO Cc1cc(C[N@@H+](C)CC(=O)c2ccccc2)c(C)s1 ZINC001138570275 1131522505 /nfs/dbraw/zinc/52/25/05/1131522505.db2.gz BMGDVZMIFGRXLG-UHFFFAOYSA-N 1 2 273.401 3.680 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CC[C@H](F)C2)c1 ZINC001143672901 1131523251 /nfs/dbraw/zinc/52/32/51/1131523251.db2.gz VAIWNQKUFAJDHH-NSHDSACASA-N 1 2 261.262 3.558 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CC[C@H](F)C2)c1 ZINC001143672901 1131523253 /nfs/dbraw/zinc/52/32/53/1131523253.db2.gz VAIWNQKUFAJDHH-NSHDSACASA-N 1 2 261.262 3.558 20 0 CHADLO FC(F)(F)Oc1ccc(Cl)cc1C[N@@H+]1C[C@@H]2C[C@@H]2C1 ZINC001143699161 1131524606 /nfs/dbraw/zinc/52/46/06/1131524606.db2.gz SKPSROIEQCCHCK-DTORHVGOSA-N 1 2 291.700 3.690 20 0 CHADLO FC(F)(F)Oc1ccc(Cl)cc1C[N@H+]1C[C@@H]2C[C@@H]2C1 ZINC001143699161 1131524611 /nfs/dbraw/zinc/52/46/11/1131524611.db2.gz SKPSROIEQCCHCK-DTORHVGOSA-N 1 2 291.700 3.690 20 0 CHADLO Fc1ccc(Cl)c(C[NH+]2CC3(C2)C[C@H](F)CS3)c1 ZINC001138675104 1131536178 /nfs/dbraw/zinc/53/61/78/1131536178.db2.gz MJGOSZMYPYKWTD-NSHDSACASA-N 1 2 289.778 3.509 20 0 CHADLO COc1cc(C)c(C[N@@H+]2Cc3cccnc3C2)c(C)c1C ZINC001138723573 1131542768 /nfs/dbraw/zinc/54/27/68/1131542768.db2.gz UWYUHHXGGYBGKZ-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO COc1cc(C)c(C[N@H+]2Cc3cccnc3C2)c(C)c1C ZINC001138723573 1131542770 /nfs/dbraw/zinc/54/27/70/1131542770.db2.gz UWYUHHXGGYBGKZ-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO Cc1cc(Cl)cc(C)c1OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000844284270 1131547149 /nfs/dbraw/zinc/54/71/49/1131547149.db2.gz QWOPJHHJOVSFNF-GFCCVEGCSA-N 1 2 292.766 3.710 20 0 CHADLO Fc1ncccc1C[N@@H+]1CCSC[C@H]1c1ccccc1 ZINC001138787744 1131550303 /nfs/dbraw/zinc/55/03/03/1131550303.db2.gz NSXNXYPDKXIORM-HNNXBMFYSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ncccc1C[N@H+]1CCSC[C@H]1c1ccccc1 ZINC001138787744 1131550306 /nfs/dbraw/zinc/55/03/06/1131550306.db2.gz NSXNXYPDKXIORM-HNNXBMFYSA-N 1 2 288.391 3.511 20 0 CHADLO Clc1cc(C[N@@H+]2CCCC[C@H]2c2cccnc2)ccn1 ZINC001138820886 1131552367 /nfs/dbraw/zinc/55/23/67/1131552367.db2.gz YOWKXLHBWQKRAA-HNNXBMFYSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1cc(C[N@H+]2CCCC[C@H]2c2cccnc2)ccn1 ZINC001138820886 1131552371 /nfs/dbraw/zinc/55/23/71/1131552371.db2.gz YOWKXLHBWQKRAA-HNNXBMFYSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1cccc2c(C[N@@H+]3CCn4cccc4C3)c[nH]c21 ZINC001138834754 1131555249 /nfs/dbraw/zinc/55/52/49/1131555249.db2.gz KCZYRXFDCFGMBL-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Clc1cccc2c(C[N@H+]3CCn4cccc4C3)c[nH]c21 ZINC001138834754 1131555252 /nfs/dbraw/zinc/55/52/52/1131555252.db2.gz KCZYRXFDCFGMBL-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO C[N@H+](Cc1cc(F)cnc1Cl)[C@H]1CCc2ccccc21 ZINC001138898068 1131559494 /nfs/dbraw/zinc/55/94/94/1131559494.db2.gz SZUWHWIQWSGXHR-HNNXBMFYSA-N 1 2 290.769 3.993 20 0 CHADLO C[N@@H+](Cc1cc(F)cnc1Cl)[C@H]1CCc2ccccc21 ZINC001138898068 1131559497 /nfs/dbraw/zinc/55/94/97/1131559497.db2.gz SZUWHWIQWSGXHR-HNNXBMFYSA-N 1 2 290.769 3.993 20 0 CHADLO COC1(C)C[NH+](Cc2ccc(-c3cccs3)s2)C1 ZINC001139016884 1131572654 /nfs/dbraw/zinc/57/26/54/1131572654.db2.gz DYNQMBUMGAFQFN-UHFFFAOYSA-N 1 2 279.430 3.697 20 0 CHADLO Cc1ccc(Oc2cccc(C[N@H+]3C[C@H]4C[C@@H](C3)O4)c2)cc1 ZINC001144090378 1131580119 /nfs/dbraw/zinc/58/01/19/1131580119.db2.gz GROGULPTXGGUJJ-KDURUIRLSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1ccc(Oc2cccc(C[N@@H+]3C[C@H]4C[C@@H](C3)O4)c2)cc1 ZINC001144090378 1131580123 /nfs/dbraw/zinc/58/01/23/1131580123.db2.gz GROGULPTXGGUJJ-KDURUIRLSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1cc(CNC(=O)/C=C/C2CCCCCC2)cc(C)[nH+]1 ZINC000844586391 1131580300 /nfs/dbraw/zinc/58/03/00/1131580300.db2.gz QFNQYPBSQNEDFS-MDZDMXLPSA-N 1 2 286.419 3.841 20 0 CHADLO CSC1CC[NH+](Cc2cc(Cl)c(F)cc2F)CC1 ZINC001144130672 1131590799 /nfs/dbraw/zinc/59/07/99/1131590799.db2.gz NXJFYYAANRJFIP-UHFFFAOYSA-N 1 2 291.794 3.946 20 0 CHADLO CC[N@H+](Cc1n[nH]c2ccc(F)cc21)Cc1ccccc1 ZINC001144186690 1131597388 /nfs/dbraw/zinc/59/73/88/1131597388.db2.gz DLPAUQMHCSCOSG-UHFFFAOYSA-N 1 2 283.350 3.724 20 0 CHADLO CC[N@@H+](Cc1n[nH]c2ccc(F)cc21)Cc1ccccc1 ZINC001144186690 1131597391 /nfs/dbraw/zinc/59/73/91/1131597391.db2.gz DLPAUQMHCSCOSG-UHFFFAOYSA-N 1 2 283.350 3.724 20 0 CHADLO Clc1ccnc(Cl)c1C[N@@H+]1CCC12CCCC2 ZINC001139474841 1131609587 /nfs/dbraw/zinc/60/95/87/1131609587.db2.gz JQJQQTQZDSSRPW-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Clc1ccnc(Cl)c1C[N@H+]1CCC12CCCC2 ZINC001139474841 1131609589 /nfs/dbraw/zinc/60/95/89/1131609589.db2.gz JQJQQTQZDSSRPW-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO COc1cc(C[N@@H+]2CCC[C@](C)(F)C2)ccc1Cl ZINC001139612429 1131621406 /nfs/dbraw/zinc/62/14/06/1131621406.db2.gz ZUDDJPGXLUXVQN-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(C[N@H+]2CCC[C@](C)(F)C2)ccc1Cl ZINC001139612429 1131621408 /nfs/dbraw/zinc/62/14/08/1131621408.db2.gz ZUDDJPGXLUXVQN-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO Cc1cc(O)cc(C)c1C[N@@H+]1CCCCC(F)(F)C1 ZINC001139711413 1131630932 /nfs/dbraw/zinc/63/09/32/1131630932.db2.gz FMXFKKQVJDIYPX-UHFFFAOYSA-N 1 2 269.335 3.630 20 0 CHADLO Cc1cc(O)cc(C)c1C[N@H+]1CCCCC(F)(F)C1 ZINC001139711413 1131630936 /nfs/dbraw/zinc/63/09/36/1131630936.db2.gz FMXFKKQVJDIYPX-UHFFFAOYSA-N 1 2 269.335 3.630 20 0 CHADLO O=C1CC[NH+](Cc2ccc3oc4ccccc4c3c2)CC1 ZINC001139751312 1131634333 /nfs/dbraw/zinc/63/43/33/1131634333.db2.gz KEPFIRGRVZGMJQ-UHFFFAOYSA-N 1 2 279.339 3.751 20 0 CHADLO c1ccc2c(c1)oc1ccc(C[N@@H+]3CCC34COC4)cc12 ZINC001139753502 1131635370 /nfs/dbraw/zinc/63/53/70/1131635370.db2.gz KHQREEUDOHKDCU-UHFFFAOYSA-N 1 2 279.339 3.561 20 0 CHADLO c1ccc2c(c1)oc1ccc(C[N@H+]3CCC34COC4)cc12 ZINC001139753502 1131635375 /nfs/dbraw/zinc/63/53/75/1131635375.db2.gz KHQREEUDOHKDCU-UHFFFAOYSA-N 1 2 279.339 3.561 20 0 CHADLO Cc1c2ccccc2oc1COC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845470894 1131637266 /nfs/dbraw/zinc/63/72/66/1131637266.db2.gz AXHGZKMYVIAGAS-LBPRGKRZSA-N 1 2 298.342 3.632 20 0 CHADLO COc1ncc(C[N@@H+]2CCC[C@@H]2c2ccccc2F)s1 ZINC001139817302 1131638916 /nfs/dbraw/zinc/63/89/16/1131638916.db2.gz HMMDTBQWXKWVEV-CQSZACIVSA-N 1 2 292.379 3.628 20 0 CHADLO COc1ncc(C[N@H+]2CCC[C@@H]2c2ccccc2F)s1 ZINC001139817302 1131638918 /nfs/dbraw/zinc/63/89/18/1131638918.db2.gz HMMDTBQWXKWVEV-CQSZACIVSA-N 1 2 292.379 3.628 20 0 CHADLO C[C@H](CC(=O)O[C@@H](C)C1CCCCCC1)n1cc[nH+]c1 ZINC000845514605 1131639647 /nfs/dbraw/zinc/63/96/47/1131639647.db2.gz VPWVGCFVKWMGNU-KGLIPLIRSA-N 1 2 278.396 3.736 20 0 CHADLO C[C@@H](CC(=O)O[C@@H](C)C1CCCCCC1)n1cc[nH+]c1 ZINC000845514604 1131639868 /nfs/dbraw/zinc/63/98/68/1131639868.db2.gz VPWVGCFVKWMGNU-KBPBESRZSA-N 1 2 278.396 3.736 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001139883944 1131646436 /nfs/dbraw/zinc/64/64/36/1131646436.db2.gz OCCAABRYGTXIKK-TXEJJXNPSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001139888499 1131646893 /nfs/dbraw/zinc/64/68/93/1131646893.db2.gz SMDGYIPGIGJJDF-FPMFFAJLSA-N 1 2 287.300 3.804 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001139888499 1131646894 /nfs/dbraw/zinc/64/68/94/1131646894.db2.gz SMDGYIPGIGJJDF-FPMFFAJLSA-N 1 2 287.300 3.804 20 0 CHADLO CC[N@H+](Cc1ccc(C)nc1)Cc1cccc(F)c1F ZINC001139934738 1131651629 /nfs/dbraw/zinc/65/16/29/1131651629.db2.gz ZSKMOKZRPVSWOB-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccc(C)nc1)Cc1cccc(F)c1F ZINC001139934738 1131651631 /nfs/dbraw/zinc/65/16/31/1131651631.db2.gz ZSKMOKZRPVSWOB-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO Fc1ccc(Cl)cc1CNc1cc2cc[nH]c2c[nH+]1 ZINC001202987287 1131651720 /nfs/dbraw/zinc/65/17/20/1131651720.db2.gz WKEUSHMBNIBUQY-UHFFFAOYSA-N 1 2 275.714 3.968 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)cc(O)cc2F)CCC1(F)F ZINC001144542212 1131653249 /nfs/dbraw/zinc/65/32/49/1131653249.db2.gz STXDHLYSVFYJFE-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)cc(O)cc2F)CCC1(F)F ZINC001144542212 1131653252 /nfs/dbraw/zinc/65/32/52/1131653252.db2.gz STXDHLYSVFYJFE-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO Oc1ccc(F)c(C[N@@H+]2CCSc3ccccc3C2)c1 ZINC001140052063 1131659139 /nfs/dbraw/zinc/65/91/39/1131659139.db2.gz DJFCREQQBUDPTH-UHFFFAOYSA-N 1 2 289.375 3.639 20 0 CHADLO Oc1ccc(F)c(C[N@H+]2CCSc3ccccc3C2)c1 ZINC001140052063 1131659142 /nfs/dbraw/zinc/65/91/42/1131659142.db2.gz DJFCREQQBUDPTH-UHFFFAOYSA-N 1 2 289.375 3.639 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(C(F)(F)F)ccn2)CCC1(F)F ZINC001140104501 1131663598 /nfs/dbraw/zinc/66/35/98/1131663598.db2.gz QLYRSXYTZQLXHJ-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO CC[N@H+](Cc1ccc(O)cc1F)Cc1cccc(F)c1F ZINC001140129505 1131666949 /nfs/dbraw/zinc/66/69/49/1131666949.db2.gz FPUZDQXTQRIXAR-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1ccc(O)cc1F)Cc1cccc(F)c1F ZINC001140129505 1131666950 /nfs/dbraw/zinc/66/69/50/1131666950.db2.gz FPUZDQXTQRIXAR-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[C@H]([NH2+]Cc1coc(C2CC2)n1)c1ccccc1F ZINC000846045794 1131683622 /nfs/dbraw/zinc/68/36/22/1131683622.db2.gz REHWHFVMXIVMOH-HNNXBMFYSA-N 1 2 274.339 3.932 20 0 CHADLO Fc1ccccc1OC1C[NH+](Cc2cccc3cc[nH]c32)C1 ZINC001144717158 1131689586 /nfs/dbraw/zinc/68/95/86/1131689586.db2.gz DGJAQOJWZGICRX-UHFFFAOYSA-N 1 2 296.345 3.570 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc(-c2ccccn2)cc1 ZINC001140381074 1131708652 /nfs/dbraw/zinc/70/86/52/1131708652.db2.gz OZQRHRIROVAJMK-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc(-c2ccccn2)cc1 ZINC001140381074 1131708656 /nfs/dbraw/zinc/70/86/56/1131708656.db2.gz OZQRHRIROVAJMK-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2cnsn2)CC(C)C)c1 ZINC000846786518 1131735856 /nfs/dbraw/zinc/73/58/56/1131735856.db2.gz TUQOLRFFONSEEI-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO Cc1cccc(C[N@H+](Cc2cnsn2)CC(C)C)c1 ZINC000846786518 1131735861 /nfs/dbraw/zinc/73/58/61/1131735861.db2.gz TUQOLRFFONSEEI-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO c1nsnc1C[N@@H+]1CCCCC[C@H]1c1ccccc1 ZINC000846774602 1131737195 /nfs/dbraw/zinc/73/71/95/1131737195.db2.gz FAFHPYMUIWLUTD-HNNXBMFYSA-N 1 2 273.405 3.655 20 0 CHADLO c1nsnc1C[N@H+]1CCCCC[C@H]1c1ccccc1 ZINC000846774602 1131737201 /nfs/dbraw/zinc/73/72/01/1131737201.db2.gz FAFHPYMUIWLUTD-HNNXBMFYSA-N 1 2 273.405 3.655 20 0 CHADLO Fc1cc(C[N@@H+]2CCCc3ccccc3C2)cnc1Cl ZINC000846804496 1131742568 /nfs/dbraw/zinc/74/25/68/1131742568.db2.gz VOJHOSFSCZWQNU-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Fc1cc(C[N@H+]2CCCc3ccccc3C2)cnc1Cl ZINC000846804496 1131742576 /nfs/dbraw/zinc/74/25/76/1131742576.db2.gz VOJHOSFSCZWQNU-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO CCCC[C@H]([NH2+]Cc1cnsn1)c1ccc(OC)cc1 ZINC000846844901 1131750877 /nfs/dbraw/zinc/75/08/77/1131750877.db2.gz QUYKPKWSNHGGNW-HNNXBMFYSA-N 1 2 291.420 3.568 20 0 CHADLO C[C@@H]1C[C@H]1[C@@H]([NH2+]Cc1cnsn1)c1ccc(Cl)cc1 ZINC000846849812 1131750906 /nfs/dbraw/zinc/75/09/06/1131750906.db2.gz OAUNJPVNOLXTRL-FZQKWOKYSA-N 1 2 293.823 3.678 20 0 CHADLO Fc1cccc(C[NH+]2CC3(C2)C[C@@H](F)CS3)c1Cl ZINC001140567782 1131796966 /nfs/dbraw/zinc/79/69/66/1131796966.db2.gz IJWMTDILFFNXHH-SNVBAGLBSA-N 1 2 289.778 3.509 20 0 CHADLO c1ccn(-c2ccc[nH+]c2N[C@@H]2COCc3ccccc32)c1 ZINC001171291195 1131802894 /nfs/dbraw/zinc/80/28/94/1131802894.db2.gz XKONWCMKGPDXGL-MRXNPFEDSA-N 1 2 291.354 3.556 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(F)c(F)cc3C2)ccc1O ZINC001140579893 1131805043 /nfs/dbraw/zinc/80/50/43/1131805043.db2.gz LCEVXDDSNSDHHG-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)ccc1O ZINC001140579893 1131805034 /nfs/dbraw/zinc/80/50/34/1131805034.db2.gz LCEVXDDSNSDHHG-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO c1sc2ccccc2c1C[N@@H+]1Cc2ccncc2C1 ZINC001140638710 1131837482 /nfs/dbraw/zinc/83/74/82/1131837482.db2.gz YAOQQTOOVQISRU-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO c1sc2ccccc2c1C[N@H+]1Cc2ccncc2C1 ZINC001140638710 1131837491 /nfs/dbraw/zinc/83/74/91/1131837491.db2.gz YAOQQTOOVQISRU-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3ccc(F)cc3C2)c(C)c1 ZINC001140678166 1131856848 /nfs/dbraw/zinc/85/68/48/1131856848.db2.gz SBEKNFAEYMPNTC-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(C[N@H+]2Cc3ccc(F)cc3C2)c(C)c1 ZINC001140678166 1131856862 /nfs/dbraw/zinc/85/68/62/1131856862.db2.gz SBEKNFAEYMPNTC-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](C(C)C)C(F)(F)F)cc2[nH+]1 ZINC001228326108 1131858284 /nfs/dbraw/zinc/85/82/84/1131858284.db2.gz FMAHBUMHLFUKQQ-GFCCVEGCSA-N 1 2 272.270 3.837 20 0 CHADLO Cc1[nH]c2ccc(O[C@H]3CCc4ccccc4C3)cc2[nH+]1 ZINC001228328170 1131858889 /nfs/dbraw/zinc/85/88/89/1131858889.db2.gz BRWDVZUJFUWXQC-HNNXBMFYSA-N 1 2 278.355 3.808 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCC[C@H]3C[C@H]32)cc1Br ZINC001140698267 1131876398 /nfs/dbraw/zinc/87/63/98/1131876398.db2.gz ASLXSEAYZLQXKY-IINYFYTJSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCC[C@H]3C[C@H]32)cc1Br ZINC001140698267 1131876407 /nfs/dbraw/zinc/87/64/07/1131876407.db2.gz ASLXSEAYZLQXKY-IINYFYTJSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccccc2OCC(C)C)no1 ZINC000282712960 1131880928 /nfs/dbraw/zinc/88/09/28/1131880928.db2.gz LOMJWIMMXNIFAA-AWEZNQCLSA-N 1 2 288.391 3.869 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccccc2)C(C)(C)C)n[nH]1 ZINC001203066487 1131917436 /nfs/dbraw/zinc/91/74/36/1131917436.db2.gz FOIQJINPRAEDRK-UHFFFAOYSA-N 1 2 257.381 3.519 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)c(Cl)c1 ZINC001140796390 1131922432 /nfs/dbraw/zinc/92/24/32/1131922432.db2.gz GPHSQAVPBCKJOG-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)c(Cl)c1 ZINC001140796390 1131922438 /nfs/dbraw/zinc/92/24/38/1131922438.db2.gz GPHSQAVPBCKJOG-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO c1cc2ccc(OC3C[NH+](Cc4ccccc4)C3)cc2o1 ZINC001228725418 1131941645 /nfs/dbraw/zinc/94/16/45/1131941645.db2.gz XUONBNXBTBPLEA-UHFFFAOYSA-N 1 2 279.339 3.696 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1ccc(OC(F)(F)F)c(F)c1 ZINC001140885389 1131955735 /nfs/dbraw/zinc/95/57/35/1131955735.db2.gz BHWPYRRJAZQPAO-PSASIEDQSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1ccc(OC(F)(F)F)c(F)c1 ZINC001140885389 1131955739 /nfs/dbraw/zinc/95/57/39/1131955739.db2.gz BHWPYRRJAZQPAO-PSASIEDQSA-N 1 2 295.251 3.657 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H](F)C2)ccc1OC(F)(F)F ZINC001140883627 1131956435 /nfs/dbraw/zinc/95/64/35/1131956435.db2.gz CRTDNPVPRQECNJ-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H](F)C2)ccc1OC(F)(F)F ZINC001140883627 1131956441 /nfs/dbraw/zinc/95/64/41/1131956441.db2.gz CRTDNPVPRQECNJ-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(O)c(F)c2F)CCC1(F)F ZINC001140890053 1131958016 /nfs/dbraw/zinc/95/80/16/1131958016.db2.gz SWJAMAWKSWDGNA-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(O)c(F)c2F)CCC1(F)F ZINC001140890053 1131958023 /nfs/dbraw/zinc/95/80/23/1131958023.db2.gz SWJAMAWKSWDGNA-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(O)c(F)c2F)cc1 ZINC001140891151 1131960331 /nfs/dbraw/zinc/96/03/31/1131960331.db2.gz VFDCZAZWIYHHMF-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(O)c(F)c2F)cc1 ZINC001140891151 1131960332 /nfs/dbraw/zinc/96/03/32/1131960332.db2.gz VFDCZAZWIYHHMF-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(Cl)cc(Cl)c2)[nH]n1 ZINC001203084144 1131976258 /nfs/dbraw/zinc/97/62/58/1131976258.db2.gz RTNRANXKDZKAHF-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(Cl)cc(Cl)c2)[nH]n1 ZINC001203084144 1131976260 /nfs/dbraw/zinc/97/62/60/1131976260.db2.gz RTNRANXKDZKAHF-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1cccnc1 ZINC000037102338 1131994509 /nfs/dbraw/zinc/99/45/09/1131994509.db2.gz KYJZNCRZEPWZLC-AWEZNQCLSA-N 1 2 267.376 3.855 20 0 CHADLO Cc1ncoc1C[N@H+](Cc1ccccc1)Cc1ccncc1 ZINC001141051927 1131995926 /nfs/dbraw/zinc/99/59/26/1131995926.db2.gz XNZBPLIQKJYPFD-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1ncoc1C[N@@H+](Cc1ccccc1)Cc1ccncc1 ZINC001141051927 1131995931 /nfs/dbraw/zinc/99/59/31/1131995931.db2.gz XNZBPLIQKJYPFD-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccns1 ZINC000715931981 1131996216 /nfs/dbraw/zinc/99/62/16/1131996216.db2.gz LNIBTPFVBRNTJN-SECBINFHSA-N 1 2 281.450 3.748 20 0 CHADLO CCCCc1ncc(C[NH+]2CC3(C2)CCCCC3)[nH]1 ZINC001141071280 1132004168 /nfs/dbraw/zinc/00/41/68/1132004168.db2.gz IFMUONUHMLZJLQ-UHFFFAOYSA-N 1 2 261.413 3.518 20 0 CHADLO CCCCc1[nH]c(CN2CCC[C@H]2c2nccs2)c[nH+]1 ZINC001141072024 1132004231 /nfs/dbraw/zinc/00/42/31/1132004231.db2.gz LUQDGKPQQRMULI-ZDUSSCGKSA-N 1 2 290.436 3.546 20 0 CHADLO CCCCc1[nH]cc(CN2CCC[C@H]2c2nccs2)[nH+]1 ZINC001141072024 1132004234 /nfs/dbraw/zinc/00/42/34/1132004234.db2.gz LUQDGKPQQRMULI-ZDUSSCGKSA-N 1 2 290.436 3.546 20 0 CHADLO Clc1ccc([C@@H]2CC[N@H+](Cc3cocn3)C2)cc1Cl ZINC001141129263 1132025191 /nfs/dbraw/zinc/02/51/91/1132025191.db2.gz CRWMHSQJRNXICT-LLVKDONJSA-N 1 2 297.185 3.971 20 0 CHADLO Clc1ccc([C@@H]2CC[N@@H+](Cc3cocn3)C2)cc1Cl ZINC001141129263 1132025199 /nfs/dbraw/zinc/02/51/99/1132025199.db2.gz CRWMHSQJRNXICT-LLVKDONJSA-N 1 2 297.185 3.971 20 0 CHADLO C[C@@H]1CCC[C@@H](C)[NH+]1Cc1ncc(Cl)nc1Cl ZINC001141132123 1132025376 /nfs/dbraw/zinc/02/53/76/1132025376.db2.gz UXZOPULALARCMU-RKDXNWHRSA-N 1 2 274.195 3.546 20 0 CHADLO CC[C@H]1CCCC[N@@H+]1Cc1ncc(Cl)nc1Cl ZINC001141137500 1132026515 /nfs/dbraw/zinc/02/65/15/1132026515.db2.gz LVPXIEQDNDVOLW-VIFPVBQESA-N 1 2 274.195 3.548 20 0 CHADLO CC[C@H]1CCCC[N@H+]1Cc1ncc(Cl)nc1Cl ZINC001141137500 1132026524 /nfs/dbraw/zinc/02/65/24/1132026524.db2.gz LVPXIEQDNDVOLW-VIFPVBQESA-N 1 2 274.195 3.548 20 0 CHADLO Cc1cc(C)c(CNC(=O)CCCSC(C)(C)C)c[nH+]1 ZINC000837104645 1132037921 /nfs/dbraw/zinc/03/79/21/1132037921.db2.gz HYSCJSJGFFRUJQ-UHFFFAOYSA-N 1 2 294.464 3.627 20 0 CHADLO Cc1cc(NCC2(c3ccccc3)CC2)c[nH+]c1C ZINC001171467063 1132041118 /nfs/dbraw/zinc/04/11/18/1132041118.db2.gz SWHBJICACVDEEZ-UHFFFAOYSA-N 1 2 252.361 3.842 20 0 CHADLO CC(C)(Nc1ccc(Cn2cc[nH+]c2)cc1)c1ccncc1 ZINC001171517233 1132048216 /nfs/dbraw/zinc/04/82/16/1132048216.db2.gz PGOCAFDCZPROCF-UHFFFAOYSA-N 1 2 292.386 3.674 20 0 CHADLO Cc1cc(NC(C)(C)c2ccncc2)ccc1[NH+](C)C ZINC001171519118 1132048690 /nfs/dbraw/zinc/04/86/90/1132048690.db2.gz NVZCCTDONWQOIL-UHFFFAOYSA-N 1 2 269.392 3.803 20 0 CHADLO CC(C)Oc1cc(NC(C)(C)c2ccncc2)cc[nH+]1 ZINC001171514920 1132049002 /nfs/dbraw/zinc/04/90/02/1132049002.db2.gz ZAHHGQYFANOGJI-UHFFFAOYSA-N 1 2 271.364 3.611 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)ccc1Cl ZINC001141232742 1132054736 /nfs/dbraw/zinc/05/47/36/1132054736.db2.gz JTSZKQXZJVZHOI-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)ccc1Cl ZINC001141232742 1132054745 /nfs/dbraw/zinc/05/47/45/1132054745.db2.gz JTSZKQXZJVZHOI-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(CC(=O)OC)cc2)cc1 ZINC001212622732 1132060619 /nfs/dbraw/zinc/06/06/19/1132060619.db2.gz UHUKOVFMYDDPJC-UHFFFAOYSA-N 1 2 298.386 3.602 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(CC(=O)OC)cc2)cc1 ZINC001212622732 1132060625 /nfs/dbraw/zinc/06/06/25/1132060625.db2.gz UHUKOVFMYDDPJC-UHFFFAOYSA-N 1 2 298.386 3.602 20 0 CHADLO COc1ncc(C[N@@H+]2CCC=C(Cl)C2)c2ccccc21 ZINC001171665596 1132071932 /nfs/dbraw/zinc/07/19/32/1132071932.db2.gz GPMKGXSUNHUBSX-UHFFFAOYSA-N 1 2 288.778 3.572 20 0 CHADLO COc1ncc(C[N@H+]2CCC=C(Cl)C2)c2ccccc21 ZINC001171665596 1132071938 /nfs/dbraw/zinc/07/19/38/1132071938.db2.gz GPMKGXSUNHUBSX-UHFFFAOYSA-N 1 2 288.778 3.572 20 0 CHADLO FC1(F)CCC[N@@H+]([C@@H]2CCCc3ccccc32)C1 ZINC001171734356 1132098799 /nfs/dbraw/zinc/09/87/99/1132098799.db2.gz GIFAGKUHAKTFLF-CQSZACIVSA-N 1 2 251.320 3.795 20 0 CHADLO FC1(F)CCC[N@H+]([C@@H]2CCCc3ccccc32)C1 ZINC001171734356 1132098805 /nfs/dbraw/zinc/09/88/05/1132098805.db2.gz GIFAGKUHAKTFLF-CQSZACIVSA-N 1 2 251.320 3.795 20 0 CHADLO Cc1cnc(F)c([C@H](C)Oc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001229954010 1132135389 /nfs/dbraw/zinc/13/53/89/1132135389.db2.gz HPEVDNYOVBPTNM-ZDUSSCGKSA-N 1 2 297.333 3.855 20 0 CHADLO c1cn(-c2ccc(O[C@H]3CCc4ccccc43)cc2)c[nH+]1 ZINC001229952104 1132135774 /nfs/dbraw/zinc/13/57/74/1132135774.db2.gz YCXNEVGYEFYXCU-SFHVURJKSA-N 1 2 276.339 3.939 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ccc(C)nc2Cl)n1 ZINC000838895249 1132156305 /nfs/dbraw/zinc/15/63/05/1132156305.db2.gz PALMFNCEEVLEHY-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO c1cn2cccc(Nc3ccc(C4CCOCC4)cc3)c2[nH+]1 ZINC001212650415 1132157421 /nfs/dbraw/zinc/15/74/21/1132157421.db2.gz GQQYHONACJGKGZ-UHFFFAOYSA-N 1 2 293.370 3.972 20 0 CHADLO c1ncc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)[nH]1 ZINC000839125629 1132183438 /nfs/dbraw/zinc/18/34/38/1132183438.db2.gz DMXOQVCBIAJOHV-INIZCTEOSA-N 1 2 267.376 3.527 20 0 CHADLO c1ncc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)[nH]1 ZINC000839125629 1132183442 /nfs/dbraw/zinc/18/34/42/1132183442.db2.gz DMXOQVCBIAJOHV-INIZCTEOSA-N 1 2 267.376 3.527 20 0 CHADLO Fc1cc2ncccc2cc1C[N@@H+]1CCc2occc2C1 ZINC001141634702 1132218364 /nfs/dbraw/zinc/21/83/64/1132218364.db2.gz NYMSCJPRCWNVSX-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Fc1cc2ncccc2cc1C[N@H+]1CCc2occc2C1 ZINC001141634702 1132218370 /nfs/dbraw/zinc/21/83/70/1132218370.db2.gz NYMSCJPRCWNVSX-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)C/C=C\c1ccccc1 ZINC000839587511 1132225653 /nfs/dbraw/zinc/22/56/53/1132225653.db2.gz WXGQWNKKYYQZTO-YFHOEESVSA-N 1 2 289.810 3.527 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)C/C=C\c1ccccc1 ZINC000839587511 1132225661 /nfs/dbraw/zinc/22/56/61/1132225661.db2.gz WXGQWNKKYYQZTO-YFHOEESVSA-N 1 2 289.810 3.527 20 0 CHADLO CC(C)COCC[C@H](C)[N@@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001172474661 1132226503 /nfs/dbraw/zinc/22/65/03/1132226503.db2.gz UYZCDXBNICIFCI-QWHCGFSZSA-N 1 2 281.362 3.507 20 0 CHADLO CC(C)COCC[C@H](C)[N@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001172474661 1132226509 /nfs/dbraw/zinc/22/65/09/1132226509.db2.gz UYZCDXBNICIFCI-QWHCGFSZSA-N 1 2 281.362 3.507 20 0 CHADLO CC[N@H+](Cc1cnc(Cl)s1)Cc1occc1C ZINC000839660435 1132228570 /nfs/dbraw/zinc/22/85/70/1132228570.db2.gz FVILWUOBUHRCEW-UHFFFAOYSA-N 1 2 270.785 3.720 20 0 CHADLO CC[N@@H+](Cc1cnc(Cl)s1)Cc1occc1C ZINC000839660435 1132228573 /nfs/dbraw/zinc/22/85/73/1132228573.db2.gz FVILWUOBUHRCEW-UHFFFAOYSA-N 1 2 270.785 3.720 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC001141753981 1132245908 /nfs/dbraw/zinc/24/59/08/1132245908.db2.gz RSSRWBUAFGKDMS-NSHDSACASA-N 1 2 296.333 3.876 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC001141753981 1132245915 /nfs/dbraw/zinc/24/59/15/1132245915.db2.gz RSSRWBUAFGKDMS-NSHDSACASA-N 1 2 296.333 3.876 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nc(C(F)(F)F)cs2)[C@@H](C)C1 ZINC001141763938 1132246075 /nfs/dbraw/zinc/24/60/75/1132246075.db2.gz HENACBYCDIQFOP-BDAKNGLRSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nc(C(F)(F)F)cs2)[C@@H](C)C1 ZINC001141763938 1132246078 /nfs/dbraw/zinc/24/60/78/1132246078.db2.gz HENACBYCDIQFOP-BDAKNGLRSA-N 1 2 278.343 3.782 20 0 CHADLO CC[N@H+](Cn1nc(C)n(C2CC2)c1=S)C1CC(C)(C)C1 ZINC000840185026 1132248797 /nfs/dbraw/zinc/24/87/97/1132248797.db2.gz VTZXBNSDKAWEOL-UHFFFAOYSA-N 1 2 294.468 3.525 20 0 CHADLO CC[N@@H+](Cn1nc(C)n(C2CC2)c1=S)C1CC(C)(C)C1 ZINC000840185026 1132248801 /nfs/dbraw/zinc/24/88/01/1132248801.db2.gz VTZXBNSDKAWEOL-UHFFFAOYSA-N 1 2 294.468 3.525 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(OC2CCCCC2)cc1 ZINC001231078760 1132252742 /nfs/dbraw/zinc/25/27/42/1132252742.db2.gz DKNYBUKHVWBEEJ-UHFFFAOYSA-N 1 2 256.349 3.799 20 0 CHADLO CCCC(=O)[C@@H](CCC)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231080775 1132253735 /nfs/dbraw/zinc/25/37/35/1132253735.db2.gz HUSSNSJUYYAYRP-MRXNPFEDSA-N 1 2 286.375 3.993 20 0 CHADLO C[C@@H](Cc1ccco1)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231082361 1132253797 /nfs/dbraw/zinc/25/37/97/1132253797.db2.gz VGFZYUWJGCMCJI-LBPRGKRZSA-N 1 2 268.316 3.680 20 0 CHADLO C[C@@H](COC(C)(C)C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231078862 1132253895 /nfs/dbraw/zinc/25/38/95/1132253895.db2.gz FVYILGFZIPGLNO-ZDUSSCGKSA-N 1 2 288.391 3.670 20 0 CHADLO c1c[nH+]c(-c2ccc(O[C@@H]3C[C@H]4CC[C@@H]3C4)cc2)[nH]1 ZINC001231081886 1132253999 /nfs/dbraw/zinc/25/39/99/1132253999.db2.gz OYQUHFPXHACLDM-NJZAAPMLSA-N 1 2 254.333 3.644 20 0 CHADLO Fc1ccc2occ(C[N@@H+]3CCC[C@@H]3c3ncccn3)c2c1 ZINC001141858118 1132257341 /nfs/dbraw/zinc/25/73/41/1132257341.db2.gz AAXZJULZLJPZPE-OAHLLOKOSA-N 1 2 297.333 3.699 20 0 CHADLO Fc1ccc2occ(C[N@H+]3CCC[C@@H]3c3ncccn3)c2c1 ZINC001141858118 1132257346 /nfs/dbraw/zinc/25/73/46/1132257346.db2.gz AAXZJULZLJPZPE-OAHLLOKOSA-N 1 2 297.333 3.699 20 0 CHADLO C[C@@H]1CCCC[N@H+](Cc2csc(C(F)(F)F)n2)C1 ZINC001141870218 1132259485 /nfs/dbraw/zinc/25/94/85/1132259485.db2.gz SWXOXRFQEIOGMQ-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO C[C@@H]1CCCC[N@@H+](Cc2csc(C(F)(F)F)n2)C1 ZINC001141870218 1132259489 /nfs/dbraw/zinc/25/94/89/1132259489.db2.gz SWXOXRFQEIOGMQ-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)[C@@H]1C[C@H]1C ZINC000840741643 1132280116 /nfs/dbraw/zinc/28/01/16/1132280116.db2.gz FOHZVWGLEHBPNH-NONVJHHQSA-N 1 2 288.435 3.758 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccncc3C2)cc1Cl ZINC001231422825 1132291594 /nfs/dbraw/zinc/29/15/94/1132291594.db2.gz RCLBSWWCWQBZHY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccncc3C2)cc1Cl ZINC001231422825 1132291598 /nfs/dbraw/zinc/29/15/98/1132291598.db2.gz RCLBSWWCWQBZHY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO c1csc(-c2ccc(C[N@@H+]3CCn4cncc4C3)cc2)c1 ZINC001231432757 1132294174 /nfs/dbraw/zinc/29/41/74/1132294174.db2.gz RQLVMQDBOXUSSK-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO c1csc(-c2ccc(C[N@H+]3CCn4cncc4C3)cc2)c1 ZINC001231432757 1132294181 /nfs/dbraw/zinc/29/41/81/1132294181.db2.gz RQLVMQDBOXUSSK-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(F)c(C)c2F)cn1 ZINC001231477608 1132297886 /nfs/dbraw/zinc/29/78/86/1132297886.db2.gz AHEVSJJZEMFKCA-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(F)c(C)c2F)cn1 ZINC001231477608 1132297892 /nfs/dbraw/zinc/29/78/92/1132297892.db2.gz AHEVSJJZEMFKCA-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO C(=C/c1ccc(C[N@@H+]2CCO[C@@H]3C[C@@H]32)cc1)\c1ccccc1 ZINC001231488421 1132302406 /nfs/dbraw/zinc/30/24/06/1132302406.db2.gz JZFYWQOXPGJIHG-RLWBPELLSA-N 1 2 291.394 3.830 20 0 CHADLO C(=C/c1ccc(C[N@H+]2CCO[C@@H]3C[C@@H]32)cc1)\c1ccccc1 ZINC001231488421 1132302413 /nfs/dbraw/zinc/30/24/13/1132302413.db2.gz JZFYWQOXPGJIHG-RLWBPELLSA-N 1 2 291.394 3.830 20 0 CHADLO Cc1c(C)c(C)c(C[N@@H+]2CCC[C@H](F)C(=O)C2)c(C)c1C ZINC001231492400 1132304336 /nfs/dbraw/zinc/30/43/36/1132304336.db2.gz JDXOZBVSYUSRTN-KRWDZBQOSA-N 1 2 291.410 3.732 20 0 CHADLO Cc1c(C)c(C)c(C[N@H+]2CCC[C@H](F)C(=O)C2)c(C)c1C ZINC001231492400 1132304343 /nfs/dbraw/zinc/30/43/43/1132304343.db2.gz JDXOZBVSYUSRTN-KRWDZBQOSA-N 1 2 291.410 3.732 20 0 CHADLO Clc1ncccc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000841035136 1132321436 /nfs/dbraw/zinc/32/14/36/1132321436.db2.gz FXACMPWMUCIONS-UHFFFAOYSA-N 1 2 273.767 3.705 20 0 CHADLO COc1cc(F)ccc1C[N@H+](C)Cc1ccc(Cl)nc1 ZINC001231606324 1132326865 /nfs/dbraw/zinc/32/68/65/1132326865.db2.gz OBFKMMDTMQAFDP-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(F)ccc1C[N@@H+](C)Cc1ccc(Cl)nc1 ZINC001231606324 1132326868 /nfs/dbraw/zinc/32/68/68/1132326868.db2.gz OBFKMMDTMQAFDP-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CCN(C(=O)[C@H](CC(C)C)n1cc[nH+]c1)C1CC(C)(C)C1 ZINC001142108065 1132327329 /nfs/dbraw/zinc/32/73/29/1132327329.db2.gz KNQGEPYVUOSXCQ-HNNXBMFYSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)s2)cn1 ZINC001203118113 1132329626 /nfs/dbraw/zinc/32/96/26/1132329626.db2.gz WEGNSLABDLVZKG-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)s2)cn1 ZINC001203118113 1132329630 /nfs/dbraw/zinc/32/96/30/1132329630.db2.gz WEGNSLABDLVZKG-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001172849479 1132339663 /nfs/dbraw/zinc/33/96/63/1132339663.db2.gz MYVPFUQUTJCUBF-UTUOFQBUSA-N 1 2 285.790 3.519 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001172849479 1132339671 /nfs/dbraw/zinc/33/96/71/1132339671.db2.gz MYVPFUQUTJCUBF-UTUOFQBUSA-N 1 2 285.790 3.519 20 0 CHADLO CC1(C)C[NH+](Cc2nc(-c3ccccc3)cs2)C1 ZINC001231680087 1132345250 /nfs/dbraw/zinc/34/52/50/1132345250.db2.gz MBJZLEQRPXXLFB-UHFFFAOYSA-N 1 2 258.390 3.652 20 0 CHADLO Oc1cc(C[N@H+]2CCC[C@H]2c2ccncc2)ccc1Cl ZINC001231734447 1132348773 /nfs/dbraw/zinc/34/87/73/1132348773.db2.gz ZQEXDXSPKLJABI-HNNXBMFYSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)ccc1Cl ZINC001231738643 1132349458 /nfs/dbraw/zinc/34/94/58/1132349458.db2.gz RXYBHNOUQFWYNA-SNVBAGLBSA-N 1 2 293.716 3.820 20 0 CHADLO Oc1cc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)ccc1Cl ZINC001231738643 1132349466 /nfs/dbraw/zinc/34/94/66/1132349466.db2.gz RXYBHNOUQFWYNA-SNVBAGLBSA-N 1 2 293.716 3.820 20 0 CHADLO Cc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(F)n1 ZINC001212693108 1132363161 /nfs/dbraw/zinc/36/31/61/1132363161.db2.gz CXXWJKCBIHAKEM-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO Cc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)n(C(C)C)n1 ZINC001212693246 1132363595 /nfs/dbraw/zinc/36/35/95/1132363595.db2.gz LAOCTPORUHNKLV-UHFFFAOYSA-N 1 2 295.390 3.761 20 0 CHADLO c1cn(Cc2ccc(Nc3cn(C4CCC4)cn3)cc2)c[nH+]1 ZINC001212695027 1132373824 /nfs/dbraw/zinc/37/38/24/1132373824.db2.gz JVCPOPRRIUAVRG-UHFFFAOYSA-N 1 2 293.374 3.597 20 0 CHADLO Cc1csc2sc(C[N@@H+]3CCC(=O)[C@@H](C)C3)c(C)c12 ZINC001231828823 1132374985 /nfs/dbraw/zinc/37/49/85/1132374985.db2.gz MGPTWMBROUYOCX-VIFPVBQESA-N 1 2 293.457 3.991 20 0 CHADLO Cc1csc2sc(C[N@H+]3CCC(=O)[C@@H](C)C3)c(C)c12 ZINC001231828823 1132374988 /nfs/dbraw/zinc/37/49/88/1132374988.db2.gz MGPTWMBROUYOCX-VIFPVBQESA-N 1 2 293.457 3.991 20 0 CHADLO CC(C)c1ccccc1C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C ZINC001231877479 1132388290 /nfs/dbraw/zinc/38/82/90/1132388290.db2.gz GONRUBUYCQDMLP-AWEZNQCLSA-N 1 2 291.435 3.972 20 0 CHADLO CC(C)c1ccccc1C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C ZINC001231877479 1132388302 /nfs/dbraw/zinc/38/83/02/1132388302.db2.gz GONRUBUYCQDMLP-AWEZNQCLSA-N 1 2 291.435 3.972 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(C(F)(F)F)cnc2Cl)C1 ZINC001232005264 1132408613 /nfs/dbraw/zinc/40/86/13/1132408613.db2.gz IGYLUABGZVPANX-MRVPVSSYSA-N 1 2 278.705 3.596 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(C(F)(F)F)cnc2Cl)C1 ZINC001232005264 1132408616 /nfs/dbraw/zinc/40/86/16/1132408616.db2.gz IGYLUABGZVPANX-MRVPVSSYSA-N 1 2 278.705 3.596 20 0 CHADLO Fc1cc(F)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c(Cl)c1 ZINC001232100543 1132422423 /nfs/dbraw/zinc/42/24/23/1132422423.db2.gz ZQSPWIAWJVXNHI-QOSJWCAFSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c(Cl)c1 ZINC001232100543 1132422426 /nfs/dbraw/zinc/42/24/26/1132422426.db2.gz ZQSPWIAWJVXNHI-QOSJWCAFSA-N 1 2 275.701 3.551 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232102203 1132424721 /nfs/dbraw/zinc/42/47/21/1132424721.db2.gz QTMQEPSRPANMOV-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232102203 1132424726 /nfs/dbraw/zinc/42/47/26/1132424726.db2.gz QTMQEPSRPANMOV-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2nc(Cl)ccc2F)cc1 ZINC001232156646 1132430087 /nfs/dbraw/zinc/43/00/87/1132430087.db2.gz GRDDZZBDFYQQOG-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2nc(Cl)ccc2F)cc1 ZINC001232156646 1132430088 /nfs/dbraw/zinc/43/00/88/1132430088.db2.gz GRDDZZBDFYQQOG-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1scnc1C[N@@H+]1CCC[C@H]1c1ccccc1F ZINC001232204145 1132433445 /nfs/dbraw/zinc/43/34/45/1132433445.db2.gz SVPOFEFWMBCICW-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1scnc1C[N@H+]1CCC[C@H]1c1ccccc1F ZINC001232204145 1132433448 /nfs/dbraw/zinc/43/34/48/1132433448.db2.gz SVPOFEFWMBCICW-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1scnc1C[N@@H+]1CCSC[C@H]1c1ccccc1 ZINC001232206783 1132434890 /nfs/dbraw/zinc/43/48/90/1132434890.db2.gz OEJVYAIKPLVVEU-HNNXBMFYSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1scnc1C[N@H+]1CCSC[C@H]1c1ccccc1 ZINC001232206783 1132434894 /nfs/dbraw/zinc/43/48/94/1132434894.db2.gz OEJVYAIKPLVVEU-HNNXBMFYSA-N 1 2 290.457 3.742 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)ccn1 ZINC001232214512 1132437800 /nfs/dbraw/zinc/43/78/00/1132437800.db2.gz SFDMRHQTLGUQJJ-BBRMVZONSA-N 1 2 282.378 3.651 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)ccn1 ZINC001232214512 1132437803 /nfs/dbraw/zinc/43/78/03/1132437803.db2.gz SFDMRHQTLGUQJJ-BBRMVZONSA-N 1 2 282.378 3.651 20 0 CHADLO CCSC[C@@H](C)N1CC[NH+]([C@@H](C)c2ccccc2)CC1 ZINC001173303999 1132448654 /nfs/dbraw/zinc/44/86/54/1132448654.db2.gz CCZXRYANKUTKNN-CVEARBPZSA-N 1 2 292.492 3.507 20 0 CHADLO Cc1sc(C[N@H+]2C[C@@H](F)C[C@H]2C)cc1Br ZINC001232291191 1132450970 /nfs/dbraw/zinc/45/09/70/1132450970.db2.gz HWAFTQLHHOFNSZ-APPZFPTMSA-N 1 2 292.217 3.751 20 0 CHADLO Cc1sc(C[N@@H+]2C[C@@H](F)C[C@H]2C)cc1Br ZINC001232291191 1132450972 /nfs/dbraw/zinc/45/09/72/1132450972.db2.gz HWAFTQLHHOFNSZ-APPZFPTMSA-N 1 2 292.217 3.751 20 0 CHADLO Cc1cccc(F)c1C[NH2+][C@@H](C)c1cc2n(n1)CCCC2 ZINC000842844418 1132467038 /nfs/dbraw/zinc/46/70/38/1132467038.db2.gz SSMNPRFXTSXTBK-ZDUSSCGKSA-N 1 2 287.382 3.518 20 0 CHADLO CCN(Cc1c[nH+]c(C)[nH]1)Cc1c(F)cccc1Cl ZINC001232465182 1132473791 /nfs/dbraw/zinc/47/37/91/1132473791.db2.gz XQFQTBXQWKPMJJ-UHFFFAOYSA-N 1 2 281.762 3.533 20 0 CHADLO CCN(Cc1c[nH]c(C)[nH+]1)Cc1c(F)cccc1Cl ZINC001232465182 1132473795 /nfs/dbraw/zinc/47/37/95/1132473795.db2.gz XQFQTBXQWKPMJJ-UHFFFAOYSA-N 1 2 281.762 3.533 20 0 CHADLO Cc1cccc(C2([NH2+][C@H]3CCCc4[nH]ncc43)CCC2)c1 ZINC000842902460 1132474435 /nfs/dbraw/zinc/47/44/35/1132474435.db2.gz VXOUNOWLGWCWRN-INIZCTEOSA-N 1 2 281.403 3.765 20 0 CHADLO CCc1csc(C[N@H+](C)[C@@H](C)c2ccccc2OC)n1 ZINC001232475943 1132475823 /nfs/dbraw/zinc/47/58/23/1132475823.db2.gz UKRSUGGKOQGGBR-LBPRGKRZSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1csc(C[N@@H+](C)[C@@H](C)c2ccccc2OC)n1 ZINC001232475943 1132475828 /nfs/dbraw/zinc/47/58/28/1132475828.db2.gz UKRSUGGKOQGGBR-LBPRGKRZSA-N 1 2 290.432 3.907 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCCC(F)(F)[C@@H](F)C1 ZINC001232489572 1132479894 /nfs/dbraw/zinc/47/98/94/1132479894.db2.gz KPOROIMCNBILNK-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCCC(F)(F)[C@@H](F)C1 ZINC001232489572 1132479898 /nfs/dbraw/zinc/47/98/98/1132479898.db2.gz KPOROIMCNBILNK-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO COc1cc(C)c(C[N@@H+]2CCCCC(F)(F)C2)c(C)c1 ZINC001142933597 1132483697 /nfs/dbraw/zinc/48/36/97/1132483697.db2.gz WRMOARBBOHYCGM-UHFFFAOYSA-N 1 2 283.362 3.933 20 0 CHADLO COc1cc(C)c(C[N@H+]2CCCCC(F)(F)C2)c(C)c1 ZINC001142933597 1132483701 /nfs/dbraw/zinc/48/37/01/1132483701.db2.gz WRMOARBBOHYCGM-UHFFFAOYSA-N 1 2 283.362 3.933 20 0 CHADLO c1ccc2oc(C[N@@H+]3CCSc4ccccc4C3)nc2c1 ZINC001232559227 1132487350 /nfs/dbraw/zinc/48/73/50/1132487350.db2.gz GEWPPYVUVHWMHE-UHFFFAOYSA-N 1 2 296.395 3.936 20 0 CHADLO c1ccc2oc(C[N@H+]3CCSc4ccccc4C3)nc2c1 ZINC001232559227 1132487353 /nfs/dbraw/zinc/48/73/53/1132487353.db2.gz GEWPPYVUVHWMHE-UHFFFAOYSA-N 1 2 296.395 3.936 20 0 CHADLO C[N@H+](Cc1nc2ccccc2o1)Cc1cc2ccoc2cn1 ZINC001232554157 1132487993 /nfs/dbraw/zinc/48/79/93/1132487993.db2.gz MOIWYBNIZRKRLD-UHFFFAOYSA-N 1 2 293.326 3.601 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2o1)Cc1cc2ccoc2cn1 ZINC001232554157 1132487995 /nfs/dbraw/zinc/48/79/95/1132487995.db2.gz MOIWYBNIZRKRLD-UHFFFAOYSA-N 1 2 293.326 3.601 20 0 CHADLO CCN(C)c1ccc(Nc2cccnc2C2CC2)c[nH+]1 ZINC001212738078 1132490933 /nfs/dbraw/zinc/49/09/33/1132490933.db2.gz SJCBMOBIPPAZSN-UHFFFAOYSA-N 1 2 268.364 3.554 20 0 CHADLO Cn1nc(-c2ccccc2)cc1C[NH+]1Cc2ccccc2C1 ZINC001143005097 1132493339 /nfs/dbraw/zinc/49/33/39/1132493339.db2.gz UGUYOKOFKGYPKU-UHFFFAOYSA-N 1 2 289.382 3.603 20 0 CHADLO c1cn(Cc2cccc(Nc3cccnc3C3CC3)c2)c[nH+]1 ZINC001212738992 1132494108 /nfs/dbraw/zinc/49/41/08/1132494108.db2.gz URQUPEYMAQUVDZ-UHFFFAOYSA-N 1 2 290.370 3.947 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc2ccsc2c1 ZINC001232617134 1132494733 /nfs/dbraw/zinc/49/47/33/1132494733.db2.gz WOLREABVYXHFTO-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc2ccsc2c1 ZINC001232617134 1132494738 /nfs/dbraw/zinc/49/47/38/1132494738.db2.gz WOLREABVYXHFTO-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO COc1cccc(C)c1C[N@@H+]1Cc2cccc(F)c2C1 ZINC001232625307 1132496408 /nfs/dbraw/zinc/49/64/08/1132496408.db2.gz NZODODDDAPJEDE-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc(C)c1C[N@H+]1Cc2cccc(F)c2C1 ZINC001232625307 1132496417 /nfs/dbraw/zinc/49/64/17/1132496417.db2.gz NZODODDDAPJEDE-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO CCCC[N@H+](C)Cc1cc(F)c(O)c(Br)c1 ZINC001232630441 1132498528 /nfs/dbraw/zinc/49/85/28/1132498528.db2.gz RQRCVXOQTPLAQO-UHFFFAOYSA-N 1 2 290.176 3.526 20 0 CHADLO CCCC[N@@H+](C)Cc1cc(F)c(O)c(Br)c1 ZINC001232630441 1132498533 /nfs/dbraw/zinc/49/85/33/1132498533.db2.gz RQRCVXOQTPLAQO-UHFFFAOYSA-N 1 2 290.176 3.526 20 0 CHADLO Clc1ccc2cc(C[N@@H+]3CCCCC34COC4)[nH]c2c1 ZINC001232729039 1132509867 /nfs/dbraw/zinc/50/98/67/1132509867.db2.gz AFBRRHAUQGQEER-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1ccc2cc(C[N@H+]3CCCCC34COC4)[nH]c2c1 ZINC001232729039 1132509872 /nfs/dbraw/zinc/50/98/72/1132509872.db2.gz AFBRRHAUQGQEER-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Cc1c(C[N@@H+]2CCOC3(CCCCC3)C2)ccnc1Cl ZINC001232756705 1132515413 /nfs/dbraw/zinc/51/54/13/1132515413.db2.gz IJIXJVXWIQTTBY-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Cc1c(C[N@H+]2CCOC3(CCCCC3)C2)ccnc1Cl ZINC001232756705 1132515420 /nfs/dbraw/zinc/51/54/20/1132515420.db2.gz IJIXJVXWIQTTBY-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO CSc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)cc1 ZINC001173813013 1132517890 /nfs/dbraw/zinc/51/78/90/1132517890.db2.gz PATUMFSMBGPJFM-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1cc3cccnc3[nH]1)C2 ZINC001143224672 1132521224 /nfs/dbraw/zinc/52/12/24/1132521224.db2.gz YEMWTOWCRLUWRJ-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1cc3cccnc3[nH]1)C2 ZINC001143224672 1132521229 /nfs/dbraw/zinc/52/12/29/1132521229.db2.gz YEMWTOWCRLUWRJ-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO CN(C)c1ccc(Nc2cccc(C(F)(F)F)c2)c[nH+]1 ZINC001173821309 1132524685 /nfs/dbraw/zinc/52/46/85/1132524685.db2.gz BDYWRDXCTGTUPO-UHFFFAOYSA-N 1 2 281.281 3.910 20 0 CHADLO Brc1c[nH+]c2ccc(Nc3ccccc3)cn12 ZINC001173791798 1132527138 /nfs/dbraw/zinc/52/71/38/1132527138.db2.gz DHBJHAJHSPYRTN-UHFFFAOYSA-N 1 2 288.148 3.840 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H](F)C2)cc(OC(F)(F)F)c1 ZINC001143264019 1132527220 /nfs/dbraw/zinc/52/72/20/1132527220.db2.gz KBMUWCQIUQVNJF-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H](F)C2)cc(OC(F)(F)F)c1 ZINC001143264019 1132527225 /nfs/dbraw/zinc/52/72/25/1132527225.db2.gz KBMUWCQIUQVNJF-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO FC(F)(F)Oc1cc[nH+]c(Nc2ccccc2)c1 ZINC001173793890 1132528668 /nfs/dbraw/zinc/52/86/68/1132528668.db2.gz STZBVVVCSXVBLT-UHFFFAOYSA-N 1 2 254.211 3.724 20 0 CHADLO COc1c(F)ccc(C[NH+]2CC3(C2)CCCCC3)c1F ZINC001143328529 1132536409 /nfs/dbraw/zinc/53/64/09/1132536409.db2.gz ATEOTTIEJKYQDS-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO C[N@@H+](Cc1c(Br)ccc(F)c1F)CC1CC1 ZINC001143329233 1132536938 /nfs/dbraw/zinc/53/69/38/1132536938.db2.gz NBHVQAAZKDKZGA-UHFFFAOYSA-N 1 2 290.151 3.569 20 0 CHADLO C[N@H+](Cc1c(Br)ccc(F)c1F)CC1CC1 ZINC001143329233 1132536943 /nfs/dbraw/zinc/53/69/43/1132536943.db2.gz NBHVQAAZKDKZGA-UHFFFAOYSA-N 1 2 290.151 3.569 20 0 CHADLO COc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1 ZINC001173803353 1132537218 /nfs/dbraw/zinc/53/72/18/1132537218.db2.gz AAIOVKBUEOPSFT-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC001173802875 1132537653 /nfs/dbraw/zinc/53/76/53/1132537653.db2.gz WSYDIKZTJMNFKX-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(F)c(O)c2F)CCC1(F)F ZINC001232930932 1132544480 /nfs/dbraw/zinc/54/44/80/1132544480.db2.gz HECWHXSDGXLZPV-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(F)c(O)c2F)CCC1(F)F ZINC001232930932 1132544486 /nfs/dbraw/zinc/54/44/86/1132544486.db2.gz HECWHXSDGXLZPV-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(Cl)c(O)c3F)ccc21 ZINC001214801128 1132553660 /nfs/dbraw/zinc/55/36/60/1132553660.db2.gz AAYZLTCYXOBTJM-UHFFFAOYSA-N 1 2 291.713 3.815 20 0 CHADLO F[C@H]1C[N@H+](Cc2ccc(C3CC3)cc2)CCC1(F)F ZINC001232984655 1132556559 /nfs/dbraw/zinc/55/65/59/1132556559.db2.gz PNRDOEBXONUOEX-AWEZNQCLSA-N 1 2 269.310 3.743 20 0 CHADLO F[C@H]1C[N@@H+](Cc2ccc(C3CC3)cc2)CCC1(F)F ZINC001232984655 1132556563 /nfs/dbraw/zinc/55/65/63/1132556563.db2.gz PNRDOEBXONUOEX-AWEZNQCLSA-N 1 2 269.310 3.743 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2cccc(F)c2F)cn1 ZINC001233012361 1132562783 /nfs/dbraw/zinc/56/27/83/1132562783.db2.gz LPSHRKHRLFMSTA-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2cccc(F)c2F)cn1 ZINC001233012361 1132562790 /nfs/dbraw/zinc/56/27/90/1132562790.db2.gz LPSHRKHRLFMSTA-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Oc1cnc(Cl)cc1C[N@H+]1CCC[C@@H]1C1CCCCC1 ZINC001233039461 1132566248 /nfs/dbraw/zinc/56/62/48/1132566248.db2.gz WONXSWSRZQXUBL-CQSZACIVSA-N 1 2 294.826 3.985 20 0 CHADLO Oc1cnc(Cl)cc1C[N@@H+]1CCC[C@@H]1C1CCCCC1 ZINC001233039461 1132566242 /nfs/dbraw/zinc/56/62/42/1132566242.db2.gz WONXSWSRZQXUBL-CQSZACIVSA-N 1 2 294.826 3.985 20 0 CHADLO C[C@@]1(Br)C[C@H]1CNc1c[nH+]cc2c1CCCC2 ZINC001206637304 1132566194 /nfs/dbraw/zinc/56/61/94/1132566194.db2.gz ZIGFJGMXHRTNCW-SMDDNHRTSA-N 1 2 295.224 3.546 20 0 CHADLO CCCO[C@@H]1CC[N@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233210027 1132580352 /nfs/dbraw/zinc/58/03/52/1132580352.db2.gz ARGBYQAGARJPNX-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233210027 1132580357 /nfs/dbraw/zinc/58/03/57/1132580357.db2.gz ARGBYQAGARJPNX-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COc1cccc(Nc2[nH+]cccc2N2CCCCC2)c1 ZINC001173826053 1132580671 /nfs/dbraw/zinc/58/06/71/1132580671.db2.gz IKOUGAXHIQYLHX-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO COc1ccc(Nc2ccc(C)[nH+]c2C)c(OC)c1F ZINC001203376060 1132581538 /nfs/dbraw/zinc/58/15/38/1132581538.db2.gz IRLMLQWSSPAADQ-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cccc3c1CCO3)C2 ZINC001233277408 1132591999 /nfs/dbraw/zinc/59/19/99/1132591999.db2.gz ALMRGJRQMRJMGR-UHFFFAOYSA-N 1 2 285.774 3.791 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cccc3c1CCO3)C2 ZINC001233277408 1132592005 /nfs/dbraw/zinc/59/20/05/1132592005.db2.gz ALMRGJRQMRJMGR-UHFFFAOYSA-N 1 2 285.774 3.791 20 0 CHADLO CC(C)c1ccc(Nc2ccc[nH+]c2N2CCOCC2)cc1 ZINC001173893524 1132598313 /nfs/dbraw/zinc/59/83/13/1132598313.db2.gz HFQLXKVMANJPET-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)o1 ZINC001233317488 1132599316 /nfs/dbraw/zinc/59/93/16/1132599316.db2.gz OPWMOTVFONGKLL-AWEZNQCLSA-N 1 2 283.799 3.714 20 0 CHADLO Clc1ccc(C[N@H+]2CCOC[C@H]2C2CCCCC2)o1 ZINC001233317488 1132599320 /nfs/dbraw/zinc/59/93/20/1132599320.db2.gz OPWMOTVFONGKLL-AWEZNQCLSA-N 1 2 283.799 3.714 20 0 CHADLO CC(=O)c1cccc(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001173902349 1132606330 /nfs/dbraw/zinc/60/63/30/1132606330.db2.gz ZUNRHOMLJXKEDI-UHFFFAOYSA-N 1 2 265.316 3.520 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc3cccnc3s1)C2 ZINC001233349016 1132607633 /nfs/dbraw/zinc/60/76/33/1132607633.db2.gz AANGZULUQRTHOX-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc3cccnc3s1)C2 ZINC001233349016 1132607637 /nfs/dbraw/zinc/60/76/37/1132607637.db2.gz AANGZULUQRTHOX-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1F)Cc1ccc(N)cc1Cl ZINC001233376896 1132612017 /nfs/dbraw/zinc/61/20/17/1132612017.db2.gz FNNHRZBNARCIIW-UHFFFAOYSA-N 1 2 296.748 3.832 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1F)Cc1ccc(N)cc1Cl ZINC001233376896 1132612022 /nfs/dbraw/zinc/61/20/22/1132612022.db2.gz FNNHRZBNARCIIW-UHFFFAOYSA-N 1 2 296.748 3.832 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@@H]2c2cc(F)ccc2F)o1 ZINC001233367320 1132612776 /nfs/dbraw/zinc/61/27/76/1132612776.db2.gz ZNXLSWZLKTVGFU-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@@H]2c2cc(F)ccc2F)o1 ZINC001233367320 1132612781 /nfs/dbraw/zinc/61/27/81/1132612781.db2.gz ZNXLSWZLKTVGFU-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO COc1ccc(OC)c(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001173919003 1132614001 /nfs/dbraw/zinc/61/40/01/1132614001.db2.gz OHNCSXQQZGAOLQ-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO C[N@@H+](Cc1cn2ccc(Cl)cc2n1)Cc1cccs1 ZINC001233390907 1132616558 /nfs/dbraw/zinc/61/65/58/1132616558.db2.gz NZZCQIDSUCHYDX-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@H+](Cc1cn2ccc(Cl)cc2n1)Cc1cccs1 ZINC001233390907 1132616559 /nfs/dbraw/zinc/61/65/59/1132616559.db2.gz NZZCQIDSUCHYDX-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO COc1ccc2[nH+]c(NCC(C)(F)F)c(C)cc2c1 ZINC001154852445 1132628155 /nfs/dbraw/zinc/62/81/55/1132628155.db2.gz RKHOJHKEAKUNOY-UHFFFAOYSA-N 1 2 266.291 3.619 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CC[C@](C)(O)CC1 ZINC001154951414 1132632052 /nfs/dbraw/zinc/63/20/52/1132632052.db2.gz HTGYNMRYLSJWLU-CZIWCDLHSA-N 1 2 270.376 3.649 20 0 CHADLO Cc1cccc(Nc2ccc3c(c2)[nH+]cn3C)c1C ZINC001173952813 1132660009 /nfs/dbraw/zinc/66/00/09/1132660009.db2.gz DUALUISQDPIXTH-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO c1ccc2ncc(Nc3ccc[nH+]c3N3CCCC3)cc2c1 ZINC001174001525 1132671889 /nfs/dbraw/zinc/67/18/89/1132671889.db2.gz WCAOOGLXRQLDGV-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO c1cn2cccc(Nc3cnc4ccccc4c3)c2[nH+]1 ZINC001174001365 1132672171 /nfs/dbraw/zinc/67/21/71/1132672171.db2.gz QSVGQTIBYDOEMB-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1cc(Cl)c(C)c(NCc2ccccc2N)[nH+]1 ZINC001155984571 1132675295 /nfs/dbraw/zinc/67/52/95/1132675295.db2.gz PCPSPTVFDBMJNT-UHFFFAOYSA-N 1 2 261.756 3.546 20 0 CHADLO c1cn(-c2cccc(Nc3ccc4c(c3)CCO4)c2)c[nH+]1 ZINC001174010838 1132677557 /nfs/dbraw/zinc/67/75/57/1132677557.db2.gz VJUYIAYBNOZTIV-UHFFFAOYSA-N 1 2 277.327 3.551 20 0 CHADLO c1cn(Cc2cccc(Nc3ccc4c(c3)CCO4)c2)c[nH+]1 ZINC001174011036 1132677941 /nfs/dbraw/zinc/67/79/41/1132677941.db2.gz PUYIYFBVKFFMJD-UHFFFAOYSA-N 1 2 291.354 3.610 20 0 CHADLO COc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1F ZINC001173973676 1132683236 /nfs/dbraw/zinc/68/32/36/1132683236.db2.gz RIQQRVPEAZLFPL-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@H](c1cccnc1)C(F)(F)F ZINC001156159796 1132685153 /nfs/dbraw/zinc/68/51/53/1132685153.db2.gz VMPTULWROGSHEX-LLVKDONJSA-N 1 2 285.244 3.640 20 0 CHADLO Cc1ccc(Nc2cccc3[nH]c(=O)ccc23)c(C)[nH+]1 ZINC001203376918 1132690585 /nfs/dbraw/zinc/69/05/85/1132690585.db2.gz WSNCIKKGCLYFAA-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(OC)cc2C)c[nH+]1 ZINC001174022231 1132696234 /nfs/dbraw/zinc/69/62/34/1132696234.db2.gz NQSFDCNQXCDOIX-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO COC(C)(C)CNc1cc(-c2ccnc(Cl)c2)cc[nH+]1 ZINC001156439449 1132696402 /nfs/dbraw/zinc/69/64/02/1132696402.db2.gz JWHCKXPCHLAGBG-UHFFFAOYSA-N 1 2 291.782 3.634 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CC(C)(C)OC(C)(C)C1 ZINC001156453412 1132697353 /nfs/dbraw/zinc/69/73/53/1132697353.db2.gz BLGFQCMXKZZFLF-UHFFFAOYSA-N 1 2 284.403 3.937 20 0 CHADLO COc1ncccc1Nc1c(C)cc[nH+]c1C(C)C ZINC001174041895 1132705694 /nfs/dbraw/zinc/70/56/94/1132705694.db2.gz INOJIQYAXVWALK-UHFFFAOYSA-N 1 2 257.337 3.661 20 0 CHADLO Cc1ccc2cc(Cl)nc(NCc3cc[nH+]c(N)c3)c2c1 ZINC001156756615 1132709033 /nfs/dbraw/zinc/70/90/33/1132709033.db2.gz BHECTBBGVWGDEH-UHFFFAOYSA-N 1 2 298.777 3.786 20 0 CHADLO Cc1c(Cl)ccc2ccc(NCc3cc[nH+]c(N)c3)nc12 ZINC001156760016 1132709065 /nfs/dbraw/zinc/70/90/65/1132709065.db2.gz AAJQRXSIANHXIV-UHFFFAOYSA-N 1 2 298.777 3.786 20 0 CHADLO Cn1ccc2cc(Nc3ccc[nH+]c3N3CCCC3)ccc21 ZINC001174096514 1132713426 /nfs/dbraw/zinc/71/34/26/1132713426.db2.gz GDYRQJWDSMUHND-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO CCc1cccc(Nc2ccc3c(ccn3C)c2)[nH+]1 ZINC001174097738 1132714936 /nfs/dbraw/zinc/71/49/36/1132714936.db2.gz REEHYAQEZTWAGQ-UHFFFAOYSA-N 1 2 251.333 3.879 20 0 CHADLO c1cn2c(cccc2Nc2cncc3ccccc32)[nH+]1 ZINC001174099442 1132716887 /nfs/dbraw/zinc/71/68/87/1132716887.db2.gz REYKPOJFUFNUKT-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO COc1cc(Nc2cncc3ccccc32)cc(C)[nH+]1 ZINC001174100586 1132717643 /nfs/dbraw/zinc/71/76/43/1132717643.db2.gz JEBCYKWDTOZJHK-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Cc1cc(CNc2cc(C)c3cccc(C)c3[nH+]2)nn1C ZINC001156945974 1132717898 /nfs/dbraw/zinc/71/78/98/1132717898.db2.gz VUBBAEMIGICFPC-UHFFFAOYSA-N 1 2 280.375 3.506 20 0 CHADLO c1ccc2c(c1)cncc2Nc1ccc(N2CCCC2)[nH+]c1 ZINC001174100553 1132717958 /nfs/dbraw/zinc/71/79/58/1132717958.db2.gz HKBDTWFQDVDDMR-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO c1ccc(-c2cc[nH+]c(N3CCC[C@H]4C[C@H]43)c2)cc1 ZINC001157133360 1132722589 /nfs/dbraw/zinc/72/25/89/1132722589.db2.gz SKIOMTXXZWZLSQ-JKSUJKDBSA-N 1 2 250.345 3.737 20 0 CHADLO CC(C)Oc1ccc(Nc2cccn3cc[nH+]c23)cc1 ZINC001174107483 1132723747 /nfs/dbraw/zinc/72/37/47/1132723747.db2.gz IUZOGCQUCISZGL-UHFFFAOYSA-N 1 2 267.332 3.865 20 0 CHADLO Cc1ccc(F)c(Nc2[nH+]c3ccccc3n2C)c1 ZINC001174110691 1132725903 /nfs/dbraw/zinc/72/59/03/1132725903.db2.gz NOAZUGRWUGQONS-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO CCCNc1[nH+]cnc2[nH]c3ccc(Cl)cc3c21 ZINC001157361407 1132727896 /nfs/dbraw/zinc/72/78/96/1132727896.db2.gz DPVKKVJAMLUAKR-UHFFFAOYSA-N 1 2 260.728 3.586 20 0 CHADLO CC(C)Oc1cccc(Nc2cccn3cc[nH+]c23)c1 ZINC001174112547 1132728178 /nfs/dbraw/zinc/72/81/78/1132728178.db2.gz LUCFNIJCPCDUGX-UHFFFAOYSA-N 1 2 267.332 3.865 20 0 CHADLO Fc1cc(Nc2ccn3cc[nH+]c3c2)cc(C(F)(F)F)n1 ZINC001213008004 1132730232 /nfs/dbraw/zinc/73/02/32/1132730232.db2.gz NXOLTTJTJKVGCI-UHFFFAOYSA-N 1 2 296.227 3.631 20 0 CHADLO CCSc1cc[nH+]c(NCc2cccc3cn(C)nc32)c1 ZINC001157416544 1132731466 /nfs/dbraw/zinc/73/14/66/1132731466.db2.gz BNDOFMCCKNSJBF-UHFFFAOYSA-N 1 2 298.415 3.692 20 0 CHADLO Cc1cccc(Nc2ccc(C(=O)N3CCCCC3)cc2)[nH+]1 ZINC001174070526 1132733326 /nfs/dbraw/zinc/73/33/26/1132733326.db2.gz IXDUAAATFVPOON-UHFFFAOYSA-N 1 2 295.386 3.760 20 0 CHADLO COc1cncc(Nc2c[nH+]c(CC(C)C)cc2C)c1 ZINC001174090287 1132736323 /nfs/dbraw/zinc/73/63/23/1132736323.db2.gz LSNWOVVDZYEFJD-UHFFFAOYSA-N 1 2 271.364 3.736 20 0 CHADLO c1cn2cccc(Nc3cccc4cnccc43)c2[nH+]1 ZINC001174135437 1132741872 /nfs/dbraw/zinc/74/18/72/1132741872.db2.gz CCMFIIAJBRVMET-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc3cnccc32)[nH+]1 ZINC001174134744 1132742020 /nfs/dbraw/zinc/74/20/20/1132742020.db2.gz QHSOGKUOKTWGPZ-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1cc(Cl)c(C)c(NCCCOC(C)C)[nH+]1 ZINC001157871125 1132749708 /nfs/dbraw/zinc/74/97/08/1132749708.db2.gz WWUWVQSDUIXFSV-UHFFFAOYSA-N 1 2 256.777 3.579 20 0 CHADLO CCOC(=O)c1cc2cc[nH+]c(N[C@@H](C)C(C)(C)C)c2[nH]1 ZINC001157875913 1132751110 /nfs/dbraw/zinc/75/11/10/1132751110.db2.gz QGUGRQUHOSKXRT-JTQLQIEISA-N 1 2 289.379 3.586 20 0 CHADLO Cc1cc(NC(C2CC2)C2CC2)nc(C(C)(C)C)[nH+]1 ZINC001157921259 1132756207 /nfs/dbraw/zinc/75/62/07/1132756207.db2.gz AKFKUADLQYKPDN-UHFFFAOYSA-N 1 2 259.397 3.683 20 0 CHADLO COc1ccc[nH+]c1NCCOc1cccc2ccccc21 ZINC001158147561 1132765027 /nfs/dbraw/zinc/76/50/27/1132765027.db2.gz GPCGAZCMUQKJMB-UHFFFAOYSA-N 1 2 294.354 3.734 20 0 CHADLO Cc1ccc(Nc2cccc(-n3cccn3)c2)c(C)[nH+]1 ZINC001174299181 1132778946 /nfs/dbraw/zinc/77/89/46/1132778946.db2.gz FFGPQYMVOFANPY-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1cccc(N2CCCCC2)c1 ZINC001174302034 1132781245 /nfs/dbraw/zinc/78/12/45/1132781245.db2.gz QBKQCILIPLYGFK-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO COc1c[nH+]c(NCCc2coc3ccccc23)c(C)c1 ZINC001158658693 1132787947 /nfs/dbraw/zinc/78/79/47/1132787947.db2.gz GWIPIORCEFCRIY-UHFFFAOYSA-N 1 2 282.343 3.799 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(C(F)(F)F)nc2)c(C)c1 ZINC001174311037 1132789246 /nfs/dbraw/zinc/78/92/46/1132789246.db2.gz NKNXLQRMHIWKHJ-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(C(F)(F)F)nc3)ccc21 ZINC001174312166 1132790640 /nfs/dbraw/zinc/79/06/40/1132790640.db2.gz WMRKOXCDUGXTKJ-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO Cn1ncc2ccc(Nc3ccccc3-n3cc[nH+]c3)cc21 ZINC001174339400 1132798819 /nfs/dbraw/zinc/79/88/19/1132798819.db2.gz FSYUBQBPHVWWKG-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Nc1ccc(Nc2ccnc(Oc3ccccc3)c2)c[nH+]1 ZINC001159281785 1132823352 /nfs/dbraw/zinc/82/33/52/1132823352.db2.gz ASFGJSWDYSDMPN-UHFFFAOYSA-N 1 2 278.315 3.595 20 0 CHADLO Cc1ccc(Nc2ccc(N)[nH+]c2)cc1OC(C)C ZINC001159282373 1132824992 /nfs/dbraw/zinc/82/49/92/1132824992.db2.gz VGNOIDITHZTUFO-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO COc1ccc(Nc2ccc(N)[nH+]c2)c2ccccc12 ZINC001159282566 1132825337 /nfs/dbraw/zinc/82/53/37/1132825337.db2.gz YENNHFDXQLKSGN-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO Cc1cnc(C)c(Nc2ccc3c(c2)[nH+]cn3C(C)C)n1 ZINC001174380862 1132828413 /nfs/dbraw/zinc/82/84/13/1132828413.db2.gz NNUMQNGOKHYJCN-UHFFFAOYSA-N 1 2 281.363 3.768 20 0 CHADLO CC(C)n1c[nH+]c2cc(N(C)c3ccccc3N)ccc21 ZINC001174382023 1132828494 /nfs/dbraw/zinc/82/84/94/1132828494.db2.gz ZTWOQEJAKXFGDP-UHFFFAOYSA-N 1 2 280.375 3.967 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cccc(F)n3)ccc21 ZINC001174381389 1132828851 /nfs/dbraw/zinc/82/88/51/1132828851.db2.gz YQTCYCUZGZIAFY-UHFFFAOYSA-N 1 2 270.311 3.895 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3c(Cl)cnn3C)ccc21 ZINC001174381213 1132829105 /nfs/dbraw/zinc/82/91/05/1132829105.db2.gz BEOFWDXRGSQWER-UHFFFAOYSA-N 1 2 289.770 3.748 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ncc(F)cc3O)ccc21 ZINC001174382151 1132829267 /nfs/dbraw/zinc/82/92/67/1132829267.db2.gz BAQTWSMLZNBDQY-UHFFFAOYSA-N 1 2 286.310 3.601 20 0 CHADLO Cc1cnc(Nc2ccc3c(c2)[nH+]cn3C(C)C)c(C)n1 ZINC001174381623 1132829479 /nfs/dbraw/zinc/82/94/79/1132829479.db2.gz LFAOEZGLEHBYDA-UHFFFAOYSA-N 1 2 281.363 3.768 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2occc2c1 ZINC001174419759 1132835266 /nfs/dbraw/zinc/83/52/66/1132835266.db2.gz UWTPCKVMRMGGEK-UHFFFAOYSA-N 1 2 254.289 3.970 20 0 CHADLO COc1ccc(F)c(F)c1Nc1c[nH+]c(C)cc1C ZINC001174423494 1132839887 /nfs/dbraw/zinc/83/98/87/1132839887.db2.gz ZVBGAYLRMZPECG-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCn1cc2cc(Nc3cc[nH+]c4[nH]ccc43)ccc2n1 ZINC001174411847 1132851351 /nfs/dbraw/zinc/85/13/51/1132851351.db2.gz JJXFQAGIHYAEQD-UHFFFAOYSA-N 1 2 277.331 3.628 20 0 CHADLO Oc1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1Cl ZINC001174412097 1132851814 /nfs/dbraw/zinc/85/18/14/1132851814.db2.gz PESQVVKUVFWTMM-UHFFFAOYSA-N 1 2 259.696 3.617 20 0 CHADLO COC(=O)c1ccc(C)c(Nc2cc[nH+]c3[nH]ccc32)c1C ZINC001174413960 1132852664 /nfs/dbraw/zinc/85/26/64/1132852664.db2.gz RLDYXFOYARVTSZ-UHFFFAOYSA-N 1 2 295.342 3.662 20 0 CHADLO CC(=O)c1ccc(C)c(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174413112 1132852743 /nfs/dbraw/zinc/85/27/43/1132852743.db2.gz KQIZYNSTEFPTSR-UHFFFAOYSA-N 1 2 265.316 3.769 20 0 CHADLO CC(C)OC(=O)c1cccc(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174414618 1132853625 /nfs/dbraw/zinc/85/36/25/1132853625.db2.gz YSECXSRFJHXQMA-UHFFFAOYSA-N 1 2 295.342 3.823 20 0 CHADLO COc1c(F)cc(Nc2cc[nH+]c3[nH]ccc32)cc1F ZINC001174414546 1132854249 /nfs/dbraw/zinc/85/42/49/1132854249.db2.gz VGUFSOFVLZSXLB-UHFFFAOYSA-N 1 2 275.258 3.545 20 0 CHADLO Cc1sccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001174459685 1132870261 /nfs/dbraw/zinc/87/02/61/1132870261.db2.gz GDFQHJLEYXXPQR-UHFFFAOYSA-N 1 2 255.346 3.986 20 0 CHADLO C[C@H]1COCC[C@H]1Nc1[nH+]ccc2cc(Cl)ccc21 ZINC001159710598 1132896713 /nfs/dbraw/zinc/89/67/13/1132896713.db2.gz IFGNIMVGVBACOF-IINYFYTJSA-N 1 2 276.767 3.725 20 0 CHADLO C[C@@H]1COCC[C@@H]1Nc1[nH+]ccc2cc(Cl)ccc21 ZINC001159710600 1132896959 /nfs/dbraw/zinc/89/69/59/1132896959.db2.gz IFGNIMVGVBACOF-YGRLFVJLSA-N 1 2 276.767 3.725 20 0 CHADLO Cc1cc[nH+]c(Nc2cccc(-c3cc[nH]n3)c2)c1 ZINC001174506495 1132904446 /nfs/dbraw/zinc/90/44/46/1132904446.db2.gz OZYLMWVGKWNCBA-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO CNC(=O)c1ccc(Nc2ccc3c(C)cc[nH+]c3c2)cc1 ZINC001174515476 1132908258 /nfs/dbraw/zinc/90/82/58/1132908258.db2.gz WOVYGLFJQWTZGO-UHFFFAOYSA-N 1 2 291.354 3.646 20 0 CHADLO Cn1ccc2ccc(Nc3ccn4cc[nH+]c4c3)cc21 ZINC001174517923 1132910231 /nfs/dbraw/zinc/91/02/31/1132910231.db2.gz QTDNZRANBNEFQD-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO COc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)c(C)n1 ZINC001174522435 1132912745 /nfs/dbraw/zinc/91/27/45/1132912745.db2.gz YYTUYVRPFILMNG-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO COCc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC001174523841 1132913006 /nfs/dbraw/zinc/91/30/06/1132913006.db2.gz UVKZNESMUOHXTC-UHFFFAOYSA-N 1 2 297.402 3.962 20 0 CHADLO Oc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1F ZINC001174524572 1132913032 /nfs/dbraw/zinc/91/30/32/1132913032.db2.gz OHCVFTPSNSQYHZ-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO COc1nccc(C)c1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174522842 1132913103 /nfs/dbraw/zinc/91/31/03/1132913103.db2.gz AEZDKFYRLLYDGW-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO Cc1c(O)cccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174522827 1132913534 /nfs/dbraw/zinc/91/35/34/1132913534.db2.gz CSVUNEBDJZSMOL-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO CC(C)=CNc1cc[nH+]c(NC(=O)OC(C)(C)C)c1 ZINC001174558040 1132923077 /nfs/dbraw/zinc/92/30/77/1132923077.db2.gz QRLJCRFNEDZRFQ-UHFFFAOYSA-N 1 2 263.341 3.764 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(O)cc2C)cc1 ZINC001174566643 1132927160 /nfs/dbraw/zinc/92/71/60/1132927160.db2.gz UEIIJYRNHCCSSJ-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(O)cc2C)cc1 ZINC001174566643 1132927167 /nfs/dbraw/zinc/92/71/67/1132927167.db2.gz UEIIJYRNHCCSSJ-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CCn1cc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001174569023 1132928097 /nfs/dbraw/zinc/92/80/97/1132928097.db2.gz KNRSGCIIJNRZPB-UHFFFAOYSA-N 1 2 270.380 3.637 20 0 CHADLO Cc1cc[nH+]c2cc(NC3=CCC4(CC3)OCCO4)ccc12 ZINC001174644337 1132937500 /nfs/dbraw/zinc/93/75/00/1132937500.db2.gz WFBIZDRPNOSISA-UHFFFAOYSA-N 1 2 296.370 3.766 20 0 CHADLO Cc1nc(N[C@@H]2COCc3ccccc32)cc(C(C)C)[nH+]1 ZINC001160115425 1132940242 /nfs/dbraw/zinc/94/02/42/1132940242.db2.gz JDXQBCCUCQTVDR-MRXNPFEDSA-N 1 2 283.375 3.592 20 0 CHADLO CCN(C)c1ccc(Nc2cccc3[nH]ccc32)c[nH+]1 ZINC001174647748 1132948269 /nfs/dbraw/zinc/94/82/69/1132948269.db2.gz KFUJGVZTPOTJTP-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO Cc1nc(NCc2cccc3ncoc32)cc(C(C)C)[nH+]1 ZINC001160250630 1132950656 /nfs/dbraw/zinc/95/06/56/1132950656.db2.gz BUGGCUKMLCJVDW-UHFFFAOYSA-N 1 2 282.347 3.662 20 0 CHADLO C[C@H]1[C@H](c2cccc(Cl)c2)OCC[N@@H+]1CCCCF ZINC001174704723 1132959851 /nfs/dbraw/zinc/95/98/51/1132959851.db2.gz KLNHXBKXPYIWMM-SWLSCSKDSA-N 1 2 285.790 3.852 20 0 CHADLO C[C@H]1[C@H](c2cccc(Cl)c2)OCC[N@H+]1CCCCF ZINC001174704723 1132959854 /nfs/dbraw/zinc/95/98/54/1132959854.db2.gz KLNHXBKXPYIWMM-SWLSCSKDSA-N 1 2 285.790 3.852 20 0 CHADLO Cc1cn2cccc(Nc3ccc4c(c3)CCCO4)c2[nH+]1 ZINC001174718877 1132963725 /nfs/dbraw/zinc/96/37/25/1132963725.db2.gz AQZJNCJFPHVNII-UHFFFAOYSA-N 1 2 279.343 3.711 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)CCCO3)c[nH+]1 ZINC001174719214 1132963732 /nfs/dbraw/zinc/96/37/32/1132963732.db2.gz LNCIMMXDWVZDRF-UHFFFAOYSA-N 1 2 254.333 3.767 20 0 CHADLO Oc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1F ZINC001174734634 1132967976 /nfs/dbraw/zinc/96/79/76/1132967976.db2.gz YLRCETHQYMUYFT-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO CC(C)C[C@@H](C(=O)NCC1(C)CCCCC1)n1cc[nH+]c1 ZINC001160483365 1132970670 /nfs/dbraw/zinc/97/06/70/1132970670.db2.gz BVINXHBZJXARTD-HNNXBMFYSA-N 1 2 291.439 3.557 20 0 CHADLO Cc1cc(NC2CC3(C2)CC(F)(F)C3)nc(C(C)C)[nH+]1 ZINC001160590445 1132975366 /nfs/dbraw/zinc/97/53/66/1132975366.db2.gz IMUPLGLELJUPGZ-UHFFFAOYSA-N 1 2 281.350 3.898 20 0 CHADLO COC1CCC(CCNc2cc(SC)cc[nH+]2)CC1 ZINC001160643266 1132977311 /nfs/dbraw/zinc/97/73/11/1132977311.db2.gz ASHFKTSWLODEBZ-UHFFFAOYSA-N 1 2 280.437 3.811 20 0 CHADLO COc1cc(C)c(CNc2cc(N)cc(Cl)[nH+]2)cc1C ZINC001160777267 1132982250 /nfs/dbraw/zinc/98/22/50/1132982250.db2.gz PCZYEEQPOFYDFN-UHFFFAOYSA-N 1 2 291.782 3.555 20 0 CHADLO c1ccc(Nc2ccc(NCc3ccncc3)[nH+]c2)cc1 ZINC001203194930 1132984170 /nfs/dbraw/zinc/98/41/70/1132984170.db2.gz RLAYOBHTLCYOJA-UHFFFAOYSA-N 1 2 276.343 3.832 20 0 CHADLO Cc1noc2ccc(CNc3cc(C)[nH+]c(C(C)C)n3)cc12 ZINC001160866818 1132984866 /nfs/dbraw/zinc/98/48/66/1132984866.db2.gz DLDYAJTVICUBFL-UHFFFAOYSA-N 1 2 296.374 3.970 20 0 CHADLO Cc1cnc(Cl)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213028234 1132989301 /nfs/dbraw/zinc/98/93/01/1132989301.db2.gz KZNGZHLWEYYSOZ-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO Clc1ccc2nncc(Nc3cccc4[nH+]c[nH]c43)c2c1 ZINC001213029862 1132993977 /nfs/dbraw/zinc/99/39/77/1132993977.db2.gz VXMDPGRBIYWCBQ-UHFFFAOYSA-N 1 2 295.733 3.903 20 0 CHADLO CC(C)(C)c1cncc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213030626 1132994459 /nfs/dbraw/zinc/99/44/59/1132994459.db2.gz JMEFDQZWYPBUKT-UHFFFAOYSA-N 1 2 266.348 3.999 20 0 CHADLO Cc1nc2ccc(Nc3cccc4[nH+]c[nH]c43)cc2o1 ZINC001213031525 1132996335 /nfs/dbraw/zinc/99/63/35/1132996335.db2.gz DMQFVWZEESFMRQ-UHFFFAOYSA-N 1 2 264.288 3.756 20 0 CHADLO COCc1ccc(CNc2cc3ccccc3c[nH+]2)cc1 ZINC001161326867 1132997627 /nfs/dbraw/zinc/99/76/27/1132997627.db2.gz GRRLAGCYYASWPO-UHFFFAOYSA-N 1 2 278.355 3.993 20 0 CHADLO COCc1ccc(CNc2cc(C(C)C)[nH+]c(C)n2)cc1 ZINC001161326135 1132998083 /nfs/dbraw/zinc/99/80/83/1132998083.db2.gz UXUKEWLIPSNLQP-UHFFFAOYSA-N 1 2 285.391 3.667 20 0 CHADLO CC(=O)c1ccccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213032000 1132998433 /nfs/dbraw/zinc/99/84/33/1132998433.db2.gz SUJSTUMXVUCDFC-UHFFFAOYSA-N 1 2 251.289 3.509 20 0 CHADLO CC1(C)C(=O)Nc2cc(Nc3cccc4[nH+]c[nH]c43)ccc21 ZINC001213032358 1132998976 /nfs/dbraw/zinc/99/89/76/1132998976.db2.gz BDIVKDBHSUFFNM-UHFFFAOYSA-N 1 2 292.342 3.536 20 0 CHADLO CCOC(=O)c1ccc(C)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032460 1133000411 /nfs/dbraw/zinc/00/04/11/1133000411.db2.gz HKFTUQLCLAUDTQ-UHFFFAOYSA-N 1 2 295.342 3.792 20 0 CHADLO Cc1cc(N)c(Cl)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213032485 1133000462 /nfs/dbraw/zinc/00/04/62/1133000462.db2.gz IKWPZFKEGGRBKP-UHFFFAOYSA-N 1 2 272.739 3.851 20 0 CHADLO CC(=O)c1ccc(F)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213033505 1133004593 /nfs/dbraw/zinc/00/45/93/1133004593.db2.gz JYCGKUJSSVAXBX-UHFFFAOYSA-N 1 2 269.279 3.648 20 0 CHADLO Cc1cccc2ccc(NCc3c[nH+]cn3C(C)C)nc12 ZINC001161563184 1133006720 /nfs/dbraw/zinc/00/67/20/1133006720.db2.gz RPCVFZWQTVSZQT-UHFFFAOYSA-N 1 2 280.375 3.933 20 0 CHADLO Cc1nc2c(ccn2C)c(N[C@@H](C)CCc2ccccc2)[nH+]1 ZINC001161611568 1133007302 /nfs/dbraw/zinc/00/73/02/1133007302.db2.gz LQNAEUACZBFBBR-ZDUSSCGKSA-N 1 2 294.402 3.710 20 0 CHADLO Cc1cc(F)c(Nc2cccc3[nH+]c[nH]c32)cc1F ZINC001213033824 1133007472 /nfs/dbraw/zinc/00/74/72/1133007472.db2.gz ZIJIESXAOCSIBT-UHFFFAOYSA-N 1 2 259.259 3.893 20 0 CHADLO CC(=O)c1c(F)cccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213033726 1133009876 /nfs/dbraw/zinc/00/98/76/1133009876.db2.gz UIIAJUKOBPHXOJ-UHFFFAOYSA-N 1 2 269.279 3.648 20 0 CHADLO COC(=O)c1ccc(C)c(Nc2cccc3[nH+]c[nH]c32)c1C ZINC001213033778 1133011189 /nfs/dbraw/zinc/01/11/89/1133011189.db2.gz WZZHMRXBSCUKRL-UHFFFAOYSA-N 1 2 295.342 3.710 20 0 CHADLO CC(C)(C)CCNc1cc(-c2ccncc2)cc[nH+]1 ZINC001161673465 1133014984 /nfs/dbraw/zinc/01/49/84/1133014984.db2.gz IBYMDDGOONWUQC-UHFFFAOYSA-N 1 2 255.365 3.992 20 0 CHADLO Cc1cc(N[C@@H](c2ccnc(F)c2)C2CC2)nc(C2CC2)[nH+]1 ZINC001161846942 1133034674 /nfs/dbraw/zinc/03/46/74/1133034674.db2.gz FNGZLEYYFWLYGS-MRXNPFEDSA-N 1 2 298.365 3.760 20 0 CHADLO Cc1c(F)cc[nH+]c1NC1(CC2CCC2)CCOCC1 ZINC001161921953 1133039378 /nfs/dbraw/zinc/03/93/78/1133039378.db2.gz IEZIIBWWOOCKES-UHFFFAOYSA-N 1 2 278.371 3.680 20 0 CHADLO COc1cc[nH+]cc1N[C@H](c1ccccc1)C1CC1 ZINC001161957894 1133042912 /nfs/dbraw/zinc/04/29/12/1133042912.db2.gz FGQINFXNPILPPD-MRXNPFEDSA-N 1 2 254.333 3.653 20 0 CHADLO Cc1nc2[nH]ccc2c(NCC2(C)CCC(F)(F)CC2)[nH+]1 ZINC001162028511 1133049049 /nfs/dbraw/zinc/04/90/49/1133049049.db2.gz YYARCHZFSQSDLA-UHFFFAOYSA-N 1 2 294.349 3.894 20 0 CHADLO CCc1coc(CNc2nc(C(C)(C)C)[nH+]c(C)c2C)n1 ZINC001162138879 1133053834 /nfs/dbraw/zinc/05/38/34/1133053834.db2.gz WFFFCCVGOPBESI-UHFFFAOYSA-N 1 2 288.395 3.553 20 0 CHADLO Cc1cc(NC[C@H]2CCC[C@@H](C)C2)nc(C2CC2)[nH+]1 ZINC001162266607 1133065174 /nfs/dbraw/zinc/06/51/74/1133065174.db2.gz IDBMBQWERFVEQL-YPMHNXCESA-N 1 2 259.397 3.901 20 0 CHADLO Cc1oncc1CNc1cc(-c2ccc(C)cc2)cc[nH+]1 ZINC001162269588 1133066024 /nfs/dbraw/zinc/06/60/24/1133066024.db2.gz PBTWKTFADZENQJ-UHFFFAOYSA-N 1 2 279.343 3.966 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(N[C@@H]2CCCC[C@H]2F)c1 ZINC001162514227 1133083796 /nfs/dbraw/zinc/08/37/96/1133083796.db2.gz TUPVFEKUHKBBDH-HUUCEWRRSA-N 1 2 273.355 3.780 20 0 CHADLO COC(=[NH2+])c1cccc(NC[C@@H]2CCC(F)(F)C2)c1 ZINC001162650808 1133100195 /nfs/dbraw/zinc/10/01/95/1133100195.db2.gz TUYULLPJVAAOIC-SNVBAGLBSA-N 1 2 268.307 3.506 20 0 CHADLO Cc1cc(NC[C@@H]2CCC(F)(F)C2)cc(OC(F)F)[nH+]1 ZINC001162655356 1133100868 /nfs/dbraw/zinc/10/08/68/1133100868.db2.gz KJKDXXOOVRUGER-SECBINFHSA-N 1 2 292.276 3.839 20 0 CHADLO COc1cc(NC(=[NH2+])C(C)(C)C)nc(-c2ccccc2)n1 ZINC001162657915 1133101940 /nfs/dbraw/zinc/10/19/40/1133101940.db2.gz VNAPXSQNSVILCP-UHFFFAOYSA-N 1 2 284.363 3.587 20 0 CHADLO CC1(C)CCC[C@H]1Nc1nc2ccccc2n2c[nH+]cc12 ZINC001162675306 1133102867 /nfs/dbraw/zinc/10/28/67/1133102867.db2.gz NVGSVFBIZQNCHY-OAHLLOKOSA-N 1 2 280.375 3.873 20 0 CHADLO CC[C@H](Nc1cc(C)[nH+]c(C2CCC2)n1)C1CCOCC1 ZINC001162693763 1133103901 /nfs/dbraw/zinc/10/39/01/1133103901.db2.gz RJZSVHRHZJJRRN-HNNXBMFYSA-N 1 2 289.423 3.670 20 0 CHADLO Cc1cnccc1CNc1cc(C)c(C(F)(F)F)c[nH+]1 ZINC001162716830 1133105937 /nfs/dbraw/zinc/10/59/37/1133105937.db2.gz YTNVSKNAJMMOSF-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO COc1cccc2[nH+]c(NCc3ccncc3C)ccc21 ZINC001162716090 1133105988 /nfs/dbraw/zinc/10/59/88/1133105988.db2.gz FBPXCOBFUILHIW-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO Cc1cc(NCc2cncc3ccccc32)nc(C2CC2)[nH+]1 ZINC001162749550 1133108745 /nfs/dbraw/zinc/10/87/45/1133108745.db2.gz KELUYJKLYOUFGG-UHFFFAOYSA-N 1 2 290.370 3.823 20 0 CHADLO CCc1cc(N2CCC[C@@H](CC(C)C)C2)nc(CC)[nH+]1 ZINC001162799575 1133113906 /nfs/dbraw/zinc/11/39/06/1133113906.db2.gz DBMNHSBYMBZEAO-AWEZNQCLSA-N 1 2 275.440 3.864 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H]1CCc2c1c(F)ccc2F ZINC001162931222 1133122984 /nfs/dbraw/zinc/12/29/84/1133122984.db2.gz DGRGPHFQYHALLH-CYBMUJFWSA-N 1 2 290.313 3.776 20 0 CHADLO Cc1nc(N[C@H]2CCCc3cc(F)ccc32)c2c([nH+]1)CCC2 ZINC001162971401 1133124880 /nfs/dbraw/zinc/12/48/80/1133124880.db2.gz GJVOAPQPJNBKAD-INIZCTEOSA-N 1 2 297.377 3.902 20 0 CHADLO COC1CC(CNc2cc(-c3ccccc3)cc[nH+]2)C1 ZINC001162986265 1133125536 /nfs/dbraw/zinc/12/55/36/1133125536.db2.gz OWRVDKWJYRTXQQ-UHFFFAOYSA-N 1 2 268.360 3.586 20 0 CHADLO CCC(C)(C)CNc1nc2ccccc2n2c[nH+]cc12 ZINC001163041656 1133127708 /nfs/dbraw/zinc/12/77/08/1133127708.db2.gz HDTDSDFDZHNSLT-UHFFFAOYSA-N 1 2 268.364 3.731 20 0 CHADLO CCOc1ccc[nH+]c1NCCOc1cccc2ccoc21 ZINC001163077477 1133129417 /nfs/dbraw/zinc/12/94/17/1133129417.db2.gz FPUSYQTVDVQSCE-UHFFFAOYSA-N 1 2 298.342 3.717 20 0 CHADLO CC(C)(C)Oc1cccc(NCc2ccc3[nH+]ccn3c2)n1 ZINC001163139795 1133131295 /nfs/dbraw/zinc/13/12/95/1133131295.db2.gz YISVJMVASXZBOB-UHFFFAOYSA-N 1 2 296.374 3.519 20 0 CHADLO Cc1cc(N[C@H](CO)CCC(C)(C)C)nc(C2CCC2)[nH+]1 ZINC001163151744 1133132594 /nfs/dbraw/zinc/13/25/94/1133132594.db2.gz POJKBBZZOKSROB-AWEZNQCLSA-N 1 2 291.439 3.652 20 0 CHADLO CCc1cc(N2C[C@H](C(C)C)[C@@H]2C(C)C)nc(CC)[nH+]1 ZINC001163294450 1133141118 /nfs/dbraw/zinc/14/11/18/1133141118.db2.gz MURIFARMCBCKKE-PBHICJAKSA-N 1 2 275.440 3.718 20 0 CHADLO C[C@H](Nc1cc(-c2ccccc2)cc[nH+]1)[C@@H]1CCCO1 ZINC001163479655 1133151766 /nfs/dbraw/zinc/15/17/66/1133151766.db2.gz YQDQIIVGHYDXPY-BBRMVZONSA-N 1 2 268.360 3.728 20 0 CHADLO Cc1cc(N[C@H]2CCC[C@@H]3C[C@@H]32)nc(C2CCC2)[nH+]1 ZINC001163472639 1133151854 /nfs/dbraw/zinc/15/18/54/1133151854.db2.gz KLWULMOLNDCWEY-RDBSUJKOSA-N 1 2 257.381 3.653 20 0 CHADLO c1nc(N2CCCCC2)cc(N[C@@H]2CCCC23CCC3)[nH+]1 ZINC001163520484 1133155140 /nfs/dbraw/zinc/15/51/40/1133155140.db2.gz DZBBNOVITBKHKP-CQSZACIVSA-N 1 2 286.423 3.602 20 0 CHADLO c1nc(N[C@@H]2CCCC23CCC3)cc(N2CCCCC2)[nH+]1 ZINC001163520484 1133155144 /nfs/dbraw/zinc/15/51/44/1133155144.db2.gz DZBBNOVITBKHKP-CQSZACIVSA-N 1 2 286.423 3.602 20 0 CHADLO COc1cc(C(C)=O)c(Nc2ccc(C)[nH+]c2C)cc1F ZINC001203381226 1133156321 /nfs/dbraw/zinc/15/63/21/1133156321.db2.gz RJAPENYZLQUGOH-UHFFFAOYSA-N 1 2 288.322 3.792 20 0 CHADLO CCCN(CCOC)c1cc(C2CCCCC2)[nH+]c(C)n1 ZINC001163648619 1133163874 /nfs/dbraw/zinc/16/38/74/1133163874.db2.gz YVRAHYFNHOPQLK-UHFFFAOYSA-N 1 2 291.439 3.696 20 0 CHADLO COc1cc[nH+]cc1NCc1c(Cl)ccc(C)c1F ZINC001163656102 1133164836 /nfs/dbraw/zinc/16/48/36/1133164836.db2.gz ZBGWOYWIEMNUEL-UHFFFAOYSA-N 1 2 280.730 3.803 20 0 CHADLO COc1ccc2cc(NCCc3cccc(O)c3)[nH+]cc2c1 ZINC001163698802 1133166783 /nfs/dbraw/zinc/16/67/83/1133166783.db2.gz SGWBBFPCHOZNTC-UHFFFAOYSA-N 1 2 294.354 3.604 20 0 CHADLO CC(C)(C)c1ccc(N2CCC(=O)[C@@H]3CCCC[C@H]32)[nH+]c1 ZINC001163796335 1133175445 /nfs/dbraw/zinc/17/54/45/1133175445.db2.gz XZODKFMRXMKRJO-HUUCEWRRSA-N 1 2 286.419 3.717 20 0 CHADLO CC(C)c1ccc(CNc2ccn3cc(N)[nH+]c3c2)cc1 ZINC001163820966 1133177587 /nfs/dbraw/zinc/17/75/87/1133177587.db2.gz QWHUUZULRCOEIN-UHFFFAOYSA-N 1 2 280.375 3.652 20 0 CHADLO Cc1ccc2cc(NC[C@H]3Cc4ccccc4O3)[nH+]cc2c1 ZINC001163858269 1133180177 /nfs/dbraw/zinc/18/01/77/1133180177.db2.gz CJORCSDPCBNOGG-QGZVFWFLSA-N 1 2 290.366 3.959 20 0 CHADLO CC(C)c1cc(N2CCC[C@@H](CF)C2)nc(C(C)C)[nH+]1 ZINC001164002160 1133192495 /nfs/dbraw/zinc/19/24/95/1133192495.db2.gz LUTSWLIGPIOEGM-ZDUSSCGKSA-N 1 2 279.403 3.909 20 0 CHADLO C[C@@H](Nc1cc[nH+]cc1F)c1ccc2ccccc2c1 ZINC001164146603 1133200500 /nfs/dbraw/zinc/20/05/00/1133200500.db2.gz ZXOSMVBSHJOCJP-GFCCVEGCSA-N 1 2 266.319 3.969 20 0 CHADLO CCSc1cc[nH+]c(N(C)Cc2cnc(Cl)s2)c1 ZINC001164174201 1133203755 /nfs/dbraw/zinc/20/37/55/1133203755.db2.gz VOAWHIGUPUFKEA-UHFFFAOYSA-N 1 2 299.852 3.940 20 0 CHADLO CCc1cc(N(C)Cc2c(C)cccc2C)nc(CC)[nH+]1 ZINC001164248453 1133209573 /nfs/dbraw/zinc/20/95/73/1133209573.db2.gz BQZKCUCCNAWCFC-UHFFFAOYSA-N 1 2 283.419 3.855 20 0 CHADLO c1[nH+]c(SCCOCC2CCCC2)n2ccccc12 ZINC001164552438 1133222714 /nfs/dbraw/zinc/22/27/14/1133222714.db2.gz WTPGTQQXGJAHPI-UHFFFAOYSA-N 1 2 276.405 3.633 20 0 CHADLO C[C@]1(CF)CC(F)(F)CN1c1cccc(C2CC2)[nH+]1 ZINC001164568368 1133223864 /nfs/dbraw/zinc/22/38/64/1133223864.db2.gz VFOZUQRTQXTKLY-CYBMUJFWSA-N 1 2 270.298 3.533 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CC[C@]3(CCOC3)C2)c1 ZINC001165250974 1133232861 /nfs/dbraw/zinc/23/28/61/1133232861.db2.gz LGUFXDOQSRWXHU-INIZCTEOSA-N 1 2 288.778 3.505 20 0 CHADLO FC1(F)C[C@@H]2CN(c3cc(-c4ccccc4)cc[nH+]3)C[C@@H]21 ZINC001165295121 1133238567 /nfs/dbraw/zinc/23/85/67/1133238567.db2.gz RSKOZYBARQLPIW-CABCVRRESA-N 1 2 286.325 3.840 20 0 CHADLO Cc1cc(N2CCC3(CC(F)C3)CC2)nc(C(C)(C)C)[nH+]1 ZINC001165310432 1133239346 /nfs/dbraw/zinc/23/93/46/1133239346.db2.gz VTLYZSURXCCMIP-UHFFFAOYSA-N 1 2 291.414 3.801 20 0 CHADLO Cc1cccc2c(C)cc(N3CC[C@@H]4CCCO[C@H]4C3)[nH+]c12 ZINC001165331650 1133240711 /nfs/dbraw/zinc/24/07/11/1133240711.db2.gz JSMUWRCRJZIBRZ-RDJZCZTQSA-N 1 2 296.414 3.857 20 0 CHADLO COc1cccc2[nH+]c(NCCCc3ccncc3)ccc21 ZINC001165500109 1133242750 /nfs/dbraw/zinc/24/27/50/1133242750.db2.gz RVRPLTWJPXPVET-UHFFFAOYSA-N 1 2 293.370 3.683 20 0 CHADLO CC(C)COc1cc(NCCCc2ccncc2)cc[nH+]1 ZINC001165546980 1133244926 /nfs/dbraw/zinc/24/49/26/1133244926.db2.gz RCUPEUWHARHDRZ-UHFFFAOYSA-N 1 2 285.391 3.556 20 0 CHADLO CSc1cc[nH+]c(N(C)Cc2ccc(C)cc2)c1 ZINC001166539177 1133269914 /nfs/dbraw/zinc/26/99/14/1133269914.db2.gz VOOPUVQGTLJVTG-UHFFFAOYSA-N 1 2 258.390 3.748 20 0 CHADLO COC(=O)CCN(c1[nH+]ccc2ccccc21)C1CCCC1 ZINC001166595962 1133274580 /nfs/dbraw/zinc/27/45/80/1133274580.db2.gz LXBVXDXCPJWCBI-UHFFFAOYSA-N 1 2 298.386 3.547 20 0 CHADLO CSc1cc[nH+]c(N2CCC(c3ccccn3)CC2)c1 ZINC001166673050 1133280739 /nfs/dbraw/zinc/28/07/39/1133280739.db2.gz JURIDYOWKBQBHK-UHFFFAOYSA-N 1 2 285.416 3.583 20 0 CHADLO COC(C)(C)[C@@H]1CCCN1c1[nH+]ccc2c(C)cccc21 ZINC001166796568 1133288239 /nfs/dbraw/zinc/28/82/39/1133288239.db2.gz YUCHQQNXIMHKBY-INIZCTEOSA-N 1 2 284.403 3.937 20 0 CHADLO CCc1cn(Cc2cccc(C(F)(F)F)c2)c[nH+]1 ZINC001166822100 1133290168 /nfs/dbraw/zinc/29/01/68/1133290168.db2.gz JMBVCQMXBZSODH-UHFFFAOYSA-N 1 2 254.255 3.513 20 0 CHADLO COc1ccccc1Cc1cn(Cc2ccccc2C)c[nH+]1 ZINC001166964661 1133295251 /nfs/dbraw/zinc/29/52/51/1133295251.db2.gz SDNVLZGSOUYKMW-UHFFFAOYSA-N 1 2 292.382 3.839 20 0 CHADLO Cc1cccc2c1CCC[C@@H]2[NH2+]Cc1nn(C)cc1Cl ZINC001203234004 1133307526 /nfs/dbraw/zinc/30/75/26/1133307526.db2.gz BRGPZZPRUWDTTO-HNNXBMFYSA-N 1 2 289.810 3.549 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc3ccccc3n2C(C)C)o1 ZINC001203271662 1133309163 /nfs/dbraw/zinc/30/91/63/1133309163.db2.gz VPMQYTPOQWDVMH-UHFFFAOYSA-N 1 2 283.375 3.808 20 0 CHADLO CCCSc1cccc(NCc2c[nH+]cn2CCC)n1 ZINC001203346435 1133316241 /nfs/dbraw/zinc/31/62/41/1133316241.db2.gz YEHZULIZNNZAAO-UHFFFAOYSA-N 1 2 290.436 3.802 20 0 CHADLO Cn1cc(Nc2ccn3cc[nH+]c3c2)c2ccccc21 ZINC001203421457 1133325734 /nfs/dbraw/zinc/32/57/34/1133325734.db2.gz WBHIMGZSUURXQF-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO CNc1ccc(Nc2cc(OC)c(C)cc2Cl)c[nH+]1 ZINC001203461918 1133330483 /nfs/dbraw/zinc/33/04/83/1133330483.db2.gz FONIQYWYINVIJH-UHFFFAOYSA-N 1 2 277.755 3.837 20 0 CHADLO CNc1ccc(Nc2cccc3cc(F)cnc32)c[nH+]1 ZINC001203462504 1133331363 /nfs/dbraw/zinc/33/13/63/1133331363.db2.gz VJCKILDHVCIVEA-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO Cc1cc(NC/C=C/c2cccc(F)c2)c[nH+]c1C ZINC001203491371 1133333148 /nfs/dbraw/zinc/33/31/48/1133333148.db2.gz JDSWYROWSYRQOK-GQCTYLIASA-N 1 2 256.324 3.963 20 0 CHADLO COc1ccc2c(Nc3nnc(C(C)(C)C)o3)cc[nH+]c2c1 ZINC001203520876 1133334660 /nfs/dbraw/zinc/33/46/60/1133334660.db2.gz ZLMSCLZYXGASBN-UHFFFAOYSA-N 1 2 298.346 3.668 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(C(F)(F)F)n2)c1 ZINC001203654327 1133348347 /nfs/dbraw/zinc/34/83/47/1133348347.db2.gz HFZQKXLDZOHINO-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO COC(=O)c1cc(Nc2cc(C)c[nH+]c2C)c(Cl)s1 ZINC001203656400 1133348848 /nfs/dbraw/zinc/34/88/48/1133348848.db2.gz QLKLRCFQIRABBX-UHFFFAOYSA-N 1 2 296.779 3.944 20 0 CHADLO COC(=O)c1ccc(C)c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203663115 1133349140 /nfs/dbraw/zinc/34/91/40/1133349140.db2.gz JTIGVBTYZDMREY-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3nnc(C4CCC4)n3c2)c1 ZINC001203657575 1133350048 /nfs/dbraw/zinc/35/00/48/1133350048.db2.gz PKFVUGQQLLQNBE-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnc(Br)c2C)c1 ZINC001203658156 1133350390 /nfs/dbraw/zinc/35/03/90/1133350390.db2.gz YHWXRGBHJKGKFM-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cnn(C2CCC2)c1Nc1cc(C)c[nH+]c1C ZINC001203661284 1133350415 /nfs/dbraw/zinc/35/04/15/1133350415.db2.gz UDHDHNLBPXSKFA-UHFFFAOYSA-N 1 2 256.353 3.672 20 0 CHADLO F[C@H]1COc2ccccc2[C@H]1[NH2+]Cc1cccc2ccoc21 ZINC001203665906 1133351141 /nfs/dbraw/zinc/35/11/41/1133351141.db2.gz SZEOLZSWLMTINJ-DOTOQJQBSA-N 1 2 297.329 3.994 20 0 CHADLO CC(=O)c1cccc(Nc2cc(C)c[nH+]c2C)c1C ZINC001203666753 1133351650 /nfs/dbraw/zinc/35/16/50/1133351650.db2.gz FOCWBAWEGIYQQL-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO Clc1ncncc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203713096 1133356923 /nfs/dbraw/zinc/35/69/23/1133356923.db2.gz UVVDAVNWRIIPKO-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCOC[C@H]2c2cccnc2)c(C)c1 ZINC001203745957 1133363723 /nfs/dbraw/zinc/36/37/23/1133363723.db2.gz HWLGINWPTVCVRZ-IBGZPJMESA-N 1 2 296.414 3.580 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCOC[C@H]2c2cccnc2)c(C)c1 ZINC001203745957 1133363726 /nfs/dbraw/zinc/36/37/26/1133363726.db2.gz HWLGINWPTVCVRZ-IBGZPJMESA-N 1 2 296.414 3.580 20 0 CHADLO O=C1CC[N@@H+](Cc2cccc(Cl)c2)[C@@H]2CCCC[C@@H]12 ZINC001203795399 1133370214 /nfs/dbraw/zinc/37/02/14/1133370214.db2.gz DWWIKLHAEAHOJQ-HUUCEWRRSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2cccc(Cl)c2)[C@@H]2CCCC[C@@H]12 ZINC001203795399 1133370216 /nfs/dbraw/zinc/37/02/16/1133370216.db2.gz DWWIKLHAEAHOJQ-HUUCEWRRSA-N 1 2 277.795 3.674 20 0 CHADLO F[C@H]1CCCC[N@H+](Cc2cc(Br)cs2)C1 ZINC001204026193 1133401287 /nfs/dbraw/zinc/40/12/87/1133401287.db2.gz AZFDUDQQNSRDKW-JTQLQIEISA-N 1 2 292.217 3.835 20 0 CHADLO F[C@H]1CCCC[N@@H+](Cc2cc(Br)cs2)C1 ZINC001204026193 1133401292 /nfs/dbraw/zinc/40/12/92/1133401292.db2.gz AZFDUDQQNSRDKW-JTQLQIEISA-N 1 2 292.217 3.835 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1ccccn1 ZINC001204060164 1133404948 /nfs/dbraw/zinc/40/49/48/1133404948.db2.gz VXUGEFACDDQWMV-DLBZAZTESA-N 1 2 276.424 3.845 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1ccccn1 ZINC001204060164 1133404951 /nfs/dbraw/zinc/40/49/51/1133404951.db2.gz VXUGEFACDDQWMV-DLBZAZTESA-N 1 2 276.424 3.845 20 0 CHADLO CC(C)c1ccc(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)cc1 ZINC001204167610 1133413364 /nfs/dbraw/zinc/41/33/64/1133413364.db2.gz WQQLKPTWZQYIHD-AWEZNQCLSA-N 1 2 271.326 3.989 20 0 CHADLO CC(C)c1ccc(C[N@H+]2CCC(F)(F)[C@@H](F)C2)cc1 ZINC001204167610 1133413366 /nfs/dbraw/zinc/41/33/66/1133413366.db2.gz WQQLKPTWZQYIHD-AWEZNQCLSA-N 1 2 271.326 3.989 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@@H+]1Cc1ccoc1 ZINC001204244288 1133424500 /nfs/dbraw/zinc/42/45/00/1133424500.db2.gz WISNXFUWCNBSEG-AWEZNQCLSA-N 1 2 263.287 3.895 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@H+]1Cc1ccoc1 ZINC001204244288 1133424504 /nfs/dbraw/zinc/42/45/04/1133424504.db2.gz WISNXFUWCNBSEG-AWEZNQCLSA-N 1 2 263.287 3.895 20 0 CHADLO CCCCCCOc1ccc(C[N@@H+]2CCC23COC3)cc1 ZINC001204366961 1133441336 /nfs/dbraw/zinc/44/13/36/1133441336.db2.gz RNISESLKHNYBPJ-UHFFFAOYSA-N 1 2 289.419 3.620 20 0 CHADLO CCCCCCOc1ccc(C[N@H+]2CCC23COC3)cc1 ZINC001204366961 1133441342 /nfs/dbraw/zinc/44/13/42/1133441342.db2.gz RNISESLKHNYBPJ-UHFFFAOYSA-N 1 2 289.419 3.620 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc(Cl)s1)CC2 ZINC001204440799 1133447641 /nfs/dbraw/zinc/44/76/41/1133447641.db2.gz MKWWTVBIXTZELP-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc(Cl)s1)CC2 ZINC001204440799 1133447644 /nfs/dbraw/zinc/44/76/44/1133447644.db2.gz MKWWTVBIXTZELP-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CC(C)Oc1ccc(C[NH+]2CC(Oc3ccccc3)C2)cc1 ZINC001204456738 1133449666 /nfs/dbraw/zinc/44/96/66/1133449666.db2.gz VOKQVCWMEAGAIN-UHFFFAOYSA-N 1 2 297.398 3.737 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1c(F)cccc1C(F)(F)F ZINC001204457342 1133450303 /nfs/dbraw/zinc/45/03/03/1133450303.db2.gz VIOFVBHZHMYRQN-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1c(F)cccc1C(F)(F)F ZINC001204457342 1133450305 /nfs/dbraw/zinc/45/03/05/1133450305.db2.gz VIOFVBHZHMYRQN-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Fc1ccc(C[NH+]2CC3(CCC3)C2)c(C(F)(F)F)c1 ZINC001204479754 1133451808 /nfs/dbraw/zinc/45/18/08/1133451808.db2.gz GCFDZVQWYAQSPR-UHFFFAOYSA-N 1 2 273.273 3.830 20 0 CHADLO Cc1nn(C)c2ccc(Nc3c[nH+]c(C)cc3C)cc12 ZINC001204849433 1133493252 /nfs/dbraw/zinc/49/32/52/1133493252.db2.gz KDDVPNCYOGZNSS-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO C/C(=C\C=C\[NH3+])c1cccc2c1cnn2[C@@H]1CCCCO1 ZINC001204883181 1133495098 /nfs/dbraw/zinc/49/50/98/1133495098.db2.gz VPBYWSWCANMYOC-LIUVLRDOSA-N 1 2 283.375 3.611 20 0 CHADLO Cc1cc2cc(Nc3ccc(N4CCCC4)[nH+]c3)cnc2[nH]1 ZINC001204910964 1133497917 /nfs/dbraw/zinc/49/79/17/1133497917.db2.gz JGPBWTIIWYUOHF-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO CCC[C@H](C)c1cc(Nc2ccn3cc[nH+]c3c2)on1 ZINC001204951126 1133505479 /nfs/dbraw/zinc/50/54/79/1133505479.db2.gz NKWGSZTWRXJZAG-NSHDSACASA-N 1 2 270.336 3.970 20 0 CHADLO Clc1cc(Nc2ccn3cc[nH+]c3c2)cc(Cl)n1 ZINC001204950614 1133506207 /nfs/dbraw/zinc/50/62/07/1133506207.db2.gz AUZXXOAJRQZYHZ-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Clc1nccc(Nc2ccn3cc[nH+]c3c2)c1Cl ZINC001204951078 1133506340 /nfs/dbraw/zinc/50/63/40/1133506340.db2.gz MWFGFZOPOJZKHB-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Clc1ccc(Nc2ccn3cc[nH+]c3c2)c(Cl)n1 ZINC001204951678 1133507246 /nfs/dbraw/zinc/50/72/46/1133507246.db2.gz WDIJOFXZJPCTJH-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO c1cn2ccc(Nc3csc4cnccc34)cc2[nH+]1 ZINC001204959641 1133507949 /nfs/dbraw/zinc/50/79/49/1133507949.db2.gz RTIWFXRFOORRGJ-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO Fc1ccc2cc(Nc3ccn4cc[nH+]c4c3)cnc2c1F ZINC001204966134 1133509027 /nfs/dbraw/zinc/50/90/27/1133509027.db2.gz NGXMCBADJRNTDO-UHFFFAOYSA-N 1 2 296.280 3.904 20 0 CHADLO CO[C@@H]1CCOc2c(Nc3ccn4cc[nH+]c4c3)cccc21 ZINC001204997640 1133514953 /nfs/dbraw/zinc/51/49/53/1133514953.db2.gz LJGXGNIEYSIWML-OAHLLOKOSA-N 1 2 295.342 3.548 20 0 CHADLO CC(C)COC1C[NH+](Cc2ccc(C3CCC3)cc2)C1 ZINC001205001828 1133516041 /nfs/dbraw/zinc/51/60/41/1133516041.db2.gz WTZGAIINGPNPOR-UHFFFAOYSA-N 1 2 273.420 3.811 20 0 CHADLO COCOc1ccc(-c2ccn3cc[nH+]c3c2)cc1Cl ZINC001205022586 1133518313 /nfs/dbraw/zinc/51/83/13/1133518313.db2.gz HUSKOPAHNJPZDC-UHFFFAOYSA-N 1 2 288.734 3.637 20 0 CHADLO CNc1cc(-c2ccc(O)cc2C(F)(F)F)cc[nH+]1 ZINC001205104547 1133530455 /nfs/dbraw/zinc/53/04/55/1133530455.db2.gz OCPUXPQGPYWXPA-UHFFFAOYSA-N 1 2 268.238 3.515 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2ccc3c(c2)NCCC3)C1 ZINC001205164286 1133539593 /nfs/dbraw/zinc/53/95/93/1133539593.db2.gz BXFODRGHFMMHIN-UHFFFAOYSA-N 1 2 280.362 3.666 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2ccc3c(c2)NCCC3)C1 ZINC001205164286 1133539598 /nfs/dbraw/zinc/53/95/98/1133539598.db2.gz BXFODRGHFMMHIN-UHFFFAOYSA-N 1 2 280.362 3.666 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1sccc1Cl ZINC001205354100 1133567725 /nfs/dbraw/zinc/56/77/25/1133567725.db2.gz RZJBGUVGTVPTLB-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1sccc1Cl ZINC001205354100 1133567731 /nfs/dbraw/zinc/56/77/31/1133567731.db2.gz RZJBGUVGTVPTLB-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(F)c(C[N@H+](Cc2ccco2)C2CC2)c1 ZINC001205661625 1133599524 /nfs/dbraw/zinc/59/95/24/1133599524.db2.gz FEJOLUSPBMSFRH-UHFFFAOYSA-N 1 2 259.324 3.892 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](Cc2ccco2)C2CC2)c1 ZINC001205661625 1133599529 /nfs/dbraw/zinc/59/95/29/1133599529.db2.gz FEJOLUSPBMSFRH-UHFFFAOYSA-N 1 2 259.324 3.892 20 0 CHADLO Cn1ccc2cc(Nc3ccccc3-n3cc[nH+]c3)cnc21 ZINC001205723868 1133606355 /nfs/dbraw/zinc/60/63/55/1133606355.db2.gz RIKVMUGRKNNRQA-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CC(C)(C)[NH+]1CC(OCC(=O)c2ccc3ccccc3c2)C1 ZINC001205737886 1133607983 /nfs/dbraw/zinc/60/79/83/1133607983.db2.gz KWUZNPRMVZMNON-UHFFFAOYSA-N 1 2 297.398 3.522 20 0 CHADLO Cc1cccc(-c2noc([C@H]([NH3+])c3cccc(Cl)c3)n2)c1 ZINC001205811866 1133616834 /nfs/dbraw/zinc/61/68/34/1133616834.db2.gz IBRXCMNWQUUCBJ-CQSZACIVSA-N 1 2 299.761 3.747 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CC[C@H](C)C[C@H]2C)c(C)[nH+]1 ZINC001205900116 1133628583 /nfs/dbraw/zinc/62/85/83/1133628583.db2.gz VAQLOBABZLGFTH-FIXISWKDSA-N 1 2 289.423 3.953 20 0 CHADLO CCCn1c[nH+]cc1CN1CCCCc2ccc(OC)cc21 ZINC001206377359 1133696372 /nfs/dbraw/zinc/69/63/72/1133696372.db2.gz WGJKBGYAUVNNJE-UHFFFAOYSA-N 1 2 299.418 3.645 20 0 CHADLO COc1cc(Nc2cc(F)cc(F)c2F)cc(C)[nH+]1 ZINC001206389162 1133697575 /nfs/dbraw/zinc/69/75/75/1133697575.db2.gz POTXQGBUHJLZSM-UHFFFAOYSA-N 1 2 268.238 3.560 20 0 CHADLO CC(C)(C)Oc1ncccc1Nc1cccc2[nH+]ccn21 ZINC001206481365 1133708351 /nfs/dbraw/zinc/70/83/51/1133708351.db2.gz RUKVFBQSSJYRBF-UHFFFAOYSA-N 1 2 282.347 3.650 20 0 CHADLO c1cn2c(cccc2-c2cccc(OCC3CC3)c2)[nH+]1 ZINC001206763674 1133744729 /nfs/dbraw/zinc/74/47/29/1133744729.db2.gz KFXPZIUSGSLMEY-UHFFFAOYSA-N 1 2 264.328 3.790 20 0 CHADLO c1[nH+]c(CN2CCC[C@H]2c2ccccc2)n2ccccc12 ZINC001207051648 1133763240 /nfs/dbraw/zinc/76/32/40/1133763240.db2.gz OLJZHUZVTLPSLH-KRWDZBQOSA-N 1 2 277.371 3.671 20 0 CHADLO Cc1ccccc1[C@@H]1CCCN1Cc1[nH+]cc2ccccn21 ZINC001207050297 1133763347 /nfs/dbraw/zinc/76/33/47/1133763347.db2.gz NHTCECGGUBWZQO-SFHVURJKSA-N 1 2 291.398 3.980 20 0 CHADLO CC[N@H+](Cc1cnc(C)s1)Cc1ccccc1F ZINC001207062012 1133764114 /nfs/dbraw/zinc/76/41/14/1133764114.db2.gz VCHDFPMPIWNCON-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1cnc(C)s1)Cc1ccccc1F ZINC001207062012 1133764119 /nfs/dbraw/zinc/76/41/19/1133764119.db2.gz VCHDFPMPIWNCON-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCc3ccncc3C2)c1 ZINC001207133415 1133770923 /nfs/dbraw/zinc/77/09/23/1133770923.db2.gz RMJWPDNXEKQDQN-UHFFFAOYSA-N 1 2 274.314 3.578 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCc3ccncc3C2)c1 ZINC001207133415 1133770927 /nfs/dbraw/zinc/77/09/27/1133770927.db2.gz RMJWPDNXEKQDQN-UHFFFAOYSA-N 1 2 274.314 3.578 20 0 CHADLO C[N@@H+](CC(F)F)C[C@@H]1C[C@@H]1c1ccc(Cl)cc1 ZINC001207181845 1133774777 /nfs/dbraw/zinc/77/47/77/1133774777.db2.gz AXPDKWLBVPNJTL-CMPLNLGQSA-N 1 2 259.727 3.640 20 0 CHADLO C[N@H+](CC(F)F)C[C@@H]1C[C@@H]1c1ccc(Cl)cc1 ZINC001207181845 1133774774 /nfs/dbraw/zinc/77/47/74/1133774774.db2.gz AXPDKWLBVPNJTL-CMPLNLGQSA-N 1 2 259.727 3.640 20 0 CHADLO CS[C@H]1CCC[C@@H](Nc2c[nH+]c(C)c(C)c2)C1 ZINC001207326495 1133791845 /nfs/dbraw/zinc/79/18/45/1133791845.db2.gz PFKVFFQKKXFQRK-OCCSQVGLSA-N 1 2 250.411 3.785 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC=C(c3cccc(C)c3C)CC2)n1 ZINC001207762902 1133846736 /nfs/dbraw/zinc/84/67/36/1133846736.db2.gz FJXVYULJWAIRMN-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC=C(c3cccc(C)c3C)CC2)n1 ZINC001207762902 1133846732 /nfs/dbraw/zinc/84/67/32/1133846732.db2.gz FJXVYULJWAIRMN-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO FC1(F)CCCC[N@H+](CC2(c3ccccc3)CC2)C1 ZINC001207831509 1133850053 /nfs/dbraw/zinc/85/00/53/1133850053.db2.gz QPUXDIFTEKZJHP-UHFFFAOYSA-N 1 2 265.347 3.839 20 0 CHADLO FC1(F)CCCC[N@@H+](CC2(c3ccccc3)CC2)C1 ZINC001207831509 1133850055 /nfs/dbraw/zinc/85/00/55/1133850055.db2.gz QPUXDIFTEKZJHP-UHFFFAOYSA-N 1 2 265.347 3.839 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+][C@H](c2ccccc2)C(F)F)C[C@H](C)O1 ZINC001207873286 1133856794 /nfs/dbraw/zinc/85/67/94/1133856794.db2.gz PWUFUAGIMDCKCJ-ZMJPVWNMSA-N 1 2 269.335 3.538 20 0 CHADLO CC/C=C\CCCCC[N@@H+]1CC(F)(F)CC[C@H]1CO ZINC001208189847 1133901954 /nfs/dbraw/zinc/90/19/54/1133901954.db2.gz ZBLCBWGLZAZBJT-NQHOJNORSA-N 1 2 275.383 3.605 20 0 CHADLO CC/C=C\CCCCC[N@H+]1CC(F)(F)CC[C@H]1CO ZINC001208189847 1133901959 /nfs/dbraw/zinc/90/19/59/1133901959.db2.gz ZBLCBWGLZAZBJT-NQHOJNORSA-N 1 2 275.383 3.605 20 0 CHADLO CC/C=C\CCCCC[N@@H+]1CC(F)(F)C[C@]1(C)CO ZINC001208191971 1133902486 /nfs/dbraw/zinc/90/24/86/1133902486.db2.gz VUSUYKONLNTRSS-ZRUQZJFASA-N 1 2 275.383 3.605 20 0 CHADLO CC/C=C\CCCCC[N@H+]1CC(F)(F)C[C@]1(C)CO ZINC001208191971 1133902491 /nfs/dbraw/zinc/90/24/91/1133902491.db2.gz VUSUYKONLNTRSS-ZRUQZJFASA-N 1 2 275.383 3.605 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](CCc1ccc(F)cc1Cl)C2 ZINC001209114829 1133977867 /nfs/dbraw/zinc/97/78/67/1133977867.db2.gz ULZNROVOYMFAAT-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](CCc1ccc(F)cc1Cl)C2 ZINC001209114829 1133977873 /nfs/dbraw/zinc/97/78/73/1133977873.db2.gz ULZNROVOYMFAAT-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO CC/C=C\CCCCCC[N@@H+]1CCCC(=O)[C@@H](F)C1 ZINC001209287636 1134000916 /nfs/dbraw/zinc/00/09/16/1134000916.db2.gz WDLOFTXOGMLLBS-JZIMQPMSSA-N 1 2 269.404 3.906 20 0 CHADLO CC/C=C\CCCCCC[N@H+]1CCCC(=O)[C@@H](F)C1 ZINC001209287636 1134000921 /nfs/dbraw/zinc/00/09/21/1134000921.db2.gz WDLOFTXOGMLLBS-JZIMQPMSSA-N 1 2 269.404 3.906 20 0 CHADLO CC/C=C\CCCCCC[N@@H+]1CCC[C@H](F)C(=O)C1 ZINC001209286872 1134001740 /nfs/dbraw/zinc/00/17/40/1134001740.db2.gz JXTSKFUSEBSYME-JZIMQPMSSA-N 1 2 269.404 3.906 20 0 CHADLO CC/C=C\CCCCCC[N@H+]1CCC[C@H](F)C(=O)C1 ZINC001209286872 1134001746 /nfs/dbraw/zinc/00/17/46/1134001746.db2.gz JXTSKFUSEBSYME-JZIMQPMSSA-N 1 2 269.404 3.906 20 0 CHADLO CC/C=C\CCCCCC[N@@H+]1CC(F)(F)C[C@H]1CO ZINC001209285209 1134002104 /nfs/dbraw/zinc/00/21/04/1134002104.db2.gz COBAHKMPYLQIBP-NQHOJNORSA-N 1 2 275.383 3.605 20 0 CHADLO CC/C=C\CCCCCC[N@H+]1CC(F)(F)C[C@H]1CO ZINC001209285209 1134002109 /nfs/dbraw/zinc/00/21/09/1134002109.db2.gz COBAHKMPYLQIBP-NQHOJNORSA-N 1 2 275.383 3.605 20 0 CHADLO FC1(F)CC2(C1)CC[NH+](Cc1cnc(Cl)s1)CC2 ZINC001209461385 1134026282 /nfs/dbraw/zinc/02/62/82/1134026282.db2.gz CFIDNAGQXBMDCD-UHFFFAOYSA-N 1 2 292.782 3.808 20 0 CHADLO FC(F)(F)Oc1cccc(Cl)c1C[NH+]1CCCC1 ZINC001209520230 1134034045 /nfs/dbraw/zinc/03/40/45/1134034045.db2.gz RLJLTEMANVXKEL-UHFFFAOYSA-N 1 2 279.689 3.834 20 0 CHADLO CC[N@H+](Cc1nn(C)c2ccccc12)Cc1ccccc1F ZINC001209582468 1134039517 /nfs/dbraw/zinc/03/95/17/1134039517.db2.gz HRYOCJRWCAWYBA-UHFFFAOYSA-N 1 2 297.377 3.735 20 0 CHADLO CC[N@@H+](Cc1nn(C)c2ccccc12)Cc1ccccc1F ZINC001209582468 1134039525 /nfs/dbraw/zinc/03/95/25/1134039525.db2.gz HRYOCJRWCAWYBA-UHFFFAOYSA-N 1 2 297.377 3.735 20 0 CHADLO Cc1cc(Cl)ncc1C[N@@H+]1CCc2sccc2C1 ZINC001209632021 1134045164 /nfs/dbraw/zinc/04/51/64/1134045164.db2.gz SJFKLRLYMSFCBP-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(Cl)ncc1C[N@H+]1CCc2sccc2C1 ZINC001209632021 1134045171 /nfs/dbraw/zinc/04/51/71/1134045171.db2.gz SJFKLRLYMSFCBP-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[N@H+](Cc1cc2cc(Cl)ccc2[nH]1)Cc1cncs1 ZINC001209726235 1134056244 /nfs/dbraw/zinc/05/62/44/1134056244.db2.gz RHILAQMJCZJBPM-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cc2cc(Cl)ccc2[nH]1)Cc1cncs1 ZINC001209726235 1134056252 /nfs/dbraw/zinc/05/62/52/1134056252.db2.gz RHILAQMJCZJBPM-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO COc1c(F)ccc(Nc2cccn3cc[nH+]c23)c1Cl ZINC001209801819 1134068391 /nfs/dbraw/zinc/06/83/91/1134068391.db2.gz VPDSBNHYKHCVPL-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO COc1ccc(C)c(Nc2[nH+]cccc2N2CCCC2)c1 ZINC001209840535 1134077295 /nfs/dbraw/zinc/07/72/95/1134077295.db2.gz QSVVVTVFSSTKGB-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO c1[nH]c2ccc(Nc3nc4ccccc4s3)cc2[nH+]1 ZINC001209841444 1134079321 /nfs/dbraw/zinc/07/93/21/1134079321.db2.gz PCNUDQXERQQSEY-UHFFFAOYSA-N 1 2 266.329 3.916 20 0 CHADLO c1[nH]c2ccc(Nc3cc(C4CCCC4)no3)cc2[nH+]1 ZINC001209841553 1134079694 /nfs/dbraw/zinc/07/96/94/1134079694.db2.gz UZQCLQXSYOSXFJ-UHFFFAOYSA-N 1 2 268.320 3.952 20 0 CHADLO c1[nH]c2ccc(Nc3cnc(-c4ccccc4)cn3)cc2[nH+]1 ZINC001209841237 1134079716 /nfs/dbraw/zinc/07/97/16/1134079716.db2.gz HKDPYQPRTJNMAC-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO Oc1cccc(Nc2ccc3[nH]c[nH+]c3c2)c1Cl ZINC001209848172 1134080940 /nfs/dbraw/zinc/08/09/40/1134080940.db2.gz HBEOQKAJSZQOTF-UHFFFAOYSA-N 1 2 259.696 3.666 20 0 CHADLO COc1nc(Nc2ccc3[nH]c[nH+]c3c2)cc2ccccc21 ZINC001209848192 1134082675 /nfs/dbraw/zinc/08/26/75/1134082675.db2.gz JVSGULIKQNBTEN-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO Cc1csc(Nc2ccccc2C[NH+]2CCOCC2)c1 ZINC001209925792 1134102944 /nfs/dbraw/zinc/10/29/44/1134102944.db2.gz GIAKECDMNMLHLD-UHFFFAOYSA-N 1 2 288.416 3.632 20 0 CHADLO Cc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)ccc1O ZINC001209975680 1134114556 /nfs/dbraw/zinc/11/45/56/1134114556.db2.gz SHUCTUNXTHUZOV-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO COc1cc[nH+]c(Nc2cc(C(F)(F)F)ccc2O)c1 ZINC001209979010 1134114943 /nfs/dbraw/zinc/11/49/43/1134114943.db2.gz OHIYEZGIMYRLDP-UHFFFAOYSA-N 1 2 284.237 3.558 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccccc2N(C)C)c1 ZINC001209991922 1134118151 /nfs/dbraw/zinc/11/81/51/1134118151.db2.gz QDUYYQDTMMLKPX-UHFFFAOYSA-N 1 2 255.365 3.844 20 0 CHADLO Cc1ccc(Nc2ccc(N(C)C)c(C)c2)c(C)[nH+]1 ZINC001210039279 1134130157 /nfs/dbraw/zinc/13/01/57/1134130157.db2.gz MKZGTQNNRUKKBG-UHFFFAOYSA-N 1 2 255.365 3.816 20 0 CHADLO Cc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1O ZINC001210043006 1134132070 /nfs/dbraw/zinc/13/20/70/1134132070.db2.gz IITWZAASSOINIP-UHFFFAOYSA-N 1 2 256.349 3.819 20 0 CHADLO Cc1cc(Nc2cccc3c2NC(=O)CC3)ccc1[NH+](C)C ZINC001210043899 1134132827 /nfs/dbraw/zinc/13/28/27/1134132827.db2.gz IBBWYBAYIWDBMW-UHFFFAOYSA-N 1 2 295.386 3.689 20 0 CHADLO COc1cc(F)cc(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210043632 1134132835 /nfs/dbraw/zinc/13/28/35/1134132835.db2.gz PJCYGTJHKXRTRQ-UHFFFAOYSA-N 1 2 274.339 3.952 20 0 CHADLO c1cnc2c(Nc3ccc4c[nH+]ccc4c3)noc2c1 ZINC001210045230 1134132842 /nfs/dbraw/zinc/13/28/42/1134132842.db2.gz YOFHLGVITSLQAJ-UHFFFAOYSA-N 1 2 262.272 3.515 20 0 CHADLO Fc1cc(F)c(Nc2ccc3c[nH+]ccc3c2)nc1F ZINC001210045448 1134133153 /nfs/dbraw/zinc/13/31/53/1134133153.db2.gz KTXQFMFGEVRROI-UHFFFAOYSA-N 1 2 275.233 3.791 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)CCC(=O)N3)ccc1[NH+](C)C ZINC001210043888 1134133526 /nfs/dbraw/zinc/13/35/26/1134133526.db2.gz SSXJSPBJMAIXIU-UHFFFAOYSA-N 1 2 295.386 3.689 20 0 CHADLO Cc1cc(Nc2ccc3oncc3c2)ccc1[NH+](C)C ZINC001210044413 1134133591 /nfs/dbraw/zinc/13/35/91/1134133591.db2.gz ZUXXXDRTWWLCNW-UHFFFAOYSA-N 1 2 267.332 3.946 20 0 CHADLO Cc1cc(N)ccc1Nc1ccc([NH+](C)C)c(C)c1 ZINC001210044222 1134133639 /nfs/dbraw/zinc/13/36/39/1134133639.db2.gz VUYWKQQKOZQONG-UHFFFAOYSA-N 1 2 255.365 3.695 20 0 CHADLO Cc1cnc(Nc2ccc3c[nH+]ccc3c2)c(Cl)n1 ZINC001210046071 1134134763 /nfs/dbraw/zinc/13/47/63/1134134763.db2.gz RHNXUDYZKMYUTE-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO c1cnc2c(c1)nsc2Nc1ccc2c[nH+]ccc2c1 ZINC001210047332 1134135725 /nfs/dbraw/zinc/13/57/25/1134135725.db2.gz YFHLJACOPZHRRR-UHFFFAOYSA-N 1 2 278.340 3.983 20 0 CHADLO Oc1cc(F)cc(F)c1Nc1ccc2c[nH+]ccc2c1 ZINC001210047797 1134136213 /nfs/dbraw/zinc/13/62/13/1134136213.db2.gz LDDPYEICUDEMGU-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO Cc1cn2cccc(Nc3ccc4cccnc4c3)c2[nH+]1 ZINC001210054941 1134137725 /nfs/dbraw/zinc/13/77/25/1134137725.db2.gz WWVHSYNSMZAGPE-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(F)c(C)c(F)c1 ZINC001210108160 1134149943 /nfs/dbraw/zinc/14/99/43/1134149943.db2.gz GFDBVKWTYSFHIE-UHFFFAOYSA-N 1 2 273.286 3.503 20 0 CHADLO CC1(C)CC=C([N@@H+]2C(=N)C=Cc3c(N)cccc32)CC1 ZINC001210168214 1134159219 /nfs/dbraw/zinc/15/92/19/1134159219.db2.gz PPWCUIWFLDOTBN-UHFFFAOYSA-N 1 2 267.376 3.754 20 0 CHADLO CC1(C)CC=C([N@H+]2C(=N)C=Cc3c(N)cccc32)CC1 ZINC001210168214 1134159222 /nfs/dbraw/zinc/15/92/22/1134159222.db2.gz PPWCUIWFLDOTBN-UHFFFAOYSA-N 1 2 267.376 3.754 20 0 CHADLO Cc1ccc2c(Nc3cc(F)ccc3CO)cccc2[nH+]1 ZINC001210184036 1134160802 /nfs/dbraw/zinc/16/08/02/1134160802.db2.gz PJRVLEBMAHTPPF-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(F)c2O)cc1 ZINC001210204665 1134166087 /nfs/dbraw/zinc/16/60/87/1134166087.db2.gz RPNYBKPBIVBPPV-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(F)c2O)cc1 ZINC001210204665 1134166090 /nfs/dbraw/zinc/16/60/90/1134166090.db2.gz RPNYBKPBIVBPPV-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO Cc1cc2ncc(C)c(Nc3cccc4cc[nH+]cc43)n2n1 ZINC001210222485 1134170027 /nfs/dbraw/zinc/17/00/27/1134170027.db2.gz JXFBBKKFUAHMQA-UHFFFAOYSA-N 1 2 289.342 3.638 20 0 CHADLO FC(F)(F)c1cnc(Nc2cccc3cc[nH+]cc32)nc1 ZINC001210222641 1134170762 /nfs/dbraw/zinc/17/07/62/1134170762.db2.gz RGBVSOGXLVNBFJ-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO FC(F)(F)c1cnc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001210224216 1134171392 /nfs/dbraw/zinc/17/13/92/1134171392.db2.gz UAPWOXJBYBCBNO-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO O=c1cc(Nc2cccc3cc[nH+]cc32)n(C2CCCC2)[nH]1 ZINC001210223692 1134171419 /nfs/dbraw/zinc/17/14/19/1134171419.db2.gz DHTPWERDFBIRFJ-UHFFFAOYSA-N 1 2 294.358 3.996 20 0 CHADLO Fc1ccc2c(Nc3cccc4cc[nH+]cc43)cnn2c1 ZINC001210225446 1134172473 /nfs/dbraw/zinc/17/24/73/1134172473.db2.gz GVILDUWSZHEMQE-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO COc1cc(Nc2cccc3cc[nH+]cc32)cc(C)n1 ZINC001210225833 1134172620 /nfs/dbraw/zinc/17/26/20/1134172620.db2.gz LEAYIAQKTUEGHG-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Nc1cccc2c1N(c1cccc3cc[nH+]cc31)CC2 ZINC001210233156 1134174604 /nfs/dbraw/zinc/17/46/04/1134174604.db2.gz UWYJEDSSBMOEEM-UHFFFAOYSA-N 1 2 261.328 3.511 20 0 CHADLO CCOc1cc(Nc2cccnc2C(F)(F)F)cc(C)[nH+]1 ZINC001210233757 1134175167 /nfs/dbraw/zinc/17/51/67/1134175167.db2.gz RTVUOCJLTZESNZ-UHFFFAOYSA-N 1 2 297.280 3.946 20 0 CHADLO Cc1[nH+]cc(Nc2ccnc3c(Cl)cccc23)cc1N ZINC001210287194 1134185388 /nfs/dbraw/zinc/18/53/88/1134185388.db2.gz NJBPGIHLBPNCOK-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cc(C3CCC3)nn2C(C)(C)C)cc1N ZINC001210285792 1134185487 /nfs/dbraw/zinc/18/54/87/1134185487.db2.gz RRJOEDDHGQGKOF-UHFFFAOYSA-N 1 2 299.422 3.935 20 0 CHADLO Cc1[nH+]cc(Nc2ccnc3cc(Cl)ccc32)cc1N ZINC001210287313 1134185682 /nfs/dbraw/zinc/18/56/82/1134185682.db2.gz QTOCUCGOYCPRMA-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cccc3cc(O)ccc32)cc1N ZINC001210289320 1134186530 /nfs/dbraw/zinc/18/65/30/1134186530.db2.gz GGYKIJROCXNBJC-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO Cc1[nH+]cc(Nc2cc(C(C)(C)C)ccc2O)cc1N ZINC001210289368 1134186705 /nfs/dbraw/zinc/18/67/05/1134186705.db2.gz IOCXBVRJUZQNJY-UHFFFAOYSA-N 1 2 271.364 3.719 20 0 CHADLO Cc1[nH+]cc(Nc2c(F)cccc2Cl)cc1N ZINC001210288538 1134186816 /nfs/dbraw/zinc/18/68/16/1134186816.db2.gz RVTLWWSKOKGNQY-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1[nH+]cc(Nc2cnc3c(Cl)cccc3c2)cc1N ZINC001210289253 1134187071 /nfs/dbraw/zinc/18/70/71/1134187071.db2.gz ZBXCLMMEFKNIMH-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2-c2ccncc2)cc1N ZINC001210291542 1134187708 /nfs/dbraw/zinc/18/77/08/1134187708.db2.gz NPGNGSCEHIUAET-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1[nH+]cc(Nc2c(C)c(C)c(N)c(C)c2C)cc1N ZINC001210291525 1134187789 /nfs/dbraw/zinc/18/77/89/1134187789.db2.gz NHFBXUWNTSOOBH-UHFFFAOYSA-N 1 2 270.380 3.532 20 0 CHADLO Cc1[nH+]cc(Nc2c(F)cccc2OC(C)C)cc1N ZINC001210290980 1134187897 /nfs/dbraw/zinc/18/78/97/1134187897.db2.gz IDNVORWIORSRPB-UHFFFAOYSA-N 1 2 275.327 3.642 20 0 CHADLO Cc1[nH+]cc(Nc2c(F)cccc2C(C)C)cc1N ZINC001210294453 1134188042 /nfs/dbraw/zinc/18/80/42/1134188042.db2.gz YFRFBVOEQRHRBC-UHFFFAOYSA-N 1 2 259.328 3.978 20 0 CHADLO Cc1cc(N(C)c2[nH+]cccc2N)ccc1C(F)(F)F ZINC001210304249 1134189418 /nfs/dbraw/zinc/18/94/18/1134189418.db2.gz ROZLMGBNERNRLK-UHFFFAOYSA-N 1 2 281.281 3.759 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ccc(CO)c(F)c1 ZINC001210486841 1134226681 /nfs/dbraw/zinc/22/66/81/1134226681.db2.gz WSEGGCXZVGRTSG-UHFFFAOYSA-N 1 2 288.366 3.964 20 0 CHADLO C[C@@H](O)c1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001210508256 1134234252 /nfs/dbraw/zinc/23/42/52/1134234252.db2.gz IKHGTWAXRCDYAL-CQSZACIVSA-N 1 2 293.370 3.728 20 0 CHADLO C[C@@H](O)c1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001210646338 1134267397 /nfs/dbraw/zinc/26/73/97/1134267397.db2.gz NJBGWBOXGIRZBE-CYBMUJFWSA-N 1 2 279.343 3.669 20 0 CHADLO Cc1ccc(CO)cc1Nc1[nH+]cccc1C1CC1 ZINC001210677826 1134272035 /nfs/dbraw/zinc/27/20/35/1134272035.db2.gz QFOSQQCSTNLUPD-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(OC)cc1CC ZINC001210715683 1134281153 /nfs/dbraw/zinc/28/11/53/1134281153.db2.gz QNJYIPVKWIEKPX-UHFFFAOYSA-N 1 2 256.349 3.959 20 0 CHADLO CN(C)c1ccc(Nc2cc3cc(F)ccc3o2)c[nH+]1 ZINC001210724099 1134281838 /nfs/dbraw/zinc/28/18/38/1134281838.db2.gz BCOAMMYVEKWXDT-UHFFFAOYSA-N 1 2 271.295 3.777 20 0 CHADLO COc1cc(Nc2cc(Cl)cnc2Cl)cc(C)[nH+]1 ZINC001210776954 1134294487 /nfs/dbraw/zinc/29/44/87/1134294487.db2.gz SPJOFQAGXAQNDS-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc(Nc2ccc3c(ccn3C(C)=O)c2)cc(C)[nH+]1 ZINC001210780425 1134294888 /nfs/dbraw/zinc/29/48/88/1134294888.db2.gz JTAJGNDZBOWIJA-UHFFFAOYSA-N 1 2 295.342 3.757 20 0 CHADLO COc1cc(Nc2c(C)cc(C)c(N)c2C)cc(C)[nH+]1 ZINC001210780787 1134295494 /nfs/dbraw/zinc/29/54/94/1134295494.db2.gz HALDVPCWPWTHNO-UHFFFAOYSA-N 1 2 271.364 3.650 20 0 CHADLO COc1cc(Nc2cc(F)cc3cccnc32)cc(C)[nH+]1 ZINC001210780757 1134295620 /nfs/dbraw/zinc/29/56/20/1134295620.db2.gz QAGWFNPSIMYAFO-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO c1cn2c(cccc2Nc2cccc(OC3CC3)c2)[nH+]1 ZINC001210859508 1134313669 /nfs/dbraw/zinc/31/36/69/1134313669.db2.gz VQICJVKWEUKBIM-UHFFFAOYSA-N 1 2 265.316 3.619 20 0 CHADLO Cc1ccc(Nc2ccc3ccc(O)cc3c2)[nH+]c1 ZINC001211013745 1134349531 /nfs/dbraw/zinc/34/95/31/1134349531.db2.gz MIOOLLMDNIRGKQ-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO Cc1c(CO)cccc1Nc1cccc(C2CC2)[nH+]1 ZINC001211163071 1134382264 /nfs/dbraw/zinc/38/22/64/1134382264.db2.gz FXQNXNIOLHQAKW-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO O=c1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc(Cl)[nH]1 ZINC001213087752 1134424117 /nfs/dbraw/zinc/42/41/17/1134424117.db2.gz YVOOHTXXHWSPFN-UHFFFAOYSA-N 1 2 286.722 3.574 20 0 CHADLO COc1cc(C)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001213085985 1134424231 /nfs/dbraw/zinc/42/42/31/1134424231.db2.gz BLJAEJCNBCCIOW-UHFFFAOYSA-N 1 2 280.331 3.532 20 0 CHADLO CCOC(=O)c1occc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086820 1134424406 /nfs/dbraw/zinc/42/44/06/1134424406.db2.gz BPOQFUIHHGQNFG-UHFFFAOYSA-N 1 2 297.314 3.590 20 0 CHADLO Cc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)sn1 ZINC001213086708 1134424485 /nfs/dbraw/zinc/42/44/85/1134424485.db2.gz WGWLQDCKKIOTMT-UHFFFAOYSA-N 1 2 256.334 3.585 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3nsc4ncccc34)cc2)[nH]1 ZINC001213087508 1134424556 /nfs/dbraw/zinc/42/45/56/1134424556.db2.gz QNRIAQBFIRLXES-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4c3OCC4)cc2)[nH]1 ZINC001213090080 1134425308 /nfs/dbraw/zinc/42/53/08/1134425308.db2.gz SRLUYLUZKAWSHD-UHFFFAOYSA-N 1 2 277.327 3.755 20 0 CHADLO OCc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)ccc1Cl ZINC001213090888 1134425548 /nfs/dbraw/zinc/42/55/48/1134425548.db2.gz LFJQBFURQQXHOW-UHFFFAOYSA-N 1 2 299.761 3.966 20 0 CHADLO CC(=O)c1cccc(O)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090676 1134425574 /nfs/dbraw/zinc/42/55/74/1134425574.db2.gz AQEKJZMKMHXFHN-UHFFFAOYSA-N 1 2 293.326 3.729 20 0 CHADLO Cc1cn(-c2ccc(-c3[nH]cc[nH+]3)cc2)c2cccc(N)c12 ZINC001213090707 1134425640 /nfs/dbraw/zinc/42/56/40/1134425640.db2.gz CABVQCIQLPDVMO-UHFFFAOYSA-N 1 2 288.354 3.911 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4cncnc4c3)cc2)[nH]1 ZINC001213090902 1134425659 /nfs/dbraw/zinc/42/56/59/1134425659.db2.gz LZWRHHQKXSKQQV-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO O=c1[nH]oc2ccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cc12 ZINC001213091026 1134425824 /nfs/dbraw/zinc/42/58/24/1134425824.db2.gz RPJSNHDGRRXBEA-UHFFFAOYSA-N 1 2 292.298 3.667 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)CCC(=O)N3C)c1 ZINC001213132446 1134430412 /nfs/dbraw/zinc/43/04/12/1134430412.db2.gz DGZWQJDGASLWPJ-UHFFFAOYSA-N 1 2 295.386 3.687 20 0 CHADLO COc1cc[nH+]c(Nc2ccccc2OCC(C)C)c1 ZINC001213249664 1134436780 /nfs/dbraw/zinc/43/67/80/1134436780.db2.gz GZMJTKMZKNXJFQ-UHFFFAOYSA-N 1 2 272.348 3.869 20 0 CHADLO Cc1cc(Nc2cccc(C(C)C)[nH+]2)cc2c1OCC(=O)N2 ZINC001213279862 1134439338 /nfs/dbraw/zinc/43/93/38/1134439338.db2.gz KXGHAVHANOESOW-UHFFFAOYSA-N 1 2 297.358 3.588 20 0 CHADLO COC(=O)c1cc(Cl)cc(Nc2cc(C)cc[nH+]2)c1 ZINC001213329263 1134441497 /nfs/dbraw/zinc/44/14/97/1134441497.db2.gz BHLGUBQOIWGADV-UHFFFAOYSA-N 1 2 276.723 3.574 20 0 CHADLO Cc1c[nH+]cc(Nc2c(N)cc(Cl)nc2Cl)c1C ZINC001213524944 1134460186 /nfs/dbraw/zinc/46/01/86/1134460186.db2.gz KBKHTXCYHZXFIO-UHFFFAOYSA-N 1 2 283.162 3.726 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)c(O)c(F)c2)cc1 ZINC001213390759 1134446724 /nfs/dbraw/zinc/44/67/24/1134446724.db2.gz QQUUFNUUXAMZMS-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)c(O)c(F)c2)cc1 ZINC001213390759 1134446727 /nfs/dbraw/zinc/44/67/27/1134446727.db2.gz QQUUFNUUXAMZMS-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nc(F)ccc1F ZINC001213494993 1134453630 /nfs/dbraw/zinc/45/36/30/1134453630.db2.gz OWLGPZXHTSWNDX-UHFFFAOYSA-N 1 2 261.275 3.684 20 0 CHADLO Cc1cnc(Cl)c(Nc2cc(C3CC3)c[nH+]c2C)c1N ZINC001213496857 1134454055 /nfs/dbraw/zinc/45/40/55/1134454055.db2.gz APEWNNYCGWPMMX-UHFFFAOYSA-N 1 2 288.782 3.950 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnn(C(C)C)c1 ZINC001213491293 1134454230 /nfs/dbraw/zinc/45/42/30/1134454230.db2.gz GIIAMCPLZLPVAF-UHFFFAOYSA-N 1 2 256.353 3.788 20 0 CHADLO Cc1cc(C)c(Nc2cc(Br)ncc2C)c[nH+]1 ZINC001213505991 1134454312 /nfs/dbraw/zinc/45/43/12/1134454312.db2.gz XSSQYVULOQAJPP-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COC(=O)c1ccc(O)c(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213499539 1134454732 /nfs/dbraw/zinc/45/47/32/1134454732.db2.gz NVXDGPPWDIBWJM-UHFFFAOYSA-N 1 2 298.342 3.503 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(F)c1O ZINC001213499490 1134455705 /nfs/dbraw/zinc/45/57/05/1134455705.db2.gz LLEPQFFYINFCPZ-UHFFFAOYSA-N 1 2 258.296 3.856 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccccc1-n1cncn1 ZINC001213501182 1134455982 /nfs/dbraw/zinc/45/59/82/1134455982.db2.gz LJZOVLWASFDPNT-UHFFFAOYSA-N 1 2 291.358 3.592 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(F)c1CO ZINC001213501371 1134456304 /nfs/dbraw/zinc/45/63/04/1134456304.db2.gz VMAWSFUUXSINSK-UHFFFAOYSA-N 1 2 272.323 3.642 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1ncn2C ZINC001213503577 1134456451 /nfs/dbraw/zinc/45/64/51/1134456451.db2.gz NCYDXCROTVSRLP-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)NC(=O)C2 ZINC001213501463 1134456556 /nfs/dbraw/zinc/45/65/56/1134456556.db2.gz ZWVAGLZKTKNZNG-UHFFFAOYSA-N 1 2 279.343 3.506 20 0 CHADLO Cc1cc(C)c(Nc2ccnn2-c2ccccc2C)c[nH+]1 ZINC001213510932 1134457274 /nfs/dbraw/zinc/45/72/74/1134457274.db2.gz MWMRKMSIYFLDFW-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1cc(C)c(Nc2cc(C(F)(F)F)cnc2F)c[nH+]1 ZINC001213511088 1134457483 /nfs/dbraw/zinc/45/74/83/1134457483.db2.gz VSYHZLBBCFOEBN-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO Cc1cc(C)c(Nc2ccnc(Br)c2C)c[nH+]1 ZINC001213510765 1134457971 /nfs/dbraw/zinc/45/79/71/1134457971.db2.gz JQUHRVPOIAOIES-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(N(c2ccc(N)cn2)C2CCCC2)c[nH+]1 ZINC001213510676 1134458128 /nfs/dbraw/zinc/45/81/28/1134458128.db2.gz HGECHTWOUKLECI-UHFFFAOYSA-N 1 2 282.391 3.756 20 0 CHADLO COC(=O)[C@H](C)c1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001213520870 1134459332 /nfs/dbraw/zinc/45/93/32/1134459332.db2.gz KIWNCODWMLNAKQ-CYBMUJFWSA-N 1 2 284.359 3.719 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc3cc(C4CCC4)nn3c2)c1C ZINC001213527579 1134460065 /nfs/dbraw/zinc/46/00/65/1134460065.db2.gz UGCALNCTVFWDJZ-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(-c3ccccc3O)no2)c1C ZINC001213527562 1134460207 /nfs/dbraw/zinc/46/02/07/1134460207.db2.gz SVLYOPURFDDNNX-UHFFFAOYSA-N 1 2 281.315 3.803 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(-c3ccncn3)cs2)c1C ZINC001213527512 1134460361 /nfs/dbraw/zinc/46/03/61/1134460361.db2.gz PTCSEWQQNTWBCX-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO COC(Cc1ccccc1Nc1c[nH+]cc(C)c1C)OC ZINC001213530398 1134461463 /nfs/dbraw/zinc/46/14/63/1134461463.db2.gz ANSSKRMXVGVOHI-UHFFFAOYSA-N 1 2 286.375 3.603 20 0 CHADLO COc1cc(C(C)=O)ccc1Nc1c[nH+]cc(C)c1C ZINC001213530880 1134461658 /nfs/dbraw/zinc/46/16/58/1134461658.db2.gz PRENUFNPMIVLHV-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COCCOc1ccc(Nc2c[nH+]cc(C)c2C)cc1F ZINC001213532550 1134461967 /nfs/dbraw/zinc/46/19/67/1134461967.db2.gz NTAVTCWRTIEYLS-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(OC3CCOCC3)cc2)c1C ZINC001213534107 1134462852 /nfs/dbraw/zinc/46/28/52/1134462852.db2.gz MTXYPZWGHAJWFT-UHFFFAOYSA-N 1 2 298.386 4.000 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccccc1N1CCCC1 ZINC001213601813 1134467702 /nfs/dbraw/zinc/46/77/02/1134467702.db2.gz GFARKDRQXOBRLG-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(N3CCCC3)cc2F)c1 ZINC001213843072 1134490271 /nfs/dbraw/zinc/49/02/71/1134490271.db2.gz DIWMHFWZJFRPMK-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1cn2c(cccc2Nc2cnccc2C2CC2)[nH+]1 ZINC001213866962 1134493460 /nfs/dbraw/zinc/49/34/60/1134493460.db2.gz UZYOJEDGBJAEQJ-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO Cc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c(N)c1 ZINC001214187006 1134518676 /nfs/dbraw/zinc/51/86/76/1134518676.db2.gz QSXVGKYNNALTIW-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO COc1c(C)ccc(F)c1Nc1cccn2cc(C)[nH+]c12 ZINC001214530246 1134547386 /nfs/dbraw/zinc/54/73/86/1134547386.db2.gz XKLSGJCXSZVAEL-UHFFFAOYSA-N 1 2 285.322 3.842 20 0 CHADLO COc1cc(Nc2c(F)ccc(C)c2OC)[nH+]cc1C ZINC001214532392 1134548245 /nfs/dbraw/zinc/54/82/45/1134548245.db2.gz QDWFYXWNJZTDGV-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO CSc1cc(Nc2csc(C(C)=O)c2)cc[nH+]1 ZINC001214778140 1134571172 /nfs/dbraw/zinc/57/11/72/1134571172.db2.gz DQEICXZFRNYYQB-UHFFFAOYSA-N 1 2 264.375 3.811 20 0 CHADLO CC(=O)c1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)cs1 ZINC001214782808 1134571314 /nfs/dbraw/zinc/57/13/14/1134571314.db2.gz ITKDEUBUYZHMRH-UHFFFAOYSA-N 1 2 297.383 3.939 20 0 CHADLO CCOc1ncc(Nc2ccc(C)[nH+]c2C)cc1C ZINC001214926543 1134580876 /nfs/dbraw/zinc/58/08/76/1134580876.db2.gz IBAATHRFJFBNBC-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO CCOc1ncc(Nc2ccc[nH+]c2N2CCCC2)cc1C ZINC001214929050 1134581900 /nfs/dbraw/zinc/58/19/00/1134581900.db2.gz QSCFBAGXXONPOF-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO Cc1cc(CO)cc(C)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001214946385 1134584602 /nfs/dbraw/zinc/58/46/02/1134584602.db2.gz BIFQTYGOONEAJD-UHFFFAOYSA-N 1 2 297.402 3.535 20 0 CHADLO Nc1[nH+]cccc1CSc1nccc2ccccc21 ZINC000401471836 1134613612 /nfs/dbraw/zinc/61/36/12/1134613612.db2.gz ABHNOUJYFRGIFG-UHFFFAOYSA-N 1 2 267.357 3.504 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(F)c3n[nH]cc32)cc1 ZINC001215383627 1134621922 /nfs/dbraw/zinc/62/19/22/1134621922.db2.gz GEPBXYMPLYAXRX-UHFFFAOYSA-N 1 2 284.338 3.902 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(F)c3n[nH]cc32)cc1 ZINC001215383627 1134621925 /nfs/dbraw/zinc/62/19/25/1134621925.db2.gz GEPBXYMPLYAXRX-UHFFFAOYSA-N 1 2 284.338 3.902 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(F)c(F)c2OC(C)C)c1 ZINC001215420666 1134626957 /nfs/dbraw/zinc/62/69/57/1134626957.db2.gz GCRGATVBQHPUKA-UHFFFAOYSA-N 1 2 294.301 3.899 20 0 CHADLO Cc1cc(O)cc(Nc2[nH+]cccc2N2CCCCC2)c1 ZINC001215511310 1134634954 /nfs/dbraw/zinc/63/49/54/1134634954.db2.gz DRABOFOOQZVIEW-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cl)c(C)c1F ZINC001215524458 1134637004 /nfs/dbraw/zinc/63/70/04/1134637004.db2.gz NBVCIBXYTHTADK-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO Fc1cc(Nc2ccccc2-n2cc[nH+]c2)cc2n[nH]cc21 ZINC001215554772 1134641200 /nfs/dbraw/zinc/64/12/00/1134641200.db2.gz HDXFMCHSJINTBJ-UHFFFAOYSA-N 1 2 293.305 3.631 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc3n[nH]cc3c(F)c2)cc1 ZINC001215558951 1134641995 /nfs/dbraw/zinc/64/19/95/1134641995.db2.gz IKSLLXJTIFWJKV-UHFFFAOYSA-N 1 2 284.338 3.902 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc3n[nH]cc3c(F)c2)cc1 ZINC001215558951 1134641997 /nfs/dbraw/zinc/64/19/97/1134641997.db2.gz IKSLLXJTIFWJKV-UHFFFAOYSA-N 1 2 284.338 3.902 20 0 CHADLO COc1c(Nc2ccc(C)[nH+]c2C)ccc(C)c1F ZINC001215600014 1134651446 /nfs/dbraw/zinc/65/14/46/1134651446.db2.gz RMIGOUURTTZAHP-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO COc1cc(Nc2ccc(C)c(F)c2OC)cc(C)[nH+]1 ZINC001215601092 1134651554 /nfs/dbraw/zinc/65/15/54/1134651554.db2.gz DCOGUBWHPHMRNC-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO COCOc1ccc(Nc2ccc3c(C)cc[nH+]c3c2)cn1 ZINC001215668126 1134658563 /nfs/dbraw/zinc/65/85/63/1134658563.db2.gz VDPMBDRCNNMNLS-UHFFFAOYSA-N 1 2 295.342 3.665 20 0 CHADLO COc1cc(Nc2ccc(C)[nH+]c2C)cc(C)c1F ZINC001215700381 1134661759 /nfs/dbraw/zinc/66/17/59/1134661759.db2.gz KIEINFDTHVDPDR-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO Cc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c2c[nH]nc21 ZINC001215757436 1134676655 /nfs/dbraw/zinc/67/66/55/1134676655.db2.gz TWZGAEANIUQANL-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1c[nH+]c(Nc2ccnc(OC(C)C)c2)c(C)c1 ZINC001215766058 1134679707 /nfs/dbraw/zinc/67/97/07/1134679707.db2.gz BFOGIHATGYZOLK-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO CC(C)Oc1cc(Nc2ccc(N3CCCC3)[nH+]c2)ccn1 ZINC001215765946 1134679864 /nfs/dbraw/zinc/67/98/64/1134679864.db2.gz BDPOUODKEUAZBU-UHFFFAOYSA-N 1 2 298.390 3.608 20 0 CHADLO CCOCc1cncc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001215788494 1134686680 /nfs/dbraw/zinc/68/66/80/1134686680.db2.gz OTYXPQMPYKBAQE-UHFFFAOYSA-N 1 2 294.358 3.547 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cnc(OC)cc1SC ZINC001215809457 1134692296 /nfs/dbraw/zinc/69/22/96/1134692296.db2.gz NNDSLRUTZZJVLA-UHFFFAOYSA-N 1 2 289.404 3.822 20 0 CHADLO CSc1cc(Nc2cnc(SC)c(C)c2)cc[nH+]1 ZINC001215828000 1134696158 /nfs/dbraw/zinc/69/61/58/1134696158.db2.gz AVQRAGDMSIKIOW-UHFFFAOYSA-N 1 2 277.418 3.972 20 0 CHADLO CCOc1cc(C)ccc1Nc1cccc2[nH+]ccn21 ZINC001215873996 1134710238 /nfs/dbraw/zinc/71/02/38/1134710238.db2.gz MLFAJLVGTSMTCL-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO CSc1ccc(Nc2cccc3[nH+]c(C)cn32)c(C)n1 ZINC001215905419 1134714992 /nfs/dbraw/zinc/71/49/92/1134714992.db2.gz IKZXIXDCGFBUBA-UHFFFAOYSA-N 1 2 284.388 3.812 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(Cl)c2n[nH]cc21 ZINC001215941036 1134725970 /nfs/dbraw/zinc/72/59/70/1134725970.db2.gz UVBVZJAPALXNQI-UHFFFAOYSA-N 1 2 288.738 3.754 20 0 CHADLO Cc1cc(C)c(Nc2cc(C3CC3)cnc2F)c[nH+]1 ZINC001215954134 1134730207 /nfs/dbraw/zinc/73/02/07/1134730207.db2.gz IDRPRNFXPIMNPV-UHFFFAOYSA-N 1 2 257.312 3.854 20 0 CHADLO Cc1cc(F)cc(C)c1Nc1cccc2[nH+]ccn21 ZINC001215962363 1134733267 /nfs/dbraw/zinc/73/32/67/1134733267.db2.gz JJVVVOIIKHJBEF-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO COc1ccc(Nc2cccn3cc[nH+]c23)c(C)c1C ZINC001215971430 1134736777 /nfs/dbraw/zinc/73/67/77/1134736777.db2.gz DTYJRXUKXWBXNM-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COc1ccc(N(C)c2[nH+]cccc2N)cc1C(C)C ZINC001216000310 1134747200 /nfs/dbraw/zinc/74/72/00/1134747200.db2.gz IEIVPBLOPOFZFL-UHFFFAOYSA-N 1 2 271.364 3.564 20 0 CHADLO Cc1ccc[nH+]c1Nc1cc(OC(F)(F)F)ccc1N ZINC001216012664 1134750323 /nfs/dbraw/zinc/75/03/23/1134750323.db2.gz TVPGQGDPNCIXKO-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO CC(C)c1ccc(N)c(Nc2cccn3cc[nH+]c23)c1 ZINC001216019191 1134750802 /nfs/dbraw/zinc/75/08/02/1134750802.db2.gz ITDOEGFLFDWQIU-UHFFFAOYSA-N 1 2 266.348 3.784 20 0 CHADLO CC(C)c1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1N ZINC001216042964 1134758702 /nfs/dbraw/zinc/75/87/02/1134758702.db2.gz NKBFKTHVTDGRHY-UHFFFAOYSA-N 1 2 270.380 3.597 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(N)c(C(C)C)c1 ZINC001216043033 1134758821 /nfs/dbraw/zinc/75/88/21/1134758821.db2.gz QPIDPXQFVVKZEI-UHFFFAOYSA-N 1 2 257.337 3.545 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(N)cc1C(F)(F)F ZINC001216129458 1134787643 /nfs/dbraw/zinc/78/76/43/1134787643.db2.gz HYHIBLPHAQZNLH-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)cc(N(C)C)c1 ZINC001216160123 1134791325 /nfs/dbraw/zinc/79/13/25/1134791325.db2.gz IHCNWSPSGQSNTO-UHFFFAOYSA-N 1 2 273.355 3.901 20 0 CHADLO Cc1c(F)cncc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001216191308 1134802824 /nfs/dbraw/zinc/80/28/24/1134802824.db2.gz NIGIXXHASWOGTL-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO COc1cc(Nc2ccn3cc[nH+]c3c2)ccc1OC(C)C ZINC001216246536 1134823672 /nfs/dbraw/zinc/82/36/72/1134823672.db2.gz MEHUTOQRKRBBCD-UHFFFAOYSA-N 1 2 297.358 3.874 20 0 CHADLO COc1cc(Nc2[nH+]c(C)ccc2O)ccc1OC(C)C ZINC001216244662 1134824417 /nfs/dbraw/zinc/82/44/17/1134824417.db2.gz IUVCUSGFEYMELG-UHFFFAOYSA-N 1 2 288.347 3.635 20 0 CHADLO Cc1cc(Cl)ncc1Nc1ccc2c(c1)[nH+]cn2C ZINC001216280722 1134834715 /nfs/dbraw/zinc/83/47/15/1134834715.db2.gz HTYFBWYLWVGFSH-UHFFFAOYSA-N 1 2 272.739 3.674 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(F)c2ccoc21 ZINC001216296791 1134840189 /nfs/dbraw/zinc/84/01/89/1134840189.db2.gz NNJYCCCAHHCDLJ-UHFFFAOYSA-N 1 2 281.290 3.801 20 0 CHADLO Cc1c(F)ccc(F)c1Nc1ccc2c(c1)[nH+]cn2C ZINC001216343936 1134862829 /nfs/dbraw/zinc/86/28/29/1134862829.db2.gz DMBANAOUNZKPIV-UHFFFAOYSA-N 1 2 273.286 3.904 20 0 CHADLO CCOc1ccc(Cl)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001216448069 1134901232 /nfs/dbraw/zinc/90/12/32/1134901232.db2.gz UHUOHPWNRZQMKH-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(F)cc(C(C)(C)O)c3)ccc21 ZINC001216492963 1134907164 /nfs/dbraw/zinc/90/71/64/1134907164.db2.gz OZAJEDBJFXCMEF-UHFFFAOYSA-N 1 2 299.349 3.683 20 0 CHADLO CC(=O)c1cccc(Nc2cc(C)cc(C)[nH+]2)c1F ZINC001216496648 1134908891 /nfs/dbraw/zinc/90/88/91/1134908891.db2.gz XYHIMZXZZAUZRE-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CC(=O)c1cccc(Nc2ccccc2-n2cc[nH+]c2)c1F ZINC001216500368 1134909985 /nfs/dbraw/zinc/90/99/85/1134909985.db2.gz MCKRZLVSYMOOHV-UHFFFAOYSA-N 1 2 295.317 3.958 20 0 CHADLO Clc1ccc2c(NC3=CCCOC3)cc[nH+]c2c1 ZINC001216505601 1134912438 /nfs/dbraw/zinc/91/24/38/1134912438.db2.gz GJUUTIURYGWPEU-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO Cc1ccc(C)c(Nc2cncc(OCC3CC3)c2)[nH+]1 ZINC001216598084 1134928350 /nfs/dbraw/zinc/92/83/50/1134928350.db2.gz FYYSXCVCIJAIDP-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO CSc1cc(Nc2cncc(OCC3CC3)c2)cc[nH+]1 ZINC001216598626 1134930576 /nfs/dbraw/zinc/93/05/76/1134930576.db2.gz NHULEFLEQXGGTA-UHFFFAOYSA-N 1 2 287.388 3.731 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnc(OC(C)C)nc1 ZINC001216618822 1134932585 /nfs/dbraw/zinc/93/25/85/1134932585.db2.gz HEFLABVWDWSQCV-UHFFFAOYSA-N 1 2 286.379 3.834 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncc(Br)cc2C)c1 ZINC000404535516 1134946210 /nfs/dbraw/zinc/94/62/10/1134946210.db2.gz ZQTTVDHTWBVEQO-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2ccc(C(C)(C)O)cc2)c1 ZINC000404776368 1134948995 /nfs/dbraw/zinc/94/89/95/1134948995.db2.gz OUHWQHFNMOHOMR-UHFFFAOYSA-N 1 2 270.376 3.538 20 0 CHADLO Cc1ccc2cc(O[C@H]3CC[NH2+]CC3(F)F)ccc2c1 ZINC001218004440 1135041151 /nfs/dbraw/zinc/04/11/51/1135041151.db2.gz AHRLCLKFARBIHT-HNNXBMFYSA-N 1 2 277.314 3.524 20 0 CHADLO Cc1cc(Cl)cc(Cl)c1O[C@H]1CC[NH2+]CC1(F)F ZINC001218008347 1135042153 /nfs/dbraw/zinc/04/21/53/1135042153.db2.gz XOEHONYOSIDDQE-JTQLQIEISA-N 1 2 296.144 3.678 20 0 CHADLO C[C@H](Oc1ccc[nH+]c1N)c1ccccc1C(F)(F)F ZINC001218176252 1135063615 /nfs/dbraw/zinc/06/36/15/1135063615.db2.gz AKBJMFIOFYAPJX-VIFPVBQESA-N 1 2 282.265 3.823 20 0 CHADLO C[C@@H](Oc1cc(N)cc[nH+]1)c1ccc(C(F)(F)F)cc1 ZINC001218197586 1135068651 /nfs/dbraw/zinc/06/86/51/1135068651.db2.gz YLRXIGSJOZBGQU-SECBINFHSA-N 1 2 282.265 3.823 20 0 CHADLO Cc1ccc(Cl)cc1[C@@H](C)Oc1ccc[nH+]c1N ZINC001218301024 1135082108 /nfs/dbraw/zinc/08/21/08/1135082108.db2.gz VDTWTAJHUDXQNB-SNVBAGLBSA-N 1 2 262.740 3.766 20 0 CHADLO C[C@H](Oc1cc(N)cc[nH+]1)c1ccccc1OC(F)(F)F ZINC001218319044 1135087841 /nfs/dbraw/zinc/08/78/41/1135087841.db2.gz GGJSPSBGGXIKGY-VIFPVBQESA-N 1 2 298.264 3.702 20 0 CHADLO Cc1cc(C)c(NC(=O)NC2CCC=CCC2)c(C)[nH+]1 ZINC001219009771 1135124654 /nfs/dbraw/zinc/12/46/54/1135124654.db2.gz MUGLKXXFHVKKAL-UHFFFAOYSA-N 1 2 273.380 3.627 20 0 CHADLO C[C@H](OCCn1cc[nH+]c1)c1cc2ccccc2s1 ZINC001222134208 1135197493 /nfs/dbraw/zinc/19/74/93/1135197493.db2.gz BSWOTYVFAPBGMI-LBPRGKRZSA-N 1 2 272.373 3.876 20 0 CHADLO C[C@H](OCCn1cc[nH+]c1)c1ccc(Cl)c(Cl)c1 ZINC001222134570 1135198335 /nfs/dbraw/zinc/19/83/35/1135198335.db2.gz GKEOISWIMJDXDT-JTQLQIEISA-N 1 2 285.174 3.968 20 0 CHADLO C[C@@H](OCCn1cc[nH+]c1)c1c(Cl)cccc1Cl ZINC001222139112 1135198539 /nfs/dbraw/zinc/19/85/39/1135198539.db2.gz NTVWJPHTJWSPML-SNVBAGLBSA-N 1 2 285.174 3.968 20 0 CHADLO Cc1ccc(OC(C)C)cc1-c1cc[nH+]c(N(C)C)c1 ZINC001222143106 1135199828 /nfs/dbraw/zinc/19/98/28/1135199828.db2.gz BJUMUWWBSYECTC-UHFFFAOYSA-N 1 2 270.376 3.910 20 0 CHADLO COc1c(F)c(C)ccc1-c1cn2cc[nH+]c2cc1C ZINC001222310730 1135223292 /nfs/dbraw/zinc/22/32/92/1135223292.db2.gz VTKPDLLWEUGQCS-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO Cc1ccc(-c2cccc(OC(F)(F)F)c2)[nH+]c1N ZINC001222318763 1135225057 /nfs/dbraw/zinc/22/50/57/1135225057.db2.gz HEGIUPFRCKBVIN-UHFFFAOYSA-N 1 2 268.238 3.538 20 0 CHADLO Cc1ccc(-c2ccc(Cl)c(Cl)c2)[nH+]c1N ZINC001222347820 1135229898 /nfs/dbraw/zinc/22/98/98/1135229898.db2.gz VHVNKRBCXUJRRS-UHFFFAOYSA-N 1 2 253.132 3.946 20 0 CHADLO Cn1c[nH+]cc1CO[C@@H]1CCc2cc(C(F)(F)F)ccc21 ZINC001222600358 1135255715 /nfs/dbraw/zinc/25/57/15/1135255715.db2.gz NFSSCUFDEMJUMP-CQSZACIVSA-N 1 2 296.292 3.643 20 0 CHADLO Cc1cc(CO[C@@H](C)c2cccnc2F)cc(C)[nH+]1 ZINC001223425331 1135345087 /nfs/dbraw/zinc/34/50/87/1135345087.db2.gz PXJCVWLLOQQCHV-LBPRGKRZSA-N 1 2 260.312 3.510 20 0 CHADLO Cc1cc(CO[C@@H](c2cccnc2)C(F)(F)F)cc(C)[nH+]1 ZINC001223422591 1135343288 /nfs/dbraw/zinc/34/32/88/1135343288.db2.gz XTRBNWVCIHFFNU-AWEZNQCLSA-N 1 2 296.292 3.914 20 0 CHADLO CCOC(=[NH2+])CCCCOC[C@H](C)Oc1ccccc1 ZINC001224964654 1135496948 /nfs/dbraw/zinc/49/69/48/1135496948.db2.gz BIQZXZGHPXUOKN-AWEZNQCLSA-N 1 2 279.380 3.655 20 0 CHADLO COc1ccc(COc2cc[nH+]cc2)cc1OC1CCCC1 ZINC001225290815 1135536011 /nfs/dbraw/zinc/53/60/11/1135536011.db2.gz IUXWWKLVJVJVCB-UHFFFAOYSA-N 1 2 299.370 3.991 20 0 CHADLO C[C@H](Oc1cc[nH+]cc1)c1cccc(Br)c1 ZINC001225294127 1135537192 /nfs/dbraw/zinc/53/71/92/1135537192.db2.gz FSTAROWSRKATPS-JTQLQIEISA-N 1 2 278.149 3.984 20 0 CHADLO COc1ccccc1[C@@H](Oc1cc[nH+]cc1)C(F)(F)F ZINC001225296166 1135537150 /nfs/dbraw/zinc/53/71/50/1135537150.db2.gz PXQZEPLCRMIZAM-CYBMUJFWSA-N 1 2 283.249 3.773 20 0 CHADLO COc1ccccc1[C@H](Oc1cc[nH+]cc1)C(F)(F)F ZINC001225296168 1135537163 /nfs/dbraw/zinc/53/71/63/1135537163.db2.gz PXQZEPLCRMIZAM-ZDUSSCGKSA-N 1 2 283.249 3.773 20 0 CHADLO Cc1cccc(C)c1OC1C[NH+](Cc2ccccc2)C1 ZINC001225977050 1135647005 /nfs/dbraw/zinc/64/70/05/1135647005.db2.gz DTGKHBSCZQKFMD-UHFFFAOYSA-N 1 2 267.372 3.567 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H](C)c1cccnc1Cl ZINC001227260682 1135811554 /nfs/dbraw/zinc/81/15/54/1135811554.db2.gz TWDZSGREFNTPSQ-LLVKDONJSA-N 1 2 262.740 3.887 20 0 CHADLO Cc1cc[nH+]c(C)c1OC1CC(C)(C)OC(C)(C)C1 ZINC001227265698 1135812448 /nfs/dbraw/zinc/81/24/48/1135812448.db2.gz WIEMQKCXQJDDEJ-UHFFFAOYSA-N 1 2 263.381 3.813 20 0 CHADLO Cc1cc[nH+]c(C)c1OC[C@H](C)Oc1ccccc1 ZINC001227264620 1135812668 /nfs/dbraw/zinc/81/26/68/1135812668.db2.gz OZICXRMWFBROQA-ZDUSSCGKSA-N 1 2 257.333 3.545 20 0 CHADLO CC[C@H](C[C@@H](C)CO)Nc1[nH+]ccc2ccc(F)cc21 ZINC000354547499 529421185 /nfs/dbraw/zinc/42/11/85/529421185.db2.gz WDWMWQFSAZZUEO-BXUZGUMPSA-N 1 2 276.355 3.583 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000347794128 529644651 /nfs/dbraw/zinc/64/46/51/529644651.db2.gz YOUGHCAWFAVQIY-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000347794128 529644655 /nfs/dbraw/zinc/64/46/55/529644655.db2.gz YOUGHCAWFAVQIY-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)cn1 ZINC000347886278 529645592 /nfs/dbraw/zinc/64/55/92/529645592.db2.gz SVSQCSVTXOXDFL-HNAYVOBHSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)cn1 ZINC000347886278 529645596 /nfs/dbraw/zinc/64/55/96/529645596.db2.gz SVSQCSVTXOXDFL-HNAYVOBHSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1cnc(CN(C)c2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000301485833 529645801 /nfs/dbraw/zinc/64/58/01/529645801.db2.gz WZGPFEPSEPDQMD-UHFFFAOYSA-N 1 2 290.436 3.564 20 0 CHADLO COc1cccc2c(N[C@H]3CC[C@H](F)C3)cc[nH+]c12 ZINC000573401227 334935351 /nfs/dbraw/zinc/93/53/51/334935351.db2.gz KRVLUGFVESOGRM-QWRGUYRKSA-N 1 2 260.312 3.546 20 0 CHADLO C[N@H+](Cc1ccc(CO)cc1)Cc1c(F)cccc1Cl ZINC000351977951 529984461 /nfs/dbraw/zinc/98/44/61/529984461.db2.gz MJHJZWOUESJTQC-UHFFFAOYSA-N 1 2 293.769 3.603 20 0 CHADLO C[N@@H+](Cc1ccc(CO)cc1)Cc1c(F)cccc1Cl ZINC000351977951 529984462 /nfs/dbraw/zinc/98/44/62/529984462.db2.gz MJHJZWOUESJTQC-UHFFFAOYSA-N 1 2 293.769 3.603 20 0 CHADLO C[N@H+](Cc1cncc(F)c1)Cc1ccc(F)cc1Cl ZINC000347057578 530016263 /nfs/dbraw/zinc/01/62/63/530016263.db2.gz PKYNNFVSQGYSGU-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1cncc(F)c1)Cc1ccc(F)cc1Cl ZINC000347057578 530016264 /nfs/dbraw/zinc/01/62/64/530016264.db2.gz PKYNNFVSQGYSGU-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@@H](C)CC(C)C)n3)[nH+]c12 ZINC000573761268 334964195 /nfs/dbraw/zinc/96/41/95/334964195.db2.gz HRAAOOFNTGEJTB-ZDUSSCGKSA-N 1 2 298.390 3.766 20 0 CHADLO COC[C@@H]([NH2+]CC(F)(F)c1ccccc1)C1CCCC1 ZINC000573766266 334964605 /nfs/dbraw/zinc/96/46/05/334964605.db2.gz GLYQRGKOEOBDAR-OAHLLOKOSA-N 1 2 283.362 3.573 20 0 CHADLO c1c[nH+]c(-c2cc(-c3ccccn3)nc(-c3ccccn3)c2)[nH]1 ZINC000090751879 180036343 /nfs/dbraw/zinc/03/63/43/180036343.db2.gz BHRQXEHDJGOXNM-UHFFFAOYSA-N 1 2 299.337 3.596 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2c(F)cccc2F)nc1 ZINC000126989382 260360077 /nfs/dbraw/zinc/36/00/77/260360077.db2.gz FDLFMZKSXCTQMM-LLVKDONJSA-N 1 2 262.303 3.519 20 0 CHADLO Cc1csc(CNc2c[nH+]ccc2OC(C)(C)C)n1 ZINC000158456296 261165485 /nfs/dbraw/zinc/16/54/85/261165485.db2.gz XZCDELDDJBQJHC-UHFFFAOYSA-N 1 2 277.393 3.636 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2cncc(F)c2)cs1 ZINC000162389051 261280179 /nfs/dbraw/zinc/28/01/79/261280179.db2.gz ROAYMENSDKRZEP-UWVGGRQHSA-N 1 2 279.384 3.651 20 0 CHADLO Cc1ccc(C[NH2+][C@H](COCC(C)C)c2ccco2)o1 ZINC000101597028 227357469 /nfs/dbraw/zinc/35/74/69/227357469.db2.gz CKBWIXXXEZGHQB-OAHLLOKOSA-N 1 2 277.364 3.685 20 0 CHADLO CSc1ccc(N[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)[nH+]c1 ZINC000347259297 532984079 /nfs/dbraw/zinc/98/40/79/532984079.db2.gz OVUXTGANHCPCKD-CFVMTHIKSA-N 1 2 290.432 3.563 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC000192752179 533117355 /nfs/dbraw/zinc/11/73/55/533117355.db2.gz OKWVSRZQJIPTAJ-CQSZACIVSA-N 1 2 297.402 3.864 20 0 CHADLO Cc1ccc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cc1-c1ncco1 ZINC000574883311 335055249 /nfs/dbraw/zinc/05/52/49/335055249.db2.gz BDZXIORTVXKVIX-IUODEOHRSA-N 1 2 294.358 3.964 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+]2CCn3cccc3[C@@H]2C)s1 ZINC000179569770 260056639 /nfs/dbraw/zinc/05/66/39/260056639.db2.gz SLZNPZHIMUKAJD-ZDUSSCGKSA-N 1 2 289.448 3.720 20 0 CHADLO CC(C)Cc1ncc(C[N@H+]2CCn3cccc3[C@@H]2C)s1 ZINC000179569770 260056641 /nfs/dbraw/zinc/05/66/41/260056641.db2.gz SLZNPZHIMUKAJD-ZDUSSCGKSA-N 1 2 289.448 3.720 20 0 CHADLO Cc1ccccc1CNc1ccc(N2CCCC2)[nH+]c1 ZINC000036982114 260060285 /nfs/dbraw/zinc/06/02/85/260060285.db2.gz USBLCMWGCZTMHB-UHFFFAOYSA-N 1 2 267.376 3.602 20 0 CHADLO CO[C@H]1CC[C@@H](Nc2[nH+]cccc2OCc2ccccc2)C1 ZINC000350699549 533261254 /nfs/dbraw/zinc/26/12/54/533261254.db2.gz HLORLIYYIFDLEW-CVEARBPZSA-N 1 2 298.386 3.640 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1c(F)cccc1F)CC2 ZINC000352784583 533261536 /nfs/dbraw/zinc/26/15/36/533261536.db2.gz FKANMKGLZKFOGW-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1c(F)cccc1F)CC2 ZINC000352784583 533261539 /nfs/dbraw/zinc/26/15/39/533261539.db2.gz FKANMKGLZKFOGW-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)[nH+]ccc2OCc1scnc1C ZINC000351905034 533312744 /nfs/dbraw/zinc/31/27/44/533312744.db2.gz RHYMXUNQBFPDIG-UHFFFAOYSA-N 1 2 286.356 3.587 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2cc(F)c(F)c(F)c21)c1nccs1 ZINC000336224554 533528000 /nfs/dbraw/zinc/52/80/00/533528000.db2.gz KZHLXJLSLVYWBK-GMSGAONNSA-N 1 2 298.333 3.899 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccoc2C)c(Cl)c1 ZINC000352672169 533543737 /nfs/dbraw/zinc/54/37/37/533543737.db2.gz RFKBAAXANICOBM-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccoc2C)c(Cl)c1 ZINC000352672169 533543739 /nfs/dbraw/zinc/54/37/39/533543739.db2.gz RFKBAAXANICOBM-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO C[C@@H]([NH2+][C@H]1CSc2ccccc21)c1cscn1 ZINC000335916424 533549677 /nfs/dbraw/zinc/54/96/77/533549677.db2.gz XYTGGNBRHNJPOK-SKDRFNHKSA-N 1 2 262.403 3.641 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2nc3cccnc3s2)CC1 ZINC000341854457 130095465 /nfs/dbraw/zinc/09/54/65/130095465.db2.gz BZERKZBMGDBZCQ-UHFFFAOYSA-N 1 2 299.374 3.609 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](c2ccccc2Cl)C(C)C)n1 ZINC000341867880 130117333 /nfs/dbraw/zinc/11/73/33/130117333.db2.gz KKCJCEALQVFPFM-INIZCTEOSA-N 1 2 289.810 3.925 20 0 CHADLO Cc1noc(C[NH2+][C@H](CC(C)C)c2ccc(Cl)cc2)n1 ZINC000341899578 130140334 /nfs/dbraw/zinc/14/03/34/130140334.db2.gz WCMUMHUMBFCLQL-CQSZACIVSA-N 1 2 293.798 3.908 20 0 CHADLO Cc1nc(C[N@@H+](CC(C)C)[C@H](C)c2ccccc2C)no1 ZINC000342042196 130215362 /nfs/dbraw/zinc/21/53/62/130215362.db2.gz FRFZEQSLFFVLQY-CQSZACIVSA-N 1 2 287.407 3.906 20 0 CHADLO Cc1nc(C[N@H+](CC(C)C)[C@H](C)c2ccccc2C)no1 ZINC000342042196 130215364 /nfs/dbraw/zinc/21/53/64/130215364.db2.gz FRFZEQSLFFVLQY-CQSZACIVSA-N 1 2 287.407 3.906 20 0 CHADLO CCc1nc(CCNc2[nH+]ccc(C)c2Cl)cs1 ZINC000342129365 130287392 /nfs/dbraw/zinc/28/73/92/130287392.db2.gz ZAFTYVMVCLHBMC-UHFFFAOYSA-N 1 2 281.812 3.717 20 0 CHADLO c1cn(CCOC(c2ccccc2)c2ccccc2)c[nH+]1 ZINC000005974486 170164831 /nfs/dbraw/zinc/16/48/31/170164831.db2.gz YPUMTVCSBKYEIY-UHFFFAOYSA-N 1 2 278.355 3.689 20 0 CHADLO COc1ccc(CCNc2ccc3ccccc3[nH+]2)cc1 ZINC000021019252 171290316 /nfs/dbraw/zinc/29/03/16/171290316.db2.gz ZWLISTPONSAMFF-UHFFFAOYSA-N 1 2 278.355 3.898 20 0 CHADLO C[C@@H]1CCC[C@H](N(C)C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000029998135 171612499 /nfs/dbraw/zinc/61/24/99/171612499.db2.gz AEBDXGVXPKEBNC-PBHICJAKSA-N 1 2 297.402 3.523 20 0 CHADLO CCCOc1cccc(CNc2ccc(N(C)C)[nH+]c2)c1 ZINC000038038307 174038562 /nfs/dbraw/zinc/03/85/62/174038562.db2.gz KLDCRNLHNBQLOT-UHFFFAOYSA-N 1 2 285.391 3.549 20 0 CHADLO COCCn1c2ccccc2[nH+]c1C1CCCCC1 ZINC000040231533 174210002 /nfs/dbraw/zinc/21/00/02/174210002.db2.gz ZAKYJYUOVQDTBO-UHFFFAOYSA-N 1 2 258.365 3.730 20 0 CHADLO COc1ccc(Cl)cc1CNc1c[nH+]ccc1C ZINC000071413890 176159272 /nfs/dbraw/zinc/15/92/72/176159272.db2.gz HHQPGYIHYUBSLH-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO Cc1cc[nH+]cc1NCc1cnc(C(C)(C)C)s1 ZINC000071413906 176159395 /nfs/dbraw/zinc/15/93/95/176159395.db2.gz QQTMOSOOKPEZEZ-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO c1cc2c(o1)CCC[N@@H+](Cc1cc(-c3ccccc3)on1)C2 ZINC000344252563 225005399 /nfs/dbraw/zinc/00/53/99/225005399.db2.gz HXCMVHOZSZSJAF-UHFFFAOYSA-N 1 2 294.354 3.883 20 0 CHADLO c1cc2c(o1)CCC[N@H+](Cc1cc(-c3ccccc3)on1)C2 ZINC000344252563 225005404 /nfs/dbraw/zinc/00/54/04/225005404.db2.gz HXCMVHOZSZSJAF-UHFFFAOYSA-N 1 2 294.354 3.883 20 0 CHADLO C[C@H](c1csnn1)[N@@H+]1CC[C@@H](C(F)(F)F)C(C)(C)C1 ZINC000334620321 225159523 /nfs/dbraw/zinc/15/95/23/225159523.db2.gz OCYCCQLNROCNEP-PSASIEDQSA-N 1 2 293.358 3.510 20 0 CHADLO C[C@H](c1csnn1)[N@H+]1CC[C@@H](C(F)(F)F)C(C)(C)C1 ZINC000334620321 225159528 /nfs/dbraw/zinc/15/95/28/225159528.db2.gz OCYCCQLNROCNEP-PSASIEDQSA-N 1 2 293.358 3.510 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@H](C(C)C)[C@H]1C(C)C ZINC000334690656 225274778 /nfs/dbraw/zinc/27/47/78/225274778.db2.gz WWQDNDMFLMOBEL-GDBMZVCRSA-N 1 2 289.423 3.843 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H](C(F)(F)F)[C@@H]2C)s1 ZINC000334692098 225275049 /nfs/dbraw/zinc/27/50/49/225275049.db2.gz LMVLIBSSWNGTDI-VHSXEESVSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H](C(F)(F)F)[C@@H]2C)s1 ZINC000334692098 225275053 /nfs/dbraw/zinc/27/50/53/225275053.db2.gz LMVLIBSSWNGTDI-VHSXEESVSA-N 1 2 278.343 3.614 20 0 CHADLO Fc1cnccc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000334754178 225307692 /nfs/dbraw/zinc/30/76/92/225307692.db2.gz HOELDSNKVXJZBV-HNNXBMFYSA-N 1 2 272.323 3.541 20 0 CHADLO Fc1cnccc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000334754178 225307696 /nfs/dbraw/zinc/30/76/96/225307696.db2.gz HOELDSNKVXJZBV-HNNXBMFYSA-N 1 2 272.323 3.541 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCC[C@@H]3c3cccnc3)nc2c1 ZINC000346979580 226003995 /nfs/dbraw/zinc/00/39/95/226003995.db2.gz MFVQXZGIWNGMQK-OAHLLOKOSA-N 1 2 297.333 3.699 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCC[C@@H]3c3cccnc3)nc2c1 ZINC000346979580 226003998 /nfs/dbraw/zinc/00/39/98/226003998.db2.gz MFVQXZGIWNGMQK-OAHLLOKOSA-N 1 2 297.333 3.699 20 0 CHADLO Cc1ccc(N[C@H]2CCc3c2c(F)ccc3F)c[nH+]1 ZINC000347117016 226032340 /nfs/dbraw/zinc/03/23/40/226032340.db2.gz BMIVFFXMPNZZDS-AWEZNQCLSA-N 1 2 260.287 3.768 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccccc2C(F)(F)F)C1 ZINC000347372861 226138020 /nfs/dbraw/zinc/13/80/20/226138020.db2.gz KMNJATWUHVEBKV-GFCCVEGCSA-N 1 2 261.262 3.639 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccccc2C(F)(F)F)C1 ZINC000347372861 226138022 /nfs/dbraw/zinc/13/80/22/226138022.db2.gz KMNJATWUHVEBKV-GFCCVEGCSA-N 1 2 261.262 3.639 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCC[C@H]2c2cccs2)n1 ZINC000347673127 226218200 /nfs/dbraw/zinc/21/82/00/226218200.db2.gz CYDCVVCOBPKHQB-NSHDSACASA-N 1 2 283.347 3.677 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCC[C@H]2c2cccs2)n1 ZINC000347673127 226218205 /nfs/dbraw/zinc/21/82/05/226218205.db2.gz CYDCVVCOBPKHQB-NSHDSACASA-N 1 2 283.347 3.677 20 0 CHADLO Cc1cnn(C)c1C[N@H+](Cc1ccco1)Cc1ccccc1 ZINC000347675275 226218635 /nfs/dbraw/zinc/21/86/35/226218635.db2.gz ODLXKYNRHKGFLN-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO Cc1cnn(C)c1C[N@@H+](Cc1ccco1)Cc1ccccc1 ZINC000347675275 226218639 /nfs/dbraw/zinc/21/86/39/226218639.db2.gz ODLXKYNRHKGFLN-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347670966 226218800 /nfs/dbraw/zinc/21/88/00/226218800.db2.gz BLMOJKUBMLGEBH-GFCCVEGCSA-N 1 2 281.306 3.517 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347670966 226218804 /nfs/dbraw/zinc/21/88/04/226218804.db2.gz BLMOJKUBMLGEBH-GFCCVEGCSA-N 1 2 281.306 3.517 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000347702396 226238982 /nfs/dbraw/zinc/23/89/82/226238982.db2.gz MCKNIKGKFDCNJI-GFCCVEGCSA-N 1 2 283.347 3.677 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000347702396 226238986 /nfs/dbraw/zinc/23/89/86/226238986.db2.gz MCKNIKGKFDCNJI-GFCCVEGCSA-N 1 2 283.347 3.677 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000350396792 227004516 /nfs/dbraw/zinc/00/45/16/227004516.db2.gz QTRJFNIZOXPRHJ-BXUZGUMPSA-N 1 2 274.364 3.585 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000350396792 227004523 /nfs/dbraw/zinc/00/45/23/227004523.db2.gz QTRJFNIZOXPRHJ-BXUZGUMPSA-N 1 2 274.364 3.585 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000354522554 227021451 /nfs/dbraw/zinc/02/14/51/227021451.db2.gz RVACWOGJWPPXTL-MRVPVSSYSA-N 1 2 267.291 3.701 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000354522554 227021455 /nfs/dbraw/zinc/02/14/55/227021455.db2.gz RVACWOGJWPPXTL-MRVPVSSYSA-N 1 2 267.291 3.701 20 0 CHADLO C[C@H](Cc1ccncc1)Nc1[nH+]ccc2ccc(F)cc21 ZINC000354532301 227025858 /nfs/dbraw/zinc/02/58/58/227025858.db2.gz BBQILZXBBKUTMC-GFCCVEGCSA-N 1 2 281.334 3.812 20 0 CHADLO Cc1c(NCCCc2ccc(C)[nH+]c2)cnn1CC(C)C ZINC000354580934 227040751 /nfs/dbraw/zinc/04/07/51/227040751.db2.gz DRFYCCGHRQDNGL-UHFFFAOYSA-N 1 2 286.423 3.596 20 0 CHADLO Cc1ccc(CCCN2CC3(CC3)c3ccccc32)c[nH+]1 ZINC000354607991 227049252 /nfs/dbraw/zinc/04/92/52/227049252.db2.gz WDRPPCUFJAHMDX-UHFFFAOYSA-N 1 2 278.399 3.874 20 0 CHADLO Cc1cc(N[C@H](C)CC(C)(C)c2ccccc2)nc[nH+]1 ZINC000521362866 260086954 /nfs/dbraw/zinc/08/69/54/260086954.db2.gz VSIFEWJQYGYYDZ-CQSZACIVSA-N 1 2 269.392 3.953 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CC[C@H]3CCC[C@H]32)s1 ZINC000336496268 227062630 /nfs/dbraw/zinc/06/26/30/227062630.db2.gz JCGCEALTEMIEDO-RKDXNWHRSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CC[C@H]3CCC[C@H]32)s1 ZINC000336496268 227062633 /nfs/dbraw/zinc/06/26/33/227062633.db2.gz JCGCEALTEMIEDO-RKDXNWHRSA-N 1 2 276.327 3.536 20 0 CHADLO Cc1cc([C@@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)c(C)s1 ZINC000354734632 227085553 /nfs/dbraw/zinc/08/55/53/227085553.db2.gz RBHWAPHJCNJTRY-LLVKDONJSA-N 1 2 287.432 3.936 20 0 CHADLO C[C@H]1C[C@H](C[N@@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000352618256 227117502 /nfs/dbraw/zinc/11/75/02/227117502.db2.gz NXIHKCKIPBXTPA-DZGCQCFKSA-N 1 2 283.362 3.569 20 0 CHADLO C[C@H]1C[C@H](C[N@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000352618256 227117506 /nfs/dbraw/zinc/11/75/06/227117506.db2.gz NXIHKCKIPBXTPA-DZGCQCFKSA-N 1 2 283.362 3.569 20 0 CHADLO Cc1ccc(C[S@](=O)c2ccc(F)c(Cl)c2)c(C)[nH+]1 ZINC000336608780 227177168 /nfs/dbraw/zinc/17/71/68/227177168.db2.gz GJCRFHFVQDDJKC-IBGZPJMESA-N 1 2 297.782 3.799 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1cscn1 ZINC000167823340 227297098 /nfs/dbraw/zinc/29/70/98/227297098.db2.gz YBJJKGCVOXFOEG-UHFFFAOYSA-N 1 2 292.795 3.778 20 0 CHADLO Cc1nc(N2CC3(CC3)c3ccccc32)c(C)c(C)[nH+]1 ZINC000301710522 227649312 /nfs/dbraw/zinc/64/93/12/227649312.db2.gz XOKSDWTWAGVUQI-UHFFFAOYSA-N 1 2 265.360 3.585 20 0 CHADLO C[C@H]([NH2+]Cc1ccsc1Cl)c1c(F)cncc1F ZINC000353118161 228061029 /nfs/dbraw/zinc/06/10/29/228061029.db2.gz LDVUCXLUWLBRQR-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]C2CCC3(CC3)CC2)C2CCCCC2)n1 ZINC000330203819 228064160 /nfs/dbraw/zinc/06/41/60/228064160.db2.gz BCZSJIIBYKFBJQ-HNNXBMFYSA-N 1 2 288.439 3.739 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH+]2Cc3ccccc3C2)o1 ZINC000353388884 228093418 /nfs/dbraw/zinc/09/34/18/228093418.db2.gz SJVDBWNBIOQYLU-BLLLJJGKSA-N 1 2 253.345 3.919 20 0 CHADLO Cc1ccc(Cc2noc(/C=C/c3ccccc3C)n2)c[nH+]1 ZINC000356109518 228124739 /nfs/dbraw/zinc/12/47/39/228124739.db2.gz LDQXNMMMIANNGF-MDZDMXLPSA-N 1 2 291.354 3.843 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccon2)c(OC(F)F)cc1C ZINC000353815759 228155605 /nfs/dbraw/zinc/15/56/05/228155605.db2.gz LFLMEVJATYWAMF-UHFFFAOYSA-N 1 2 296.317 3.525 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccon2)c(OC(F)F)cc1C ZINC000353815759 228155606 /nfs/dbraw/zinc/15/56/06/228155606.db2.gz LFLMEVJATYWAMF-UHFFFAOYSA-N 1 2 296.317 3.525 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCc1cc2ccccc2[nH]1 ZINC000351929797 228166762 /nfs/dbraw/zinc/16/67/62/228166762.db2.gz BTOIQSNBLALMAH-UHFFFAOYSA-N 1 2 294.358 3.501 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H]1C[C@H](C)C[C@H](C)C1 ZINC000351936203 228167856 /nfs/dbraw/zinc/16/78/56/228167856.db2.gz KQWLYIWMLLJIGE-YABSGUDNSA-N 1 2 275.396 3.645 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@@H](C)CCC[C@H]1C ZINC000335963506 228171537 /nfs/dbraw/zinc/17/15/37/228171537.db2.gz KEQZLCAHLXCLPO-WCQYABFASA-N 1 2 275.396 3.741 20 0 CHADLO c1coc(C[NH2+]Cc2ccc(Sc3ccccn3)o2)c1 ZINC000351992894 228172701 /nfs/dbraw/zinc/17/27/01/228172701.db2.gz FVULBEBIIDDKFQ-UHFFFAOYSA-N 1 2 286.356 3.709 20 0 CHADLO Cc1ccc(NC(=O)N2Cc3ccccc3[C@@H]2C)c(C)[nH+]1 ZINC000335989332 228175548 /nfs/dbraw/zinc/17/55/48/228175548.db2.gz XJOJFSPFNFNQML-ZDUSSCGKSA-N 1 2 281.359 3.807 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H]2CCc3ccc(Cl)cc32)s1 ZINC000336004701 228177305 /nfs/dbraw/zinc/17/73/05/228177305.db2.gz PACOAXRPRLQEFB-SDBXPKJASA-N 1 2 293.823 3.838 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H]2CCc3ccc(Cl)cc32)s1 ZINC000336004699 228177411 /nfs/dbraw/zinc/17/74/11/228177411.db2.gz PACOAXRPRLQEFB-ISVAXAHUSA-N 1 2 293.823 3.838 20 0 CHADLO c1cc(Sc2ccccn2)oc1CNc1cccc[nH+]1 ZINC000352171720 228191610 /nfs/dbraw/zinc/19/16/10/228191610.db2.gz MZLYXUBOZRPQFR-UHFFFAOYSA-N 1 2 283.356 3.833 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2Cc3ccccc3S2)c(C)[nH+]1 ZINC000336166359 228202688 /nfs/dbraw/zinc/20/26/88/228202688.db2.gz QDSBMVBDPVZAID-HNNXBMFYSA-N 1 2 298.411 3.662 20 0 CHADLO C[N@H+](Cc1cnc(-c2ccccc2)[nH]1)Cc1cccc(F)c1 ZINC000179591293 260056140 /nfs/dbraw/zinc/05/61/40/260056140.db2.gz MREPECBHVGAKBT-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO C[N@@H+](Cc1cnc(-c2ccccc2)[nH]1)Cc1cccc(F)c1 ZINC000179591293 260056141 /nfs/dbraw/zinc/05/61/41/260056141.db2.gz MREPECBHVGAKBT-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO C[N@H+](Cc1c[nH]c(-c2ccccc2)n1)Cc1cccc(F)c1 ZINC000179591293 260056143 /nfs/dbraw/zinc/05/61/43/260056143.db2.gz MREPECBHVGAKBT-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO C[N@@H+](Cc1c[nH]c(-c2ccccc2)n1)Cc1cccc(F)c1 ZINC000179591293 260056144 /nfs/dbraw/zinc/05/61/44/260056144.db2.gz MREPECBHVGAKBT-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO c1ccc2c(c1)CC[C@@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000036982233 260060270 /nfs/dbraw/zinc/06/02/70/260060270.db2.gz XHXBDJCKGNCGFP-KRWDZBQOSA-N 1 2 279.387 3.781 20 0 CHADLO C[N@H+](Cc1cnc(-c2ccccc2)[nH]1)Cc1ccc(F)cc1 ZINC000179646543 260060342 /nfs/dbraw/zinc/06/03/42/260060342.db2.gz IETYUIWZFBYCGJ-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO C[N@@H+](Cc1cnc(-c2ccccc2)[nH]1)Cc1ccc(F)cc1 ZINC000179646543 260060343 /nfs/dbraw/zinc/06/03/43/260060343.db2.gz IETYUIWZFBYCGJ-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1cnn2ccccc12)C1CC1 ZINC000179736017 260064969 /nfs/dbraw/zinc/06/49/69/260064969.db2.gz BOKSNNKULDGFBL-UHFFFAOYSA-N 1 2 295.361 3.638 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1cnn2ccccc12)C1CC1 ZINC000179736017 260064970 /nfs/dbraw/zinc/06/49/70/260064970.db2.gz BOKSNNKULDGFBL-UHFFFAOYSA-N 1 2 295.361 3.638 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](CC(C)C)c1ccccc1 ZINC000037890890 260119377 /nfs/dbraw/zinc/11/93/77/260119377.db2.gz DACHAZPPSIHCMS-INIZCTEOSA-N 1 2 257.381 3.595 20 0 CHADLO CCc1ncc(CNc2cccc(-n3cc[nH+]c3)c2)s1 ZINC000037924130 260120628 /nfs/dbraw/zinc/12/06/28/260120628.db2.gz HKPZCOZCJHBSKB-UHFFFAOYSA-N 1 2 284.388 3.503 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](C)c2c(F)cccc2F)o1 ZINC000312517955 260137411 /nfs/dbraw/zinc/13/74/11/260137411.db2.gz GNGIUQKQIHSWGK-JTQLQIEISA-N 1 2 280.318 3.674 20 0 CHADLO C[C@H](Nc1cccc(-c2nccs2)c1)c1[nH+]ccn1C ZINC000070445092 260157753 /nfs/dbraw/zinc/15/77/53/260157753.db2.gz BOZBKYRYOGOBAA-NSHDSACASA-N 1 2 284.388 3.717 20 0 CHADLO Cc1ccc(N[C@@H](C)c2[nH+]ccn2C)cc1C(F)(F)F ZINC000070445350 260157894 /nfs/dbraw/zinc/15/78/94/260157894.db2.gz JVRMINHNQWKHFH-JTQLQIEISA-N 1 2 283.297 3.920 20 0 CHADLO Cc1cccnc1CNc1[nH+]c2ccccc2cc1C ZINC000071344379 260162514 /nfs/dbraw/zinc/16/25/14/260162514.db2.gz PSRBZOPCYHOABS-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO CCCn1c(CNc2c[nH+]ccc2C)nc2ccccc21 ZINC000071413889 260162731 /nfs/dbraw/zinc/16/27/31/260162731.db2.gz RQUFPKAFMNUGCC-UHFFFAOYSA-N 1 2 280.375 3.762 20 0 CHADLO COc1ccc(OC)c([C@@H](C)[NH2+][C@H](C)c2nccs2)c1 ZINC000080264949 260178515 /nfs/dbraw/zinc/17/85/15/260178515.db2.gz GROCDWJWAQBBOF-GHMZBOCLSA-N 1 2 292.404 3.572 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CC[C@H](c2cccnc2)C1 ZINC000516864303 260196258 /nfs/dbraw/zinc/19/62/58/260196258.db2.gz YAHVPMSKGWOALA-KRWDZBQOSA-N 1 2 289.382 3.932 20 0 CHADLO O=C(CC1CCCC1)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000519993211 260203356 /nfs/dbraw/zinc/20/33/56/260203356.db2.gz WAPLTHYXJXBZGL-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO CC(C)(C)CCNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000317451188 260229261 /nfs/dbraw/zinc/22/92/61/260229261.db2.gz NIDBRKRQCWEBGH-UHFFFAOYSA-N 1 2 295.390 3.664 20 0 CHADLO CCc1ccccc1NCc1cc(OC)cc(C)[nH+]1 ZINC000214101550 260230594 /nfs/dbraw/zinc/23/05/94/260230594.db2.gz NCIJJTQSJDLDLS-UHFFFAOYSA-N 1 2 256.349 3.573 20 0 CHADLO CC(C)n1cncc1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000090208551 260239346 /nfs/dbraw/zinc/23/93/46/260239346.db2.gz ZJLHLJSDVUNGMY-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO CC(C)n1cncc1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000090208550 260239402 /nfs/dbraw/zinc/23/94/02/260239402.db2.gz ZJLHLJSDVUNGMY-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO Cc1ccc(NCc2c[nH+]cn2C(C)C)c2cccnc12 ZINC000090208236 260239454 /nfs/dbraw/zinc/23/94/54/260239454.db2.gz RROYSKRTOOIRQR-UHFFFAOYSA-N 1 2 280.375 3.933 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(C)cc2C)c1 ZINC000214141106 260240074 /nfs/dbraw/zinc/24/00/74/260240074.db2.gz IBIJBGQJVPZFOC-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1ccc(Cl)s1 ZINC000040436065 260244751 /nfs/dbraw/zinc/24/47/51/260244751.db2.gz UXINHVPNRVHMTL-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO Fc1ccc(CNc2cc(C(F)(F)F)cc[nH+]2)cc1F ZINC000090803253 260262450 /nfs/dbraw/zinc/26/24/50/260262450.db2.gz IFFXDSOWOHFMQW-UHFFFAOYSA-N 1 2 288.219 3.991 20 0 CHADLO C[C@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1cccnc1 ZINC000090802901 260262646 /nfs/dbraw/zinc/26/26/46/260262646.db2.gz MKTJWCLBGVVYAQ-VIFPVBQESA-N 1 2 267.254 3.669 20 0 CHADLO CC(=O)Nc1cccc([C@@H](C)[NH2+][C@H](C)c2cscn2)c1 ZINC000162341172 260280859 /nfs/dbraw/zinc/28/08/59/260280859.db2.gz YBAXUYFNDXUERC-GHMZBOCLSA-N 1 2 289.404 3.513 20 0 CHADLO CCCCOCCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000130565830 260397334 /nfs/dbraw/zinc/39/73/34/260397334.db2.gz VMQZONCUOFQKDW-UHFFFAOYSA-N 1 2 265.401 3.527 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@@H](C)c1cncs1)c1ccco1 ZINC000152582099 261066391 /nfs/dbraw/zinc/06/63/91/261066391.db2.gz FSGIFQVMQAZMDV-QWHCGFSZSA-N 1 2 294.420 3.801 20 0 CHADLO Cc1nc(C2([NH2+]Cc3c(C)cccc3C)CCCC2)no1 ZINC000154479059 261092252 /nfs/dbraw/zinc/09/22/52/261092252.db2.gz IWJSKHYBMUDZBF-UHFFFAOYSA-N 1 2 285.391 3.554 20 0 CHADLO Cc1cc(NC(=O)[C@H](OC(C)(C)C)c2ccccc2)cc[nH+]1 ZINC000154476463 261092260 /nfs/dbraw/zinc/09/22/60/261092260.db2.gz FQZRCJPACPTJEQ-MRXNPFEDSA-N 1 2 298.386 3.885 20 0 CHADLO Clc1ccc([C@H]2C[N@H+](Cc3ccco3)CCO2)cc1 ZINC000155250374 261099859 /nfs/dbraw/zinc/09/98/59/261099859.db2.gz QRLUAYFFSXFFNM-OAHLLOKOSA-N 1 2 277.751 3.507 20 0 CHADLO Clc1ccc([C@H]2C[N@@H+](Cc3ccco3)CCO2)cc1 ZINC000155250374 261099861 /nfs/dbraw/zinc/09/98/61/261099861.db2.gz QRLUAYFFSXFFNM-OAHLLOKOSA-N 1 2 277.751 3.507 20 0 CHADLO Clc1ccc([C@@H]2C[N@H+](Cc3ccco3)CCO2)cc1 ZINC000155250255 261100117 /nfs/dbraw/zinc/10/01/17/261100117.db2.gz QRLUAYFFSXFFNM-HNNXBMFYSA-N 1 2 277.751 3.507 20 0 CHADLO Clc1ccc([C@@H]2C[N@@H+](Cc3ccco3)CCO2)cc1 ZINC000155250255 261100118 /nfs/dbraw/zinc/10/01/18/261100118.db2.gz QRLUAYFFSXFFNM-HNNXBMFYSA-N 1 2 277.751 3.507 20 0 CHADLO C[C@@]1(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC=CCC1 ZINC000448726251 261104629 /nfs/dbraw/zinc/10/46/29/261104629.db2.gz SCHINAMBMIDTAV-QGZVFWFLSA-N 1 2 281.359 3.557 20 0 CHADLO CC(C)C[C@H]1COCC[N@H+]1Cc1ncc(C(C)(C)C)s1 ZINC000448333452 261117223 /nfs/dbraw/zinc/11/72/23/261117223.db2.gz UOMJFXBFLFBRGS-ZDUSSCGKSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)C[C@H]1COCC[N@@H+]1Cc1ncc(C(C)(C)C)s1 ZINC000448333452 261117222 /nfs/dbraw/zinc/11/72/22/261117222.db2.gz UOMJFXBFLFBRGS-ZDUSSCGKSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000448359723 261127456 /nfs/dbraw/zinc/12/74/56/261127456.db2.gz OQTZUDDUFZZVBE-LBPRGKRZSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000448359723 261127457 /nfs/dbraw/zinc/12/74/57/261127457.db2.gz OQTZUDDUFZZVBE-LBPRGKRZSA-N 1 2 296.480 3.688 20 0 CHADLO Fc1ccc(C[N@@H+]2CCO[C@@H](c3cccs3)C2)cc1F ZINC000157374269 261145195 /nfs/dbraw/zinc/14/51/95/261145195.db2.gz ITDUHJZKLSTWSB-CQSZACIVSA-N 1 2 295.354 3.600 20 0 CHADLO Fc1ccc(C[N@H+]2CCO[C@@H](c3cccs3)C2)cc1F ZINC000157374269 261145197 /nfs/dbraw/zinc/14/51/97/261145197.db2.gz ITDUHJZKLSTWSB-CQSZACIVSA-N 1 2 295.354 3.600 20 0 CHADLO CO[C@H](C)c1nc(C[N@H+](C)Cc2ccc(C)s2)cs1 ZINC000175170161 261210050 /nfs/dbraw/zinc/21/00/50/261210050.db2.gz GLHFFMGCORITNY-LLVKDONJSA-N 1 2 296.461 3.852 20 0 CHADLO CO[C@H](C)c1nc(C[N@@H+](C)Cc2ccc(C)s2)cs1 ZINC000175170161 261210052 /nfs/dbraw/zinc/21/00/52/261210052.db2.gz GLHFFMGCORITNY-LLVKDONJSA-N 1 2 296.461 3.852 20 0 CHADLO Cc1nc(N[C@H](C)c2cccc(OC(F)(F)F)c2)cc[nH+]1 ZINC000175730281 261243059 /nfs/dbraw/zinc/24/30/59/261243059.db2.gz NATDGSNAUWIDJZ-SECBINFHSA-N 1 2 297.280 3.857 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1F)c1cscn1 ZINC000162307779 261276150 /nfs/dbraw/zinc/27/61/50/261276150.db2.gz WBNQZQDQKOOVAA-RKDXNWHRSA-N 1 2 268.332 3.833 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2cnc(C)nc2C)cs1 ZINC000162327193 261277809 /nfs/dbraw/zinc/27/78/09/261277809.db2.gz ZIMWVTPCHRMJCR-MWLCHTKSSA-N 1 2 290.436 3.524 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2cnc(C)nc2C)cs1 ZINC000162327052 261277856 /nfs/dbraw/zinc/27/78/56/261277856.db2.gz ZIMWVTPCHRMJCR-ONGXEEELSA-N 1 2 290.436 3.524 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+](Cc2ccncc2)C2CC2)o1 ZINC000162396354 261280326 /nfs/dbraw/zinc/28/03/26/261280326.db2.gz IWFZOOCZLUONRU-UHFFFAOYSA-N 1 2 285.391 3.532 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+](Cc2ccncc2)C2CC2)o1 ZINC000162396354 261280328 /nfs/dbraw/zinc/28/03/28/261280328.db2.gz IWFZOOCZLUONRU-UHFFFAOYSA-N 1 2 285.391 3.532 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(F)c(Cl)c1)c1cscn1 ZINC000162403888 261280483 /nfs/dbraw/zinc/28/04/83/261280483.db2.gz OFWKOSGWHOYSKQ-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2cnn(C(C)C)c2)cs1 ZINC000162407140 261280544 /nfs/dbraw/zinc/28/05/44/261280544.db2.gz OWUXDLFKVZEUGG-NEPJUHHUSA-N 1 2 292.452 3.895 20 0 CHADLO Cc1ccoc1C[NH+](Cc1ccco1)Cc1ccco1 ZINC000162570784 261286385 /nfs/dbraw/zinc/28/63/85/261286385.db2.gz OGTHOUQNPVQDOR-UHFFFAOYSA-N 1 2 271.316 3.976 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+]1CCC[C@H]1c1ccncc1 ZINC000162753719 261293202 /nfs/dbraw/zinc/29/32/02/261293202.db2.gz TUYUXAXMWGAZIF-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+]1CCC[C@H]1c1ccncc1 ZINC000162753719 261293204 /nfs/dbraw/zinc/29/32/04/261293204.db2.gz TUYUXAXMWGAZIF-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO Cc1ccc(NC(=O)N(C)C2CCCCCC2)c(C)[nH+]1 ZINC000176704873 261305782 /nfs/dbraw/zinc/30/57/82/261305782.db2.gz IRIUVCFBTMPBJP-UHFFFAOYSA-N 1 2 275.396 3.885 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H](C)Cc2ccccc2C)c(C)[nH+]1 ZINC000176709553 261306276 /nfs/dbraw/zinc/30/62/76/261306276.db2.gz SNYYSZGUAXRGPC-AWEZNQCLSA-N 1 2 297.402 3.760 20 0 CHADLO Cc1cc(CSCc2cn3cc(Cl)ccc3[nH+]2)no1 ZINC000176896217 261317600 /nfs/dbraw/zinc/31/76/00/261317600.db2.gz PNZAFPPRXGOITM-UHFFFAOYSA-N 1 2 293.779 3.718 20 0 CHADLO c1ccc(COCCNc2ccc3ccccc3[nH+]2)cc1 ZINC000301463211 261334491 /nfs/dbraw/zinc/33/44/91/261334491.db2.gz FTROUXIQBSTMHJ-UHFFFAOYSA-N 1 2 278.355 3.864 20 0 CHADLO FC(F)C(F)(F)C[NH2+]Cc1cccc(-c2ccncc2)c1 ZINC000361649241 261367779 /nfs/dbraw/zinc/36/77/79/261367779.db2.gz YVSORFGZMVFKOE-UHFFFAOYSA-N 1 2 298.283 3.739 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(F)cccc2Cl)nc1C ZINC000313973357 261551144 /nfs/dbraw/zinc/55/11/44/261551144.db2.gz UMDYJOVCRXCYQF-UHFFFAOYSA-N 1 2 278.758 3.781 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccco2)no1 ZINC000174512150 261574771 /nfs/dbraw/zinc/57/47/71/261574771.db2.gz DJKXFNOSYNHAFY-SMDDNHRTSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccco2)no1 ZINC000174512150 261574773 /nfs/dbraw/zinc/57/47/73/261574773.db2.gz DJKXFNOSYNHAFY-SMDDNHRTSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1nc(N[C@@H]2CCCC[C@H]2c2ccccc2)cc[nH+]1 ZINC000462788861 261652212 /nfs/dbraw/zinc/65/22/12/261652212.db2.gz ISNQIYRROFNOTH-JKSUJKDBSA-N 1 2 267.376 3.923 20 0 CHADLO Clc1scc(C[NH2+]Cc2ccco2)c1Cl ZINC000336721445 261803036 /nfs/dbraw/zinc/80/30/36/261803036.db2.gz DNNCQCCKPOPHMV-UHFFFAOYSA-N 1 2 262.161 3.938 20 0 CHADLO Cc1nc([C@@H]([NH2+][C@@H](C)C2CC2)c2ccc(Cl)cc2)no1 ZINC000179889376 261823446 /nfs/dbraw/zinc/82/34/46/261823446.db2.gz VHNVSTFUGOSNSB-XPTSAGLGSA-N 1 2 291.782 3.509 20 0 CHADLO CCS[C@H](C)c1noc(C[NH2+][C@H](C)c2cccs2)n1 ZINC000182547335 261942556 /nfs/dbraw/zinc/94/25/56/261942556.db2.gz LROFAPYIEKSSJH-NXEZZACHSA-N 1 2 297.449 3.796 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1ccc(Cl)s1 ZINC000336744521 262000109 /nfs/dbraw/zinc/00/01/09/262000109.db2.gz QCKJMTIAUXBONG-JGVFFNPUSA-N 1 2 256.758 3.801 20 0 CHADLO CC[N@@H+](Cc1cccc(-c2cccnc2)c1)CC(F)F ZINC000184090306 262008068 /nfs/dbraw/zinc/00/80/68/262008068.db2.gz ZGTRXRUPGVCAGI-UHFFFAOYSA-N 1 2 276.330 3.836 20 0 CHADLO CC[N@H+](Cc1cccc(-c2cccnc2)c1)CC(F)F ZINC000184090306 262008070 /nfs/dbraw/zinc/00/80/70/262008070.db2.gz ZGTRXRUPGVCAGI-UHFFFAOYSA-N 1 2 276.330 3.836 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCCCC(C)(F)F ZINC000390006361 262072472 /nfs/dbraw/zinc/07/24/72/262072472.db2.gz AFZZSTYEACQNTG-UHFFFAOYSA-N 1 2 280.318 3.513 20 0 CHADLO CN(C)c1ccc([NH2+][C@H]2CCC[C@H](c3ccnn3C)C2)cc1 ZINC000363996361 262083502 /nfs/dbraw/zinc/08/35/02/262083502.db2.gz AQQSRVHATJWYFA-HOCLYGCPSA-N 1 2 298.434 3.624 20 0 CHADLO Cn1nccc1[C@H]1CCC[C@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000363996361 262083504 /nfs/dbraw/zinc/08/35/04/262083504.db2.gz AQQSRVHATJWYFA-HOCLYGCPSA-N 1 2 298.434 3.624 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCC[C@@H](c3ccnn3C)C2)cc1 ZINC000363996357 262083540 /nfs/dbraw/zinc/08/35/40/262083540.db2.gz AQQSRVHATJWYFA-GDBMZVCRSA-N 1 2 298.434 3.624 20 0 CHADLO Cn1nccc1[C@@H]1CCC[C@@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000363996357 262083542 /nfs/dbraw/zinc/08/35/42/262083542.db2.gz AQQSRVHATJWYFA-GDBMZVCRSA-N 1 2 298.434 3.624 20 0 CHADLO COc1ccsc1[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000186264433 262086378 /nfs/dbraw/zinc/08/63/78/262086378.db2.gz AIZZQOYWZHZCDZ-NSHDSACASA-N 1 2 276.405 3.996 20 0 CHADLO COc1ccc([NH2+][C@H](C)CCC2CC2)c(OC)c1OC ZINC000186465763 262094856 /nfs/dbraw/zinc/09/48/56/262094856.db2.gz DVDPONSBFZSTEH-LLVKDONJSA-N 1 2 279.380 3.703 20 0 CHADLO CCCc1cccc(C[N@H+](C)Cc2nccn2C(F)F)c1 ZINC000186896138 262115211 /nfs/dbraw/zinc/11/52/11/262115211.db2.gz QSNSZRQFJWTQHN-UHFFFAOYSA-N 1 2 293.361 3.863 20 0 CHADLO CCCc1cccc(C[N@@H+](C)Cc2nccn2C(F)F)c1 ZINC000186896138 262115213 /nfs/dbraw/zinc/11/52/13/262115213.db2.gz QSNSZRQFJWTQHN-UHFFFAOYSA-N 1 2 293.361 3.863 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+]C(C)(C)c1nccs1 ZINC000118847845 262120498 /nfs/dbraw/zinc/12/04/98/262120498.db2.gz TTWRXBKVTHUPIS-UHFFFAOYSA-N 1 2 290.432 3.955 20 0 CHADLO CCOC(=O)[C@H]([NH2+]CCCc1ccccc1)c1ccccc1 ZINC000318930540 262124300 /nfs/dbraw/zinc/12/43/00/262124300.db2.gz YQEIWXPVCXCLBW-GOSISDBHSA-N 1 2 297.398 3.513 20 0 CHADLO CC(C)[C@@H](C)C(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000497550627 262175028 /nfs/dbraw/zinc/17/50/28/262175028.db2.gz SNZUFKYJMGUWJX-CYBMUJFWSA-N 1 2 299.418 3.993 20 0 CHADLO Cc1ccoc1C[NH2+]C(C)(C)c1cc(C(F)(F)F)on1 ZINC000492051627 262178966 /nfs/dbraw/zinc/17/89/66/262178966.db2.gz WHDCTHLKTRHEEP-UHFFFAOYSA-N 1 2 288.269 3.620 20 0 CHADLO CC(C)(C)C(C)(C)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000497722616 262195683 /nfs/dbraw/zinc/19/56/83/262195683.db2.gz DIHIOYYCHLJFQL-UHFFFAOYSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)CC3CCCCC3)ccc2n1C ZINC000525779014 262217927 /nfs/dbraw/zinc/21/79/27/262217927.db2.gz IJKDHWUPAWUDCC-UHFFFAOYSA-N 1 2 285.391 3.791 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@H](C)[C@@H]2C)nc(-c2cccnc2)[nH+]1 ZINC000521402533 262300694 /nfs/dbraw/zinc/30/06/94/262300694.db2.gz ODNJCOHKPVFRHG-DUVNUKRYSA-N 1 2 296.418 3.506 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000488587788 262378758 /nfs/dbraw/zinc/37/87/58/262378758.db2.gz ZXRYPPKPEUXYEJ-UEKVPHQBSA-N 1 2 283.774 3.508 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000488587788 262378759 /nfs/dbraw/zinc/37/87/59/262378759.db2.gz ZXRYPPKPEUXYEJ-UEKVPHQBSA-N 1 2 283.774 3.508 20 0 CHADLO COCC[C@@H](C)CNc1cc(C)[nH+]c2c(F)cccc12 ZINC000413492868 262766643 /nfs/dbraw/zinc/76/66/43/262766643.db2.gz MQQVKFBDAAYFTC-LLVKDONJSA-N 1 2 276.355 3.767 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1csnn1)c1cc(C)ccc1OC ZINC000414292725 262837078 /nfs/dbraw/zinc/83/70/78/262837078.db2.gz CDCHNBALEZEGLL-AAEUAGOBSA-N 1 2 291.420 3.657 20 0 CHADLO Clc1cccc(Cl)c1[C@H]([NH2+]Cc1cc[nH]n1)C1CC1 ZINC000289725618 263111566 /nfs/dbraw/zinc/11/15/66/263111566.db2.gz FWOMRPIBPBQCLS-CQSZACIVSA-N 1 2 296.201 3.957 20 0 CHADLO C[C@H]1CC[C@H](c2ccccc2)[N@H+](Cc2csnn2)C1 ZINC000289749958 263111800 /nfs/dbraw/zinc/11/18/00/263111800.db2.gz QMEMXFCTSFTHDF-SWLSCSKDSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@H]1CC[C@H](c2ccccc2)[N@@H+](Cc2csnn2)C1 ZINC000289749958 263111801 /nfs/dbraw/zinc/11/18/01/263111801.db2.gz QMEMXFCTSFTHDF-SWLSCSKDSA-N 1 2 273.405 3.511 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1ccnc(Cl)c1Cl ZINC000292718723 263134146 /nfs/dbraw/zinc/13/41/46/263134146.db2.gz FAMFKRWPMGAJJX-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO c1csc([C@@H]([NH2+]CCC2CCCCC2)c2nnc[nH]2)c1 ZINC000293611511 263144280 /nfs/dbraw/zinc/14/42/80/263144280.db2.gz GORBLNAHDFHQRM-CQSZACIVSA-N 1 2 290.436 3.516 20 0 CHADLO CC[C@H](C)[C@H](C)C(=O)N(C)[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000293871195 263151602 /nfs/dbraw/zinc/15/16/02/263151602.db2.gz HCJMUYFMASPYIW-OFQRWUPVSA-N 1 2 299.418 3.640 20 0 CHADLO CCCC[C@@H](CC)C[NH2+][C@H](c1cccs1)c1nnc[nH]1 ZINC000293870453 263151612 /nfs/dbraw/zinc/15/16/12/263151612.db2.gz UWLDWXHGHWBHPF-TZMCWYRMSA-N 1 2 292.452 3.762 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cnc2ccsc2c1)C(F)F ZINC000453233065 263205508 /nfs/dbraw/zinc/20/55/08/263205508.db2.gz GZNMIDVKIQGVDC-SCZZXKLOSA-N 1 2 270.348 3.991 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@H](CC)C(F)F)cc1 ZINC000453254244 263210979 /nfs/dbraw/zinc/21/09/79/263210979.db2.gz YAYCNTVTCADIOP-ZWNOBZJWSA-N 1 2 257.324 3.780 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc2ccccc2n1)C(C)(F)F ZINC000453298999 263223646 /nfs/dbraw/zinc/22/36/46/263223646.db2.gz TXDHDUHHOMPRSC-WDEREUQCSA-N 1 2 264.319 3.929 20 0 CHADLO CCc1nnc(C[NH2+][C@H](c2ccc(F)cc2)C(C)(C)C)o1 ZINC000299088820 263230448 /nfs/dbraw/zinc/23/04/48/263230448.db2.gz VDWHLQZCUIRIGF-OAHLLOKOSA-N 1 2 291.370 3.648 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1nccn1C ZINC000299565038 263247732 /nfs/dbraw/zinc/24/77/32/263247732.db2.gz GITNTWQGPAMXDZ-LLVKDONJSA-N 1 2 297.324 3.632 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1nccn1C ZINC000299565038 263247733 /nfs/dbraw/zinc/24/77/33/263247733.db2.gz GITNTWQGPAMXDZ-LLVKDONJSA-N 1 2 297.324 3.632 20 0 CHADLO CC[C@H](F)C[NH2+][C@H](C)c1ncc(-c2ccccc2)o1 ZINC000440531137 263317341 /nfs/dbraw/zinc/31/73/41/263317341.db2.gz ISHFUDGNKPCQET-YPMHNXCESA-N 1 2 262.328 3.740 20 0 CHADLO CCc1ncc(C[NH2+][C@@H]2COc3cccc(Cl)c32)s1 ZINC000342418706 263424718 /nfs/dbraw/zinc/42/47/18/263424718.db2.gz MBOFPMOENHDVRN-LLVKDONJSA-N 1 2 294.807 3.582 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)Cc2cnccc2C)c(F)c1 ZINC000425344498 264015844 /nfs/dbraw/zinc/01/58/44/264015844.db2.gz TZGHKDXCPOTTEV-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)Cc2cnccc2C)c(F)c1 ZINC000425344498 264015847 /nfs/dbraw/zinc/01/58/47/264015847.db2.gz TZGHKDXCPOTTEV-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Clc1oc2ccccc2c1C[N@@H+]1CCOC[C@H]1C1CC1 ZINC000353749771 264032160 /nfs/dbraw/zinc/03/21/60/264032160.db2.gz GYXRSZCJPPDHSA-AWEZNQCLSA-N 1 2 291.778 3.697 20 0 CHADLO Clc1oc2ccccc2c1C[N@H+]1CCOC[C@H]1C1CC1 ZINC000353749771 264032163 /nfs/dbraw/zinc/03/21/63/264032163.db2.gz GYXRSZCJPPDHSA-AWEZNQCLSA-N 1 2 291.778 3.697 20 0 CHADLO FC(F)CC1C[NH+](Cc2ccc(Br)cc2)C1 ZINC000425355474 264072491 /nfs/dbraw/zinc/07/24/91/264072491.db2.gz LWUOLKHFQOIHGQ-UHFFFAOYSA-N 1 2 290.151 3.536 20 0 CHADLO CC[C@@H]1CCCC[C@H]1OCC(=O)Nc1c(C)cc[nH+]c1C ZINC000331244894 264178609 /nfs/dbraw/zinc/17/86/09/264178609.db2.gz REWRUXCTHQNBLV-HUUCEWRRSA-N 1 2 290.407 3.622 20 0 CHADLO Fc1ccc(C[NH+]2CC(CC(F)F)C2)c(Cl)c1 ZINC000425365636 264210816 /nfs/dbraw/zinc/21/08/16/264210816.db2.gz MHLWOODSRMDKCT-UHFFFAOYSA-N 1 2 263.690 3.566 20 0 CHADLO FC(F)CC1C[NH+](Cc2ncc(-c3ccccc3)s2)C1 ZINC000425365275 264211030 /nfs/dbraw/zinc/21/10/30/264211030.db2.gz JTLGNPLIFRMVMV-UHFFFAOYSA-N 1 2 294.370 3.897 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CC(CC(F)F)C2)cs1 ZINC000425367317 264211907 /nfs/dbraw/zinc/21/19/07/264211907.db2.gz SJSIKMVAOKOJOD-UHFFFAOYSA-N 1 2 274.380 3.528 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCCSc2ccccc21 ZINC000425384369 264220065 /nfs/dbraw/zinc/22/00/65/264220065.db2.gz QIRSMGKTYXNDFX-UHFFFAOYSA-N 1 2 287.432 3.966 20 0 CHADLO Cc1cc(NC[C@H]2CCC[C@H](O)C2)c2cccc(F)c2[nH+]1 ZINC000127159431 264240995 /nfs/dbraw/zinc/24/09/95/264240995.db2.gz KRQOWEYUGMXRRX-STQMWFEESA-N 1 2 288.366 3.645 20 0 CHADLO CCn1c2ccccc2nc1[C@@H](C)[NH2+]Cc1ccco1 ZINC000127052630 264247033 /nfs/dbraw/zinc/24/70/33/264247033.db2.gz KSXBLXUKCNYBET-GFCCVEGCSA-N 1 2 269.348 3.500 20 0 CHADLO Cc1csc2nc(C)nc(NCCc3cc[nH+]cc3C)c12 ZINC000128350718 264285505 /nfs/dbraw/zinc/28/55/05/264285505.db2.gz NLWFMXKRBATDPF-UHFFFAOYSA-N 1 2 298.415 3.666 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCCC[C@@H]2C)c(C)[nH+]1 ZINC000425419327 264296357 /nfs/dbraw/zinc/29/63/57/264296357.db2.gz PVZBOLWFRWDBJK-IINYFYTJSA-N 1 2 260.381 3.772 20 0 CHADLO O=C(CCc1cccs1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000343276158 264309329 /nfs/dbraw/zinc/30/93/29/264309329.db2.gz MRLCQWRZYUYNGF-UHFFFAOYSA-N 1 2 297.383 3.505 20 0 CHADLO C[C@H]1C[C@@H](C(C)(C)C)CC[N@@H+]1Cc1nc(C(F)F)no1 ZINC000425460780 264332865 /nfs/dbraw/zinc/33/28/65/264332865.db2.gz SXHOEBKGPDFOGN-UWVGGRQHSA-N 1 2 287.354 3.654 20 0 CHADLO C[C@H]1C[C@@H](C(C)(C)C)CC[N@H+]1Cc1nc(C(F)F)no1 ZINC000425460780 264332868 /nfs/dbraw/zinc/33/28/68/264332868.db2.gz SXHOEBKGPDFOGN-UWVGGRQHSA-N 1 2 287.354 3.654 20 0 CHADLO CC(C)OC1CCC(Nc2cc[nH+]c(C(C)(C)C)n2)CC1 ZINC000130121157 264341780 /nfs/dbraw/zinc/34/17/80/264341780.db2.gz RNTJBNUMAXVKIF-UHFFFAOYSA-N 1 2 291.439 3.922 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@]3(CCSC3)C2)s1 ZINC000334673925 265070989 /nfs/dbraw/zinc/07/09/89/265070989.db2.gz BUTGPWCGMHBBPD-LBPRGKRZSA-N 1 2 288.869 3.516 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@]3(CCSC3)C2)s1 ZINC000334673925 265070994 /nfs/dbraw/zinc/07/09/94/265070994.db2.gz BUTGPWCGMHBBPD-LBPRGKRZSA-N 1 2 288.869 3.516 20 0 CHADLO CCOc1cc(Cl)ccc1C[NH2+][C@@H](C)c1cc(C)on1 ZINC000353241052 265207348 /nfs/dbraw/zinc/20/73/48/265207348.db2.gz KSLGBXRAXMBEAJ-NSHDSACASA-N 1 2 294.782 3.886 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc(F)c(C(F)(F)F)c1 ZINC000519189529 265357316 /nfs/dbraw/zinc/35/73/16/265357316.db2.gz CSPMIZOLQVXSFB-UHFFFAOYSA-N 1 2 271.204 3.589 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@H+](C)Cc1ccc(Cl)s1 ZINC000096731104 265385448 /nfs/dbraw/zinc/38/54/48/265385448.db2.gz ZIOGCFQZFCZANS-NSHDSACASA-N 1 2 289.828 3.565 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@@H+](C)Cc1ccc(Cl)s1 ZINC000096731104 265385452 /nfs/dbraw/zinc/38/54/52/265385452.db2.gz ZIOGCFQZFCZANS-NSHDSACASA-N 1 2 289.828 3.565 20 0 CHADLO Cc1cc2[nH+]cn(Cc3cc(F)cc(F)c3)c2cc1C ZINC000097918848 265639775 /nfs/dbraw/zinc/63/97/75/265639775.db2.gz OMRODZNZYAZVAW-UHFFFAOYSA-N 1 2 272.298 3.980 20 0 CHADLO c1ccc2[nH+]c(N[C@@H]3CCC[C@@H]4OCC[C@H]43)ccc2c1 ZINC000301629829 265983304 /nfs/dbraw/zinc/98/33/04/265983304.db2.gz CAYJFFLPHUUYJX-IMJJTQAJSA-N 1 2 268.360 3.604 20 0 CHADLO CC1(C)C[N@H+](Cc2ccsc2Cl)[C@@H]1[C@H]1CCCO1 ZINC000514638876 266022853 /nfs/dbraw/zinc/02/28/53/266022853.db2.gz LKFYERUDJYNUNE-VXGBXAGGSA-N 1 2 285.840 3.791 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccsc2Cl)[C@@H]1[C@H]1CCCO1 ZINC000514638876 266022856 /nfs/dbraw/zinc/02/28/56/266022856.db2.gz LKFYERUDJYNUNE-VXGBXAGGSA-N 1 2 285.840 3.791 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H](C)c2cc(C)ccn2)n1 ZINC000353504224 266039258 /nfs/dbraw/zinc/03/92/58/266039258.db2.gz QVMPXYILLQKWLI-RYUDHWBXSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2ccc(-n3cccn3)cc2)o1 ZINC000356282510 266087570 /nfs/dbraw/zinc/08/75/70/266087570.db2.gz NJIOFKJHRIZTDY-UONOGXRCSA-N 1 2 296.374 3.581 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@@H]2/C=C\c2ccccc2)o1 ZINC000356346726 266099400 /nfs/dbraw/zinc/09/94/00/266099400.db2.gz NWXQEJWNTUBGFA-BLIJAFNYSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@@H]2/C=C\c2ccccc2)o1 ZINC000356346726 266099403 /nfs/dbraw/zinc/09/94/03/266099403.db2.gz NWXQEJWNTUBGFA-BLIJAFNYSA-N 1 2 297.402 3.871 20 0 CHADLO C/C=C/C=C\c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000356593258 266145678 /nfs/dbraw/zinc/14/56/78/266145678.db2.gz MSLVTXCYEFSSRQ-HOJFPOIOSA-N 1 2 278.315 3.512 20 0 CHADLO CC[C@@H](NC(=O)c1cc(C)c(Cl)cc1C)c1[nH]cc[nH+]1 ZINC000356769371 266172346 /nfs/dbraw/zinc/17/23/46/266172346.db2.gz UWESUAPKYQEPNE-CYBMUJFWSA-N 1 2 291.782 3.561 20 0 CHADLO Fc1ccc(CC2(CNc3cccc[nH+]3)CC2)cc1 ZINC000356772462 266172582 /nfs/dbraw/zinc/17/25/82/266172582.db2.gz BDKSZUQMMRLUHW-UHFFFAOYSA-N 1 2 256.324 3.656 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2cccc(-c3ccccc3)c2)n1 ZINC000356888543 266205649 /nfs/dbraw/zinc/20/56/49/266205649.db2.gz DEEKURXOWHWTGQ-ZDUSSCGKSA-N 1 2 293.370 3.896 20 0 CHADLO C[C@H]1OCC[N@H+](Cc2ccc(Oc3ccccc3)o2)[C@@H]1C ZINC000356960019 266218136 /nfs/dbraw/zinc/21/81/36/266218136.db2.gz BWWIERIRRJEJIF-ZIAGYGMSSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@H]1OCC[N@@H+](Cc2ccc(Oc3ccccc3)o2)[C@@H]1C ZINC000356960019 266218140 /nfs/dbraw/zinc/21/81/40/266218140.db2.gz BWWIERIRRJEJIF-ZIAGYGMSSA-N 1 2 287.359 3.681 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2sccc2C)o1 ZINC000356959623 266218907 /nfs/dbraw/zinc/21/89/07/266218907.db2.gz YYMBQLSGYYTUIM-NSHDSACASA-N 1 2 250.367 3.546 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2sccc2C)o1 ZINC000356959623 266218905 /nfs/dbraw/zinc/21/89/05/266218905.db2.gz YYMBQLSGYYTUIM-NSHDSACASA-N 1 2 250.367 3.546 20 0 CHADLO CCCCN(C)c1cc(COC)[nH+]c2ccc(F)cc21 ZINC000357079179 266242561 /nfs/dbraw/zinc/24/25/61/266242561.db2.gz CXCOVVGPKMQDQE-UHFFFAOYSA-N 1 2 276.355 3.757 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@@H]1CC12CCCCC2 ZINC000357480745 266305606 /nfs/dbraw/zinc/30/56/06/266305606.db2.gz RUKWWJCBOIQCML-INIZCTEOSA-N 1 2 295.386 3.781 20 0 CHADLO CC[C@H](O)CCCNc1cc[nH+]c2c(Cl)cccc12 ZINC000357507795 266311620 /nfs/dbraw/zinc/31/16/20/266311620.db2.gz YSPTWMDEGREYHO-NSHDSACASA-N 1 2 278.783 3.851 20 0 CHADLO CCN(CCc1cccs1)c1cc[nH+]c2ccncc21 ZINC000357512070 266313319 /nfs/dbraw/zinc/31/33/19/266313319.db2.gz LRGKHLVXRBLOLO-UHFFFAOYSA-N 1 2 283.400 3.760 20 0 CHADLO COCc1cc(N2CC[C@H](C)[C@@H]2C)c2cc(F)ccc2[nH+]1 ZINC000357516276 266314240 /nfs/dbraw/zinc/31/42/40/266314240.db2.gz QOKJLLAAJOKDTP-RYUDHWBXSA-N 1 2 288.366 3.755 20 0 CHADLO CC[C@@H](O)CCCNc1cc(C)[nH+]c2c(F)cccc12 ZINC000357523601 266316100 /nfs/dbraw/zinc/31/61/00/266316100.db2.gz BHSAPAPCZCSRTE-GFCCVEGCSA-N 1 2 276.355 3.645 20 0 CHADLO Cc1ccc(C(=O)N[C@@H]2CC2(C)C)cc1Oc1cc[nH+]cc1 ZINC000359916718 266657311 /nfs/dbraw/zinc/65/73/11/266657311.db2.gz PKYBMPYGLSRNLY-MRXNPFEDSA-N 1 2 296.370 3.711 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CCC=C(F)C1 ZINC000362648569 267029533 /nfs/dbraw/zinc/02/95/33/267029533.db2.gz LSPARNJVOCKKIN-UHFFFAOYSA-N 1 2 272.323 3.615 20 0 CHADLO Cc1noc(C)c1CCOc1cc(C)[nH+]c2ccccc21 ZINC000362758221 267043572 /nfs/dbraw/zinc/04/35/72/267043572.db2.gz ITOCYBBHFSKATG-UHFFFAOYSA-N 1 2 282.343 3.770 20 0 CHADLO CN(Cc1cccc(Cl)c1)c1cc[nH+]c2ccncc21 ZINC000362836859 267053063 /nfs/dbraw/zinc/05/30/63/267053063.db2.gz KETZJXZYLFNKBK-UHFFFAOYSA-N 1 2 283.762 3.920 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2ccsc2Cl)[nH]1 ZINC000362922102 267060735 /nfs/dbraw/zinc/06/07/35/267060735.db2.gz BPIASKXUCFFDDI-RKDXNWHRSA-N 1 2 298.843 3.884 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2coc3ccccc23)[nH]1 ZINC000362987886 267070287 /nfs/dbraw/zinc/07/02/87/267070287.db2.gz VZXQZSUNUXSCNQ-VXGBXAGGSA-N 1 2 298.390 3.915 20 0 CHADLO CCC1(C(=O)Nc2ccc(-n3cc[nH+]c3)c(Cl)c2)CC1 ZINC000362996893 267071370 /nfs/dbraw/zinc/07/13/70/267071370.db2.gz MOEHWNYSSCINDM-UHFFFAOYSA-N 1 2 289.766 3.654 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@@H](CC)c1[nH]cc[nH+]1 ZINC000443114906 267094627 /nfs/dbraw/zinc/09/46/27/267094627.db2.gz GZTCWEKETLCQMS-STQMWFEESA-N 1 2 265.401 3.584 20 0 CHADLO FC(F)c1ccc(C[NH2+][C@@H]2CCCc3[nH]ncc32)cc1 ZINC000367600665 267103393 /nfs/dbraw/zinc/10/33/93/267103393.db2.gz ZAFIGFOHGJEVJH-CYBMUJFWSA-N 1 2 277.318 3.515 20 0 CHADLO C[C@@H]1CCOCC[N@@H+]1Cc1csc(C2CCCCC2)n1 ZINC000367664013 267107483 /nfs/dbraw/zinc/10/74/83/267107483.db2.gz SJMVLDZEFBSWQB-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]1CCOCC[N@H+]1Cc1csc(C2CCCCC2)n1 ZINC000367664013 267107486 /nfs/dbraw/zinc/10/74/86/267107486.db2.gz SJMVLDZEFBSWQB-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1cc([C@@H](C)N[C@@H]2C[N@H+](C)Cc3ccccc32)oc1C ZINC000368522173 267180091 /nfs/dbraw/zinc/18/00/91/267180091.db2.gz LXZFGYRGXLDHME-CXAGYDPISA-N 1 2 284.403 3.734 20 0 CHADLO Cc1cc([C@@H](C)N[C@@H]2C[N@@H+](C)Cc3ccccc32)oc1C ZINC000368522173 267180094 /nfs/dbraw/zinc/18/00/94/267180094.db2.gz LXZFGYRGXLDHME-CXAGYDPISA-N 1 2 284.403 3.734 20 0 CHADLO CS[C@@H]1CCC[C@H](Nc2cc[nH+]c(C(C)C)n2)C1 ZINC000117327946 267212287 /nfs/dbraw/zinc/21/22/87/267212287.db2.gz NXGSDWJJXDITFJ-NWDGAFQWSA-N 1 2 265.426 3.686 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc[nH]1)c1cccc(Cl)c1Cl ZINC000117951114 267230758 /nfs/dbraw/zinc/23/07/58/267230758.db2.gz JMGIRFNPQXPHTG-MRVPVSSYSA-N 1 2 270.163 3.567 20 0 CHADLO Cc1oncc1C[NH2+][C@H](c1ccc(C)cc1)c1ccccn1 ZINC000369312016 267235039 /nfs/dbraw/zinc/23/50/39/267235039.db2.gz KBCFUNXQYAVUAI-GOSISDBHSA-N 1 2 293.370 3.566 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@H+](C)Cc1cccnc1 ZINC000119028835 267293322 /nfs/dbraw/zinc/29/33/22/267293322.db2.gz MTDDLVFRNUKCPQ-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@@H+](C)Cc1cccnc1 ZINC000119028835 267293325 /nfs/dbraw/zinc/29/33/25/267293325.db2.gz MTDDLVFRNUKCPQ-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@H](N[C@@H](c1[nH+]ccn1C)c1ccccc1F)c1ccoc1 ZINC000370669296 267336768 /nfs/dbraw/zinc/33/67/68/267336768.db2.gz IOBODYLAUWJZNL-BLLLJJGKSA-N 1 2 299.349 3.592 20 0 CHADLO Cc1cc(C)cc([C@@H](C)NC(=O)Nc2cc[nH+]cc2C)c1 ZINC000120972727 267534513 /nfs/dbraw/zinc/53/45/13/267534513.db2.gz CWDFFUVAZZISKN-CQSZACIVSA-N 1 2 283.375 3.890 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccccc2Cl)cn1 ZINC000121815858 267745163 /nfs/dbraw/zinc/74/51/63/267745163.db2.gz YUEPRDGSCVWCDJ-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccccc2Cl)cn1 ZINC000121815858 267745168 /nfs/dbraw/zinc/74/51/68/267745168.db2.gz YUEPRDGSCVWCDJ-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO CC[C@H]1CCCC[C@@H]1C(=O)Nc1c(C)cc[nH+]c1C ZINC000425595627 268036635 /nfs/dbraw/zinc/03/66/35/268036635.db2.gz INKWCBWJBLHCOV-KBPBESRZSA-N 1 2 260.381 3.853 20 0 CHADLO C[C@@H]([NH2+]Cc1cncc(F)c1)c1ncc(-c2ccccc2)o1 ZINC000377086993 268046341 /nfs/dbraw/zinc/04/63/41/268046341.db2.gz RNFSOWWCNDJZEM-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)CC1CCCC1 ZINC000377223554 268057428 /nfs/dbraw/zinc/05/74/28/268057428.db2.gz QJQNITJFWDOZHQ-OAHLLOKOSA-N 1 2 289.423 3.537 20 0 CHADLO C[C@H]1C[C@H](Nc2cccc(Br)c2)c2[nH+]ccn21 ZINC000334363570 327673342 /nfs/dbraw/zinc/67/33/42/327673342.db2.gz FGKVLGZETPRGLL-CABZTGNLSA-N 1 2 292.180 3.764 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCc2cnc(C3CC3)o2)c1 ZINC000580156253 327714225 /nfs/dbraw/zinc/71/42/25/327714225.db2.gz RDXVCRFKXTTYLS-UHFFFAOYSA-N 1 2 283.253 3.578 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc2cccc(C)c2s1 ZINC000580238032 327746471 /nfs/dbraw/zinc/74/64/71/327746471.db2.gz QJGLLFYRTJPYBL-UHFFFAOYSA-N 1 2 296.395 3.841 20 0 CHADLO CSc1ccc(CNc2ccc(C)[nH+]c2)s1 ZINC000309838780 327834359 /nfs/dbraw/zinc/83/43/59/327834359.db2.gz CAEPZEKHUUOZEC-UHFFFAOYSA-N 1 2 250.392 3.786 20 0 CHADLO CC(C)=CC[NH2+][C@H](C)c1nc(C2CCCCC2)no1 ZINC000185249074 327837632 /nfs/dbraw/zinc/83/76/32/327837632.db2.gz QPZGKZBHTFHKHP-GFCCVEGCSA-N 1 2 263.385 3.734 20 0 CHADLO CC[C@H]([NH2+][C@@H](Cc1ccccc1)c1ccccc1)C(=O)OC ZINC000319023032 327863306 /nfs/dbraw/zinc/86/33/06/327863306.db2.gz RCQVCODEGXGEGO-ROUUACIJSA-N 1 2 297.398 3.512 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccsc2Cl)CC2(CCC2)O1 ZINC000583694322 329004614 /nfs/dbraw/zinc/00/46/14/329004614.db2.gz SMOXMMVRVRIVLB-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccsc2Cl)CC2(CCC2)O1 ZINC000583694322 329004615 /nfs/dbraw/zinc/00/46/15/329004615.db2.gz SMOXMMVRVRIVLB-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)C[C@@H]2CCCC(C)(C)C2)[nH+]1 ZINC000563285856 328008608 /nfs/dbraw/zinc/00/86/08/328008608.db2.gz YYMFVDXIQOGFQZ-ZDUSSCGKSA-N 1 2 291.439 3.676 20 0 CHADLO Oc1cccc(CNc2cccc(C3CC3)[nH+]2)c1Cl ZINC000339430036 328010542 /nfs/dbraw/zinc/01/05/42/328010542.db2.gz ZJWMCTNCNWOVTD-UHFFFAOYSA-N 1 2 274.751 3.930 20 0 CHADLO Cc1ncsc1CN(C)c1[nH+]c2ccccc2cc1C ZINC000531758382 328032230 /nfs/dbraw/zinc/03/22/30/328032230.db2.gz ZNURICOEPUXDFI-UHFFFAOYSA-N 1 2 283.400 3.945 20 0 CHADLO CCC(CC)[C@H](C)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000534134707 328035554 /nfs/dbraw/zinc/03/55/54/328035554.db2.gz QTFWHCBYCKRTHQ-LBPRGKRZSA-N 1 2 273.380 3.654 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC[C@H]1Cc1ccccc1 ZINC000534244934 328041709 /nfs/dbraw/zinc/04/17/09/328041709.db2.gz UTGBINWFXPDCCW-INIZCTEOSA-N 1 2 291.398 3.785 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CC[C@H](C(C)C)CC2)c(C)c[nH+]1 ZINC000534299966 328043963 /nfs/dbraw/zinc/04/39/63/328043963.db2.gz OFQKYWZAXHOLHG-SHTZXODSSA-N 1 2 274.408 3.521 20 0 CHADLO Cc1cc(NC(=O)NCc2ccc(C(C)C)cc2)c(C)c[nH+]1 ZINC000534324006 328045610 /nfs/dbraw/zinc/04/56/10/328045610.db2.gz IRXRLNJQONQCBO-UHFFFAOYSA-N 1 2 297.402 3.565 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1nc(C)c3ccccc3n1)C2 ZINC000563662453 328082361 /nfs/dbraw/zinc/08/23/61/328082361.db2.gz GSXFVEKOMIYAHP-UHFFFAOYSA-N 1 2 289.382 3.762 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1nc(C)c3ccccc3n1)C2 ZINC000563662453 328082363 /nfs/dbraw/zinc/08/23/63/328082363.db2.gz GSXFVEKOMIYAHP-UHFFFAOYSA-N 1 2 289.382 3.762 20 0 CHADLO CC[C@@H]1CC[C@H]1Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000571087210 328084377 /nfs/dbraw/zinc/08/43/77/328084377.db2.gz OAXBBOUHXVHCSF-IUODEOHRSA-N 1 2 255.365 3.688 20 0 CHADLO Cc1cc(CNC(=O)[C@H]2CCCC[C@@H]2C2CC2)cc(C)[nH+]1 ZINC000571089306 328085689 /nfs/dbraw/zinc/08/56/89/328085689.db2.gz QTRAKKTUBLVWRI-SJORKVTESA-N 1 2 286.419 3.531 20 0 CHADLO CCc1nc(C[N@H+](CC)Cc2occc2C)cs1 ZINC000412042035 328102042 /nfs/dbraw/zinc/10/20/42/328102042.db2.gz NPXWJOHAINPIJL-UHFFFAOYSA-N 1 2 264.394 3.629 20 0 CHADLO CCc1nc(C[N@@H+](CC)Cc2occc2C)cs1 ZINC000412042035 328102043 /nfs/dbraw/zinc/10/20/43/328102043.db2.gz NPXWJOHAINPIJL-UHFFFAOYSA-N 1 2 264.394 3.629 20 0 CHADLO CCCC[C@H](CC)C[N@H+](C)Cc1noc(C2CC2)n1 ZINC000412065435 328103708 /nfs/dbraw/zinc/10/37/08/328103708.db2.gz AZAWVMNUYHALHX-LBPRGKRZSA-N 1 2 265.401 3.595 20 0 CHADLO CCCC[C@H](CC)C[N@@H+](C)Cc1noc(C2CC2)n1 ZINC000412065435 328103709 /nfs/dbraw/zinc/10/37/09/328103709.db2.gz AZAWVMNUYHALHX-LBPRGKRZSA-N 1 2 265.401 3.595 20 0 CHADLO C[C@@]1(F)CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000571320150 328104708 /nfs/dbraw/zinc/10/47/08/328104708.db2.gz HPNFRJLRTZZHBK-OAHLLOKOSA-N 1 2 262.303 3.702 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(C(C)(C)C)on2)cc1 ZINC000571366100 328116882 /nfs/dbraw/zinc/11/68/82/328116882.db2.gz WLESHZPVSNBFEN-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(C(C)(C)C)on2)cc1 ZINC000571366100 328116884 /nfs/dbraw/zinc/11/68/84/328116884.db2.gz WLESHZPVSNBFEN-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO CCCOc1ccc(CNc2c[nH]c[nH+]2)c(OCCC)c1 ZINC000571576732 328134774 /nfs/dbraw/zinc/13/47/74/328134774.db2.gz FZXQYUCEUPTQSV-UHFFFAOYSA-N 1 2 289.379 3.599 20 0 CHADLO C[C@@H]1CCCC[C@H]1CC[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000571598737 328136521 /nfs/dbraw/zinc/13/65/21/328136521.db2.gz WUNASWFWUKZQFS-VYDXJSESSA-N 1 2 298.434 3.700 20 0 CHADLO CC[C@]1(C(C)C)C[C@H]1[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000571614445 328137797 /nfs/dbraw/zinc/13/77/97/328137797.db2.gz YCKPFUCFFFCVMJ-HLLBOEOZSA-N 1 2 290.455 3.840 20 0 CHADLO C[C@H]1CCN(c2cc(NC3CC3)[nH+]cn2)[C@@H](c2ccco2)C1 ZINC000413171414 328142006 /nfs/dbraw/zinc/14/20/06/328142006.db2.gz ORTBDULTZMBXFB-GXTWGEPZSA-N 1 2 298.390 3.622 20 0 CHADLO C[C@H]1CCN(c2cc(NC3CC3)nc[nH+]2)[C@@H](c2ccco2)C1 ZINC000413171414 328142008 /nfs/dbraw/zinc/14/20/08/328142008.db2.gz ORTBDULTZMBXFB-GXTWGEPZSA-N 1 2 298.390 3.622 20 0 CHADLO Clc1ccc(C[NH2+][C@@H]2CCCc3cn[nH]c32)c(Cl)c1 ZINC000268815874 328186357 /nfs/dbraw/zinc/18/63/57/328186357.db2.gz FZUWVKLTOUNFSU-CYBMUJFWSA-N 1 2 296.201 3.884 20 0 CHADLO Clc1ccc(C[NH2+][C@@H]2CCCc3c[nH]nc32)c(Cl)c1 ZINC000268815874 328186358 /nfs/dbraw/zinc/18/63/58/328186358.db2.gz FZUWVKLTOUNFSU-CYBMUJFWSA-N 1 2 296.201 3.884 20 0 CHADLO COc1cc(C)[nH+]c(CSc2cccs2)c1 ZINC000270178172 328192294 /nfs/dbraw/zinc/19/22/94/328192294.db2.gz YBBWSQRMAVUGJL-UHFFFAOYSA-N 1 2 251.376 3.752 20 0 CHADLO CC1=CC[N@H+](Cc2nc(Cl)ccc2Cl)CC1 ZINC000274270581 328210603 /nfs/dbraw/zinc/21/06/03/328210603.db2.gz ARTWOVBASYUDQG-UHFFFAOYSA-N 1 2 257.164 3.540 20 0 CHADLO CC1=CC[N@@H+](Cc2nc(Cl)ccc2Cl)CC1 ZINC000274270581 328210604 /nfs/dbraw/zinc/21/06/04/328210604.db2.gz ARTWOVBASYUDQG-UHFFFAOYSA-N 1 2 257.164 3.540 20 0 CHADLO FC(F)(F)C[C@H]1CCN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000277572012 328221690 /nfs/dbraw/zinc/22/16/90/328221690.db2.gz ZZFXKFQQAWUSPA-MRVPVSSYSA-N 1 2 298.230 3.879 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)nn1 ZINC000289516535 328254705 /nfs/dbraw/zinc/25/47/05/328254705.db2.gz FRJDEPILGRCKEG-OAHLLOKOSA-N 1 2 288.395 3.569 20 0 CHADLO CC(C)n1cc(C[N@H+]2CCCCC[C@@H]2c2ccco2)nn1 ZINC000289516535 328254706 /nfs/dbraw/zinc/25/47/06/328254706.db2.gz FRJDEPILGRCKEG-OAHLLOKOSA-N 1 2 288.395 3.569 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1csc(-c2ccccn2)n1 ZINC000292285273 328269257 /nfs/dbraw/zinc/26/92/57/328269257.db2.gz LVMUONKINOHAQT-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1csc(-c2ccccn2)n1 ZINC000292285273 328269258 /nfs/dbraw/zinc/26/92/58/328269258.db2.gz LVMUONKINOHAQT-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO COC[C@]1(C)CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000294882151 328283685 /nfs/dbraw/zinc/28/36/85/328283685.db2.gz FHXZKYRWNMUVDC-MRXNPFEDSA-N 1 2 290.794 3.751 20 0 CHADLO CC(C)C[C@@H](C)CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000340527120 328288783 /nfs/dbraw/zinc/28/87/83/328288783.db2.gz CZQXDYIMZQLDHM-CQSZACIVSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1cccc2c1CC[C@H]2Nc1cc[nH+]c(C2CC2)n1 ZINC000110542475 328306510 /nfs/dbraw/zinc/30/65/10/328306510.db2.gz VOEWMUZJRHFMAU-OAHLLOKOSA-N 1 2 265.360 3.762 20 0 CHADLO Cc1cc2[nH]c(-c3ccc4c(c3)CCO4)[nH+]c2cc1C ZINC000048413470 328308596 /nfs/dbraw/zinc/30/85/96/328308596.db2.gz QURLYSUVVHQMMR-UHFFFAOYSA-N 1 2 264.328 3.782 20 0 CHADLO CC(C)c1nc(C[N@H+](C)CCC2CCCCC2)no1 ZINC000101565600 328356838 /nfs/dbraw/zinc/35/68/38/328356838.db2.gz AAZHNIZAWNSMLZ-UHFFFAOYSA-N 1 2 265.401 3.595 20 0 CHADLO CC(C)c1nc(C[N@@H+](C)CCC2CCCCC2)no1 ZINC000101565600 328356839 /nfs/dbraw/zinc/35/68/39/328356839.db2.gz AAZHNIZAWNSMLZ-UHFFFAOYSA-N 1 2 265.401 3.595 20 0 CHADLO Cn1cc[nH+]c1CNc1ccc2oc3ccccc3c2c1 ZINC000049752887 328377712 /nfs/dbraw/zinc/37/77/12/328377712.db2.gz HXMUPWLFEOBUJZ-UHFFFAOYSA-N 1 2 277.327 3.932 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2cccc(Cl)c2)c(C)c[nH+]1 ZINC000535036553 328379213 /nfs/dbraw/zinc/37/92/13/328379213.db2.gz UNWXUHMFAIJVLW-LBPRGKRZSA-N 1 2 288.778 3.516 20 0 CHADLO CC[C@@H](C)[C@@H]1CCCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535059211 328381927 /nfs/dbraw/zinc/38/19/27/328381927.db2.gz CAMZTQVTLXVMNV-WBMJQRKESA-N 1 2 289.423 3.553 20 0 CHADLO Cc1cccn2cc(CSc3ccccc3O)[nH+]c12 ZINC000050046454 328383204 /nfs/dbraw/zinc/38/32/04/328383204.db2.gz OUDJUNFYYGCRTL-UHFFFAOYSA-N 1 2 270.357 3.641 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)s1 ZINC000535083826 328386012 /nfs/dbraw/zinc/38/60/12/328386012.db2.gz XSEVKGFIYWBAIM-CQSZACIVSA-N 1 2 275.421 3.761 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)s1 ZINC000535083826 328386013 /nfs/dbraw/zinc/38/60/13/328386013.db2.gz XSEVKGFIYWBAIM-CQSZACIVSA-N 1 2 275.421 3.761 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cccc3cc[nH]c32)cs1 ZINC000535085196 328386175 /nfs/dbraw/zinc/38/61/75/328386175.db2.gz ANUJMBGRUHQAJP-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cccc3cc[nH]c32)cs1 ZINC000535085196 328386176 /nfs/dbraw/zinc/38/61/76/328386176.db2.gz ANUJMBGRUHQAJP-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO CC[C@@H]1CCCCCN1C(=O)Nc1ccc(C)[nH+]c1C ZINC000152285189 328438330 /nfs/dbraw/zinc/43/83/30/328438330.db2.gz QHHXGDSSJOKDQE-CQSZACIVSA-N 1 2 275.396 3.885 20 0 CHADLO Cn1c(N2CCC=C(c3ccco3)C2)[nH+]c2cccc(F)c21 ZINC000343230160 328438977 /nfs/dbraw/zinc/43/89/77/328438977.db2.gz UHBVGKVRKGFLLP-UHFFFAOYSA-N 1 2 297.333 3.599 20 0 CHADLO CCCC[C@@H](CC)CC(=O)Nc1cc[nH+]cc1CC ZINC000532057708 328440263 /nfs/dbraw/zinc/44/02/63/328440263.db2.gz WIIQSHOXFZPCFD-CYBMUJFWSA-N 1 2 262.397 3.611 20 0 CHADLO CC[C@H]1CCC[C@H]1c1nc(CCc2[nH+]cccc2C)no1 ZINC000563862687 328528909 /nfs/dbraw/zinc/52/89/09/328528909.db2.gz UZYHBIJOSPPAPY-UONOGXRCSA-N 1 2 285.391 3.852 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CCN1c1cc(C(F)(F)F)cc[nH+]1 ZINC000530537238 326854189 /nfs/dbraw/zinc/85/41/89/326854189.db2.gz KPYNGKRDTHACDS-APPZFPTMSA-N 1 2 298.230 3.878 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1cccc(F)c1F ZINC000349670608 328602504 /nfs/dbraw/zinc/60/25/04/328602504.db2.gz RHYLBTGDBSVJMY-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@H](C)c2ccc3ccccc3n2)n1 ZINC000564826373 326992376 /nfs/dbraw/zinc/99/23/76/326992376.db2.gz PIENUUXEQOPMAJ-CQSZACIVSA-N 1 2 294.402 3.863 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccc(C3CC3)cc2)o1 ZINC000557287360 327000430 /nfs/dbraw/zinc/00/04/30/327000430.db2.gz MDRMYYRROIVCOC-LBPRGKRZSA-N 1 2 285.391 3.921 20 0 CHADLO Cc1ccc(CCNc2ncc(Cl)cc2Cl)c[nH+]1 ZINC000557573928 327014038 /nfs/dbraw/zinc/01/40/38/327014038.db2.gz BXZGXZCDQINFRX-UHFFFAOYSA-N 1 2 282.174 3.746 20 0 CHADLO Clc1cccc2c(N[C@@H]3C[C@H]4OCCC[C@@H]34)cc[nH+]c12 ZINC000557659227 327019374 /nfs/dbraw/zinc/01/93/74/327019374.db2.gz FZQNROYXFXORIO-COLVAYQJSA-N 1 2 288.778 3.868 20 0 CHADLO CCc1nc(N2C[C@@H](C)C[C@@H]2c2ccccc2)cc(C)[nH+]1 ZINC000557763174 327025509 /nfs/dbraw/zinc/02/55/09/327025509.db2.gz YNSCGBLMLCHGDV-XJKSGUPXSA-N 1 2 281.403 3.935 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)c1ccc(C(C)(C)C)cc1)C1CC1 ZINC000558124166 327074390 /nfs/dbraw/zinc/07/43/90/327074390.db2.gz GLHGHDBNMGAGGT-BLLLJJGKSA-N 1 2 289.419 3.586 20 0 CHADLO Fc1ccc(OCC[N@@H+]2Cc3cccc(Cl)c3C2)cc1 ZINC000558145547 327076034 /nfs/dbraw/zinc/07/60/34/327076034.db2.gz NZWQINZZKYPTKE-UHFFFAOYSA-N 1 2 291.753 3.874 20 0 CHADLO Fc1ccc(OCC[N@H+]2Cc3cccc(Cl)c3C2)cc1 ZINC000558145547 327076035 /nfs/dbraw/zinc/07/60/35/327076035.db2.gz NZWQINZZKYPTKE-UHFFFAOYSA-N 1 2 291.753 3.874 20 0 CHADLO C[C@@H]1C[C@H]1CC(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000558474288 327097017 /nfs/dbraw/zinc/09/70/17/327097017.db2.gz FGWOMHJYCAHZRR-OLZOCXBDSA-N 1 2 297.402 3.747 20 0 CHADLO C/C=C\c1ccc(NC(=O)NCCCCn2cc[nH+]c2)cc1 ZINC000558516590 327100760 /nfs/dbraw/zinc/10/07/60/327100760.db2.gz HQGDYHFQBWGNFD-DJWKRKHSSA-N 1 2 298.390 3.518 20 0 CHADLO CCc1cnc(C[NH2+][C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)o1 ZINC000558627759 327111046 /nfs/dbraw/zinc/11/10/46/327111046.db2.gz VVZUGPWMAKAENN-ZBEGNZNMSA-N 1 2 290.794 3.915 20 0 CHADLO O=C(NC1CCCC1)c1ccccc1Oc1cc[nH+]cc1 ZINC000558670521 327113172 /nfs/dbraw/zinc/11/31/72/327113172.db2.gz AQPQGANYRWYSBW-UHFFFAOYSA-N 1 2 282.343 3.546 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000558725767 327117648 /nfs/dbraw/zinc/11/76/48/327117648.db2.gz QDGIAMGZOTWSOT-MRXNPFEDSA-N 1 2 288.778 3.691 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000558725767 327117650 /nfs/dbraw/zinc/11/76/50/327117650.db2.gz QDGIAMGZOTWSOT-MRXNPFEDSA-N 1 2 288.778 3.691 20 0 CHADLO C[C@H]1CCC[C@@H](CCSc2[nH+]cnc3c2cnn3C)C1 ZINC000558766722 327119643 /nfs/dbraw/zinc/11/96/43/327119643.db2.gz DPABLRHLDIUTFD-RYUDHWBXSA-N 1 2 290.436 3.672 20 0 CHADLO C[C@@H](CSc1[nH+]cnc2c1cnn2C)C1CCCCC1 ZINC000558828568 327123123 /nfs/dbraw/zinc/12/31/23/327123123.db2.gz QCYXYWDKFQHUBG-NSHDSACASA-N 1 2 290.436 3.672 20 0 CHADLO CC(C)(C)[C@H]1C[N@H+](Cc2sccc2Cl)CCO1 ZINC000558830006 327123878 /nfs/dbraw/zinc/12/38/78/327123878.db2.gz RHTZWVRYPXXBKZ-GFCCVEGCSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)(C)[C@H]1C[N@@H+](Cc2sccc2Cl)CCO1 ZINC000558830006 327123880 /nfs/dbraw/zinc/12/38/80/327123880.db2.gz RHTZWVRYPXXBKZ-GFCCVEGCSA-N 1 2 273.829 3.648 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@H](C(C)(C)C)C[C@@H]2C)no1 ZINC000553988037 327130472 /nfs/dbraw/zinc/13/04/72/327130472.db2.gz WDPLTOSFLIXLRB-STQMWFEESA-N 1 2 279.428 3.669 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@H](C(C)(C)C)C[C@@H]2C)no1 ZINC000553988037 327130473 /nfs/dbraw/zinc/13/04/73/327130473.db2.gz WDPLTOSFLIXLRB-STQMWFEESA-N 1 2 279.428 3.669 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)c(F)c2)[C@H]1[C@@H]1CCCO1 ZINC000569412254 327174268 /nfs/dbraw/zinc/17/42/68/327174268.db2.gz RPQPYEUZXGDOHV-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)c(F)c2)[C@H]1[C@@H]1CCCO1 ZINC000569412254 327174269 /nfs/dbraw/zinc/17/42/69/327174269.db2.gz RPQPYEUZXGDOHV-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO C[C@@H]([N@H+](C)Cc1noc(C2CCCCC2)n1)C(C)(C)C ZINC000569552914 327197389 /nfs/dbraw/zinc/19/73/89/327197389.db2.gz IMMFIEHSRUWVGY-GFCCVEGCSA-N 1 2 279.428 3.984 20 0 CHADLO C[C@@H]([N@@H+](C)Cc1noc(C2CCCCC2)n1)C(C)(C)C ZINC000569552914 327197390 /nfs/dbraw/zinc/19/73/90/327197390.db2.gz IMMFIEHSRUWVGY-GFCCVEGCSA-N 1 2 279.428 3.984 20 0 CHADLO COc1cc(N[C@@H](C)c2[nH+]ccn2C)ccc1C(F)(F)F ZINC000448024575 327208346 /nfs/dbraw/zinc/20/83/46/327208346.db2.gz ZCOHJPNMCSJPLE-VIFPVBQESA-N 1 2 299.296 3.621 20 0 CHADLO CCOc1cc(-c2[nH]c3ccc(C)c(C)c3[nH+]2)ccc1O ZINC000536389743 327234926 /nfs/dbraw/zinc/23/49/26/327234926.db2.gz DMCWPJTWWMMCPT-UHFFFAOYSA-N 1 2 282.343 3.951 20 0 CHADLO CCCOc1ccc(C[NH2+][C@@H](CC)C(F)F)cc1 ZINC000556162272 327250574 /nfs/dbraw/zinc/25/05/74/327250574.db2.gz YBAWEFZKQRLPRT-ZDUSSCGKSA-N 1 2 257.324 3.609 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CC(C)(C)CC(C)(C)C3)ccn12 ZINC000573860730 327265274 /nfs/dbraw/zinc/26/52/74/327265274.db2.gz ABNOCKQSTIYMNW-UHFFFAOYSA-N 1 2 299.418 3.541 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2ccccc21)c1nc(C(C)(C)C)no1 ZINC000559900273 327270080 /nfs/dbraw/zinc/27/00/80/327270080.db2.gz KIOHYLRVSKMXQX-FZMZJTMJSA-N 1 2 285.391 3.705 20 0 CHADLO CC[C@@](C)([NH2+]C[C@H]1CCC(F)(F)C1)C(F)(F)F ZINC000560217496 327290853 /nfs/dbraw/zinc/29/08/53/327290853.db2.gz VLMWMWLNQDCWSD-DTWKUNHWSA-N 1 2 259.262 3.742 20 0 CHADLO CC(C)[C@@H]1CC[C@H]1[NH2+]c1ccc(-n2cccn2)cc1 ZINC000560545490 327322495 /nfs/dbraw/zinc/32/24/95/327322495.db2.gz NPAAFRKSEMLUGR-JKSUJKDBSA-N 1 2 255.365 3.719 20 0 CHADLO Clc1cccc2c(N[C@H]3CCSC3)cc[nH+]c12 ZINC000561202363 327375001 /nfs/dbraw/zinc/37/50/01/327375001.db2.gz YRXWTLJLGYAWEN-VIFPVBQESA-N 1 2 264.781 3.806 20 0 CHADLO CCc1nc(N2CCc3cccc(F)c3[C@@H]2C)cc(C)[nH+]1 ZINC000561266295 327381582 /nfs/dbraw/zinc/38/15/82/327381582.db2.gz NOSGPKXEGMLECU-LBPRGKRZSA-N 1 2 285.366 3.610 20 0 CHADLO CC[C@H]([NH2+]CC(C)(F)F)c1ccccc1OC(F)F ZINC000561278360 327381926 /nfs/dbraw/zinc/38/19/26/327381926.db2.gz YOFDZOLZBYHQGO-JTQLQIEISA-N 1 2 279.277 3.984 20 0 CHADLO OC[C@H](CC1CCC1)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000561323552 327385853 /nfs/dbraw/zinc/38/58/53/327385853.db2.gz ZVICXHHLDANRKJ-LBPRGKRZSA-N 1 2 290.794 3.851 20 0 CHADLO Cc1cc(C[NH2+]Cc2noc3c2CCCC3)ccc1Cl ZINC000561382711 327390479 /nfs/dbraw/zinc/39/04/79/327390479.db2.gz AMHOUJVGVHCSKQ-UHFFFAOYSA-N 1 2 290.794 3.805 20 0 CHADLO CC(C)c1ncc(C[NH2+][C@H](C)c2cscn2)s1 ZINC000566499931 327401027 /nfs/dbraw/zinc/40/10/27/327401027.db2.gz BPLNJCFEONYVCC-SECBINFHSA-N 1 2 267.423 3.574 20 0 CHADLO CCCOc1c(C[NH2+]CC(C)(F)F)cccc1OCC ZINC000564527552 327448664 /nfs/dbraw/zinc/44/86/64/327448664.db2.gz QZAIDEPUJVEMGA-UHFFFAOYSA-N 1 2 287.350 3.619 20 0 CHADLO CCc1cc(C[NH2+][C@@H](C)c2ccccc2Cl)on1 ZINC000562319060 327468972 /nfs/dbraw/zinc/46/89/72/327468972.db2.gz HCHFBUHFHXUSLJ-JTQLQIEISA-N 1 2 264.756 3.741 20 0 CHADLO CCCCS(=O)(=O)Nc1ccc([NH2+]CC(C)(C)C)cc1 ZINC000151688492 327490196 /nfs/dbraw/zinc/49/01/96/327490196.db2.gz AHUKIGLELWNBTB-UHFFFAOYSA-N 1 2 298.452 3.686 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1ccc(Cl)o1 ZINC000525695325 327507289 /nfs/dbraw/zinc/50/72/89/327507289.db2.gz RAHHDPDUCUFIAW-SECBINFHSA-N 1 2 283.730 3.932 20 0 CHADLO CCc1ccccc1NC(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000005627958 327536908 /nfs/dbraw/zinc/53/69/08/327536908.db2.gz HHPRJWYVJMUURC-UHFFFAOYSA-N 1 2 293.370 3.546 20 0 CHADLO CCn1cc[nH+]c1CNc1ccc(C)c(OC(C)C)c1 ZINC000570342455 327584044 /nfs/dbraw/zinc/58/40/44/327584044.db2.gz XLRKZCZXGRZTNS-UHFFFAOYSA-N 1 2 273.380 3.611 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC23CCC(CC2)C3)c(C)[nH+]1 ZINC000570373260 327586348 /nfs/dbraw/zinc/58/63/48/327586348.db2.gz CEUSNDKAGWHBDI-UHFFFAOYSA-N 1 2 287.407 3.709 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1N(C)C(=O)Nc1cc(C)[nH+]cc1C ZINC000535775020 328630147 /nfs/dbraw/zinc/63/01/47/328630147.db2.gz RHHDEXFPVVMAOJ-ZBFHGGJFSA-N 1 2 289.423 3.553 20 0 CHADLO CC[C@H](C)Oc1ccc(C(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000536574950 328666795 /nfs/dbraw/zinc/66/67/95/328666795.db2.gz RZTXTPIMZNDOGX-AWEZNQCLSA-N 1 2 298.386 3.550 20 0 CHADLO Cc1cccc(OCCOc2ccccc2-n2cc[nH+]c2)c1 ZINC000532106937 328700195 /nfs/dbraw/zinc/70/01/95/328700195.db2.gz RODHMHWRZWFYOJ-UHFFFAOYSA-N 1 2 294.354 3.639 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCCC(F)(F)C1 ZINC000532276309 328714054 /nfs/dbraw/zinc/71/40/54/328714054.db2.gz WOFLVMFZHAGPAF-ZDUSSCGKSA-N 1 2 276.330 3.955 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC(OC(C)C)CC1 ZINC000532275962 328714149 /nfs/dbraw/zinc/71/41/49/328714149.db2.gz PCYDENGJPYXPDG-UHFFFAOYSA-N 1 2 284.403 3.937 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N(C)Cc1cccc(O)c1 ZINC000527040195 328822224 /nfs/dbraw/zinc/82/22/24/328822224.db2.gz NLOBWTQJOBMNCH-UHFFFAOYSA-N 1 2 278.355 3.885 20 0 CHADLO Cc1nc(Cl)cc(Nc2ccc([NH+](C)C)cc2C)n1 ZINC000301175237 328827288 /nfs/dbraw/zinc/82/72/88/328827288.db2.gz YWIMRIBCMDFFPP-UHFFFAOYSA-N 1 2 276.771 3.556 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C(C)(C)C)s1)C(C)(F)F ZINC000389480031 328851216 /nfs/dbraw/zinc/85/12/16/328851216.db2.gz DYVRSSGAHXGSEI-QMMMGPOBSA-N 1 2 262.369 3.574 20 0 CHADLO Cc1nc2[nH]ccc2c(NC2CC(c3ccccc3)C2)[nH+]1 ZINC000359950462 328900644 /nfs/dbraw/zinc/90/06/44/328900644.db2.gz SBYOCBHGMMTYAF-UHFFFAOYSA-N 1 2 278.359 3.624 20 0 CHADLO CCc1nocc1CN(C)c1[nH+]ccc2cc(F)ccc21 ZINC000582297735 328953104 /nfs/dbraw/zinc/95/31/04/328953104.db2.gz ASUGVXAXYJKRLU-UHFFFAOYSA-N 1 2 285.322 3.561 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H](C)c1ccccc1Cl ZINC000121342920 328973163 /nfs/dbraw/zinc/97/31/63/328973163.db2.gz AHQSYPSIHDQNQJ-LLVKDONJSA-N 1 2 289.766 3.926 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(C)cc2Cl)s1 ZINC000391928094 328982144 /nfs/dbraw/zinc/98/21/44/328982144.db2.gz KRTJJYUHMPRFRQ-VIFPVBQESA-N 1 2 281.812 3.659 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2noc(C3CC3)n2)c1C ZINC000122306741 329000945 /nfs/dbraw/zinc/00/09/45/329000945.db2.gz QDGREUCDKLWIHY-MRXNPFEDSA-N 1 2 297.402 3.901 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2noc(C3CC3)n2)c1C ZINC000122306741 329000947 /nfs/dbraw/zinc/00/09/47/329000947.db2.gz QDGREUCDKLWIHY-MRXNPFEDSA-N 1 2 297.402 3.901 20 0 CHADLO CCCC[C@H](C)[N@H+](C)Cc1noc(Cc2ccccc2)n1 ZINC000582449723 329007944 /nfs/dbraw/zinc/00/79/44/329007944.db2.gz NMTCUPGWDRDWOO-AWEZNQCLSA-N 1 2 287.407 3.671 20 0 CHADLO CCCC[C@H](C)[N@@H+](C)Cc1noc(Cc2ccccc2)n1 ZINC000582449723 329007946 /nfs/dbraw/zinc/00/79/46/329007946.db2.gz NMTCUPGWDRDWOO-AWEZNQCLSA-N 1 2 287.407 3.671 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+]Cc1ccc3ccccc3c1)CCC2 ZINC000377390378 329013800 /nfs/dbraw/zinc/01/38/00/329013800.db2.gz HBKCFMJJULNERB-QGZVFWFLSA-N 1 2 277.371 3.730 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nccn2-c2ccccc2)o1 ZINC000377410706 329014715 /nfs/dbraw/zinc/01/47/15/329014715.db2.gz XMPJUZULBHFMBC-AWEZNQCLSA-N 1 2 281.359 3.625 20 0 CHADLO Fc1ccccc1-c1csc(C[NH2+]Cc2ccccn2)n1 ZINC000378125453 329040747 /nfs/dbraw/zinc/04/07/47/329040747.db2.gz DQRCHERWGYODTK-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO CC(C)=CC(=O)Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000378280782 329044973 /nfs/dbraw/zinc/04/49/73/329044973.db2.gz OMTQSJQOCRCRHL-UHFFFAOYSA-N 1 2 293.370 3.507 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2ccc(C)cc2C)no1 ZINC000378348604 329047209 /nfs/dbraw/zinc/04/72/09/329047209.db2.gz GEZFDCHLQSEZBC-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2ccc(C)cc2C)no1 ZINC000378348604 329047210 /nfs/dbraw/zinc/04/72/10/329047210.db2.gz GEZFDCHLQSEZBC-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO CCCCC[C@@H](C)CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000378513549 329053589 /nfs/dbraw/zinc/05/35/89/329053589.db2.gz BPENEXXBXVGJGA-KGLIPLIRSA-N 1 2 277.412 3.680 20 0 CHADLO Fc1cc(NCc2cccc3[nH+]ccn32)ccc1Cl ZINC000193641727 329060100 /nfs/dbraw/zinc/06/01/00/329060100.db2.gz UWQVPRSGHMWBKX-UHFFFAOYSA-N 1 2 275.714 3.739 20 0 CHADLO Clc1ccc2c(c1Cl)OC[C@@H]2[NH2+]Cc1ccco1 ZINC000360024301 329070186 /nfs/dbraw/zinc/07/01/86/329070186.db2.gz VIEOYQYGRONHGG-NSHDSACASA-N 1 2 284.142 3.810 20 0 CHADLO COc1ccc2cc(C[N@H+](C)Cc3cc(C)on3)ccc2c1 ZINC000171118723 329074722 /nfs/dbraw/zinc/07/47/22/329074722.db2.gz PQNCKBCNIBZCER-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1ccc2cc(C[N@@H+](C)Cc3cc(C)on3)ccc2c1 ZINC000171118723 329074723 /nfs/dbraw/zinc/07/47/23/329074723.db2.gz PQNCKBCNIBZCER-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ccccc2)c2cccnc2)o1 ZINC000171440438 329075841 /nfs/dbraw/zinc/07/58/41/329075841.db2.gz XFNZBEUSSSKHJM-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1cccn2cc(CNc3ccc(F)c(F)c3)[nH+]c12 ZINC000176350143 329174761 /nfs/dbraw/zinc/17/47/61/329174761.db2.gz RWHYHYIPAFKVBC-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CCCc3ccccc32)c(C)[nH+]1 ZINC000176725789 329176538 /nfs/dbraw/zinc/17/65/38/329176538.db2.gz NFGVEKRHSUMADN-QGZVFWFLSA-N 1 2 295.386 3.898 20 0 CHADLO CC(C)CC[C@@H]1CCCCN1C(=O)c1ccc2[nH+]ccn2c1 ZINC000177496301 329185028 /nfs/dbraw/zinc/18/50/28/329185028.db2.gz NMICLBBEDDTSCF-INIZCTEOSA-N 1 2 299.418 3.765 20 0 CHADLO Cn1c[nH+]cc1CN1Cc2ccccc2-c2ccccc2C1 ZINC000177274744 329185432 /nfs/dbraw/zinc/18/54/32/329185432.db2.gz PWMXCOUOMZFBGY-UHFFFAOYSA-N 1 2 289.382 3.603 20 0 CHADLO c1cn(-c2ccc(CSCCOCC3CC3)cc2)c[nH+]1 ZINC000177857247 329193087 /nfs/dbraw/zinc/19/30/87/329193087.db2.gz RZKSBOFBBBRLGM-UHFFFAOYSA-N 1 2 288.416 3.532 20 0 CHADLO Cc1cc(NCc2ccoc2)c2cccc(F)c2[nH+]1 ZINC000178185007 329194256 /nfs/dbraw/zinc/19/42/56/329194256.db2.gz SWPPSSGAWWMMQP-UHFFFAOYSA-N 1 2 256.280 3.887 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(-c2ccccc2)s1)c1ccoc1 ZINC000181312645 329231117 /nfs/dbraw/zinc/23/11/17/329231117.db2.gz KUQGNNYPFIBKCG-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO Cc1cccc(-c2noc(C[NH2+][C@H](C)c3ccccc3)n2)c1 ZINC000181375776 329232226 /nfs/dbraw/zinc/23/22/26/329232226.db2.gz KBIQOORZMTVNLG-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2oc3ccccc3c2C)o1 ZINC000183531079 329248379 /nfs/dbraw/zinc/24/83/79/329248379.db2.gz STTCTIAQHBCMBF-LLVKDONJSA-N 1 2 285.347 3.537 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1c(F)cccc1F ZINC000225317260 329250713 /nfs/dbraw/zinc/25/07/13/329250713.db2.gz MTZDWWPIXZMHGP-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO CC(=O)c1ccc(NCc2ccc(C)[nH+]c2C)cc1C ZINC000184498232 329260728 /nfs/dbraw/zinc/26/07/28/329260728.db2.gz PYJNPEZQLWKSPV-UHFFFAOYSA-N 1 2 268.360 3.822 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2csc(C(C)C)n2)cn1 ZINC000184725915 329262647 /nfs/dbraw/zinc/26/26/47/329262647.db2.gz XJHXRNVPQXIVTI-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO Cc1ccc(CSCc2ccc(CO)cc2)c(C)[nH+]1 ZINC000184834962 329263262 /nfs/dbraw/zinc/26/32/62/329263262.db2.gz ITNGCVZMJDRCBD-UHFFFAOYSA-N 1 2 273.401 3.624 20 0 CHADLO CC(C)C[C@@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000185257226 329269608 /nfs/dbraw/zinc/26/96/08/329269608.db2.gz DMZRPJBABLYOIS-GFCCVEGCSA-N 1 2 289.354 3.632 20 0 CHADLO Cc1ccc(Cn2cc(-c3ccccc3)cn2)c(C)[nH+]1 ZINC000185345856 329270969 /nfs/dbraw/zinc/27/09/69/329270969.db2.gz MHCVMCIEXBDTFF-UHFFFAOYSA-N 1 2 263.344 3.610 20 0 CHADLO CC(=O)c1ccc(OCc2ccc(C)[nH+]c2C)c(F)c1 ZINC000185367315 329271268 /nfs/dbraw/zinc/27/12/68/329271268.db2.gz JCMURWYYYWJHPZ-UHFFFAOYSA-N 1 2 273.307 3.619 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](c3c(F)cccc3F)C2)cs1 ZINC000185303853 329272815 /nfs/dbraw/zinc/27/28/15/329272815.db2.gz YOAZGHVSBYLGFJ-LLVKDONJSA-N 1 2 294.370 3.719 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](c3c(F)cccc3F)C2)cs1 ZINC000185303853 329272816 /nfs/dbraw/zinc/27/28/16/329272816.db2.gz YOAZGHVSBYLGFJ-LLVKDONJSA-N 1 2 294.370 3.719 20 0 CHADLO Cc1ccccc1-c1cccc(CNc2c[nH]c[nH+]2)c1 ZINC000582838665 329276182 /nfs/dbraw/zinc/27/61/82/329276182.db2.gz SWYLUHLFKNKDSX-UHFFFAOYSA-N 1 2 263.344 3.997 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(F)c(Cl)c2)o1 ZINC000582882931 329284849 /nfs/dbraw/zinc/28/48/49/329284849.db2.gz ZRBRHQPOVAVZPK-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(F)c(Cl)c2)o1 ZINC000582882931 329284851 /nfs/dbraw/zinc/28/48/51/329284851.db2.gz ZRBRHQPOVAVZPK-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO COc1cc[nH+]cc1COc1ccc2c(c1)CCCC2 ZINC000584372736 329299850 /nfs/dbraw/zinc/29/98/50/329299850.db2.gz JBZBMLQURFMGQI-UHFFFAOYSA-N 1 2 269.344 3.548 20 0 CHADLO Oc1ccc(CCC2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000583000156 329300367 /nfs/dbraw/zinc/30/03/67/329300367.db2.gz DUCQKUAMTAAHLX-UHFFFAOYSA-N 1 2 282.387 3.636 20 0 CHADLO CCc1cnc(NCc2c[nH+]c(C)n2-c2ccccc2)s1 ZINC000185968897 329337645 /nfs/dbraw/zinc/33/76/45/329337645.db2.gz VBQCMMKPSRILIC-UHFFFAOYSA-N 1 2 298.415 3.812 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2ccc(Cl)cc2)c(C)[nH+]1 ZINC000187058268 329351981 /nfs/dbraw/zinc/35/19/81/329351981.db2.gz GXXFMFWRHJLGDM-LJQANCHMSA-N 1 2 293.819 3.801 20 0 CHADLO Cc1cc(C[S@@](=O)Cc2ccc(C)[nH+]c2C)c(C)s1 ZINC000187148290 329353568 /nfs/dbraw/zinc/35/35/68/329353568.db2.gz GBZBIAGWNCBWLR-IBGZPJMESA-N 1 2 293.457 3.826 20 0 CHADLO Cc1nc([C@H]([NH2+]CCC(C)(C)C)c2ccccc2F)no1 ZINC000187968041 329361802 /nfs/dbraw/zinc/36/18/02/329361802.db2.gz XQAYVPSXCBQBPE-CQSZACIVSA-N 1 2 291.370 3.632 20 0 CHADLO CC(=O)Nc1ccc(C)c(NCc2ccc(C)[nH+]c2C)c1 ZINC000187717137 329362609 /nfs/dbraw/zinc/36/26/09/329362609.db2.gz CILCGHHKVDFIMW-UHFFFAOYSA-N 1 2 283.375 3.577 20 0 CHADLO c1cc(C[NH2+][C@@H]2CCc3ccc(-c4ccccc4)cc32)[nH]n1 ZINC000189578460 329383524 /nfs/dbraw/zinc/38/35/24/329383524.db2.gz KHWSUGWGMWYADK-LJQANCHMSA-N 1 2 289.382 3.854 20 0 CHADLO Cc1c[nH+]cc(NCc2cnc(C(C)(C)C)s2)c1 ZINC000119602236 329548370 /nfs/dbraw/zinc/54/83/70/329548370.db2.gz REXCGMFDJPORRI-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO Cc1cc(NCCc2nccs2)c2cccc(F)c2[nH+]1 ZINC000123547998 329560231 /nfs/dbraw/zinc/56/02/31/329560231.db2.gz HVXVSPRNQMFREN-UHFFFAOYSA-N 1 2 287.363 3.793 20 0 CHADLO C[C@@H](N[C@H](c1[nH+]ccn1C)c1cccc(F)c1)c1ccco1 ZINC000128509486 329608883 /nfs/dbraw/zinc/60/88/83/329608883.db2.gz HKEQTZJAADKBFY-WBMJQRKESA-N 1 2 299.349 3.592 20 0 CHADLO CCOCCC1(CNc2cc[nH+]c(C(C)C)n2)CCC1 ZINC000134037184 329656671 /nfs/dbraw/zinc/65/66/71/329656671.db2.gz FKGBECNFGSVGND-UHFFFAOYSA-N 1 2 277.412 3.609 20 0 CHADLO Nc1cc(CSc2cccc(Cl)c2)cc[nH+]1 ZINC000054186535 329669207 /nfs/dbraw/zinc/66/92/07/329669207.db2.gz QLKLRBIZTQXGLB-UHFFFAOYSA-N 1 2 250.754 3.610 20 0 CHADLO CC1(C)CCCC[C@H]1C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000193956273 329684272 /nfs/dbraw/zinc/68/42/72/329684272.db2.gz FKIQEPFMIITXCP-UONOGXRCSA-N 1 2 289.423 3.680 20 0 CHADLO CCc1ccc(N[C@@H](c2[nH]cc[nH+]2)c2cccnc2)cc1 ZINC000195090050 329690473 /nfs/dbraw/zinc/69/04/73/329690473.db2.gz VDPLBNBCOANQBZ-MRXNPFEDSA-N 1 2 278.359 3.569 20 0 CHADLO F[C@]1(c2cccc(Cl)c2)CCN(c2cccc[nH+]2)C1 ZINC000509526750 332872644 /nfs/dbraw/zinc/87/26/44/332872644.db2.gz LUDWKBABPCFXTI-OAHLLOKOSA-N 1 2 276.742 3.810 20 0 CHADLO CC[C@H](CSCc1ccc(-n2cc[nH+]c2)cc1C)OC ZINC000419467598 329726635 /nfs/dbraw/zinc/72/66/35/329726635.db2.gz SYCLAMAAYSNGEM-MRXNPFEDSA-N 1 2 290.432 3.839 20 0 CHADLO COC[C@H](C)SCc1ccc(C(C)C)[nH+]c1C ZINC000419440951 329729314 /nfs/dbraw/zinc/72/93/14/329729314.db2.gz QGHLQUXLTRFTSA-NSHDSACASA-N 1 2 253.411 3.782 20 0 CHADLO COc1cc(C)[nH+]c(CSCCC2(OC)CCC2)c1 ZINC000419457274 329731231 /nfs/dbraw/zinc/73/12/31/329731231.db2.gz VVCLLNHFWJAIPN-UHFFFAOYSA-N 1 2 281.421 3.591 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@@H](C)c1nncn1C ZINC000419475622 329735442 /nfs/dbraw/zinc/73/54/42/329735442.db2.gz NDRIYNFULCAULC-LBPRGKRZSA-N 1 2 290.436 3.636 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSC[C@H]1CCOC1 ZINC000419488208 329736849 /nfs/dbraw/zinc/73/68/49/329736849.db2.gz CAECIIOMDNMBOH-ZDUSSCGKSA-N 1 2 265.422 3.783 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C(C)(C)c2ccccc2)n1 ZINC000420510913 329772922 /nfs/dbraw/zinc/77/29/22/329772922.db2.gz LUMJWLHEQLZZLO-UHFFFAOYSA-N 1 2 293.370 3.690 20 0 CHADLO CC[C@@H]1C[C@H](Nc2[nH+]ccc(C)c2Br)CCO1 ZINC000420624163 329779774 /nfs/dbraw/zinc/77/97/74/329779774.db2.gz ACWVKUSQDOSEDK-GHMZBOCLSA-N 1 2 299.212 3.522 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC[C@H](CC(F)(F)F)C1 ZINC000420631057 329780150 /nfs/dbraw/zinc/78/01/50/329780150.db2.gz QECINNQGWKDWMI-LLVKDONJSA-N 1 2 297.324 3.742 20 0 CHADLO CC[C@H]1C[NH+](Cc2csc(C(C)C)n2)C[C@H](CC)O1 ZINC000420956168 329791336 /nfs/dbraw/zinc/79/13/36/329791336.db2.gz MQVGFTCHZFQFNR-KBPBESRZSA-N 1 2 282.453 3.656 20 0 CHADLO CC[C@H]([NH2+]Cc1nn(C)c(C)c1Cl)c1ccccc1 ZINC000421329072 329812919 /nfs/dbraw/zinc/81/29/19/329812919.db2.gz UNTIHJRLHCCCGK-ZDUSSCGKSA-N 1 2 277.799 3.623 20 0 CHADLO COc1cc[nH+]cc1NCc1cccc(C2CC2)c1 ZINC000421345072 329814663 /nfs/dbraw/zinc/81/46/63/329814663.db2.gz WDKLQQBUTATPHM-UHFFFAOYSA-N 1 2 254.333 3.580 20 0 CHADLO Cn1c2ccc(NC(=O)[C@]34C[C@H]3CCC4)cc2[nH+]c1C1CC1 ZINC000421495656 329835632 /nfs/dbraw/zinc/83/56/32/329835632.db2.gz KEIMGUSFGMDIER-KZULUSFZSA-N 1 2 295.386 3.579 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2C[C@H]2c2ccccc2)c(C)[nH+]1 ZINC000571735674 329916792 /nfs/dbraw/zinc/91/67/92/329916792.db2.gz MSLVRCJIXPUSEG-HOTGVXAUSA-N 1 2 295.386 3.684 20 0 CHADLO FC(F)C[NH2+]Cc1cc2cc(Br)ccc2o1 ZINC000572136206 329948922 /nfs/dbraw/zinc/94/89/22/329948922.db2.gz WLAHCDKXIGARLN-UHFFFAOYSA-N 1 2 290.107 3.550 20 0 CHADLO CCCn1cc(CNc2[nH+]cccc2-c2ccccc2)cn1 ZINC000162381381 330010374 /nfs/dbraw/zinc/01/03/74/330010374.db2.gz YAGUJPOAHPYPQL-UHFFFAOYSA-N 1 2 292.386 3.967 20 0 CHADLO Cc1cc(OCc2ccccn2)c2ccccc2[nH+]1 ZINC000079635365 330050613 /nfs/dbraw/zinc/05/06/13/330050613.db2.gz MFWLXGIUQWUTFK-UHFFFAOYSA-N 1 2 250.301 3.517 20 0 CHADLO Cc1cc(N[C@H](CO)C2CCCC2)c2cccc(F)c2[nH+]1 ZINC000572419265 330066527 /nfs/dbraw/zinc/06/65/27/330066527.db2.gz HDZABLUSVDAUDA-MRXNPFEDSA-N 1 2 288.366 3.645 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H](C)c2cccnc2)cs1 ZINC000079914753 330071758 /nfs/dbraw/zinc/07/17/58/330071758.db2.gz XKLJLRGPDOHVFH-NSHDSACASA-N 1 2 261.394 3.512 20 0 CHADLO COc1cc(Cl)ccc1[C@H](C)[NH2+]Cc1cscn1 ZINC000421824646 330083524 /nfs/dbraw/zinc/08/35/24/330083524.db2.gz JREAHJBRACUUTE-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccncc2Cl)s1 ZINC000421829685 330084337 /nfs/dbraw/zinc/08/43/37/330084337.db2.gz ADWFXWUVCICMPE-VIFPVBQESA-N 1 2 296.827 3.561 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+]Cc1nc(C)cs1 ZINC000421821289 330086957 /nfs/dbraw/zinc/08/69/57/330086957.db2.gz QOPQWBZDKCOVSC-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO COc1ccc(F)c(N[C@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421832140 330090980 /nfs/dbraw/zinc/09/09/80/330090980.db2.gz GEKZNKYWLVCFGS-ZDUSSCGKSA-N 1 2 286.350 3.589 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+][C@@H](C)c1ccon1 ZINC000421842987 330094268 /nfs/dbraw/zinc/09/42/68/330094268.db2.gz PIARXATVIYKAJL-ZJUUUORDSA-N 1 2 280.755 3.748 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](CC)c2nccs2)o1 ZINC000080262141 330115683 /nfs/dbraw/zinc/11/56/83/330115683.db2.gz NXKWKDJTMSMWFM-LBPRGKRZSA-N 1 2 250.367 3.539 20 0 CHADLO CCC1(NC(=O)NCc2cc(C)[nH+]c(C)c2)CCCCC1 ZINC000422202890 330134502 /nfs/dbraw/zinc/13/45/02/330134502.db2.gz HXSPLKOQBOMWDF-UHFFFAOYSA-N 1 2 289.423 3.611 20 0 CHADLO Cc1cc(CNC(=O)N(C)C2CCCCCC2)cc(C)[nH+]1 ZINC000422205192 330134676 /nfs/dbraw/zinc/13/46/76/330134676.db2.gz JQZGETZWRGOYHQ-UHFFFAOYSA-N 1 2 289.423 3.563 20 0 CHADLO Cc1ccc(C(=O)NCCC2CC2)cc1Oc1cc[nH+]cc1 ZINC000081007004 330159557 /nfs/dbraw/zinc/15/95/57/330159557.db2.gz JWMDPTJYMPHALO-UHFFFAOYSA-N 1 2 296.370 3.712 20 0 CHADLO Clc1ccc2c(c1)C[C@@H](Nc1ccc3c(c1)CC[NH2+]3)C2 ZINC000423213957 330178340 /nfs/dbraw/zinc/17/83/40/330178340.db2.gz KTWAYCNSYQJYHX-INIZCTEOSA-N 1 2 284.790 3.887 20 0 CHADLO Clc1ccc2c(c1)C[C@@H]([NH2+]c1ccc3c(c1)CCN3)C2 ZINC000423213957 330178342 /nfs/dbraw/zinc/17/83/42/330178342.db2.gz KTWAYCNSYQJYHX-INIZCTEOSA-N 1 2 284.790 3.887 20 0 CHADLO CC(C)O[C@@H]1C[C@H]([NH2+]c2ccc3c(c2)CCN3)C1(C)C ZINC000423215701 330178579 /nfs/dbraw/zinc/17/85/79/330178579.db2.gz NLOOOJIPNZZQSH-JKSUJKDBSA-N 1 2 274.408 3.659 20 0 CHADLO CC(C)O[C@@H]1C[C@H](Nc2ccc3c(c2)CC[NH2+]3)C1(C)C ZINC000423215701 330178580 /nfs/dbraw/zinc/17/85/80/330178580.db2.gz NLOOOJIPNZZQSH-JKSUJKDBSA-N 1 2 274.408 3.659 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc(F)cc1 ZINC000423216317 330178795 /nfs/dbraw/zinc/17/87/95/330178795.db2.gz OJOWYHLOENLVCH-NSHDSACASA-N 1 2 256.324 3.967 20 0 CHADLO C[C@H]([NH2+]Cc1nn(C)cc1Cl)c1cc2ccccc2o1 ZINC000393335897 330228168 /nfs/dbraw/zinc/22/81/68/330228168.db2.gz DOFFVIJDEBOYDQ-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO CC(C)(C)/C=C/C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000116741515 330249837 /nfs/dbraw/zinc/24/98/37/330249837.db2.gz MZNQFEZPHAWVAD-CMDGGOBGSA-N 1 2 269.348 3.618 20 0 CHADLO CC(C)(C)C=CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000116741515 330249839 /nfs/dbraw/zinc/24/98/39/330249839.db2.gz MZNQFEZPHAWVAD-CMDGGOBGSA-N 1 2 269.348 3.618 20 0 CHADLO COc1ccc([C@@H](C)[C@@H](C)Nc2[nH+]cccc2OC)cc1 ZINC000527523935 330257702 /nfs/dbraw/zinc/25/77/02/330257702.db2.gz MGOQJPQTUIJANP-QWHCGFSZSA-N 1 2 286.375 3.703 20 0 CHADLO Fc1cccnc1C[NH2+]Cc1ccc(Oc2ccccc2)o1 ZINC000527530749 330258501 /nfs/dbraw/zinc/25/85/01/330258501.db2.gz JZNBPMAZPGCNDR-UHFFFAOYSA-N 1 2 298.317 3.896 20 0 CHADLO COc1ccccc1C[NH2+]Cc1c(F)cc(C)cc1F ZINC000424126404 330259129 /nfs/dbraw/zinc/25/91/29/330259129.db2.gz BOVSFVPIRHXNEX-UHFFFAOYSA-N 1 2 277.314 3.572 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@H+]([C@H](C)c1ccccc1F)C2 ZINC000527621835 330265877 /nfs/dbraw/zinc/26/58/77/330265877.db2.gz LHGLJLKOOANQRY-CYBMUJFWSA-N 1 2 299.393 3.858 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@@H+]([C@H](C)c1ccccc1F)C2 ZINC000527621835 330265879 /nfs/dbraw/zinc/26/58/79/330265879.db2.gz LHGLJLKOOANQRY-CYBMUJFWSA-N 1 2 299.393 3.858 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1CCc2nc(C(C)C)ncc2C1 ZINC000527619741 330266181 /nfs/dbraw/zinc/26/61/81/330266181.db2.gz UJHWMXNZJVMMAO-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1CCc2nc(C(C)C)ncc2C1 ZINC000527619741 330266182 /nfs/dbraw/zinc/26/61/82/330266182.db2.gz UJHWMXNZJVMMAO-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO CCOc1cc(CNc2c[nH+]cc(C)c2)c(F)cc1OC ZINC000527680701 330270789 /nfs/dbraw/zinc/27/07/89/330270789.db2.gz FSNVSUVCEDAKSA-UHFFFAOYSA-N 1 2 290.338 3.549 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCc2cc(F)c(Cl)cc21 ZINC000527905104 330289710 /nfs/dbraw/zinc/28/97/10/330289710.db2.gz ZHXAAQAWIKVTMG-LLVKDONJSA-N 1 2 263.690 3.711 20 0 CHADLO Cc1ccc(NCc2c[nH+]cn2C(C)C)cc1OC(F)F ZINC000424145110 330290212 /nfs/dbraw/zinc/29/02/12/330290212.db2.gz MUGZQLIAGBPTQK-UHFFFAOYSA-N 1 2 295.333 3.986 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@]2(CCCOC2)C1 ZINC000527910635 330290637 /nfs/dbraw/zinc/29/06/37/330290637.db2.gz FZPZXQBWWUGBDA-SFHVURJKSA-N 1 2 282.387 3.632 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(-c2ncco2)cc1 ZINC000424150386 330296999 /nfs/dbraw/zinc/29/69/99/330296999.db2.gz DJESHHJFYXMQOZ-UHFFFAOYSA-N 1 2 282.347 3.731 20 0 CHADLO C[C@@H]1C[C@H](Nc2cccc(C3CCOCC3)c2)c2[nH+]ccn21 ZINC000527993768 330299385 /nfs/dbraw/zinc/29/93/85/330299385.db2.gz FXKVUAPWKFXQMA-DYVFJYSZSA-N 1 2 297.402 3.895 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](c2ccccn2)C2CCC2)no1 ZINC000527964294 330300996 /nfs/dbraw/zinc/30/09/96/330300996.db2.gz JUXYPLRGJYXKIW-BLLLJJGKSA-N 1 2 271.364 3.570 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@@H](C)c1ncccc1F ZINC000528034901 330302451 /nfs/dbraw/zinc/30/24/51/330302451.db2.gz BPLCDMOOPUSSRU-STQMWFEESA-N 1 2 288.366 3.950 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccc1F)c1nc2ccccc2n1C ZINC000528035884 330302648 /nfs/dbraw/zinc/30/26/48/330302648.db2.gz MTKZAEZKQYNOBF-NWDGAFQWSA-N 1 2 298.365 3.519 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2ncccc2F)oc1C ZINC000528038119 330303259 /nfs/dbraw/zinc/30/32/59/330303259.db2.gz PZPJHERLZGWEGT-QWRGUYRKSA-N 1 2 262.328 3.842 20 0 CHADLO Cc1cc2cccnc2c(NCc2c[nH+]cn2C(C)C)c1 ZINC000424163445 330308024 /nfs/dbraw/zinc/30/80/24/330308024.db2.gz VCVZFBTUOUBVII-UHFFFAOYSA-N 1 2 280.375 3.933 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc([C@H]2CCOC2)cc1 ZINC000424183093 330319960 /nfs/dbraw/zinc/31/99/60/330319960.db2.gz VBZLGJAZPKSVCJ-HNNXBMFYSA-N 1 2 285.391 3.580 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccccc1F)c1nccs1 ZINC000078003986 330324328 /nfs/dbraw/zinc/32/43/28/330324328.db2.gz RITCLYBTBSUDKM-GFCCVEGCSA-N 1 2 250.342 3.523 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2ccoc2c1 ZINC000424196266 330325137 /nfs/dbraw/zinc/32/51/37/330325137.db2.gz VHRMPXVTXJEIDI-UHFFFAOYSA-N 1 2 255.321 3.822 20 0 CHADLO Cc1ccc(C)c([N@@H+](C[C@H]2CCCOC2)C(C)C)c1 ZINC000533049358 330326401 /nfs/dbraw/zinc/32/64/01/330326401.db2.gz UGVVLRFJXBHQDO-MRXNPFEDSA-N 1 2 261.409 3.945 20 0 CHADLO Cc1ccc(C)c([N@H+](C[C@H]2CCCOC2)C(C)C)c1 ZINC000533049358 330326402 /nfs/dbraw/zinc/32/64/02/330326402.db2.gz UGVVLRFJXBHQDO-MRXNPFEDSA-N 1 2 261.409 3.945 20 0 CHADLO Cc1nc2ccc(NCc3cn4ccccc4[nH+]3)cc2s1 ZINC000105860030 330330136 /nfs/dbraw/zinc/33/01/36/330330136.db2.gz CVEYKNGOYASWBM-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H]2CCCC[C@@H]2C)n1 ZINC000424360481 330346896 /nfs/dbraw/zinc/34/68/96/330346896.db2.gz JTMLXLAURMXRFC-SMDDNHRTSA-N 1 2 271.364 3.658 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@@H+]1CCCOCC1 ZINC000533260689 330355691 /nfs/dbraw/zinc/35/56/91/330355691.db2.gz FSTJUZSWECJYME-SNVBAGLBSA-N 1 2 274.191 3.777 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@H+]1CCCOCC1 ZINC000533260689 330355692 /nfs/dbraw/zinc/35/56/92/330355692.db2.gz FSTJUZSWECJYME-SNVBAGLBSA-N 1 2 274.191 3.777 20 0 CHADLO c1cn(Cc2ccccc2)c(CSc2ccncc2)[nH+]1 ZINC000119254730 330371517 /nfs/dbraw/zinc/37/15/17/330371517.db2.gz YAICIBXSWZEJJF-UHFFFAOYSA-N 1 2 281.384 3.619 20 0 CHADLO Cc1ccc(NC(=O)Cc2ccccc2Cl)c(C)[nH+]1 ZINC000119376183 330374012 /nfs/dbraw/zinc/37/40/12/330374012.db2.gz RHYSIPDKEVHWOT-UHFFFAOYSA-N 1 2 274.751 3.533 20 0 CHADLO CC[C@@H](Cc1ccccc1)N(C)C(=O)Nc1cc[nH+]c(C)c1 ZINC000119494417 330375881 /nfs/dbraw/zinc/37/58/81/330375881.db2.gz POACSNIRHAJSAZ-KRWDZBQOSA-N 1 2 297.402 3.875 20 0 CHADLO C[C@@H]1CCCC[C@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000424564817 330378586 /nfs/dbraw/zinc/37/85/86/330378586.db2.gz MGQSDGYFVLUPAI-CZUORRHYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H]1CCCC[C@@H]1C(C)C ZINC000120590905 330390462 /nfs/dbraw/zinc/39/04/62/330390462.db2.gz GHBIJUPJGKSASB-HIFRSBDPSA-N 1 2 275.396 3.726 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H]1CCCC[C@H]1C(C)C ZINC000120591190 330390545 /nfs/dbraw/zinc/39/05/45/330390545.db2.gz GHBIJUPJGKSASB-ZFWWWQNUSA-N 1 2 275.396 3.726 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(Cl)c(Cl)c1 ZINC000090169764 330390771 /nfs/dbraw/zinc/39/07/71/330390771.db2.gz IWFQSQHWFCBDOJ-UHFFFAOYSA-N 1 2 270.163 3.822 20 0 CHADLO C[C@@H]([NH2+]Cc1noc(Cc2ccccc2)n1)c1ccccc1 ZINC000537100708 330399318 /nfs/dbraw/zinc/39/93/18/330399318.db2.gz GTIIOESCZQCGNM-CQSZACIVSA-N 1 2 293.370 3.511 20 0 CHADLO CO[C@@H](C)[C@@H](C)Nc1cccc([NH+]2CCCCCC2)c1 ZINC000537536371 330440553 /nfs/dbraw/zinc/44/05/53/330440553.db2.gz KPQDZZIABXLCTM-CABCVRRESA-N 1 2 276.424 3.902 20 0 CHADLO CCCOc1cccc2c(NCc3cc(C)on3)cc[nH+]c12 ZINC000537602149 330445659 /nfs/dbraw/zinc/44/56/59/330445659.db2.gz UBFBPUAQYSNGEY-UHFFFAOYSA-N 1 2 297.358 3.932 20 0 CHADLO Cc1ccc(C)c(N(C)Cc2cn3cc(C)ccc3[nH+]2)c1 ZINC000515742934 330482063 /nfs/dbraw/zinc/48/20/63/330482063.db2.gz IXMMCYUTYMLJFP-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO Cc1ccc(/C=C/CC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000084763145 330487201 /nfs/dbraw/zinc/48/72/01/330487201.db2.gz UKJZVGZDVALCTL-ONEGZZNKSA-N 1 2 266.344 3.740 20 0 CHADLO Fc1ccc(Cn2c[nH+]c3cc(F)c(F)cc32)c(F)c1 ZINC000084914949 330488560 /nfs/dbraw/zinc/48/85/60/330488560.db2.gz VVTZVXOSOAZBKH-UHFFFAOYSA-N 1 2 280.224 3.641 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)CCC1CC1)c1ccc(F)c(C)c1 ZINC000428392165 330522320 /nfs/dbraw/zinc/52/23/20/330522320.db2.gz IOANABJHYAEEAA-LRDDRELGSA-N 1 2 293.382 3.517 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H]1CCC[C@H](C)C1)c1ccc(F)c(C)c1 ZINC000428407781 330522530 /nfs/dbraw/zinc/52/25/30/330522530.db2.gz RMBKVAAHZOGPHU-HZUKXOBISA-N 1 2 293.382 3.517 20 0 CHADLO Cc1ccc(CN(C#N)c2ccccc2Cl)c(C)[nH+]1 ZINC000429780012 330554649 /nfs/dbraw/zinc/55/46/49/330554649.db2.gz OZUUTEYMJYQCAH-UHFFFAOYSA-N 1 2 271.751 3.840 20 0 CHADLO CN(c1ccccc1)c1cc(N[C@H]2CC[C@@H](F)C2)[nH+]cn1 ZINC000432166106 330594267 /nfs/dbraw/zinc/59/42/67/330594267.db2.gz WRVHBEHGGUWQGJ-OLZOCXBDSA-N 1 2 286.354 3.547 20 0 CHADLO Cc1ncsc1CC[NH2+][C@@H](c1ccccc1)C(F)F ZINC000433057131 330615150 /nfs/dbraw/zinc/61/51/50/330615150.db2.gz FZFREHHVEJDANP-ZDUSSCGKSA-N 1 2 282.359 3.590 20 0 CHADLO CC(C)c1nc(N2C[C@@H]3CCC[C@@H]32)cc(C(C)(C)C)[nH+]1 ZINC000434092424 330635321 /nfs/dbraw/zinc/63/53/21/330635321.db2.gz IWKNYXMPHJVDRA-STQMWFEESA-N 1 2 273.424 3.886 20 0 CHADLO CN(Cc1c[nH+]cn1C)c1ccccc1Oc1ccccc1 ZINC000434857811 330646005 /nfs/dbraw/zinc/64/60/05/330646005.db2.gz ZWBZMRUUXNNQRP-UHFFFAOYSA-N 1 2 293.370 3.849 20 0 CHADLO CC(C)CC(=O)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000436183687 330670324 /nfs/dbraw/zinc/67/03/24/330670324.db2.gz SIBGKBKDPUHXSI-UHFFFAOYSA-N 1 2 297.402 3.871 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](C)C[C@H](C)C2)c(C)[nH+]1 ZINC000436495327 330678722 /nfs/dbraw/zinc/67/87/22/330678722.db2.gz OTOAGDAFVSPILR-NEPJUHHUSA-N 1 2 289.423 3.907 20 0 CHADLO CSc1cccc(NC(=O)Nc2ccn3cc[nH+]c3c2)c1 ZINC000439070720 330733418 /nfs/dbraw/zinc/73/34/18/330733418.db2.gz WAHCXXVUVAZYMF-UHFFFAOYSA-N 1 2 298.371 3.700 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N[C@H](CC)c1[nH]cc[nH+]1 ZINC000443114899 330795512 /nfs/dbraw/zinc/79/55/12/330795512.db2.gz GZTCWEKETLCQMS-CHWSQXEVSA-N 1 2 265.401 3.584 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(F)cc3)CC2)c(C)s1 ZINC000443585519 330801956 /nfs/dbraw/zinc/80/19/56/330801956.db2.gz WLIPZIYZRNRRIQ-UHFFFAOYSA-N 1 2 276.380 3.678 20 0 CHADLO CC[C@H]1CCCN(c2cc[nH+]c3ccncc32)CC1 ZINC000444074019 330809946 /nfs/dbraw/zinc/80/99/46/330809946.db2.gz RQVDEWWXGWCDNN-ZDUSSCGKSA-N 1 2 255.365 3.646 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c2ccncc12)c1ccc(F)cc1F ZINC000444078903 330809962 /nfs/dbraw/zinc/80/99/62/330809962.db2.gz ABMRKVYCUKUEMH-OAHLLOKOSA-N 1 2 299.324 3.893 20 0 CHADLO C[C@H](c1ccccc1)[C@H](C)Nc1cc[nH+]c2ccncc12 ZINC000444079443 330809966 /nfs/dbraw/zinc/80/99/66/330809966.db2.gz QDPAXGDGCSTTSC-KBPBESRZSA-N 1 2 277.371 3.656 20 0 CHADLO CC[C@H]1CCC[C@@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000448722405 330829041 /nfs/dbraw/zinc/82/90/41/330829041.db2.gz BZIXYZSJVRWUGJ-BBRMVZONSA-N 1 2 283.375 3.637 20 0 CHADLO CCc1cc(N)nc(SCc2ccc(Cl)c(F)c2)[nH+]1 ZINC000447784202 330876556 /nfs/dbraw/zinc/87/65/56/330876556.db2.gz ZQWSGOOZOUTEOF-UHFFFAOYSA-N 1 2 297.786 3.536 20 0 CHADLO Cc1nc(C[NH2+]Cc2ncc(C(C)(C)C)s2)sc1C ZINC000447941226 330880676 /nfs/dbraw/zinc/88/06/76/330880676.db2.gz JZZRPYNVPVCNRW-UHFFFAOYSA-N 1 2 295.477 3.804 20 0 CHADLO C[C@]1(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CC=CCC1 ZINC000448897781 330887267 /nfs/dbraw/zinc/88/72/67/330887267.db2.gz JXHYLZZLHKGZEH-KRWDZBQOSA-N 1 2 281.359 3.762 20 0 CHADLO CC[C@H](Nc1ccc(Cn2cc[nH+]c2)cn1)C1CCCC1 ZINC000449320321 330914431 /nfs/dbraw/zinc/91/44/31/330914431.db2.gz NHVYQQUHDKKLBU-INIZCTEOSA-N 1 2 284.407 3.707 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(OCC(F)(F)F)c1)C(F)F ZINC000449358750 330918388 /nfs/dbraw/zinc/91/83/88/330918388.db2.gz GIHBUQMHPNYVDP-LLVKDONJSA-N 1 2 297.267 3.761 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCc2cc(OC)ccc21)C(F)F ZINC000449360446 330918754 /nfs/dbraw/zinc/91/87/54/330918754.db2.gz JGLJOFZRAXIDHG-KGLIPLIRSA-N 1 2 269.335 3.706 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccc(F)cc1)C1CCC1)c1csnn1 ZINC000450549557 330985877 /nfs/dbraw/zinc/98/58/77/330985877.db2.gz BYPVWTFXGLQWGJ-BMIGLBTASA-N 1 2 291.395 3.869 20 0 CHADLO Cc1cc(NC[C@@H](C)CCCO)c2cccc(F)c2[nH+]1 ZINC000450626771 330990221 /nfs/dbraw/zinc/99/02/21/330990221.db2.gz GYMBACPJRVMEPV-NSHDSACASA-N 1 2 276.355 3.503 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1csnn1)c1ccc(F)cc1F ZINC000450670984 330992432 /nfs/dbraw/zinc/99/24/32/330992432.db2.gz GVOZZUBXEUSFPY-PRHODGIISA-N 1 2 283.347 3.618 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1csnn1)c1ccc(F)cc1F ZINC000450670983 330992454 /nfs/dbraw/zinc/99/24/54/330992454.db2.gz GVOZZUBXEUSFPY-PELKAZGASA-N 1 2 283.347 3.618 20 0 CHADLO Cc1cc(N[C@@H](C)CC(C)(C)O)c2cccc(F)c2[nH+]1 ZINC000450692098 330993906 /nfs/dbraw/zinc/99/39/06/330993906.db2.gz LVXLQOSYEYUCBK-NSHDSACASA-N 1 2 276.355 3.644 20 0 CHADLO Cc1cccc2nc(N[C@H]3C[C@H](C)n4cc[nH+]c43)sc21 ZINC000450827167 331001471 /nfs/dbraw/zinc/00/14/71/331001471.db2.gz HIARBVDFSOQTOM-JQWIXIFHSA-N 1 2 284.388 3.919 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)[C@@H](C)c1csnn1 ZINC000450844437 331002410 /nfs/dbraw/zinc/00/24/10/331002410.db2.gz HLASBEKKXGZJBI-SRXBQZRASA-N 1 2 273.405 3.634 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)[C@@H](C)c1csnn1 ZINC000450844437 331002411 /nfs/dbraw/zinc/00/24/11/331002411.db2.gz HLASBEKKXGZJBI-SRXBQZRASA-N 1 2 273.405 3.634 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC[C@@H]1c1cc[nH]n1 ZINC000450873108 331004003 /nfs/dbraw/zinc/00/40/03/331004003.db2.gz CQMXQUVUBDNWIO-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC[C@@H]1c1cc[nH]n1 ZINC000450873108 331004004 /nfs/dbraw/zinc/00/40/04/331004004.db2.gz CQMXQUVUBDNWIO-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO CCn1cc([C@H](C)Nc2[nH+]ccc3ccc(F)cc32)cn1 ZINC000450873903 331004102 /nfs/dbraw/zinc/00/41/02/331004102.db2.gz LGDJCOKZQLGBFV-NSHDSACASA-N 1 2 284.338 3.763 20 0 CHADLO Cc1cc(C)c(CNc2nccc(C)c2Cl)c[nH+]1 ZINC000450911383 331006688 /nfs/dbraw/zinc/00/66/88/331006688.db2.gz RBOZCBIETYHDMV-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO Cc1cc2[nH+]cn([C@@H]3C[C@@H](C)CCC3=O)c2cc1C ZINC000450916358 331007131 /nfs/dbraw/zinc/00/71/31/331007131.db2.gz UZXMBNTUPGKMNB-ZUZCIYMTSA-N 1 2 256.349 3.583 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)c1csnn1)c1ccc(F)cc1 ZINC000450925737 331007441 /nfs/dbraw/zinc/00/74/41/331007441.db2.gz ZJWDMMZCECJPGE-YGRLFVJLSA-N 1 2 279.384 3.725 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2Cc3ccccc3O[C@H](C)C2)c1 ZINC000451064917 331014459 /nfs/dbraw/zinc/01/44/59/331014459.db2.gz TXLUMFJFYZLJHS-CQSZACIVSA-N 1 2 295.382 3.672 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2Cc3ccccc3O[C@H](C)C2)c1 ZINC000451064917 331014460 /nfs/dbraw/zinc/01/44/60/331014460.db2.gz TXLUMFJFYZLJHS-CQSZACIVSA-N 1 2 295.382 3.672 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+]1CCC[C@@](C)(F)C1 ZINC000451109074 331015746 /nfs/dbraw/zinc/01/57/46/331015746.db2.gz AENXQEAWMWJKJM-IAQYHMDHSA-N 1 2 268.376 3.857 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+]1CCC[C@@](C)(F)C1 ZINC000451109074 331015747 /nfs/dbraw/zinc/01/57/47/331015747.db2.gz AENXQEAWMWJKJM-IAQYHMDHSA-N 1 2 268.376 3.857 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+]1CCC[C@](C)(F)C1 ZINC000451109073 331015755 /nfs/dbraw/zinc/01/57/55/331015755.db2.gz AENXQEAWMWJKJM-ABAIWWIYSA-N 1 2 268.376 3.857 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+]1CCC[C@](C)(F)C1 ZINC000451109073 331015756 /nfs/dbraw/zinc/01/57/56/331015756.db2.gz AENXQEAWMWJKJM-ABAIWWIYSA-N 1 2 268.376 3.857 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc(-n3cc[nH+]c3)c(Cl)c2)[C@H](C)O1 ZINC000451138498 331017118 /nfs/dbraw/zinc/01/71/18/331017118.db2.gz ZEVJBTUTFBTITK-UHIISALHSA-N 1 2 291.782 3.504 20 0 CHADLO Cc1c(Cl)c(C[N@@H+]2CC[C@@H](F)C2)nc2ccccc12 ZINC000451182383 331019253 /nfs/dbraw/zinc/01/92/53/331019253.db2.gz HFFGDSKZGAKORB-LLVKDONJSA-N 1 2 278.758 3.740 20 0 CHADLO Cc1c(Cl)c(C[N@H+]2CC[C@@H](F)C2)nc2ccccc12 ZINC000451182383 331019254 /nfs/dbraw/zinc/01/92/54/331019254.db2.gz HFFGDSKZGAKORB-LLVKDONJSA-N 1 2 278.758 3.740 20 0 CHADLO F[C@@H]1CC[N@H+](Cc2coc(-c3ccc(Cl)cc3)n2)C1 ZINC000451192355 331019695 /nfs/dbraw/zinc/01/96/95/331019695.db2.gz SSNQTINQDUKFAR-GFCCVEGCSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@@H]1CC[N@@H+](Cc2coc(-c3ccc(Cl)cc3)n2)C1 ZINC000451192355 331019696 /nfs/dbraw/zinc/01/96/96/331019696.db2.gz SSNQTINQDUKFAR-GFCCVEGCSA-N 1 2 280.730 3.539 20 0 CHADLO CCC[C@H](C(=O)Nc1c[nH+]c2n1CCCC2)c1ccccc1 ZINC000452346329 331062956 /nfs/dbraw/zinc/06/29/56/331062956.db2.gz RJNMSCMQPCNUQD-HNNXBMFYSA-N 1 2 297.402 3.742 20 0 CHADLO CCCCC1CCC(C(=O)NCc2[nH+]ccn2CC)CC1 ZINC000452571949 331069399 /nfs/dbraw/zinc/06/93/99/331069399.db2.gz FWOIZSZRMUJFEG-UHFFFAOYSA-N 1 2 291.439 3.516 20 0 CHADLO Cc1ccc(/C=C\CC(=O)Nc2c[nH+]c3n2CCCC3)cc1 ZINC000452763931 331075355 /nfs/dbraw/zinc/07/53/55/331075355.db2.gz ZUEVFUVUNNDGNF-PLNGDYQASA-N 1 2 295.386 3.570 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2C[C@H](C)n3ccnc32)c(OC(C)C)c1 ZINC000453120169 331091250 /nfs/dbraw/zinc/09/12/50/331091250.db2.gz CLUMCHRLSNDZTL-HOCLYGCPSA-N 1 2 299.418 3.774 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2C[C@H](C)n3ccnc32)c(OC(C)C)c1 ZINC000453120166 331091277 /nfs/dbraw/zinc/09/12/77/331091277.db2.gz CLUMCHRLSNDZTL-GOEBONIOSA-N 1 2 299.418 3.774 20 0 CHADLO CC/C(C)=C\c1nc(CCn2c(C)[nH+]c3ccccc32)no1 ZINC000453151933 331094672 /nfs/dbraw/zinc/09/46/72/331094672.db2.gz VMFBRIAHYVWFQO-QXMHVHEDSA-N 1 2 296.374 3.784 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1cc(F)c(F)c(F)c1 ZINC000453164198 331096017 /nfs/dbraw/zinc/09/60/17/331096017.db2.gz UDVZDDLKUFKOOC-RVBZMBCESA-N 1 2 295.308 3.657 20 0 CHADLO CC[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccncc1 ZINC000453178393 331097594 /nfs/dbraw/zinc/09/75/94/331097594.db2.gz UUUMYNKSBKPCQB-MRXNPFEDSA-N 1 2 282.391 3.640 20 0 CHADLO CC[C@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccncc1 ZINC000453178392 331097602 /nfs/dbraw/zinc/09/76/02/331097602.db2.gz UUUMYNKSBKPCQB-INIZCTEOSA-N 1 2 282.391 3.640 20 0 CHADLO FC(F)(F)CCc1ccc(N[C@@H]2CCn3cc[nH+]c32)cc1 ZINC000453185883 331098568 /nfs/dbraw/zinc/09/85/68/331098568.db2.gz VSZAJIMZKMHSFI-CYBMUJFWSA-N 1 2 295.308 3.935 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC)C(F)F)c1ccc(OC)c(OC)c1 ZINC000453227780 331103960 /nfs/dbraw/zinc/10/39/60/331103960.db2.gz BISPNJYOTORWHA-RYUDHWBXSA-N 1 2 287.350 3.788 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)C(F)F)c1ccc2c(c1)OCCO2 ZINC000453231943 331104669 /nfs/dbraw/zinc/10/46/69/331104669.db2.gz FTOKTSWIMSMQSX-NEPJUHHUSA-N 1 2 285.334 3.542 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)C(F)F)c1ccc(OC)cc1 ZINC000453250025 331107518 /nfs/dbraw/zinc/10/75/18/331107518.db2.gz RFYXZNMMNQPRMG-OLZOCXBDSA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCSc2ccccc21)C(F)F ZINC000453250684 331107580 /nfs/dbraw/zinc/10/75/80/331107580.db2.gz SFNPVJCVKOJZPO-MNOVXSKESA-N 1 2 257.349 3.857 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(OC)c(OC)cc1C)C(F)F ZINC000453251454 331107709 /nfs/dbraw/zinc/10/77/09/331107709.db2.gz UDOXYEBQNSPRMA-PWSUYJOCSA-N 1 2 287.350 3.707 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(-n2cccn2)cc1)C(F)F ZINC000453254907 331108096 /nfs/dbraw/zinc/10/80/96/331108096.db2.gz YQNFEXPYQMEIBO-RISCZKNCSA-N 1 2 279.334 3.567 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(-n2cccn2)cc1)C(F)F ZINC000453254906 331108203 /nfs/dbraw/zinc/10/82/03/331108203.db2.gz YQNFEXPYQMEIBO-FZMZJTMJSA-N 1 2 279.334 3.567 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(Cl)cc1 ZINC000453278135 331110326 /nfs/dbraw/zinc/11/03/26/331110326.db2.gz DXTFAIPZAHBKKF-JOYOIKCWSA-N 1 2 277.742 3.661 20 0 CHADLO Cc1nn(C)c2sc([C@H](C)[NH2+][C@H](C)C(C)(F)F)cc12 ZINC000453291560 331111919 /nfs/dbraw/zinc/11/19/19/331111919.db2.gz NOFLIWKFTBTBFF-DTWKUNHWSA-N 1 2 287.379 3.638 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(N2CCCC2=O)c1)C(C)(F)F ZINC000453293819 331112117 /nfs/dbraw/zinc/11/21/17/331112117.db2.gz PDXUUXFWEZGNLK-NWDGAFQWSA-N 1 2 296.361 3.508 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(C(=O)OC)cc1 ZINC000453297523 331112712 /nfs/dbraw/zinc/11/27/12/331112712.db2.gz RWVNLANVXKENAA-GWCFXTLKSA-N 1 2 285.334 3.558 20 0 CHADLO CCCn1ncc(N[C@H](C)c2[nH+]ccn2CC)c1C1CC1 ZINC000453300725 331113103 /nfs/dbraw/zinc/11/31/03/331113103.db2.gz WKJUHJRQPFJURU-GFCCVEGCSA-N 1 2 287.411 3.560 20 0 CHADLO Cc1ccc(-c2noc(CCc3c[nH+]cc(C)c3)n2)s1 ZINC000453326822 331117191 /nfs/dbraw/zinc/11/71/91/331117191.db2.gz NXOYVPYSCIWLFX-UHFFFAOYSA-N 1 2 285.372 3.595 20 0 CHADLO CC/C=C/C[NH2+][C@@H](c1ccn(C)n1)c1ccc(Cl)cc1 ZINC000453337567 331118641 /nfs/dbraw/zinc/11/86/41/331118641.db2.gz YTWODBATBJLUJW-DWTRPJMMSA-N 1 2 289.810 3.719 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H](C)c1ccc2ccccc2n1 ZINC000453350870 331120693 /nfs/dbraw/zinc/12/06/93/331120693.db2.gz MKMURAACWMDHTG-UONOGXRCSA-N 1 2 294.402 3.863 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CC2)o1)c1cccc(F)c1F ZINC000453356764 331121632 /nfs/dbraw/zinc/12/16/32/331121632.db2.gz NJZJVZFGRHICAB-VIFPVBQESA-N 1 2 278.302 3.681 20 0 CHADLO CCSCc1ccc(N[C@@H]2CCn3cc[nH+]c32)cc1 ZINC000453379700 331125551 /nfs/dbraw/zinc/12/55/51/331125551.db2.gz QSGNBZSHRWVIEK-CQSZACIVSA-N 1 2 273.405 3.693 20 0 CHADLO CC(C)N(C)c1ccc([NH2+][C@@H]2CCOC[C@H]2C)cc1F ZINC000195057182 331134163 /nfs/dbraw/zinc/13/41/63/331134163.db2.gz SRMCZNMVXQEKBQ-IUODEOHRSA-N 1 2 280.387 3.507 20 0 CHADLO CC1(C)Cc2ccsc2C[N@@H+]1Cc1nccs1 ZINC000453612257 331136521 /nfs/dbraw/zinc/13/65/21/331136521.db2.gz AQGGJWCWJKYFPK-UHFFFAOYSA-N 1 2 264.419 3.542 20 0 CHADLO CC1(C)Cc2ccsc2C[N@H+]1Cc1nccs1 ZINC000453612257 331136522 /nfs/dbraw/zinc/13/65/22/331136522.db2.gz AQGGJWCWJKYFPK-UHFFFAOYSA-N 1 2 264.419 3.542 20 0 CHADLO C[C@@H]1CC=C(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000454782641 331153335 /nfs/dbraw/zinc/15/33/35/331153335.db2.gz ILYGWPBKJPNLEQ-CYBMUJFWSA-N 1 2 281.359 3.557 20 0 CHADLO CCC1(NC(=O)Nc2c(C)cc[nH+]c2C)CCCCC1 ZINC000455430434 331169665 /nfs/dbraw/zinc/16/96/65/331169665.db2.gz CBCGNDYIBWHJFF-UHFFFAOYSA-N 1 2 275.396 3.933 20 0 CHADLO CCCN(CC1CC1)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455472893 331170462 /nfs/dbraw/zinc/17/04/62/331170462.db2.gz JDJJKHANTHLKND-UHFFFAOYSA-N 1 2 275.396 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](C)C(C)(C)C2)c(C)[nH+]1 ZINC000455479306 331170678 /nfs/dbraw/zinc/17/06/78/331170678.db2.gz GGDVPHSRBBIDLX-GFCCVEGCSA-N 1 2 289.423 3.907 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C)CC(C)C)c(C)[nH+]1 ZINC000455499159 331171027 /nfs/dbraw/zinc/17/10/27/331171027.db2.gz RYYBGNIXGTXQEI-LLVKDONJSA-N 1 2 263.385 3.563 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C)CCC2CC2)c(C)[nH+]1 ZINC000455496619 331171067 /nfs/dbraw/zinc/17/10/67/331171067.db2.gz PFQNXHPXQLPTIJ-LLVKDONJSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@H]2CC[C@@H](C)C2)c(C)[nH+]1 ZINC000455527539 331172193 /nfs/dbraw/zinc/17/21/93/331172193.db2.gz QHPPSSMFHRNPIV-YGRLFVJLSA-N 1 2 275.396 3.565 20 0 CHADLO CCC1(NC(=O)Cn2c(C)[nH+]c3ccccc32)CCCCC1 ZINC000456217778 331186708 /nfs/dbraw/zinc/18/67/08/331186708.db2.gz NLTJWNIPDLCLLY-UHFFFAOYSA-N 1 2 299.418 3.574 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)CC1CCC(C)(C)CC1 ZINC000456337563 331190245 /nfs/dbraw/zinc/19/02/45/331190245.db2.gz BBNYIBLHMRISKO-CYBMUJFWSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)CC/C=C\c1ccccc1 ZINC000456363382 331191093 /nfs/dbraw/zinc/19/10/93/331191093.db2.gz UQDNPIUOGJUVMG-OCOPJHETSA-N 1 2 297.402 3.574 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1cc2ccccc2s1 ZINC000456396465 331192033 /nfs/dbraw/zinc/19/20/33/331192033.db2.gz SLHADAMWNMRAIX-NSHDSACASA-N 1 2 299.399 3.609 20 0 CHADLO C[C@H](CC1CCCCC1)C(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000456401098 331192180 /nfs/dbraw/zinc/19/21/80/331192180.db2.gz VPQPPKOVLUXBNN-NFAWXSAZSA-N 1 2 289.423 3.612 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc(C(C)C)s2)c[nH+]1 ZINC000456841220 331207157 /nfs/dbraw/zinc/20/71/57/331207157.db2.gz OLYLTTYJHPCASU-UHFFFAOYSA-N 1 2 288.416 3.813 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H]2CCCCC2(C)C)c[nH+]1 ZINC000456840257 331207192 /nfs/dbraw/zinc/20/71/92/331207192.db2.gz NJNCJTMVXVKZRK-OAHLLOKOSA-N 1 2 274.408 3.531 20 0 CHADLO CCc1ccc([C@H](C)C(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000456846987 331207392 /nfs/dbraw/zinc/20/73/92/331207392.db2.gz UMGKRIGVKBGTPW-HNNXBMFYSA-N 1 2 296.414 3.681 20 0 CHADLO C[NH+](C)Cc1csc(NC(=O)CCCC2CCCC2)n1 ZINC000457309495 331218675 /nfs/dbraw/zinc/21/86/75/331218675.db2.gz IOQIQYMWKBHPQY-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO C[C@@H](C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C)C1CCC1 ZINC000457672269 331230754 /nfs/dbraw/zinc/23/07/54/331230754.db2.gz BVNYBFIXEOWXLU-LLVKDONJSA-N 1 2 297.402 3.825 20 0 CHADLO C[C@@H](C(=O)Nc1c[nH+]ccc1OC(C)(C)C)C1CCC1 ZINC000457677940 331230880 /nfs/dbraw/zinc/23/08/80/331230880.db2.gz SYYDLMMPNHACJE-LLVKDONJSA-N 1 2 276.380 3.634 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)CCn1cc(Cl)cn1 ZINC000459289375 331274698 /nfs/dbraw/zinc/27/46/98/331274698.db2.gz AXGHLKKQKQMKCE-SNVBAGLBSA-N 1 2 299.752 3.508 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)CCn1cc(Cl)cn1 ZINC000459289375 331274699 /nfs/dbraw/zinc/27/46/99/331274699.db2.gz AXGHLKKQKQMKCE-SNVBAGLBSA-N 1 2 299.752 3.508 20 0 CHADLO CC(C)(C)C1CC(Nc2ccc(Cn3cc[nH+]c3)c[nH+]2)C1 ZINC000459552620 331287451 /nfs/dbraw/zinc/28/74/51/331287451.db2.gz WEABSZHUUXLQOO-UHFFFAOYSA-N 1 2 284.407 3.563 20 0 CHADLO CC(C)(C)C1CC(Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000459552620 331287452 /nfs/dbraw/zinc/28/74/52/331287452.db2.gz WEABSZHUUXLQOO-UHFFFAOYSA-N 1 2 284.407 3.563 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)n1 ZINC000459585523 331289371 /nfs/dbraw/zinc/28/93/71/331289371.db2.gz UYEJQSVGBHOYTG-GOSISDBHSA-N 1 2 293.414 3.902 20 0 CHADLO Cc1ccnc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)n1 ZINC000459585523 331289372 /nfs/dbraw/zinc/28/93/72/331289372.db2.gz UYEJQSVGBHOYTG-GOSISDBHSA-N 1 2 293.414 3.902 20 0 CHADLO COCCC1(C)C[NH+](Cc2ccc(Cl)c(Cl)c2)C1 ZINC000459631294 331291236 /nfs/dbraw/zinc/29/12/36/331291236.db2.gz KNCIXPPYDYTGED-UHFFFAOYSA-N 1 2 288.218 3.852 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@@H](C)c2nc3c(s2)CCC3)c1 ZINC000459635256 331291396 /nfs/dbraw/zinc/29/13/96/331291396.db2.gz STNOMFFAEZTCKR-NSHDSACASA-N 1 2 290.407 3.930 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cnc(C3CC3)nc1)CC2 ZINC000459710490 331295319 /nfs/dbraw/zinc/29/53/19/331295319.db2.gz QBQACQWUOFVNJC-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cnc(C3CC3)nc1)CC2 ZINC000459710490 331295320 /nfs/dbraw/zinc/29/53/20/331295320.db2.gz QBQACQWUOFVNJC-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](C)C2CC(C)(C)C2)no1 ZINC000459741736 331297428 /nfs/dbraw/zinc/29/74/28/331297428.db2.gz NLLZXZMVNYFGCR-UHFFFAOYSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](C)C2CC(C)(C)C2)no1 ZINC000459741736 331297429 /nfs/dbraw/zinc/29/74/29/331297429.db2.gz NLLZXZMVNYFGCR-UHFFFAOYSA-N 1 2 299.418 3.589 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@@H]3C[C@H]2CS3)cc(C(F)(F)F)c1 ZINC000459776784 331300442 /nfs/dbraw/zinc/30/04/42/331300442.db2.gz XXJFJWPTCOYNLU-RYUDHWBXSA-N 1 2 291.313 3.534 20 0 CHADLO Fc1cc(C[N@H+]2C[C@@H]3C[C@H]2CS3)cc(C(F)(F)F)c1 ZINC000459776784 331300443 /nfs/dbraw/zinc/30/04/43/331300443.db2.gz XXJFJWPTCOYNLU-RYUDHWBXSA-N 1 2 291.313 3.534 20 0 CHADLO CC[C@H](CC(F)(F)F)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000459927230 331303491 /nfs/dbraw/zinc/30/34/91/331303491.db2.gz HWGPMJRWYWYMMR-LLVKDONJSA-N 1 2 288.313 3.924 20 0 CHADLO CC[C@@H]1CCC[C@@H]1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000459935769 331303621 /nfs/dbraw/zinc/30/36/21/331303621.db2.gz UDYMBEUYNVELBY-KGLIPLIRSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1ccccc1C(C)(C)C(=O)Nc1cccc2[nH+]ccn21 ZINC000460339831 331309406 /nfs/dbraw/zinc/30/94/06/331309406.db2.gz FXQNRMQLHLTUCJ-UHFFFAOYSA-N 1 2 293.370 3.559 20 0 CHADLO Fc1ccccc1C1C[NH+](Cc2cc(F)c(F)cc2F)C1 ZINC000462828851 331331604 /nfs/dbraw/zinc/33/16/04/331331604.db2.gz RINRVWJUFYWFOK-UHFFFAOYSA-N 1 2 295.279 3.842 20 0 CHADLO C[C@H]1CCCN1c1nc(N2CCC[C@@H]2C)c2ccccc2[nH+]1 ZINC000463063260 331339151 /nfs/dbraw/zinc/33/91/51/331339151.db2.gz GPGDQJHQBWAVDC-KBPBESRZSA-N 1 2 296.418 3.607 20 0 CHADLO COc1ccccc1C[C@H](C)N(C)c1cc[nH+]c(C(C)C)n1 ZINC000463079692 331339852 /nfs/dbraw/zinc/33/98/52/331339852.db2.gz IEGAOHNAQBBTKI-AWEZNQCLSA-N 1 2 299.418 3.676 20 0 CHADLO COc1ccccc1C[C@@H](C)N(C)c1cc[nH+]c(C(C)C)n1 ZINC000463079693 331339874 /nfs/dbraw/zinc/33/98/74/331339874.db2.gz IEGAOHNAQBBTKI-CQSZACIVSA-N 1 2 299.418 3.676 20 0 CHADLO Cc1nc(Nc2ccc(N3CCCCC3)[nH+]c2)sc1C ZINC000464813517 331369369 /nfs/dbraw/zinc/36/93/69/331369369.db2.gz OCFKNOJCTUQEEG-UHFFFAOYSA-N 1 2 288.420 3.889 20 0 CHADLO CCc1cc(N2C[C@H](C)C[C@@H]2CC)nc(-c2ccncc2)[nH+]1 ZINC000466654518 331401879 /nfs/dbraw/zinc/40/18/79/331401879.db2.gz BELRAYZLNQULLT-CJNGLKHVSA-N 1 2 296.418 3.726 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCC[C@H]([C@H]2CCOC2)C1)C(F)(F)F ZINC000467353468 331415893 /nfs/dbraw/zinc/41/58/93/331415893.db2.gz KXVMZUOPEDIELY-RVMXOQNASA-N 1 2 279.346 3.512 20 0 CHADLO Cc1nc(N2C[C@@H](c3ccccc3)C[C@H]2C)c(C)c(C)[nH+]1 ZINC000474770559 331597501 /nfs/dbraw/zinc/59/75/01/331597501.db2.gz FZMAHIDEBAPSCA-PXAZEXFGSA-N 1 2 281.403 3.784 20 0 CHADLO Cc1cc(N)nc(S[C@H]2CCCCc3ccccc32)[nH+]1 ZINC000476478623 331665066 /nfs/dbraw/zinc/66/50/66/331665066.db2.gz CESCEFHPZRUXNO-AWEZNQCLSA-N 1 2 285.416 3.757 20 0 CHADLO CCCCOc1ccc(NCc2cn3ccccc3[nH+]2)cn1 ZINC000478629109 331710766 /nfs/dbraw/zinc/71/07/66/331710766.db2.gz FMEUEJDAMJAXIG-UHFFFAOYSA-N 1 2 296.374 3.520 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CC23CCCCC3)c(C)[nH+]1 ZINC000479060403 331719513 /nfs/dbraw/zinc/71/95/13/331719513.db2.gz VFCRXZSTBZNVGI-AWEZNQCLSA-N 1 2 272.392 3.916 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@@H]1CCC[C@@H]1C ZINC000086675091 332925166 /nfs/dbraw/zinc/92/51/66/332925166.db2.gz FORFVSYQTVMUBB-UONOGXRCSA-N 1 2 263.381 3.621 20 0 CHADLO Fc1ccc([C@H]2CC[C@@H](Nc3cccc[nH+]3)C2)cc1 ZINC000480574916 331778570 /nfs/dbraw/zinc/77/85/70/331778570.db2.gz HJJXEEPCWQRQHM-DZGCQCFKSA-N 1 2 256.324 3.969 20 0 CHADLO CCC[C@@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)C(C)C ZINC000480595007 331779303 /nfs/dbraw/zinc/77/93/03/331779303.db2.gz NVPZIWXJILTFDC-CQSZACIVSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1cc(F)ccc1NCc1ccc(N(C)C(C)C)[nH+]c1 ZINC000480636396 331781154 /nfs/dbraw/zinc/78/11/54/331781154.db2.gz OHTZVHYNXNTRGC-UHFFFAOYSA-N 1 2 287.382 3.986 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)C(C)C)c1ccc2ccccc2c1 ZINC000483328118 331869906 /nfs/dbraw/zinc/86/99/06/331869906.db2.gz VFPNTGPJKMXXQJ-SUMWQHHRSA-N 1 2 285.387 3.688 20 0 CHADLO C/C(=C/c1ccccc1)C[NH2+]CC(F)(F)C(F)F ZINC000483423352 331874218 /nfs/dbraw/zinc/87/42/18/331874218.db2.gz RZNZIROTUMTNEP-YFHOEESVSA-N 1 2 261.262 3.580 20 0 CHADLO c1cc2c(nc[nH+]c2Nc2csc3ccccc23)[nH]1 ZINC000485142353 331909782 /nfs/dbraw/zinc/90/97/82/331909782.db2.gz ZZTXKKWDFYXKQU-UHFFFAOYSA-N 1 2 266.329 3.916 20 0 CHADLO Cc1nc(NCc2ccc(-c3ccccc3)cc2F)cc[nH+]1 ZINC000488674537 332021260 /nfs/dbraw/zinc/02/12/60/332021260.db2.gz JAHSRTYCKPALDX-UHFFFAOYSA-N 1 2 293.345 3.625 20 0 CHADLO CCSc1cccc(CNc2cc[nH+]c(C3CC3)n2)c1 ZINC000488669416 332021267 /nfs/dbraw/zinc/02/12/67/332021267.db2.gz FIHFONVVHRCPTI-UHFFFAOYSA-N 1 2 285.416 3.500 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1Nc1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000489245390 332044204 /nfs/dbraw/zinc/04/42/04/332044204.db2.gz FVJLCMCVFYAFAF-ZBFHGGJFSA-N 1 2 296.418 3.650 20 0 CHADLO C[N@H+](Cc1csc(Cc2ccccc2)n1)[C@@H]1CC1(C)C ZINC000489466926 332054154 /nfs/dbraw/zinc/05/41/54/332054154.db2.gz BSQISBCNQFNBCX-OAHLLOKOSA-N 1 2 286.444 3.964 20 0 CHADLO C[N@@H+](Cc1csc(Cc2ccccc2)n1)[C@@H]1CC1(C)C ZINC000489466926 332054155 /nfs/dbraw/zinc/05/41/55/332054155.db2.gz BSQISBCNQFNBCX-OAHLLOKOSA-N 1 2 286.444 3.964 20 0 CHADLO CC[C@H](C)Sc1[nH+]cnc2c1cnn2Cc1ccccc1 ZINC000489796525 332067948 /nfs/dbraw/zinc/06/79/48/332067948.db2.gz XFMSIXAVLDQGNK-LBPRGKRZSA-N 1 2 298.415 3.765 20 0 CHADLO Clc1ccc2c(c1)CCN2Cc1c[nH+]c2ccccn12 ZINC000511877825 332946364 /nfs/dbraw/zinc/94/63/64/332946364.db2.gz IGLWXALFORCEBY-UHFFFAOYSA-N 1 2 283.762 3.550 20 0 CHADLO CCc1c(Nc2[nH+]cnc3[nH]ccc32)cnn1CCC(C)C ZINC000491831702 332371412 /nfs/dbraw/zinc/37/14/12/332371412.db2.gz KHOHILVMZFEWJO-UHFFFAOYSA-N 1 2 298.394 3.507 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1c(C)cccc1C(C)C ZINC000492247733 332388996 /nfs/dbraw/zinc/38/89/96/332388996.db2.gz BKSIDBWYUFHUGB-KTKRTIGZSA-N 1 2 297.402 3.987 20 0 CHADLO CCn1cc[nH+]c1/C=C/C(=O)Nc1ccc(F)c(C2CC2)c1 ZINC000492642148 332407622 /nfs/dbraw/zinc/40/76/22/332407622.db2.gz HHDCKQSUGYCQRV-BQYQJAHWSA-N 1 2 299.349 3.571 20 0 CHADLO C[C@@H](NC(=O)C=Cc1c[nH]c[nH+]1)c1cc2ccccc2s1 ZINC000492766447 332412757 /nfs/dbraw/zinc/41/27/57/332412757.db2.gz MQBFEBOYOSKCBM-JMEBYUIHSA-N 1 2 297.383 3.515 20 0 CHADLO Cc1ccccc1[C@@H](C(C)C)N(C)C(=O)/C=C/c1[nH]cc[nH+]1 ZINC000493381722 332437898 /nfs/dbraw/zinc/43/78/98/332437898.db2.gz HKMILHQCKXDCQS-QZEKMECESA-N 1 2 297.402 3.587 20 0 CHADLO C[C@H](CCCC(F)(F)F)Nc1cc[nH+]c2ccncc12 ZINC000493472180 332441736 /nfs/dbraw/zinc/44/17/36/332441736.db2.gz JLPGEBFEWAZMCN-SNVBAGLBSA-N 1 2 283.297 3.585 20 0 CHADLO CCCc1csc(C[NH2+][C@@H](C)c2csc(C)n2)n1 ZINC000494018377 332460199 /nfs/dbraw/zinc/46/01/99/332460199.db2.gz PFVAQSYWUYHKGY-VIFPVBQESA-N 1 2 281.450 3.711 20 0 CHADLO CCCc1csc(C[NH2+][C@H](C)c2ccccc2OC)n1 ZINC000494357108 332471203 /nfs/dbraw/zinc/47/12/03/332471203.db2.gz HQOQHEFOEBQXKL-GFCCVEGCSA-N 1 2 290.432 3.955 20 0 CHADLO Cc1cc(N[C@@H]2CCCC[C@H]2c2ccccc2)nc[nH+]1 ZINC000495479651 332520504 /nfs/dbraw/zinc/52/05/04/332520504.db2.gz KGUKZJQRENZJEV-JKSUJKDBSA-N 1 2 267.376 3.923 20 0 CHADLO Cc1cc(N[C@@H]2CC[C@@H](C)C[C@@H]2C)nc(-c2cccnc2)[nH+]1 ZINC000498917007 332575405 /nfs/dbraw/zinc/57/54/05/332575405.db2.gz FSFAKTYVEFJQPO-DVOMOZLQSA-N 1 2 296.418 3.506 20 0 CHADLO CC[C@H]1C[C@@]1([NH2+]Cc1ncc(C)cn1)c1cccc(C)c1 ZINC000502480915 332662876 /nfs/dbraw/zinc/66/28/76/332662876.db2.gz ISDXRSOLDTVSEF-YJBOKZPZSA-N 1 2 281.403 3.508 20 0 CHADLO Cc1cc(N2CCC[C@H](C(F)(F)F)C2)nc(C(C)C)[nH+]1 ZINC000505779594 332752429 /nfs/dbraw/zinc/75/24/29/332752429.db2.gz QVWHSAFSZRCJCI-NSHDSACASA-N 1 2 287.329 3.687 20 0 CHADLO COc1cccc(OC)c1CNc1c[nH+]c2c(c1)CCCC2 ZINC000511955882 332948638 /nfs/dbraw/zinc/94/86/38/332948638.db2.gz QHHPKBBRTJPRAG-UHFFFAOYSA-N 1 2 298.386 3.590 20 0 CHADLO CCCOc1cccc(Nc2cc(C)[nH+]c(C)n2)c1 ZINC000513141692 333006066 /nfs/dbraw/zinc/00/60/66/333006066.db2.gz UCAKXDPUWDZLTF-UHFFFAOYSA-N 1 2 257.337 3.626 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1C[C@@H]1CCC2(CCCCC2)O1 ZINC000513213056 333009119 /nfs/dbraw/zinc/00/91/19/333009119.db2.gz BCISZOHWVBEHOD-QWHCGFSZSA-N 1 2 273.367 3.598 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1C[C@@H]1CCC2(CCCCC2)O1 ZINC000513213056 333009120 /nfs/dbraw/zinc/00/91/20/333009120.db2.gz BCISZOHWVBEHOD-QWHCGFSZSA-N 1 2 273.367 3.598 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)C[C@H](C)O1 ZINC000175221818 333017757 /nfs/dbraw/zinc/01/77/57/333017757.db2.gz NKHSADAKFRSYCW-RWMBFGLXSA-N 1 2 280.412 3.532 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)C[C@H](C)O1 ZINC000175221818 333017758 /nfs/dbraw/zinc/01/77/58/333017758.db2.gz NKHSADAKFRSYCW-RWMBFGLXSA-N 1 2 280.412 3.532 20 0 CHADLO CC[C@@H](C)Oc1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000176469422 333042227 /nfs/dbraw/zinc/04/22/27/333042227.db2.gz PDENLCGOGKHONY-CYBMUJFWSA-N 1 2 284.359 3.820 20 0 CHADLO Cc1ccc(NC(=O)/C=C/SCc2ccco2)c(C)[nH+]1 ZINC000176511126 333042596 /nfs/dbraw/zinc/04/25/96/333042596.db2.gz UIWSZIRWRFRPEP-VQHVLOKHSA-N 1 2 288.372 3.677 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(Cl)c(C)c2)c(C)[nH+]1 ZINC000177046544 333052860 /nfs/dbraw/zinc/05/28/60/333052860.db2.gz ZTUNANBNHWHYRQ-UHFFFAOYSA-N 1 2 274.751 3.913 20 0 CHADLO Cc1ccc(NC(=O)N2CCC[C@@H](CC(C)C)C2)c(C)[nH+]1 ZINC000177641998 333061136 /nfs/dbraw/zinc/06/11/36/333061136.db2.gz RVNMXBRQJIQLND-HNNXBMFYSA-N 1 2 289.423 3.988 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc(N3CCCCC3)[nH+]c2)[C@H]1C ZINC000311617215 333070433 /nfs/dbraw/zinc/07/04/33/333070433.db2.gz GNSGUKOOFLFUSJ-IPYPFGDCSA-N 1 2 259.397 3.528 20 0 CHADLO CCCC[C@H](CC)CC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178380269 333071833 /nfs/dbraw/zinc/07/18/33/333071833.db2.gz KNDWDDRULXVUBL-AWEZNQCLSA-N 1 2 287.407 3.557 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cnc(C3CCCC3)s2)C1 ZINC000516974507 333088506 /nfs/dbraw/zinc/08/85/06/333088506.db2.gz BVYKEAPJCFEUKI-GFCCVEGCSA-N 1 2 268.401 3.735 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cnc(C3CCCC3)s2)C1 ZINC000516974507 333088507 /nfs/dbraw/zinc/08/85/07/333088507.db2.gz BVYKEAPJCFEUKI-GFCCVEGCSA-N 1 2 268.401 3.735 20 0 CHADLO Clc1ccc(C[N@@H+]2CC[C@@H]2c2ccccc2)nc1 ZINC000521014159 333109786 /nfs/dbraw/zinc/10/97/86/333109786.db2.gz IKBGBFFYUZVGPY-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccc(C[N@H+]2CC[C@@H]2c2ccccc2)nc1 ZINC000521014159 333109787 /nfs/dbraw/zinc/10/97/87/333109787.db2.gz IKBGBFFYUZVGPY-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO CC[C@@H](C)[C@@H](C)Nc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000336851077 335121383 /nfs/dbraw/zinc/12/13/83/335121383.db2.gz DQZRDWBCRFHSSY-CHWSQXEVSA-N 1 2 295.390 3.662 20 0 CHADLO CC(C)OCCC[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000185609080 333145019 /nfs/dbraw/zinc/14/50/19/333145019.db2.gz XGSLSBZCNKODAW-MRXNPFEDSA-N 1 2 297.826 3.528 20 0 CHADLO CC(C)OCCC[N@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000185609080 333145021 /nfs/dbraw/zinc/14/50/21/333145021.db2.gz XGSLSBZCNKODAW-MRXNPFEDSA-N 1 2 297.826 3.528 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ncc(C)o1)c1ccc(F)cc1 ZINC000220926899 333170769 /nfs/dbraw/zinc/17/07/69/333170769.db2.gz KBPPSFAKPALQGF-SMDDNHRTSA-N 1 2 262.328 3.924 20 0 CHADLO C[C@@H](Nc1cccc(-n2cc[nH+]c2)c1)C1CCSCC1 ZINC000187505233 333171761 /nfs/dbraw/zinc/17/17/61/333171761.db2.gz ZPVPYRHOQOCESF-CYBMUJFWSA-N 1 2 287.432 3.816 20 0 CHADLO C[C@H]1CCC[C@H]1CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000187615433 333173156 /nfs/dbraw/zinc/17/31/56/333173156.db2.gz LUAQRXXISCEYRP-JSGCOSHPSA-N 1 2 283.375 3.842 20 0 CHADLO CCCC[C@@H](CCC)Sc1nc(N)cc(C)[nH+]1 ZINC000521669249 333183262 /nfs/dbraw/zinc/18/32/62/333183262.db2.gz WRSBXMXDEDMHPV-LLVKDONJSA-N 1 2 253.415 3.649 20 0 CHADLO Cc1ccccc1[C@H]1CCN(c2cc[nH+]c(C(C)C)n2)C1 ZINC000521932414 333224024 /nfs/dbraw/zinc/22/40/24/333224024.db2.gz NRHVDSUTDFSXIC-HNNXBMFYSA-N 1 2 281.403 3.902 20 0 CHADLO Cc1ccc(NC(=O)Nc2nc3ccccc3s2)c(C)[nH+]1 ZINC000191863645 333226480 /nfs/dbraw/zinc/22/64/80/333226480.db2.gz DNVHXHHEJADXIF-UHFFFAOYSA-N 1 2 298.371 3.952 20 0 CHADLO Cc1cccn2c(CNc3ccc4scnc4c3)c[nH+]c12 ZINC000192257280 333233666 /nfs/dbraw/zinc/23/36/66/333233666.db2.gz DPMNBQDGDZOQPS-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1ncc(Cl)s1 ZINC000225303273 333234992 /nfs/dbraw/zinc/23/49/92/333234992.db2.gz DIINWWTYMYTPMB-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccccc1OC(F)F ZINC000192597511 333236764 /nfs/dbraw/zinc/23/67/64/333236764.db2.gz NEDKRZPNKHQATO-LBPRGKRZSA-N 1 2 292.329 3.666 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccccc1OC(F)F ZINC000192597511 333236765 /nfs/dbraw/zinc/23/67/65/333236765.db2.gz NEDKRZPNKHQATO-LBPRGKRZSA-N 1 2 292.329 3.666 20 0 CHADLO C[NH+](C)[C@H](CNCc1sccc1Cl)c1ccccc1 ZINC000192980713 333240809 /nfs/dbraw/zinc/24/08/09/333240809.db2.gz BCDSRFVNZXGMKZ-CQSZACIVSA-N 1 2 294.851 3.794 20 0 CHADLO CC(C)(C)c1[nH]c2ccc(NC(=O)CC(F)(F)F)cc2[nH+]1 ZINC000193499610 333251868 /nfs/dbraw/zinc/25/18/68/333251868.db2.gz QVGQWACCFYKICP-UHFFFAOYSA-N 1 2 299.296 3.751 20 0 CHADLO Cc1cc(N(C)[C@H](C)C(C)(C)C)nc(-c2ccncc2)[nH+]1 ZINC000522183034 333257625 /nfs/dbraw/zinc/25/76/25/333257625.db2.gz YHEYFAYIXVAKOG-CYBMUJFWSA-N 1 2 284.407 3.718 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCC[C@H]2c2ncon2)cc1 ZINC000522229513 333268052 /nfs/dbraw/zinc/26/80/52/333268052.db2.gz QMNUVWDKJRGKEZ-HNNXBMFYSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCC[C@H]2c2ncon2)cc1 ZINC000522229513 333268053 /nfs/dbraw/zinc/26/80/53/333268053.db2.gz QMNUVWDKJRGKEZ-HNNXBMFYSA-N 1 2 285.391 3.704 20 0 CHADLO CC[C@H](C(=O)Nc1ccc2[nH+]c(C)cn2c1)c1ccccc1 ZINC000522248907 333271923 /nfs/dbraw/zinc/27/19/23/333271923.db2.gz QTUJYQPGTWPLOS-INIZCTEOSA-N 1 2 293.370 3.775 20 0 CHADLO C[C@H](CNc1cc(C(F)(F)F)cc[nH+]1)c1nccs1 ZINC000230611236 333318982 /nfs/dbraw/zinc/31/89/82/333318982.db2.gz OINQUILDOWDYQJ-MRVPVSSYSA-N 1 2 287.310 3.773 20 0 CHADLO CO[C@H]1CC[C@@H](Nc2[nH+]c3ccccc3cc2C)C1 ZINC000231682873 333327830 /nfs/dbraw/zinc/32/78/30/333327830.db2.gz GYBWBSAABKWYFR-KGLIPLIRSA-N 1 2 256.349 3.523 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(C(C)(C)C)cc1 ZINC000523551519 333332604 /nfs/dbraw/zinc/33/26/04/333332604.db2.gz ZUAQJSKKURXWNO-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(C(C)(C)C)cc1 ZINC000523551519 333332606 /nfs/dbraw/zinc/33/26/06/333332606.db2.gz ZUAQJSKKURXWNO-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO CCOC(=O)C[N@H+]([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000303250967 333339337 /nfs/dbraw/zinc/33/93/37/333339337.db2.gz NDAYRWQVMHFJOU-CQSZACIVSA-N 1 2 297.398 3.928 20 0 CHADLO CCOC(=O)C[N@@H+]([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000303250967 333339338 /nfs/dbraw/zinc/33/93/38/333339338.db2.gz NDAYRWQVMHFJOU-CQSZACIVSA-N 1 2 297.398 3.928 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)C1CCCCCC1 ZINC000523837388 333347707 /nfs/dbraw/zinc/34/77/07/333347707.db2.gz CNUGQQYOKRWLNO-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO Cc1ccc([C@@H](C)CNc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000524103867 333353520 /nfs/dbraw/zinc/35/35/20/333353520.db2.gz IPRCOYGZABFDJG-ZDUSSCGKSA-N 1 2 281.403 3.608 20 0 CHADLO CC(C)(C)[C@H]1CCCC[C@@H]1NC(=O)c1ccc2[nH+]ccn2c1 ZINC000524444253 333362271 /nfs/dbraw/zinc/36/22/71/333362271.db2.gz SWQJZVLBZBOVLJ-GJZGRUSLSA-N 1 2 299.418 3.669 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1ccc(F)cn1 ZINC000234929183 333363010 /nfs/dbraw/zinc/36/30/10/333363010.db2.gz WSJSJWJKYIPSNY-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO COc1cccc2c1cc[nH+]c2NC[C@@H](C)c1nccs1 ZINC000235032763 333364915 /nfs/dbraw/zinc/36/49/15/333364915.db2.gz OANJTPVQSACMEU-LLVKDONJSA-N 1 2 299.399 3.916 20 0 CHADLO c1nc(C[NH2+]Cc2ccccc2OCc2ccccc2)co1 ZINC000236771603 333375446 /nfs/dbraw/zinc/37/54/46/333375446.db2.gz CDORKAHTIHBNBM-UHFFFAOYSA-N 1 2 294.354 3.543 20 0 CHADLO COC(=O)[C@@H]([NH2+]CC[C@@H](C)c1ccccc1)c1ccccc1 ZINC000237091901 333377545 /nfs/dbraw/zinc/37/75/45/333377545.db2.gz WNTHJMQJUZNOBO-QAPCUYQASA-N 1 2 297.398 3.684 20 0 CHADLO CCC[N@H+](CCOC1CCCCCC1)CC(F)F ZINC000524907051 333393268 /nfs/dbraw/zinc/39/32/68/333393268.db2.gz PYJWVGMGEYJVSO-UHFFFAOYSA-N 1 2 263.372 3.703 20 0 CHADLO CCC[N@@H+](CCOC1CCCCCC1)CC(F)F ZINC000524907051 333393269 /nfs/dbraw/zinc/39/32/69/333393269.db2.gz PYJWVGMGEYJVSO-UHFFFAOYSA-N 1 2 263.372 3.703 20 0 CHADLO COc1c(C)c[nH+]c(COc2ccc(C(C)=O)cc2C)c1C ZINC000317061992 333408853 /nfs/dbraw/zinc/40/88/53/333408853.db2.gz RJMLTBDVRCJLHN-UHFFFAOYSA-N 1 2 299.370 3.797 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccc(F)cc1 ZINC000036982224 333449202 /nfs/dbraw/zinc/44/92/02/333449202.db2.gz QKRAHNKTLVBYRF-ZDUSSCGKSA-N 1 2 285.366 3.994 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1noc(C)n1)c1cc(C)ccc1C ZINC000528801853 333459031 /nfs/dbraw/zinc/45/90/31/333459031.db2.gz XOQXIQPWXNLYFN-DOMZBBRYSA-N 1 2 273.380 3.797 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@H]2CCCC23CC3)[nH+]1 ZINC000528850254 333461551 /nfs/dbraw/zinc/46/15/51/333461551.db2.gz JHVFLNDCXFHOON-CQSZACIVSA-N 1 2 295.386 3.904 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1[C@H](C)[C@@H]1C1CC1 ZINC000529225758 333478118 /nfs/dbraw/zinc/47/81/18/333478118.db2.gz MIYXRHHSKFZRGG-HFBAOOFYSA-N 1 2 295.386 3.616 20 0 CHADLO Cn1c[nH+]cc1CC(=O)Nc1cccc(C2CCCCC2)c1 ZINC000529648182 333503478 /nfs/dbraw/zinc/50/34/78/333503478.db2.gz BAUWFQJNRCIBAX-UHFFFAOYSA-N 1 2 297.402 3.649 20 0 CHADLO OC[C@@H]([NH2+]Cc1ccccc1)c1c(Cl)cccc1Cl ZINC000038110915 333545445 /nfs/dbraw/zinc/54/54/45/333545445.db2.gz RQNKYHRSHUYAIR-CQSZACIVSA-N 1 2 296.197 3.817 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccccc2OC(C)C)no1 ZINC000538126297 333575539 /nfs/dbraw/zinc/57/55/39/333575539.db2.gz ZENFBGISGMRNKD-ZDUSSCGKSA-N 1 2 274.364 3.621 20 0 CHADLO CC(C)[C@H]([NH2+]C/C=C/c1ccccc1)C(=O)OC(C)(C)C ZINC000540657529 333740622 /nfs/dbraw/zinc/74/06/22/333740622.db2.gz YQPPNSAVYWANOK-YHVDPYDOSA-N 1 2 289.419 3.656 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cnc4c(c3)CCCC4)n2c1 ZINC000541065684 333761360 /nfs/dbraw/zinc/76/13/60/333761360.db2.gz VWPDOCGKGGQAGI-UHFFFAOYSA-N 1 2 292.386 3.529 20 0 CHADLO Cc1ccc([C@H]2CCN(c3[nH+]c(C)nc4[nH]ccc43)C2)cc1 ZINC000541336516 333772706 /nfs/dbraw/zinc/77/27/06/333772706.db2.gz MOARKIVBNMUZNF-HNNXBMFYSA-N 1 2 292.386 3.569 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccn(C)n1 ZINC000542669806 333835583 /nfs/dbraw/zinc/83/55/83/333835583.db2.gz AXQSJPBQNRNHAZ-GWCFXTLKSA-N 1 2 279.334 3.500 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2nc3ccccc3n2C)o1 ZINC000071006987 333841605 /nfs/dbraw/zinc/84/16/05/333841605.db2.gz FPAZQEMRKIUZMZ-OCCSQVGLSA-N 1 2 295.386 3.580 20 0 CHADLO C[C@@H]1CC[C@]2(CCN(c3[nH+]c4ccccc4n3C)C2)C1 ZINC000542865912 333846340 /nfs/dbraw/zinc/84/63/40/333846340.db2.gz XXZVGFYCLBRWOP-DYVFJYSZSA-N 1 2 269.392 3.590 20 0 CHADLO COc1ccc(CC[C@H](C)Nc2c[nH+]ccc2C)cc1 ZINC000071413716 333860212 /nfs/dbraw/zinc/86/02/12/333860212.db2.gz TVJVOWCAEDTJCW-AWEZNQCLSA-N 1 2 270.376 3.832 20 0 CHADLO C[C@@H]1CN(c2[nH+]c3ccccc3n2C)[C@H]2CCCC[C@H]12 ZINC000543894780 333905046 /nfs/dbraw/zinc/90/50/46/333905046.db2.gz RLQVLBXCNYOHRP-NFAWXSAZSA-N 1 2 269.392 3.588 20 0 CHADLO CC(C)(C)[C@@H]1CCN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000544134656 333918076 /nfs/dbraw/zinc/91/80/76/333918076.db2.gz NKVNRYKDZZEZSE-LLVKDONJSA-N 1 2 272.314 3.973 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](C)c2c(C)cccc2C)c(C)c[nH+]1 ZINC000544889013 333950542 /nfs/dbraw/zinc/95/05/42/333950542.db2.gz UJLOGZNMQRAUGE-HNNXBMFYSA-N 1 2 297.402 3.620 20 0 CHADLO Cc1cccn2cc(Cc3nc([C@H](C)C(C)(C)C)no3)[nH+]c12 ZINC000544957865 333955041 /nfs/dbraw/zinc/95/50/41/333955041.db2.gz KZKJKKGOSRAOFP-LBPRGKRZSA-N 1 2 298.390 3.766 20 0 CHADLO CCCc1occc1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000545258242 333974058 /nfs/dbraw/zinc/97/40/58/333974058.db2.gz FUGJHXFDWDINQN-UHFFFAOYSA-N 1 2 295.342 3.875 20 0 CHADLO Cn1c2ccc(NC(=O)CC3CC3)cc2[nH+]c1C(C)(C)C ZINC000132479689 333981106 /nfs/dbraw/zinc/98/11/06/333981106.db2.gz UAIPNHZKQQSUQM-UHFFFAOYSA-N 1 2 285.391 3.609 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1ncc(Cl)s1)CC2 ZINC000546022140 334013046 /nfs/dbraw/zinc/01/30/46/334013046.db2.gz YORLIHXAMGHDEL-NSHDSACASA-N 1 2 282.771 3.713 20 0 CHADLO CCC(CC)c1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000546385432 334030220 /nfs/dbraw/zinc/03/02/20/334030220.db2.gz QHOOTZRLYWHMTL-UHFFFAOYSA-N 1 2 284.363 3.520 20 0 CHADLO CCC[N@@H+](Cc1ccc(F)c2cccnc12)CC(F)F ZINC000133308850 334036586 /nfs/dbraw/zinc/03/65/86/334036586.db2.gz OGLSZONFWVQMSO-UHFFFAOYSA-N 1 2 282.309 3.851 20 0 CHADLO CCC[N@H+](Cc1ccc(F)c2cccnc12)CC(F)F ZINC000133308850 334036587 /nfs/dbraw/zinc/03/65/87/334036587.db2.gz OGLSZONFWVQMSO-UHFFFAOYSA-N 1 2 282.309 3.851 20 0 CHADLO CC[C@H](NC(=O)c1ccc2[nH+]ccn2c1)c1ccc(C)cc1 ZINC000075474406 334043037 /nfs/dbraw/zinc/04/30/37/334043037.db2.gz KUPSNPORGKMGGL-INIZCTEOSA-N 1 2 293.370 3.524 20 0 CHADLO CC(C)c1nc(NC[C@@H](C[C@H](C)O)c2ccccc2)cc[nH+]1 ZINC000133611159 334047713 /nfs/dbraw/zinc/04/77/13/334047713.db2.gz QENDTVHYGLDPRG-GOEBONIOSA-N 1 2 299.418 3.567 20 0 CHADLO CC[C@@H](CC(F)(F)F)[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000546886676 334053970 /nfs/dbraw/zinc/05/39/70/334053970.db2.gz BHLUDKRLAFGSAH-IUCAKERBSA-N 1 2 293.333 3.749 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2ncc(Cl)s2)o1 ZINC000547435731 334088233 /nfs/dbraw/zinc/08/82/33/334088233.db2.gz NSDUSIYUDYALMB-WPRPVWTQSA-N 1 2 282.796 3.803 20 0 CHADLO c1coc([C@@H]2CCCCC[N@H+]2Cc2nnc(C3CC3)o2)c1 ZINC000077177112 334097571 /nfs/dbraw/zinc/09/75/71/334097571.db2.gz DQPYSIKWHRCIBS-ZDUSSCGKSA-N 1 2 287.363 3.657 20 0 CHADLO c1coc([C@@H]2CCCCC[N@@H+]2Cc2nnc(C3CC3)o2)c1 ZINC000077177112 334097573 /nfs/dbraw/zinc/09/75/73/334097573.db2.gz DQPYSIKWHRCIBS-ZDUSSCGKSA-N 1 2 287.363 3.657 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2ccon2)c2ccccc2)cc1 ZINC000077247312 334100004 /nfs/dbraw/zinc/10/00/04/334100004.db2.gz KTISQDYEZDRPQQ-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1ccccc1F ZINC000134773020 334103722 /nfs/dbraw/zinc/10/37/22/334103722.db2.gz BLYLIWGPUGWCJO-LLVKDONJSA-N 1 2 277.314 3.824 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1ccc(Br)c(F)c1 ZINC000547770021 334116268 /nfs/dbraw/zinc/11/62/68/334116268.db2.gz SZSYRBPYHOLADM-RKDXNWHRSA-N 1 2 272.161 3.571 20 0 CHADLO CC1(C)CCC[C@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000547788429 334118755 /nfs/dbraw/zinc/11/87/55/334118755.db2.gz ARPCTFAVJMDTMQ-AWEZNQCLSA-N 1 2 283.375 3.842 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+](C)Cc2ccccc2C)n1 ZINC000078899804 334142461 /nfs/dbraw/zinc/14/24/61/334142461.db2.gz NIAMKKPHCJNELV-CQSZACIVSA-N 1 2 287.407 3.914 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+](C)Cc2ccccc2C)n1 ZINC000078899804 334142462 /nfs/dbraw/zinc/14/24/62/334142462.db2.gz NIAMKKPHCJNELV-CQSZACIVSA-N 1 2 287.407 3.914 20 0 CHADLO Cc1ccc(COc2ccc3c(c2)CCCC(=O)N3)c(C)[nH+]1 ZINC000548151151 334143271 /nfs/dbraw/zinc/14/32/71/334143271.db2.gz OMRPXIXEVRWIFL-UHFFFAOYSA-N 1 2 296.370 3.552 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2cc(F)c(F)cc2F)n1 ZINC000136029685 334144645 /nfs/dbraw/zinc/14/46/45/334144645.db2.gz BKQYOZVILIIWJW-QMMMGPOBSA-N 1 2 286.322 3.720 20 0 CHADLO COc1ccccc1C[NH2+]Cc1csc(C(C)(C)C)n1 ZINC000080024449 334165312 /nfs/dbraw/zinc/16/53/12/334165312.db2.gz XPSHIMFXHIHEEH-UHFFFAOYSA-N 1 2 290.432 3.739 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+]Cc2c(F)cccc2F)cn1 ZINC000548728346 334167472 /nfs/dbraw/zinc/16/74/72/334167472.db2.gz JNDOICAZTHGCET-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2nccs2)c(F)c1 ZINC000080265522 334168776 /nfs/dbraw/zinc/16/87/76/334168776.db2.gz LVBJHDLGLILBDP-UWVGGRQHSA-N 1 2 280.368 3.703 20 0 CHADLO Cn1nccc1CC[N@H+](CC(C)(C)C)c1ccccc1 ZINC000549066558 334184243 /nfs/dbraw/zinc/18/42/43/334184243.db2.gz BYTTZCJTPUUGEO-UHFFFAOYSA-N 1 2 271.408 3.515 20 0 CHADLO Cn1nccc1CC[N@@H+](CC(C)(C)C)c1ccccc1 ZINC000549066558 334184245 /nfs/dbraw/zinc/18/42/45/334184245.db2.gz BYTTZCJTPUUGEO-UHFFFAOYSA-N 1 2 271.408 3.515 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]Cc2cc(C)cc(Cl)c2)no1 ZINC000549820989 334205864 /nfs/dbraw/zinc/20/58/64/334205864.db2.gz KCROJXBHZMWKBI-UHFFFAOYSA-N 1 2 293.798 3.619 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1cc(Cl)ccc1Cl ZINC000550028553 334211740 /nfs/dbraw/zinc/21/17/40/334211740.db2.gz GZFLGBLVDMIAKL-NSHDSACASA-N 1 2 262.155 3.927 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1cc(Cl)ccc1Cl ZINC000550028553 334211741 /nfs/dbraw/zinc/21/17/41/334211741.db2.gz GZFLGBLVDMIAKL-NSHDSACASA-N 1 2 262.155 3.927 20 0 CHADLO FC[C@H]1CCC[N@@H+]1CCOc1c(Cl)cccc1Cl ZINC000550409547 334221904 /nfs/dbraw/zinc/22/19/04/334221904.db2.gz MSMVEZJMNRICGU-SNVBAGLBSA-N 1 2 292.181 3.806 20 0 CHADLO FC[C@H]1CCC[N@H+]1CCOc1c(Cl)cccc1Cl ZINC000550409547 334221906 /nfs/dbraw/zinc/22/19/06/334221906.db2.gz MSMVEZJMNRICGU-SNVBAGLBSA-N 1 2 292.181 3.806 20 0 CHADLO CC(C)[C@H]([NH2+]CC(C)(F)F)c1cc(F)ccc1F ZINC000550860713 334230771 /nfs/dbraw/zinc/23/07/71/334230771.db2.gz NLIZNJRKTIHJLO-LBPRGKRZSA-N 1 2 263.278 3.907 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCSc2ccc(F)cc21 ZINC000550883584 334231612 /nfs/dbraw/zinc/23/16/12/334231612.db2.gz XIRGZEYQDBREKS-JTQLQIEISA-N 1 2 261.312 3.607 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCSc2ccc(F)cc21 ZINC000550883586 334231662 /nfs/dbraw/zinc/23/16/62/334231662.db2.gz XIRGZEYQDBREKS-SNVBAGLBSA-N 1 2 261.312 3.607 20 0 CHADLO Cc1ccc(COc2cccc3c2CCCC(=O)N3)c(C)[nH+]1 ZINC000552013612 334274668 /nfs/dbraw/zinc/27/46/68/334274668.db2.gz DXNFJOYTZDHGFP-UHFFFAOYSA-N 1 2 296.370 3.552 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000551262757 334243387 /nfs/dbraw/zinc/24/33/87/334243387.db2.gz GULSPNYOOCDRJI-GFCCVEGCSA-N 1 2 267.319 3.678 20 0 CHADLO Cc1ccc2[nH+]c(CNc3ccc4c(c3)CCC4)cn2c1 ZINC000551575700 334254917 /nfs/dbraw/zinc/25/49/17/334254917.db2.gz KTJBBNVHILHFOI-UHFFFAOYSA-N 1 2 277.371 3.744 20 0 CHADLO CC[N@H+](Cc1csc(COC)n1)Cc1ccc(C)cc1 ZINC000551940086 334266979 /nfs/dbraw/zinc/26/69/79/334266979.db2.gz ZMFNTJKOUKIFJO-UHFFFAOYSA-N 1 2 290.432 3.620 20 0 CHADLO CC[N@@H+](Cc1csc(COC)n1)Cc1ccc(C)cc1 ZINC000551940086 334266980 /nfs/dbraw/zinc/26/69/80/334266980.db2.gz ZMFNTJKOUKIFJO-UHFFFAOYSA-N 1 2 290.432 3.620 20 0 CHADLO CC1=CC[C@@H]([NH2+]c2ccc(-n3cccn3)cc2)CC1 ZINC000551973824 334268464 /nfs/dbraw/zinc/26/84/64/334268464.db2.gz ZSWVKRYGFKYBBC-CQSZACIVSA-N 1 2 253.349 3.783 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(C2CC2)s1)c1c(F)cncc1F ZINC000552021671 334277408 /nfs/dbraw/zinc/27/74/08/334277408.db2.gz DVNOCMJOXZGICI-MRVPVSSYSA-N 1 2 295.358 3.545 20 0 CHADLO CCCCc1nc(C[N@H+](C)[C@@H]2CC[C@@H](SCC)C2)no1 ZINC000247700188 334288898 /nfs/dbraw/zinc/28/88/98/334288898.db2.gz XPTBSSOOZIRKDN-CHWSQXEVSA-N 1 2 297.468 3.518 20 0 CHADLO CCCCc1nc(C[N@@H+](C)[C@@H]2CC[C@@H](SCC)C2)no1 ZINC000247700188 334288900 /nfs/dbraw/zinc/28/89/00/334288900.db2.gz XPTBSSOOZIRKDN-CHWSQXEVSA-N 1 2 297.468 3.518 20 0 CHADLO COC[C@@H]([NH2+]CC(F)(F)c1ccc(Cl)cc1)C(C)C ZINC000552098664 334289604 /nfs/dbraw/zinc/28/96/04/334289604.db2.gz KZJNNUYHZFNAFU-CYBMUJFWSA-N 1 2 291.769 3.692 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000552146995 334295195 /nfs/dbraw/zinc/29/51/95/334295195.db2.gz HDWIQLRDQBIEGH-IRXDYDNUSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000552146995 334295197 /nfs/dbraw/zinc/29/51/97/334295197.db2.gz HDWIQLRDQBIEGH-IRXDYDNUSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)cs2)c1 ZINC000552251273 334308893 /nfs/dbraw/zinc/30/88/93/334308893.db2.gz QJMQBERFDYXLSS-LBPRGKRZSA-N 1 2 289.448 3.995 20 0 CHADLO CC[C@H]1CC[C@H](C(=O)Nc2ccc(C)[nH+]c2C)CC1 ZINC000249264286 334330685 /nfs/dbraw/zinc/33/06/85/334330685.db2.gz IMEUPQGAUTZEBG-HDJSIYSDSA-N 1 2 260.381 3.853 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000249275188 334330942 /nfs/dbraw/zinc/33/09/42/334330942.db2.gz LRMRPWDDYCFTCE-SMDDNHRTSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000249275188 334330943 /nfs/dbraw/zinc/33/09/43/334330943.db2.gz LRMRPWDDYCFTCE-SMDDNHRTSA-N 1 2 253.773 3.512 20 0 CHADLO CCC[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000553144743 334364698 /nfs/dbraw/zinc/36/46/98/334364698.db2.gz DYOCZBGSAAYDKA-LBPRGKRZSA-N 1 2 290.794 3.894 20 0 CHADLO CO[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CC[C@@H]1C ZINC000553200918 334368662 /nfs/dbraw/zinc/36/86/62/334368662.db2.gz PZHYJOHQSUGBAJ-NHYWBVRUSA-N 1 2 290.794 3.749 20 0 CHADLO CN(Cc1ccc(OCC(F)(F)F)cc1)c1cccc[nH+]1 ZINC000553243952 334371425 /nfs/dbraw/zinc/37/14/25/334371425.db2.gz IAWALWUFZFBQLL-UHFFFAOYSA-N 1 2 296.292 3.659 20 0 CHADLO C[C@H]1COC(C)(C)CN1c1cc[nH+]c2c(Cl)cccc12 ZINC000553246972 334371793 /nfs/dbraw/zinc/37/17/93/334371793.db2.gz ZEHSORMVZNLZIX-NSHDSACASA-N 1 2 290.794 3.892 20 0 CHADLO Clc1cccc2c(NCCOCC3CC3)cc[nH+]c12 ZINC000553387140 334381742 /nfs/dbraw/zinc/38/17/42/334381742.db2.gz ULDCYYDENZPQEM-UHFFFAOYSA-N 1 2 276.767 3.727 20 0 CHADLO Cc1cc[nH+]c(NC[C@H](CCO)c2ccccc2)c1Cl ZINC000553416588 334383457 /nfs/dbraw/zinc/38/34/57/334383457.db2.gz MBGHQAFHLGEVOB-AWEZNQCLSA-N 1 2 290.794 3.622 20 0 CHADLO CC[C@H](C)CN(C)c1ccc(F)cc1CNc1c[nH]c[nH+]1 ZINC000555104607 334468808 /nfs/dbraw/zinc/46/88/08/334468808.db2.gz NBXABPJFYFJLBY-LBPRGKRZSA-N 1 2 290.386 3.643 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2ccoc2)c[nH+]1 ZINC000094868219 334506621 /nfs/dbraw/zinc/50/66/21/334506621.db2.gz YAIMMOKMJZKZNP-GFCCVEGCSA-N 1 2 259.353 3.694 20 0 CHADLO CCC1(CC)CCC(NC(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000565048204 334536102 /nfs/dbraw/zinc/53/61/02/334536102.db2.gz PAYMDXYEHIFZNA-AWEZNQCLSA-N 1 2 291.439 3.699 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)c2cccc(C3CCC3)c2)[nH+]1 ZINC000565108251 334538966 /nfs/dbraw/zinc/53/89/66/334538966.db2.gz UFFZGVWXIZCQIM-UHFFFAOYSA-N 1 2 297.402 3.651 20 0 CHADLO CSc1cc(C)ccc1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000565484698 334567905 /nfs/dbraw/zinc/56/79/05/334567905.db2.gz ZNEHPOHQSYOGQC-GFCCVEGCSA-N 1 2 289.404 3.503 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H](C)c1cccc(C2CC2)c1 ZINC000565582260 334576146 /nfs/dbraw/zinc/57/61/46/334576146.db2.gz SMMPJLKCKYWCLI-ZDUSSCGKSA-N 1 2 295.386 3.572 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H]2CC3CCC2CC3)n1 ZINC000566956267 334670899 /nfs/dbraw/zinc/67/08/99/334670899.db2.gz BKDNTSYGKYIPIT-YMAMQOFZSA-N 1 2 297.402 3.852 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H]2CC3CCC2CC3)n1 ZINC000566956266 334670933 /nfs/dbraw/zinc/67/09/33/334670933.db2.gz BKDNTSYGKYIPIT-NRXISQOPSA-N 1 2 297.402 3.852 20 0 CHADLO Cc1cc(N)nc(S[C@@H](C)c2ccccc2C)[nH+]1 ZINC000154030289 334685877 /nfs/dbraw/zinc/68/58/77/334685877.db2.gz DUQCMPXNCGRYBZ-NSHDSACASA-N 1 2 259.378 3.529 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)c1cccs1 ZINC000155840749 334730600 /nfs/dbraw/zinc/73/06/00/334730600.db2.gz VCCVIPCIJLQDKK-LBPRGKRZSA-N 1 2 297.383 3.676 20 0 CHADLO c1[nH+]c2ccccn2c1CSCc1ccccc1 ZINC000155868500 334730812 /nfs/dbraw/zinc/73/08/12/334730812.db2.gz OZDKHFUKXWZUPE-UHFFFAOYSA-N 1 2 254.358 3.768 20 0 CHADLO COc1ccsc1[C@@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000567628104 334742286 /nfs/dbraw/zinc/74/22/86/334742286.db2.gz JTUVQLDTIVBXBF-DTWKUNHWSA-N 1 2 268.407 3.625 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CCC(c2ccccc2F)CC1 ZINC000568413242 334823928 /nfs/dbraw/zinc/82/39/28/334823928.db2.gz ZNZFIPMJETWDLF-UHFFFAOYSA-N 1 2 285.366 3.617 20 0 CHADLO CC(C)[C@H]1C[C@@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000568441739 334825008 /nfs/dbraw/zinc/82/50/08/334825008.db2.gz FVVFVPOUCYESMA-CABCVRRESA-N 1 2 294.358 3.682 20 0 CHADLO COC(=O)CCC[C@@H]1CCC[C@H](Nc2ccc(C)[nH+]c2)C1 ZINC000572861909 334885553 /nfs/dbraw/zinc/88/55/53/334885553.db2.gz GEXMECLLGPEPBK-GJZGRUSLSA-N 1 2 290.407 3.704 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cnc(CCc2ccccc2)s1 ZINC000576343977 335192029 /nfs/dbraw/zinc/19/20/29/335192029.db2.gz OSGBXYFFKHLYGB-UHFFFAOYSA-N 1 2 296.386 3.673 20 0 CHADLO CC(C)C[C@H]1CCCCCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000576521038 335214373 /nfs/dbraw/zinc/21/43/73/335214373.db2.gz LBLXHYFEUARDDQ-JKSUJKDBSA-N 1 2 291.439 3.652 20 0 CHADLO CCCCCN(C(=O)[C@@H](C)Cc1c[nH+]c[nH]1)[C@H](C)CCC ZINC000576528706 335215776 /nfs/dbraw/zinc/21/57/76/335215776.db2.gz KRWOGVDHNAMYDJ-LSDHHAIUSA-N 1 2 293.455 3.796 20 0 CHADLO CCCCCN(C(=O)[C@@H](C)Cc1c[nH]c[nH+]1)[C@H](C)CCC ZINC000576528706 335215777 /nfs/dbraw/zinc/21/57/77/335215777.db2.gz KRWOGVDHNAMYDJ-LSDHHAIUSA-N 1 2 293.455 3.796 20 0 CHADLO CC(C)c1ccc2c(c1)[C@H]([NH2+]Cc1ncccn1)CCCO2 ZINC000576718654 335239869 /nfs/dbraw/zinc/23/98/69/335239869.db2.gz GCUHRZCWLLZTBC-MRXNPFEDSA-N 1 2 297.402 3.604 20 0 CHADLO CC(C)c1ccc2c(c1)[C@@H]([NH2+]Cc1ncccn1)CCCO2 ZINC000576718653 335239904 /nfs/dbraw/zinc/23/99/04/335239904.db2.gz GCUHRZCWLLZTBC-INIZCTEOSA-N 1 2 297.402 3.604 20 0 CHADLO Brc1ccc(C2CC(Nc3c[nH]c[nH+]3)C2)cc1 ZINC000576794941 335248577 /nfs/dbraw/zinc/24/85/77/335248577.db2.gz CCPROTAZUBDPPF-UHFFFAOYSA-N 1 2 292.180 3.530 20 0 CHADLO C[C@@H](CC(=O)NCC(C)(C)C1CCCCC1)n1cc[nH+]c1 ZINC000576825355 335252649 /nfs/dbraw/zinc/25/26/49/335252649.db2.gz ACDVSMQINFHTKC-AWEZNQCLSA-N 1 2 291.439 3.557 20 0 CHADLO CCCc1noc(C[NH2+][C@H](CC)c2ccc(C)cc2)n1 ZINC000192520966 335272160 /nfs/dbraw/zinc/27/21/60/335272160.db2.gz BFURXGRPGNDRBD-CQSZACIVSA-N 1 2 273.380 3.571 20 0 CHADLO Clc1ccc2c(c1)[C@@H]([N@@H+]1Cc3cccnc3C1)CC2 ZINC000577385557 335346168 /nfs/dbraw/zinc/34/61/68/335346168.db2.gz HFRDETMWJDVZKM-INIZCTEOSA-N 1 2 270.763 3.738 20 0 CHADLO Clc1ccc2c(c1)[C@@H]([N@H+]1Cc3cccnc3C1)CC2 ZINC000577385557 335346170 /nfs/dbraw/zinc/34/61/70/335346170.db2.gz HFRDETMWJDVZKM-INIZCTEOSA-N 1 2 270.763 3.738 20 0 CHADLO Cc1[nH]c2c(cc(C)cc2CNc2nc(C)[nH+]cc2C)c1C ZINC000577428763 335351410 /nfs/dbraw/zinc/35/14/10/335351410.db2.gz GDNJCAQDDFYKRV-UHFFFAOYSA-N 1 2 294.402 3.534 20 0 CHADLO CCC1(c2noc([C@H](c3ccccc3)[NH+](C)C)n2)CCCC1 ZINC000577502510 335360788 /nfs/dbraw/zinc/36/07/88/335360788.db2.gz SVWSCJZYOLUSIQ-HNNXBMFYSA-N 1 2 299.418 3.942 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1cocn1 ZINC000346861586 335371580 /nfs/dbraw/zinc/37/15/80/335371580.db2.gz FRIJYEXPNWMMON-LLVKDONJSA-N 1 2 256.305 3.580 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H](C)c2ccoc2)n1 ZINC000178522487 335435771 /nfs/dbraw/zinc/43/57/71/335435771.db2.gz MMEBIFCEIGZJFT-JTQLQIEISA-N 1 2 250.367 3.710 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2nc(C3CCCC3)no2)C2CC2)cc1 ZINC000179687858 335508465 /nfs/dbraw/zinc/50/84/65/335508465.db2.gz AYWWPNQPLSULCU-KRWDZBQOSA-N 1 2 297.402 3.968 20 0 CHADLO Cc1nc(C[NH2+][C@@H](CC(C)C)c2ccc(Cl)cc2)no1 ZINC000179718965 335510979 /nfs/dbraw/zinc/51/09/79/335510979.db2.gz DMDGINHWGAEPBB-AWEZNQCLSA-N 1 2 293.798 3.908 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(F)cc3F)CCC2)oc1C ZINC000179992182 335539076 /nfs/dbraw/zinc/53/90/76/335539076.db2.gz VNCXGFLCKNXLEG-UHFFFAOYSA-N 1 2 292.329 3.739 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(C3CC3)cc2)o1 ZINC000579213042 335722076 /nfs/dbraw/zinc/72/20/76/335722076.db2.gz QWIFBPLMIFZJDF-MNOVXSKESA-N 1 2 271.364 3.667 20 0 CHADLO CC(C)c1cccc(N(C)C(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000579360569 335739702 /nfs/dbraw/zinc/73/97/02/335739702.db2.gz FOKPBJSQVDJGSY-CQSZACIVSA-N 1 2 285.391 3.621 20 0 CHADLO CC(C)[C@@H](CC(=O)NC(C)(C)c1[nH]cc[nH+]1)c1ccccc1 ZINC000579484717 335771296 /nfs/dbraw/zinc/77/12/96/335771296.db2.gz VKECKJBKYYDLGW-OAHLLOKOSA-N 1 2 299.418 3.591 20 0 CHADLO CC(C)[C@H](CC(=O)NC(C)(C)c1[nH]cc[nH+]1)c1ccccc1 ZINC000579484716 335771349 /nfs/dbraw/zinc/77/13/49/335771349.db2.gz VKECKJBKYYDLGW-HNNXBMFYSA-N 1 2 299.418 3.591 20 0 CHADLO Cc1cc[nH+]c(N[C@@H](C)[C@@H]2CCCCO2)c1Br ZINC000356754670 335788906 /nfs/dbraw/zinc/78/89/06/335788906.db2.gz JYOZYSYBSXBUKE-QWRGUYRKSA-N 1 2 299.212 3.522 20 0 CHADLO Cc1nnc(C[NH2+][C@H](C)c2oc3ccccc3c2C)s1 ZINC000356787988 335789101 /nfs/dbraw/zinc/78/91/01/335789101.db2.gz VUWMAJWTEFKQNM-SNVBAGLBSA-N 1 2 287.388 3.752 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCCOC(F)F ZINC000193611711 335978440 /nfs/dbraw/zinc/97/84/40/335978440.db2.gz RXKCAVQNXGTWDQ-UHFFFAOYSA-N 1 2 266.291 3.584 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@@H]1CCC[C@H]2C[C@H]21 ZINC000380450643 335986332 /nfs/dbraw/zinc/98/63/32/335986332.db2.gz PRPBSOSYYWYPQY-RRFJBIMHSA-N 1 2 275.392 3.621 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)ccc1F)c1cscn1 ZINC000581023434 335998650 /nfs/dbraw/zinc/99/86/50/335998650.db2.gz ZOUTUBUXHWTRLN-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2c(C)oc3ccccc32)n1 ZINC000581082930 336006548 /nfs/dbraw/zinc/00/65/48/336006548.db2.gz RCUARBOPRUJDLH-JTQLQIEISA-N 1 2 285.347 3.626 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2c(C)oc3ccccc32)n1 ZINC000581082930 336006549 /nfs/dbraw/zinc/00/65/49/336006549.db2.gz RCUARBOPRUJDLH-JTQLQIEISA-N 1 2 285.347 3.626 20 0 CHADLO Cc1cccc(N[C@@H](c2[nH]cc[nH+]2)c2cccnc2)c1Cl ZINC000195112175 336045916 /nfs/dbraw/zinc/04/59/16/336045916.db2.gz RJTYDGIRJKGTSV-OAHLLOKOSA-N 1 2 298.777 3.968 20 0 CHADLO COC(=O)C[NH2+][C@@H]1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000581384807 336066369 /nfs/dbraw/zinc/06/63/69/336066369.db2.gz NRFODNVLWJTQGY-LSDHHAIUSA-N 1 2 295.810 3.519 20 0 CHADLO CCC[N@H+](CCCC(=O)c1ccc(F)cc1)CC(F)F ZINC000387328488 336192297 /nfs/dbraw/zinc/19/22/97/336192297.db2.gz SXQNOSKODUBPBP-UHFFFAOYSA-N 1 2 287.325 3.766 20 0 CHADLO CCC[N@@H+](CCCC(=O)c1ccc(F)cc1)CC(F)F ZINC000387328488 336192298 /nfs/dbraw/zinc/19/22/98/336192298.db2.gz SXQNOSKODUBPBP-UHFFFAOYSA-N 1 2 287.325 3.766 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1ccnc(Cl)c1Cl ZINC000280726282 521395771 /nfs/dbraw/zinc/39/57/71/521395771.db2.gz COYCWAXWBKSOIR-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1ccnc(Cl)c1Cl ZINC000280726282 521395779 /nfs/dbraw/zinc/39/57/79/521395779.db2.gz COYCWAXWBKSOIR-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CCOCCC1(CNc2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000127695003 521692171 /nfs/dbraw/zinc/69/21/71/521692171.db2.gz GAVMVLQTSAGYOP-UHFFFAOYSA-N 1 2 288.313 3.719 20 0 CHADLO Cc1ccc(C2CC2)c(C(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)c1 ZINC000335495086 533737721 /nfs/dbraw/zinc/73/77/21/533737721.db2.gz IHUKAJRQYDBTRZ-MLGOLLRUSA-N 1 2 295.386 3.505 20 0 CHADLO Cc1ccc(CCCNc2cnn(-c3ccccc3)c2)c[nH+]1 ZINC000354582296 533783294 /nfs/dbraw/zinc/78/32/94/533783294.db2.gz HICUMLZRQMSDIV-UHFFFAOYSA-N 1 2 292.386 3.620 20 0 CHADLO COc1cccc2c(NC[C@H]3CCC(C)(C)O3)cc[nH+]c12 ZINC000302573074 533845007 /nfs/dbraw/zinc/84/50/07/533845007.db2.gz ZORXNCPMYBSVGK-GFCCVEGCSA-N 1 2 286.375 3.613 20 0 CHADLO COc1cccc2c(N[C@H](C)C[C@@H]3CCCO3)cc[nH+]c12 ZINC000302473982 533848361 /nfs/dbraw/zinc/84/83/61/533848361.db2.gz UTCMXHUNVPNVDW-OLZOCXBDSA-N 1 2 286.375 3.613 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2sccc2Cl)[nH]1 ZINC000278858415 522441392 /nfs/dbraw/zinc/44/13/92/522441392.db2.gz XQVFFUAFFZDKEJ-BDAKNGLRSA-N 1 2 298.843 3.884 20 0 CHADLO CSCc1cnc(C[N@@H+]2CC[C@H]2c2ccccc2)s1 ZINC000350120110 533869828 /nfs/dbraw/zinc/86/98/28/533869828.db2.gz IVRSAJSNKIUMDZ-AWEZNQCLSA-N 1 2 290.457 3.953 20 0 CHADLO CSCc1cnc(C[N@H+]2CC[C@H]2c2ccccc2)s1 ZINC000350120110 533869839 /nfs/dbraw/zinc/86/98/39/533869839.db2.gz IVRSAJSNKIUMDZ-AWEZNQCLSA-N 1 2 290.457 3.953 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncc(Cl)s2)s1 ZINC000351990190 533878904 /nfs/dbraw/zinc/87/89/04/533878904.db2.gz YURDKTJGEKWRFH-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncc(Cl)s2)s1 ZINC000351990190 533878913 /nfs/dbraw/zinc/87/89/13/533878913.db2.gz YURDKTJGEKWRFH-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@@H](C)c2ccco2)c(C)[nH+]1 ZINC000336147312 534073272 /nfs/dbraw/zinc/07/32/72/534073272.db2.gz QYAHJZPIBRTFSE-ZDUSSCGKSA-N 1 2 287.363 3.825 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@@H]2Nc1cc[nH+]c(C)n1 ZINC000301355823 534076550 /nfs/dbraw/zinc/07/65/50/534076550.db2.gz KHFPACGISMZEQF-ZDUSSCGKSA-N 1 2 271.364 3.812 20 0 CHADLO C[C@@H]1CC[C@@]2(CC[N@H+](Cc3ncc(Cl)s3)C2)C1 ZINC000351980571 534205265 /nfs/dbraw/zinc/20/52/65/534205265.db2.gz FQBCNLROPVSOLJ-ZWNOBZJWSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@@H]1CC[C@@]2(CC[N@@H+](Cc3ncc(Cl)s3)C2)C1 ZINC000351980571 534205271 /nfs/dbraw/zinc/20/52/71/534205271.db2.gz FQBCNLROPVSOLJ-ZWNOBZJWSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@@H]1CC[C@H](C(=O)Nc2c[nH+]ccc2OC(C)(C)C)C1 ZINC000345249289 534213866 /nfs/dbraw/zinc/21/38/66/534213866.db2.gz LNWRABKGADDZCG-NEPJUHHUSA-N 1 2 276.380 3.634 20 0 CHADLO CCC[C@@](C)([NH2+]C[C@@H](C)c1cccc(Cl)c1)C(=O)OC ZINC000526124047 517602755 /nfs/dbraw/zinc/60/27/55/517602755.db2.gz HVKRVISCFZXTHU-MLGOLLRUSA-N 1 2 297.826 3.765 20 0 CHADLO Cc1[nH+]c2ccccn2c1CSc1ccccc1O ZINC000476483348 518193101 /nfs/dbraw/zinc/19/31/01/518193101.db2.gz PKZQMVLBJOEKBM-UHFFFAOYSA-N 1 2 270.357 3.641 20 0 CHADLO Cc1nn(C)cc1CSCc1ccc(C(C)C)[nH+]c1C ZINC000419496907 518193770 /nfs/dbraw/zinc/19/37/70/518193770.db2.gz VPRWBMBARKUYBJ-UHFFFAOYSA-N 1 2 289.448 3.989 20 0 CHADLO C/C(=C\c1ccc(C)cc1)C(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000290836618 518439269 /nfs/dbraw/zinc/43/92/69/518439269.db2.gz XGCMNIIDLRUIHY-WSYSLRRZSA-N 1 2 297.402 3.635 20 0 CHADLO C/C=C(\CC)C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000279964624 518504499 /nfs/dbraw/zinc/50/44/99/518504499.db2.gz IWVGWOCVXWOPQW-UUILKARUSA-N 1 2 269.348 3.680 20 0 CHADLO CC=C(CC)C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000279964624 518504506 /nfs/dbraw/zinc/50/45/06/518504506.db2.gz IWVGWOCVXWOPQW-UUILKARUSA-N 1 2 269.348 3.680 20 0 CHADLO CC=C(CC)C(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000364970663 518505978 /nfs/dbraw/zinc/50/59/78/518505978.db2.gz CACXXZWEMJAEMB-UUILKARUSA-N 1 2 269.348 3.680 20 0 CHADLO CC(C)(C)C[C@@H]([NH2+]Cc1ccn[nH]1)c1ccccc1 ZINC000037128622 518678575 /nfs/dbraw/zinc/67/85/75/518678575.db2.gz SXAWRILBEMUFNV-OAHLLOKOSA-N 1 2 257.381 3.677 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(F)c3ccccc32)no1 ZINC000344135191 534390960 /nfs/dbraw/zinc/39/09/60/534390960.db2.gz FVYLVKAEBQKQHI-SNVBAGLBSA-N 1 2 285.322 3.521 20 0 CHADLO Cc1ccc2c(c1)CN(C(=O)Nc1c(C)cc[nH+]c1C)C2 ZINC000334683685 534423101 /nfs/dbraw/zinc/42/31/01/534423101.db2.gz MERXOZOYZXUJKH-UHFFFAOYSA-N 1 2 281.359 3.555 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@@H](C)c1csnn1)CCC2 ZINC000334847693 534439466 /nfs/dbraw/zinc/43/94/66/534439466.db2.gz PAMNEPVGVCGCOO-FZMZJTMJSA-N 1 2 273.405 3.575 20 0 CHADLO CC(C)COc1ccc(CNc2cccc[nH+]2)cc1 ZINC000236686252 519763132 /nfs/dbraw/zinc/76/31/32/519763132.db2.gz IPKUNMHIQVNTKK-UHFFFAOYSA-N 1 2 256.349 3.729 20 0 CHADLO CC(C)c1cc(N2CCC[C@@H]2c2cc[nH]n2)nc(C(C)C)[nH+]1 ZINC000278752119 519833143 /nfs/dbraw/zinc/83/31/43/519833143.db2.gz RZUHYHZQFHCXRH-OAHLLOKOSA-N 1 2 299.422 3.788 20 0 CHADLO Oc1ccc2c(c1)OCC[N@@H+](Cc1sccc1Cl)C2 ZINC000353152551 534453160 /nfs/dbraw/zinc/45/31/60/534453160.db2.gz XLIFYTBHTJKFHY-UHFFFAOYSA-N 1 2 295.791 3.502 20 0 CHADLO Oc1ccc2c(c1)OCC[N@H+](Cc1sccc1Cl)C2 ZINC000353152551 534453168 /nfs/dbraw/zinc/45/31/68/534453168.db2.gz XLIFYTBHTJKFHY-UHFFFAOYSA-N 1 2 295.791 3.502 20 0 CHADLO CCCCC(=O)NCc1ccc2c(c1)[nH+]c(CC)n2C1CC1 ZINC000338499612 519912179 /nfs/dbraw/zinc/91/21/79/519912179.db2.gz JDYUTFJOTUFJAJ-UHFFFAOYSA-N 1 2 299.418 3.740 20 0 CHADLO CC(C)n1cc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)nn1 ZINC000289655251 519956537 /nfs/dbraw/zinc/95/65/37/519956537.db2.gz HXLHFFPIKAAHOQ-JTQLQIEISA-N 1 2 296.777 3.502 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2)n1 ZINC000265225272 519998843 /nfs/dbraw/zinc/99/88/43/519998843.db2.gz AACKDQKRKYDKCU-QGZVFWFLSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2)n1 ZINC000265225272 519998835 /nfs/dbraw/zinc/99/88/35/519998835.db2.gz AACKDQKRKYDKCU-QGZVFWFLSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1ccnc1C[N@H+](C)Cc1ccc(Cl)cc1 ZINC000264523668 520025297 /nfs/dbraw/zinc/02/52/97/520025297.db2.gz MURVXDUCKJDMQN-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO CC(C)n1ccnc1C[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000264523668 520025308 /nfs/dbraw/zinc/02/53/08/520025308.db2.gz MURVXDUCKJDMQN-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO CC(C)n1cnnc1C[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000292320723 520058012 /nfs/dbraw/zinc/05/80/12/520058012.db2.gz FIGLXDZZUJEYSH-LBPRGKRZSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1cnnc1C[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000292320723 520058017 /nfs/dbraw/zinc/05/80/17/520058017.db2.gz FIGLXDZZUJEYSH-LBPRGKRZSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000279746758 520104317 /nfs/dbraw/zinc/10/43/17/520104317.db2.gz XRMNMXMTZYLUFF-UHFFFAOYSA-N 1 2 294.402 3.504 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000279746758 520104325 /nfs/dbraw/zinc/10/43/25/520104325.db2.gz XRMNMXMTZYLUFF-UHFFFAOYSA-N 1 2 294.402 3.504 20 0 CHADLO CC(C)n1ncnc1C[NH2+][C@H](C)c1ccc(F)c(Cl)c1 ZINC000279820855 520133824 /nfs/dbraw/zinc/13/38/24/520133824.db2.gz YOXASJHCIPWNAJ-SNVBAGLBSA-N 1 2 296.777 3.502 20 0 CHADLO CCCNc1cc(C)[nH+]c(-c2ccc(F)c(F)c2)n1 ZINC000050849120 520134308 /nfs/dbraw/zinc/13/43/08/520134308.db2.gz RRFTTZNIRCNMID-UHFFFAOYSA-N 1 2 263.291 3.552 20 0 CHADLO CCO[C@@H]1CCCN(c2cc(C)[nH+]c3c(F)cccc23)C1 ZINC000122176047 520321598 /nfs/dbraw/zinc/32/15/98/520321598.db2.gz WOPNEZSDEBPRSN-CYBMUJFWSA-N 1 2 288.366 3.688 20 0 CHADLO CCCCN(C(=O)CCn1cc[nH+]c1)[C@@H](C)c1ccccc1 ZINC000299675278 520341551 /nfs/dbraw/zinc/34/15/51/520341551.db2.gz QDVRZOKLDQIYBY-INIZCTEOSA-N 1 2 299.418 3.663 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2)[C@H]1c1cccnc1 ZINC000292823018 520484315 /nfs/dbraw/zinc/48/43/15/520484315.db2.gz QEAYQPRCHKSFTD-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2)[C@H]1c1cccnc1 ZINC000292823018 520484321 /nfs/dbraw/zinc/48/43/21/520484321.db2.gz QEAYQPRCHKSFTD-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO CCO[C@H]1CCCN(c2cc(C)[nH+]c3c(F)cccc23)C1 ZINC000122176287 520526353 /nfs/dbraw/zinc/52/63/53/520526353.db2.gz WOPNEZSDEBPRSN-ZDUSSCGKSA-N 1 2 288.366 3.688 20 0 CHADLO Fc1ccc2cc[nH+]c(N3CC[C@@H](C4CC4)C3)c2c1 ZINC000354881304 534509664 /nfs/dbraw/zinc/50/96/64/534509664.db2.gz FZDCYFRZOCKVNQ-CYBMUJFWSA-N 1 2 256.324 3.610 20 0 CHADLO CCCC[C@@H](C)c1nc(Cc2ccc(C)[nH+]c2)no1 ZINC000289125168 521012172 /nfs/dbraw/zinc/01/21/72/521012172.db2.gz MVDQAXGCGCFMPF-LLVKDONJSA-N 1 2 259.353 3.658 20 0 CHADLO Cc1nc([C@@H](C)[N@@H+]2CC[C@@H](c3cccc(Cl)c3)C2)no1 ZINC000336131709 534539238 /nfs/dbraw/zinc/53/92/38/534539238.db2.gz QGKJDVHEDBZVCN-ZWNOBZJWSA-N 1 2 291.782 3.582 20 0 CHADLO Cc1nc([C@@H](C)[N@H+]2CC[C@@H](c3cccc(Cl)c3)C2)no1 ZINC000336131709 534539241 /nfs/dbraw/zinc/53/92/41/534539241.db2.gz QGKJDVHEDBZVCN-ZWNOBZJWSA-N 1 2 291.782 3.582 20 0 CHADLO CCCC[C@H](C)N(C)C(=O)Nc1ccc(C)[nH+]c1C ZINC000290082388 521140052 /nfs/dbraw/zinc/14/00/52/521140052.db2.gz WENPOAJQMQMMGT-LBPRGKRZSA-N 1 2 263.385 3.741 20 0 CHADLO CCC(=O)Nc1ccc(Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000265398024 521215114 /nfs/dbraw/zinc/21/51/14/521215114.db2.gz XEAYYUFACSKMEU-UHFFFAOYSA-N 1 2 296.374 3.755 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](c2ccccc2)C(C)C)no1 ZINC000097078889 521304468 /nfs/dbraw/zinc/30/44/68/521304468.db2.gz FFXNIZUXAQCRKA-QGZVFWFLSA-N 1 2 287.407 3.899 20 0 CHADLO CCCCc1nc(C[NH2+][C@H](C)c2ccc(CC)cc2)no1 ZINC000097078813 521304845 /nfs/dbraw/zinc/30/48/45/521304845.db2.gz MDCLBGVCMUPEQZ-CYBMUJFWSA-N 1 2 287.407 3.825 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](C)c2ccccc2Cl)n1 ZINC000128284799 521337076 /nfs/dbraw/zinc/33/70/76/521337076.db2.gz LTOJEPBOGXUBMR-NSHDSACASA-N 1 2 293.798 3.916 20 0 CHADLO CCN(Cc1ccccn1)c1cc(C(F)(F)F)cc[nH+]1 ZINC000277158661 521395249 /nfs/dbraw/zinc/39/52/49/521395249.db2.gz SDZCKXGBERYHHU-UHFFFAOYSA-N 1 2 281.281 3.522 20 0 CHADLO CC[N@H+](Cc1nccn1C(F)F)Cc1ccccc1C ZINC000076192485 521461122 /nfs/dbraw/zinc/46/11/22/521461122.db2.gz IRTOMXPOGZYNRU-UHFFFAOYSA-N 1 2 279.334 3.609 20 0 CHADLO CC[N@@H+](Cc1nccn1C(F)F)Cc1ccccc1C ZINC000076192485 521461133 /nfs/dbraw/zinc/46/11/33/521461133.db2.gz IRTOMXPOGZYNRU-UHFFFAOYSA-N 1 2 279.334 3.609 20 0 CHADLO CCC(CC)([NH2+]Cc1ccn[nH]1)c1ccc(Cl)cc1 ZINC000088725801 521475041 /nfs/dbraw/zinc/47/50/41/521475041.db2.gz IRUNFLQYHDUJJF-UHFFFAOYSA-N 1 2 277.799 3.868 20 0 CHADLO CCC(CC)[C@@H](NC(=O)c1c[nH+]c(C)[nH]1)c1cccs1 ZINC000339270622 521606420 /nfs/dbraw/zinc/60/64/20/521606420.db2.gz OUWBPSNVLCUHBQ-CQSZACIVSA-N 1 2 291.420 3.687 20 0 CHADLO CCC[N@H+](Cc1cc(C)on1)[C@H](C)c1ccccc1OC ZINC000299433088 521681416 /nfs/dbraw/zinc/68/14/16/521681416.db2.gz VKBIXIHIMFXVCV-CQSZACIVSA-N 1 2 288.391 3.965 20 0 CHADLO CCC[N@@H+](Cc1cc(C)on1)[C@H](C)c1ccccc1OC ZINC000299433088 521681418 /nfs/dbraw/zinc/68/14/18/521681418.db2.gz VKBIXIHIMFXVCV-CQSZACIVSA-N 1 2 288.391 3.965 20 0 CHADLO CCSCCNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000276217627 521709621 /nfs/dbraw/zinc/70/96/21/521709621.db2.gz LURYNNDLNTVMNG-UHFFFAOYSA-N 1 2 299.443 3.767 20 0 CHADLO COc1c2ccccc2oc1C[NH2+][C@H](C)c1ccco1 ZINC000353348054 521891843 /nfs/dbraw/zinc/89/18/43/521891843.db2.gz WVHZISLOTFTDBR-LLVKDONJSA-N 1 2 271.316 3.885 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2cc(C)ccn2)cs1 ZINC000353527359 534601646 /nfs/dbraw/zinc/60/16/46/534601646.db2.gz KXOXKYXZSOCJOZ-QWRGUYRKSA-N 1 2 261.394 3.567 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(C)o2)[nH]1 ZINC000277072977 522057050 /nfs/dbraw/zinc/05/70/50/522057050.db2.gz FBOLZQCTBYNJMV-DLOVCJGASA-N 1 2 276.384 3.631 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C12CC3CC(CC(C3)C1)C2 ZINC000265076244 522079982 /nfs/dbraw/zinc/07/99/82/522079982.db2.gz VQAWLWKWXFTHKV-UHFFFAOYSA-N 1 2 284.403 3.799 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCCc1cccs1 ZINC000265185934 522080212 /nfs/dbraw/zinc/08/02/12/522080212.db2.gz HOJKDDNORGLIKV-UHFFFAOYSA-N 1 2 274.389 3.667 20 0 CHADLO CCc1nc(N(Cc2ccc(OC)cc2)C2CC2)cc(C)[nH+]1 ZINC000339970378 522238543 /nfs/dbraw/zinc/23/85/43/522238543.db2.gz SJFBXWPVRNTHLI-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2cccc(F)c2)cc(C)[nH+]1 ZINC000339969842 522238617 /nfs/dbraw/zinc/23/86/17/522238617.db2.gz XLQQMEWQVQEJKX-OAHLLOKOSA-N 1 2 285.366 3.828 20 0 CHADLO CCc1nc(C2([NH2+]Cc3ccc(C)s3)CCCC2)no1 ZINC000237027701 522243866 /nfs/dbraw/zinc/24/38/66/522243866.db2.gz NIKAUNLHQSMXMV-UHFFFAOYSA-N 1 2 291.420 3.561 20 0 CHADLO CCc1nc(C[N@H+](Cc2ccco2)C(C)C)cs1 ZINC000299389909 522295590 /nfs/dbraw/zinc/29/55/90/522295590.db2.gz QTPZHVHWCFXVDU-UHFFFAOYSA-N 1 2 264.394 3.709 20 0 CHADLO CCc1nc(C[N@@H+](Cc2ccco2)C(C)C)cs1 ZINC000299389909 522295596 /nfs/dbraw/zinc/29/55/96/522295596.db2.gz QTPZHVHWCFXVDU-UHFFFAOYSA-N 1 2 264.394 3.709 20 0 CHADLO CCc1nc(C[NH2+]C2(c3ccccc3F)CC2)cs1 ZINC000130535746 522339397 /nfs/dbraw/zinc/33/93/97/522339397.db2.gz ZXRITOBUJVHKNZ-UHFFFAOYSA-N 1 2 276.380 3.624 20 0 CHADLO CCc1nc(C[NH2+]Cc2cc(F)cc(Cl)c2)cs1 ZINC000336889712 522345719 /nfs/dbraw/zinc/34/57/19/522345719.db2.gz MNQQYWLBZGFWFE-UHFFFAOYSA-N 1 2 284.787 3.788 20 0 CHADLO CC[C@H](Nc1cc(C)[nH+]c(C2CC2)n1)c1ccc(OC)cc1 ZINC000341476756 522348583 /nfs/dbraw/zinc/34/85/83/522348583.db2.gz FUQDRWRALJQNBF-INIZCTEOSA-N 1 2 297.402 3.656 20 0 CHADLO COC[C@@H]([NH2+]Cc1cc(F)cc(Cl)c1)c1ccc(C)o1 ZINC000274968713 522362592 /nfs/dbraw/zinc/36/25/92/522362592.db2.gz HYIMIHNPEZOVGW-CQSZACIVSA-N 1 2 297.757 3.858 20 0 CHADLO CC[C@H](Nc1ccc(C)[nH+]c1)c1ccc(C(=O)OC)cc1 ZINC000340333629 522768081 /nfs/dbraw/zinc/76/80/81/522768081.db2.gz DOVUTINWTIHGEN-INIZCTEOSA-N 1 2 284.359 3.740 20 0 CHADLO COCC1(CNc2[nH+]ccc3ccc(F)cc32)CCC1 ZINC000336922464 522904567 /nfs/dbraw/zinc/90/45/67/522904567.db2.gz IFFWNJSDSBRMDZ-UHFFFAOYSA-N 1 2 274.339 3.603 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nc(C2CC2)no1)c1ccccc1 ZINC000299417352 522916728 /nfs/dbraw/zinc/91/67/28/522916728.db2.gz QURPLTJDZRYWTN-SMDDNHRTSA-N 1 2 271.364 3.749 20 0 CHADLO C[N@H+](CCc1cccs1)C/C(Cl)=C/Cl ZINC000129115391 522973068 /nfs/dbraw/zinc/97/30/68/522973068.db2.gz RXZWQQDQHURKPJ-CLFYSBASSA-N 1 2 250.194 3.541 20 0 CHADLO C[N@@H+](CCc1cccs1)C/C(Cl)=C/Cl ZINC000129115391 522973075 /nfs/dbraw/zinc/97/30/75/522973075.db2.gz RXZWQQDQHURKPJ-CLFYSBASSA-N 1 2 250.194 3.541 20 0 CHADLO CC[C@H]1CCCCN1c1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000112963401 523031417 /nfs/dbraw/zinc/03/14/17/523031417.db2.gz ZOANQMNTSOMURE-HNNXBMFYSA-N 1 2 282.391 3.616 20 0 CHADLO CN(Cc1ccc(F)cc1Cl)c1cccc[nH+]1 ZINC000301191205 523258679 /nfs/dbraw/zinc/25/86/79/523258679.db2.gz MLDOMQHJCXREQU-UHFFFAOYSA-N 1 2 250.704 3.511 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1csc2ccccc12 ZINC000150871309 523482294 /nfs/dbraw/zinc/48/22/94/523482294.db2.gz AAHABKFWKIATIH-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1csc2ccccc12 ZINC000150871309 523482307 /nfs/dbraw/zinc/48/23/07/523482307.db2.gz AAHABKFWKIATIH-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO CCn1cc[nH+]c1CNc1cc(Br)ccc1C ZINC000051922807 523541700 /nfs/dbraw/zinc/54/17/00/523541700.db2.gz FVQDUGWJGARSQZ-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cc(C2CC2)ccc1F ZINC000297307106 523556317 /nfs/dbraw/zinc/55/63/17/523556317.db2.gz MQAHREYVVLHLMW-LBPRGKRZSA-N 1 2 287.382 3.770 20 0 CHADLO CCn1nccc1C[N@@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000290123965 523727836 /nfs/dbraw/zinc/72/78/36/523727836.db2.gz JADLUBWWUUZOOK-CQSZACIVSA-N 1 2 275.421 3.548 20 0 CHADLO CCn1nccc1C[N@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000290123965 523727844 /nfs/dbraw/zinc/72/78/44/523727844.db2.gz JADLUBWWUUZOOK-CQSZACIVSA-N 1 2 275.421 3.548 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2nccn2C(C)C)cc1 ZINC000337333063 523920699 /nfs/dbraw/zinc/92/06/99/523920699.db2.gz YDWHPKDNMOADOD-UHFFFAOYSA-N 1 2 271.408 3.658 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2nccn2C(C)C)cc1 ZINC000337333063 523920705 /nfs/dbraw/zinc/92/07/05/523920705.db2.gz YDWHPKDNMOADOD-UHFFFAOYSA-N 1 2 271.408 3.658 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2noc(C)n2)o1 ZINC000150726994 524034808 /nfs/dbraw/zinc/03/48/08/524034808.db2.gz QIJPSECSEQUDHU-AWEZNQCLSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2noc(C)n2)o1 ZINC000150726994 524034810 /nfs/dbraw/zinc/03/48/10/524034810.db2.gz QIJPSECSEQUDHU-AWEZNQCLSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@@H](C)c2nnc([C@H](C)CC)[nH]2)o1 ZINC000277188954 524047116 /nfs/dbraw/zinc/04/71/16/524047116.db2.gz IMJTXZDWISKFOC-WOPDTQHZSA-N 1 2 290.411 3.885 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2nccn2C)o1 ZINC000076145078 524056782 /nfs/dbraw/zinc/05/67/82/524056782.db2.gz SCKPJSRLKBWUCZ-UKRRQHHQSA-N 1 2 287.407 3.549 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2nccn2C)o1 ZINC000076145078 524056784 /nfs/dbraw/zinc/05/67/84/524056784.db2.gz SCKPJSRLKBWUCZ-UKRRQHHQSA-N 1 2 287.407 3.549 20 0 CHADLO C[C@H]1CCC[C@@H]1NC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264935498 524168815 /nfs/dbraw/zinc/16/88/15/524168815.db2.gz ZWDUUFIKWMMSDH-GUYCJALGSA-N 1 2 296.370 3.792 20 0 CHADLO C[C@@H]1CCC[C@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000151350192 524348075 /nfs/dbraw/zinc/34/80/75/524348075.db2.gz LVPZZZQWYOOORB-KGLIPLIRSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccnc2Cl)cc1 ZINC000052709110 524394779 /nfs/dbraw/zinc/39/47/79/524394779.db2.gz TYJYZCNBXHOQAL-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccnc2Cl)cc1 ZINC000052709110 524394786 /nfs/dbraw/zinc/39/47/86/524394786.db2.gz TYJYZCNBXHOQAL-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO C[C@@H]1CCC[C@H]1NC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264935492 524427513 /nfs/dbraw/zinc/42/75/13/524427513.db2.gz ZWDUUFIKWMMSDH-CXAGYDPISA-N 1 2 296.370 3.792 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ncc(Cl)s2)n1 ZINC000349650308 534792731 /nfs/dbraw/zinc/79/27/31/534792731.db2.gz AWEPFEJZWVHXEA-UHFFFAOYSA-N 1 2 287.841 3.586 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(C(C)(C)C)no2)[C@@H]1c1ccccc1 ZINC000081573626 524644312 /nfs/dbraw/zinc/64/43/12/524644312.db2.gz MUXHVODJPVFBHI-WFASDCNBSA-N 1 2 285.391 3.560 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(C(C)(C)C)no2)[C@@H]1c1ccccc1 ZINC000081573626 524644324 /nfs/dbraw/zinc/64/43/24/524644324.db2.gz MUXHVODJPVFBHI-WFASDCNBSA-N 1 2 285.391 3.560 20 0 CHADLO Cc1cc(C[NH+]2CCC(c3cccc(F)c3F)CC2)no1 ZINC000372160580 524678820 /nfs/dbraw/zinc/67/88/20/524678820.db2.gz NIMKSOSAHMQKPK-UHFFFAOYSA-N 1 2 292.329 3.641 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@H](C)c1csc(C)n1 ZINC000036914651 524726176 /nfs/dbraw/zinc/72/61/76/524726176.db2.gz PEXGLGPEQFPAHR-GHMZBOCLSA-N 1 2 276.405 3.872 20 0 CHADLO COc1ccccc1[C@H]1CCN(c2cc[nH+]c(C(C)C)n2)C1 ZINC000150928933 524817225 /nfs/dbraw/zinc/81/72/25/524817225.db2.gz MKOLTLZPDBUCJB-AWEZNQCLSA-N 1 2 297.402 3.603 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2noc(C3CC3)n2)c1 ZINC000053641583 524855160 /nfs/dbraw/zinc/85/51/60/524855160.db2.gz DVFQDGPDAUMUSW-HNNXBMFYSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2noc(C3CC3)n2)c1 ZINC000053641583 524855167 /nfs/dbraw/zinc/85/51/67/524855167.db2.gz DVFQDGPDAUMUSW-HNNXBMFYSA-N 1 2 283.375 3.593 20 0 CHADLO COc1ccsc1C[N@@H+]1CCC[C@@H]1c1csc(C)n1 ZINC000275135618 524879720 /nfs/dbraw/zinc/87/97/20/524879720.db2.gz MHDGDJBDUGEUIX-GFCCVEGCSA-N 1 2 294.445 3.859 20 0 CHADLO COc1ccsc1C[N@H+]1CCC[C@@H]1c1csc(C)n1 ZINC000275135618 524879732 /nfs/dbraw/zinc/87/97/32/524879732.db2.gz MHDGDJBDUGEUIX-GFCCVEGCSA-N 1 2 294.445 3.859 20 0 CHADLO COc1cncc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)c1 ZINC000288563650 524922227 /nfs/dbraw/zinc/92/22/27/524922227.db2.gz AFYJMLXWJLIVBE-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO COc1cncc(C[N@H+]2CC(C)(C)[C@@H]2c2cccs2)c1 ZINC000288563650 524922232 /nfs/dbraw/zinc/92/22/32/524922232.db2.gz AFYJMLXWJLIVBE-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO Cc1cc(F)cc2c1CN(c1cc[nH+]c(C(C)C)n1)CC2 ZINC000336937741 524960287 /nfs/dbraw/zinc/96/02/87/524960287.db2.gz VPGSAODDIFGUEA-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO Cc1cccc2nc(C[NH2+]Cc3ccc([C@H]4C[C@H]4C)o3)cn21 ZINC000068996800 524987359 /nfs/dbraw/zinc/98/73/59/524987359.db2.gz XOQXSNBHIFSOTA-WBMJQRKESA-N 1 2 295.386 3.649 20 0 CHADLO Cc1ccc(NC(=O)C2(Cc3ccc(F)cc3)CC2)c(C)[nH+]1 ZINC000275682290 525021110 /nfs/dbraw/zinc/02/11/10/525021110.db2.gz ZIZUXAVHQMAWDJ-UHFFFAOYSA-N 1 2 298.361 3.799 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)c1nccs1 ZINC000086508533 525024261 /nfs/dbraw/zinc/02/42/61/525024261.db2.gz MHLOAINQDUBPIH-LLVKDONJSA-N 1 2 261.394 3.691 20 0 CHADLO C[C@H]1Oc2ccccc2[C@@H]1[NH2+]Cc1cccc(O)c1Cl ZINC000293119838 525070828 /nfs/dbraw/zinc/07/08/28/525070828.db2.gz ZCGXJPYFPFGAQJ-QLJPJBMISA-N 1 2 289.762 3.657 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cccnc1Cl ZINC000052717052 525131462 /nfs/dbraw/zinc/13/14/62/525131462.db2.gz CHRUQUAXJOHKQZ-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cccnc1Cl ZINC000052717052 525131468 /nfs/dbraw/zinc/13/14/68/525131468.db2.gz CHRUQUAXJOHKQZ-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1ccccc1NC(=O)C[N@@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000086234785 525235693 /nfs/dbraw/zinc/23/56/93/525235693.db2.gz PGDOIPRJWJACRK-AWEZNQCLSA-N 1 2 298.386 3.752 20 0 CHADLO Cc1ccccc1NC(=O)C[N@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000086234785 525235694 /nfs/dbraw/zinc/23/56/94/525235694.db2.gz PGDOIPRJWJACRK-AWEZNQCLSA-N 1 2 298.386 3.752 20 0 CHADLO Cc1cc(NCc2ccco2)c2cccc(F)c2[nH+]1 ZINC000119707316 525280477 /nfs/dbraw/zinc/28/04/77/525280477.db2.gz WGAZGKMQIKTVSX-UHFFFAOYSA-N 1 2 256.280 3.887 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2nc(C(C)C)no2)cc1 ZINC000299392708 525328253 /nfs/dbraw/zinc/32/82/53/525328253.db2.gz KDCXLCZVGWPUIO-RYUDHWBXSA-N 1 2 289.379 3.613 20 0 CHADLO Cc1cccn2cc(C[S@](=O)CCCC(C)(C)C)[nH+]c12 ZINC000281920201 525472392 /nfs/dbraw/zinc/47/23/92/525472392.db2.gz QWBQBAMOAHQADT-HXUWFJFHSA-N 1 2 292.448 3.718 20 0 CHADLO C[C@H]([NH2+]Cc1nccs1)c1ccccc1OC(F)F ZINC000041011873 525491820 /nfs/dbraw/zinc/49/18/20/525491820.db2.gz IICIRTWRRLAAAK-VIFPVBQESA-N 1 2 284.331 3.595 20 0 CHADLO C[C@@H]([NH2+]Cc1cc[nH]n1)c1ccc(Cl)cc1Cl ZINC000036900048 525517175 /nfs/dbraw/zinc/51/71/75/525517175.db2.gz IHWWDZXATLSBIX-MRVPVSSYSA-N 1 2 270.163 3.567 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2ccc(F)cc2F)no1 ZINC000290574630 525526873 /nfs/dbraw/zinc/52/68/73/525526873.db2.gz FLCYSDGARNBWML-UWVGGRQHSA-N 1 2 266.291 3.673 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2ccc(F)cc2F)no1 ZINC000336962574 525529314 /nfs/dbraw/zinc/52/93/14/525529314.db2.gz FLCYSDGARNBWML-ZJUUUORDSA-N 1 2 266.291 3.673 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1C)c1cc(Cl)ccc1Cl ZINC000071138771 525561969 /nfs/dbraw/zinc/56/19/69/525561969.db2.gz CHJVOZCCNQVTIR-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)c(Cl)c1)c1nncn1C ZINC000037017050 525605742 /nfs/dbraw/zinc/60/57/42/525605742.db2.gz QAEAOGXXTBBWHV-DTWKUNHWSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)c(F)c1)c1nc(C2CC2)no1 ZINC000079289505 525606311 /nfs/dbraw/zinc/60/63/11/525606311.db2.gz VXNCJHGPIUUBSJ-DTWKUNHWSA-N 1 2 293.317 3.637 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@@H]2[NH2+]Cc1cscn1 ZINC000040504862 525627037 /nfs/dbraw/zinc/62/70/37/525627037.db2.gz PHLXHEDVURDVAZ-ZDUSSCGKSA-N 1 2 276.405 3.848 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1cccnc1 ZINC000036988656 525654810 /nfs/dbraw/zinc/65/48/10/525654810.db2.gz FMGPNFKTEKWILV-AWEZNQCLSA-N 1 2 282.391 3.640 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1cccnc1 ZINC000036988657 525708514 /nfs/dbraw/zinc/70/85/14/525708514.db2.gz FMGPNFKTEKWILV-CQSZACIVSA-N 1 2 282.391 3.640 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1ccc(F)c([C@H](C)O)c1 ZINC000289774538 525732856 /nfs/dbraw/zinc/73/28/56/525732856.db2.gz RJMYPKJQQLRNBT-ZDUSSCGKSA-N 1 2 288.366 3.627 20 0 CHADLO Cc1ccncc1CNc1c[nH+]ccc1OC(C)(C)C ZINC000280159429 525752067 /nfs/dbraw/zinc/75/20/67/525752067.db2.gz FQIDWHYULZILDD-UHFFFAOYSA-N 1 2 271.364 3.574 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2Cc3cccc(Cl)c3C2)s1 ZINC000347460331 534882480 /nfs/dbraw/zinc/88/24/80/534882480.db2.gz WNNIHDMQFVLHDA-UHFFFAOYSA-N 1 2 278.808 3.929 20 0 CHADLO Cc1nc(C)c(C[N@H+]2Cc3cccc(Cl)c3C2)s1 ZINC000347460331 534882484 /nfs/dbraw/zinc/88/24/84/534882484.db2.gz WNNIHDMQFVLHDA-UHFFFAOYSA-N 1 2 278.808 3.929 20 0 CHADLO CSCc1cnc(CNc2ccc([NH+](C)C)cc2)s1 ZINC000293165477 525762565 /nfs/dbraw/zinc/76/25/65/525762565.db2.gz FXJQNVYNRYIRQQ-UHFFFAOYSA-N 1 2 293.461 3.684 20 0 CHADLO Cc1ccsc1CN(C)c1cc[nH+]c(C(C)C)n1 ZINC000338641270 525841750 /nfs/dbraw/zinc/84/17/50/525841750.db2.gz CYRRHSPOQUEMJI-UHFFFAOYSA-N 1 2 261.394 3.606 20 0 CHADLO Cc1cn2cc(NC(=O)c3cc(Cl)ccc3C)ccc2[nH+]1 ZINC000337964906 525951227 /nfs/dbraw/zinc/95/12/27/525951227.db2.gz YNWFDLDDBBECFR-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2ccoc2C)[nH+]1 ZINC000366355261 525963834 /nfs/dbraw/zinc/96/38/34/525963834.db2.gz LONUNCKXLJJTKM-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@@H+]2Cc2csnn2)o1 ZINC000129041661 525989703 /nfs/dbraw/zinc/98/97/03/525989703.db2.gz CDTZPLIMOGHCCO-CYBMUJFWSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@H+]2Cc2csnn2)o1 ZINC000129041661 525989707 /nfs/dbraw/zinc/98/97/07/525989707.db2.gz CDTZPLIMOGHCCO-CYBMUJFWSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1cn[nH]c1C[NH+]1CCC(=Cc2ccccc2F)CC1 ZINC000290460205 526022249 /nfs/dbraw/zinc/02/22/49/526022249.db2.gz PJXVYDJFLHPTHO-UHFFFAOYSA-N 1 2 285.366 3.537 20 0 CHADLO Cc1c[nH]nc1C[NH+]1CCC(=Cc2ccccc2F)CC1 ZINC000290460205 526022253 /nfs/dbraw/zinc/02/22/53/526022253.db2.gz PJXVYDJFLHPTHO-UHFFFAOYSA-N 1 2 285.366 3.537 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@H](c1ccc(F)cc1F)C(C)(C)C ZINC000289633460 526035788 /nfs/dbraw/zinc/03/57/88/526035788.db2.gz XDMNFEMXILPLJV-OAHLLOKOSA-N 1 2 293.361 3.873 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@H](c1ccc(F)cc1F)C(C)(C)C ZINC000289633460 526035801 /nfs/dbraw/zinc/03/58/01/526035801.db2.gz XDMNFEMXILPLJV-OAHLLOKOSA-N 1 2 293.361 3.873 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@H+](C)Cc1ccon1 ZINC000265865385 526071924 /nfs/dbraw/zinc/07/19/24/526071924.db2.gz UEHUMPNAIGDZOP-SNVBAGLBSA-N 1 2 295.180 3.630 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@@H+](C)Cc1ccon1 ZINC000265865385 526071932 /nfs/dbraw/zinc/07/19/32/526071932.db2.gz UEHUMPNAIGDZOP-SNVBAGLBSA-N 1 2 295.180 3.630 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cc3c(cc(C)cc3C)[nH]2)o1 ZINC000337270299 526127422 /nfs/dbraw/zinc/12/74/22/526127422.db2.gz ZRBXRGFAEFHMFX-CYBMUJFWSA-N 1 2 283.375 3.932 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278741148 526133945 /nfs/dbraw/zinc/13/39/45/526133945.db2.gz WKVXMZLFOXSKGM-NSHDSACASA-N 1 2 262.353 3.758 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278741148 526133955 /nfs/dbraw/zinc/13/39/55/526133955.db2.gz WKVXMZLFOXSKGM-NSHDSACASA-N 1 2 262.353 3.758 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[N@@H+]1CCC[C@H]1c1ccco1 ZINC000246963309 526166393 /nfs/dbraw/zinc/16/63/93/526166393.db2.gz JXPQXZAWRSRXFI-RYUDHWBXSA-N 1 2 289.379 3.858 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[N@H+]1CCC[C@H]1c1ccco1 ZINC000246963309 526166402 /nfs/dbraw/zinc/16/64/02/526166402.db2.gz JXPQXZAWRSRXFI-RYUDHWBXSA-N 1 2 289.379 3.858 20 0 CHADLO Cc1c[nH+]cc(CCc2nc(CCC(C)(C)C)no2)c1 ZINC000293132314 526191667 /nfs/dbraw/zinc/19/16/67/526191667.db2.gz VZNISTHZHWIMDO-UHFFFAOYSA-N 1 2 273.380 3.537 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)CCSCc1ccccc1 ZINC000280546187 526236900 /nfs/dbraw/zinc/23/69/00/526236900.db2.gz TZMUCNVIGPGNIA-UHFFFAOYSA-N 1 2 286.400 3.652 20 0 CHADLO C[C@@H]1CC=CC[C@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000340894290 526238729 /nfs/dbraw/zinc/23/87/29/526238729.db2.gz UBECFTADSNRTRM-TZMCWYRMSA-N 1 2 299.349 3.552 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+]Cc1cn2ccccc2n1 ZINC000291798431 526295439 /nfs/dbraw/zinc/29/54/39/526295439.db2.gz WXOSKVRIBPQOQT-UHFFFAOYSA-N 1 2 295.361 3.641 20 0 CHADLO Cc1csc(C(=O)Nc2ccc(C)[nH+]c2C)c1Cl ZINC000336527055 526318931 /nfs/dbraw/zinc/31/89/31/526318931.db2.gz PRUHUCREQMIMKN-UHFFFAOYSA-N 1 2 280.780 3.974 20 0 CHADLO Cc1nnc(C[N@H+]2CC[C@H](C)[C@H]2c2cccc(F)c2)s1 ZINC000271382606 526340364 /nfs/dbraw/zinc/34/03/64/526340364.db2.gz DQXRNDRLCKCWHR-BONVTDFDSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1nnc(C[N@@H+]2CC[C@H](C)[C@H]2c2cccc(F)c2)s1 ZINC000271382606 526340368 /nfs/dbraw/zinc/34/03/68/526340368.db2.gz DQXRNDRLCKCWHR-BONVTDFDSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1csc(C[N@@H+]([C@H](C)c2ccco2)C2CC2)n1 ZINC000130196192 526342032 /nfs/dbraw/zinc/34/20/32/526342032.db2.gz XVUJCXPDWJFUMH-LLVKDONJSA-N 1 2 262.378 3.770 20 0 CHADLO Cc1csc(C[N@H+]([C@H](C)c2ccco2)C2CC2)n1 ZINC000130196192 526342039 /nfs/dbraw/zinc/34/20/39/526342039.db2.gz XVUJCXPDWJFUMH-LLVKDONJSA-N 1 2 262.378 3.770 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ccoc2)c2ccccn2)cc1 ZINC000340693015 526365819 /nfs/dbraw/zinc/36/58/19/526365819.db2.gz YRAVOAOLLUSGDN-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO Fc1ccc2c(c1)[nH]cc2C1=CC[N@H+](Cc2ccon2)CC1 ZINC000157585076 526375475 /nfs/dbraw/zinc/37/54/75/526375475.db2.gz YDFIHNLDINKYFH-UHFFFAOYSA-N 1 2 297.333 3.584 20 0 CHADLO Fc1ccc2c(c1)[nH]cc2C1=CC[N@@H+](Cc2ccon2)CC1 ZINC000157585076 526375482 /nfs/dbraw/zinc/37/54/82/526375482.db2.gz YDFIHNLDINKYFH-UHFFFAOYSA-N 1 2 297.333 3.584 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2cccc(C(F)F)c2)s1 ZINC000279829594 526396178 /nfs/dbraw/zinc/39/61/78/526396178.db2.gz YZLYZLWEIKVRAN-MRVPVSSYSA-N 1 2 283.347 3.635 20 0 CHADLO c1c[nH+]c(COCc2ccc(C3CCCC3)cc2)[nH]1 ZINC000289601322 526407427 /nfs/dbraw/zinc/40/74/27/526407427.db2.gz KDWGRGDEUQQYFN-UHFFFAOYSA-N 1 2 256.349 3.784 20 0 CHADLO Cc1csc([C@@H](Nc2cc[nH+]c(C3CC3)n2)C2CC2)n1 ZINC000266213799 526416001 /nfs/dbraw/zinc/41/60/01/526416001.db2.gz GRLVEBAZZIJCPC-ZDUSSCGKSA-N 1 2 286.404 3.682 20 0 CHADLO c1cc(C[N@@H+]2CCCC[C@H]2c2cc3ccccc3[nH]2)no1 ZINC000077284116 526422128 /nfs/dbraw/zinc/42/21/28/526422128.db2.gz CLOXEOQTMUHBIE-KRWDZBQOSA-N 1 2 281.359 3.883 20 0 CHADLO c1cc(C[N@H+]2CCCC[C@H]2c2cc3ccccc3[nH]2)no1 ZINC000077284116 526422132 /nfs/dbraw/zinc/42/21/32/526422132.db2.gz CLOXEOQTMUHBIE-KRWDZBQOSA-N 1 2 281.359 3.883 20 0 CHADLO Fc1c[nH+]ccc1NCc1csc(Br)c1 ZINC000308884281 526425395 /nfs/dbraw/zinc/42/53/95/526425395.db2.gz RTVALAISQFZTSL-UHFFFAOYSA-N 1 2 287.157 3.657 20 0 CHADLO c1cc(CNc2cccc(-c3[nH+]cc4n3CCCC4)c2)c[nH]1 ZINC000277747178 526429815 /nfs/dbraw/zinc/42/98/15/526429815.db2.gz QNWCLWBNPWRVBI-UHFFFAOYSA-N 1 2 292.386 3.827 20 0 CHADLO Cc1nc(-c2ccccc2)sc1[C@@H](C)[NH2+]Cc1ccn[nH]1 ZINC000237468795 526604780 /nfs/dbraw/zinc/60/47/80/526604780.db2.gz DDHHMJIOZBOLSH-LLVKDONJSA-N 1 2 298.415 3.692 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000299337946 526613235 /nfs/dbraw/zinc/61/32/35/526613235.db2.gz DLFQUXMKJKEKSF-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO Cc1noc(C[N@H+](C)[C@@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000299337946 526613238 /nfs/dbraw/zinc/61/32/38/526613238.db2.gz DLFQUXMKJKEKSF-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COc1ccc(CO)cc1 ZINC000338505148 526668309 /nfs/dbraw/zinc/66/83/09/526668309.db2.gz LGRYWVJQBRUUJJ-UHFFFAOYSA-N 1 2 271.360 3.585 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSc1cccnn1 ZINC000338561582 526668398 /nfs/dbraw/zinc/66/83/98/526668398.db2.gz BFHMXXPYVHPPFJ-UHFFFAOYSA-N 1 2 259.378 3.596 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCn3c(Cl)ccc3[C@@H]2C)s1 ZINC000293077171 526718134 /nfs/dbraw/zinc/71/81/34/526718134.db2.gz HLPKZBRKOVRXRN-JTQLQIEISA-N 1 2 295.839 3.792 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCn3c(Cl)ccc3[C@@H]2C)s1 ZINC000293077171 526718139 /nfs/dbraw/zinc/71/81/39/526718139.db2.gz HLPKZBRKOVRXRN-JTQLQIEISA-N 1 2 295.839 3.792 20 0 CHADLO CC(C)(C)[C@H]1CCCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344401535 526721828 /nfs/dbraw/zinc/72/18/28/526721828.db2.gz OSHUVVVLYAVBQZ-MRXNPFEDSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1nc(C[N@H+](CCC(F)(F)F)CC2CC2)cs1 ZINC000084138558 526847385 /nfs/dbraw/zinc/84/73/85/526847385.db2.gz LYEKSICSMULMNH-UHFFFAOYSA-N 1 2 278.343 3.616 20 0 CHADLO Cc1nc(C[N@@H+](CCC(F)(F)F)CC2CC2)cs1 ZINC000084138558 526847389 /nfs/dbraw/zinc/84/73/89/526847389.db2.gz LYEKSICSMULMNH-UHFFFAOYSA-N 1 2 278.343 3.616 20 0 CHADLO O=C(c1coc2ccccc12)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000264890143 526898684 /nfs/dbraw/zinc/89/86/84/526898684.db2.gz LXMCAFOXXFZGCM-CQSZACIVSA-N 1 2 295.342 3.523 20 0 CHADLO Clc1ccc(C2([NH2+]Cc3cocn3)CC2)cc1Cl ZINC000276951468 526942750 /nfs/dbraw/zinc/94/27/50/526942750.db2.gz CCNKGMOBDPWMKW-UHFFFAOYSA-N 1 2 283.158 3.760 20 0 CHADLO Cc1nc(F)ccc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000278472859 526948412 /nfs/dbraw/zinc/94/84/12/526948412.db2.gz ZTRQLFKNGVQRIG-UHFFFAOYSA-N 1 2 285.366 3.741 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@@H+](Cc1cccnc1)C2 ZINC000338423269 526967328 /nfs/dbraw/zinc/96/73/28/526967328.db2.gz JVXGRVTUOZIUDQ-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@H+](Cc1cccnc1)C2 ZINC000338423269 526967334 /nfs/dbraw/zinc/96/73/34/526967334.db2.gz JVXGRVTUOZIUDQ-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Clc1cccc(C2=CC[N@H+](Cc3cocn3)CC2)c1 ZINC000279788241 526974768 /nfs/dbraw/zinc/97/47/68/526974768.db2.gz MAGCNVVWIPVZHD-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO Clc1cccc(C2=CC[N@@H+](Cc3cocn3)CC2)c1 ZINC000279788241 526974773 /nfs/dbraw/zinc/97/47/73/526974773.db2.gz MAGCNVVWIPVZHD-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1cnn3ccccc13)C2 ZINC000353519481 526984224 /nfs/dbraw/zinc/98/42/24/526984224.db2.gz NKRUXHIJROYEPQ-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1cnn3ccccc13)C2 ZINC000353519481 526984234 /nfs/dbraw/zinc/98/42/34/526984234.db2.gz NKRUXHIJROYEPQ-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1nc([C@@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)no1 ZINC000289387761 527024170 /nfs/dbraw/zinc/02/41/70/527024170.db2.gz QLYCCPSESKKZMP-CYBMUJFWSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1nc([C@@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)no1 ZINC000289387761 527024174 /nfs/dbraw/zinc/02/41/74/527024174.db2.gz QLYCCPSESKKZMP-CYBMUJFWSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1nc([C@@H](C)[NH+]2CCC(c3ccccc3C)CC2)no1 ZINC000290025556 527024803 /nfs/dbraw/zinc/02/48/03/527024803.db2.gz PUQFWEXKQYXJAV-CYBMUJFWSA-N 1 2 285.391 3.627 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2cccc(OC(C)C)c2)no1 ZINC000289371324 527032054 /nfs/dbraw/zinc/03/20/54/527032054.db2.gz OJKGUDKZOVDAAY-NWDGAFQWSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1nc([C@@H](C)Nc2ccc([NH+](C)C)cc2)cs1 ZINC000036915661 527034211 /nfs/dbraw/zinc/03/42/11/527034211.db2.gz BQYBMZGRDYNJGN-SNVBAGLBSA-N 1 2 261.394 3.691 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(Cl)c(Cl)c1 ZINC000062672397 527035518 /nfs/dbraw/zinc/03/55/18/527035518.db2.gz KOFOZGLQNPFONQ-PHIMTYICSA-N 1 2 284.186 3.689 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(Cl)c(Cl)c1 ZINC000062672397 527035522 /nfs/dbraw/zinc/03/55/22/527035522.db2.gz KOFOZGLQNPFONQ-PHIMTYICSA-N 1 2 284.186 3.689 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](c2ccc(F)c(C)c2)C2CC2)no1 ZINC000289654118 527066019 /nfs/dbraw/zinc/06/60/19/527066019.db2.gz XMPSBSLCPANZOB-ZUZCIYMTSA-N 1 2 289.354 3.627 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2cccc(OC(C)C)c2)no1 ZINC000289371323 527067705 /nfs/dbraw/zinc/06/77/05/527067705.db2.gz OJKGUDKZOVDAAY-NEPJUHHUSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1[nH+]c2ccccn2c1CSc1ccc(O)cc1 ZINC000150906546 527179314 /nfs/dbraw/zinc/17/93/14/527179314.db2.gz MXUWRSYBMFEIHQ-UHFFFAOYSA-N 1 2 270.357 3.641 20 0 CHADLO Cc1[nH+]c2n(c1C)CCN([C@H](C)c1ccccc1Cl)C2 ZINC000370761863 527186788 /nfs/dbraw/zinc/18/67/88/527186788.db2.gz YADCBPPZGQXAIQ-CYBMUJFWSA-N 1 2 289.810 3.730 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)cn1 ZINC000339289105 527251803 /nfs/dbraw/zinc/25/18/03/527251803.db2.gz BBLOFSSPPXICNN-NSHDSACASA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)cn1 ZINC000339289105 527251805 /nfs/dbraw/zinc/25/18/05/527251805.db2.gz BBLOFSSPPXICNN-NSHDSACASA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ncc(C[NH2+][C@H](COCC(C)C)c2ccco2)s1 ZINC000236622858 527279606 /nfs/dbraw/zinc/27/96/06/527279606.db2.gz JUJJKQBSGUKQGV-CQSZACIVSA-N 1 2 294.420 3.548 20 0 CHADLO Cc1nc(N2C[C@H](C)C[C@@H]2c2cccc(F)c2)cc[nH+]1 ZINC000266206766 527347633 /nfs/dbraw/zinc/34/76/33/527347633.db2.gz FKXXLYQHXMKGLU-IAQYHMDHSA-N 1 2 271.339 3.512 20 0 CHADLO Cc1nc(N[C@H](c2ccc(F)cc2)C(C)C)cc[nH+]1 ZINC000336918884 527353212 /nfs/dbraw/zinc/35/32/12/527353212.db2.gz PNQVUELAOKVGNK-HNNXBMFYSA-N 1 2 259.328 3.733 20 0 CHADLO Cc1ncsc1C[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000290144768 527502795 /nfs/dbraw/zinc/50/27/95/527502795.db2.gz KPVXYFSETCEWHA-UHFFFAOYSA-N 1 2 297.427 3.812 20 0 CHADLO Cc1ncsc1C[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000290144768 527502799 /nfs/dbraw/zinc/50/27/99/527502799.db2.gz KPVXYFSETCEWHA-UHFFFAOYSA-N 1 2 297.427 3.812 20 0 CHADLO OCC[N@H+](Cc1sccc1Cl)Cc1cccc(F)c1 ZINC000338542958 527551095 /nfs/dbraw/zinc/55/10/95/527551095.db2.gz VUVAKADKPSOHIY-UHFFFAOYSA-N 1 2 299.798 3.535 20 0 CHADLO OCC[N@@H+](Cc1sccc1Cl)Cc1cccc(F)c1 ZINC000338542958 527551099 /nfs/dbraw/zinc/55/10/99/527551099.db2.gz VUVAKADKPSOHIY-UHFFFAOYSA-N 1 2 299.798 3.535 20 0 CHADLO FC(F)(F)c1ccc(C[NH+]2Cc3ccccc3C2)nc1 ZINC000277607097 527681746 /nfs/dbraw/zinc/68/17/46/527681746.db2.gz FLQDQBNBZIDRGM-UHFFFAOYSA-N 1 2 278.277 3.616 20 0 CHADLO FC(F)Oc1ccccc1CNc1cc[nH+]c(C2CC2)n1 ZINC000110126282 527697318 /nfs/dbraw/zinc/69/73/18/527697318.db2.gz CTOGFPNGYRVNTF-UHFFFAOYSA-N 1 2 291.301 3.568 20 0 CHADLO CCOC(=O)c1coc(C[N@@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000353664490 528325709 /nfs/dbraw/zinc/32/57/09/528325709.db2.gz WYESEIILBGYQJC-LBPRGKRZSA-N 1 2 285.343 3.533 20 0 CHADLO CCOC(=O)c1coc(C[N@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000353664490 528325715 /nfs/dbraw/zinc/32/57/15/528325715.db2.gz WYESEIILBGYQJC-LBPRGKRZSA-N 1 2 285.343 3.533 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccccc2Cl)s1 ZINC000344632159 528443969 /nfs/dbraw/zinc/44/39/69/528443969.db2.gz QTLIPNDHEHYJAA-UHFFFAOYSA-N 1 2 281.812 3.605 20 0 CHADLO CCCNC(=O)c1ccc(Oc2cc[nH+]cc2)c(Cl)c1 ZINC000114221212 528454832 /nfs/dbraw/zinc/45/48/32/528454832.db2.gz WOTRLMHPNKJRBG-UHFFFAOYSA-N 1 2 290.750 3.667 20 0 CHADLO CCC(C)(C)CC(=O)N(C)[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000352774387 528492373 /nfs/dbraw/zinc/49/23/73/528492373.db2.gz VQQUBGWIFUSPRG-INIZCTEOSA-N 1 2 299.418 3.784 20 0 CHADLO CC(C)[C@@H](NC(=O)CC/C=C\c1ccccc1)c1[nH]cc[nH+]1 ZINC000352141480 528543114 /nfs/dbraw/zinc/54/31/14/528543114.db2.gz RBYWETKBYMXXQR-XBMZPMPPSA-N 1 2 297.402 3.717 20 0 CHADLO CCCOc1cccc(CCNc2cccc[nH+]2)c1 ZINC000301738635 528751730 /nfs/dbraw/zinc/75/17/30/528751730.db2.gz JEGXYTCAMFUDAB-UHFFFAOYSA-N 1 2 256.349 3.525 20 0 CHADLO CC[N@H+](Cc1cc(O)cc(F)c1)Cc1c(F)cccc1F ZINC000352011092 528766185 /nfs/dbraw/zinc/76/61/85/528766185.db2.gz OKAYWNGXBKPCSU-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1cc(O)cc(F)c1)Cc1c(F)cccc1F ZINC000352011092 528766189 /nfs/dbraw/zinc/76/61/89/528766189.db2.gz OKAYWNGXBKPCSU-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC1(C)CC[C@@H]([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000330204421 529028897 /nfs/dbraw/zinc/02/88/97/529028897.db2.gz BQRVTUOLAPXCHL-ZIAGYGMSSA-N 1 2 276.428 3.594 20 0 CHADLO CC(C)[C@H](NC(=O)c1ccc2ccccc2c1)c1[nH]cc[nH+]1 ZINC000352136587 529110296 /nfs/dbraw/zinc/11/02/96/529110296.db2.gz ZVZCTGHIQBBQEE-INIZCTEOSA-N 1 2 293.370 3.690 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(F)cccc1SC)c1ccn(C)n1 ZINC000353860648 529180940 /nfs/dbraw/zinc/18/09/40/529180940.db2.gz YJKZLKAYLHKZHJ-CYBMUJFWSA-N 1 2 293.411 3.522 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C)cn1)c1ccc(Cl)s1 ZINC000347616850 529190503 /nfs/dbraw/zinc/19/05/03/529190503.db2.gz NOHBNSIUIBYQET-SNVBAGLBSA-N 1 2 281.812 3.741 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)C2CCC2)c1 ZINC000347208252 529202411 /nfs/dbraw/zinc/20/24/11/529202411.db2.gz USSLFRSEOSJYRB-LLVKDONJSA-N 1 2 250.411 3.935 20 0 CHADLO CC[C@H]1CCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000354117794 529230760 /nfs/dbraw/zinc/23/07/60/529230760.db2.gz JYBKTCFKKKPXOE-HOCLYGCPSA-N 1 2 297.402 3.571 20 0 CHADLO CC(C)Cc1noc(C[N@@H+]2CCC=C(c3ccccc3)C2)n1 ZINC000341989979 535344164 /nfs/dbraw/zinc/34/41/64/535344164.db2.gz KUDXUCCYXBMPHK-UHFFFAOYSA-N 1 2 297.402 3.557 20 0 CHADLO CC(C)Cc1noc(C[N@H+]2CCC=C(c3ccccc3)C2)n1 ZINC000341989979 535344171 /nfs/dbraw/zinc/34/41/71/535344171.db2.gz KUDXUCCYXBMPHK-UHFFFAOYSA-N 1 2 297.402 3.557 20 0 CHADLO COc1cc[nH+]cc1CSCc1cccc(C)c1 ZINC000341966854 537120204 /nfs/dbraw/zinc/12/02/04/537120204.db2.gz MHHOVHJHOMPQNT-UHFFFAOYSA-N 1 2 259.374 3.832 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2nc(C)c(C)o2)cc1 ZINC000038012756 567890175 /nfs/dbraw/zinc/89/01/75/567890175.db2.gz DBVBSLDCXYWWGI-LBPRGKRZSA-N 1 2 258.365 3.705 20 0 CHADLO FCC1CC[NH+](Cc2c(F)ccc(Cl)c2F)CC1 ZINC001137882695 1131428897 /nfs/dbraw/zinc/42/88/97/1131428897.db2.gz BCJPXJLQDWPESN-UHFFFAOYSA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137882995 1131429291 /nfs/dbraw/zinc/42/92/91/1131429291.db2.gz ONIWDPDERPDFJY-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137882995 1131429294 /nfs/dbraw/zinc/42/92/94/1131429294.db2.gz ONIWDPDERPDFJY-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCCCC23CC3)cc1F ZINC001137892549 1131430306 /nfs/dbraw/zinc/43/03/06/1131430306.db2.gz XKGDXHZWKDRBPX-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1ccc(F)cc1F ZINC000128512896 1125467218 /nfs/dbraw/zinc/46/72/18/1125467218.db2.gz ASZJUHHRWSUHQB-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1ccc(F)cc1F ZINC000128512896 1125467221 /nfs/dbraw/zinc/46/72/21/1125467221.db2.gz ASZJUHHRWSUHQB-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2C[C@@H](F)C[C@H]2C)c(F)c1 ZINC001238445273 1117946161 /nfs/dbraw/zinc/94/61/61/1117946161.db2.gz SDVFXSFXTYPYFS-YPMHNXCESA-N 1 2 269.335 3.545 20 0 CHADLO COc1cccc(C[N@H+](C)[C@@H](C)c2ccco2)c1F ZINC000192940313 1118603570 /nfs/dbraw/zinc/60/35/70/1118603570.db2.gz LRRGAAUTGWPUMF-NSHDSACASA-N 1 2 263.312 3.620 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@@H](C)c2ccco2)c1F ZINC000192940313 1118603572 /nfs/dbraw/zinc/60/35/72/1118603572.db2.gz LRRGAAUTGWPUMF-NSHDSACASA-N 1 2 263.312 3.620 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000112517228 1125486005 /nfs/dbraw/zinc/48/60/05/1125486005.db2.gz FSNZKVBCBWUJOO-RYUDHWBXSA-N 1 2 285.391 3.669 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000112523227 1125486054 /nfs/dbraw/zinc/48/60/54/1125486054.db2.gz VEULAWRDJRLQPN-BDAKNGLRSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CSCCC(C)C)cc2[nH+]1 ZINC000048951299 1125489490 /nfs/dbraw/zinc/48/94/90/1125489490.db2.gz LDFHVRNHFKIWFC-UHFFFAOYSA-N 1 2 291.420 3.589 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3ccccc3[nH]2)s1 ZINC000131204454 1125489412 /nfs/dbraw/zinc/48/94/12/1125489412.db2.gz FEUSLRYGHGGDCF-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3ccccc3[nH]2)s1 ZINC000131204454 1125489415 /nfs/dbraw/zinc/48/94/15/1125489415.db2.gz FEUSLRYGHGGDCF-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO CCCO[C@@H]1CC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138004165 1131449034 /nfs/dbraw/zinc/44/90/34/1131449034.db2.gz ZQTPCTQDBMEHFW-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138004165 1131449037 /nfs/dbraw/zinc/44/90/37/1131449037.db2.gz ZQTPCTQDBMEHFW-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1nc2ccccc2[nH]1 ZINC000131922148 1125497557 /nfs/dbraw/zinc/49/75/57/1125497557.db2.gz UDQUZRMMGYCYPF-QGZVFWFLSA-N 1 2 294.402 3.629 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1nc2ccccc2[nH]1 ZINC000131922148 1125497561 /nfs/dbraw/zinc/49/75/61/1125497561.db2.gz UDQUZRMMGYCYPF-QGZVFWFLSA-N 1 2 294.402 3.629 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)cs1 ZINC000114714804 1125499193 /nfs/dbraw/zinc/49/91/93/1125499193.db2.gz IEXSDIABYPVLAO-CQSZACIVSA-N 1 2 275.421 3.761 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)cs1 ZINC000114714804 1125499195 /nfs/dbraw/zinc/49/91/95/1125499195.db2.gz IEXSDIABYPVLAO-CQSZACIVSA-N 1 2 275.421 3.761 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](C)c2cc(C)cc(C)c2)n1 ZINC000114752223 1125499205 /nfs/dbraw/zinc/49/92/05/1125499205.db2.gz YLEROTUOCHCPKZ-CHWSQXEVSA-N 1 2 273.380 3.661 20 0 CHADLO COc1c(Cl)cccc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000897480135 1125504326 /nfs/dbraw/zinc/50/43/26/1125504326.db2.gz QSXLZSXCSRNROO-UHFFFAOYSA-N 1 2 292.766 3.504 20 0 CHADLO CCCCSCCC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000132559667 1125504957 /nfs/dbraw/zinc/50/49/57/1125504957.db2.gz BHCBFOCWIPTPTG-UHFFFAOYSA-N 1 2 280.437 3.869 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1ccco1 ZINC000169280699 1120106031 /nfs/dbraw/zinc/10/60/31/1120106031.db2.gz DXEWGWPVJLYFPR-SNVBAGLBSA-N 1 2 265.740 3.792 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000679679336 1120354948 /nfs/dbraw/zinc/35/49/48/1120354948.db2.gz BCUSHUHBKPEWER-VHSXEESVSA-N 1 2 291.288 3.729 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000679679336 1120354952 /nfs/dbraw/zinc/35/49/52/1120354952.db2.gz BCUSHUHBKPEWER-VHSXEESVSA-N 1 2 291.288 3.729 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cccc(F)c1Cl ZINC000338882284 1120607834 /nfs/dbraw/zinc/60/78/34/1120607834.db2.gz GVWONZOMJQRDMB-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO Cc1cc(NC(C)(C)C2CC2)cc(OC(F)F)[nH+]1 ZINC001162522337 1121270122 /nfs/dbraw/zinc/27/01/22/1121270122.db2.gz UFICQZXQIUFOAU-UHFFFAOYSA-N 1 2 256.296 3.592 20 0 CHADLO CSc1ncc(Nc2c(C)cc[nH+]c2C(C)C)cn1 ZINC001175649290 1121407386 /nfs/dbraw/zinc/40/73/86/1121407386.db2.gz MCWQAGYJMPXPHO-UHFFFAOYSA-N 1 2 274.393 3.769 20 0 CHADLO CO[C@@H]1C[N@H+](Cc2csc(Cl)c2Cl)CC[C@H]1C ZINC000432917556 1121481493 /nfs/dbraw/zinc/48/14/93/1121481493.db2.gz LMRNIGGORKTJEO-PSASIEDQSA-N 1 2 294.247 3.912 20 0 CHADLO CO[C@@H]1C[N@@H+](Cc2csc(Cl)c2Cl)CC[C@H]1C ZINC000432917556 1121481495 /nfs/dbraw/zinc/48/14/95/1121481495.db2.gz LMRNIGGORKTJEO-PSASIEDQSA-N 1 2 294.247 3.912 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(CC)cn2)cs1 ZINC000411524567 1121600725 /nfs/dbraw/zinc/60/07/25/1121600725.db2.gz BHHRYRGGIQOTEH-NSHDSACASA-N 1 2 275.421 3.514 20 0 CHADLO Clc1ncsc1C[NH2+]C1(c2nccs2)CCCC1 ZINC000878260700 1121776120 /nfs/dbraw/zinc/77/61/20/1121776120.db2.gz ABTZJSVPQGCQDK-UHFFFAOYSA-N 1 2 299.852 3.812 20 0 CHADLO CCNc1ccnc(Cl)c1Nc1ccc(C)[nH+]c1C ZINC001203369846 1122115954 /nfs/dbraw/zinc/11/59/54/1122115954.db2.gz CXBJPAJUPKBSEE-UHFFFAOYSA-N 1 2 276.771 3.922 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1CCCc1ccsc1 ZINC000502837605 1122150716 /nfs/dbraw/zinc/15/07/16/1122150716.db2.gz BOPXFWVFHSZSMK-GFCCVEGCSA-N 1 2 259.365 3.800 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1CCCc1ccsc1 ZINC000502837605 1122150718 /nfs/dbraw/zinc/15/07/18/1122150718.db2.gz BOPXFWVFHSZSMK-GFCCVEGCSA-N 1 2 259.365 3.800 20 0 CHADLO CCCn1c[nH+]cc1CNc1ncc(Cl)cc1CC ZINC001183345221 1122220096 /nfs/dbraw/zinc/22/00/96/1122220096.db2.gz GLTOAMWBILPVMM-UHFFFAOYSA-N 1 2 278.787 3.516 20 0 CHADLO CC[C@@H](C)CCC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000505228609 1122349142 /nfs/dbraw/zinc/34/91/42/1122349142.db2.gz BBCRCUKNYKMREJ-SNVBAGLBSA-N 1 2 259.353 3.636 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(Cl)n1)c1cc(F)ccc1F ZINC000774899916 1122349793 /nfs/dbraw/zinc/34/97/93/1122349793.db2.gz CGVWPHSWMGDDQC-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO CCOc1c(Cl)cc(CCn2cc[nH+]c2)cc1Cl ZINC001250315060 1122490100 /nfs/dbraw/zinc/49/01/00/1122490100.db2.gz CRLDIMAWMWZYOV-UHFFFAOYSA-N 1 2 285.174 3.831 20 0 CHADLO Cc1csc(C[N@H+](C)CCOc2ccc(Cl)cc2)n1 ZINC000118403138 1123076988 /nfs/dbraw/zinc/07/69/88/1123076988.db2.gz AFNONSPAEWUGEM-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1csc(C[N@@H+](C)CCOc2ccc(Cl)cc2)n1 ZINC000118403138 1123076991 /nfs/dbraw/zinc/07/69/91/1123076991.db2.gz AFNONSPAEWUGEM-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO CCSCc1ccc[nH+]c1N[C@@H]1CCOC(C)(C)C1 ZINC000895687377 1123504801 /nfs/dbraw/zinc/50/48/01/1123504801.db2.gz APRBLOUYAPBRQT-CYBMUJFWSA-N 1 2 280.437 3.704 20 0 CHADLO CC(C)c1cc(NC[C@@]2(C)CCCO2)nc(C(C)C)[nH+]1 ZINC000301847382 1123937656 /nfs/dbraw/zinc/93/76/56/1123937656.db2.gz BOQXYFXIUOXFDD-MRXNPFEDSA-N 1 2 277.412 3.704 20 0 CHADLO Cc1c([C@H](C)[NH2+]Cc2ncc(Cl)s2)cnn1C(C)C ZINC000872116591 1124687691 /nfs/dbraw/zinc/68/76/91/1124687691.db2.gz SWNKQGPDXIFJET-VIFPVBQESA-N 1 2 298.843 3.733 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2cc(Cl)cc(Cl)c2)[nH]1 ZINC000449406048 1124729169 /nfs/dbraw/zinc/72/91/69/1124729169.db2.gz RTMBEAUNKIIHIL-UHFFFAOYSA-N 1 2 299.205 3.525 20 0 CHADLO COCCC1(C)C[NH+](Cc2ccsc2C(F)(F)F)C1 ZINC000529610296 1125009354 /nfs/dbraw/zinc/00/93/54/1125009354.db2.gz XZHSKXWCMLWEHY-UHFFFAOYSA-N 1 2 293.354 3.625 20 0 CHADLO CCOc1cccc(F)c1C[NH+]1CC(C)(CC(F)F)C1 ZINC000877606180 1125052841 /nfs/dbraw/zinc/05/28/41/1125052841.db2.gz SGZPYKCIKIAVQA-UHFFFAOYSA-N 1 2 287.325 3.702 20 0 CHADLO C[N@H+](Cc1scnc1Cl)C1CC(OC(C)(C)C)C1 ZINC000878723791 1125174746 /nfs/dbraw/zinc/17/47/46/1125174746.db2.gz UZWDUUWQJBLOLR-UHFFFAOYSA-N 1 2 288.844 3.574 20 0 CHADLO C[N@@H+](Cc1scnc1Cl)C1CC(OC(C)(C)C)C1 ZINC000878723791 1125174752 /nfs/dbraw/zinc/17/47/52/1125174752.db2.gz UZWDUUWQJBLOLR-UHFFFAOYSA-N 1 2 288.844 3.574 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3ncc(Cl)cc3C2)c1 ZINC001137159653 1125292320 /nfs/dbraw/zinc/29/23/20/1125292320.db2.gz HYDWRNRZTIQBDK-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1csc(C[N@H+]2CCc3ncc(Cl)cc3C2)c1 ZINC001137159653 1125292321 /nfs/dbraw/zinc/29/23/21/1125292321.db2.gz HYDWRNRZTIQBDK-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[NH+]2C[C@H](C)O[C@@H](C)C2)c1 ZINC001143671040 1131523016 /nfs/dbraw/zinc/52/30/16/1131523016.db2.gz VWTDVRWVFGYUHP-RYUDHWBXSA-N 1 2 287.325 3.623 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1c(Cl)ccc(F)c1F ZINC001143946886 1131558344 /nfs/dbraw/zinc/55/83/44/1131558344.db2.gz ZQZAOHZFCQFOQW-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1c(Cl)ccc(F)c1F ZINC001143946886 1131558345 /nfs/dbraw/zinc/55/83/45/1131558345.db2.gz ZQZAOHZFCQFOQW-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CC[N@H+](Cc1ccc(F)cc1)Cc1ccncc1Cl ZINC001139847887 1131640904 /nfs/dbraw/zinc/64/09/04/1131640904.db2.gz VXYQRJMXKVLRDW-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cc1)Cc1ccncc1Cl ZINC001139847887 1131640905 /nfs/dbraw/zinc/64/09/05/1131640905.db2.gz VXYQRJMXKVLRDW-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO COc1c(Cl)cccc1C[NH+]1CC(C)(C)OC(C)(C)C1 ZINC001140062819 1131661984 /nfs/dbraw/zinc/66/19/84/1131661984.db2.gz IKBXUKBLLABYEO-UHFFFAOYSA-N 1 2 297.826 3.738 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H](C)c2cccnc2Cl)o1 ZINC000834901046 1131725254 /nfs/dbraw/zinc/72/52/54/1131725254.db2.gz WDQZOFMWRVGBBH-JTQLQIEISA-N 1 2 279.771 3.526 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)c(Cl)c1 ZINC001140796391 1131922582 /nfs/dbraw/zinc/92/25/82/1131922582.db2.gz GPHSQAVPBCKJOG-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)c(Cl)c1 ZINC001140796391 1131922590 /nfs/dbraw/zinc/92/25/90/1131922590.db2.gz GPHSQAVPBCKJOG-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Oc1cc(Cl)cc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)c1 ZINC001140794483 1131922666 /nfs/dbraw/zinc/92/26/66/1131922666.db2.gz MGKZBVKWMSPGCY-JTQLQIEISA-N 1 2 293.716 3.820 20 0 CHADLO Oc1cc(Cl)cc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)c1 ZINC001140794483 1131922672 /nfs/dbraw/zinc/92/26/72/1131922672.db2.gz MGKZBVKWMSPGCY-JTQLQIEISA-N 1 2 293.716 3.820 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001141230341 1132051306 /nfs/dbraw/zinc/05/13/06/1132051306.db2.gz QKSBYLSDHJRLLA-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001141230341 1132051313 /nfs/dbraw/zinc/05/13/13/1132051313.db2.gz QKSBYLSDHJRLLA-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO CCOC(=O)[C@@H]([NH2+][C@@H]1C=CCCC1)C1CCCCCC1 ZINC001171612809 1132065929 /nfs/dbraw/zinc/06/59/29/1132065929.db2.gz GEXWDGYIARNCKS-CVEARBPZSA-N 1 2 279.424 3.587 20 0 CHADLO CC[C@@H](CC(C)C)[N@@H+]1CCOC[C@H]1c1csc(C)n1 ZINC001172402011 1132223210 /nfs/dbraw/zinc/22/32/10/1132223210.db2.gz MGAHSXAMAXVIEP-ZFWWWQNUSA-N 1 2 282.453 3.650 20 0 CHADLO CC[C@@H](CC(C)C)[N@H+]1CCOC[C@H]1c1csc(C)n1 ZINC001172402011 1132223216 /nfs/dbraw/zinc/22/32/16/1132223216.db2.gz MGAHSXAMAXVIEP-ZFWWWQNUSA-N 1 2 282.453 3.650 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)cc1Cl ZINC001231421207 1132291204 /nfs/dbraw/zinc/29/12/04/1132291204.db2.gz LNACIDYEJOWDLN-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)cc1Cl ZINC001231421207 1132291209 /nfs/dbraw/zinc/29/12/09/1132291209.db2.gz LNACIDYEJOWDLN-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2ncsc2C)cc1 ZINC001232206033 1132435000 /nfs/dbraw/zinc/43/50/00/1132435000.db2.gz DKDQLNQPCXJHCS-LLVKDONJSA-N 1 2 276.405 3.653 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2ncsc2C)cc1 ZINC001232206033 1132435004 /nfs/dbraw/zinc/43/50/04/1132435004.db2.gz DKDQLNQPCXJHCS-LLVKDONJSA-N 1 2 276.405 3.653 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cccc(F)c2F)C1 ZINC001204193106 1133417878 /nfs/dbraw/zinc/41/78/78/1133417878.db2.gz FMTCPTHRTKWNEL-CQSZACIVSA-N 1 2 257.299 3.679 20 0 CHADLO Fc1ccc(Br)c(C[NH+]2CC3(C2)CCCC3)c1 ZINC001204537324 1133460103 /nfs/dbraw/zinc/46/01/03/1133460103.db2.gz FWBDVIUNULIGIJ-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO CSC(C)(C)CCNc1ccc(N2CCCC2)c[nH+]1 ZINC001206376458 1133695181 /nfs/dbraw/zinc/69/51/81/1133695181.db2.gz HCPYDCIQTCPQAW-UHFFFAOYSA-N 1 2 279.453 3.625 20 0 CHADLO C[C@@H](C[N@@H+]1CC[C@@H](CF)C(F)(F)C1)c1ccccc1 ZINC001207899897 1133859837 /nfs/dbraw/zinc/85/98/37/1133859837.db2.gz OPIWHJHDVYYYCD-JSGCOSHPSA-N 1 2 271.326 3.717 20 0 CHADLO C[C@@H](C[N@H+]1CC[C@@H](CF)C(F)(F)C1)c1ccccc1 ZINC001207899897 1133859846 /nfs/dbraw/zinc/85/98/46/1133859846.db2.gz OPIWHJHDVYYYCD-JSGCOSHPSA-N 1 2 271.326 3.717 20 0 CHADLO Clc1cc2c(cn1)C[N@H+](CCC1CCCC1)C2 ZINC001208698417 1133929727 /nfs/dbraw/zinc/92/97/27/1133929727.db2.gz HXUYVYRWPVENOX-UHFFFAOYSA-N 1 2 250.773 3.631 20 0 CHADLO Clc1cc2c(cn1)C[N@@H+](CCC1CCCC1)C2 ZINC001208698417 1133929732 /nfs/dbraw/zinc/92/97/32/1133929732.db2.gz HXUYVYRWPVENOX-UHFFFAOYSA-N 1 2 250.773 3.631 20 0 CHADLO FC[C@H]1CCC[N@@H+]1CCc1c(Cl)cccc1Cl ZINC001209109883 1133975837 /nfs/dbraw/zinc/97/58/37/1133975837.db2.gz YQBWNDASKGEWPV-SNVBAGLBSA-N 1 2 276.182 3.970 20 0 CHADLO FC[C@H]1CCC[N@H+]1CCc1c(Cl)cccc1Cl ZINC001209109883 1133975839 /nfs/dbraw/zinc/97/58/39/1133975839.db2.gz YQBWNDASKGEWPV-SNVBAGLBSA-N 1 2 276.182 3.970 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(O)c(Cl)cc2F)c1C ZINC001213530801 1134461050 /nfs/dbraw/zinc/46/10/50/1134461050.db2.gz LZOBLIAZHRJSRV-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO CC(C)c1noc([C@H](C)[NH2+]C(C)(C)c2cccs2)n1 ZINC000348306613 1125510078 /nfs/dbraw/zinc/51/00/78/1125510078.db2.gz KRTAEEUIWBLKGH-JTQLQIEISA-N 1 2 279.409 3.840 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2cccc(C)c2C)[nH+]1 ZINC001202692146 1125512957 /nfs/dbraw/zinc/51/29/57/1125512957.db2.gz FNUVOQQRNAUDFN-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@@H+]1Cc1coc(-c2cccs2)n1 ZINC000118418009 1125522768 /nfs/dbraw/zinc/52/27/68/1125522768.db2.gz HGAPEDGWGMOFIM-MNOVXSKESA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@H+]1Cc1coc(-c2cccs2)n1 ZINC000118418009 1125522771 /nfs/dbraw/zinc/52/27/71/1125522771.db2.gz HGAPEDGWGMOFIM-MNOVXSKESA-N 1 2 294.445 3.729 20 0 CHADLO C[N@H+](Cc1ccc[nH]1)Cc1cccc(Br)c1F ZINC001204008616 1125524599 /nfs/dbraw/zinc/52/45/99/1125524599.db2.gz JXFFVPSZVQMBBZ-UHFFFAOYSA-N 1 2 297.171 3.548 20 0 CHADLO C[N@@H+](Cc1ccc[nH]1)Cc1cccc(Br)c1F ZINC001204008616 1125524609 /nfs/dbraw/zinc/52/46/09/1125524609.db2.gz JXFFVPSZVQMBBZ-UHFFFAOYSA-N 1 2 297.171 3.548 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2cccnc2Cl)o1 ZINC000729677821 1125540170 /nfs/dbraw/zinc/54/01/70/1125540170.db2.gz GRRPDQSLFQTRDM-ZWNOBZJWSA-N 1 2 276.767 3.741 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H]2c2cccn2C)cs1 ZINC000193793826 1125549602 /nfs/dbraw/zinc/54/96/02/1125549602.db2.gz CUMJAFYWOAMIDB-OAHLLOKOSA-N 1 2 289.448 3.942 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H]2c2cccn2C)cs1 ZINC000193793826 1125549609 /nfs/dbraw/zinc/54/96/09/1125549609.db2.gz CUMJAFYWOAMIDB-OAHLLOKOSA-N 1 2 289.448 3.942 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc([C@@H]2C[C@H]2C)o1)c1ccc(C)o1 ZINC000245423288 1125570864 /nfs/dbraw/zinc/57/08/64/1125570864.db2.gz BENCBTDJQKHMMO-DFBGVHRSSA-N 1 2 289.375 3.782 20 0 CHADLO C[C@H](F)CC[N@@H+]1C[C@H](c2ccccc2Cl)OC[C@@H]1C ZINC000509674070 1125573032 /nfs/dbraw/zinc/57/30/32/1125573032.db2.gz SUUXUZNTYXRXEV-SLEUVZQESA-N 1 2 285.790 3.850 20 0 CHADLO C[C@H](F)CC[N@H+]1C[C@H](c2ccccc2Cl)OC[C@@H]1C ZINC000509674070 1125573039 /nfs/dbraw/zinc/57/30/39/1125573039.db2.gz SUUXUZNTYXRXEV-SLEUVZQESA-N 1 2 285.790 3.850 20 0 CHADLO FC(F)(F)c1ccc2c(cc[nH+]c2N2C[C@@H]3C[C@@H]3C2)c1 ZINC000884591085 1125578448 /nfs/dbraw/zinc/57/84/48/1125578448.db2.gz LIHMEZVOTJQTRG-PHIMTYICSA-N 1 2 278.277 3.710 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1cn2ccccc2n1 ZINC000348329967 1125585271 /nfs/dbraw/zinc/58/52/71/1125585271.db2.gz XDEHQYBLVHQCPM-UHFFFAOYSA-N 1 2 297.427 3.688 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1cn2ccccc2n1 ZINC000348329967 1125585277 /nfs/dbraw/zinc/58/52/77/1125585277.db2.gz XDEHQYBLVHQCPM-UHFFFAOYSA-N 1 2 297.427 3.688 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000348342892 1125597660 /nfs/dbraw/zinc/59/76/60/1125597660.db2.gz CNLZVOLLYYFGGR-CQSZACIVSA-N 1 2 293.370 3.775 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H](C)C[C@H]2c2ccco2)no1 ZINC000247236761 1125655241 /nfs/dbraw/zinc/65/52/41/1125655241.db2.gz DJKXFNOSYNHAFY-FZMZJTMJSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccco2)no1 ZINC000247236761 1125655243 /nfs/dbraw/zinc/65/52/43/1125655243.db2.gz DJKXFNOSYNHAFY-FZMZJTMJSA-N 1 2 260.337 3.549 20 0 CHADLO CCOc1cc(Nc2cccc(Cl)c2CO)cc(C)[nH+]1 ZINC001214644406 1125658316 /nfs/dbraw/zinc/65/83/16/1125658316.db2.gz HXZBTBPUFAKYMX-UHFFFAOYSA-N 1 2 292.766 3.678 20 0 CHADLO CCn1ccnc1C[N@H+](Cc1cccc(Cl)c1)C(C)C ZINC000076292520 1125675604 /nfs/dbraw/zinc/67/56/04/1125675604.db2.gz BNINDOBLHGRBBW-UHFFFAOYSA-N 1 2 291.826 3.967 20 0 CHADLO CCn1ccnc1C[N@@H+](Cc1cccc(Cl)c1)C(C)C ZINC000076292520 1125675609 /nfs/dbraw/zinc/67/56/09/1125675609.db2.gz BNINDOBLHGRBBW-UHFFFAOYSA-N 1 2 291.826 3.967 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](C)[C@@H]2c2ccccc2)no1 ZINC000886544842 1125689290 /nfs/dbraw/zinc/68/92/90/1125689290.db2.gz BOEABULJPWBGLS-XJKSGUPXSA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](C)[C@@H]2c2ccccc2)no1 ZINC000886544842 1125689293 /nfs/dbraw/zinc/68/92/93/1125689293.db2.gz BOEABULJPWBGLS-XJKSGUPXSA-N 1 2 285.391 3.776 20 0 CHADLO O=C(/C=C/c1[nH]cc[nH+]1)c1ccc(Cl)c(Cl)c1 ZINC000067647680 1125694113 /nfs/dbraw/zinc/69/41/13/1125694113.db2.gz LBNLAAUSBLHQTO-ONEGZZNKSA-N 1 2 267.115 3.613 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ccn(Cc3ccccc3)n2)o1 ZINC000284489934 1125697394 /nfs/dbraw/zinc/69/73/94/1125697394.db2.gz WZMURFFWEJOWRA-HNNXBMFYSA-N 1 2 295.386 3.684 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+][C@H]2CCc3c2cccc3F)o1 ZINC000886920689 1125725016 /nfs/dbraw/zinc/72/50/16/1125725016.db2.gz GVWVTORPOUEGAM-AWEZNQCLSA-N 1 2 288.366 3.888 20 0 CHADLO c1ccc(-c2ccc(-c3noc(C[NH+]4CCC4)n3)cc2)cc1 ZINC001212093302 1125725958 /nfs/dbraw/zinc/72/59/58/1125725958.db2.gz PYQYEKMWSNJZAB-UHFFFAOYSA-N 1 2 291.354 3.609 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H]2CCc3c2cccc3F)o1 ZINC000886925336 1125726175 /nfs/dbraw/zinc/72/61/75/1125726175.db2.gz RJVGMBVLMSYIDG-CQSZACIVSA-N 1 2 274.339 3.714 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000135154383 1125731702 /nfs/dbraw/zinc/73/17/02/1125731702.db2.gz OGMVCUNXYSAQFQ-SNVBAGLBSA-N 1 2 251.276 3.717 20 0 CHADLO C[C@H]1CCSCC[N@@H+]1Cc1nc(Cl)ccc1Cl ZINC000286076253 1125733661 /nfs/dbraw/zinc/73/36/61/1125733661.db2.gz JXQXRTYTMRVFBX-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CCSCC[N@H+]1Cc1nc(Cl)ccc1Cl ZINC000286076253 1125733667 /nfs/dbraw/zinc/73/36/67/1125733667.db2.gz JXQXRTYTMRVFBX-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO CC(C)(C)c1noc([C@@H]2CCC[N@@H+]2Cc2ccccc2)n1 ZINC000089644633 1125734951 /nfs/dbraw/zinc/73/49/51/1125734951.db2.gz GZVGGGRCIVVTLK-AWEZNQCLSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1noc([C@@H]2CCC[N@H+]2Cc2ccccc2)n1 ZINC000089644633 1125734957 /nfs/dbraw/zinc/73/49/57/1125734957.db2.gz GZVGGGRCIVVTLK-AWEZNQCLSA-N 1 2 285.391 3.704 20 0 CHADLO CC1(C)C[N@H+](Cc2scnc2C2CC2)[C@H]1c1cccnc1 ZINC000430107360 1125759440 /nfs/dbraw/zinc/75/94/40/1125759440.db2.gz SVGRMGQYTGKOBW-INIZCTEOSA-N 1 2 299.443 3.999 20 0 CHADLO CC1(C)C[N@@H+](Cc2scnc2C2CC2)[C@H]1c1cccnc1 ZINC000430107360 1125759444 /nfs/dbraw/zinc/75/94/44/1125759444.db2.gz SVGRMGQYTGKOBW-INIZCTEOSA-N 1 2 299.443 3.999 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cc3nnc(C(C)C)o3)CC2)c1 ZINC000092822074 1125762151 /nfs/dbraw/zinc/76/21/51/1125762151.db2.gz SDBJQZIEDWXDAK-UHFFFAOYSA-N 1 2 297.402 3.791 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cc3nnc(C(C)C)o3)CC2)c1 ZINC000092822074 1125762153 /nfs/dbraw/zinc/76/21/53/1125762153.db2.gz SDBJQZIEDWXDAK-UHFFFAOYSA-N 1 2 297.402 3.791 20 0 CHADLO CC[C@H](C)[C@@H](C)[NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1 ZINC000246062056 1129246037 /nfs/dbraw/zinc/24/60/37/1129246037.db2.gz CQCCNCDYJCBXBF-SOUVJXGZSA-N 1 2 276.424 3.758 20 0 CHADLO CCCCCN(CCCCC)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000635347497 1129179849 /nfs/dbraw/zinc/17/98/49/1129179849.db2.gz HHEVKMXXJQVOTH-HUUCEWRRSA-N 1 2 291.439 3.722 20 0 CHADLO CCCCCN(CCCCC)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000635347497 1129179852 /nfs/dbraw/zinc/17/98/52/1129179852.db2.gz HHEVKMXXJQVOTH-HUUCEWRRSA-N 1 2 291.439 3.722 20 0 CHADLO CC(C)=C(C)CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000635347859 1129180227 /nfs/dbraw/zinc/18/02/27/1129180227.db2.gz GBHCDQJPTSQEOM-UHFFFAOYSA-N 1 2 269.348 3.557 20 0 CHADLO CCOc1cncc(C[N@H+](C)Cc2cc(C)ccc2F)c1 ZINC000621725031 1129186832 /nfs/dbraw/zinc/18/68/32/1129186832.db2.gz ACXLMHWGJGGORQ-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CCOc1cncc(C[N@@H+](C)Cc2cc(C)ccc2F)c1 ZINC000621725031 1129186833 /nfs/dbraw/zinc/18/68/33/1129186833.db2.gz ACXLMHWGJGGORQ-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO FC(F)[C@H]1CCC[N@H+](Cc2ccc(Br)o2)C1 ZINC001138905077 1129467200 /nfs/dbraw/zinc/46/72/00/1129467200.db2.gz FDBXGLZCAPHXRO-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+](Cc2ccc(Br)o2)C1 ZINC001138905077 1129467202 /nfs/dbraw/zinc/46/72/02/1129467202.db2.gz FDBXGLZCAPHXRO-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO Cc1c(-c2cc[nH+]c(N)c2)cccc1C(F)(F)F ZINC001241161968 1129468053 /nfs/dbraw/zinc/46/80/53/1129468053.db2.gz CRRLIQODJAHKHH-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO FC(F)COc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000671147008 1129194064 /nfs/dbraw/zinc/19/40/64/1129194064.db2.gz WBADMTBDBVTUSF-UHFFFAOYSA-N 1 2 278.302 3.530 20 0 CHADLO Cc1cc(N[C@@H]2CCCC(F)(F)C2)cc(OC(F)F)[nH+]1 ZINC001169216912 1129709037 /nfs/dbraw/zinc/70/90/37/1129709037.db2.gz BHZQZJWQNJRXNI-SECBINFHSA-N 1 2 292.276 3.981 20 0 CHADLO CC(C)c1ccc(C[NH+]2CC3(CCC3)C2)c(Cl)n1 ZINC001238722182 1131237204 /nfs/dbraw/zinc/23/72/04/1131237204.db2.gz LRKBMJPRADSEFO-UHFFFAOYSA-N 1 2 264.800 3.844 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2ccccc2n1C)c1ccncc1F ZINC000338009158 1126708818 /nfs/dbraw/zinc/70/88/18/1126708818.db2.gz AKOQKGMPWOGKFU-NEPJUHHUSA-N 1 2 298.365 3.519 20 0 CHADLO Cc1cc(CNc2ccc(-n3cc[nH+]c3)cc2)cc(C)c1O ZINC000338138889 1126720596 /nfs/dbraw/zinc/72/05/96/1126720596.db2.gz FZURJNIUFFBYBJ-UHFFFAOYSA-N 1 2 293.370 3.807 20 0 CHADLO Cc1[nH+]ccn1CCC[N@@H+](C)[C@H](C)c1c(F)cccc1F ZINC000136302039 1126725305 /nfs/dbraw/zinc/72/53/05/1126725305.db2.gz JWIUIKVOAMCQRU-GFCCVEGCSA-N 1 2 293.361 3.553 20 0 CHADLO Cc1[nH+]ccn1CCC[N@H+](C)[C@H](C)c1c(F)cccc1F ZINC000136302039 1126725311 /nfs/dbraw/zinc/72/53/11/1126725311.db2.gz JWIUIKVOAMCQRU-GFCCVEGCSA-N 1 2 293.361 3.553 20 0 CHADLO Cc1nccn1CCC[N@H+](C)[C@H](C)c1c(F)cccc1F ZINC000136302039 1126725318 /nfs/dbraw/zinc/72/53/18/1126725318.db2.gz JWIUIKVOAMCQRU-GFCCVEGCSA-N 1 2 293.361 3.553 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1ncnn1C(C)(C)C ZINC000338236229 1126726297 /nfs/dbraw/zinc/72/62/97/1126726297.db2.gz YDJNHNVRZXKRSN-AWEZNQCLSA-N 1 2 286.423 3.535 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1ncnn1C(C)(C)C ZINC000338236229 1126726300 /nfs/dbraw/zinc/72/63/00/1126726300.db2.gz YDJNHNVRZXKRSN-AWEZNQCLSA-N 1 2 286.423 3.535 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@H+](C)Cc2ncc[nH]2)cc1 ZINC000600629964 1126728695 /nfs/dbraw/zinc/72/86/95/1126728695.db2.gz VIONCEABRNIYLO-CYBMUJFWSA-N 1 2 257.381 3.726 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@@H+](C)Cc2ncc[nH]2)cc1 ZINC000600629964 1126728697 /nfs/dbraw/zinc/72/86/97/1126728697.db2.gz VIONCEABRNIYLO-CYBMUJFWSA-N 1 2 257.381 3.726 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1ccc(F)c(F)c1F ZINC000667976391 1126734012 /nfs/dbraw/zinc/73/40/12/1126734012.db2.gz PYRVVMOMSVZIGP-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1ccc(F)c(F)c1F ZINC000667976391 1126734016 /nfs/dbraw/zinc/73/40/16/1126734016.db2.gz PYRVVMOMSVZIGP-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(F)c1Cl)c1ccncc1F ZINC000338366282 1126738791 /nfs/dbraw/zinc/73/87/91/1126738791.db2.gz IGRGJBOOPFMFFA-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2csc(C(F)(F)F)c2)no1 ZINC000924774187 1126743049 /nfs/dbraw/zinc/74/30/49/1126743049.db2.gz AKFIAWDIRGDBJN-QMMMGPOBSA-N 1 2 290.310 3.914 20 0 CHADLO Cc1cc(N2CCC(F)(F)CC2)[nH+]c2ccccc12 ZINC000201940466 1126749315 /nfs/dbraw/zinc/74/93/15/1126749315.db2.gz QSUMFALXAAGHDK-UHFFFAOYSA-N 1 2 262.303 3.779 20 0 CHADLO CC(C)COC[C@H]([NH2+][C@@H](C)c1ccco1)c1ccco1 ZINC000152613877 1126757670 /nfs/dbraw/zinc/75/76/70/1126757670.db2.gz MZMBTZSJGJAEPC-KBPBESRZSA-N 1 2 277.364 3.937 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccco2)oc1C ZINC000174508252 1126770844 /nfs/dbraw/zinc/77/08/44/1126770844.db2.gz VXVQJZYVOGZDQO-SMDDNHRTSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccco2)oc1C ZINC000174508252 1126770846 /nfs/dbraw/zinc/77/08/46/1126770846.db2.gz VXVQJZYVOGZDQO-SMDDNHRTSA-N 1 2 274.364 3.858 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OC(F)F)c1cscn1 ZINC000162339168 1126771866 /nfs/dbraw/zinc/77/18/66/1126771866.db2.gz FYBINOWRIBFYRE-VIFPVBQESA-N 1 2 284.331 3.595 20 0 CHADLO CC(C)n1ccnc1C[NH+]1CC(c2ccc(Cl)cc2)C1 ZINC000668223883 1126774207 /nfs/dbraw/zinc/77/42/07/1126774207.db2.gz XGJCVFOSWFUCMN-UHFFFAOYSA-N 1 2 289.810 3.717 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(C(C)(C)C)c2)no1 ZINC000659836981 1126783868 /nfs/dbraw/zinc/78/38/68/1126783868.db2.gz BQXBQHDIMSNMMA-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(C(C)(C)C)c2)no1 ZINC000659836981 1126783871 /nfs/dbraw/zinc/78/38/71/1126783871.db2.gz BQXBQHDIMSNMMA-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679221864 1130503719 /nfs/dbraw/zinc/50/37/19/1130503719.db2.gz HSVMJWYNIOOOKN-GPCCPHFNSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679221864 1130503723 /nfs/dbraw/zinc/50/37/23/1130503723.db2.gz HSVMJWYNIOOOKN-GPCCPHFNSA-N 1 2 257.299 3.706 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc([C@@H]2C[C@@H]2C)o1)c1ccc(C)o1 ZINC000177565415 1126802891 /nfs/dbraw/zinc/80/28/91/1126802891.db2.gz BENCBTDJQKHMMO-GLQYFDAESA-N 1 2 289.375 3.782 20 0 CHADLO COc1ccccc1[C@@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000484257644 1126812498 /nfs/dbraw/zinc/81/24/98/1126812498.db2.gz UGDIBOXKGSLWMT-CYBMUJFWSA-N 1 2 285.391 3.512 20 0 CHADLO CC[N@H+](Cc1nccn1C(F)F)[C@@H](C)c1cccc(O)c1 ZINC000178378520 1126812229 /nfs/dbraw/zinc/81/22/29/1126812229.db2.gz SUCQYGCEQOMGOP-NSHDSACASA-N 1 2 295.333 3.567 20 0 CHADLO CC[N@@H+](Cc1nccn1C(F)F)[C@@H](C)c1cccc(O)c1 ZINC000178378520 1126812233 /nfs/dbraw/zinc/81/22/33/1126812233.db2.gz SUCQYGCEQOMGOP-NSHDSACASA-N 1 2 295.333 3.567 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccn(C(C)C)n1)c1cc(F)ccc1F ZINC000178509770 1126815104 /nfs/dbraw/zinc/81/51/04/1126815104.db2.gz FKJWZLNDLVUQIR-MRXNPFEDSA-N 1 2 293.361 3.983 20 0 CHADLO C[C@@H](SCCn1cc[nH+]c1)c1ccccc1F ZINC000179030819 1126821750 /nfs/dbraw/zinc/82/17/50/1126821750.db2.gz SEVVAQXIQYAVBF-LLVKDONJSA-N 1 2 250.342 3.517 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCOc2cc(F)ccc21)c1nccs1 ZINC000179961240 1126836388 /nfs/dbraw/zinc/83/63/88/1126836388.db2.gz YEVDMMZLGZGXGI-GWCFXTLKSA-N 1 2 292.379 3.847 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc([C@@H]2C[C@H]2CC(C)(C)C)n1 ZINC000904263098 1126840733 /nfs/dbraw/zinc/84/07/33/1126840733.db2.gz QJFGSJZPJLOTRN-CMPLNLGQSA-N 1 2 286.379 3.562 20 0 CHADLO CCc1nnc(C[NH2+][C@H](c2cccc(C)c2)C(C)(C)C)o1 ZINC000180787392 1126848320 /nfs/dbraw/zinc/84/83/20/1126848320.db2.gz BSTJYHCABNAKDJ-MRXNPFEDSA-N 1 2 287.407 3.817 20 0 CHADLO CCC[C@H]([NH2+]Cc1cc(C)on1)c1ccc(F)cc1F ZINC000181676572 1126860157 /nfs/dbraw/zinc/86/01/57/1126860157.db2.gz KHXUEKKPZUNTAC-HNNXBMFYSA-N 1 2 280.318 3.892 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1cc(F)c(F)cc1F ZINC000182065121 1126865021 /nfs/dbraw/zinc/86/50/21/1126865021.db2.gz JTYLWXBIVKNFGN-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1cc(F)c(F)cc1F ZINC000182065121 1126865023 /nfs/dbraw/zinc/86/50/23/1126865023.db2.gz JTYLWXBIVKNFGN-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO Cc1nc([C@H]([NH2+]C2CCCC2)c2ccc(Cl)cc2)no1 ZINC000182680775 1126876249 /nfs/dbraw/zinc/87/62/49/1126876249.db2.gz XLZBJBWSFLMGOO-CQSZACIVSA-N 1 2 291.782 3.653 20 0 CHADLO Cc1cccc([C@H](C)[N@H+](C)Cc2ncc(Cl)n2C)c1 ZINC000183258320 1126884127 /nfs/dbraw/zinc/88/41/27/1126884127.db2.gz PTJUYNKGBJMWCH-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cccc([C@H](C)[N@@H+](C)Cc2ncc(Cl)n2C)c1 ZINC000183258320 1126884130 /nfs/dbraw/zinc/88/41/30/1126884130.db2.gz PTJUYNKGBJMWCH-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2oc3ccccc3c2C)C(C)C)o1 ZINC000183270470 1126884809 /nfs/dbraw/zinc/88/48/09/1126884809.db2.gz ZWWLBUXVVGXQSK-MRXNPFEDSA-N 1 2 299.374 3.920 20 0 CHADLO Cc1nc(C(F)(F)F)c(C[N@H+](C)Cc2ccco2)s1 ZINC000183563077 1126888226 /nfs/dbraw/zinc/88/82/26/1126888226.db2.gz KXKYVXVTBXDCSH-UHFFFAOYSA-N 1 2 290.310 3.695 20 0 CHADLO Cc1nc(C(F)(F)F)c(C[N@@H+](C)Cc2ccco2)s1 ZINC000183563077 1126888230 /nfs/dbraw/zinc/88/82/30/1126888230.db2.gz KXKYVXVTBXDCSH-UHFFFAOYSA-N 1 2 290.310 3.695 20 0 CHADLO CC(C)n1c[nH+]cc1/C=C\c1ncc(Cl)s1 ZINC000905082905 1126889482 /nfs/dbraw/zinc/88/94/82/1126889482.db2.gz CRYBVBUBONHVRY-ARJAWSKDSA-N 1 2 253.758 3.744 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1cc(F)c(F)cc1F ZINC000183706623 1126890719 /nfs/dbraw/zinc/89/07/19/1126890719.db2.gz QQDBXZLUSWHWME-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1cc(F)c(F)cc1F ZINC000183706623 1126890721 /nfs/dbraw/zinc/89/07/21/1126890721.db2.gz QQDBXZLUSWHWME-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO Cc1ncc(C[NH2+][C@H](C)c2ncc(-c3ccccc3)o2)s1 ZINC000184857166 1126897339 /nfs/dbraw/zinc/89/73/39/1126897339.db2.gz OIJDWLVVPJPUHS-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ncc(C[NH2+][C@@H](C)c2ncc(-c3ccccc3)o2)s1 ZINC000184857146 1126897009 /nfs/dbraw/zinc/89/70/09/1126897009.db2.gz OIJDWLVVPJPUHS-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO COc1cc(C[N@H+]2CCCC[C@@](C)(F)C2)cc(F)c1F ZINC001235435480 1130744356 /nfs/dbraw/zinc/74/43/56/1130744356.db2.gz YEAWEMFDIVZNEM-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO CC(C)(C)c1c[nH+]c2ccc(-c3c[nH]c(=O)c(F)c3)cn21 ZINC000906177666 1126934565 /nfs/dbraw/zinc/93/45/65/1126934565.db2.gz IJPHLZXTKZIKBN-UHFFFAOYSA-N 1 2 285.322 3.539 20 0 CHADLO COc1c(C)c[nH+]c(CSCC[C@H]2CCCO2)c1C ZINC000190059349 1126938515 /nfs/dbraw/zinc/93/85/15/1126938515.db2.gz FARSSKPUIVHXPR-CYBMUJFWSA-N 1 2 281.421 3.509 20 0 CHADLO c1cc(N2C[C@H]3CC[C@@H]2C3)ccc1[NH2+]C1CCSCC1 ZINC000190305836 1126940630 /nfs/dbraw/zinc/94/06/30/1126940630.db2.gz OTSAEHMOIIZTMA-SUMWQHHRSA-N 1 2 288.460 3.983 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1cn(C2CC2)nn1 ZINC000906290819 1126945727 /nfs/dbraw/zinc/94/57/27/1126945727.db2.gz QIDPZBQSSZGBHF-GFCCVEGCSA-N 1 2 296.374 3.518 20 0 CHADLO Cc1cc(C)c(C(=O)N2CC3C[C@@H]4CC2C[C@H](C3)C4)c(C)[nH+]1 ZINC000906438655 1126952477 /nfs/dbraw/zinc/95/24/77/1126952477.db2.gz PBUSTUQUBYQRDY-RYTJFDOTSA-N 1 2 298.430 3.658 20 0 CHADLO CCCn1c(CNc2cc[nH+]cc2F)nc2ccccc21 ZINC000192149621 1126958207 /nfs/dbraw/zinc/95/82/07/1126958207.db2.gz VTOCJSXZZHILNC-UHFFFAOYSA-N 1 2 284.338 3.593 20 0 CHADLO Cc1cc(=O)[nH]c([C@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)n1 ZINC000192779829 1126964133 /nfs/dbraw/zinc/96/41/33/1126964133.db2.gz BKVHCNCOUIZNKD-RYUDHWBXSA-N 1 2 297.358 3.649 20 0 CHADLO Cc1cc(C)c(C(=O)N2CC=C(c3ccccc3)C2)c(C)[nH+]1 ZINC000907257024 1126976244 /nfs/dbraw/zinc/97/62/44/1126976244.db2.gz INYZXHLMCRQYNS-UHFFFAOYSA-N 1 2 292.382 3.546 20 0 CHADLO Cc1cnc([C@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)s1 ZINC000267371880 1126998801 /nfs/dbraw/zinc/99/88/01/1126998801.db2.gz KAVWIVRTUWEFSP-JTQLQIEISA-N 1 2 274.393 3.600 20 0 CHADLO CC[N@H+](Cc1cc(-c2cccs2)on1)Cc1ccncc1 ZINC000267901728 1127008271 /nfs/dbraw/zinc/00/82/71/1127008271.db2.gz LONKCHDLTPMFIG-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CC[N@@H+](Cc1cc(-c2cccs2)on1)Cc1ccncc1 ZINC000267901728 1127008273 /nfs/dbraw/zinc/00/82/73/1127008273.db2.gz LONKCHDLTPMFIG-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CCOc1cc(C[NH2+]CC(F)(F)C(C)(C)C)ccc1O ZINC000668748669 1127021916 /nfs/dbraw/zinc/02/19/16/1127021916.db2.gz YIOFLWZZCUKJPF-UHFFFAOYSA-N 1 2 287.350 3.562 20 0 CHADLO FC(F)(F)CCCC[N@@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000269904323 1127047414 /nfs/dbraw/zinc/04/74/14/1127047414.db2.gz ULTNVLIEXRAQBV-CQSZACIVSA-N 1 2 287.325 3.793 20 0 CHADLO FC(F)(F)CCCC[N@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000269904323 1127047416 /nfs/dbraw/zinc/04/74/16/1127047416.db2.gz ULTNVLIEXRAQBV-CQSZACIVSA-N 1 2 287.325 3.793 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccc(F)cc2C)o1 ZINC000488009568 1127051928 /nfs/dbraw/zinc/05/19/28/1127051928.db2.gz NQSCGDOAEBAOPM-LBPRGKRZSA-N 1 2 262.328 3.624 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccc(F)cc2C)o1 ZINC000488009568 1127051930 /nfs/dbraw/zinc/05/19/30/1127051930.db2.gz NQSCGDOAEBAOPM-LBPRGKRZSA-N 1 2 262.328 3.624 20 0 CHADLO CSc1cc(C)ccc1NC(=O)[C@H]1CC[N@@H+]1C(C)(C)C ZINC000910411798 1127058035 /nfs/dbraw/zinc/05/80/35/1127058035.db2.gz BVOJQYKDXMTSHI-CYBMUJFWSA-N 1 2 292.448 3.528 20 0 CHADLO CSc1cc(C)ccc1NC(=O)[C@H]1CC[N@H+]1C(C)(C)C ZINC000910411798 1127058037 /nfs/dbraw/zinc/05/80/37/1127058037.db2.gz BVOJQYKDXMTSHI-CYBMUJFWSA-N 1 2 292.448 3.528 20 0 CHADLO CC[N@H+](Cc1ccncc1)[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC000271034730 1127066399 /nfs/dbraw/zinc/06/63/99/1127066399.db2.gz RGPRGGHJGRZULK-ZDUSSCGKSA-N 1 2 287.407 3.950 20 0 CHADLO CC[N@@H+](Cc1ccncc1)[C@@H](C)c1ncc(C(C)(C)C)o1 ZINC000271034730 1127066403 /nfs/dbraw/zinc/06/64/03/1127066403.db2.gz RGPRGGHJGRZULK-ZDUSSCGKSA-N 1 2 287.407 3.950 20 0 CHADLO CC(C)[C@H](C[N@@H+]1CCCc2[nH]ncc2C1)c1ccccc1 ZINC000668841469 1127072096 /nfs/dbraw/zinc/07/20/96/1127072096.db2.gz KLMZUPVBFDKDOP-KRWDZBQOSA-N 1 2 283.419 3.598 20 0 CHADLO CC(C)[C@H](C[N@H+]1CCCc2[nH]ncc2C1)c1ccccc1 ZINC000668841469 1127072098 /nfs/dbraw/zinc/07/20/98/1127072098.db2.gz KLMZUPVBFDKDOP-KRWDZBQOSA-N 1 2 283.419 3.598 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+]1Cc1ncsc1Br ZINC000659858077 1127074084 /nfs/dbraw/zinc/07/40/84/1127074084.db2.gz QFQJICYPLSIPJB-SNVBAGLBSA-N 1 2 289.242 3.526 20 0 CHADLO CC(C)[C@H]1CCC[N@H+]1Cc1ncsc1Br ZINC000659858077 1127074087 /nfs/dbraw/zinc/07/40/87/1127074087.db2.gz QFQJICYPLSIPJB-SNVBAGLBSA-N 1 2 289.242 3.526 20 0 CHADLO Cc1nnc(C[N@@H+]2C[C@H](C)C[C@@H]2c2cccc(F)c2)s1 ZINC000271424136 1127080088 /nfs/dbraw/zinc/08/00/88/1127080088.db2.gz MTBWTKGSICPWRE-QMTHXVAHSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1nnc(C[N@H+]2C[C@H](C)C[C@@H]2c2cccc(F)c2)s1 ZINC000271424136 1127080093 /nfs/dbraw/zinc/08/00/93/1127080093.db2.gz MTBWTKGSICPWRE-QMTHXVAHSA-N 1 2 291.395 3.569 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2cn[nH]c21)c1ccccc1Cl ZINC000271429186 1127080300 /nfs/dbraw/zinc/08/03/00/1127080300.db2.gz ARHOWNXSTHCAEF-YGRLFVJLSA-N 1 2 275.783 3.791 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)[nH]1 ZINC000271484703 1127085629 /nfs/dbraw/zinc/08/56/29/1127085629.db2.gz JZSVUIQXJMCGRP-WDEREUQCSA-N 1 2 284.363 3.525 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)[nH]1 ZINC000271484700 1127085747 /nfs/dbraw/zinc/08/57/47/1127085747.db2.gz JZSVUIQXJMCGRP-QWRGUYRKSA-N 1 2 284.363 3.525 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2cn[nH]c21)c1ccc(F)cc1Cl ZINC000271565781 1127091708 /nfs/dbraw/zinc/09/17/08/1127091708.db2.gz XRANNGKCGZNPJT-XPTSAGLGSA-N 1 2 293.773 3.930 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2c[nH]nc21)c1ccc(F)cc1Cl ZINC000271565781 1127091710 /nfs/dbraw/zinc/09/17/10/1127091710.db2.gz XRANNGKCGZNPJT-XPTSAGLGSA-N 1 2 293.773 3.930 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2cn[nH]c21)c1ccc(F)cc1Cl ZINC000271565778 1127092185 /nfs/dbraw/zinc/09/21/85/1127092185.db2.gz XRANNGKCGZNPJT-LKFCYVNXSA-N 1 2 293.773 3.930 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2c[nH]nc21)c1ccc(F)cc1Cl ZINC000271565778 1127092187 /nfs/dbraw/zinc/09/21/87/1127092187.db2.gz XRANNGKCGZNPJT-LKFCYVNXSA-N 1 2 293.773 3.930 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)c(C)c1 ZINC000271628480 1127094742 /nfs/dbraw/zinc/09/47/42/1127094742.db2.gz BCWZACJUSCKEJK-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO Cc1nonc1C[NH2+][C@H](C)c1ccc(C(C)(C)C)cc1 ZINC000271653183 1127096062 /nfs/dbraw/zinc/09/60/62/1127096062.db2.gz FNTGHCWOYVGEGL-LLVKDONJSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CCC[C@H]2c2cccs2)s1 ZINC000273974086 1127104205 /nfs/dbraw/zinc/10/42/05/1127104205.db2.gz GZWNBOSMGJONFP-ONGXEEELSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CCC[C@H]2c2cccs2)s1 ZINC000273974086 1127104206 /nfs/dbraw/zinc/10/42/06/1127104206.db2.gz GZWNBOSMGJONFP-ONGXEEELSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H](C)C2CCC(F)CC2)c(C)[nH+]1 ZINC000912687895 1127107727 /nfs/dbraw/zinc/10/77/27/1127107727.db2.gz JIVIVOGAXHPJBB-GRTSSRMGSA-N 1 2 292.398 3.653 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccn(C(C)C)n2)CC2CC2)cn1 ZINC000646019723 1127112718 /nfs/dbraw/zinc/11/27/18/1127112718.db2.gz XNGSLCMVNGWJTP-UHFFFAOYSA-N 1 2 298.434 3.580 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccn(C(C)C)n2)CC2CC2)cn1 ZINC000646019723 1127112722 /nfs/dbraw/zinc/11/27/22/1127112722.db2.gz XNGSLCMVNGWJTP-UHFFFAOYSA-N 1 2 298.434 3.580 20 0 CHADLO COc1ccccc1[C@@H]1CC[C@@H](C)C[N@@H+]1Cc1cn[nH]c1C ZINC000348716668 1127125721 /nfs/dbraw/zinc/12/57/21/1127125721.db2.gz SPFITEQSMLUOKA-DYVFJYSZSA-N 1 2 299.418 3.700 20 0 CHADLO COc1ccccc1[C@@H]1CC[C@@H](C)C[N@H+]1Cc1cn[nH]c1C ZINC000348716668 1127125724 /nfs/dbraw/zinc/12/57/24/1127125724.db2.gz SPFITEQSMLUOKA-DYVFJYSZSA-N 1 2 299.418 3.700 20 0 CHADLO COc1ccnc([C@H](C)[NH2+]Cc2c(F)cccc2Cl)c1 ZINC000924856365 1127128786 /nfs/dbraw/zinc/12/87/86/1127128786.db2.gz BVVFDVLHGRMWBS-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO C[C@@H]1CC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C[C@@H]1C ZINC000348837026 1127130383 /nfs/dbraw/zinc/13/03/83/1127130383.db2.gz ZKEYKBLDIZDHLC-IJEWVQPXSA-N 1 2 284.407 3.563 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)CC(C)(C)C1 ZINC000348837434 1127130607 /nfs/dbraw/zinc/13/06/07/1127130607.db2.gz IEKVDFQQBCPESX-ZBFHGGJFSA-N 1 2 298.434 3.953 20 0 CHADLO CCSc1ccccc1[C@H](C)[NH2+]Cc1nnc(C)s1 ZINC000543739704 1127131264 /nfs/dbraw/zinc/13/12/64/1127131264.db2.gz ZESYBRMGIDEJLB-JTQLQIEISA-N 1 2 293.461 3.809 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccon1)c1ccc(F)cc1F ZINC000349232335 1127144439 /nfs/dbraw/zinc/14/44/39/1127144439.db2.gz BNGCAHASWGKPPU-ZANVPECISA-N 1 2 266.291 3.755 20 0 CHADLO Cc1[nH]c(CNc2cccc(CC(F)(F)F)c2)[nH+]c1C ZINC000570942014 1127149653 /nfs/dbraw/zinc/14/96/53/1127149653.db2.gz GTWNABJBTYTFIJ-UHFFFAOYSA-N 1 2 283.297 3.743 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000349761933 1127160189 /nfs/dbraw/zinc/16/01/89/1127160189.db2.gz BGURGHZHMSUVFY-UHFFFAOYSA-N 1 2 266.344 3.628 20 0 CHADLO Cc1ccoc1C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000349761933 1127160190 /nfs/dbraw/zinc/16/01/90/1127160190.db2.gz BGURGHZHMSUVFY-UHFFFAOYSA-N 1 2 266.344 3.628 20 0 CHADLO Nc1cc(CSc2cc(Cl)ccc2F)cc[nH+]1 ZINC000349832769 1127164072 /nfs/dbraw/zinc/16/40/72/1127164072.db2.gz QIRJDPZADAYFCW-UHFFFAOYSA-N 1 2 268.744 3.579 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](c1ccc(F)cc1)C(C)C ZINC000679330234 1127168043 /nfs/dbraw/zinc/16/80/43/1127168043.db2.gz DXBQNYDWNHXMHZ-ZBEGNZNMSA-N 1 2 275.371 3.905 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1nc2ccccc2n1C ZINC000350033660 1127171325 /nfs/dbraw/zinc/17/13/25/1127171325.db2.gz HESJFPUFHNKEBP-LBPRGKRZSA-N 1 2 297.427 3.754 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1nc2ccccc2n1C ZINC000350033660 1127171326 /nfs/dbraw/zinc/17/13/26/1127171326.db2.gz HESJFPUFHNKEBP-LBPRGKRZSA-N 1 2 297.427 3.754 20 0 CHADLO Cn1c[nH+]cc1CNc1cccc(C(F)F)c1Cl ZINC000350318449 1127177449 /nfs/dbraw/zinc/17/74/49/1127177449.db2.gz NTFVNTLRCGNLEO-UHFFFAOYSA-N 1 2 271.698 3.623 20 0 CHADLO CO[C@@H]1CCC[N@H+](Cc2c(Cl)cc(F)cc2Cl)C1 ZINC001237591636 1131117986 /nfs/dbraw/zinc/11/79/86/1131117986.db2.gz GKFGGJMLSNLUGK-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@@H]1CCC[N@@H+](Cc2c(Cl)cc(F)cc2Cl)C1 ZINC001237591636 1131117992 /nfs/dbraw/zinc/11/79/92/1131117992.db2.gz GKFGGJMLSNLUGK-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO Cc1cccc(C)c1CNc1ccc(Cn2cc[nH+]c2)cn1 ZINC000351562402 1127193553 /nfs/dbraw/zinc/19/35/53/1127193553.db2.gz AWVWHLQLELIDLF-UHFFFAOYSA-N 1 2 292.386 3.555 20 0 CHADLO CC(C)[C@@H](C/[NH+]=C/c1cccc(O)c1O)c1ccccc1 ZINC000032074264 1127200445 /nfs/dbraw/zinc/20/04/45/1127200445.db2.gz GXTKYRXPIAXLOM-YDDPGSGASA-N 1 2 283.371 3.957 20 0 CHADLO CC(C)(C(=O)Nc1ccn2cc[nH+]c2c1)C1CCCCC1 ZINC001274961094 1127209241 /nfs/dbraw/zinc/20/92/41/1127209241.db2.gz HWEIISVZCKCBRS-UHFFFAOYSA-N 1 2 285.391 3.879 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@H+](Cc2cnc(Cl)s2)C1 ZINC000817280552 1131150718 /nfs/dbraw/zinc/15/07/18/1131150718.db2.gz ADFGJVTYSBTFFZ-MRVPVSSYSA-N 1 2 298.761 3.961 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC000817280552 1131150721 /nfs/dbraw/zinc/15/07/21/1131150721.db2.gz ADFGJVTYSBTFFZ-MRVPVSSYSA-N 1 2 298.761 3.961 20 0 CHADLO C[C@]1(c2ccccc2)CC[N@H+](Cc2csc(Cl)n2)C1 ZINC000828036258 1127245378 /nfs/dbraw/zinc/24/53/78/1127245378.db2.gz KDKZRSYOLORKRG-HNNXBMFYSA-N 1 2 292.835 3.960 20 0 CHADLO C[C@]1(c2ccccc2)CC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000828036258 1127245381 /nfs/dbraw/zinc/24/53/81/1127245381.db2.gz KDKZRSYOLORKRG-HNNXBMFYSA-N 1 2 292.835 3.960 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000285936849 1127248165 /nfs/dbraw/zinc/24/81/65/1127248165.db2.gz DFECFGIQGIYLHF-CQSZACIVSA-N 1 2 282.391 3.558 20 0 CHADLO COc1cccc(CNc2ccc([NH+](C)C)cc2)c1F ZINC000165805607 1127249370 /nfs/dbraw/zinc/24/93/70/1127249370.db2.gz MQCXCOBDVLSDCQ-UHFFFAOYSA-N 1 2 274.339 3.512 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1cnc(F)cc1Cl ZINC001238024122 1131162614 /nfs/dbraw/zinc/16/26/14/1131162614.db2.gz MLWKHNRWKZXNHD-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO Cn1ccc(C[N@@H+]2CCc3c(Cl)c(Cl)ccc3C2)c1 ZINC000353156045 1127263594 /nfs/dbraw/zinc/26/35/94/1127263594.db2.gz QKJRQVRUPHLIHT-UHFFFAOYSA-N 1 2 295.213 3.890 20 0 CHADLO Cn1ccc(C[N@H+]2CCc3c(Cl)c(Cl)ccc3C2)c1 ZINC000353156045 1127263598 /nfs/dbraw/zinc/26/35/98/1127263598.db2.gz QKJRQVRUPHLIHT-UHFFFAOYSA-N 1 2 295.213 3.890 20 0 CHADLO C[C@H]([NH2+]Cc1nccs1)c1cc2cccc(F)c2o1 ZINC000353207046 1127264132 /nfs/dbraw/zinc/26/41/32/1127264132.db2.gz HUNNDXHRGJIBEI-VIFPVBQESA-N 1 2 276.336 3.879 20 0 CHADLO Cc1cc(N2CC[C@@H](C)C(C)(C)C2)nc(C2CC2)[nH+]1 ZINC000353281142 1127266690 /nfs/dbraw/zinc/26/66/90/1127266690.db2.gz HZPMUSBHTHCZHI-LLVKDONJSA-N 1 2 259.397 3.535 20 0 CHADLO COC1([C@@H](C)Nc2ccc([NH+](C)C)cc2)CCCC1 ZINC000817580532 1131171419 /nfs/dbraw/zinc/17/14/19/1131171419.db2.gz KRADMNLNQBATGJ-CYBMUJFWSA-N 1 2 262.397 3.512 20 0 CHADLO CCCOc1ccc([C@H](C)[NH2+][C@H](C)c2nonc2C)cc1 ZINC000924859100 1127274078 /nfs/dbraw/zinc/27/40/78/1127274078.db2.gz QCLJVSFMNXBIDH-NWDGAFQWSA-N 1 2 289.379 3.579 20 0 CHADLO Oc1cc(F)c(C[NH+]2C[C@H]3CCCC[C@@H]3C2)cc1Cl ZINC001238142587 1131173910 /nfs/dbraw/zinc/17/39/10/1131173910.db2.gz HGGQPOVRHQTNHJ-GHMZBOCLSA-N 1 2 283.774 3.807 20 0 CHADLO CN(Cc1ccccc1)c1ccc([NH2+]CC2(C)COC2)cc1 ZINC000286619029 1127270617 /nfs/dbraw/zinc/27/06/17/1127270617.db2.gz RYZVNJLZUUUOSG-UHFFFAOYSA-N 1 2 296.414 3.771 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](C)c2c(F)cncc2F)o1 ZINC000353929929 1127279805 /nfs/dbraw/zinc/27/98/05/1127279805.db2.gz FCAGSOOPGIXZBK-VHSXEESVSA-N 1 2 266.291 3.673 20 0 CHADLO COc1ccsc1[C@@H](C)[NH2+][C@@H](C)c1c(F)cncc1F ZINC000353931057 1127279852 /nfs/dbraw/zinc/27/98/52/1127279852.db2.gz HSPVCSYHWNWVJH-DTWKUNHWSA-N 1 2 298.358 3.842 20 0 CHADLO CCC[N@H+]1CCC[C@@H]1C[NH2+][C@H](CC)c1ccc(OC)cc1 ZINC000353973020 1127282562 /nfs/dbraw/zinc/28/25/62/1127282562.db2.gz PCXYSGREXDIMJX-SJLPKXTDSA-N 1 2 290.451 3.610 20 0 CHADLO Clc1ccc(NCc2cccc3[nH+]ccn32)cc1 ZINC000354288443 1127301142 /nfs/dbraw/zinc/30/11/42/1127301142.db2.gz DIZHFVJUIZCDDN-UHFFFAOYSA-N 1 2 257.724 3.600 20 0 CHADLO C[N@H+](C/C=C\c1ccncc1)Cc1c(F)cccc1F ZINC000354354376 1127305175 /nfs/dbraw/zinc/30/51/75/1127305175.db2.gz DHCBPQNMAXWYCL-ARJAWSKDSA-N 1 2 274.314 3.505 20 0 CHADLO C[N@@H+](C/C=C\c1ccncc1)Cc1c(F)cccc1F ZINC000354354376 1127305178 /nfs/dbraw/zinc/30/51/78/1127305178.db2.gz DHCBPQNMAXWYCL-ARJAWSKDSA-N 1 2 274.314 3.505 20 0 CHADLO C[C@H]1CC[C@H]1[NH2+]c1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000783278694 1127307485 /nfs/dbraw/zinc/30/74/85/1127307485.db2.gz BMUJMXNMPBUIKV-ZOMKSWQUSA-N 1 2 274.408 3.511 20 0 CHADLO Cc1cccc(C(=O)Nc2ccn3cc[nH+]c3c2)c1Cl ZINC000355320902 1127332903 /nfs/dbraw/zinc/33/29/03/1127332903.db2.gz SZFYRGBNUVAEBV-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@@H+]1Cc1cscn1 ZINC000592333030 1127344138 /nfs/dbraw/zinc/34/41/38/1127344138.db2.gz WOCDMWDWGPIARF-HNNXBMFYSA-N 1 2 288.416 3.879 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@H+]1Cc1cscn1 ZINC000592333030 1127344143 /nfs/dbraw/zinc/34/41/43/1127344143.db2.gz WOCDMWDWGPIARF-HNNXBMFYSA-N 1 2 288.416 3.879 20 0 CHADLO CC(C)c1ccc(C[NH2+]Cc2nccc(C(F)F)n2)cc1 ZINC000288525318 1127345429 /nfs/dbraw/zinc/34/54/29/1127345429.db2.gz UZRDIDQJZZRJDN-UHFFFAOYSA-N 1 2 291.345 3.827 20 0 CHADLO CC(C)COc1ccc(CCNc2cccc[nH+]2)cc1 ZINC000592461157 1127351652 /nfs/dbraw/zinc/35/16/52/1127351652.db2.gz ANBPSUZXNBACJB-UHFFFAOYSA-N 1 2 270.376 3.771 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cnccc2C)c(Cl)c1 ZINC000355615730 1127354216 /nfs/dbraw/zinc/35/42/16/1127354216.db2.gz YHMXECTZFAABKW-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cnccc2C)c(Cl)c1 ZINC000355615730 1127354221 /nfs/dbraw/zinc/35/42/21/1127354221.db2.gz YHMXECTZFAABKW-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COCC[C@H]([NH2+]Cc1csc(C(C)C)n1)c1ccco1 ZINC000355722692 1127360499 /nfs/dbraw/zinc/36/04/99/1127360499.db2.gz FTBHRHBNUYHOEW-ZDUSSCGKSA-N 1 2 294.420 3.727 20 0 CHADLO CC[N@H+](Cc1cn(C(C)C)nn1)Cc1cccc(Cl)c1 ZINC000289207909 1127377856 /nfs/dbraw/zinc/37/78/56/1127377856.db2.gz WFGSDPYXQCJWOP-UHFFFAOYSA-N 1 2 292.814 3.535 20 0 CHADLO CC[N@@H+](Cc1cn(C(C)C)nn1)Cc1cccc(Cl)c1 ZINC000289207909 1127377860 /nfs/dbraw/zinc/37/78/60/1127377860.db2.gz WFGSDPYXQCJWOP-UHFFFAOYSA-N 1 2 292.814 3.535 20 0 CHADLO CC[C@@]1(C)CCC[C@H]1Nc1cc(CSCCO)cc[nH+]1 ZINC000593612228 1127390559 /nfs/dbraw/zinc/39/05/59/1127390559.db2.gz ZEZZXARAIXPZHB-ZBFHGGJFSA-N 1 2 294.464 3.688 20 0 CHADLO CCCC1(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)CC1 ZINC000357902589 1127395657 /nfs/dbraw/zinc/39/56/57/1127395657.db2.gz QMMRKEGVLGSLHT-UHFFFAOYSA-N 1 2 283.375 3.904 20 0 CHADLO CCC[C@@H]1C[N@H+](Cc2ccc(C)c(Cl)c2)CCO1 ZINC001308617963 1127396705 /nfs/dbraw/zinc/39/67/05/1127396705.db2.gz CYTBMDRKXNOQHF-CQSZACIVSA-N 1 2 267.800 3.649 20 0 CHADLO CCC[C@@H]1C[N@@H+](Cc2ccc(C)c(Cl)c2)CCO1 ZINC001308617963 1127396708 /nfs/dbraw/zinc/39/67/08/1127396708.db2.gz CYTBMDRKXNOQHF-CQSZACIVSA-N 1 2 267.800 3.649 20 0 CHADLO CN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)[C@@H]1CCC(C)(C)C1 ZINC000358060387 1127400506 /nfs/dbraw/zinc/40/05/06/1127400506.db2.gz KFVDSFQIBXENAD-MRXNPFEDSA-N 1 2 297.402 3.523 20 0 CHADLO CCC(C)(C)N1CC[NH+](CCSC2CCCCC2)CC1 ZINC000594020299 1127405489 /nfs/dbraw/zinc/40/54/89/1127405489.db2.gz GTQFCDBUCMTFMS-UHFFFAOYSA-N 1 2 298.540 3.859 20 0 CHADLO CC(C)(C)c1csc(C[N@@H+]2CC[C@H](C(F)(F)F)C2)n1 ZINC000761043955 1127408357 /nfs/dbraw/zinc/40/83/57/1127408357.db2.gz YOIDQGGPOQHSLW-VIFPVBQESA-N 1 2 292.370 3.825 20 0 CHADLO CC(C)(C)c1csc(C[N@H+]2CC[C@H](C(F)(F)F)C2)n1 ZINC000761043955 1127408361 /nfs/dbraw/zinc/40/83/61/1127408361.db2.gz YOIDQGGPOQHSLW-VIFPVBQESA-N 1 2 292.370 3.825 20 0 CHADLO CCCCCn1cc(C[NH2+][C@@H](C)c2nccs2)c(C)n1 ZINC000358260213 1127411331 /nfs/dbraw/zinc/41/13/31/1127411331.db2.gz BMIGAWKYTLGBGL-ZDUSSCGKSA-N 1 2 292.452 3.689 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H](C)c3cccc(F)c3)ccc2[nH+]1 ZINC000358273592 1127412113 /nfs/dbraw/zinc/41/21/13/1127412113.db2.gz CHULNLFBJRTWJU-GFCCVEGCSA-N 1 2 297.333 3.524 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cnc4ccccc4c3)n2c1 ZINC000358298533 1127414337 /nfs/dbraw/zinc/41/43/37/1127414337.db2.gz MSHJALQQVPXTTG-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO Cc1cn2cc(NC(=O)c3csc(C)c3C)ccc2[nH+]1 ZINC000358429893 1127421181 /nfs/dbraw/zinc/42/11/81/1127421181.db2.gz RTPXZWLUTYMVPN-UHFFFAOYSA-N 1 2 285.372 3.573 20 0 CHADLO CCCn1c[nH+]cc1CN(CC)c1ccccc1C ZINC001308695739 1127430781 /nfs/dbraw/zinc/43/07/81/1127430781.db2.gz ICUJOIMKYSGGAW-UHFFFAOYSA-N 1 2 257.381 3.628 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@@H+](Cc1nccs1)[C@H]2C ZINC000359278008 1127456078 /nfs/dbraw/zinc/45/60/78/1127456078.db2.gz AKVQXNMMWLOKCM-RYUDHWBXSA-N 1 2 288.416 3.660 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@H+](Cc1nccs1)[C@H]2C ZINC000359278008 1127456080 /nfs/dbraw/zinc/45/60/80/1127456080.db2.gz AKVQXNMMWLOKCM-RYUDHWBXSA-N 1 2 288.416 3.660 20 0 CHADLO CCC[C@H](CC)[S@@](=O)Cc1ccc(C)[nH+]c1C ZINC000359419153 1127462474 /nfs/dbraw/zinc/46/24/74/1127462474.db2.gz YRDXMRQRZXEOAD-YOEHRIQHSA-N 1 2 253.411 3.526 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H](C)c2ccc(Cl)cn2)n1 ZINC000359660985 1127471607 /nfs/dbraw/zinc/47/16/07/1127471607.db2.gz DVSCUNVVSNWRCD-NXEZZACHSA-N 1 2 281.812 3.912 20 0 CHADLO C[C@@H]([NH2+][C@@H](CC(F)(F)F)c1ccccc1)c1cnccn1 ZINC000360147842 1127490661 /nfs/dbraw/zinc/49/06/61/1127490661.db2.gz HWZGGVNVVWJQLE-YPMHNXCESA-N 1 2 295.308 3.821 20 0 CHADLO COc1c(C)c[nH+]c(CSCC[C@H]2CCCCO2)c1C ZINC000596352996 1127497242 /nfs/dbraw/zinc/49/72/42/1127497242.db2.gz SNSLJUFYQGBRFT-CQSZACIVSA-N 1 2 295.448 3.899 20 0 CHADLO Cc1cc(CNc2ccc([NH+](C)C)cc2)ccc1F ZINC000060101378 1127499999 /nfs/dbraw/zinc/49/99/99/1127499999.db2.gz CDXDTVADAQRZDP-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO Cc1nc(N2CC[C@@H](C)C3(CCCCC3)C2)cc[nH+]1 ZINC000360864811 1127516306 /nfs/dbraw/zinc/51/63/06/1127516306.db2.gz RGDPMNBLSOEWLS-CYBMUJFWSA-N 1 2 259.397 3.582 20 0 CHADLO CCc1cnc(C[N@@H+]2Cc3ccccc3C3(CC3)C2)s1 ZINC000361140326 1127541809 /nfs/dbraw/zinc/54/18/09/1127541809.db2.gz KNBOOMZZLPMBIE-UHFFFAOYSA-N 1 2 284.428 3.753 20 0 CHADLO CCc1cnc(C[N@H+]2Cc3ccccc3C3(CC3)C2)s1 ZINC000361140326 1127541811 /nfs/dbraw/zinc/54/18/11/1127541811.db2.gz KNBOOMZZLPMBIE-UHFFFAOYSA-N 1 2 284.428 3.753 20 0 CHADLO C[C@@H](C(=O)Nc1cccc(F)c1)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000361086289 1127537045 /nfs/dbraw/zinc/53/70/45/1127537045.db2.gz IDAAOATWNYIZHF-OLZOCXBDSA-N 1 2 298.361 3.730 20 0 CHADLO C[C@@H](C(=O)Nc1cccc(F)c1)[N@H+]1Cc2ccccc2[C@H]1C ZINC000361086289 1127537048 /nfs/dbraw/zinc/53/70/48/1127537048.db2.gz IDAAOATWNYIZHF-OLZOCXBDSA-N 1 2 298.361 3.730 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3ccc(C)cc3C2)cc1F ZINC000361108542 1127538542 /nfs/dbraw/zinc/53/85/42/1127538542.db2.gz CMTOVHRKIGOZTH-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(C[N@H+]2Cc3ccc(C)cc3C2)cc1F ZINC000361108542 1127538544 /nfs/dbraw/zinc/53/85/44/1127538544.db2.gz CMTOVHRKIGOZTH-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCOc2c(F)ccc(F)c21)c1ccco1 ZINC000361178189 1127544467 /nfs/dbraw/zinc/54/44/67/1127544467.db2.gz LGQOVXPTTFCEAS-JOYOIKCWSA-N 1 2 279.286 3.732 20 0 CHADLO C[C@H]([NH2+][C@H]1CCOc2c(F)ccc(F)c21)c1cncs1 ZINC000361186330 1127545175 /nfs/dbraw/zinc/54/51/75/1127545175.db2.gz FVMSHBOIPKIRBA-KWQFWETISA-N 1 2 296.342 3.596 20 0 CHADLO COc1ccccc1[C@H](C)Sc1[nH+]cnc2[nH]ccc21 ZINC000361280627 1127553276 /nfs/dbraw/zinc/55/32/76/1127553276.db2.gz KNCGIRLBXQRJAO-JTQLQIEISA-N 1 2 285.372 3.820 20 0 CHADLO CC(C)c1cc(NCc2cccc(F)n2)nc(C(C)C)[nH+]1 ZINC000761234925 1127556469 /nfs/dbraw/zinc/55/64/69/1127556469.db2.gz MWVYHLSSWDMIJI-UHFFFAOYSA-N 1 2 288.370 3.870 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000361430057 1127562944 /nfs/dbraw/zinc/56/29/44/1127562944.db2.gz LWNRWOIVNQNYBU-HUUCEWRRSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1ccc(CCC(=O)Nc2c(C)cc(C)[nH+]c2C)s1 ZINC000361448441 1127563836 /nfs/dbraw/zinc/56/38/36/1127563836.db2.gz DSBYKCTUUCJBIS-UHFFFAOYSA-N 1 2 288.416 3.948 20 0 CHADLO CCC1(Cc2ccccc2)CC[NH+](Cc2noc(C)n2)CC1 ZINC000361485943 1127566428 /nfs/dbraw/zinc/56/64/28/1127566428.db2.gz POLYHZLOJDGNAX-UHFFFAOYSA-N 1 2 299.418 3.613 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc3cc(C)ccc3[nH]2)o1 ZINC000361803556 1127589829 /nfs/dbraw/zinc/58/98/29/1127589829.db2.gz HBBHHUJWRCAFNG-GFCCVEGCSA-N 1 2 269.348 3.624 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc3ccc(C)cc3[nH]2)o1 ZINC000361803556 1127589834 /nfs/dbraw/zinc/58/98/34/1127589834.db2.gz HBBHHUJWRCAFNG-GFCCVEGCSA-N 1 2 269.348 3.624 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2cccc(C)n2)c1 ZINC000361866371 1127595579 /nfs/dbraw/zinc/59/55/79/1127595579.db2.gz UXIJHZZACYWONF-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2cccc(C)n2)c1 ZINC000361866371 1127595580 /nfs/dbraw/zinc/59/55/80/1127595580.db2.gz UXIJHZZACYWONF-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CC[N@H+](Cc1cc(F)ncc1F)[C@H](C)c1cccc(O)c1 ZINC000294920316 1127608405 /nfs/dbraw/zinc/60/84/05/1127608405.db2.gz TXSILICCTOGQNX-LLVKDONJSA-N 1 2 292.329 3.649 20 0 CHADLO CC[N@@H+](Cc1cc(F)ncc1F)[C@H](C)c1cccc(O)c1 ZINC000294920316 1127608408 /nfs/dbraw/zinc/60/84/08/1127608408.db2.gz TXSILICCTOGQNX-LLVKDONJSA-N 1 2 292.329 3.649 20 0 CHADLO Cc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)cnc1F ZINC001212186352 1127609119 /nfs/dbraw/zinc/60/91/19/1127609119.db2.gz WDIBLYSWEQDBLD-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO Cc1cc(Nc2cccc(Cn3cc[nH+]c3)c2)cnc1F ZINC001212186391 1127610032 /nfs/dbraw/zinc/61/00/32/1127610032.db2.gz WTPYIGQCAHURMB-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO CC[C@@H]1CN(Cc2cccc3[nH+]ccn32)c2ccccc2O1 ZINC000362105521 1127611842 /nfs/dbraw/zinc/61/18/42/1127611842.db2.gz HCPSGMKDCIMGFU-OAHLLOKOSA-N 1 2 293.370 3.512 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2COc3ccc(C)cc32)cs1 ZINC000362293429 1127625965 /nfs/dbraw/zinc/62/59/65/1127625965.db2.gz LRTCHCUCKWSRKZ-ZWNOBZJWSA-N 1 2 274.389 3.544 20 0 CHADLO Cc1cccn2cc(Cc3nc(C4CCCCC4)no3)[nH+]c12 ZINC000598641247 1127629886 /nfs/dbraw/zinc/62/98/86/1127629886.db2.gz NCYSBQXUCAINNG-UHFFFAOYSA-N 1 2 296.374 3.664 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2cccc(C3CC3)c2)o1 ZINC000295342566 1127636271 /nfs/dbraw/zinc/63/62/71/1127636271.db2.gz ICESPGVMSKMVCA-LBPRGKRZSA-N 1 2 285.391 3.921 20 0 CHADLO COc1ccccc1[C@H](C)SCc1ccc[nH+]c1N ZINC000603320855 1127641951 /nfs/dbraw/zinc/64/19/51/1127641951.db2.gz PVNOOOBZXNPLGS-NSHDSACASA-N 1 2 274.389 3.667 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cccc(C3CC3)c2)o1 ZINC000295437210 1127643245 /nfs/dbraw/zinc/64/32/45/1127643245.db2.gz OMCMKCGMVSLKLQ-LBPRGKRZSA-N 1 2 256.349 3.711 20 0 CHADLO CSCc1cnc(C[N@H+](C)Cc2cccc(C)c2)s1 ZINC000295460486 1127644232 /nfs/dbraw/zinc/64/42/32/1127644232.db2.gz VVXGARXRKHESOK-UHFFFAOYSA-N 1 2 292.473 3.947 20 0 CHADLO CSCc1cnc(C[N@@H+](C)Cc2cccc(C)c2)s1 ZINC000295460486 1127644234 /nfs/dbraw/zinc/64/42/34/1127644234.db2.gz VVXGARXRKHESOK-UHFFFAOYSA-N 1 2 292.473 3.947 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccncc2Cl)c1 ZINC000598979401 1127649363 /nfs/dbraw/zinc/64/93/63/1127649363.db2.gz ZDEDJHVEJYWXSY-UHFFFAOYSA-N 1 2 293.823 3.995 20 0 CHADLO Clc1nc(C[N@@H+]2CCC[C@@H](c3ccncc3)C2)cs1 ZINC000296107711 1127663063 /nfs/dbraw/zinc/66/30/63/1127663063.db2.gz XQBMANOZCLAWDA-GFCCVEGCSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1nc(C[N@H+]2CCC[C@@H](c3ccncc3)C2)cs1 ZINC000296107711 1127663068 /nfs/dbraw/zinc/66/30/68/1127663068.db2.gz XQBMANOZCLAWDA-GFCCVEGCSA-N 1 2 293.823 3.571 20 0 CHADLO Cc1cnccc1C[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000296091376 1127663181 /nfs/dbraw/zinc/66/31/81/1127663181.db2.gz RFUUXBJSCUATTN-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO Cc1cnccc1C[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000296091376 1127663183 /nfs/dbraw/zinc/66/31/83/1127663183.db2.gz RFUUXBJSCUATTN-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cccc(Cl)c1Br ZINC000719425835 1127663517 /nfs/dbraw/zinc/66/35/17/1127663517.db2.gz UPNNVPSHBQUIHU-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cccc(Cl)c1Br ZINC000719425835 1127663519 /nfs/dbraw/zinc/66/35/19/1127663519.db2.gz UPNNVPSHBQUIHU-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccccc2OCC(C)C)s1 ZINC000296275854 1127667101 /nfs/dbraw/zinc/66/71/01/1127667101.db2.gz DWDSJFJWXRCODJ-UHFFFAOYSA-N 1 2 290.432 3.776 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1sccc1C1CC1 ZINC000603808841 1127673176 /nfs/dbraw/zinc/67/31/76/1127673176.db2.gz JPVPQTNGBIKNMZ-UHFFFAOYSA-N 1 2 283.356 3.526 20 0 CHADLO CCCc1ccc(C(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000603809102 1127673320 /nfs/dbraw/zinc/67/33/20/1127673320.db2.gz NSXFHENWMAYZJT-UHFFFAOYSA-N 1 2 279.343 3.539 20 0 CHADLO C[C@H](CC(=O)Nc1ccc2[nH+]ccn2c1)c1ccc(F)cc1 ZINC000603808896 1127673473 /nfs/dbraw/zinc/67/34/73/1127673473.db2.gz MMGQGCHYEHHUFK-GFCCVEGCSA-N 1 2 297.333 3.606 20 0 CHADLO CC[N@H+](Cc1nnc(C(C)C)s1)Cc1ccccc1C ZINC000296535142 1127675200 /nfs/dbraw/zinc/67/52/00/1127675200.db2.gz NOCSDURMFVSTNB-UHFFFAOYSA-N 1 2 289.448 3.992 20 0 CHADLO CC[N@@H+](Cc1nnc(C(C)C)s1)Cc1ccccc1C ZINC000296535142 1127675204 /nfs/dbraw/zinc/67/52/04/1127675204.db2.gz NOCSDURMFVSTNB-UHFFFAOYSA-N 1 2 289.448 3.992 20 0 CHADLO CC(C)CC[C@H]([NH2+]Cc1nnc(C2CC2)[nH]1)c1ccoc1 ZINC000296655961 1127680958 /nfs/dbraw/zinc/68/09/58/1127680958.db2.gz ZIAZQQPSMBCYIZ-AWEZNQCLSA-N 1 2 288.395 3.542 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+]Cc2cc(F)cnc2Cl)cn1 ZINC000297216335 1127690843 /nfs/dbraw/zinc/69/08/43/1127690843.db2.gz HBCLCIXNDITMPU-SNVBAGLBSA-N 1 2 296.777 3.502 20 0 CHADLO C/C(=C/C(=O)NCc1c[nH+]c(C)cc1C)c1ccc(F)cc1 ZINC001275910000 1127701602 /nfs/dbraw/zinc/70/16/02/1127701602.db2.gz DEXODADYVHLMPM-LCYFTJDESA-N 1 2 298.361 3.557 20 0 CHADLO Cc1cc(C)c(CNC(=O)C/C=C/c2ccc(F)cc2)c[nH+]1 ZINC001275917484 1127704050 /nfs/dbraw/zinc/70/40/50/1127704050.db2.gz TVAPGNAKSDQKSP-ONEGZZNKSA-N 1 2 298.361 3.557 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nccn1CC)c1ccc(F)cc1F ZINC000297759974 1127706143 /nfs/dbraw/zinc/70/61/43/1127706143.db2.gz ORHDQTVREVKKFT-XHDPSFHLSA-N 1 2 293.361 3.983 20 0 CHADLO Cc1cccc([C@H](C)NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)c1 ZINC000636009545 1127713966 /nfs/dbraw/zinc/71/39/66/1127713966.db2.gz JCMKCTSXQZUEEK-RDJZCZTQSA-N 1 2 299.418 3.656 20 0 CHADLO Cc1cc(N(C)C[C@H](C)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000604757607 1127716228 /nfs/dbraw/zinc/71/62/28/1127716228.db2.gz KWTAMJAXLDULIH-ZDUSSCGKSA-N 1 2 281.403 3.902 20 0 CHADLO CC(C)([NH2+]Cc1n[nH]c2ccccc21)c1cccs1 ZINC000604893003 1127718833 /nfs/dbraw/zinc/71/88/33/1127718833.db2.gz WSIWXHGIIMKTNB-UHFFFAOYSA-N 1 2 271.389 3.649 20 0 CHADLO COc1cccc([C@@H](C)[NH2+][C@@H](C)c2nc(C(C)C)no2)c1 ZINC000298853261 1127734942 /nfs/dbraw/zinc/73/49/42/1127734942.db2.gz DKHCWYBNFGGSSI-NEPJUHHUSA-N 1 2 289.379 3.613 20 0 CHADLO Fc1ccc(COc2ccccc2-n2cc[nH+]c2)cc1 ZINC000605434222 1127736327 /nfs/dbraw/zinc/73/63/27/1127736327.db2.gz PAZMFIYMJQIYNZ-UHFFFAOYSA-N 1 2 268.291 3.590 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2cncs2)c2ccccn2)cc1 ZINC000366274054 1127744697 /nfs/dbraw/zinc/74/46/97/1127744697.db2.gz UMILIYRUAVDYHT-QGZVFWFLSA-N 1 2 295.411 3.726 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1C[C@H](C)OC(C)(C)C1 ZINC000606431901 1127761927 /nfs/dbraw/zinc/76/19/27/1127761927.db2.gz CEVOIDSWLPMCSN-NHYWBVRUSA-N 1 2 283.362 3.915 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1C[C@H](C)OC(C)(C)C1 ZINC000606431901 1127761930 /nfs/dbraw/zinc/76/19/30/1127761930.db2.gz CEVOIDSWLPMCSN-NHYWBVRUSA-N 1 2 283.362 3.915 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3ccccc3C)ccc2[nH+]1 ZINC000606569459 1127767330 /nfs/dbraw/zinc/76/73/30/1127767330.db2.gz YASRWYVBQSAHFE-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2nc(C3CCCC3)no2)CC1 ZINC000409446794 1127768231 /nfs/dbraw/zinc/76/82/31/1127768231.db2.gz GMIHRFYACSAJMY-UHFFFAOYSA-N 1 2 289.423 3.905 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2nc(C3CCCC3)no2)CC1 ZINC000409446794 1127768235 /nfs/dbraw/zinc/76/82/35/1127768235.db2.gz GMIHRFYACSAJMY-UHFFFAOYSA-N 1 2 289.423 3.905 20 0 CHADLO CC[C@@H](Cc1ccccc1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000607852172 1127834113 /nfs/dbraw/zinc/83/41/13/1127834113.db2.gz FCTJUIROHYIQPA-HNNXBMFYSA-N 1 2 293.370 3.542 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C(C)(Cl)Cl ZINC000373693801 1127857903 /nfs/dbraw/zinc/85/79/03/1127857903.db2.gz GCYAXBRNUUPLOX-UHFFFAOYSA-N 1 2 298.173 3.517 20 0 CHADLO FC[C@H]1C[N@H+](Cc2cccc(Cl)c2Cl)CCCO1 ZINC000411850815 1127877399 /nfs/dbraw/zinc/87/73/99/1127877399.db2.gz GAHVHUSWUUWHFE-NSHDSACASA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@H]1C[N@@H+](Cc2cccc(Cl)c2Cl)CCCO1 ZINC000411850815 1127877402 /nfs/dbraw/zinc/87/74/02/1127877402.db2.gz GAHVHUSWUUWHFE-NSHDSACASA-N 1 2 292.181 3.554 20 0 CHADLO Cc1cccc(Cl)c1C[NH2+][C@@H](C)c1nccs1 ZINC000411886285 1127878166 /nfs/dbraw/zinc/87/81/66/1127878166.db2.gz RSKGBOBMUDAERV-JTQLQIEISA-N 1 2 266.797 3.956 20 0 CHADLO CC[N@H+](Cc1nc2ccc(F)cc2o1)Cc1occc1C ZINC000412032564 1127890363 /nfs/dbraw/zinc/89/03/63/1127890363.db2.gz ZFMPDDIKIJMJHU-UHFFFAOYSA-N 1 2 288.322 3.890 20 0 CHADLO CC[N@@H+](Cc1nc2ccc(F)cc2o1)Cc1occc1C ZINC000412032564 1127890365 /nfs/dbraw/zinc/89/03/65/1127890365.db2.gz ZFMPDDIKIJMJHU-UHFFFAOYSA-N 1 2 288.322 3.890 20 0 CHADLO Cc1ccc2ccccc2c1C[NH2+][C@@H](C)c1csnn1 ZINC000412046466 1127891603 /nfs/dbraw/zinc/89/16/03/1127891603.db2.gz UDRIKUKIOXUMGH-LBPRGKRZSA-N 1 2 283.400 3.851 20 0 CHADLO Cc1c(C)c(C)c(C[NH2+][C@@H](C)c2csnn2)c(C)c1C ZINC000412047970 1127893205 /nfs/dbraw/zinc/89/32/05/1127893205.db2.gz WTJBFLLPYXFOLS-AWEZNQCLSA-N 1 2 289.448 3.931 20 0 CHADLO CCOC1CC[NH+](Cc2ccc(F)c(F)c2Cl)CC1 ZINC001232875469 1127896721 /nfs/dbraw/zinc/89/67/21/1127896721.db2.gz DTPIKZFPEZOKFG-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO C[C@H]1CC[N@@H+]([C@H]2CCc3cc(F)ccc3C2)CC1(F)F ZINC001168426498 1127906591 /nfs/dbraw/zinc/90/65/91/1127906591.db2.gz LHYFMBXWZQLRRB-NHYWBVRUSA-N 1 2 283.337 3.660 20 0 CHADLO C[C@H]1CC[N@H+]([C@H]2CCc3cc(F)ccc3C2)CC1(F)F ZINC001168426498 1127906593 /nfs/dbraw/zinc/90/65/93/1127906593.db2.gz LHYFMBXWZQLRRB-NHYWBVRUSA-N 1 2 283.337 3.660 20 0 CHADLO CC[C@H]1CN(c2cc[nH+]c(C)n2)CC[C@@H]1c1ccccc1 ZINC000470870770 1127907696 /nfs/dbraw/zinc/90/76/96/1127907696.db2.gz CQMMKAFQECYDIE-RDJZCZTQSA-N 1 2 281.403 3.805 20 0 CHADLO Cc1ccc([C@H]2CCC[C@@H]2N(C)c2cc[nH+]c(C)n2)cc1 ZINC000463066620 1127971125 /nfs/dbraw/zinc/97/11/25/1127971125.db2.gz ACMMVAFEPXIJFT-SJORKVTESA-N 1 2 281.403 3.866 20 0 CHADLO Cc1cc(NC(=O)N[C@H](C)c2c(C)cccc2C)c(C)c[nH+]1 ZINC000544889015 1127988166 /nfs/dbraw/zinc/98/81/66/1127988166.db2.gz UJLOGZNMQRAUGE-OAHLLOKOSA-N 1 2 297.402 3.620 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1CC(=O)NCCC1=CCCCC1 ZINC000541203449 1128010593 /nfs/dbraw/zinc/01/05/93/1128010593.db2.gz YKYWOHMJSWJANI-HNNXBMFYSA-N 1 2 298.430 3.570 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1CC(=O)NCCC1=CCCCC1 ZINC000541203449 1128010596 /nfs/dbraw/zinc/01/05/96/1128010596.db2.gz YKYWOHMJSWJANI-HNNXBMFYSA-N 1 2 298.430 3.570 20 0 CHADLO CC(C)C[C@@H]1CCC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000469118567 1128035808 /nfs/dbraw/zinc/03/58/08/1128035808.db2.gz YENJKYUPZRUVGW-ZDUSSCGKSA-N 1 2 279.428 3.625 20 0 CHADLO CC(C)C[C@@H]1CCC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000469118567 1128035810 /nfs/dbraw/zinc/03/58/10/1128035810.db2.gz YENJKYUPZRUVGW-ZDUSSCGKSA-N 1 2 279.428 3.625 20 0 CHADLO Cc1cc(N)nc(S[C@H]2CCc3ccc(Cl)cc32)[nH+]1 ZINC000542222298 1128057873 /nfs/dbraw/zinc/05/78/73/1128057873.db2.gz HMADPHAQQUVTSQ-LBPRGKRZSA-N 1 2 291.807 3.631 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(C(C)(C)C)cc2)o1 ZINC000544513149 1128067645 /nfs/dbraw/zinc/06/76/45/1128067645.db2.gz VRMOYCWTDBBCPL-NSHDSACASA-N 1 2 273.380 3.526 20 0 CHADLO Cc1scc(CNC(=O)c2c(C)cc(C)[nH+]c2C)c1C ZINC000913649108 1128069102 /nfs/dbraw/zinc/06/91/02/1128069102.db2.gz VVQMGLQYQMTBCF-UHFFFAOYSA-N 1 2 288.416 3.615 20 0 CHADLO CCC[C@H]1C[N@H+](Cc2cccc(C(F)F)c2)CCO1 ZINC000470776321 1128077179 /nfs/dbraw/zinc/07/71/79/1128077179.db2.gz GYDFCHOKIRDZRX-AWEZNQCLSA-N 1 2 269.335 3.625 20 0 CHADLO CCC[C@H]1C[N@@H+](Cc2cccc(C(F)F)c2)CCO1 ZINC000470776321 1128077184 /nfs/dbraw/zinc/07/71/84/1128077184.db2.gz GYDFCHOKIRDZRX-AWEZNQCLSA-N 1 2 269.335 3.625 20 0 CHADLO C[C@@H]([N@H+](Cc1csnn1)Cc1ccccc1)C(C)(C)C ZINC000470550346 1128073635 /nfs/dbraw/zinc/07/36/35/1128073635.db2.gz XNZFUZPYKIXWTH-CYBMUJFWSA-N 1 2 289.448 3.975 20 0 CHADLO C[C@@H]([N@@H+](Cc1csnn1)Cc1ccccc1)C(C)(C)C ZINC000470550346 1128073638 /nfs/dbraw/zinc/07/36/38/1128073638.db2.gz XNZFUZPYKIXWTH-CYBMUJFWSA-N 1 2 289.448 3.975 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2ccncc2Cl)C1 ZINC000669942723 1128080861 /nfs/dbraw/zinc/08/08/61/1128080861.db2.gz NQFRLTOMQJSTGF-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2ccncc2Cl)C1 ZINC000669942723 1128080867 /nfs/dbraw/zinc/08/08/67/1128080867.db2.gz NQFRLTOMQJSTGF-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC[C@](F)(c3ccccc3)C2)o1 ZINC000669942818 1128081548 /nfs/dbraw/zinc/08/15/48/1128081548.db2.gz LPLWMTMQZUYBPV-XJKSGUPXSA-N 1 2 274.339 3.615 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC[C@](F)(c3ccccc3)C2)o1 ZINC000669942818 1128081551 /nfs/dbraw/zinc/08/15/51/1128081551.db2.gz LPLWMTMQZUYBPV-XJKSGUPXSA-N 1 2 274.339 3.615 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cc(F)ccc2F)s1 ZINC000134906281 1128091098 /nfs/dbraw/zinc/09/10/98/1128091098.db2.gz NSXZMNHAYQHGOQ-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO CC(C)C[C@@H](c1nc(C2(C)CCCC2)no1)n1cc[nH+]c1 ZINC000924145679 1128091518 /nfs/dbraw/zinc/09/15/18/1128091518.db2.gz IRVSBJXSGTTZTD-ZDUSSCGKSA-N 1 2 288.395 3.733 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+][C@@H](C)c2ccc(F)c(F)c2)nn1 ZINC000924530214 1128101721 /nfs/dbraw/zinc/10/17/21/1128101721.db2.gz GBXAXKLYKPYKBV-QWRGUYRKSA-N 1 2 294.349 3.549 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+][C@H](C)c2ccc(F)c(F)c2)nn1 ZINC000924530212 1128101822 /nfs/dbraw/zinc/10/18/22/1128101822.db2.gz GBXAXKLYKPYKBV-MNOVXSKESA-N 1 2 294.349 3.549 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@@H](C)c1ccc(F)cc1F ZINC000924544985 1128103673 /nfs/dbraw/zinc/10/36/73/1128103673.db2.gz MGKXUTWAIQEAFY-LKFCYVNXSA-N 1 2 292.329 3.989 20 0 CHADLO CCCOc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncco2)cc1 ZINC000924858104 1128115072 /nfs/dbraw/zinc/11/50/72/1128115072.db2.gz IBKWMPSVFXGFNK-OLZOCXBDSA-N 1 2 274.364 3.875 20 0 CHADLO Cc1cc(N[C@@H](C)CCCC(F)(F)F)nc(C2CC2)[nH+]1 ZINC000493389435 1128140463 /nfs/dbraw/zinc/14/04/63/1128140463.db2.gz SYFLXQWIMQKHDD-VIFPVBQESA-N 1 2 287.329 3.617 20 0 CHADLO C[C@H]([NH2+]C1(c2ccc(F)cc2)CCC1)c1ncco1 ZINC000925781233 1128142563 /nfs/dbraw/zinc/14/25/63/1128142563.db2.gz VVXAEFVCWTWYME-NSHDSACASA-N 1 2 260.312 3.544 20 0 CHADLO COc1ccc(C2([NH2+][C@@H]3CCCc4oc(C)nc43)CC2)cc1 ZINC000925819695 1128143395 /nfs/dbraw/zinc/14/33/95/1128143395.db2.gz GFWTYNMQVSPYCO-OAHLLOKOSA-N 1 2 298.386 3.648 20 0 CHADLO COCC(C)(C)CNc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000494181390 1128158915 /nfs/dbraw/zinc/15/89/15/1128158915.db2.gz BHGSHCSTCNVTHN-UHFFFAOYSA-N 1 2 293.798 3.610 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@H](C)c1cc2ccccc2o1 ZINC000926243183 1128163329 /nfs/dbraw/zinc/16/33/29/1128163329.db2.gz JJSSQWSDEGEPHW-NXEZZACHSA-N 1 2 271.320 3.536 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)OCc1ccc(C)cc1)c1ccccc1 ZINC000741150198 1128164852 /nfs/dbraw/zinc/16/48/52/1128164852.db2.gz ABZXBOPTQCAZTB-SFHVURJKSA-N 1 2 297.398 3.731 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)OCc1ccc(C)cc1)c1ccccc1 ZINC000741150198 1128164854 /nfs/dbraw/zinc/16/48/54/1128164854.db2.gz ABZXBOPTQCAZTB-SFHVURJKSA-N 1 2 297.398 3.731 20 0 CHADLO COC(=O)c1ccoc1C[N@H+](C)C/C(C)=C\c1ccccc1 ZINC000494287791 1128168704 /nfs/dbraw/zinc/16/87/04/1128168704.db2.gz MLAUXJVGEAFLRW-KAMYIIQDSA-N 1 2 299.370 3.602 20 0 CHADLO COC(=O)c1ccoc1C[N@@H+](C)C/C(C)=C\c1ccccc1 ZINC000494287791 1128168705 /nfs/dbraw/zinc/16/87/05/1128168705.db2.gz MLAUXJVGEAFLRW-KAMYIIQDSA-N 1 2 299.370 3.602 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](C)C/C(C)=C\c2ccccc2)o1 ZINC000494292782 1128168864 /nfs/dbraw/zinc/16/88/64/1128168864.db2.gz JDZSCQAQUVUXQT-YBEMTRGBSA-N 1 2 285.391 3.728 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](C)C/C(C)=C\c2ccccc2)o1 ZINC000494292782 1128168866 /nfs/dbraw/zinc/16/88/66/1128168866.db2.gz JDZSCQAQUVUXQT-YBEMTRGBSA-N 1 2 285.391 3.728 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2c(F)cc(F)cc21)c1ncco1 ZINC000926496847 1128176558 /nfs/dbraw/zinc/17/65/58/1128176558.db2.gz NZYNJULMLWLCJW-YMTOWFKASA-N 1 2 278.302 3.681 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1coc2ccccc21 ZINC000926590685 1128182597 /nfs/dbraw/zinc/18/25/97/1128182597.db2.gz UMAIEKXFEHHNHZ-ZJUUUORDSA-N 1 2 273.361 3.696 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cccc(F)c2F)s1 ZINC000224997146 1128184686 /nfs/dbraw/zinc/18/46/86/1128184686.db2.gz CDVRLAIDAGZPTQ-SECBINFHSA-N 1 2 282.359 3.835 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+]Cc1cc(Cl)cc(Cl)c1 ZINC000926673591 1128187333 /nfs/dbraw/zinc/18/73/33/1128187333.db2.gz RTPSPXTWWOKPGK-ZETCQYMHSA-N 1 2 286.162 3.536 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)c1ncco1)c1ccccc1F ZINC000926695256 1128188741 /nfs/dbraw/zinc/18/87/41/1128188741.db2.gz ZJXPIUSJSYHJOF-BXUZGUMPSA-N 1 2 262.328 3.862 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1cc2ccccc2[nH]1 ZINC000926757944 1128192117 /nfs/dbraw/zinc/19/21/17/1128192117.db2.gz YPUJQIZCZMLORO-JTQLQIEISA-N 1 2 252.308 3.864 20 0 CHADLO Cc1cn(C)nc1C[N@@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000929176410 1128262647 /nfs/dbraw/zinc/26/26/47/1128262647.db2.gz ZCOKJSZOOZQLRG-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cn(C)nc1C[N@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000929176410 1128262648 /nfs/dbraw/zinc/26/26/48/1128262648.db2.gz ZCOKJSZOOZQLRG-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cnc(-c2ccccc2)o1 ZINC000929191234 1128263030 /nfs/dbraw/zinc/26/30/30/1128263030.db2.gz MUQNURHUFWKFHP-CQSZACIVSA-N 1 2 293.370 3.720 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cnc(-c2ccccc2)o1 ZINC000929191234 1128263032 /nfs/dbraw/zinc/26/30/32/1128263032.db2.gz MUQNURHUFWKFHP-CQSZACIVSA-N 1 2 293.370 3.720 20 0 CHADLO CCc1nc([C@H]2CCCC[N@@H+]2CCCC(C)(F)F)no1 ZINC000929306420 1128269530 /nfs/dbraw/zinc/26/95/30/1128269530.db2.gz BAOPYKGGIPUYAI-LLVKDONJSA-N 1 2 287.354 3.594 20 0 CHADLO CCc1nc([C@H]2CCCC[N@H+]2CCCC(C)(F)F)no1 ZINC000929306420 1128269531 /nfs/dbraw/zinc/26/95/31/1128269531.db2.gz BAOPYKGGIPUYAI-LLVKDONJSA-N 1 2 287.354 3.594 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000926899037 1128200988 /nfs/dbraw/zinc/20/09/88/1128200988.db2.gz RYKUJNUGYSSZTR-IAQYHMDHSA-N 1 2 273.355 3.585 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+](C)Cc1ccc(F)cc1F ZINC000929253685 1128265516 /nfs/dbraw/zinc/26/55/16/1128265516.db2.gz CMDURIBBPKYXTG-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+](C)Cc1ccc(F)cc1F ZINC000929253685 1128265518 /nfs/dbraw/zinc/26/55/18/1128265518.db2.gz CMDURIBBPKYXTG-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CCCC2CCCC2)C2CCCCC2)n1 ZINC000494880849 1128207246 /nfs/dbraw/zinc/20/72/46/1128207246.db2.gz UGGDDKDXQXUKJS-INIZCTEOSA-N 1 2 290.455 3.986 20 0 CHADLO CC(C)C(C)(C)C[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000494942850 1128215344 /nfs/dbraw/zinc/21/53/44/1128215344.db2.gz ZBVCFGRAOJBULJ-AWEZNQCLSA-N 1 2 278.444 3.698 20 0 CHADLO CCc1noc(C)c1[C@@H](C)[NH2+]Cc1c(F)cc(C)cc1F ZINC000927094855 1128216313 /nfs/dbraw/zinc/21/63/13/1128216313.db2.gz UJMIJWTYEVKKHB-SNVBAGLBSA-N 1 2 294.345 3.983 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+]Cc1cc(C2CC2)n(C)n1 ZINC000927114320 1128219207 /nfs/dbraw/zinc/21/92/07/1128219207.db2.gz SKWPBKZELMNOQN-CYBMUJFWSA-N 1 2 299.418 3.547 20 0 CHADLO C(=C/c1ccncc1)\C[N@@H+]1CCCC[C@H]1c1nccs1 ZINC000494996595 1128222848 /nfs/dbraw/zinc/22/28/48/1128222848.db2.gz LVXNXNMXOMNNHZ-BWPKMQGJSA-N 1 2 285.416 3.779 20 0 CHADLO C(=C/c1ccncc1)\C[N@H+]1CCCC[C@H]1c1nccs1 ZINC000494996595 1128222850 /nfs/dbraw/zinc/22/28/50/1128222850.db2.gz LVXNXNMXOMNNHZ-BWPKMQGJSA-N 1 2 285.416 3.779 20 0 CHADLO CCOc1cccc(CNc2ccc([NH+](C)C)cc2)c1 ZINC000032118241 1128223536 /nfs/dbraw/zinc/22/35/36/1128223536.db2.gz RRGCKYLIHNVQLL-UHFFFAOYSA-N 1 2 270.376 3.763 20 0 CHADLO C(=C\c1ccncc1)\C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000495065251 1128227596 /nfs/dbraw/zinc/22/75/96/1128227596.db2.gz JLKPPXQQAACJBB-ARJAWSKDSA-N 1 2 289.382 3.634 20 0 CHADLO C(=C\c1ccncc1)\C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000495065251 1128227598 /nfs/dbraw/zinc/22/75/98/1128227598.db2.gz JLKPPXQQAACJBB-ARJAWSKDSA-N 1 2 289.382 3.634 20 0 CHADLO C[C@H]([NH2+]c1ccc(N(C)C)c(Cl)c1)C1CCOCC1 ZINC000777646823 1128233454 /nfs/dbraw/zinc/23/34/54/1128233454.db2.gz IXBQIPOAEPEHFH-NSHDSACASA-N 1 2 282.815 3.633 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc([NH+](C)C)c(C)c2)C12CCC2 ZINC000777642932 1128233694 /nfs/dbraw/zinc/23/36/94/1128233694.db2.gz GNBWUAGYXUEVHM-IRXDYDNUSA-N 1 2 288.435 3.821 20 0 CHADLO FC(F)(F)[C@@H]1CCC[C@@H](C[NH+]2Cc3ccccc3C2)O1 ZINC000929585088 1128278239 /nfs/dbraw/zinc/27/82/39/1128278239.db2.gz BRCACFMPPNVMCG-KBPBESRZSA-N 1 2 285.309 3.502 20 0 CHADLO CNc1ccc(Nc2cnc3c(F)cccc3c2)c[nH+]1 ZINC001203451688 1128285179 /nfs/dbraw/zinc/28/51/79/1128285179.db2.gz ACCMYYUJEHFNJH-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO CC(C)[C@H](C)[N@H+](C)Cn1nc(-c2ccccc2)oc1=S ZINC000915208907 1128292133 /nfs/dbraw/zinc/29/21/33/1128292133.db2.gz IRYUPCBGPNCFOR-LBPRGKRZSA-N 1 2 291.420 3.806 20 0 CHADLO CC(C)[C@H](C)[N@@H+](C)Cn1nc(-c2ccccc2)oc1=S ZINC000915208907 1128292136 /nfs/dbraw/zinc/29/21/36/1128292136.db2.gz IRYUPCBGPNCFOR-LBPRGKRZSA-N 1 2 291.420 3.806 20 0 CHADLO COc1ccc([NH2+][C@@H](C)CCc2ccco2)c(OC)c1 ZINC000035450624 1128300397 /nfs/dbraw/zinc/30/03/97/1128300397.db2.gz STCCKUABPSHKLG-LBPRGKRZSA-N 1 2 275.348 3.730 20 0 CHADLO CCc1ncc(C[N@H+]([C@H](C)c2ccco2)C2CC2)o1 ZINC000930024945 1128298952 /nfs/dbraw/zinc/29/89/52/1128298952.db2.gz WGLLQCKCNWGNER-LLVKDONJSA-N 1 2 260.337 3.556 20 0 CHADLO CCc1ncc(C[N@@H+]([C@H](C)c2ccco2)C2CC2)o1 ZINC000930024945 1128298954 /nfs/dbraw/zinc/29/89/54/1128298954.db2.gz WGLLQCKCNWGNER-LLVKDONJSA-N 1 2 260.337 3.556 20 0 CHADLO CN(C)c1cc(CSc2c(F)cc(F)cc2F)cc[nH+]1 ZINC000762474381 1128302204 /nfs/dbraw/zinc/30/22/04/1128302204.db2.gz KAJUPWROOLSHER-UHFFFAOYSA-N 1 2 298.333 3.857 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1coc(C(C)(C)C)n1 ZINC000930181077 1128304940 /nfs/dbraw/zinc/30/49/40/1128304940.db2.gz YKJPSTUTKDNWMH-GFCCVEGCSA-N 1 2 288.391 3.832 20 0 CHADLO Cc1nc(C[NH+]2CCC(F)(F)CC2)sc1C(C)(C)C ZINC000930292781 1128312743 /nfs/dbraw/zinc/31/27/43/1128312743.db2.gz JQSAKLRNUKAXCB-UHFFFAOYSA-N 1 2 288.407 3.980 20 0 CHADLO CC(C)c1nsc(C[NH2+][C@H](C)c2ccsc2)n1 ZINC000930345353 1128314465 /nfs/dbraw/zinc/31/44/65/1128314465.db2.gz NLGZHLZQMLMAAL-SECBINFHSA-N 1 2 267.423 3.574 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1c(F)cncc1F ZINC000930324015 1128314774 /nfs/dbraw/zinc/31/47/74/1128314774.db2.gz GHNYGKMTZQFULN-JTQLQIEISA-N 1 2 294.370 3.933 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000648823315 1128331834 /nfs/dbraw/zinc/33/18/34/1128331834.db2.gz WXFSZNCYKVRIQH-UHFFFAOYSA-N 1 2 292.766 3.504 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2c(F)cncc2F)CCC1 ZINC000930817951 1128336720 /nfs/dbraw/zinc/33/67/20/1128336720.db2.gz BGGCLNNUJCYDIU-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO CC(C)CCN(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CCCC1 ZINC000931081685 1128346953 /nfs/dbraw/zinc/34/69/53/1128346953.db2.gz ULNQKPUTBXUYMC-UHFFFAOYSA-N 1 2 299.418 3.994 20 0 CHADLO Cc1oc2ccccc2c1C(=O)Nc1cc[nH+]c(C)c1 ZINC000074745845 1128355348 /nfs/dbraw/zinc/35/53/48/1128355348.db2.gz ZFBZLRODLLWFGD-UHFFFAOYSA-N 1 2 266.300 3.697 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC000932567642 1128415124 /nfs/dbraw/zinc/41/51/24/1128415124.db2.gz PZXQEPWLGDEFRB-NSHDSACASA-N 1 2 291.370 3.665 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCC[C@@H](Cc2nccs2)C1 ZINC000932202460 1128399392 /nfs/dbraw/zinc/39/93/92/1128399392.db2.gz ZQXKTUQCYUCZLT-QBQSQJOESA-N 1 2 291.247 3.717 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCC[C@@H](Cc2nccs2)C1 ZINC000932202460 1128399397 /nfs/dbraw/zinc/39/93/97/1128399397.db2.gz ZQXKTUQCYUCZLT-QBQSQJOESA-N 1 2 291.247 3.717 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccccc1F ZINC000036982221 1128401623 /nfs/dbraw/zinc/40/16/23/1128401623.db2.gz ITBCYSGAHSIHOF-ZDUSSCGKSA-N 1 2 285.366 3.994 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccc2c(c1)OCO2 ZINC000036335440 1128406060 /nfs/dbraw/zinc/40/60/60/1128406060.db2.gz GBBVTGAYTCBSFY-GFCCVEGCSA-N 1 2 284.359 3.654 20 0 CHADLO c1cn(C2CCCC2)nc1C[NH2+][C@H](c1ccccn1)C1CC1 ZINC000932379248 1128406653 /nfs/dbraw/zinc/40/66/53/1128406653.db2.gz XIMRQTRQBITIBE-SFHVURJKSA-N 1 2 296.418 3.634 20 0 CHADLO ClC1(Cl)C[C@H]1CSc1[nH+]cc2ccccn21 ZINC000916500203 1128421017 /nfs/dbraw/zinc/42/10/17/1128421017.db2.gz NIHSDRAVUMUJPW-QMMMGPOBSA-N 1 2 273.188 3.620 20 0 CHADLO Cc1csc(C[C@@H]2CC[N@H+](C/C(Cl)=C\Cl)C2)n1 ZINC000933122985 1128430669 /nfs/dbraw/zinc/43/06/69/1128430669.db2.gz JETDWNMSZYFGKN-XXNJBDPSSA-N 1 2 291.247 3.635 20 0 CHADLO Cc1csc(C[C@@H]2CC[N@@H+](C/C(Cl)=C\Cl)C2)n1 ZINC000933122985 1128430673 /nfs/dbraw/zinc/43/06/73/1128430673.db2.gz JETDWNMSZYFGKN-XXNJBDPSSA-N 1 2 291.247 3.635 20 0 CHADLO CCC[C@]1(C)CCCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000933822072 1128444293 /nfs/dbraw/zinc/44/42/93/1128444293.db2.gz BJVHBHKULKYXCJ-QGZVFWFLSA-N 1 2 285.391 3.605 20 0 CHADLO COc1cc(C)c(NCc2c[nH+]c3c(C)cccn23)cc1F ZINC000187490908 1128460552 /nfs/dbraw/zinc/46/05/52/1128460552.db2.gz XVGMURFDELJMGO-UHFFFAOYSA-N 1 2 299.349 3.711 20 0 CHADLO Cc1cc(NC(=O)c2cc(F)c(Cl)cc2F)cc[nH+]1 ZINC000126047866 1128460814 /nfs/dbraw/zinc/46/08/14/1128460814.db2.gz IJCZNDMEAYYRFH-UHFFFAOYSA-N 1 2 282.677 3.574 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1nc2ccccc2[nH]1 ZINC000780792953 1128463407 /nfs/dbraw/zinc/46/34/07/1128463407.db2.gz KIGLRQCNOHPNQX-WDEREUQCSA-N 1 2 297.324 3.726 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1nc2ccccc2[nH]1 ZINC000780792953 1128463409 /nfs/dbraw/zinc/46/34/09/1128463409.db2.gz KIGLRQCNOHPNQX-WDEREUQCSA-N 1 2 297.324 3.726 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1Cc2ccccc2[C@@H](C)C1 ZINC000917039610 1128468872 /nfs/dbraw/zinc/46/88/72/1128468872.db2.gz KMSLZAZALOCKAK-NWDGAFQWSA-N 1 2 283.375 3.627 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1Cc2ccccc2[C@@H](C)C1 ZINC000917039610 1128468874 /nfs/dbraw/zinc/46/88/74/1128468874.db2.gz KMSLZAZALOCKAK-NWDGAFQWSA-N 1 2 283.375 3.627 20 0 CHADLO CC(C)CC[C@H]1CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934916406 1128473999 /nfs/dbraw/zinc/47/39/99/1128473999.db2.gz INDIVZJTRNGLRN-CQSZACIVSA-N 1 2 285.391 3.604 20 0 CHADLO COCc1ccccc1/C=C(/C)CNc1[nH+]cccc1OC ZINC000563904450 1128484185 /nfs/dbraw/zinc/48/41/85/1128484185.db2.gz YCKDVHMRVOKPRZ-KAMYIIQDSA-N 1 2 298.386 3.752 20 0 CHADLO CC(=O)[C@H](C)SCc1c[nH+]c(N(C)C)c2ccccc12 ZINC000917164378 1128489596 /nfs/dbraw/zinc/48/95/96/1128489596.db2.gz ZKUMTNCFAIIZKE-LBPRGKRZSA-N 1 2 288.416 3.512 20 0 CHADLO O=C(CCn1cc[nH+]c1)Nc1ccc(-c2ccccc2)cc1 ZINC000917360446 1128496597 /nfs/dbraw/zinc/49/65/97/1128496597.db2.gz WSIWKNFVYPHBQK-UHFFFAOYSA-N 1 2 291.354 3.579 20 0 CHADLO C[C@@H](C1CCCCC1)N(C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000935688172 1128498887 /nfs/dbraw/zinc/49/88/87/1128498887.db2.gz UYYKEZLJAIQYOM-LBPRGKRZSA-N 1 2 285.391 3.604 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccnn1-c1ccccc1)c1cscn1 ZINC000564227861 1128499353 /nfs/dbraw/zinc/49/93/53/1128499353.db2.gz SXSYRNSNLVLPIW-STQMWFEESA-N 1 2 298.415 3.741 20 0 CHADLO CC(C)(C)c1nn(C[N@H+](C2CC2)C2CCCC2)c(=S)o1 ZINC000917430997 1128500253 /nfs/dbraw/zinc/50/02/53/1128500253.db2.gz KGWIIYBDAGVJLC-UHFFFAOYSA-N 1 2 295.452 3.868 20 0 CHADLO CC(C)(C)c1nn(C[N@@H+](C2CC2)C2CCCC2)c(=S)o1 ZINC000917430997 1128500256 /nfs/dbraw/zinc/50/02/56/1128500256.db2.gz KGWIIYBDAGVJLC-UHFFFAOYSA-N 1 2 295.452 3.868 20 0 CHADLO CCCCCC(=O)N1CC[NH+]([C@@H](C)c2ccsc2)CC1 ZINC000128581993 1128505193 /nfs/dbraw/zinc/50/51/93/1128505193.db2.gz BIWLOVXOERBCTQ-AWEZNQCLSA-N 1 2 294.464 3.534 20 0 CHADLO CCn1nccc1C[N@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000129847918 1128557790 /nfs/dbraw/zinc/55/77/90/1128557790.db2.gz ZRCQLUVLAKFEFB-GUYCJALGSA-N 1 2 299.418 3.784 20 0 CHADLO CCn1nccc1C[N@@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000129847918 1128557793 /nfs/dbraw/zinc/55/77/93/1128557793.db2.gz ZRCQLUVLAKFEFB-GUYCJALGSA-N 1 2 299.418 3.784 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@H](C)c2cc(F)c(F)c(F)c2)n1 ZINC000564746799 1128514793 /nfs/dbraw/zinc/51/47/93/1128514793.db2.gz UDYIDMIPJLCOJC-SNVBAGLBSA-N 1 2 297.324 3.732 20 0 CHADLO O=C(/C=C\c1ccsc1)Nc1ccccc1-n1cc[nH+]c1 ZINC000918066361 1128532823 /nfs/dbraw/zinc/53/28/23/1128532823.db2.gz USXTZOMHSJXMNU-WAYWQWQTSA-N 1 2 295.367 3.586 20 0 CHADLO Cc1nnsc1C[N@@H+]1CCCC[C@H]1c1ccc(F)cc1 ZINC000566302525 1128541783 /nfs/dbraw/zinc/54/17/83/1128541783.db2.gz ZKNQEZMXWIHFLM-AWEZNQCLSA-N 1 2 291.395 3.713 20 0 CHADLO Cc1nnsc1C[N@H+]1CCCC[C@H]1c1ccc(F)cc1 ZINC000566302525 1128541786 /nfs/dbraw/zinc/54/17/86/1128541786.db2.gz ZKNQEZMXWIHFLM-AWEZNQCLSA-N 1 2 291.395 3.713 20 0 CHADLO CCCCCc1ccc(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)cc1 ZINC000566433538 1128544259 /nfs/dbraw/zinc/54/42/59/1128544259.db2.gz VJRMUFCGDVRTFY-AWEZNQCLSA-N 1 2 299.418 3.960 20 0 CHADLO CCCCCc1ccc(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)cc1 ZINC000566433538 1128544262 /nfs/dbraw/zinc/54/42/62/1128544262.db2.gz VJRMUFCGDVRTFY-AWEZNQCLSA-N 1 2 299.418 3.960 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(OC)no1)c1cc(F)ccc1F ZINC000925452994 1128546387 /nfs/dbraw/zinc/54/63/87/1128546387.db2.gz KVGDHGFWIDXOQY-ZANVPECISA-N 1 2 296.317 3.763 20 0 CHADLO CC(C)(C)c1cn(Cc2nsc3ccccc23)c[nH+]1 ZINC000918475368 1128547424 /nfs/dbraw/zinc/54/74/24/1128547424.db2.gz VPAYILXXPIODME-UHFFFAOYSA-N 1 2 271.389 3.839 20 0 CHADLO CCn1ccnc1C[NH2+]Cc1ccc(-c2ccco2)cc1F ZINC000566718114 1128549723 /nfs/dbraw/zinc/54/97/23/1128549723.db2.gz MAPONXDBLXKXQK-UHFFFAOYSA-N 1 2 299.349 3.592 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NC2(c3cccnn3)CC2)c1 ZINC001168524176 1128567622 /nfs/dbraw/zinc/56/76/22/1128567622.db2.gz NULCTQAOHHPUCX-UHFFFAOYSA-N 1 2 296.418 3.732 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@@H]1CC=C(C)CC1 ZINC000556255340 1128569509 /nfs/dbraw/zinc/56/95/09/1128569509.db2.gz YQXCTNCAXIEXFO-MRXNPFEDSA-N 1 2 286.419 3.742 20 0 CHADLO CC(C)=CCC[C@@H](C)CC(=O)NCCCCn1cc[nH+]c1 ZINC000567939267 1128575183 /nfs/dbraw/zinc/57/51/83/1128575183.db2.gz UGMOOKDDUCVVOU-MRXNPFEDSA-N 1 2 291.439 3.552 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cc(C)ccc2OC)s1 ZINC000130511091 1128584681 /nfs/dbraw/zinc/58/46/81/1128584681.db2.gz HCACVIQIZNJIQP-GFCCVEGCSA-N 1 2 290.432 3.873 20 0 CHADLO C[C@H](CC(=O)Nc1cc(Cl)cc(Cl)c1)n1cc[nH+]c1 ZINC000556686824 1128615133 /nfs/dbraw/zinc/61/51/33/1128615133.db2.gz XNRSDJUQMWHLGC-SECBINFHSA-N 1 2 298.173 3.780 20 0 CHADLO CC(C)(C)c1nc(Cn2c[nH+]c(Cc3ccccc3)c2)co1 ZINC000919156589 1128591875 /nfs/dbraw/zinc/59/18/75/1128591875.db2.gz QQXUDGDWNFAYAY-UHFFFAOYSA-N 1 2 295.386 3.808 20 0 CHADLO FC1(F)CC(Cn2c[nH+]c(Cc3ccccc3)c2)C1 ZINC000919151669 1128591901 /nfs/dbraw/zinc/59/19/01/1128591901.db2.gz VPWXFNXGTFZTCN-UHFFFAOYSA-N 1 2 262.303 3.519 20 0 CHADLO FCCCCC[N@@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000556501807 1128598172 /nfs/dbraw/zinc/59/81/72/1128598172.db2.gz NXZUIKRLNCVDMF-HNNXBMFYSA-N 1 2 285.790 3.853 20 0 CHADLO FCCCCC[N@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000556501807 1128598174 /nfs/dbraw/zinc/59/81/74/1128598174.db2.gz NXZUIKRLNCVDMF-HNNXBMFYSA-N 1 2 285.790 3.853 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)c(F)c1)c1cscn1 ZINC000569121107 1128599205 /nfs/dbraw/zinc/59/92/05/1128599205.db2.gz BZUPHYYYVQQOIP-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1cc(NC(=O)C[C@@H](C)c2ccc(F)cc2F)cc[nH+]1 ZINC000131732670 1128635867 /nfs/dbraw/zinc/63/58/67/1128635867.db2.gz HQCDLCLDGKHWQC-SNVBAGLBSA-N 1 2 290.313 3.801 20 0 CHADLO CC(C)(C)CCC[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000571856257 1128639717 /nfs/dbraw/zinc/63/97/17/1128639717.db2.gz QZLLFQXQLXKTTD-AWEZNQCLSA-N 1 2 278.444 3.842 20 0 CHADLO Cc1ccc2sc(C(=O)Nc3cc[nH+]c(C)c3)cc2c1 ZINC000572050331 1128641641 /nfs/dbraw/zinc/64/16/41/1128641641.db2.gz DCAWZOBWAVMEFK-UHFFFAOYSA-N 1 2 282.368 3.587 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccc(OCC(F)(F)F)cc1 ZINC000572502130 1128645587 /nfs/dbraw/zinc/64/55/87/1128645587.db2.gz IXGMUQHFCHLYMU-VIFPVBQESA-N 1 2 297.267 3.934 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cc(C)c(O)c(C)c2)cs1 ZINC000556963454 1128652866 /nfs/dbraw/zinc/65/28/66/1128652866.db2.gz SQPJKFRUFQLLRA-UHFFFAOYSA-N 1 2 290.432 3.660 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cc(C)c(O)c(C)c2)cs1 ZINC000556963454 1128652869 /nfs/dbraw/zinc/65/28/69/1128652869.db2.gz SQPJKFRUFQLLRA-UHFFFAOYSA-N 1 2 290.432 3.660 20 0 CHADLO CC1(C)C[N@H+](Cn2cccnc2=S)[C@@H]1c1ccccc1 ZINC000919947869 1128653854 /nfs/dbraw/zinc/65/38/54/1128653854.db2.gz FCUFFMBTQVBKQN-CQSZACIVSA-N 1 2 285.416 3.653 20 0 CHADLO CC1(C)C[N@@H+](Cn2cccnc2=S)[C@@H]1c1ccccc1 ZINC000919947869 1128653856 /nfs/dbraw/zinc/65/38/56/1128653856.db2.gz FCUFFMBTQVBKQN-CQSZACIVSA-N 1 2 285.416 3.653 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2ncc(Cl)n2C)cc1 ZINC000047960294 1128676441 /nfs/dbraw/zinc/67/64/41/1128676441.db2.gz CDOBRUDQGLIPSC-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2ncc(Cl)n2C)cc1 ZINC000047960294 1128676442 /nfs/dbraw/zinc/67/64/42/1128676442.db2.gz CDOBRUDQGLIPSC-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO O=C(Oc1ccccc1Cl)c1ccc(-n2cc[nH+]c2)cc1 ZINC000920435479 1128683542 /nfs/dbraw/zinc/68/35/42/1128683542.db2.gz HKKQTEMMBOBSLX-UHFFFAOYSA-N 1 2 298.729 3.745 20 0 CHADLO CCC1CCC([N@H+](C)Cn2ncn(C3CC3)c2=S)CC1 ZINC000921046814 1128699625 /nfs/dbraw/zinc/69/96/25/1128699625.db2.gz SPUOALKBUNCYGI-UHFFFAOYSA-N 1 2 294.468 3.607 20 0 CHADLO CCC1CCC([N@@H+](C)Cn2ncn(C3CC3)c2=S)CC1 ZINC000921046814 1128699628 /nfs/dbraw/zinc/69/96/28/1128699628.db2.gz SPUOALKBUNCYGI-UHFFFAOYSA-N 1 2 294.468 3.607 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cn2cc(Cl)cn2)cc1 ZINC000921185843 1128702271 /nfs/dbraw/zinc/70/22/71/1128702271.db2.gz YTHISIODPCCIJE-HNNXBMFYSA-N 1 2 275.783 3.640 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cn2cc(Cl)cn2)cc1 ZINC000921185843 1128702273 /nfs/dbraw/zinc/70/22/73/1128702273.db2.gz YTHISIODPCCIJE-HNNXBMFYSA-N 1 2 275.783 3.640 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cn2cc(Cl)cn2)c1 ZINC000921186915 1128702323 /nfs/dbraw/zinc/70/23/23/1128702323.db2.gz UIVGVARDYJYGLE-HNNXBMFYSA-N 1 2 275.783 3.640 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cn2cc(Cl)cn2)c1 ZINC000921186915 1128702324 /nfs/dbraw/zinc/70/23/24/1128702324.db2.gz UIVGVARDYJYGLE-HNNXBMFYSA-N 1 2 275.783 3.640 20 0 CHADLO Cc1cc(C)c(NC(=O)NCCCC(C)(C)C)c(C)[nH+]1 ZINC000578115909 1128708179 /nfs/dbraw/zinc/70/81/79/1128708179.db2.gz OJSGPWGPHCNJFR-UHFFFAOYSA-N 1 2 277.412 3.955 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000578203337 1128711450 /nfs/dbraw/zinc/71/14/50/1128711450.db2.gz OPYAJRNWEGYDOS-AWEZNQCLSA-N 1 2 299.418 3.816 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000578203337 1128711452 /nfs/dbraw/zinc/71/14/52/1128711452.db2.gz OPYAJRNWEGYDOS-AWEZNQCLSA-N 1 2 299.418 3.816 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000578350405 1128715620 /nfs/dbraw/zinc/71/56/20/1128715620.db2.gz BLOOQKLZCVSWNQ-CQSZACIVSA-N 1 2 260.356 3.595 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000578350405 1128715621 /nfs/dbraw/zinc/71/56/21/1128715621.db2.gz BLOOQKLZCVSWNQ-CQSZACIVSA-N 1 2 260.356 3.595 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)CCc1ccccc1)C(=O)OC(C)(C)C ZINC000578385764 1128716427 /nfs/dbraw/zinc/71/64/27/1128716427.db2.gz GERHUEFXQCNENA-GOEBONIOSA-N 1 2 291.435 3.718 20 0 CHADLO CO[C@H]1CCN(c2[nH+]ccc3cc(F)ccc32)CC1(C)C ZINC000578886747 1128731721 /nfs/dbraw/zinc/73/17/21/1128731721.db2.gz VLBKQVQUKVGJRC-HNNXBMFYSA-N 1 2 288.366 3.625 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)cc2)no1 ZINC000044590039 1117751657 /nfs/dbraw/zinc/75/16/57/1117751657.db2.gz YSBPLOSUMVQHHM-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(Cl)cc2)no1 ZINC000044590039 1117751660 /nfs/dbraw/zinc/75/16/60/1117751660.db2.gz YSBPLOSUMVQHHM-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO CC(C)(C)OC1CC[NH+](Cc2ncc(C(C)(C)C)o2)CC1 ZINC000119105417 1117765832 /nfs/dbraw/zinc/76/58/32/1117765832.db2.gz VAAAXDACMLTYKP-UHFFFAOYSA-N 1 2 294.439 3.752 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnc(C(C)C)s1 ZINC000430143355 1117768411 /nfs/dbraw/zinc/76/84/11/1117768411.db2.gz XIOBGYKTJMUIRL-UHFFFAOYSA-N 1 2 264.394 3.800 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnc(C(C)C)s1 ZINC000430143355 1117768413 /nfs/dbraw/zinc/76/84/13/1117768413.db2.gz XIOBGYKTJMUIRL-UHFFFAOYSA-N 1 2 264.394 3.800 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1nc2c(s1)CCC2 ZINC000683108872 1117769125 /nfs/dbraw/zinc/76/91/25/1117769125.db2.gz LKJOZZWXSBIOSP-UHFFFAOYSA-N 1 2 290.407 3.711 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1nc2c(s1)CCC2 ZINC000683108872 1117769128 /nfs/dbraw/zinc/76/91/28/1117769128.db2.gz LKJOZZWXSBIOSP-UHFFFAOYSA-N 1 2 290.407 3.711 20 0 CHADLO CC1(C)CCC[C@@H](CC(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000339449505 1117798010 /nfs/dbraw/zinc/79/80/10/1117798010.db2.gz VIIHNMAYJWKKTM-AWEZNQCLSA-N 1 2 299.418 3.557 20 0 CHADLO Fc1cccc(F)c1CC[N@@H+]1CCCC(F)(F)[C@@H](F)C1 ZINC001208112436 1117798449 /nfs/dbraw/zinc/79/84/49/1117798449.db2.gz MUYFPPXDTVPTMV-ZDUSSCGKSA-N 1 2 293.279 3.577 20 0 CHADLO Fc1cccc(F)c1CC[N@H+]1CCCC(F)(F)[C@@H](F)C1 ZINC001208112436 1117798453 /nfs/dbraw/zinc/79/84/53/1117798453.db2.gz MUYFPPXDTVPTMV-ZDUSSCGKSA-N 1 2 293.279 3.577 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](CCc1c(F)cccc1F)C2 ZINC001208119704 1117799975 /nfs/dbraw/zinc/79/99/75/1117799975.db2.gz SCTKFQDUSWVESI-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](CCc1c(F)cccc1F)C2 ZINC001208119704 1117799977 /nfs/dbraw/zinc/79/99/77/1117799977.db2.gz SCTKFQDUSWVESI-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2cnc(Cl)s2)C2CC2)s1 ZINC000185346227 1117811753 /nfs/dbraw/zinc/81/17/53/1117811753.db2.gz CSECZSCXOWZYCM-SNVBAGLBSA-N 1 2 299.852 3.802 20 0 CHADLO COC(=O)c1cc(C)sc1Nc1c[nH+]c(C)cc1C ZINC001213505251 1117812175 /nfs/dbraw/zinc/81/21/75/1117812175.db2.gz ADJROJNSFWGOPZ-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO COCc1cnc(C[NH2+][C@@H](C)c2ccccc2Cl)s1 ZINC000339551488 1117846572 /nfs/dbraw/zinc/84/65/72/1117846572.db2.gz ACYGEYHFKALNIF-JTQLQIEISA-N 1 2 296.823 3.794 20 0 CHADLO COc1cc[nH+]cc1NCc1csc(C(F)(F)F)c1 ZINC000623468497 1117859860 /nfs/dbraw/zinc/85/98/60/1117859860.db2.gz WWEZSRUZAWWEDI-UHFFFAOYSA-N 1 2 288.294 3.783 20 0 CHADLO Cc1ccsc1C[N@@H+]1C[C@H](C(F)(F)F)OC(C)(C)C1 ZINC000430205543 1117864454 /nfs/dbraw/zinc/86/44/54/1117864454.db2.gz UJBOFZJAMVXHFE-LLVKDONJSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1ccsc1C[N@H+]1C[C@H](C(F)(F)F)OC(C)(C)C1 ZINC000430205543 1117864466 /nfs/dbraw/zinc/86/44/66/1117864466.db2.gz UJBOFZJAMVXHFE-LLVKDONJSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1nc(N2CC[C@@H](C3CCCCC3)C2)c2c([nH+]1)CCC2 ZINC001167067257 1117869345 /nfs/dbraw/zinc/86/93/45/1117869345.db2.gz CBSMLLDODDGERV-OAHLLOKOSA-N 1 2 285.435 3.680 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1cc(Cl)c(OC)cc1Cl ZINC000430207746 1117872655 /nfs/dbraw/zinc/87/26/55/1117872655.db2.gz RHHVAEUXVYKHIW-SNVBAGLBSA-N 1 2 274.191 3.986 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1cc(Cl)c(OC)cc1Cl ZINC000430207746 1117872660 /nfs/dbraw/zinc/87/26/60/1117872660.db2.gz RHHVAEUXVYKHIW-SNVBAGLBSA-N 1 2 274.191 3.986 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2cc(F)ccc2F)n1 ZINC000125628578 1117875905 /nfs/dbraw/zinc/87/59/05/1117875905.db2.gz LVJVNQUAMVJZET-UHFFFAOYSA-N 1 2 282.359 3.835 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2ccoc2)cs1 ZINC000178099502 1117885184 /nfs/dbraw/zinc/88/51/84/1117885184.db2.gz HLYLODVMIPOABM-UWVGGRQHSA-N 1 2 250.367 3.710 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1ccc(C)cc1-c1cnn(C)c1 ZINC000623679383 1117888557 /nfs/dbraw/zinc/88/85/57/1117888557.db2.gz UHELUVNPNBOZFB-UHFFFAOYSA-N 1 2 293.361 3.530 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1ccc(C)cc1-c1ccnn1C ZINC000623679240 1117889271 /nfs/dbraw/zinc/88/92/71/1117889271.db2.gz NVYMNEKOVXWUIM-UHFFFAOYSA-N 1 2 293.361 3.530 20 0 CHADLO COCc1cccc(C[N@@H+](C)Cc2c(F)cccc2F)c1 ZINC000339596411 1117900304 /nfs/dbraw/zinc/90/03/04/1117900304.db2.gz NIBNWILJLMUHFY-UHFFFAOYSA-N 1 2 291.341 3.743 20 0 CHADLO COCc1cccc(C[N@H+](C)Cc2c(F)cccc2F)c1 ZINC000339596411 1117900301 /nfs/dbraw/zinc/90/03/01/1117900301.db2.gz NIBNWILJLMUHFY-UHFFFAOYSA-N 1 2 291.341 3.743 20 0 CHADLO Cc1cccc(Cl)c1C[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000932355654 1117901646 /nfs/dbraw/zinc/90/16/46/1117901646.db2.gz IANALTJJISYXPD-OAHLLOKOSA-N 1 2 287.794 3.679 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc(C)cc1Cl ZINC000339602323 1117904605 /nfs/dbraw/zinc/90/46/05/1117904605.db2.gz QGMRVLIBWGDKBG-UHFFFAOYSA-N 1 2 278.783 3.831 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc(C)cc1Cl ZINC000339602323 1117904610 /nfs/dbraw/zinc/90/46/10/1117904610.db2.gz QGMRVLIBWGDKBG-UHFFFAOYSA-N 1 2 278.783 3.831 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H](C)[C@@H]2c2ccc(C)cc2)no1 ZINC000157680752 1117908575 /nfs/dbraw/zinc/90/85/75/1117908575.db2.gz QNYSKGVPFCWGTC-SUMWQHHRSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H](C)[C@@H]2c2ccc(C)cc2)no1 ZINC000157680752 1117908581 /nfs/dbraw/zinc/90/85/81/1117908581.db2.gz QNYSKGVPFCWGTC-SUMWQHHRSA-N 1 2 285.391 3.660 20 0 CHADLO c1ccc(-c2nc3c(s2)C[N@@H+](CCC2CC2)C3)cc1 ZINC001208685731 1117912220 /nfs/dbraw/zinc/91/22/20/1117912220.db2.gz JWVOGMOIBWXECO-UHFFFAOYSA-N 1 2 270.401 3.926 20 0 CHADLO c1ccc(-c2nc3c(s2)C[N@H+](CCC2CC2)C3)cc1 ZINC001208685731 1117912225 /nfs/dbraw/zinc/91/22/25/1117912225.db2.gz JWVOGMOIBWXECO-UHFFFAOYSA-N 1 2 270.401 3.926 20 0 CHADLO CCc1nc(C[NH2+][C@H](c2ccccc2)C(CC)CC)no1 ZINC000179788462 1117912816 /nfs/dbraw/zinc/91/28/16/1117912816.db2.gz CALPHFKECFLMGK-KRWDZBQOSA-N 1 2 287.407 3.899 20 0 CHADLO C[C@H]1CCC[N@H+](Cn2nc(C(C)(C)C)oc2=S)CC1 ZINC000748083415 1117937739 /nfs/dbraw/zinc/93/77/39/1117937739.db2.gz NMBIWXUFOQKJCX-NSHDSACASA-N 1 2 283.441 3.583 20 0 CHADLO C[C@H]1CCC[N@@H+](Cn2nc(C(C)(C)C)oc2=S)CC1 ZINC000748083415 1117937742 /nfs/dbraw/zinc/93/77/42/1117937742.db2.gz NMBIWXUFOQKJCX-NSHDSACASA-N 1 2 283.441 3.583 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2C)c(F)c1 ZINC001238445273 1117946157 /nfs/dbraw/zinc/94/61/57/1117946157.db2.gz SDVFXSFXTYPYFS-YPMHNXCESA-N 1 2 269.335 3.545 20 0 CHADLO F[C@@H]1CCC[N@@H+](CCc2c(Cl)cccc2Cl)C1 ZINC001209113313 1117998521 /nfs/dbraw/zinc/99/85/21/1117998521.db2.gz WFTCNRAZHOYCNB-SNVBAGLBSA-N 1 2 276.182 3.970 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2nc(C)cs2)c[nH+]1 ZINC000623942911 1117959750 /nfs/dbraw/zinc/95/97/50/1117959750.db2.gz YDJSXGFZFUOVOK-LBPRGKRZSA-N 1 2 290.436 3.866 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3ccccc32)C[C@H](C)S1 ZINC000624002711 1117968876 /nfs/dbraw/zinc/96/88/76/1117968876.db2.gz CAAFEHZBKGRHOY-RYUDHWBXSA-N 1 2 258.390 3.565 20 0 CHADLO CCc1nc2c(s1)[C@@H]([NH2+]CC(F)(F)CC)CCC2 ZINC000624147903 1117988998 /nfs/dbraw/zinc/98/89/98/1117988998.db2.gz OKMRGZGDOAXKLT-VIFPVBQESA-N 1 2 274.380 3.718 20 0 CHADLO F[C@@H]1CCC[N@H+](CCc2c(Cl)cccc2Cl)C1 ZINC001209113313 1117998518 /nfs/dbraw/zinc/99/85/18/1117998518.db2.gz WFTCNRAZHOYCNB-SNVBAGLBSA-N 1 2 276.182 3.970 20 0 CHADLO CCOC(=O)c1c[nH+]c2ccccc2c1N(C)CC(C)C ZINC000065228782 1117998722 /nfs/dbraw/zinc/99/87/22/1117998722.db2.gz RAZXZLLESBGZEI-UHFFFAOYSA-N 1 2 286.375 3.504 20 0 CHADLO Cc1cc(NC[C@](C)(O)c2ccsc2)[nH+]c2ccccc12 ZINC000077236551 1118005759 /nfs/dbraw/zinc/00/57/59/1118005759.db2.gz QRMNOMNMARHKQL-KRWDZBQOSA-N 1 2 298.411 3.924 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1ccccc1 ZINC000181768486 1118005998 /nfs/dbraw/zinc/00/59/98/1118005998.db2.gz UVDXKHWVNIYYIG-CQSZACIVSA-N 1 2 271.364 3.578 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@@H](C)c2cccc(F)c2F)n1 ZINC000181993032 1118012049 /nfs/dbraw/zinc/01/20/49/1118012049.db2.gz JJDFSYUDVLGTJU-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO Cc1cc2c(cn1)CN(c1[nH+]c(C)cc(Cl)c1C)C2 ZINC001167112519 1118026783 /nfs/dbraw/zinc/02/67/83/1118026783.db2.gz NQVKDLLFHNPFFA-UHFFFAOYSA-N 1 2 273.767 3.575 20 0 CHADLO CC[C@@H](Nc1ccccc1OCCn1cc[nH+]c1)C(C)C ZINC000182940238 1118034093 /nfs/dbraw/zinc/03/40/93/1118034093.db2.gz CQMVSKQOYOSLNB-OAHLLOKOSA-N 1 2 287.407 3.809 20 0 CHADLO CC(C)Cc1noc(C[NH2+]C2(c3ccccc3)CCCC2)n1 ZINC000183543474 1118045868 /nfs/dbraw/zinc/04/58/68/1118045868.db2.gz BWDRWFFXICMGNI-UHFFFAOYSA-N 1 2 299.418 3.827 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1cc(F)c(F)cc1F ZINC000183719066 1118048402 /nfs/dbraw/zinc/04/84/02/1118048402.db2.gz SGLMZQAPKQVZOW-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1cc(F)c(F)cc1F ZINC000183719066 1118048408 /nfs/dbraw/zinc/04/84/08/1118048408.db2.gz SGLMZQAPKQVZOW-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC001206842011 1118051688 /nfs/dbraw/zinc/05/16/88/1118051688.db2.gz DSANAQRHZGSNEU-WFASDCNBSA-N 1 2 274.339 3.522 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC001206842011 1118051690 /nfs/dbraw/zinc/05/16/90/1118051690.db2.gz DSANAQRHZGSNEU-WFASDCNBSA-N 1 2 274.339 3.522 20 0 CHADLO CSc1cc(C)ccc1NCc1[nH]c(C)c(C)[nH+]1 ZINC000579614497 1128753182 /nfs/dbraw/zinc/75/31/82/1128753182.db2.gz FDRZQSWBGJSJRV-UHFFFAOYSA-N 1 2 261.394 3.669 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2nc(C)c(C)s2)C2CC2)s1 ZINC000185293812 1118061860 /nfs/dbraw/zinc/06/18/60/1118061860.db2.gz QEDKQCMLIUKVJD-ZDUSSCGKSA-N 1 2 293.461 3.766 20 0 CHADLO Cc1noc(C[NH2+][C@H](c2ccc(C(C)C)cc2)C(C)C)n1 ZINC000127042251 1118065786 /nfs/dbraw/zinc/06/57/86/1118065786.db2.gz FZSKLWNPRSAGKN-KRWDZBQOSA-N 1 2 287.407 3.988 20 0 CHADLO Oc1c(Cl)cccc1C[N@@H+]1CCc2sccc2C1 ZINC000179658489 1128753637 /nfs/dbraw/zinc/75/36/37/1128753637.db2.gz PNWOZFYKJDUFHD-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1c(Cl)cccc1C[N@H+]1CCc2sccc2C1 ZINC000179658489 1128753640 /nfs/dbraw/zinc/75/36/40/1128753640.db2.gz PNWOZFYKJDUFHD-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO CCCCC[C@@H](C(=O)Nc1cc[nH+]c(C)c1)C(C)C ZINC001127730084 1118070087 /nfs/dbraw/zinc/07/00/87/1118070087.db2.gz JZFXUFKOHDJCMD-OAHLLOKOSA-N 1 2 262.397 3.603 20 0 CHADLO CCn1c(CNc2cc(C)c[nH+]c2C)nc2ccccc21 ZINC000404565417 1118075452 /nfs/dbraw/zinc/07/54/52/1118075452.db2.gz XJMWLOFJDJXCDI-UHFFFAOYSA-N 1 2 280.375 3.680 20 0 CHADLO Cc1cc(N2CCc3cccc(F)c3[C@H]2C)nc(C2CC2)[nH+]1 ZINC000579660454 1128754875 /nfs/dbraw/zinc/75/48/75/1128754875.db2.gz UVFGZFZCRMJREF-GFCCVEGCSA-N 1 2 297.377 3.925 20 0 CHADLO CC(C)c1cccc(Nc2ccc3[nH]c[nH+]c3c2)n1 ZINC001209843302 1118097703 /nfs/dbraw/zinc/09/77/03/1118097703.db2.gz WEMMRMCPZRHKDI-UHFFFAOYSA-N 1 2 252.321 3.825 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2csnn2)c(C)s1 ZINC000398248057 1118106057 /nfs/dbraw/zinc/10/60/57/1118106057.db2.gz UMCXFBLQIUQBJB-BDAKNGLRSA-N 1 2 267.423 3.628 20 0 CHADLO Cc1noc(C[NH2+][C@H](C)c2ccc(F)c3ccccc32)n1 ZINC000340227680 1118115234 /nfs/dbraw/zinc/11/52/34/1118115234.db2.gz APGBFKRFEPGPDD-SNVBAGLBSA-N 1 2 285.322 3.521 20 0 CHADLO C[C@@H]([NH2+]CC(=O)OCc1ccccc1)[C@H](C)c1ccccc1 ZINC000754179207 1118129217 /nfs/dbraw/zinc/12/92/17/1118129217.db2.gz AGRWTBWFLMMWMH-JKSUJKDBSA-N 1 2 297.398 3.512 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1ccc(F)c2ccccc21 ZINC000340299224 1118135589 /nfs/dbraw/zinc/13/55/89/1118135589.db2.gz DKWQTJKJJHSCCP-GFCCVEGCSA-N 1 2 283.350 3.861 20 0 CHADLO CCOc1cc[nH+]cc1NC1=CCC(F)(F)CC1 ZINC001210029197 1118136360 /nfs/dbraw/zinc/13/63/60/1118136360.db2.gz LZKVGVUTRDITRP-UHFFFAOYSA-N 1 2 254.280 3.595 20 0 CHADLO C/C=C(/C=C/C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)CC ZINC000765361371 1118146516 /nfs/dbraw/zinc/14/65/16/1118146516.db2.gz MWOYGRUOTGDKFN-GRNFTQSJSA-N 1 2 281.359 3.928 20 0 CHADLO C[C@H]1COCCC[N@@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000683521584 1118169114 /nfs/dbraw/zinc/16/91/14/1118169114.db2.gz KBJLFHNLUWKIHF-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@H]1COCCC[N@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000683521584 1118169117 /nfs/dbraw/zinc/16/91/17/1118169117.db2.gz KBJLFHNLUWKIHF-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO Clc1ccc(C[NH2+][C@H]2CCCn3ccnc32)c(Cl)c1 ZINC000655684641 1118184575 /nfs/dbraw/zinc/18/45/75/1118184575.db2.gz TZKLJLNMZVXDAS-ZDUSSCGKSA-N 1 2 296.201 3.815 20 0 CHADLO c1cn2c(n1)[C@@H]([NH2+]Cc1ccc(-c3ccccc3)o1)CCC2 ZINC000655696314 1118187479 /nfs/dbraw/zinc/18/74/79/1118187479.db2.gz FTSFVGYLLOKZOD-INIZCTEOSA-N 1 2 293.370 3.768 20 0 CHADLO CC(C)(C)SCC[N@@H+]1CCO[C@@H](c2ccccc2F)C1 ZINC000801917679 1118191292 /nfs/dbraw/zinc/19/12/92/1118191292.db2.gz LODCZRPKTQGZDZ-OAHLLOKOSA-N 1 2 297.439 3.731 20 0 CHADLO CC(C)(C)SCC[N@H+]1CCO[C@@H](c2ccccc2F)C1 ZINC000801917679 1118191295 /nfs/dbraw/zinc/19/12/95/1118191295.db2.gz LODCZRPKTQGZDZ-OAHLLOKOSA-N 1 2 297.439 3.731 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(F)c(N)c2)cc1 ZINC001212350123 1118195898 /nfs/dbraw/zinc/19/58/98/1118195898.db2.gz ONRNOYIPMFDLSY-UHFFFAOYSA-N 1 2 259.328 3.608 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(F)c(N)c2)cc1 ZINC001212350123 1118195902 /nfs/dbraw/zinc/19/59/02/1118195902.db2.gz ONRNOYIPMFDLSY-UHFFFAOYSA-N 1 2 259.328 3.608 20 0 CHADLO C[C@@H]1CC[C@H](Nc2ccc(-n3cc[nH+]c3)c(F)c2)CS1 ZINC000655750168 1118203622 /nfs/dbraw/zinc/20/36/22/1118203622.db2.gz MSPDAEOCWHHINF-YPMHNXCESA-N 1 2 291.395 3.707 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@H]2c2cccn2C)cs1 ZINC000172152906 1118213272 /nfs/dbraw/zinc/21/32/72/1118213272.db2.gz ISWHRWCTJPGMMM-HNNXBMFYSA-N 1 2 289.448 3.771 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@H]2c2cccn2C)cs1 ZINC000172152906 1118213275 /nfs/dbraw/zinc/21/32/75/1118213275.db2.gz ISWHRWCTJPGMMM-HNNXBMFYSA-N 1 2 289.448 3.771 20 0 CHADLO CNc1ccc(Nc2cc(C(C)=O)ccc2Cl)c[nH+]1 ZINC001203458479 1118224944 /nfs/dbraw/zinc/22/49/44/1118224944.db2.gz MQXCHWJKKRAKSN-UHFFFAOYSA-N 1 2 275.739 3.723 20 0 CHADLO Cc1nc(SCc2ccc(-n3cc[nH+]c3)cc2)[nH]c1C ZINC000338581554 1118226722 /nfs/dbraw/zinc/22/67/22/1118226722.db2.gz NICAFFZMWIYABI-UHFFFAOYSA-N 1 2 284.388 3.505 20 0 CHADLO Cc1[nH+]cc(Nc2c(Cl)ccc3ncccc32)cc1N ZINC001210290444 1118236932 /nfs/dbraw/zinc/23/69/32/1118236932.db2.gz LNAYUMKPPXANPZ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1cncc2cccc(Nc3c[nH+]c(C)c(N)c3)c12 ZINC001210289731 1118237232 /nfs/dbraw/zinc/23/72/32/1118237232.db2.gz YGDDAYZVQZXWKK-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO Cc1nnsc1C[NH2+]Cc1cc(Cl)sc1Cl ZINC000312564877 1118243514 /nfs/dbraw/zinc/24/35/14/1118243514.db2.gz UQCRGNWYGQBAQT-UHFFFAOYSA-N 1 2 294.232 3.505 20 0 CHADLO c1n[nH]c([C@H]([NH2+]C[C@H]2CCCC23CC3)C2CCCCC2)n1 ZINC000624316984 1118258350 /nfs/dbraw/zinc/25/83/50/1118258350.db2.gz VPLSAIBLNXWVGV-HUUCEWRRSA-N 1 2 288.439 3.596 20 0 CHADLO Clc1ccc(SCCNc2cccc[nH+]2)cc1 ZINC000060991733 1118304635 /nfs/dbraw/zinc/30/46/35/1118304635.db2.gz CEVJYFSRJAAHHR-UHFFFAOYSA-N 1 2 264.781 3.939 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OC(F)F)c1nccs1 ZINC000080268396 1118312499 /nfs/dbraw/zinc/31/24/99/1118312499.db2.gz MHUCKJSGRBJNHM-VIFPVBQESA-N 1 2 284.331 3.595 20 0 CHADLO C[C@H]([NH2+][C@H]1CCC[C@H]1F)c1nc(C(F)(F)F)cs1 ZINC000340522646 1118315722 /nfs/dbraw/zinc/31/57/22/1118315722.db2.gz JGBUEGMDAUTBOU-RNJXMRFFSA-N 1 2 282.306 3.703 20 0 CHADLO CC[N@H+](Cc1nc(C)no1)[C@H](C)c1cc2ccccc2o1 ZINC000064348835 1118324866 /nfs/dbraw/zinc/32/48/66/1118324866.db2.gz UZIBHEBMALSNRO-LLVKDONJSA-N 1 2 285.347 3.707 20 0 CHADLO CC[N@@H+](Cc1nc(C)no1)[C@H](C)c1cc2ccccc2o1 ZINC000064348835 1118324873 /nfs/dbraw/zinc/32/48/73/1118324873.db2.gz UZIBHEBMALSNRO-LLVKDONJSA-N 1 2 285.347 3.707 20 0 CHADLO CCc1nc(C[N@H+](C)CCOc2ccc(C)cc2)cs1 ZINC000042631608 1118336836 /nfs/dbraw/zinc/33/68/36/1118336836.db2.gz YJVLNPXXMQHRDT-UHFFFAOYSA-N 1 2 290.432 3.525 20 0 CHADLO CCc1nc(C[N@@H+](C)CCOc2ccc(C)cc2)cs1 ZINC000042631608 1118336841 /nfs/dbraw/zinc/33/68/41/1118336841.db2.gz YJVLNPXXMQHRDT-UHFFFAOYSA-N 1 2 290.432 3.525 20 0 CHADLO CCCCc1nc(C[N@H+](C)C[C@@H](C)c2ccccc2)no1 ZINC000066853341 1118348253 /nfs/dbraw/zinc/34/82/53/1118348253.db2.gz XEFMTMYCIDGHFD-CQSZACIVSA-N 1 2 287.407 3.648 20 0 CHADLO CCCCc1nc(C[N@@H+](C)C[C@@H](C)c2ccccc2)no1 ZINC000066853341 1118348258 /nfs/dbraw/zinc/34/82/58/1118348258.db2.gz XEFMTMYCIDGHFD-CQSZACIVSA-N 1 2 287.407 3.648 20 0 CHADLO C[NH+](C)c1ccc(NCc2cc(F)cc(F)c2)cc1 ZINC000083453082 1118354195 /nfs/dbraw/zinc/35/41/95/1118354195.db2.gz WLOUYPYYHPVVCU-UHFFFAOYSA-N 1 2 262.303 3.643 20 0 CHADLO Cc1oc(-c2cccs2)nc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000076340628 1128772381 /nfs/dbraw/zinc/77/23/81/1128772381.db2.gz JSHHVDBRXLOQEE-UHFFFAOYSA-N 1 2 299.355 3.667 20 0 CHADLO CCC[N@H+](Cc1ccccc1F)Cc1cccnc1OC ZINC000683779992 1118360527 /nfs/dbraw/zinc/36/05/27/1118360527.db2.gz DCCGZWCMKGALNM-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CCC[N@@H+](Cc1ccccc1F)Cc1cccnc1OC ZINC000683779992 1118360532 /nfs/dbraw/zinc/36/05/32/1118360532.db2.gz DCCGZWCMKGALNM-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO COc1cc(Nc2ncc(Cl)cc2Cl)cc(C)[nH+]1 ZINC001210774591 1118381611 /nfs/dbraw/zinc/38/16/11/1118381611.db2.gz OSIBPEXQUAWFMU-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc(Nc2ccc[nH+]c2N2CCCCC2)cc(C)n1 ZINC001210775578 1118381800 /nfs/dbraw/zinc/38/18/00/1118381800.db2.gz XRGCESBPESASAD-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO COC1CCC(Nc2nc(C(C)(C)C)[nH+]c(C)c2C)CC1 ZINC001168604893 1118388393 /nfs/dbraw/zinc/38/83/93/1118388393.db2.gz CWVPTEUKELUPKX-UHFFFAOYSA-N 1 2 291.439 3.760 20 0 CHADLO Cn1c(Cl)cnc1C[N@H+](C)Cc1ccc2ccccc2c1 ZINC000049967815 1118389374 /nfs/dbraw/zinc/38/93/74/1118389374.db2.gz ACMKJLOJCGIFLN-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO Cn1c(Cl)cnc1C[N@@H+](C)Cc1ccc2ccccc2c1 ZINC000049967815 1118389377 /nfs/dbraw/zinc/38/93/77/1118389377.db2.gz ACMKJLOJCGIFLN-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc3cnccc3c2)s1 ZINC000683832548 1118399648 /nfs/dbraw/zinc/39/96/48/1118399648.db2.gz IIBIAKFYWJBPFW-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc3cnccc3c2)s1 ZINC000683832548 1118399652 /nfs/dbraw/zinc/39/96/52/1118399652.db2.gz IIBIAKFYWJBPFW-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO Oc1cc(Cl)ccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001214406988 1118402198 /nfs/dbraw/zinc/40/21/98/1118402198.db2.gz ADEOLMFTGFVJGN-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Fc1cccc(F)c1C1([NH2+]Cc2cncs2)CCC1 ZINC000623844513 1118432776 /nfs/dbraw/zinc/43/27/76/1118432776.db2.gz ZIUVHDVDAYXXJZ-UHFFFAOYSA-N 1 2 280.343 3.590 20 0 CHADLO Cc1ccc(Nc2cccc(F)c2N2CCCC2)[nH+]c1 ZINC001211012384 1118438933 /nfs/dbraw/zinc/43/89/33/1118438933.db2.gz CYQVZYDIJLGFPB-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)C(C)(C)CC(=O)N3)[nH+]c1 ZINC001211012460 1118439142 /nfs/dbraw/zinc/43/91/42/1118439142.db2.gz GGHPHGJWFGDHOS-UHFFFAOYSA-N 1 2 281.359 3.753 20 0 CHADLO Cc1c[nH+]c(CCNc2nnc(C(C)(C)C)s2)c(C)c1 ZINC000656210598 1118446461 /nfs/dbraw/zinc/44/64/61/1118446461.db2.gz ATQWMNGNEDQPGU-UHFFFAOYSA-N 1 2 290.436 3.502 20 0 CHADLO CSc1cncc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001211067530 1118453679 /nfs/dbraw/zinc/45/36/79/1118453679.db2.gz SFRMFMHYHXZRKD-UHFFFAOYSA-N 1 2 290.779 3.848 20 0 CHADLO Cc1nc(-c2ccc(Nc3c[nH+]c(C)cc3C)cc2)no1 ZINC001213518491 1118517336 /nfs/dbraw/zinc/51/73/36/1118517336.db2.gz QVUDSYZVHBNFII-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO Cc1c[nH+]cc(Nc2ncc(C(F)(F)F)cc2F)c1C ZINC001213523614 1118526251 /nfs/dbraw/zinc/52/62/51/1118526251.db2.gz WVDCXXLQURHZGD-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ncccn2)cc(C)c1F ZINC001143387477 1118535595 /nfs/dbraw/zinc/53/55/95/1118535595.db2.gz JOLQUHJTXLCWRR-OAHLLOKOSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ncccn2)cc(C)c1F ZINC001143387477 1118535597 /nfs/dbraw/zinc/53/55/97/1118535597.db2.gz JOLQUHJTXLCWRR-OAHLLOKOSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc3c(C(C)C)cnn3c2)c1C ZINC001213528651 1118542338 /nfs/dbraw/zinc/54/23/38/1118542338.db2.gz JYSJDUJEUIZPTJ-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc3cc(C(C)C)nn3c2)c1C ZINC001213528753 1118542969 /nfs/dbraw/zinc/54/29/69/1118542969.db2.gz OCLKOFUCQJZDEM-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO CCc1noc(C[NH2+][C@H](C)c2cccc3ccccc32)n1 ZINC000126309784 1118550712 /nfs/dbraw/zinc/55/07/12/1118550712.db2.gz WNUWQIYHWJWRBM-GFCCVEGCSA-N 1 2 281.359 3.636 20 0 CHADLO C[C@H]([NH2+]Cc1ccc2c(n1)CCC2)c1c(F)cccc1F ZINC000656483884 1118563121 /nfs/dbraw/zinc/56/31/21/1118563121.db2.gz HPQPBLDKCBFYSS-NSHDSACASA-N 1 2 288.341 3.699 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](CC)c2ccc(Cl)cc2)n1 ZINC000128802279 1118564428 /nfs/dbraw/zinc/56/44/28/1118564428.db2.gz NTJUVTRJDGKMLI-ZDUSSCGKSA-N 1 2 293.798 3.916 20 0 CHADLO COc1ccc(OC)c([C@@H](C)[NH2+][C@@H](C)c2cscn2)c1 ZINC000162324630 1118574847 /nfs/dbraw/zinc/57/48/47/1118574847.db2.gz WZSMDXJJHRDUOQ-MNOVXSKESA-N 1 2 292.404 3.572 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+][C@H](C)c1nc2ccccc2n1C ZINC000316838898 1118585553 /nfs/dbraw/zinc/58/55/53/1118585553.db2.gz QYXTZUOMRIDFED-ZYHUDNBSSA-N 1 2 298.390 3.590 20 0 CHADLO C[C@@H]1C[N@H+](C/C(Cl)=C\Cl)Cc2ccccc21 ZINC000763476438 1128787330 /nfs/dbraw/zinc/78/73/30/1128787330.db2.gz MXNLCSNNRBBAMF-HAAVITCDSA-N 1 2 256.176 3.925 20 0 CHADLO C[C@@H]1C[N@@H+](C/C(Cl)=C\Cl)Cc2ccccc21 ZINC000763476438 1128787333 /nfs/dbraw/zinc/78/73/33/1128787333.db2.gz MXNLCSNNRBBAMF-HAAVITCDSA-N 1 2 256.176 3.925 20 0 CHADLO C[N@H+](Cc1nc(C(C)(C)C)co1)Cc1ccccc1 ZINC000891392066 1118590404 /nfs/dbraw/zinc/59/04/04/1118590404.db2.gz YBVUUYLRFBXZKD-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO C[N@@H+](Cc1nc(C(C)(C)C)co1)Cc1ccccc1 ZINC000891392066 1118590406 /nfs/dbraw/zinc/59/04/06/1118590406.db2.gz YBVUUYLRFBXZKD-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)c1 ZINC000891404083 1118599700 /nfs/dbraw/zinc/59/97/00/1118599700.db2.gz DCSYEBJTMQFGDC-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCC[C@H]2c2ccc[nH]2)c1 ZINC000891404083 1118599703 /nfs/dbraw/zinc/59/97/03/1118599703.db2.gz DCSYEBJTMQFGDC-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO CN(c1cccc[nH+]1)C1CCC(C(F)(F)F)CC1 ZINC000341075470 1118600016 /nfs/dbraw/zinc/60/00/16/1118600016.db2.gz VVYWZMKPADLBJY-UHFFFAOYSA-N 1 2 258.287 3.639 20 0 CHADLO CCCCc1ccc(NCc2[nH+]cc(C)n2C)cc1 ZINC000341153247 1118607091 /nfs/dbraw/zinc/60/70/91/1118607091.db2.gz WNQGBHQIFRHTRY-UHFFFAOYSA-N 1 2 257.381 3.683 20 0 CHADLO CC[C@@H]1C[C@H](C[NH2+]c2ccc(-n3ccc(C)n3)cc2)CCO1 ZINC000341224563 1118628052 /nfs/dbraw/zinc/62/80/52/1118628052.db2.gz ADSIGWHCFGPKPX-CRAIPNDOSA-N 1 2 299.418 3.798 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1cc(Cl)cc(Cl)c1)C2 ZINC000656694277 1118638540 /nfs/dbraw/zinc/63/85/40/1118638540.db2.gz OFUDWPMGPQWSLV-UHFFFAOYSA-N 1 2 274.166 3.927 20 0 CHADLO Cc1c[nH+]c(CNc2cc(Cl)cc(Cl)c2)n1C ZINC000341275882 1118648187 /nfs/dbraw/zinc/64/81/87/1118648187.db2.gz FTZPCLNUDLNSLC-UHFFFAOYSA-N 1 2 270.163 3.647 20 0 CHADLO c1cc2c(cn1)[nH+]c(N1CCCCC1)cc2N1CCCCC1 ZINC000341276467 1118649321 /nfs/dbraw/zinc/64/93/21/1118649321.db2.gz ZXQMNAJDWVDLQG-UHFFFAOYSA-N 1 2 296.418 3.610 20 0 CHADLO COCc1csc(CNc2ccc(C)[nH+]c2C)c1 ZINC000656771662 1118653964 /nfs/dbraw/zinc/65/39/64/1118653964.db2.gz YQCGSGOVOXUGCK-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO COc1ccncc1COc1cc(C)[nH+]c2ccccc21 ZINC000341295228 1118660390 /nfs/dbraw/zinc/66/03/90/1118660390.db2.gz XACZKFNCTKYZJG-UHFFFAOYSA-N 1 2 280.327 3.526 20 0 CHADLO Cc1cc(N(CC(F)F)CC2CCC2)nc(C2CC2)[nH+]1 ZINC000341335519 1118666587 /nfs/dbraw/zinc/66/65/87/1118666587.db2.gz PUDTYTVWOBWMGH-UHFFFAOYSA-N 1 2 281.350 3.534 20 0 CHADLO CC(C)C[C@H](C[N@@H+]1CC(F)(F)C[C@H]1CO)c1ccccc1 ZINC000684405282 1118683519 /nfs/dbraw/zinc/68/35/19/1118683519.db2.gz DQSHCBKEMLWWLK-CVEARBPZSA-N 1 2 297.389 3.518 20 0 CHADLO CC(C)C[C@H](C[N@H+]1CC(F)(F)C[C@H]1CO)c1ccccc1 ZINC000684405282 1118683522 /nfs/dbraw/zinc/68/35/22/1118683522.db2.gz DQSHCBKEMLWWLK-CVEARBPZSA-N 1 2 297.389 3.518 20 0 CHADLO Cc1c[nH+]c(CNc2ccc(C3CC3)c(C)c2)n1C ZINC000341394786 1118687496 /nfs/dbraw/zinc/68/74/96/1118687496.db2.gz NTYXGGSFVIQAMK-UHFFFAOYSA-N 1 2 255.365 3.526 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1n[nH]c2ccccc21 ZINC000684412323 1118687521 /nfs/dbraw/zinc/68/75/21/1118687521.db2.gz HUVFJBOSYPFICR-UHFFFAOYSA-N 1 2 297.427 3.917 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1n[nH]c2ccccc21 ZINC000684412323 1118687523 /nfs/dbraw/zinc/68/75/23/1118687523.db2.gz HUVFJBOSYPFICR-UHFFFAOYSA-N 1 2 297.427 3.917 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2nc(C(C)(C)C)co2)cc1 ZINC000891466210 1118689600 /nfs/dbraw/zinc/68/96/00/1118689600.db2.gz JQURLDAZINOQNU-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2nc(C(C)(C)C)co2)cc1 ZINC000891466210 1118689603 /nfs/dbraw/zinc/68/96/03/1118689603.db2.gz JQURLDAZINOQNU-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO Cc1nnc(C[NH2+][C@H](CC(C)(C)C)c2ccc(F)cc2)o1 ZINC000341449197 1118709870 /nfs/dbraw/zinc/70/98/70/1118709870.db2.gz HRGQWJYCOBEOLZ-CQSZACIVSA-N 1 2 291.370 3.784 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(F)cn2)[nH+]c2cc[nH]c21 ZINC001167486042 1118709942 /nfs/dbraw/zinc/70/99/42/1118709942.db2.gz LBJGSRILNJUQBZ-JTQLQIEISA-N 1 2 270.311 3.579 20 0 CHADLO CCOc1ccccc1CN(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC000341463008 1118712690 /nfs/dbraw/zinc/71/26/90/1118712690.db2.gz QRIBHSGIHOZRMW-UHFFFAOYSA-N 1 2 297.402 3.698 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2cc(-c3ccccc3)on2)s1 ZINC000090407003 1118712719 /nfs/dbraw/zinc/71/27/19/1118712719.db2.gz LTGRLWYYUYFBET-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1nccn1C1CC1)C1CC1 ZINC000891591444 1118714458 /nfs/dbraw/zinc/71/44/58/1118714458.db2.gz CWFFMPDPTUJWEW-AWEZNQCLSA-N 1 2 281.403 3.944 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1nccn1C1CC1)C1CC1 ZINC000891591444 1118714463 /nfs/dbraw/zinc/71/44/63/1118714463.db2.gz CWFFMPDPTUJWEW-AWEZNQCLSA-N 1 2 281.403 3.944 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2nccc3c2CCCC3)no1 ZINC000891648592 1118729998 /nfs/dbraw/zinc/72/99/98/1118729998.db2.gz QMRSVWLJWQIKMA-SFHVURJKSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2nccc3c2CCCC3)no1 ZINC000891648592 1118730000 /nfs/dbraw/zinc/73/00/00/1118730000.db2.gz QMRSVWLJWQIKMA-SFHVURJKSA-N 1 2 297.402 3.594 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1ccnn1C1CCC1)C1CC1 ZINC000891803969 1118782447 /nfs/dbraw/zinc/78/24/47/1118782447.db2.gz ZWYZUAZQXKXLSD-CYBMUJFWSA-N 1 2 285.391 3.927 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1ccnn1C1CCC1)C1CC1 ZINC000891803969 1118782449 /nfs/dbraw/zinc/78/24/49/1118782449.db2.gz ZWYZUAZQXKXLSD-CYBMUJFWSA-N 1 2 285.391 3.927 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc2c(c1)OCCCO2)C(F)F ZINC000449356701 1118790769 /nfs/dbraw/zinc/79/07/69/1118790769.db2.gz APTBLPQFHSYHTL-CMPLNLGQSA-N 1 2 285.334 3.542 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(SC)s1)C(F)F ZINC000449358156 1118791740 /nfs/dbraw/zinc/79/17/40/1118791740.db2.gz FBTNUZFNDNWBMJ-MRVPVSSYSA-N 1 2 251.367 3.603 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@]2(C)CCCc3ccccc32)o1 ZINC000341680492 1118795361 /nfs/dbraw/zinc/79/53/61/1118795361.db2.gz DEKZWWBYLFVVSC-QGZVFWFLSA-N 1 2 285.391 3.534 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC[C@H]2C2CCC2)cs1 ZINC000891871088 1118816674 /nfs/dbraw/zinc/81/66/74/1118816674.db2.gz XKUUZUWVSIOUCX-NSHDSACASA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC[C@H]2C2CCC2)cs1 ZINC000891871088 1118816680 /nfs/dbraw/zinc/81/66/80/1118816680.db2.gz XKUUZUWVSIOUCX-NSHDSACASA-N 1 2 290.354 3.926 20 0 CHADLO CCCc1csc(C[N@@H+]2CCc3cc(C)ccc3C2)n1 ZINC000426374791 1118816951 /nfs/dbraw/zinc/81/69/51/1118816951.db2.gz YTRPKDHKUZPBMD-UHFFFAOYSA-N 1 2 286.444 3.962 20 0 CHADLO CCCc1csc(C[N@H+]2CCc3cc(C)ccc3C2)n1 ZINC000426374791 1118816954 /nfs/dbraw/zinc/81/69/54/1118816954.db2.gz YTRPKDHKUZPBMD-UHFFFAOYSA-N 1 2 286.444 3.962 20 0 CHADLO CC[C@]1(C)C[N@H+](Cc2ccccc2C(F)(F)F)CCO1 ZINC000510789623 1118818241 /nfs/dbraw/zinc/81/82/41/1118818241.db2.gz AFFZLTATCRGJDA-CQSZACIVSA-N 1 2 287.325 3.706 20 0 CHADLO CC[C@]1(C)C[N@@H+](Cc2ccccc2C(F)(F)F)CCO1 ZINC000510789623 1118818246 /nfs/dbraw/zinc/81/82/46/1118818246.db2.gz AFFZLTATCRGJDA-CQSZACIVSA-N 1 2 287.325 3.706 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+]Cc1csc3ccccc13)CCC2 ZINC000353392898 1128804075 /nfs/dbraw/zinc/80/40/75/1128804075.db2.gz HMFIAISLAQECBJ-AWEZNQCLSA-N 1 2 283.400 3.792 20 0 CHADLO CCC(CC)(CC)[S@](=O)Cc1ccc(C)[nH+]c1C ZINC000431191071 1118861150 /nfs/dbraw/zinc/86/11/50/1118861150.db2.gz CPNWIFUQFRZXKC-GOSISDBHSA-N 1 2 267.438 3.916 20 0 CHADLO CCCc1csc(C[N@@H+]2CCc3ccsc3C2)n1 ZINC000426423655 1118874384 /nfs/dbraw/zinc/87/43/84/1118874384.db2.gz ZQYAXNWPABRYDF-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO CCCc1csc(C[N@H+]2CCc3ccsc3C2)n1 ZINC000426423655 1118874390 /nfs/dbraw/zinc/87/43/90/1118874390.db2.gz ZQYAXNWPABRYDF-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO CCCCC[C@@H]1CCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535774959 1118884798 /nfs/dbraw/zinc/88/47/98/1118884798.db2.gz JMVUOPQQYQVOBE-OAHLLOKOSA-N 1 2 289.423 3.697 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(C3CCCCC3)s2)[C@@H](C)CO1 ZINC000535783954 1118886217 /nfs/dbraw/zinc/88/62/17/1118886217.db2.gz XQXUKTYBSWAKEU-STQMWFEESA-N 1 2 294.464 3.800 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(C3CCCCC3)s2)[C@@H](C)CO1 ZINC000535783954 1118886223 /nfs/dbraw/zinc/88/62/23/1118886223.db2.gz XQXUKTYBSWAKEU-STQMWFEESA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000181121327 1118903246 /nfs/dbraw/zinc/90/32/46/1118903246.db2.gz RJVBGGGJFFLIIN-YGRLFVJLSA-N 1 2 271.763 3.651 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000181121327 1118903247 /nfs/dbraw/zinc/90/32/47/1118903247.db2.gz RJVBGGGJFFLIIN-YGRLFVJLSA-N 1 2 271.763 3.651 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCn2cccc2[C@H]1C ZINC000055899730 1118956006 /nfs/dbraw/zinc/95/60/06/1118956006.db2.gz IUELDGNFSQAKMI-OAHLLOKOSA-N 1 2 284.403 3.852 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCn2cccc2[C@H]1C ZINC000055899730 1118956008 /nfs/dbraw/zinc/95/60/08/1118956008.db2.gz IUELDGNFSQAKMI-OAHLLOKOSA-N 1 2 284.403 3.852 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C2CCC2)nn1)c1ccccc1Cl ZINC000657287851 1118958629 /nfs/dbraw/zinc/95/86/29/1118958629.db2.gz YXEPQPIGOIHOOJ-LLVKDONJSA-N 1 2 290.798 3.507 20 0 CHADLO C[N@H+](Cc1cnc(C2CC2)o1)Cc1ccc(Cl)s1 ZINC000628121270 1128813415 /nfs/dbraw/zinc/81/34/15/1128813415.db2.gz YUFOZHMVPQWHPX-UHFFFAOYSA-N 1 2 282.796 3.899 20 0 CHADLO C[N@@H+](Cc1cnc(C2CC2)o1)Cc1ccc(Cl)s1 ZINC000628121270 1128813418 /nfs/dbraw/zinc/81/34/18/1128813418.db2.gz YUFOZHMVPQWHPX-UHFFFAOYSA-N 1 2 282.796 3.899 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@@H]2CCc3ccccc32)n1 ZINC000724377428 1118981972 /nfs/dbraw/zinc/98/19/72/1118981972.db2.gz VYUZNRUAJHRFRM-CQSZACIVSA-N 1 2 270.376 3.749 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nccn2C2CC2)[C@H](c2ccco2)C1 ZINC000892153325 1118989249 /nfs/dbraw/zinc/98/92/49/1118989249.db2.gz OCLIMVYWNSCGQS-ZFWWWQNUSA-N 1 2 285.391 3.784 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nccn2C2CC2)[C@H](c2ccco2)C1 ZINC000892153325 1118989250 /nfs/dbraw/zinc/98/92/50/1118989250.db2.gz OCLIMVYWNSCGQS-ZFWWWQNUSA-N 1 2 285.391 3.784 20 0 CHADLO Cc1ccc2[nH+]c(CSc3nccn3C(C)C)cn2c1 ZINC000342389094 1118999468 /nfs/dbraw/zinc/99/94/68/1118999468.db2.gz OEDSJPRDFIWIRE-UHFFFAOYSA-N 1 2 286.404 3.712 20 0 CHADLO Cc1cc(OCc2ccccn2)c2cccc(C)c2[nH+]1 ZINC000342396924 1119001036 /nfs/dbraw/zinc/00/10/36/1119001036.db2.gz BZMDEQRXMZAGOB-UHFFFAOYSA-N 1 2 264.328 3.826 20 0 CHADLO Cc1[nH]c(CN(C)Cc2ccccc2C(F)(F)F)[nH+]c1C ZINC000628128613 1128814997 /nfs/dbraw/zinc/81/49/97/1128814997.db2.gz NQQXEPTUVGMJPL-UHFFFAOYSA-N 1 2 297.324 3.677 20 0 CHADLO Fc1cc2[nH+]ccc(N3C[C@@H]4C[C@H]3CS4)c2cc1Cl ZINC000413444691 1119008549 /nfs/dbraw/zinc/00/85/49/1119008549.db2.gz CPDTZTUQWPMQBN-IUCAKERBSA-N 1 2 294.782 3.721 20 0 CHADLO CCc1ccc(C[NH2+][C@@H]2COc3cccc(Cl)c32)cn1 ZINC000342420187 1119014695 /nfs/dbraw/zinc/01/46/95/1119014695.db2.gz QOFRDCCCHDEALZ-CQSZACIVSA-N 1 2 288.778 3.521 20 0 CHADLO C[C@H]1CSCCC[N@@H+]1Cc1nc(Cl)ccc1Cl ZINC000934303280 1119016545 /nfs/dbraw/zinc/01/65/45/1119016545.db2.gz JETQNLGNSOEUEV-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CSCCC[N@H+]1Cc1nc(Cl)ccc1Cl ZINC000934303280 1119016549 /nfs/dbraw/zinc/01/65/49/1119016549.db2.gz JETQNLGNSOEUEV-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO CC[C@H]1c2ccsc2CCN1Cc1[nH]c(C)c(C)[nH+]1 ZINC000628144606 1128817362 /nfs/dbraw/zinc/81/73/62/1128817362.db2.gz LGUMSQTWQVKHDH-ZDUSSCGKSA-N 1 2 275.421 3.597 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1nc(C)c(C)[nH]1 ZINC000628144606 1128817368 /nfs/dbraw/zinc/81/73/68/1128817368.db2.gz LGUMSQTWQVKHDH-ZDUSSCGKSA-N 1 2 275.421 3.597 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1nc(C)c(C)[nH]1 ZINC000628144606 1128817374 /nfs/dbraw/zinc/81/73/74/1128817374.db2.gz LGUMSQTWQVKHDH-ZDUSSCGKSA-N 1 2 275.421 3.597 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1ccc(OC)cc1Cl ZINC000119755611 1119052781 /nfs/dbraw/zinc/05/27/81/1119052781.db2.gz NJRXZPIAOCMERF-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1ccc(OC)cc1Cl ZINC000119755611 1119052779 /nfs/dbraw/zinc/05/27/79/1119052779.db2.gz NJRXZPIAOCMERF-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO COc1ccc([C@@H]([NH2+][C@@H]2CCCc3[nH]ncc32)C2CC2)cc1 ZINC000353401808 1128818806 /nfs/dbraw/zinc/81/88/06/1128818806.db2.gz IIHZBGPBSRJIDB-AEFFLSMTSA-N 1 2 297.402 3.537 20 0 CHADLO Cc1cc(C(=O)Nc2ccn3cc[nH+]c3c2)c(C)n1C(C)C ZINC000684852819 1119069750 /nfs/dbraw/zinc/06/97/50/1119069750.db2.gz CVTHNGRMNCOQPW-UHFFFAOYSA-N 1 2 296.374 3.586 20 0 CHADLO Cc1c[nH]c(C[NH2+]C2(c3ccc(F)cc3F)CCCC2)n1 ZINC000657418164 1119071150 /nfs/dbraw/zinc/07/11/50/1119071150.db2.gz LKZZKOVRIBFERZ-UHFFFAOYSA-N 1 2 291.345 3.555 20 0 CHADLO CCc1nnc([C@H](C)[NH2+]Cc2cc3cccc(CC)c3o2)[nH]1 ZINC000657421054 1119072043 /nfs/dbraw/zinc/07/20/43/1119072043.db2.gz YPDAYGHNJZPHBS-NSHDSACASA-N 1 2 298.390 3.527 20 0 CHADLO C[C@@H]1CC[C@@H](CC(=O)Nc2ccccc2-n2cc[nH+]c2)C1 ZINC000119879357 1119097204 /nfs/dbraw/zinc/09/72/04/1119097204.db2.gz LVNYZKDLVKIFSW-ZIAGYGMSSA-N 1 2 283.375 3.637 20 0 CHADLO CCc1nc(C)c([C@@H](C)N(C)Cc2[nH]c(C)c(C)[nH+]2)s1 ZINC000628183191 1128823016 /nfs/dbraw/zinc/82/30/16/1128823016.db2.gz TVARWTDTBOKRQS-GFCCVEGCSA-N 1 2 292.452 3.547 20 0 CHADLO C[C@H](CO)CCCNc1cc[nH+]c2c(Cl)cccc12 ZINC000342696307 1119130118 /nfs/dbraw/zinc/13/01/18/1119130118.db2.gz AUXMSZYDAOKUMK-NSHDSACASA-N 1 2 278.783 3.709 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2CCCc3cccnc32)cs1 ZINC000684955483 1119140818 /nfs/dbraw/zinc/14/08/18/1119140818.db2.gz NOIRQOZOMKQYEV-ZWNOBZJWSA-N 1 2 273.405 3.575 20 0 CHADLO Cc1nc[nH]c1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC001204592978 1119141524 /nfs/dbraw/zinc/14/15/24/1119141524.db2.gz DHBZWPRARJGGGX-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1nc[nH]c1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC001204592978 1119141525 /nfs/dbraw/zinc/14/15/25/1119141525.db2.gz DHBZWPRARJGGGX-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3ncc(C)n3C)CC2)cc1 ZINC000342787080 1119166991 /nfs/dbraw/zinc/16/69/91/1119166991.db2.gz ZFXFWZGCYZAVEI-UHFFFAOYSA-N 1 2 295.430 3.580 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3ncc(C)n3C)CC2)cc1 ZINC000342787080 1119166992 /nfs/dbraw/zinc/16/69/92/1119166992.db2.gz ZFXFWZGCYZAVEI-UHFFFAOYSA-N 1 2 295.430 3.580 20 0 CHADLO Cc1nc(N[C@H]2CCCOc3cc(Cl)ccc32)cc[nH+]1 ZINC000342788272 1119167833 /nfs/dbraw/zinc/16/78/33/1119167833.db2.gz ODTMQAJYPWEOOV-ZDUSSCGKSA-N 1 2 289.766 3.764 20 0 CHADLO Cc1c[nH+]c(CN(C)[C@@H](C)c2cc3ccccc3o2)n1C ZINC000342800907 1119176658 /nfs/dbraw/zinc/17/66/58/1119176658.db2.gz UZTSMKBCMRZUCR-ZDUSSCGKSA-N 1 2 283.375 3.668 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)n1C ZINC000342800907 1119176661 /nfs/dbraw/zinc/17/66/61/1119176661.db2.gz UZTSMKBCMRZUCR-ZDUSSCGKSA-N 1 2 283.375 3.668 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)n1C ZINC000342800907 1119176665 /nfs/dbraw/zinc/17/66/65/1119176665.db2.gz UZTSMKBCMRZUCR-ZDUSSCGKSA-N 1 2 283.375 3.668 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2)o1 ZINC000075595438 1119178216 /nfs/dbraw/zinc/17/82/16/1119178216.db2.gz OAUGAHWLLCASGZ-HNNXBMFYSA-N 1 2 274.339 3.713 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2)o1 ZINC000075595438 1119178218 /nfs/dbraw/zinc/17/82/18/1119178218.db2.gz OAUGAHWLLCASGZ-HNNXBMFYSA-N 1 2 274.339 3.713 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1ccncc1Cl ZINC000075619220 1119178278 /nfs/dbraw/zinc/17/82/78/1119178278.db2.gz ZPNUBDFCTWJWBH-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1ccncc1Cl ZINC000075619220 1119178281 /nfs/dbraw/zinc/17/82/81/1119178281.db2.gz ZPNUBDFCTWJWBH-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2coc(-c3cccs3)n2)C[C@H](C)S1 ZINC000075892497 1119180466 /nfs/dbraw/zinc/18/04/66/1119180466.db2.gz KONYWOAVBOENAI-PHIMTYICSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1C[N@H+](Cc2coc(-c3cccs3)n2)C[C@H](C)S1 ZINC000075892497 1119180468 /nfs/dbraw/zinc/18/04/68/1119180468.db2.gz KONYWOAVBOENAI-PHIMTYICSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1cnc(C2CC2)o1)C1CC1 ZINC000628154366 1119209005 /nfs/dbraw/zinc/20/90/05/1119209005.db2.gz UTAANFIWACHBGT-NSHDSACASA-N 1 2 272.348 3.871 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1cnc(C2CC2)o1)C1CC1 ZINC000628154366 1119209006 /nfs/dbraw/zinc/20/90/06/1119209006.db2.gz UTAANFIWACHBGT-NSHDSACASA-N 1 2 272.348 3.871 20 0 CHADLO c1ccc(CSCCCOc2cc[nH+]cc2)cc1 ZINC000431487913 1119210506 /nfs/dbraw/zinc/21/05/06/1119210506.db2.gz OTSKLAYKXMMWTG-UHFFFAOYSA-N 1 2 259.374 3.784 20 0 CHADLO Nc1cc(Cl)ccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001213652828 1119211084 /nfs/dbraw/zinc/21/10/84/1119211084.db2.gz MTSCOCNRERKJOL-UHFFFAOYSA-N 1 2 284.750 3.852 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)ccn1 ZINC000342864252 1119215984 /nfs/dbraw/zinc/21/59/84/1119215984.db2.gz MYCAYGLRTPBSRZ-HNNXBMFYSA-N 1 2 288.778 3.691 20 0 CHADLO COc1cc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)ccn1 ZINC000342864252 1119215987 /nfs/dbraw/zinc/21/59/87/1119215987.db2.gz MYCAYGLRTPBSRZ-HNNXBMFYSA-N 1 2 288.778 3.691 20 0 CHADLO CCOc1ccc(-c2nc(COc3cc[nH+]cc3)co2)cc1 ZINC000431488254 1119218684 /nfs/dbraw/zinc/21/86/84/1119218684.db2.gz PDHDPZZNSBJMEO-UHFFFAOYSA-N 1 2 296.326 3.714 20 0 CHADLO CCn1cc([C@@H](C)[NH2+]Cc2csc(C(C)(C)C)n2)cn1 ZINC000153408853 1119225984 /nfs/dbraw/zinc/22/59/84/1119225984.db2.gz VCMBDNMBEPWDPP-LLVKDONJSA-N 1 2 292.452 3.508 20 0 CHADLO CO[C@@H](CNc1cccc[nH+]1)c1cccc(Cl)c1 ZINC000078559525 1119233993 /nfs/dbraw/zinc/23/39/93/1119233993.db2.gz SYKWRCWLBMGOOY-ZDUSSCGKSA-N 1 2 262.740 3.535 20 0 CHADLO Clc1ccc(NCc2cccc(Cn3cc[nH+]c3)c2)nc1 ZINC000078629590 1119240505 /nfs/dbraw/zinc/24/05/05/1119240505.db2.gz GELJJSHRQBWNGS-UHFFFAOYSA-N 1 2 298.777 3.592 20 0 CHADLO Cc1noc(C[NH2+][C@@H](c2ccc(Cl)cc2)C(C)C)n1 ZINC000078656662 1119241147 /nfs/dbraw/zinc/24/11/47/1119241147.db2.gz LEBZKAQOEGCDIA-CQSZACIVSA-N 1 2 279.771 3.518 20 0 CHADLO Cc1[nH]c(CNc2ccc3c(c2)C=CCCC3)[nH+]c1C ZINC000657681835 1119244658 /nfs/dbraw/zinc/24/46/58/1119244658.db2.gz SXWLYXULHLCMMM-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO COCc1nc(C[NH2+][C@H](C)c2ccc(Cl)cc2)cs1 ZINC000342920664 1119248049 /nfs/dbraw/zinc/24/80/49/1119248049.db2.gz ZXTCTMYDXNVMGL-SNVBAGLBSA-N 1 2 296.823 3.794 20 0 CHADLO c1ccc([C@H](Nc2cccc[nH+]2)c2ccccn2)cc1 ZINC000078783661 1119251883 /nfs/dbraw/zinc/25/18/83/1119251883.db2.gz MAINVJOJGRVMDY-KRWDZBQOSA-N 1 2 261.328 3.678 20 0 CHADLO C[N@H+](Cc1csc(C(F)(F)F)c1)Cc1cccnc1 ZINC000628234548 1128832457 /nfs/dbraw/zinc/83/24/57/1128832457.db2.gz DYTKVYJYGBUPHV-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1csc(C(F)(F)F)c1)Cc1cccnc1 ZINC000628234548 1128832461 /nfs/dbraw/zinc/83/24/61/1128832461.db2.gz DYTKVYJYGBUPHV-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO Clc1cncc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213086568 1119270844 /nfs/dbraw/zinc/27/08/44/1119270844.db2.gz SIQXHZZBKNUQEB-UHFFFAOYSA-N 1 2 270.723 3.869 20 0 CHADLO Cc1cc(F)cc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000079602622 1119296413 /nfs/dbraw/zinc/29/64/13/1119296413.db2.gz XHJDDOUNGJUETG-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+][C@H]1CCCC1(F)F)CC2 ZINC000672622593 1119299606 /nfs/dbraw/zinc/29/96/06/1119299606.db2.gz NRFVIBWTMMGBAS-OLZOCXBDSA-N 1 2 255.283 3.590 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCSc2ccccc21)c1ccon1 ZINC000349837371 1119302231 /nfs/dbraw/zinc/30/22/31/1119302231.db2.gz QZEKHEWFVCHLAM-MFKMUULPSA-N 1 2 260.362 3.562 20 0 CHADLO Cc1ncc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)s1 ZINC001213087541 1119316045 /nfs/dbraw/zinc/31/60/45/1119316045.db2.gz SAWKULJPRGEFBR-UHFFFAOYSA-N 1 2 256.334 3.585 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCC3(CCCC3)C2)n1 ZINC000154691292 1119316688 /nfs/dbraw/zinc/31/66/88/1119316688.db2.gz RBHAIQFZVIULGU-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCC3(CCCC3)C2)n1 ZINC000154691292 1119316692 /nfs/dbraw/zinc/31/66/92/1119316692.db2.gz RBHAIQFZVIULGU-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccsc2C(F)(F)F)no1 ZINC000628263962 1128838132 /nfs/dbraw/zinc/83/81/32/1128838132.db2.gz VKAYMKKAKIBXGH-QMMMGPOBSA-N 1 2 290.310 3.914 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@H](C)c2c(C)noc2C)n1 ZINC000092331865 1119327492 /nfs/dbraw/zinc/32/74/92/1119327492.db2.gz YSCNZDQWEFVNFY-LLVKDONJSA-N 1 2 290.411 3.700 20 0 CHADLO Cc1cc(N2CCC(CC(F)(F)F)CC2)nc(C2CC2)[nH+]1 ZINC000343151837 1119331420 /nfs/dbraw/zinc/33/14/20/1119331420.db2.gz CAVCOFNKBGAVOB-UHFFFAOYSA-N 1 2 299.340 3.831 20 0 CHADLO Cc1cc(Nc2cccc(C3CC3)[nH+]2)ccc1CO ZINC001211578939 1119351423 /nfs/dbraw/zinc/35/14/23/1119351423.db2.gz VQYIJMQFNNKPIY-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO C[C@H](CC(=O)Nc1ccc2c(c1)CCCCC2)n1cc[nH+]c1 ZINC000650692322 1119352521 /nfs/dbraw/zinc/35/25/21/1119352521.db2.gz VYBITDZEOAZHPB-CQSZACIVSA-N 1 2 297.402 3.742 20 0 CHADLO COc1cncc(/C=C/CC[N@@H+]2CCCC[C@@H]2C(F)F)c1 ZINC000566558745 1119363789 /nfs/dbraw/zinc/36/37/89/1119363789.db2.gz MWBBMZBQYHCPLU-OYLQIYHASA-N 1 2 296.361 3.613 20 0 CHADLO COc1cncc(/C=C/CC[N@H+]2CCCC[C@@H]2C(F)F)c1 ZINC000566558745 1119363791 /nfs/dbraw/zinc/36/37/91/1119363791.db2.gz MWBBMZBQYHCPLU-OYLQIYHASA-N 1 2 296.361 3.613 20 0 CHADLO CCOc1ccc(CNc2ccc([NH+](C)C)c(C)c2)o1 ZINC000892338188 1119365388 /nfs/dbraw/zinc/36/53/88/1119365388.db2.gz QZIFAQFIMMPXBD-UHFFFAOYSA-N 1 2 274.364 3.665 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCO[C@@H](C(C)(C)C)C2)cc1 ZINC000721529739 1119375354 /nfs/dbraw/zinc/37/53/54/1119375354.db2.gz KJFPSNYTRHDZSX-GDBMZVCRSA-N 1 2 276.424 3.758 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCO[C@@H](C(C)(C)C)C2)cc1 ZINC000721529739 1119375356 /nfs/dbraw/zinc/37/53/56/1119375356.db2.gz KJFPSNYTRHDZSX-GDBMZVCRSA-N 1 2 276.424 3.758 20 0 CHADLO Cc1cc(C)c(NC(=O)NC2(C3CCC3)CCC2)c(C)[nH+]1 ZINC000583550642 1119375432 /nfs/dbraw/zinc/37/54/32/1119375432.db2.gz JPYCCZLPNLELEL-UHFFFAOYSA-N 1 2 287.407 3.851 20 0 CHADLO CC[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccc(F)cn1 ZINC000161810847 1119388879 /nfs/dbraw/zinc/38/88/79/1119388879.db2.gz JVPKKYHNOGVXLK-OAHLLOKOSA-N 1 2 273.355 3.850 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4oncc4c3)cc2)[nH]1 ZINC001213089777 1119391258 /nfs/dbraw/zinc/39/12/58/1119391258.db2.gz DIMHMDZYODBNMK-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)ccc1Cl)c1nccn1C ZINC000657793198 1119412637 /nfs/dbraw/zinc/41/26/37/1119412637.db2.gz GRZPVHJUKFKIBC-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cn1c2ccccc2oc1=S ZINC000176799507 1119422513 /nfs/dbraw/zinc/42/25/13/1119422513.db2.gz RKZGPWYLEQRHHE-UHFFFAOYSA-N 1 2 299.399 3.838 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cn1c2ccccc2oc1=S ZINC000176799507 1119422516 /nfs/dbraw/zinc/42/25/16/1119422516.db2.gz RKZGPWYLEQRHHE-UHFFFAOYSA-N 1 2 299.399 3.838 20 0 CHADLO COCc1ccc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)o1 ZINC000131558889 1119431837 /nfs/dbraw/zinc/43/18/37/1119431837.db2.gz DYXMZRCEQUPWLV-NSHDSACASA-N 1 2 295.329 3.897 20 0 CHADLO COCc1ccc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)o1 ZINC000131558889 1119431840 /nfs/dbraw/zinc/43/18/40/1119431840.db2.gz DYXMZRCEQUPWLV-NSHDSACASA-N 1 2 295.329 3.897 20 0 CHADLO Cc1nc(C[NH+]2CCC(c3ccccc3F)CC2)[nH]c1C ZINC000628181235 1119493638 /nfs/dbraw/zinc/49/36/38/1119493638.db2.gz VRPDYMORPQDPSJ-UHFFFAOYSA-N 1 2 287.382 3.545 20 0 CHADLO Cc1[nH]c(CN2CCC(c3ccccc3F)CC2)[nH+]c1C ZINC000628181235 1119493639 /nfs/dbraw/zinc/49/36/39/1119493639.db2.gz VRPDYMORPQDPSJ-UHFFFAOYSA-N 1 2 287.382 3.545 20 0 CHADLO CC[C@H]1CCCN(c2cc(C)[nH+]c(C3CC3)n2)CC1 ZINC000343718101 1119494476 /nfs/dbraw/zinc/49/44/76/1119494476.db2.gz AFKOYNVIVSPOPW-ZDUSSCGKSA-N 1 2 259.397 3.679 20 0 CHADLO CC[C@H](Nc1[nH+]c(C)nc2[nH]ccc21)c1ccc(OC)cc1 ZINC000080764383 1119505102 /nfs/dbraw/zinc/50/51/02/1119505102.db2.gz BKHZSJUVFWXZTI-HNNXBMFYSA-N 1 2 296.374 3.838 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(C(F)F)nc2)cs1 ZINC000657842343 1119505398 /nfs/dbraw/zinc/50/53/98/1119505398.db2.gz KHPBWKJNRCVJQK-QMMMGPOBSA-N 1 2 283.347 3.635 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2CCc3cc(F)ccc32)[nH+]1 ZINC000080950332 1119507322 /nfs/dbraw/zinc/50/73/22/1119507322.db2.gz LPMKJSFOKDNXOJ-AWEZNQCLSA-N 1 2 282.322 3.505 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H](c2ccccc2)C2CC2)[nH+]1 ZINC000080945741 1119507360 /nfs/dbraw/zinc/50/73/60/1119507360.db2.gz HEXFMATXXVJULU-OAHLLOKOSA-N 1 2 278.359 3.830 20 0 CHADLO C[C@H]([N@H+](C)Cn1nc(C(C)(C)C)oc1=S)C1(C)CC1 ZINC000176831013 1119508204 /nfs/dbraw/zinc/50/82/04/1119508204.db2.gz MDESIHSQBRZZJM-JTQLQIEISA-N 1 2 283.441 3.581 20 0 CHADLO C[C@H]([N@@H+](C)Cn1nc(C(C)(C)C)oc1=S)C1(C)CC1 ZINC000176831013 1119508209 /nfs/dbraw/zinc/50/82/09/1119508209.db2.gz MDESIHSQBRZZJM-JTQLQIEISA-N 1 2 283.441 3.581 20 0 CHADLO Cc1cnc([C@@H](Nc2[nH+]c(C)nc3[nH]ccc32)C2CC2)s1 ZINC000081019180 1119509502 /nfs/dbraw/zinc/50/95/02/1119509502.db2.gz VNFAHELQQMKLKE-LBPRGKRZSA-N 1 2 299.403 3.594 20 0 CHADLO Cc1cc(C[NH2+]Cc2cnc(C3CCCCC3)s2)no1 ZINC000084017495 1119525563 /nfs/dbraw/zinc/52/55/63/1119525563.db2.gz NCQHMNQERAGUAV-UHFFFAOYSA-N 1 2 291.420 3.777 20 0 CHADLO C[C@H]([NH2+]Cc1ncccc1F)c1cc2ccccc2o1 ZINC000090721317 1119549127 /nfs/dbraw/zinc/54/91/27/1119549127.db2.gz KWJDXTFBHCDDOX-NSHDSACASA-N 1 2 270.307 3.818 20 0 CHADLO CO[C@H](C[N@H+](C)[C@@H](CCc1ccccc1)C(F)F)C1CC1 ZINC000626136862 1119562144 /nfs/dbraw/zinc/56/21/44/1119562144.db2.gz LENPRICZWUCHQU-JKSUJKDBSA-N 1 2 297.389 3.610 20 0 CHADLO CO[C@H](C[N@@H+](C)[C@@H](CCc1ccccc1)C(F)F)C1CC1 ZINC000626136862 1119562146 /nfs/dbraw/zinc/56/21/46/1119562146.db2.gz LENPRICZWUCHQU-JKSUJKDBSA-N 1 2 297.389 3.610 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000093227473 1119571063 /nfs/dbraw/zinc/57/10/63/1119571063.db2.gz UCEITJNENSHRQX-LLVKDONJSA-N 1 2 285.322 3.555 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000093227473 1119571066 /nfs/dbraw/zinc/57/10/66/1119571066.db2.gz UCEITJNENSHRQX-LLVKDONJSA-N 1 2 285.322 3.555 20 0 CHADLO CCOc1ccc(C[N@@H+]2Cc3ccc(O)cc3C2)c(C)c1 ZINC000628419132 1128857903 /nfs/dbraw/zinc/85/79/03/1128857903.db2.gz NFJDNLGVZWOTQP-UHFFFAOYSA-N 1 2 283.371 3.615 20 0 CHADLO CCOc1ccc(C[N@H+]2Cc3ccc(O)cc3C2)c(C)c1 ZINC000628419132 1128857909 /nfs/dbraw/zinc/85/79/09/1128857909.db2.gz NFJDNLGVZWOTQP-UHFFFAOYSA-N 1 2 283.371 3.615 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccc(OCC3CC3)cc1)C2 ZINC000628419054 1128858010 /nfs/dbraw/zinc/85/80/10/1128858010.db2.gz JVYPTWHHTHDYKF-UHFFFAOYSA-N 1 2 295.382 3.697 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccc(OCC3CC3)cc1)C2 ZINC000628419054 1128858018 /nfs/dbraw/zinc/85/80/18/1128858018.db2.gz JVYPTWHHTHDYKF-UHFFFAOYSA-N 1 2 295.382 3.697 20 0 CHADLO c1cc(C[NH2+]Cc2cccc(Oc3ccccc3)c2)no1 ZINC000093305785 1119616903 /nfs/dbraw/zinc/61/69/03/1119616903.db2.gz PQUNKPJOZCOXLP-UHFFFAOYSA-N 1 2 280.327 3.757 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)c1 ZINC000628430675 1128860431 /nfs/dbraw/zinc/86/04/31/1128860431.db2.gz FFZQUTKLSZRFQG-QWHCGFSZSA-N 1 2 285.391 3.741 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)c1 ZINC000628430675 1128860434 /nfs/dbraw/zinc/86/04/34/1128860434.db2.gz FFZQUTKLSZRFQG-QWHCGFSZSA-N 1 2 285.391 3.741 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@H]2CCc3[nH+]ccn3C2)c1 ZINC000628430828 1128861039 /nfs/dbraw/zinc/86/10/39/1128861039.db2.gz IGICQNMJRZVAOY-HIFRSBDPSA-N 1 2 297.402 3.598 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1cc2ccncc2s1 ZINC000658023117 1119668442 /nfs/dbraw/zinc/66/84/42/1119668442.db2.gz KJARIKJKPYKZGB-MRVPVSSYSA-N 1 2 256.321 3.602 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)n1 ZINC000628236054 1119670684 /nfs/dbraw/zinc/67/06/84/1119670684.db2.gz OEIBNJFILROVKY-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)n1 ZINC000628236054 1119670687 /nfs/dbraw/zinc/67/06/87/1119670687.db2.gz OEIBNJFILROVKY-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc2cnccc2o1)c1cscn1 ZINC000658153326 1119691696 /nfs/dbraw/zinc/69/16/96/1119691696.db2.gz UPORPVNTUNMUAR-UWVGGRQHSA-N 1 2 273.361 3.696 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@@H+]1CCO[C@H](CCF)C1 ZINC000628458398 1128862888 /nfs/dbraw/zinc/86/28/88/1128862888.db2.gz PJOVIEBEZPGLOH-CQSZACIVSA-N 1 2 285.790 3.517 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@H+]1CCO[C@H](CCF)C1 ZINC000628458398 1128862892 /nfs/dbraw/zinc/86/28/92/1128862892.db2.gz PJOVIEBEZPGLOH-CQSZACIVSA-N 1 2 285.790 3.517 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc(OC)c(C)c1)C2 ZINC000628462356 1128863824 /nfs/dbraw/zinc/86/38/24/1128863824.db2.gz PYTRUIJWFGAQFZ-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc(OC)c(C)c1)C2 ZINC000628462356 1128863828 /nfs/dbraw/zinc/86/38/28/1128863828.db2.gz PYTRUIJWFGAQFZ-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO CCOc1cc(Nc2ccc(OCOC)cc2)cc(C)[nH+]1 ZINC001211633110 1119768261 /nfs/dbraw/zinc/76/82/61/1119768261.db2.gz MVAJSTDVFQLKET-UHFFFAOYSA-N 1 2 288.347 3.515 20 0 CHADLO CCC(CC)c1noc([C@@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923881360 1119799350 /nfs/dbraw/zinc/79/93/50/1119799350.db2.gz GSPWBYKKSCFSTJ-CYBMUJFWSA-N 1 2 276.384 3.805 20 0 CHADLO c1nc(CNc2cccc[nH+]2)sc1-c1ccccc1 ZINC000092372428 1119801503 /nfs/dbraw/zinc/80/15/03/1119801503.db2.gz FVIYQZDSPLVAGP-UHFFFAOYSA-N 1 2 267.357 3.817 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@@H](c1ccccc1F)C(C)C ZINC000926693703 1119818900 /nfs/dbraw/zinc/81/89/00/1119818900.db2.gz OIAAACFTEGYENB-QMTHXVAHSA-N 1 2 277.343 3.565 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@H]1CCCc2oc(C)nc21 ZINC000924559469 1119833976 /nfs/dbraw/zinc/83/39/76/1119833976.db2.gz RENBSLZLFWDCEH-RISCZKNCSA-N 1 2 286.375 3.720 20 0 CHADLO COCOc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001211637307 1119851321 /nfs/dbraw/zinc/85/13/21/1119851321.db2.gz GJUPZYUHCFQTCV-UHFFFAOYSA-N 1 2 295.342 3.599 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@@H]1CC2CCC1CC2 ZINC000431800134 1119904085 /nfs/dbraw/zinc/90/40/85/1119904085.db2.gz KNEDGUAHCNBQMM-MQBCKMQZSA-N 1 2 295.386 3.637 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1coc2ccccc12 ZINC001140786564 1119912068 /nfs/dbraw/zinc/91/20/68/1119912068.db2.gz FYEWPWXAJAUURL-UHFFFAOYSA-N 1 2 289.375 3.596 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1coc2ccccc12 ZINC001140786564 1119912072 /nfs/dbraw/zinc/91/20/72/1119912072.db2.gz FYEWPWXAJAUURL-UHFFFAOYSA-N 1 2 289.375 3.596 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)C(C)(F)F)c(C)c1 ZINC000414152830 1119918738 /nfs/dbraw/zinc/91/87/38/1119918738.db2.gz XJKCVRWGTDGTBO-WDEREUQCSA-N 1 2 257.324 3.698 20 0 CHADLO CN(C)c1ccc([NH2+][C@H]2CCCC23CCOCC3)cc1 ZINC000282488713 1119921041 /nfs/dbraw/zinc/92/10/41/1119921041.db2.gz HPNGOVOZUJYESK-INIZCTEOSA-N 1 2 274.408 3.514 20 0 CHADLO CCCCc1nc(C[N@H+](C)[C@H]2CC[C@H](SCC)C2)no1 ZINC000174349627 1119929772 /nfs/dbraw/zinc/92/97/72/1119929772.db2.gz XPTBSSOOZIRKDN-STQMWFEESA-N 1 2 297.468 3.518 20 0 CHADLO CCCCc1nc(C[N@@H+](C)[C@H]2CC[C@H](SCC)C2)no1 ZINC000174349627 1119929775 /nfs/dbraw/zinc/92/97/75/1119929775.db2.gz XPTBSSOOZIRKDN-STQMWFEESA-N 1 2 297.468 3.518 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1cccc(Cl)n1 ZINC000780385902 1119944562 /nfs/dbraw/zinc/94/45/62/1119944562.db2.gz VRYJVWBULFRYMF-ZJUUUORDSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1cccc(Cl)n1 ZINC000780385902 1119944564 /nfs/dbraw/zinc/94/45/64/1119944564.db2.gz VRYJVWBULFRYMF-ZJUUUORDSA-N 1 2 292.732 3.898 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ncc(C)cc3C2)c(Cl)c1 ZINC001140800197 1119950316 /nfs/dbraw/zinc/95/03/16/1119950316.db2.gz YVSASBWCJVLCFC-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ncc(C)cc3C2)c(Cl)c1 ZINC001140800197 1119950319 /nfs/dbraw/zinc/95/03/19/1119950319.db2.gz YVSASBWCJVLCFC-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@@H]2[NH2+][C@H](C)c1csnn1 ZINC000414290669 1119969102 /nfs/dbraw/zinc/96/91/02/1119969102.db2.gz AHKWVCBLVIJSHS-WZRBSPASSA-N 1 2 273.405 3.746 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C(F)F)c2ccccc12)c1ncco1 ZINC000926766012 1119977661 /nfs/dbraw/zinc/97/76/61/1119977661.db2.gz OBLXTLHDYHGJDH-JTQLQIEISA-N 1 2 291.301 3.875 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+][C@@H]1C[C@H]1c1ccco1 ZINC000414352779 1119995494 /nfs/dbraw/zinc/99/54/94/1119995494.db2.gz UQSGRHVVDXCDAO-RBSFLKMASA-N 1 2 291.341 3.992 20 0 CHADLO c1cn(C2CC2)c(C[NH2+]Cc2ccc(-c3ccccc3)o2)n1 ZINC000892633431 1119998166 /nfs/dbraw/zinc/99/81/66/1119998166.db2.gz VRYNZLCRXJPSBR-UHFFFAOYSA-N 1 2 293.370 3.768 20 0 CHADLO Cn1c[nH+]c2ccc(NCc3nccc4ccccc43)cc21 ZINC001167703023 1120010338 /nfs/dbraw/zinc/01/03/38/1120010338.db2.gz DQMVLPQRROGWCD-UHFFFAOYSA-N 1 2 288.354 3.734 20 0 CHADLO CCOc1ccccc1-c1c[nH+]c(N(C)C)cc1C ZINC001239558676 1120016826 /nfs/dbraw/zinc/01/68/26/1120016826.db2.gz GAVAYMUMQVTIAS-UHFFFAOYSA-N 1 2 256.349 3.522 20 0 CHADLO CN(CC1CC1)c1cc[nH+]c2c(Br)cccc12 ZINC000588161122 1120045748 /nfs/dbraw/zinc/04/57/48/1120045748.db2.gz DQIUZNNEPQDNAL-UHFFFAOYSA-N 1 2 291.192 3.844 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc(C)[nH+]c2C)c(OC)c1 ZINC000094664335 1120054081 /nfs/dbraw/zinc/05/40/81/1120054081.db2.gz SWILNLXIFNQVPL-LBPRGKRZSA-N 1 2 286.375 3.889 20 0 CHADLO CC[N@H+](CCC[C@H]1CCOC1)c1ccc(C)cc1C ZINC001167709347 1120056708 /nfs/dbraw/zinc/05/67/08/1120056708.db2.gz WZJYVVBLJPFBEW-INIZCTEOSA-N 1 2 261.409 3.946 20 0 CHADLO CC[N@@H+](CCC[C@H]1CCOC1)c1ccc(C)cc1C ZINC001167709347 1120056713 /nfs/dbraw/zinc/05/67/13/1120056713.db2.gz WZJYVVBLJPFBEW-INIZCTEOSA-N 1 2 261.409 3.946 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccc(Br)s1 ZINC000380201519 1120069524 /nfs/dbraw/zinc/06/95/24/1120069524.db2.gz UDAMDHHXIFCEQD-QMMMGPOBSA-N 1 2 296.180 3.788 20 0 CHADLO C[C@H]1C[N@H+](Cc2scnc2Cl)Cc2ccccc21 ZINC001137817194 1120073130 /nfs/dbraw/zinc/07/31/30/1120073130.db2.gz HCXWPPDMPCLJDF-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1C[N@@H+](Cc2scnc2Cl)Cc2ccccc21 ZINC001137817194 1120073136 /nfs/dbraw/zinc/07/31/36/1120073136.db2.gz HCXWPPDMPCLJDF-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO CC(C)n1cc2c(n1)[C@H]([NH2+][C@@H](C)c1ccco1)CCC2 ZINC000765819181 1120075844 /nfs/dbraw/zinc/07/58/44/1120075844.db2.gz QSZNITZQQHFMJJ-GXTWGEPZSA-N 1 2 273.380 3.785 20 0 CHADLO COCOc1ccc(-c2cccn3cc[nH+]c23)cc1Cl ZINC001205022875 1120077107 /nfs/dbraw/zinc/07/71/07/1120077107.db2.gz MZGASIHSNWJJKL-UHFFFAOYSA-N 1 2 288.734 3.637 20 0 CHADLO Cc1cnc([C@@H](Nc2[nH+]c3ccccc3n2C)C2CC2)s1 ZINC000178812927 1120085150 /nfs/dbraw/zinc/08/51/50/1120085150.db2.gz YHYTXTMSLAHQCP-AWEZNQCLSA-N 1 2 298.415 3.901 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)cc1Cl)c1cccc(O)c1 ZINC000180247749 1120097370 /nfs/dbraw/zinc/09/73/70/1120097370.db2.gz KGARHMPSEHYPDJ-VIFPVBQESA-N 1 2 297.185 3.945 20 0 CHADLO CCCc1ccc(CNc2ccc(N(C)C)c[nH+]2)cc1 ZINC001168634912 1120111182 /nfs/dbraw/zinc/11/11/82/1120111182.db2.gz AGGKTRUVFXYLBY-UHFFFAOYSA-N 1 2 269.392 3.712 20 0 CHADLO C[N@@H+](Cc1nnc(C(F)(F)F)s1)CC1CCCCC1 ZINC000659810807 1120115499 /nfs/dbraw/zinc/11/54/99/1120115499.db2.gz BIAUZBGRCMQZAS-UHFFFAOYSA-N 1 2 293.358 3.569 20 0 CHADLO C[N@H+](Cc1nnc(C(F)(F)F)s1)CC1CCCCC1 ZINC000659810807 1120115501 /nfs/dbraw/zinc/11/55/01/1120115501.db2.gz BIAUZBGRCMQZAS-UHFFFAOYSA-N 1 2 293.358 3.569 20 0 CHADLO Cc1csc([C@@H]([NH2+]C/C(Cl)=C/Cl)C2CC2)n1 ZINC000183495055 1120137069 /nfs/dbraw/zinc/13/70/69/1120137069.db2.gz DJASKJPSNHSQDJ-FWAPLPHYSA-N 1 2 277.220 3.811 20 0 CHADLO Clc1cccc(C[N@@H+]2CCOc3ccccc3C2)c1 ZINC000108093949 1120139872 /nfs/dbraw/zinc/13/98/72/1120139872.db2.gz BNWCFWDTVBNKFL-UHFFFAOYSA-N 1 2 273.763 3.735 20 0 CHADLO Clc1cccc(C[N@H+]2CCOc3ccccc3C2)c1 ZINC000108093949 1120139875 /nfs/dbraw/zinc/13/98/75/1120139875.db2.gz BNWCFWDTVBNKFL-UHFFFAOYSA-N 1 2 273.763 3.735 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(-c2ccco2)cc1F)c1ncc[nH]1 ZINC000353599169 1120145116 /nfs/dbraw/zinc/14/51/16/1120145116.db2.gz WLZFXFWXIIUTML-NSHDSACASA-N 1 2 285.322 3.660 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@@H](C)c1ccc(Cl)s1 ZINC000924610651 1120163966 /nfs/dbraw/zinc/16/39/66/1120163966.db2.gz SHHOJQZNKHXFTO-NKWVEPMBSA-N 1 2 271.773 3.505 20 0 CHADLO CCc1ccc([C@H]2COCC[N@@H+]2Cc2ccccc2C)o1 ZINC000303755019 1120182163 /nfs/dbraw/zinc/18/21/63/1120182163.db2.gz JDVBWGPVHYDYIZ-QGZVFWFLSA-N 1 2 285.387 3.724 20 0 CHADLO CCc1ccc([C@H]2COCC[N@H+]2Cc2ccccc2C)o1 ZINC000303755019 1120182165 /nfs/dbraw/zinc/18/21/65/1120182165.db2.gz JDVBWGPVHYDYIZ-QGZVFWFLSA-N 1 2 285.387 3.724 20 0 CHADLO C[N@H+](Cc1cscc1Cl)Cc1ccc(O)cc1 ZINC000685462520 1120191230 /nfs/dbraw/zinc/19/12/30/1120191230.db2.gz DQUZFPQLUQLYNW-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO C[N@@H+](Cc1cscc1Cl)Cc1ccc(O)cc1 ZINC000685462520 1120191232 /nfs/dbraw/zinc/19/12/32/1120191232.db2.gz DQUZFPQLUQLYNW-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO Nc1ccc(Cl)cc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001212435526 1120196382 /nfs/dbraw/zinc/19/63/82/1120196382.db2.gz DCJPQMLRRQNELD-UHFFFAOYSA-N 1 2 284.750 3.852 20 0 CHADLO CCC[N@H+](Cc1coc(C)n1)Cc1ccc(F)cc1 ZINC000659859648 1120200770 /nfs/dbraw/zinc/20/07/70/1120200770.db2.gz LPICUHJFHJFGLW-UHFFFAOYSA-N 1 2 262.328 3.534 20 0 CHADLO CCC[N@@H+](Cc1coc(C)n1)Cc1ccc(F)cc1 ZINC000659859648 1120200773 /nfs/dbraw/zinc/20/07/73/1120200773.db2.gz LPICUHJFHJFGLW-UHFFFAOYSA-N 1 2 262.328 3.534 20 0 CHADLO c1cc(-c2ccccc2)oc1C[NH2+]Cc1cscn1 ZINC000060526784 1120201500 /nfs/dbraw/zinc/20/15/00/1120201500.db2.gz VJJFYADKHANYDT-UHFFFAOYSA-N 1 2 270.357 3.693 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2cc(O)cc(Cl)c2)n1 ZINC000893153096 1120240041 /nfs/dbraw/zinc/24/00/41/1120240041.db2.gz LAAOYCKJZBAFJY-UHFFFAOYSA-N 1 2 296.823 3.915 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1ccc(Cl)cc1C(F)(F)F ZINC001143503196 1120246807 /nfs/dbraw/zinc/24/68/07/1120246807.db2.gz NHPPHXKOTCDOSJ-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1ccc(Cl)cc1C(F)(F)F ZINC001143503196 1120246809 /nfs/dbraw/zinc/24/68/09/1120246809.db2.gz NHPPHXKOTCDOSJ-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+]Cc1cc(C)cnc1Cl)CO2 ZINC000921850764 1120249114 /nfs/dbraw/zinc/24/91/14/1120249114.db2.gz HEFIPBMMPBWCKO-AWEZNQCLSA-N 1 2 288.778 3.575 20 0 CHADLO CCCOc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC000672167276 1120249120 /nfs/dbraw/zinc/24/91/20/1120249120.db2.gz OXFFROWKDDMDFK-UHFFFAOYSA-N 1 2 281.359 3.964 20 0 CHADLO c1cc2cc(NCc3ccccc3OCC3CC3)[nH+]cc2[nH]1 ZINC000672220100 1120256529 /nfs/dbraw/zinc/25/65/29/1120256529.db2.gz KWIFFWWXJJSCEJ-UHFFFAOYSA-N 1 2 293.370 3.964 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1ccc([C@H](C)C(=O)OC)c[nH+]1 ZINC001168689475 1120256675 /nfs/dbraw/zinc/25/66/75/1120256675.db2.gz HYZJJGORGCUQRH-XQQFMLRXSA-N 1 2 278.396 3.595 20 0 CHADLO C[NH+](C)c1ccc(NCc2nccc3c2CCCC3)cc1 ZINC000921862583 1120257085 /nfs/dbraw/zinc/25/70/85/1120257085.db2.gz JMGFDRBAQRRUDV-UHFFFAOYSA-N 1 2 281.403 3.639 20 0 CHADLO Fc1c(Cl)cccc1CNc1cc2cc[nH]c2c[nH+]1 ZINC000672225112 1120258056 /nfs/dbraw/zinc/25/80/56/1120258056.db2.gz UOYFNDHVMUMALE-UHFFFAOYSA-N 1 2 275.714 3.968 20 0 CHADLO CC(C)CC[N@H+](CCC#N)Cc1cccc(Cl)c1O ZINC000180059391 1120262861 /nfs/dbraw/zinc/26/28/61/1120262861.db2.gz FUTCHZATQFGYGK-UHFFFAOYSA-N 1 2 280.799 3.807 20 0 CHADLO CC(C)CC[N@@H+](CCC#N)Cc1cccc(Cl)c1O ZINC000180059391 1120262864 /nfs/dbraw/zinc/26/28/64/1120262864.db2.gz FUTCHZATQFGYGK-UHFFFAOYSA-N 1 2 280.799 3.807 20 0 CHADLO Oc1ccc([C@@H]2CCC[N@@H+]2C/C(Cl)=C\Cl)cc1 ZINC000763499914 1128904483 /nfs/dbraw/zinc/90/44/83/1128904483.db2.gz JGELWRKLLORGHZ-YKWSONSWSA-N 1 2 272.175 3.848 20 0 CHADLO Oc1ccc([C@@H]2CCC[N@H+]2C/C(Cl)=C\Cl)cc1 ZINC000763499914 1128904485 /nfs/dbraw/zinc/90/44/85/1128904485.db2.gz JGELWRKLLORGHZ-YKWSONSWSA-N 1 2 272.175 3.848 20 0 CHADLO COc1cc(C)cc(C[NH2+]Cc2nc(C(C)(C)C)co2)c1 ZINC000921925725 1120283774 /nfs/dbraw/zinc/28/37/74/1120283774.db2.gz XDOBRWCDSQSDQR-UHFFFAOYSA-N 1 2 288.391 3.579 20 0 CHADLO Cc1cc(C)c(NC(=O)CO[C@@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC000112568973 1120287436 /nfs/dbraw/zinc/28/74/36/1120287436.db2.gz JKSLXZPYGPOOPS-IAQYHMDHSA-N 1 2 290.407 3.541 20 0 CHADLO Cc1ccccc1[C@@H](C(C)C)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC000355987729 1120293071 /nfs/dbraw/zinc/29/30/71/1120293071.db2.gz IPRUWSMTTWNPMO-GOSISDBHSA-N 1 2 299.418 3.506 20 0 CHADLO Cc1ccccc1[C@@H](C(C)C)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC000355987729 1120293075 /nfs/dbraw/zinc/29/30/75/1120293075.db2.gz IPRUWSMTTWNPMO-GOSISDBHSA-N 1 2 299.418 3.506 20 0 CHADLO C[C@@H]1c2ccc(F)cc2CC[N@H+]1Cc1cc(C2CC2)no1 ZINC000659944508 1120300503 /nfs/dbraw/zinc/30/05/03/1120300503.db2.gz LGMXTOAVTQXRRS-LLVKDONJSA-N 1 2 286.350 3.810 20 0 CHADLO C[C@@H]1c2ccc(F)cc2CC[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659944508 1120300505 /nfs/dbraw/zinc/30/05/05/1120300505.db2.gz LGMXTOAVTQXRRS-LLVKDONJSA-N 1 2 286.350 3.810 20 0 CHADLO FC(F)Oc1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240217709 1120301926 /nfs/dbraw/zinc/30/19/26/1120301926.db2.gz MZAXTBCZPPFFTM-UHFFFAOYSA-N 1 2 260.243 3.603 20 0 CHADLO Cc1cc(C[N@H+](C)C[C@H]2CCC3(CCCCCC3)O2)no1 ZINC000929258815 1120304171 /nfs/dbraw/zinc/30/41/71/1120304171.db2.gz GZJPPZFYGHIMOG-MRXNPFEDSA-N 1 2 292.423 3.687 20 0 CHADLO Cc1cc(C[N@@H+](C)C[C@H]2CCC3(CCCCCC3)O2)no1 ZINC000929258815 1120304177 /nfs/dbraw/zinc/30/41/77/1120304177.db2.gz GZJPPZFYGHIMOG-MRXNPFEDSA-N 1 2 292.423 3.687 20 0 CHADLO Cc1cccc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)c1Cl ZINC001236851503 1128907378 /nfs/dbraw/zinc/90/73/78/1128907378.db2.gz LIHGOEFRDZRQHD-TZMCWYRMSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cccc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)c1Cl ZINC001236851503 1128907381 /nfs/dbraw/zinc/90/73/81/1128907381.db2.gz LIHGOEFRDZRQHD-TZMCWYRMSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cc(C)sc2C)o1 ZINC000182989118 1120333222 /nfs/dbraw/zinc/33/32/22/1120333222.db2.gz LBUFHWVIDVKWCF-SNVBAGLBSA-N 1 2 250.367 3.512 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCC1(F)F)CCC2 ZINC000672622221 1120336432 /nfs/dbraw/zinc/33/64/32/1120336432.db2.gz CTWZJNVZUIDPQB-KBPBESRZSA-N 1 2 269.310 3.981 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1cccnc1 ZINC000672622187 1120336635 /nfs/dbraw/zinc/33/66/35/1120336635.db2.gz BNYCTRHXJBGRDD-CHWSQXEVSA-N 1 2 254.324 3.556 20 0 CHADLO Cc1nc2c(s1)[C@H]([NH2+][C@H]1CCCC1(F)F)CCC2 ZINC000672622843 1120337865 /nfs/dbraw/zinc/33/78/65/1120337865.db2.gz VLKHOEBQFGTWHL-MNOVXSKESA-N 1 2 272.364 3.606 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(F)cc3)CCC2)c(C)o1 ZINC000660056707 1120354494 /nfs/dbraw/zinc/35/44/94/1120354494.db2.gz PSQHZYXKEQWYNB-UHFFFAOYSA-N 1 2 274.339 3.600 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H](OC(F)F)C2)c(Cl)c1 ZINC000679682393 1120355274 /nfs/dbraw/zinc/35/52/74/1120355274.db2.gz WHHSWQQMFDHDLE-NSHDSACASA-N 1 2 293.716 3.683 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H](OC(F)F)C2)c(Cl)c1 ZINC000679682393 1120355277 /nfs/dbraw/zinc/35/52/77/1120355277.db2.gz WHHSWQQMFDHDLE-NSHDSACASA-N 1 2 293.716 3.683 20 0 CHADLO Cc1ccc(Cn2ccc(-c3ccsc3)n2)c(C)[nH+]1 ZINC000358698746 1120357038 /nfs/dbraw/zinc/35/70/38/1120357038.db2.gz WZMAHBNZRKDVPJ-UHFFFAOYSA-N 1 2 269.373 3.672 20 0 CHADLO Clc1cccc([C@H]2CC[N@H+](Cc3cocn3)C2)c1Cl ZINC001141129463 1120374929 /nfs/dbraw/zinc/37/49/29/1120374929.db2.gz JCJZAECKCPLLCA-JTQLQIEISA-N 1 2 297.185 3.971 20 0 CHADLO Clc1cccc([C@H]2CC[N@@H+](Cc3cocn3)C2)c1Cl ZINC001141129463 1120374935 /nfs/dbraw/zinc/37/49/35/1120374935.db2.gz JCJZAECKCPLLCA-JTQLQIEISA-N 1 2 297.185 3.971 20 0 CHADLO COc1c(F)ccc(Nc2[nH+]c3ccccc3n2C)c1F ZINC001212492971 1120382775 /nfs/dbraw/zinc/38/27/75/1120382775.db2.gz PABXUNQMCVRTLY-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1nsc2ccccc12 ZINC000660140125 1120394487 /nfs/dbraw/zinc/39/44/87/1120394487.db2.gz JRKBNFZUYVCITM-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1nsc2ccccc12 ZINC000660140125 1120394488 /nfs/dbraw/zinc/39/44/88/1120394488.db2.gz JRKBNFZUYVCITM-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2Cc3ccccc3[C@H]2C)cc1 ZINC000543061524 1120394768 /nfs/dbraw/zinc/39/47/68/1120394768.db2.gz YTHLIXJRLZGJOG-CQSZACIVSA-N 1 2 280.371 3.510 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2Cc3ccccc3[C@H]2C)cc1 ZINC000543061524 1120394769 /nfs/dbraw/zinc/39/47/69/1120394769.db2.gz YTHLIXJRLZGJOG-CQSZACIVSA-N 1 2 280.371 3.510 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc3n(n2)CCCC3)[C@@H](c2ccco2)C1 ZINC000649546664 1128914382 /nfs/dbraw/zinc/91/43/82/1128914382.db2.gz XOCNHPAOGVVNQG-RHSMWYFYSA-N 1 2 299.418 3.786 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc3n(n2)CCCC3)[C@@H](c2ccco2)C1 ZINC000649546664 1128914384 /nfs/dbraw/zinc/91/43/84/1128914384.db2.gz XOCNHPAOGVVNQG-RHSMWYFYSA-N 1 2 299.418 3.786 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2OC(C)C)c(C)o1 ZINC000660183094 1120421716 /nfs/dbraw/zinc/42/17/16/1120421716.db2.gz ZCOQJQSHUDLRTI-GFCCVEGCSA-N 1 2 288.391 3.929 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1[nH]nc3ccccc31)C2 ZINC000683000356 1120433326 /nfs/dbraw/zinc/43/33/26/1120433326.db2.gz WEMBNCKLKHEJOD-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1[nH]nc3ccccc31)C2 ZINC000683000356 1120433330 /nfs/dbraw/zinc/43/33/30/1120433330.db2.gz WEMBNCKLKHEJOD-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC3(CC3)C2)c(F)c1Cl ZINC001143616119 1120459923 /nfs/dbraw/zinc/45/99/23/1120459923.db2.gz NJYURIKITRKBDD-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Fc1ccc(C[N@H+]2CCC3(CC3)C2)c(F)c1Cl ZINC001143616119 1120459926 /nfs/dbraw/zinc/45/99/26/1120459926.db2.gz NJYURIKITRKBDD-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1ccc(F)c(Cl)c1F ZINC001143616985 1120474434 /nfs/dbraw/zinc/47/44/34/1120474434.db2.gz ZCBVGPFIQYDVTB-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1ccc(F)c(Cl)c1F ZINC001143616985 1120474439 /nfs/dbraw/zinc/47/44/39/1120474439.db2.gz ZCBVGPFIQYDVTB-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO CCc1cccc(C[NH2+]Cc2nc(C(C)(C)C)co2)c1 ZINC000922222677 1120477115 /nfs/dbraw/zinc/47/71/15/1120477115.db2.gz RDWSVSNYOLNOMY-UHFFFAOYSA-N 1 2 272.392 3.824 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H]2CCc3ccc(F)cc32)s1 ZINC000358291971 1120477889 /nfs/dbraw/zinc/47/78/89/1120477889.db2.gz VLEPJUMAEWOBDX-CYBMUJFWSA-N 1 2 291.395 3.578 20 0 CHADLO Fc1ccc(C[N@@H+]2CCSC[C@H]2c2ccccc2)cn1 ZINC000189293428 1120478675 /nfs/dbraw/zinc/47/86/75/1120478675.db2.gz DPMCBDJCNRTCSW-HNNXBMFYSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ccc(C[N@H+]2CCSC[C@H]2c2ccccc2)cn1 ZINC000189293428 1120478677 /nfs/dbraw/zinc/47/86/77/1120478677.db2.gz DPMCBDJCNRTCSW-HNNXBMFYSA-N 1 2 288.391 3.511 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1OC1CCCC1 ZINC000417828515 1120483792 /nfs/dbraw/zinc/48/37/92/1120483792.db2.gz QHAVURBMMQTHOK-UHFFFAOYSA-N 1 2 285.391 3.837 20 0 CHADLO CCCCOc1cccc(NCc2c[nH+]cn2CC)c1 ZINC000417817506 1120484392 /nfs/dbraw/zinc/48/43/92/1120484392.db2.gz VWIMQSPNFHFYFG-UHFFFAOYSA-N 1 2 273.380 3.694 20 0 CHADLO C[C@@H](OCCn1cc[nH+]c1)c1cc(Cl)ccc1Cl ZINC001222139455 1120492537 /nfs/dbraw/zinc/49/25/37/1120492537.db2.gz SNKXNUFBRPFRTB-SNVBAGLBSA-N 1 2 285.174 3.968 20 0 CHADLO CC(C)(C)[C@H]1CC[C@@H](OCCn2cc[nH+]c2)CC1 ZINC001222139181 1120493150 /nfs/dbraw/zinc/49/31/50/1120493150.db2.gz PWKUXJYFDSBJDP-OKILXGFUSA-N 1 2 250.386 3.505 20 0 CHADLO C[C@@H]1CC[N@H+](CCCCC2CCOCC2)CC1(F)F ZINC000499734796 1120496218 /nfs/dbraw/zinc/49/62/18/1120496218.db2.gz OEEUUFCWORHOOD-CYBMUJFWSA-N 1 2 275.383 3.560 20 0 CHADLO C[C@@H]1CC[N@@H+](CCCCC2CCOCC2)CC1(F)F ZINC000499734796 1120496221 /nfs/dbraw/zinc/49/62/21/1120496221.db2.gz OEEUUFCWORHOOD-CYBMUJFWSA-N 1 2 275.383 3.560 20 0 CHADLO C[N@@H+](Cc1noc(Cc2ccccc2)n1)CC1CCCCC1 ZINC000069220189 1120496523 /nfs/dbraw/zinc/49/65/23/1120496523.db2.gz PRQLQADVQYTWHI-UHFFFAOYSA-N 1 2 299.418 3.673 20 0 CHADLO C[N@H+](Cc1noc(Cc2ccccc2)n1)CC1CCCCC1 ZINC000069220189 1120496529 /nfs/dbraw/zinc/49/65/29/1120496529.db2.gz PRQLQADVQYTWHI-UHFFFAOYSA-N 1 2 299.418 3.673 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1ccc(Cl)c(C)c1)CC2 ZINC001141237571 1120506234 /nfs/dbraw/zinc/50/62/34/1120506234.db2.gz UFPPCGFDDHUNHM-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1ccc(Cl)c(C)c1)CC2 ZINC001141237571 1120506241 /nfs/dbraw/zinc/50/62/41/1120506241.db2.gz UFPPCGFDDHUNHM-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO CCc1[nH]nc(Cl)c1C[NH2+]Cc1ccc(C(C)(C)C)o1 ZINC000922318845 1120508776 /nfs/dbraw/zinc/50/87/76/1120508776.db2.gz SLLWQMBXUCPWFR-UHFFFAOYSA-N 1 2 295.814 3.806 20 0 CHADLO Cc1cc(C)c(Nc2cn(C)c3ccccc23)c[nH+]1 ZINC001203415713 1120540360 /nfs/dbraw/zinc/54/03/60/1120540360.db2.gz KJEZTUXTVSKNOB-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO CNc1ccc([NH2+]C[C@@H]2C[C@H]2c2ccccc2)cc1 ZINC000629653157 1128923379 /nfs/dbraw/zinc/92/33/79/1128923379.db2.gz GMJYXYHQMVRIRG-YOEHRIQHSA-N 1 2 252.361 3.944 20 0 CHADLO CC(C)C[C@@H](C(=O)OCc1ccc[nH+]c1N)c1ccccc1 ZINC000922480665 1120541974 /nfs/dbraw/zinc/54/19/74/1120541974.db2.gz YTEUGHRXQDMEFM-MRXNPFEDSA-N 1 2 298.386 3.537 20 0 CHADLO Cc1sccc1C[NH2+][C@H]1c2ccccc2OC[C@@H]1F ZINC000922521463 1120546960 /nfs/dbraw/zinc/54/69/60/1120546960.db2.gz KBQIHJYCYXNGEX-ZFWWWQNUSA-N 1 2 277.364 3.618 20 0 CHADLO CCCc1cc(NCCC(F)(F)F)nc(CCC)[nH+]1 ZINC001161823210 1120548110 /nfs/dbraw/zinc/54/81/10/1120548110.db2.gz MOTRKSIEQVQNRT-UHFFFAOYSA-N 1 2 275.318 3.746 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H]2CCCC2(F)F)cc1F ZINC000398016620 1120556012 /nfs/dbraw/zinc/55/60/12/1120556012.db2.gz XDQOSZPISRADNL-ZANVPECISA-N 1 2 273.298 3.673 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cnn(C4CCCC4)c3)n2c1 ZINC000922625021 1120568202 /nfs/dbraw/zinc/56/82/02/1120568202.db2.gz GZGAFFPXJQBAJI-UHFFFAOYSA-N 1 2 295.390 3.566 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(-n2ccnc2)cc1 ZINC000398108962 1120569048 /nfs/dbraw/zinc/56/90/48/1120569048.db2.gz NGIKFLRMLAJOLX-SWLSCSKDSA-N 1 2 291.345 3.711 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(-n2ccnc2)cc1 ZINC000398108964 1120569065 /nfs/dbraw/zinc/56/90/65/1120569065.db2.gz NGIKFLRMLAJOLX-WFASDCNBSA-N 1 2 291.345 3.711 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(OC)c(OC)c1 ZINC000398121893 1120571445 /nfs/dbraw/zinc/57/14/45/1120571445.db2.gz QPXCIBXTXNVSMO-DOMZBBRYSA-N 1 2 299.361 3.932 20 0 CHADLO COc1cccc(-c2ccc([C@H]3CC(=O)CC[N@@H+]3C)cc2)c1 ZINC000629692340 1128925515 /nfs/dbraw/zinc/92/55/15/1128925515.db2.gz LQJLCLYENHZZOY-LJQANCHMSA-N 1 2 295.382 3.698 20 0 CHADLO COc1cccc(-c2ccc([C@H]3CC(=O)CC[N@H+]3C)cc2)c1 ZINC000629692340 1128925518 /nfs/dbraw/zinc/92/55/18/1128925518.db2.gz LQJLCLYENHZZOY-LJQANCHMSA-N 1 2 295.382 3.698 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]Cc1coc(C2CC2)n1 ZINC000922667521 1120575125 /nfs/dbraw/zinc/57/51/25/1120575125.db2.gz NOXHBFHLWCBTEB-NSHDSACASA-N 1 2 274.339 3.850 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3ccccc3Cl)nn2)c1C ZINC001222428766 1120597377 /nfs/dbraw/zinc/59/73/77/1120597377.db2.gz XXZSBVASBLTZNQ-UHFFFAOYSA-N 1 2 284.750 3.600 20 0 CHADLO Cc1ccc(-c2n[nH]cc2C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000673617884 1120600515 /nfs/dbraw/zinc/60/05/15/1120600515.db2.gz MOLPYYSJDITSND-AWEZNQCLSA-N 1 2 291.345 3.663 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)CCCn1cc[nH+]c1 ZINC000125247761 1120602599 /nfs/dbraw/zinc/60/25/99/1120602599.db2.gz YRLBOOKLFDQSSP-UHFFFAOYSA-N 1 2 285.391 3.734 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cccc(F)c1Cl ZINC000338882284 1120607832 /nfs/dbraw/zinc/60/78/32/1120607832.db2.gz GVWONZOMJQRDMB-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2ccccc2Cl)nn1C ZINC000282408981 1120626086 /nfs/dbraw/zinc/62/60/86/1120626086.db2.gz YDOIYPWCSYKWRI-VXGBXAGGSA-N 1 2 277.799 3.794 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1cc(O)ccc1Cl ZINC000625131585 1120637243 /nfs/dbraw/zinc/63/72/43/1120637243.db2.gz PZQFAXOSQRKVIH-UHFFFAOYSA-N 1 2 281.705 3.820 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1cc(O)ccc1Cl ZINC000625131585 1120637245 /nfs/dbraw/zinc/63/72/45/1120637245.db2.gz PZQFAXOSQRKVIH-UHFFFAOYSA-N 1 2 281.705 3.820 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@@H+]1Cn1ncsc1=S ZINC000124241915 1120653366 /nfs/dbraw/zinc/65/33/66/1120653366.db2.gz VMDBJTZZHFNJEF-ZDUSSCGKSA-N 1 2 291.445 3.777 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@H+]1Cn1ncsc1=S ZINC000124241915 1120653368 /nfs/dbraw/zinc/65/33/68/1120653368.db2.gz VMDBJTZZHFNJEF-ZDUSSCGKSA-N 1 2 291.445 3.777 20 0 CHADLO c1cc2c(o1)CCC[N@@H+](Cc1nsc3ccccc31)C2 ZINC000660562540 1120658675 /nfs/dbraw/zinc/65/86/75/1120658675.db2.gz LZBQQQXOFYXBBO-UHFFFAOYSA-N 1 2 284.384 3.838 20 0 CHADLO c1cc2c(o1)CCC[N@H+](Cc1nsc3ccccc31)C2 ZINC000660562540 1120658678 /nfs/dbraw/zinc/65/86/78/1120658678.db2.gz LZBQQQXOFYXBBO-UHFFFAOYSA-N 1 2 284.384 3.838 20 0 CHADLO Cc1[nH+]c(C(C)(C)C)nc(NC(C2CC2)C2CC2)c1C ZINC001168743718 1120680767 /nfs/dbraw/zinc/68/07/67/1120680767.db2.gz JSPBTIOCQSISAI-UHFFFAOYSA-N 1 2 273.424 3.991 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)N1CCc2[nH+]c[nH]c2C1 ZINC000625435430 1120681183 /nfs/dbraw/zinc/68/11/83/1120681183.db2.gz PGBYXZRCAQCLOD-SECBINFHSA-N 1 2 296.201 3.836 20 0 CHADLO Cc1nnc([C@H](C)[NH+]2CCC(c3ccsc3)CC2)s1 ZINC000282455845 1120682466 /nfs/dbraw/zinc/68/24/66/1120682466.db2.gz JHXKZWAKCIUQFX-JTQLQIEISA-N 1 2 293.461 3.849 20 0 CHADLO C[C@H]1CCC(C)(C)[N@@H+]1Cc1ncsc1Br ZINC000660754737 1120683933 /nfs/dbraw/zinc/68/39/33/1120683933.db2.gz KITFOZPYEQQTAO-QMMMGPOBSA-N 1 2 289.242 3.669 20 0 CHADLO C[C@H]1CCC(C)(C)[N@H+]1Cc1ncsc1Br ZINC000660754737 1120683935 /nfs/dbraw/zinc/68/39/35/1120683935.db2.gz KITFOZPYEQQTAO-QMMMGPOBSA-N 1 2 289.242 3.669 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C)c(C)c2)c(C)o1 ZINC000660754085 1120684500 /nfs/dbraw/zinc/68/45/00/1120684500.db2.gz AKYLQQOTPQGTLG-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C)c(C)c2)c(C)o1 ZINC000660754085 1120684503 /nfs/dbraw/zinc/68/45/03/1120684503.db2.gz AKYLQQOTPQGTLG-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO CNc1ccc(Nc2cc(-c3ccc(C)cc3)no2)c[nH+]1 ZINC001203448654 1120684819 /nfs/dbraw/zinc/68/48/19/1120684819.db2.gz DZBYBQMNJSXURG-UHFFFAOYSA-N 1 2 280.331 3.830 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+]CCC1CCOCC1 ZINC000313167173 1120689159 /nfs/dbraw/zinc/68/91/59/1120689159.db2.gz IRSGVSAOXXKSGZ-UHFFFAOYSA-N 1 2 274.408 3.515 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1NCCC1CCOCC1 ZINC000313167173 1120689165 /nfs/dbraw/zinc/68/91/65/1120689165.db2.gz IRSGVSAOXXKSGZ-UHFFFAOYSA-N 1 2 274.408 3.515 20 0 CHADLO Clc1ccccc1C1([NH2+]Cc2cc(C3CC3)no2)CC1 ZINC000660900134 1120697993 /nfs/dbraw/zinc/69/79/93/1120697993.db2.gz HOHYQKGWYXVSHJ-UHFFFAOYSA-N 1 2 288.778 3.984 20 0 CHADLO CCCOc1ccc(Nc2[nH+]cc(O)cc2C)cc1F ZINC001211663628 1120706340 /nfs/dbraw/zinc/70/63/40/1120706340.db2.gz TWOWMSMEEQFDCN-UHFFFAOYSA-N 1 2 276.311 3.767 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1cccc(-c2ccccc2)c1 ZINC000500434126 1120710163 /nfs/dbraw/zinc/71/01/63/1120710163.db2.gz PVDVBXBFCXTZCV-UHFFFAOYSA-N 1 2 278.355 3.974 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1cccc(-c2ccccc2)c1 ZINC000500434126 1120710166 /nfs/dbraw/zinc/71/01/66/1120710166.db2.gz PVDVBXBFCXTZCV-UHFFFAOYSA-N 1 2 278.355 3.974 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2[nH]ncc21)c1ccc(Cl)cc1 ZINC000335082744 1120713858 /nfs/dbraw/zinc/71/38/58/1120713858.db2.gz JBUCCXDFPHKWCC-YGRLFVJLSA-N 1 2 275.783 3.791 20 0 CHADLO COc1cccc(C[NH2+][C@H](C)c2c(F)cccc2F)c1F ZINC000800223890 1120727611 /nfs/dbraw/zinc/72/76/11/1120727611.db2.gz JWXIPAFQVHWGHI-SNVBAGLBSA-N 1 2 295.304 3.963 20 0 CHADLO Fc1ccc2c(c1Cl)[C@H]([NH2+]Cc1ncccc1F)CC2 ZINC000651740279 1120731756 /nfs/dbraw/zinc/73/17/56/1120731756.db2.gz WSJBGRJMALRKEN-GFCCVEGCSA-N 1 2 294.732 3.790 20 0 CHADLO COc1ccc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)cn1 ZINC000661446871 1120767488 /nfs/dbraw/zinc/76/74/88/1120767488.db2.gz SEWLYITWLQWBMT-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ccc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)cn1 ZINC000661446871 1120767490 /nfs/dbraw/zinc/76/74/90/1120767490.db2.gz SEWLYITWLQWBMT-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO C[C@@H]1COC2(CCC2)C[N@@H+]1Cc1sccc1Cl ZINC000661464022 1120769012 /nfs/dbraw/zinc/76/90/12/1120769012.db2.gz WJHMNPGOGJYHFF-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1COC2(CCC2)C[N@H+]1Cc1sccc1Cl ZINC000661464022 1120769015 /nfs/dbraw/zinc/76/90/15/1120769015.db2.gz WJHMNPGOGJYHFF-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO CCCc1ccc(C(=O)Nc2cccc3[nH+]ccn32)s1 ZINC001126098669 1120775203 /nfs/dbraw/zinc/77/52/03/1120775203.db2.gz ZBTMTXSPXQANSY-UHFFFAOYSA-N 1 2 285.372 3.601 20 0 CHADLO C[C@@H](c1ccccc1Cl)[NH+]1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000661552439 1120777180 /nfs/dbraw/zinc/77/71/80/1120777180.db2.gz QNLQXNXADPXEMQ-UTUOFQBUSA-N 1 2 265.784 3.558 20 0 CHADLO CC(C)n1cc([C@H](C)Nc2ccc([NH+]3CCCC3)cc2)nn1 ZINC000925726660 1120786596 /nfs/dbraw/zinc/78/65/96/1120786596.db2.gz JRPHMQGYVJJQGM-AWEZNQCLSA-N 1 2 299.422 3.632 20 0 CHADLO C[C@H]1CC2(CC(Nc3ccc([NH+]4CCCC4)cc3)C2)CO1 ZINC000925727299 1120788970 /nfs/dbraw/zinc/78/89/70/1120788970.db2.gz OHRYOBWKGKJQLV-NXVRBGIVSA-N 1 2 286.419 3.656 20 0 CHADLO COc1c(C)c[nH+]c(CSC[C@@H](OC)C2CCC2)c1C ZINC000596352945 1120804329 /nfs/dbraw/zinc/80/43/29/1120804329.db2.gz RUENTSQHWVQSMV-OAHLLOKOSA-N 1 2 295.448 3.755 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(F)c(F)c2)c1 ZINC000652330902 1120828168 /nfs/dbraw/zinc/82/81/68/1120828168.db2.gz SDFKRMVUYYNQDX-SNVBAGLBSA-N 1 2 278.302 3.679 20 0 CHADLO CCc1nc(C)c([C@H](C)Nc2cc(COC)cc[nH+]2)s1 ZINC000652330313 1120828325 /nfs/dbraw/zinc/82/83/25/1120828325.db2.gz CEBRIAXDHFNMOH-JTQLQIEISA-N 1 2 291.420 3.728 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)c(OC)c(F)c1 ZINC001211680825 1120856702 /nfs/dbraw/zinc/85/67/02/1120856702.db2.gz PRTXEQRTNGGHLU-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO COc1cc(-c2c[nH+]c3n2CCCC3)ccc1Cl ZINC000630050883 1128945735 /nfs/dbraw/zinc/94/57/35/1128945735.db2.gz WNSPQWRUHYVYNW-UHFFFAOYSA-N 1 2 262.740 3.548 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CCc2nccnc2C1 ZINC000662126159 1120881005 /nfs/dbraw/zinc/88/10/05/1120881005.db2.gz VFNVGXOFZDDZNY-MRXNPFEDSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CCc2nccnc2C1 ZINC000662126159 1120881007 /nfs/dbraw/zinc/88/10/07/1120881007.db2.gz VFNVGXOFZDDZNY-MRXNPFEDSA-N 1 2 287.794 3.639 20 0 CHADLO Cc1cc(C)c(-c2csc(Cn3cc[nH+]c3)n2)cc1C ZINC000663220758 1120892932 /nfs/dbraw/zinc/89/29/32/1120892932.db2.gz VYSUOGGTIMVEDC-UHFFFAOYSA-N 1 2 283.400 3.980 20 0 CHADLO c1cn(Cc2nc(-c3ccc4c(c3)CCCC4)cs2)c[nH+]1 ZINC000663218585 1120893245 /nfs/dbraw/zinc/89/32/45/1120893245.db2.gz AVNQTBUAHCESNP-UHFFFAOYSA-N 1 2 295.411 3.934 20 0 CHADLO C(c1noc(C2CC2)n1)[N@@H+]1CCC[C@@H](C2CCCCC2)C1 ZINC000662202064 1120897872 /nfs/dbraw/zinc/89/78/72/1120897872.db2.gz QYZLWRVRDYYLAS-OAHLLOKOSA-N 1 2 289.423 3.739 20 0 CHADLO C(c1noc(C2CC2)n1)[N@H+]1CCC[C@@H](C2CCCCC2)C1 ZINC000662202064 1120897877 /nfs/dbraw/zinc/89/78/77/1120897877.db2.gz QYZLWRVRDYYLAS-OAHLLOKOSA-N 1 2 289.423 3.739 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1cccnc1Cl ZINC000191634579 1128948077 /nfs/dbraw/zinc/94/80/77/1128948077.db2.gz ZDIIVDZFBDRTOO-UHFFFAOYSA-N 1 2 297.185 3.687 20 0 CHADLO FC(F)(F)C[C@@H]1C[N@H+](Cc2ccc(C3CC3)cc2)CCO1 ZINC000662224730 1120905748 /nfs/dbraw/zinc/90/57/48/1120905748.db2.gz ZJFRMBABNZZNIL-OAHLLOKOSA-N 1 2 299.336 3.717 20 0 CHADLO FC(F)(F)C[C@@H]1C[N@@H+](Cc2ccc(C3CC3)cc2)CCO1 ZINC000662224730 1120905750 /nfs/dbraw/zinc/90/57/50/1120905750.db2.gz ZJFRMBABNZZNIL-OAHLLOKOSA-N 1 2 299.336 3.717 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)[NH2+]Cc1cscn1 ZINC000040504869 1120907385 /nfs/dbraw/zinc/90/73/85/1120907385.db2.gz PKXULFJHYDENMS-GFCCVEGCSA-N 1 2 276.405 3.781 20 0 CHADLO C[C@@H]1C[N@H+](C/C=C/c2ccccc2)C[C@@H](CC(F)(F)F)O1 ZINC000662236027 1120907714 /nfs/dbraw/zinc/90/77/14/1120907714.db2.gz LMFIQDSLZYMNDJ-IEOKHJKGSA-N 1 2 299.336 3.742 20 0 CHADLO C[C@@H]1C[N@@H+](C/C=C/c2ccccc2)C[C@@H](CC(F)(F)F)O1 ZINC000662236027 1120907715 /nfs/dbraw/zinc/90/77/15/1120907715.db2.gz LMFIQDSLZYMNDJ-IEOKHJKGSA-N 1 2 299.336 3.742 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662362793 1120929063 /nfs/dbraw/zinc/92/90/63/1120929063.db2.gz IQPILEYJLRWRQJ-HFAKWTLXSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662362793 1120929068 /nfs/dbraw/zinc/92/90/68/1120929068.db2.gz IQPILEYJLRWRQJ-HFAKWTLXSA-N 1 2 287.325 3.631 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+]1CCO[C@](C)(C(F)F)C1 ZINC000662370679 1120931840 /nfs/dbraw/zinc/93/18/40/1120931840.db2.gz GUHYVWMWTKCJCH-HIFRSBDPSA-N 1 2 287.325 3.633 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+]1CCO[C@](C)(C(F)F)C1 ZINC000662370679 1120931845 /nfs/dbraw/zinc/93/18/45/1120931845.db2.gz GUHYVWMWTKCJCH-HIFRSBDPSA-N 1 2 287.325 3.633 20 0 CHADLO CC12CC(C1)C[N@@H+]2Cc1ccc(Br)cc1F ZINC000662381457 1120933016 /nfs/dbraw/zinc/93/30/16/1120933016.db2.gz RUZORKUDBVCJDF-UHFFFAOYSA-N 1 2 284.172 3.573 20 0 CHADLO CC12CC(C1)C[N@H+]2Cc1ccc(Br)cc1F ZINC000662381457 1120933019 /nfs/dbraw/zinc/93/30/19/1120933019.db2.gz RUZORKUDBVCJDF-UHFFFAOYSA-N 1 2 284.172 3.573 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1ccco1 ZINC000061783484 1120938364 /nfs/dbraw/zinc/93/83/64/1120938364.db2.gz KZGACLJSCCWTEX-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1ccco1 ZINC000061783484 1120938369 /nfs/dbraw/zinc/93/83/69/1120938369.db2.gz KZGACLJSCCWTEX-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO CCC(CC)[C@@H]([NH2+]Cc1ccn[nH]1)c1cccs1 ZINC000066990766 1120952485 /nfs/dbraw/zinc/95/24/85/1120952485.db2.gz HQAQKGALDDCTQA-CQSZACIVSA-N 1 2 263.410 3.738 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1ccncc1F)CC1CC1 ZINC000661792339 1120988570 /nfs/dbraw/zinc/98/85/70/1120988570.db2.gz SLBIOUFRADZKHU-UHFFFAOYSA-N 1 2 288.341 3.772 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1ccncc1F)CC1CC1 ZINC000661792339 1120988573 /nfs/dbraw/zinc/98/85/73/1120988573.db2.gz SLBIOUFRADZKHU-UHFFFAOYSA-N 1 2 288.341 3.772 20 0 CHADLO CC(C)Oc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001174763181 1121023283 /nfs/dbraw/zinc/02/32/83/1121023283.db2.gz ZHGXKPCKIQZFBG-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO Cc1cnccc1C[NH2+][C@@H](C(F)F)C1CCCCC1 ZINC000625581185 1121024884 /nfs/dbraw/zinc/02/48/84/1121024884.db2.gz LYMDZGSBRSVFNZ-CQSZACIVSA-N 1 2 268.351 3.694 20 0 CHADLO Cc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1O ZINC001211697995 1121026079 /nfs/dbraw/zinc/02/60/79/1121026079.db2.gz PVRWCTLGXATCKS-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CNc1ccc(Nc2cccnc2-c2ccccc2)c[nH+]1 ZINC001203448761 1121031658 /nfs/dbraw/zinc/03/16/58/1121031658.db2.gz IVRIWNORIBMYKG-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO COc1cc(Nc2ccc3cc[nH]c3c2)cc(C)[nH+]1 ZINC001174748003 1121034938 /nfs/dbraw/zinc/03/49/38/1121034938.db2.gz KWUOLNGALDGVCT-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+]2Cc2ccccc2F)c1 ZINC000674008496 1121036982 /nfs/dbraw/zinc/03/69/82/1121036982.db2.gz BNVQYWHJBDPVFJ-INIZCTEOSA-N 1 2 259.299 3.912 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+]2Cc2ccccc2F)c1 ZINC000674008496 1121036987 /nfs/dbraw/zinc/03/69/87/1121036987.db2.gz BNVQYWHJBDPVFJ-INIZCTEOSA-N 1 2 259.299 3.912 20 0 CHADLO CC(C)CC1(C)CC[NH+](Cc2nccn2C(F)F)CC1 ZINC000343991619 1121047993 /nfs/dbraw/zinc/04/79/93/1121047993.db2.gz LJQSXVMFIATARE-UHFFFAOYSA-N 1 2 285.382 3.926 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+](Cc3cscn3)C2)cc1F ZINC000625657492 1121048654 /nfs/dbraw/zinc/04/86/54/1121048654.db2.gz PDGIBCCFSAPFAC-GFCCVEGCSA-N 1 2 294.370 3.801 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+](Cc3cscn3)C2)cc1F ZINC000625657492 1121048662 /nfs/dbraw/zinc/04/86/62/1121048662.db2.gz PDGIBCCFSAPFAC-GFCCVEGCSA-N 1 2 294.370 3.801 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+](Cc3cscn3)C2)cc1F ZINC000625657493 1121049001 /nfs/dbraw/zinc/04/90/01/1121049001.db2.gz PDGIBCCFSAPFAC-LBPRGKRZSA-N 1 2 294.370 3.801 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+](Cc3cscn3)C2)cc1F ZINC000625657493 1121049011 /nfs/dbraw/zinc/04/90/11/1121049011.db2.gz PDGIBCCFSAPFAC-LBPRGKRZSA-N 1 2 294.370 3.801 20 0 CHADLO COc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1C ZINC001174830513 1121049989 /nfs/dbraw/zinc/04/99/89/1121049989.db2.gz WMWPKNYMUMYDTB-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO c1cn2ccc(Nc3cccc4cccnc43)cc2[nH+]1 ZINC001174861267 1121069701 /nfs/dbraw/zinc/06/97/01/1121069701.db2.gz GQDAIEBFTKXAKI-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccc(C(F)(F)F)cc1)C2 ZINC000625726335 1121072792 /nfs/dbraw/zinc/07/27/92/1121072792.db2.gz ZOLJVIGYEJWFSS-UHFFFAOYSA-N 1 2 293.288 3.927 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccc(C(F)(F)F)cc1)C2 ZINC000625726335 1121072798 /nfs/dbraw/zinc/07/27/98/1121072798.db2.gz ZOLJVIGYEJWFSS-UHFFFAOYSA-N 1 2 293.288 3.927 20 0 CHADLO C[C@H](CC(=O)N[C@H](c1ccccc1)C(C)(C)C)n1cc[nH+]c1 ZINC000649606760 1128960934 /nfs/dbraw/zinc/96/09/34/1128960934.db2.gz QTSWAYZHJRQLNF-RHSMWYFYSA-N 1 2 299.418 3.738 20 0 CHADLO Cc1cnc(C[N@@H+]2Cc3ccccc3OC(C)(C)C2)s1 ZINC000344114169 1121088696 /nfs/dbraw/zinc/08/86/96/1121088696.db2.gz MPTIUUKDKSPNPM-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO Cc1cnc(C[N@H+]2Cc3ccccc3OC(C)(C)C2)s1 ZINC000344114169 1121088700 /nfs/dbraw/zinc/08/87/00/1121088700.db2.gz MPTIUUKDKSPNPM-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO CCc1ccc(C[NH2+]C2(C(F)F)CCCC2)s1 ZINC000674132066 1121090359 /nfs/dbraw/zinc/09/03/59/1121090359.db2.gz NILDXGVUCVPGLZ-UHFFFAOYSA-N 1 2 259.365 3.978 20 0 CHADLO COCc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC001174919866 1121094222 /nfs/dbraw/zinc/09/42/22/1121094222.db2.gz PAFQGQNBRCHLAZ-UHFFFAOYSA-N 1 2 283.375 3.572 20 0 CHADLO Fc1cc(Nc2ccc[nH+]c2N2CCCC2)cc(F)c1F ZINC001174954843 1121110338 /nfs/dbraw/zinc/11/03/38/1121110338.db2.gz CIOVOWLDTQLTIK-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO CCOc1cc(C)ccc1C[NH2+][C@H](C)c1cc(C)on1 ZINC000344192778 1121117874 /nfs/dbraw/zinc/11/78/74/1121117874.db2.gz NHVYPLJNEIFMQL-CYBMUJFWSA-N 1 2 274.364 3.541 20 0 CHADLO COc1cccc(F)c1Nc1cccc2[nH+]c(C)cn21 ZINC001174990683 1121119948 /nfs/dbraw/zinc/11/99/48/1121119948.db2.gz SAMKNFKXVAMCPA-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CCOc1cc(F)cc(Nc2c[nH+]c(C)cc2C)c1 ZINC001175004087 1121129285 /nfs/dbraw/zinc/12/92/85/1121129285.db2.gz UBTHBWXVELNJQF-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](CC1CCC1)c1ccccc1 ZINC000344298568 1121137582 /nfs/dbraw/zinc/13/75/82/1121137582.db2.gz CBIQHWICILRCGQ-KRWDZBQOSA-N 1 2 269.392 3.739 20 0 CHADLO CN(CC1CCCCC1)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000172105518 1121139584 /nfs/dbraw/zinc/13/95/84/1121139584.db2.gz OHHATCJEACZERT-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO COc1ccccc1[C@H](C)OCc1cc(C)[nH+]c(C)c1 ZINC001223418892 1121147787 /nfs/dbraw/zinc/14/77/87/1121147787.db2.gz COFLXUQVPSDCLC-AWEZNQCLSA-N 1 2 271.360 3.985 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1Cc2ccc(O)cc2C1 ZINC000625727973 1121151720 /nfs/dbraw/zinc/15/17/20/1121151720.db2.gz SGPQDMFXJTZTIN-UHFFFAOYSA-N 1 2 282.387 3.735 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1Cc2ccc(O)cc2C1 ZINC000625727973 1121151722 /nfs/dbraw/zinc/15/17/22/1121151722.db2.gz SGPQDMFXJTZTIN-UHFFFAOYSA-N 1 2 282.387 3.735 20 0 CHADLO Cn1c[nH+]c2cc(Nc3c(F)ccc(F)c3F)ccc21 ZINC001175032831 1121152637 /nfs/dbraw/zinc/15/26/37/1121152637.db2.gz UCHFLJJPKIZNDX-UHFFFAOYSA-N 1 2 277.249 3.734 20 0 CHADLO Cc1ccc(F)cc1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000162756357 1121154264 /nfs/dbraw/zinc/15/42/64/1121154264.db2.gz GHBXAAWYQASVOI-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CCOc1cc(Nc2cccn3cc[nH+]c23)ccc1F ZINC001175050492 1121160116 /nfs/dbraw/zinc/16/01/16/1121160116.db2.gz JEJLIHJQSVVQMU-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCc1cccnc1 ZINC000063105800 1121162643 /nfs/dbraw/zinc/16/26/43/1121162643.db2.gz QDPQYZJKWQXRLM-UHFFFAOYSA-N 1 2 263.344 3.593 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nccs2)c(OC(C)(C)C)c1 ZINC000674711175 1121172695 /nfs/dbraw/zinc/17/26/95/1121172695.db2.gz SERMEOIUTOJVNH-UHFFFAOYSA-N 1 2 290.432 3.919 20 0 CHADLO CCOc1ccc(F)cc1Nc1cc(C)[nH+]c(OC)c1 ZINC001175079722 1121174171 /nfs/dbraw/zinc/17/41/71/1121174171.db2.gz PDQKGXQYHIDJSH-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CCOc1ccc(F)cc1Nc1ccn2cc[nH+]c2c1 ZINC001175082372 1121176244 /nfs/dbraw/zinc/17/62/44/1121176244.db2.gz QYBDVLXRXVFHSU-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2ccn(-c3ccccc3)n2)o1 ZINC000163224118 1121176247 /nfs/dbraw/zinc/17/62/47/1121176247.db2.gz LDUNGWTUVKZYBS-AWEZNQCLSA-N 1 2 295.386 3.879 20 0 CHADLO Fc1cc(F)c(Nc2ccc(N3CCCC3)[nH+]c2)cc1F ZINC001175085081 1121177404 /nfs/dbraw/zinc/17/74/04/1121177404.db2.gz HYPQEOIYXVIMJA-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)c(F)cc2F)c[nH+]1 ZINC001175085026 1121177763 /nfs/dbraw/zinc/17/77/63/1121177763.db2.gz FNMPLGRPXHMGJI-UHFFFAOYSA-N 1 2 281.281 3.699 20 0 CHADLO CCOc1cccc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001175090423 1121180242 /nfs/dbraw/zinc/18/02/42/1121180242.db2.gz FZSLTBANSZOXRN-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO C[C@H]1C[N@H+](CCC(=O)C(C)(C)C)C[C@@H](c2ccsc2)O1 ZINC000753739497 1121182652 /nfs/dbraw/zinc/18/26/52/1121182652.db2.gz UIWKZNGQRQYVKH-JSGCOSHPSA-N 1 2 295.448 3.515 20 0 CHADLO C[C@H]1C[N@@H+](CCC(=O)C(C)(C)C)C[C@@H](c2ccsc2)O1 ZINC000753739497 1121182655 /nfs/dbraw/zinc/18/26/55/1121182655.db2.gz UIWKZNGQRQYVKH-JSGCOSHPSA-N 1 2 295.448 3.515 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(C(F)F)nc1)c1cscn1 ZINC000658153282 1121185049 /nfs/dbraw/zinc/18/50/49/1121185049.db2.gz SSTSZBVEBKZYQH-RKDXNWHRSA-N 1 2 283.347 3.888 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(C(C)(C)C)cc2)o1 ZINC000235955223 1121196608 /nfs/dbraw/zinc/19/66/08/1121196608.db2.gz HCCXAGKYZNUPBV-UHFFFAOYSA-N 1 2 258.365 3.570 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccc(F)c(C)c3)cc2[nH+]1 ZINC000063060734 1121198281 /nfs/dbraw/zinc/19/82/81/1121198281.db2.gz GWWIOWARHLOGOD-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO C[C@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1ccccn1 ZINC000067183040 1121205307 /nfs/dbraw/zinc/20/53/07/1121205307.db2.gz JWTBGPGIHAAQOS-NSHDSACASA-N 1 2 275.421 3.686 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+]2Cc2cccc3nsnc32)c1 ZINC000766085978 1121206144 /nfs/dbraw/zinc/20/61/44/1121206144.db2.gz XJFRTSZZWNXZFQ-HNNXBMFYSA-N 1 2 299.374 3.777 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+]2Cc2cccc3nsnc32)c1 ZINC000766085978 1121206145 /nfs/dbraw/zinc/20/61/45/1121206145.db2.gz XJFRTSZZWNXZFQ-HNNXBMFYSA-N 1 2 299.374 3.777 20 0 CHADLO Cc1cc(O)cc(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001206241680 1121211474 /nfs/dbraw/zinc/21/14/74/1121211474.db2.gz WBKVHVMPSWQJOA-UHFFFAOYSA-N 1 2 264.328 3.612 20 0 CHADLO Fc1cccc(C[NH2+][C@@H]2COc3c2cccc3Cl)c1F ZINC000675103088 1121216269 /nfs/dbraw/zinc/21/62/69/1121216269.db2.gz ILJWZIBUHLGECO-CYBMUJFWSA-N 1 2 295.716 3.842 20 0 CHADLO Cc1ccc(NCc2cccc3cccnc32)c(C)[nH+]1 ZINC000094664853 1121219295 /nfs/dbraw/zinc/21/92/95/1121219295.db2.gz RIMZNJMEMAFJLT-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]ccn32)c(C)c1 ZINC001175242094 1121242491 /nfs/dbraw/zinc/24/24/91/1121242491.db2.gz BFURLRHUDYNXEO-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(C)c(F)c2)oc1C ZINC000094955567 1121247544 /nfs/dbraw/zinc/24/75/44/1121247544.db2.gz LQOZKCXWPZHJTR-LLVKDONJSA-N 1 2 262.328 3.590 20 0 CHADLO CCOc1ccc(F)c(F)c1Nc1c[nH+]ccc1OC ZINC001175281725 1121250675 /nfs/dbraw/zinc/25/06/75/1121250675.db2.gz IXFYWVHDXJXLGL-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(-c2ccccc2)cc1F ZINC000675319818 1121251078 /nfs/dbraw/zinc/25/10/78/1121251078.db2.gz FZXAYRCHWXOGIA-UHFFFAOYSA-N 1 2 281.334 3.838 20 0 CHADLO CCOc1cc(F)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001175290276 1121257350 /nfs/dbraw/zinc/25/73/50/1121257350.db2.gz VZPWWEDFIGBIKA-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO CCOc1cc(F)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001175291614 1121258392 /nfs/dbraw/zinc/25/83/92/1121258392.db2.gz ZLGNNCHHOPFYAA-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+]Cc1ncc(C)s1 ZINC000123010376 1121260306 /nfs/dbraw/zinc/26/03/06/1121260306.db2.gz GMTFEAIXTSWFAC-LBPRGKRZSA-N 1 2 276.405 3.701 20 0 CHADLO CC(C)OC(=O)c1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001175259046 1121264555 /nfs/dbraw/zinc/26/45/55/1121264555.db2.gz WIBPZTPMMCWSMC-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(Cl)nc2Cl)CCS1 ZINC000282563600 1121270672 /nfs/dbraw/zinc/27/06/72/1121270672.db2.gz UKLCUENMCMFZGK-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2Cl)CCS1 ZINC000282563600 1121270676 /nfs/dbraw/zinc/27/06/76/1121270676.db2.gz UKLCUENMCMFZGK-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C(C)=O)ccc1F ZINC001175271131 1121271366 /nfs/dbraw/zinc/27/13/66/1121271366.db2.gz VYOGIIHDHKBXHW-UHFFFAOYSA-N 1 2 274.295 3.566 20 0 CHADLO CC(C)Oc1ccc(F)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175343260 1121271993 /nfs/dbraw/zinc/27/19/93/1121271993.db2.gz CQESTDYTCDAEPR-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO CN(C)c1ccc(Nc2c(F)cc(F)cc2Cl)c[nH+]1 ZINC001175343439 1121272494 /nfs/dbraw/zinc/27/24/94/1121272494.db2.gz JBQCLYOPNRLHAX-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO COc1cc(Nc2ccc(N(C)C)[nH+]c2)c(Cl)cc1C ZINC001175343534 1121272740 /nfs/dbraw/zinc/27/27/40/1121272740.db2.gz GVHIVRINTVEAHP-UHFFFAOYSA-N 1 2 291.782 3.862 20 0 CHADLO CN(C)c1ccc(Nc2c(F)cccc2Cl)c[nH+]1 ZINC001175344136 1121273629 /nfs/dbraw/zinc/27/36/29/1121273629.db2.gz WOAJPXSLSSOOST-UHFFFAOYSA-N 1 2 265.719 3.684 20 0 CHADLO Cc1c(C)c(Nc2ccc(N(C)C)[nH+]c2)c(C)c(C)c1N ZINC001175345566 1121273723 /nfs/dbraw/zinc/27/37/23/1121273723.db2.gz DXDZFIAJBINTFY-UHFFFAOYSA-N 1 2 284.407 3.707 20 0 CHADLO CN(C)c1ccc(Nc2cccc3ncc(F)cc32)c[nH+]1 ZINC001175346667 1121273980 /nfs/dbraw/zinc/27/39/80/1121273980.db2.gz WXLZNQHLROLFKD-UHFFFAOYSA-N 1 2 282.322 3.579 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2N2CCCCC2)c[nH+]1 ZINC001175343794 1121274227 /nfs/dbraw/zinc/27/42/27/1121274227.db2.gz PBNRKHLZSHYRCK-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2-c2cnco2)c[nH+]1 ZINC001175344771 1121274788 /nfs/dbraw/zinc/27/47/88/1121274788.db2.gz GNUQSWIOYDJMBG-UHFFFAOYSA-N 1 2 280.331 3.546 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(F)c1 ZINC001175323873 1121283620 /nfs/dbraw/zinc/28/36/20/1121283620.db2.gz FWPPFPJPQLPUPK-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Oc1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1F ZINC001212550425 1121286300 /nfs/dbraw/zinc/28/63/00/1121286300.db2.gz LZQMQLMYSUOUPA-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO CC(=O)c1ccc(C)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175349617 1121291472 /nfs/dbraw/zinc/29/14/72/1121291472.db2.gz AYUQURIMONIVJH-UHFFFAOYSA-N 1 2 295.386 3.936 20 0 CHADLO COc1cc(Nc2[nH+]cccc2N2CCCC2)ccc1F ZINC001175396993 1121291535 /nfs/dbraw/zinc/29/15/35/1121291535.db2.gz TYHCVJAUPMUKQO-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO Nc1cccc(Cl)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175350695 1121292344 /nfs/dbraw/zinc/29/23/44/1121292344.db2.gz BGMOTOSJRMRGOV-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2[C@@H]3CCO[C@@H]3C23CCCC3)cc1 ZINC000182489797 1128975736 /nfs/dbraw/zinc/97/57/36/1128975736.db2.gz FJZCGUAKGBEMHZ-ULQDDVLXSA-N 1 2 286.419 3.512 20 0 CHADLO CN(C)c1ccc([NH2+][C@H]2[C@@H]3CCO[C@@H]3C23CCCC3)cc1 ZINC000182489797 1128975740 /nfs/dbraw/zinc/97/57/40/1128975740.db2.gz FJZCGUAKGBEMHZ-ULQDDVLXSA-N 1 2 286.419 3.512 20 0 CHADLO c1nc2cc(Nc3ccc(N4CCCC4)[nH+]c3)ccc2o1 ZINC001175351289 1121293559 /nfs/dbraw/zinc/29/35/59/1121293559.db2.gz PTXYBIZMUOXFIE-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(N3CCCC3)nc2)cc1 ZINC001175352995 1121294070 /nfs/dbraw/zinc/29/40/70/1121294070.db2.gz ULLHVUDXPBVGOV-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(N3CCCC3)nc2)cc1 ZINC001175352995 1121294076 /nfs/dbraw/zinc/29/40/76/1121294076.db2.gz ULLHVUDXPBVGOV-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO c1cnc2cc(Nc3cccc4cc[nH+]cc43)cnc2c1 ZINC001175359448 1121296175 /nfs/dbraw/zinc/29/61/75/1121296175.db2.gz POHMTCFSWRIEBL-UHFFFAOYSA-N 1 2 272.311 3.922 20 0 CHADLO Clc1cc(Nc2cnc3cccnc3c2)cn2cc[nH+]c12 ZINC001175360236 1121296509 /nfs/dbraw/zinc/29/65/09/1121296509.db2.gz YIGSWINYNWFEJD-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(-n2cccn2)cc1 ZINC001175368925 1121299455 /nfs/dbraw/zinc/29/94/55/1121299455.db2.gz LWHYUAKONCMKAI-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO Cc1ccc(Nc2ccc(-n3cccn3)cc2)c(C)[nH+]1 ZINC001175364703 1121299864 /nfs/dbraw/zinc/29/98/64/1121299864.db2.gz CDRQBJWGHFTOHV-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4ncccc4c3)ccc21 ZINC001175376150 1121304701 /nfs/dbraw/zinc/30/47/01/1121304701.db2.gz OLFJBLAXESGYCT-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO CC[N@H+](Cc1cocn1)Cc1ccc2ccccc2c1 ZINC000432747720 1121313114 /nfs/dbraw/zinc/31/31/14/1121313114.db2.gz LEWIBMMHDIQEBD-UHFFFAOYSA-N 1 2 266.344 3.850 20 0 CHADLO CC[N@@H+](Cc1cocn1)Cc1ccc2ccccc2c1 ZINC000432747720 1121313126 /nfs/dbraw/zinc/31/31/26/1121313126.db2.gz LEWIBMMHDIQEBD-UHFFFAOYSA-N 1 2 266.344 3.850 20 0 CHADLO Cc1c(C2CC2)cccc1N(C)c1[nH+]cccc1N ZINC001213470801 1121314864 /nfs/dbraw/zinc/31/48/64/1121314864.db2.gz JWOYEVSLWKPCEE-UHFFFAOYSA-N 1 2 253.349 3.618 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)c1nccn1C)c1cc(F)ccc1F ZINC000658339658 1121316599 /nfs/dbraw/zinc/31/65/99/1121316599.db2.gz UHUCMHWVRFRZTF-IAQYHMDHSA-N 1 2 293.361 3.746 20 0 CHADLO CCC(CC)(CC)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000675481284 1121316833 /nfs/dbraw/zinc/31/68/33/1121316833.db2.gz AOZAKIUUPIXEAR-HUUCEWRRSA-N 1 2 291.439 3.509 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1ccc(Cl)cn1 ZINC000703869430 1121318056 /nfs/dbraw/zinc/31/80/56/1121318056.db2.gz VYIRJLZPONYQKI-UHFFFAOYSA-N 1 2 297.185 3.687 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(cnn3C)c2)c1 ZINC001175420268 1121319143 /nfs/dbraw/zinc/31/91/43/1121319143.db2.gz NQBKONKOUGMPCX-UHFFFAOYSA-N 1 2 266.348 3.664 20 0 CHADLO Cc1oc2ccccc2c1[C@@H](C)[NH2+]Cc1cocn1 ZINC000925869851 1121327730 /nfs/dbraw/zinc/32/77/30/1121327730.db2.gz QSGPTYCYDZDLAG-SNVBAGLBSA-N 1 2 256.305 3.580 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1C/C(Cl)=C\Cl ZINC000763540977 1128978807 /nfs/dbraw/zinc/97/88/07/1128978807.db2.gz XZGPOCUOKFWGQB-ZDIKFAODSA-N 1 2 256.176 3.925 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1C/C(Cl)=C\Cl ZINC000763540977 1128978811 /nfs/dbraw/zinc/97/88/11/1128978811.db2.gz XZGPOCUOKFWGQB-ZDIKFAODSA-N 1 2 256.176 3.925 20 0 CHADLO Cc1ccc(Nc2cc(C3CC3)c[nH+]c2C)c(F)n1 ZINC001213491497 1121343248 /nfs/dbraw/zinc/34/32/48/1121343248.db2.gz LKLKSGLZDUBWQP-UHFFFAOYSA-N 1 2 257.312 3.854 20 0 CHADLO Cc1cc(Nc2cc(C3CC3)c[nH+]c2C)cnc1F ZINC001213491914 1121345737 /nfs/dbraw/zinc/34/57/37/1121345737.db2.gz UNQRAFAVFCIUAW-UHFFFAOYSA-N 1 2 257.312 3.854 20 0 CHADLO Cn1cc2cccc(Nc3cccc(-n4cc[nH+]c4)c3)c2n1 ZINC001175458459 1121347032 /nfs/dbraw/zinc/34/70/32/1121347032.db2.gz DPPDLBWHFRMWHR-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO COc1ccc2c(c1)CCC[N@@H+](C/C(Cl)=C\Cl)C2 ZINC000763533139 1128979727 /nfs/dbraw/zinc/97/97/27/1128979727.db2.gz MXBXNMDZJFMPQB-MDWZMJQESA-N 1 2 286.202 3.762 20 0 CHADLO COc1ccc2c(c1)CCC[N@H+](C/C(Cl)=C\Cl)C2 ZINC000763533139 1128979731 /nfs/dbraw/zinc/97/97/31/1128979731.db2.gz MXBXNMDZJFMPQB-MDWZMJQESA-N 1 2 286.202 3.762 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cccc(CO)c3)ccc12 ZINC001175514229 1121357257 /nfs/dbraw/zinc/35/72/57/1121357257.db2.gz NKZWNXJQBIJEAO-UHFFFAOYSA-N 1 2 264.328 3.779 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc3c[nH]nc3c(C)c2)c1 ZINC001175518028 1121358459 /nfs/dbraw/zinc/35/84/59/1121358459.db2.gz VWNJESNIZUKHEP-UHFFFAOYSA-N 1 2 266.348 3.962 20 0 CHADLO Cc1cccc2nc(C[N@@H+]3CCc4sccc4[C@@H]3C)cn21 ZINC000051425580 1121364469 /nfs/dbraw/zinc/36/44/69/1121364469.db2.gz VDOMQOCHUQRDML-ZDUSSCGKSA-N 1 2 297.427 3.824 20 0 CHADLO Cc1cccc2nc(C[N@H+]3CCc4sccc4[C@@H]3C)cn21 ZINC000051425580 1121364477 /nfs/dbraw/zinc/36/44/77/1121364477.db2.gz VDOMQOCHUQRDML-ZDUSSCGKSA-N 1 2 297.427 3.824 20 0 CHADLO CC(C)(C)OC[C@H]1CCC[N@@H+]1Cc1csc(Cl)n1 ZINC000932167890 1121373639 /nfs/dbraw/zinc/37/36/39/1121373639.db2.gz QVVQBIUUGGPLKW-LLVKDONJSA-N 1 2 288.844 3.576 20 0 CHADLO CC(C)(C)OC[C@H]1CCC[N@H+]1Cc1csc(Cl)n1 ZINC000932167890 1121373647 /nfs/dbraw/zinc/37/36/47/1121373647.db2.gz QVVQBIUUGGPLKW-LLVKDONJSA-N 1 2 288.844 3.576 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCc2cccn2C)c1 ZINC001162711884 1121377635 /nfs/dbraw/zinc/37/76/35/1121377635.db2.gz XIHNWZOCZKIPIA-UHFFFAOYSA-N 1 2 271.408 3.792 20 0 CHADLO Cc1cc(C)c(NC(=O)NC2CCCCCC2)c(C)[nH+]1 ZINC000408407300 1121383264 /nfs/dbraw/zinc/38/32/64/1121383264.db2.gz LDIHXJPJGXAHDE-UHFFFAOYSA-N 1 2 275.396 3.851 20 0 CHADLO Cc1cc(F)cc(Br)c1NC1=CCC[N@@H+](C)C1 ZINC001175575937 1121393437 /nfs/dbraw/zinc/39/34/37/1121393437.db2.gz DSGBPWNVSAXKPG-UHFFFAOYSA-N 1 2 299.187 3.528 20 0 CHADLO Cc1cc(F)cc(Br)c1NC1=CCC[N@H+](C)C1 ZINC001175575937 1121393446 /nfs/dbraw/zinc/39/34/46/1121393446.db2.gz DSGBPWNVSAXKPG-UHFFFAOYSA-N 1 2 299.187 3.528 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(C(F)F)nc2)cs1 ZINC000631069909 1128982709 /nfs/dbraw/zinc/98/27/09/1128982709.db2.gz YAIWCVCUMQLNBK-VIFPVBQESA-N 1 2 297.374 3.889 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1ncc(-c2cccs2)o1 ZINC000676042502 1121400253 /nfs/dbraw/zinc/40/02/53/1121400253.db2.gz JSQBHJAJYHMHTC-UHFFFAOYSA-N 1 2 294.420 3.650 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1ncc(-c2cccs2)o1 ZINC000676042502 1121400259 /nfs/dbraw/zinc/40/02/59/1121400259.db2.gz JSQBHJAJYHMHTC-UHFFFAOYSA-N 1 2 294.420 3.650 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1F)c1cc2cnccc2o1 ZINC001175638603 1121403972 /nfs/dbraw/zinc/40/39/72/1121403972.db2.gz ONQJNFLOAZMBNR-SNVBAGLBSA-N 1 2 288.297 3.957 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1C/C(Cl)=C\Cl ZINC000763560329 1128983429 /nfs/dbraw/zinc/98/34/29/1128983429.db2.gz MYQVUWLCAVYAOJ-SRMXYGTFSA-N 1 2 256.176 3.752 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1C/C(Cl)=C\Cl ZINC000763560329 1128983431 /nfs/dbraw/zinc/98/34/31/1128983431.db2.gz MYQVUWLCAVYAOJ-SRMXYGTFSA-N 1 2 256.176 3.752 20 0 CHADLO c1c[nH+]c(N2CCCCC2)c(Nc2ccc3c(c2)NCC3)c1 ZINC001213146368 1121415614 /nfs/dbraw/zinc/41/56/14/1121415614.db2.gz XPEVGZXNSLHMJK-UHFFFAOYSA-N 1 2 294.402 3.784 20 0 CHADLO COc1ccc(C)cc1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175711942 1121434846 /nfs/dbraw/zinc/43/48/46/1121434846.db2.gz AYOUDNHKXSKDTJ-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1ncoc1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000893930579 1121441360 /nfs/dbraw/zinc/44/13/60/1121441360.db2.gz DLFQTZLTYFLSMR-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1ncoc1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000893930579 1121441362 /nfs/dbraw/zinc/44/13/62/1121441362.db2.gz DLFQTZLTYFLSMR-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1ccc(Nc2ccnc(Br)c2)c(C)[nH+]1 ZINC001175744004 1121446371 /nfs/dbraw/zinc/44/63/71/1121446371.db2.gz YPVPNPNWIPKEFN-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1c[nH+]c(Nc2ccnc(Br)c2)c(C)c1 ZINC001175747287 1121447410 /nfs/dbraw/zinc/44/74/10/1121447410.db2.gz XFLVXZHGYVDNBH-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO c1nn(C2CCC2)cc1Nc1cccc2cc[nH+]cc21 ZINC001175757525 1121454885 /nfs/dbraw/zinc/45/48/85/1121454885.db2.gz QMXJEIUSPJASOZ-UHFFFAOYSA-N 1 2 264.332 3.900 20 0 CHADLO Cc1coc(C[N@H+]2C[C@@H](C)O[C@@H](c3ccsc3)C2)c1 ZINC000894072331 1121466208 /nfs/dbraw/zinc/46/62/08/1121466208.db2.gz OPRCYGSVBGOETK-IUODEOHRSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1coc(C[N@@H+]2C[C@@H](C)O[C@@H](c3ccsc3)C2)c1 ZINC000894072331 1121466211 /nfs/dbraw/zinc/46/62/11/1121466211.db2.gz OPRCYGSVBGOETK-IUODEOHRSA-N 1 2 277.389 3.612 20 0 CHADLO CCOc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1Cl ZINC000708969524 1121467376 /nfs/dbraw/zinc/46/73/76/1121467376.db2.gz IFVMWZGACOMVIR-UHFFFAOYSA-N 1 2 279.771 3.691 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1Cl)c1cn(C)cn1 ZINC000710143697 1121473314 /nfs/dbraw/zinc/47/33/14/1121473314.db2.gz CZKHVXYFCRQWOR-NXEZZACHSA-N 1 2 281.762 3.624 20 0 CHADLO CCc1cc(NCc2c[nH+]c3c(C)cccn23)ccc1F ZINC000512569166 1121478496 /nfs/dbraw/zinc/47/84/96/1121478496.db2.gz MLJMDYCXGNHTJL-UHFFFAOYSA-N 1 2 283.350 3.956 20 0 CHADLO CCc1nc(C[N@H+](C)[C@H](C)c2ccccc2)cs1 ZINC000073686501 1121482722 /nfs/dbraw/zinc/48/27/22/1121482722.db2.gz UDZVMTPISIVGLJ-GFCCVEGCSA-N 1 2 260.406 3.899 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@H](C)c2ccccc2)cs1 ZINC000073686501 1121482725 /nfs/dbraw/zinc/48/27/25/1121482725.db2.gz UDZVMTPISIVGLJ-GFCCVEGCSA-N 1 2 260.406 3.899 20 0 CHADLO Cc1cc(N2CCSC(C)(C)CC2)nc(C(C)C)[nH+]1 ZINC000432928782 1121484480 /nfs/dbraw/zinc/48/44/80/1121484480.db2.gz OISPTXOEGYUWTF-UHFFFAOYSA-N 1 2 279.453 3.630 20 0 CHADLO Cn1ccc2c1cccc2Nc1ccn2cc[nH+]c2c1 ZINC001175865877 1121484708 /nfs/dbraw/zinc/48/47/08/1121484708.db2.gz NIJKQAXWAADKFQ-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(-c2ccon2)cc1 ZINC000923012309 1121510290 /nfs/dbraw/zinc/51/02/90/1121510290.db2.gz FEHIVGHPPXEGDF-UHFFFAOYSA-N 1 2 282.347 3.731 20 0 CHADLO Cn1c(Nc2ccccc2OC(F)F)[nH+]c2ccccc21 ZINC001176007631 1121523169 /nfs/dbraw/zinc/52/31/69/1121523169.db2.gz KLXRMVHKOHSXKR-UHFFFAOYSA-N 1 2 289.285 3.918 20 0 CHADLO Cc1cn2c(cccc2Nc2ccccc2OC(F)F)[nH+]1 ZINC001176007626 1121524265 /nfs/dbraw/zinc/52/42/65/1121524265.db2.gz KKHHHBVYPTYQRA-UHFFFAOYSA-N 1 2 289.285 3.988 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccccc1OC(F)F ZINC001176011413 1121524677 /nfs/dbraw/zinc/52/46/77/1121524677.db2.gz DTBPOSBTXVDMTP-UHFFFAOYSA-N 1 2 289.285 3.518 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccccc3OC(F)F)ccc21 ZINC001176012546 1121525311 /nfs/dbraw/zinc/52/53/11/1121525311.db2.gz NMZCRULUGMBOSG-UHFFFAOYSA-N 1 2 289.285 3.918 20 0 CHADLO COc1cccc2[nH]c(Nc3ccc(C)[nH+]c3C)cc21 ZINC001176060030 1121542903 /nfs/dbraw/zinc/54/29/03/1121542903.db2.gz QCTPBTWCXTYLDP-UHFFFAOYSA-N 1 2 267.332 3.932 20 0 CHADLO CCc1ccc([C@@H]2CC[N@H+](Cc3noc(C(C)C)n3)C2)cc1 ZINC000433068426 1121548008 /nfs/dbraw/zinc/54/80/08/1121548008.db2.gz PDPZYOQTWNDDTP-MRXNPFEDSA-N 1 2 299.418 3.745 20 0 CHADLO CCc1ccc([C@@H]2CC[N@@H+](Cc3noc(C(C)C)n3)C2)cc1 ZINC000433068426 1121548017 /nfs/dbraw/zinc/54/80/17/1121548017.db2.gz PDPZYOQTWNDDTP-MRXNPFEDSA-N 1 2 299.418 3.745 20 0 CHADLO COc1cc[nH+]cc1Nc1nc(Cl)ccc1Cl ZINC001176119617 1121556377 /nfs/dbraw/zinc/55/63/77/1121556377.db2.gz WMMKIAVGRPTSMH-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO COc1cc[nH+]cc1Nc1c(C)cccc1Br ZINC001176120706 1121556575 /nfs/dbraw/zinc/55/65/75/1121556575.db2.gz ILQQTXCXCNBWRM-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO COc1cc[nH+]cc1Nc1c(C)cc(Cl)nc1Cl ZINC001176118851 1121557293 /nfs/dbraw/zinc/55/72/93/1121557293.db2.gz PKKQOLACBFOICJ-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(F)c1Br ZINC001176123965 1121558466 /nfs/dbraw/zinc/55/84/66/1121558466.db2.gz ZZVHBQNDUICYGB-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO COc1ccc(-n2cccc2)c(Nc2c[nH+]ccc2OC)c1 ZINC001176121605 1121558535 /nfs/dbraw/zinc/55/85/35/1121558535.db2.gz ZOHBHAZRXGBPGO-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)ccc1OCC(F)F ZINC001176124124 1121558710 /nfs/dbraw/zinc/55/87/10/1121558710.db2.gz IOAGQEYUZFZYNZ-UHFFFAOYSA-N 1 2 298.264 3.617 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)cc(Cl)cc1F ZINC001176123493 1121558760 /nfs/dbraw/zinc/55/87/60/1121558760.db2.gz WGVQRICPUGVDQI-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2nc(C)sc2c1 ZINC001176121957 1121558983 /nfs/dbraw/zinc/55/89/83/1121558983.db2.gz VFMTXSJUOLTSIL-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO COc1cc[nH+]cc1Nc1c(C)cc(F)cc1Cl ZINC001176124963 1121560106 /nfs/dbraw/zinc/56/01/06/1121560106.db2.gz TTYOQNJXMVRGKA-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2cncc(F)c21 ZINC001176125000 1121560629 /nfs/dbraw/zinc/56/06/29/1121560629.db2.gz WFMQCMFOMAZOKL-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO COc1ccc(C)cc1C[NH2+]Cc1cccc(O)c1Cl ZINC000645145977 1121563941 /nfs/dbraw/zinc/56/39/41/1121563941.db2.gz DEWXIICWOHAZIG-UHFFFAOYSA-N 1 2 291.778 3.652 20 0 CHADLO Cc1noc(C)c1Nc1ccc2c(C)cc[nH+]c2c1 ZINC001176180427 1121565843 /nfs/dbraw/zinc/56/58/43/1121565843.db2.gz OPQMEHXDCJAJSI-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1/C=C/Cc1ccccc1 ZINC001176217737 1121574672 /nfs/dbraw/zinc/57/46/72/1121574672.db2.gz MJJPMCJXMMDLMF-VZUCSPMQSA-N 1 2 263.344 3.640 20 0 CHADLO COc1cccc2c1C[N@@H+]([C@H](C)c1ccccc1F)C2 ZINC000626021730 1121580921 /nfs/dbraw/zinc/58/09/21/1121580921.db2.gz NSCUVPAKJNDKOE-GFCCVEGCSA-N 1 2 271.335 3.911 20 0 CHADLO COc1cccc2c1C[N@H+]([C@H](C)c1ccccc1F)C2 ZINC000626021730 1121580925 /nfs/dbraw/zinc/58/09/25/1121580925.db2.gz NSCUVPAKJNDKOE-GFCCVEGCSA-N 1 2 271.335 3.911 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CCc2c(O)cccc2C1 ZINC000626026763 1121583448 /nfs/dbraw/zinc/58/34/48/1121583448.db2.gz YCRUSHFEKXGHRM-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CCc2c(O)cccc2C1 ZINC000626026763 1121583455 /nfs/dbraw/zinc/58/34/55/1121583455.db2.gz YCRUSHFEKXGHRM-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO CCCC(=O)c1ncccc1NCc1cc(C)[nH+]c(C)c1 ZINC000664025743 1121585998 /nfs/dbraw/zinc/58/59/98/1121585998.db2.gz NSEBPDHBWQJZCI-UHFFFAOYSA-N 1 2 283.375 3.688 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1cccnc1Cl ZINC000711891332 1121586398 /nfs/dbraw/zinc/58/63/98/1121586398.db2.gz DTCAXKPZKZBGLW-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1cccnc1Cl ZINC000711891332 1121586407 /nfs/dbraw/zinc/58/64/07/1121586407.db2.gz DTCAXKPZKZBGLW-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO CCc1ccc(C[NH2+][C@H](C)c2c(F)cccc2OC)nc1 ZINC000411479178 1121591556 /nfs/dbraw/zinc/59/15/56/1121591556.db2.gz ZAYAFPHCKHSNIU-GFCCVEGCSA-N 1 2 288.366 3.643 20 0 CHADLO Cc1ccc(C(=O)C2CCN(c3cccc[nH+]3)CC2)c(C)c1 ZINC000051917371 1121599538 /nfs/dbraw/zinc/59/95/38/1121599538.db2.gz PAOJABGQBVQXIR-UHFFFAOYSA-N 1 2 294.398 3.798 20 0 CHADLO CCOc1cc(F)c(F)cc1Nc1ccn2cc[nH+]c2c1 ZINC001211800707 1121600436 /nfs/dbraw/zinc/60/04/36/1121600436.db2.gz DVQGEHFGQMXKPV-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO Cc1cc(C)c(NC(=O)Cc2cc3ccccc3o2)c(C)[nH+]1 ZINC000619573266 1128996672 /nfs/dbraw/zinc/99/66/72/1128996672.db2.gz NZBUOBFIQBKXGZ-UHFFFAOYSA-N 1 2 294.354 3.934 20 0 CHADLO CC[C@H](C[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C)OC ZINC000419259977 1121607169 /nfs/dbraw/zinc/60/71/69/1121607169.db2.gz PURCOYZBPQUEHT-WQVCFCJDSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C)OC ZINC000419259977 1121607172 /nfs/dbraw/zinc/60/71/72/1121607172.db2.gz PURCOYZBPQUEHT-WQVCFCJDSA-N 1 2 297.826 3.527 20 0 CHADLO CO[C@@H](COc1ccc2c(c1)[nH+]c(C)n2C)c1ccccc1 ZINC000664047079 1121608181 /nfs/dbraw/zinc/60/81/81/1121608181.db2.gz WNPICTVBSRQCDR-SFHVURJKSA-N 1 2 296.370 3.648 20 0 CHADLO Cc1n[nH]cc1C1CCN(c2cc3ccccc3c[nH+]2)CC1 ZINC000631266393 1128996837 /nfs/dbraw/zinc/99/68/37/1128996837.db2.gz HTJUHBANJBCGRM-UHFFFAOYSA-N 1 2 292.386 3.650 20 0 CHADLO c1ccc2cc(N3CCC[C@@H]3[C@H]3CCCOC3)[nH+]cc2c1 ZINC000631319280 1128997984 /nfs/dbraw/zinc/99/79/84/1128997984.db2.gz MFRWJVCJGDOWLX-DLBZAZTESA-N 1 2 282.387 3.630 20 0 CHADLO FC(F)C1([NH2+]C/C=C/c2ccncc2)CCCCC1 ZINC000512889539 1121633046 /nfs/dbraw/zinc/63/30/46/1121633046.db2.gz GDBDZSRVNYTWCW-SNAWJCMRSA-N 1 2 266.335 3.652 20 0 CHADLO COC(=O)c1ccccc1C[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000611274581 1121634267 /nfs/dbraw/zinc/63/42/67/1121634267.db2.gz XKCOWVGPFKSWJV-KRWDZBQOSA-N 1 2 299.345 3.559 20 0 CHADLO COC(=O)c1ccccc1C[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000611274581 1121634269 /nfs/dbraw/zinc/63/42/69/1121634269.db2.gz XKCOWVGPFKSWJV-KRWDZBQOSA-N 1 2 299.345 3.559 20 0 CHADLO c1cn2c(cccc2Nc2cccc(OCC3CC3)c2)[nH+]1 ZINC001176490989 1121637429 /nfs/dbraw/zinc/63/74/29/1121637429.db2.gz TVJDHCCTNKMITB-UHFFFAOYSA-N 1 2 279.343 3.867 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1cccc(OCC2CC2)c1 ZINC001176493781 1121638591 /nfs/dbraw/zinc/63/85/91/1121638591.db2.gz XNKVICKBTIKOEK-UHFFFAOYSA-N 1 2 283.375 3.680 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]CCSCc1ccc(C)cc1 ZINC000611518244 1121654339 /nfs/dbraw/zinc/65/43/39/1121654339.db2.gz XGZCSANCLYSOIM-CYBMUJFWSA-N 1 2 289.448 3.611 20 0 CHADLO FC(F)(F)Oc1ccc(Nc2cccc3[nH+]ccn32)cc1 ZINC001176638139 1121666593 /nfs/dbraw/zinc/66/65/93/1121666593.db2.gz OMSNXKJWQHYFJY-UHFFFAOYSA-N 1 2 293.248 3.977 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000513272897 1121676714 /nfs/dbraw/zinc/67/67/14/1121676714.db2.gz TWGJYPFGGQHGTM-DZGCQCFKSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000513272897 1121676717 /nfs/dbraw/zinc/67/67/17/1121676717.db2.gz TWGJYPFGGQHGTM-DZGCQCFKSA-N 1 2 299.418 3.950 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccc(Cl)s2)s1 ZINC000052101310 1121678955 /nfs/dbraw/zinc/67/89/55/1121678955.db2.gz BSLIQSSMDVGNCF-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccc(Cl)s2)s1 ZINC000052101310 1121678951 /nfs/dbraw/zinc/67/89/51/1121678951.db2.gz BSLIQSSMDVGNCF-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cccc(C)c2)cs1 ZINC000052280365 1121682729 /nfs/dbraw/zinc/68/27/29/1121682729.db2.gz IJMYRYWWIUGAAW-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cccc(C)c2)cs1 ZINC000052280365 1121682733 /nfs/dbraw/zinc/68/27/33/1121682733.db2.gz IJMYRYWWIUGAAW-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO c1cc2cc(Nc3ccc[nH+]c3N3CCCC3)cnc2o1 ZINC001176749042 1121686377 /nfs/dbraw/zinc/68/63/77/1121686377.db2.gz PZQKWIDMCPHREX-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO Fc1cc(Nc2ccc[nH+]c2N2CCCC2)cnc1Cl ZINC001176750248 1121687825 /nfs/dbraw/zinc/68/78/25/1121687825.db2.gz VNTVEVRXKIQTTE-UHFFFAOYSA-N 1 2 292.745 3.613 20 0 CHADLO O=C(Cc1c[nH]c[nH+]1)Nc1ccc(C2CC2)c2ccccc12 ZINC001176833188 1121697407 /nfs/dbraw/zinc/69/74/07/1121697407.db2.gz CXMVSYKYSAPKLX-UHFFFAOYSA-N 1 2 291.354 3.622 20 0 CHADLO CC(C)c1ccc(N(C)CCCn2cc[nH+]c2)cc1 ZINC000182861814 1129004144 /nfs/dbraw/zinc/00/41/44/1129004144.db2.gz QKOSEFQLJWFGCD-UHFFFAOYSA-N 1 2 257.381 3.533 20 0 CHADLO CC[C@H]1C[C@H](C[NH2+]c2ccc(N(C)C)cc2C)CCO1 ZINC001177363003 1121727039 /nfs/dbraw/zinc/72/70/39/1121727039.db2.gz HBFSXSFUUPEWHD-ZBFHGGJFSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@H]1C[C@H](CNc2ccc([NH+](C)C)cc2C)CCO1 ZINC001177363003 1121727044 /nfs/dbraw/zinc/72/70/44/1121727044.db2.gz HBFSXSFUUPEWHD-ZBFHGGJFSA-N 1 2 276.424 3.678 20 0 CHADLO C[N@H+]1Cc2ccccc2[C@H](NCc2ccccc2Cl)C1 ZINC000533818485 1121744742 /nfs/dbraw/zinc/74/47/42/1121744742.db2.gz FZWNDYFWSJEONG-QGZVFWFLSA-N 1 2 286.806 3.616 20 0 CHADLO C[N@@H+]1Cc2ccccc2[C@H](NCc2ccccc2Cl)C1 ZINC000533818485 1121744748 /nfs/dbraw/zinc/74/47/48/1121744748.db2.gz FZWNDYFWSJEONG-QGZVFWFLSA-N 1 2 286.806 3.616 20 0 CHADLO C[C@H](C(=O)Nc1cccc(-c2cccs2)c1)n1cc[nH+]c1 ZINC001177926153 1121756671 /nfs/dbraw/zinc/75/66/71/1121756671.db2.gz DYDUSUMTCVPFJA-GFCCVEGCSA-N 1 2 297.383 3.811 20 0 CHADLO CC[C@H](C)c1ccc(NC(=O)[C@H]2CCc3[nH+]ccn3C2)cc1 ZINC001178100501 1121765126 /nfs/dbraw/zinc/76/51/26/1121765126.db2.gz VSANPLOOTCUYGK-ZFWWWQNUSA-N 1 2 297.402 3.598 20 0 CHADLO COc1cc(CNc2cccc(-n3cc[nH+]c3)c2)ccc1F ZINC001178132189 1121769150 /nfs/dbraw/zinc/76/91/50/1121769150.db2.gz ORVRXKQFJNHCQR-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO C[C@H](OC(=O)c1ccc(-n2cc[nH+]c2)cc1)c1ccccc1 ZINC000728161400 1121774496 /nfs/dbraw/zinc/77/44/96/1121774496.db2.gz IDARHITUWXDLLN-AWEZNQCLSA-N 1 2 292.338 3.790 20 0 CHADLO Cc1ccc(NCc2nc3c(s2)CCCC3)c(C)[nH+]1 ZINC001178259471 1121778536 /nfs/dbraw/zinc/77/85/36/1121778536.db2.gz NZSWNFMCSNMCAA-UHFFFAOYSA-N 1 2 273.405 3.646 20 0 CHADLO CSCc1cc[nH+]c(N2CCC[C@H](C)[C@@H]2C)c1 ZINC001166613650 1121778855 /nfs/dbraw/zinc/77/88/55/1121778855.db2.gz GUSDVQHGJWKJCE-RYUDHWBXSA-N 1 2 250.411 3.569 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1cccc2c1OCC2 ZINC001178377743 1121782416 /nfs/dbraw/zinc/78/24/16/1121782416.db2.gz STQJNCLAHDFZGE-UHFFFAOYSA-N 1 2 298.386 3.806 20 0 CHADLO CN(Cc1ccsc1)c1[nH+]ccc2ccccc21 ZINC001166821749 1121783506 /nfs/dbraw/zinc/78/35/06/1121783506.db2.gz CKTXKLGGWVGUFN-UHFFFAOYSA-N 1 2 254.358 3.933 20 0 CHADLO C[N@H+](Cc1cc2ccccc2o1)Cc1noc2c1CCCC2 ZINC000175193086 1121804795 /nfs/dbraw/zinc/80/47/95/1121804795.db2.gz MOXPCWLCBIATKD-UHFFFAOYSA-N 1 2 296.370 3.932 20 0 CHADLO C[N@@H+](Cc1cc2ccccc2o1)Cc1noc2c1CCCC2 ZINC000175193086 1121804807 /nfs/dbraw/zinc/80/48/07/1121804807.db2.gz MOXPCWLCBIATKD-UHFFFAOYSA-N 1 2 296.370 3.932 20 0 CHADLO Cc1cc(N2CC[C@H](CC(F)(F)F)C2)nc(C(C)C)[nH+]1 ZINC000433794073 1121811034 /nfs/dbraw/zinc/81/10/34/1121811034.db2.gz VZYONDWMRVIWPA-LLVKDONJSA-N 1 2 287.329 3.687 20 0 CHADLO c1cnc2c(c1)[C@@H](Nc1ccc(N3CCCC3)[nH+]c1)CCC2 ZINC000631606636 1129012228 /nfs/dbraw/zinc/01/22/28/1129012228.db2.gz JZVZKCHGZPGNER-KRWDZBQOSA-N 1 2 294.402 3.566 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1csnn1)c1ccsc1 ZINC000414310030 1121838669 /nfs/dbraw/zinc/83/86/69/1121838669.db2.gz VRGWIETVEUFYQA-MWLCHTKSSA-N 1 2 267.423 3.792 20 0 CHADLO OCc1cc[nH+]c(NCc2ccc(-c3ccc(F)cc3)o2)c1 ZINC001178992085 1121838980 /nfs/dbraw/zinc/83/89/80/1121838980.db2.gz LVVCSKZTOKMPOK-UHFFFAOYSA-N 1 2 298.317 3.585 20 0 CHADLO Fc1cccc2cc(NCc3cccc4[nH+]ccn43)cnc12 ZINC001179104805 1121850072 /nfs/dbraw/zinc/85/00/72/1121850072.db2.gz CXCGOZRVNBFPSF-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO Cn1c2ccccc2[nH+]c1NCc1cc(O)cc(Cl)c1 ZINC001179443995 1121877329 /nfs/dbraw/zinc/87/73/29/1121877329.db2.gz ZILKDWJXVFQQKO-UHFFFAOYSA-N 1 2 287.750 3.544 20 0 CHADLO CCC(CC)(CC)CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000345371117 1121877744 /nfs/dbraw/zinc/87/77/44/1121877744.db2.gz AIXXCJXCPUHGEA-UHFFFAOYSA-N 1 2 299.418 3.819 20 0 CHADLO COc1c(C)c[nH+]c(CN2CCc3ccc(C)cc32)c1C ZINC000731889412 1121889482 /nfs/dbraw/zinc/88/94/82/1121889482.db2.gz IHYIYSWLVKYEIN-UHFFFAOYSA-N 1 2 282.387 3.578 20 0 CHADLO CC(C)(C)/C=C/C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000731896343 1121892065 /nfs/dbraw/zinc/89/20/65/1121892065.db2.gz IPDNQXPABOEGOR-VOTSOKGWSA-N 1 2 287.338 3.552 20 0 CHADLO Cc1nc(C[NH2+]C2(c3cccc(Cl)c3)CC2)c(C)o1 ZINC000660195788 1129017071 /nfs/dbraw/zinc/01/70/71/1129017071.db2.gz BWUAKSLGUGVACG-UHFFFAOYSA-N 1 2 276.767 3.724 20 0 CHADLO CC1(C)C(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1(C)C ZINC000345719031 1121910542 /nfs/dbraw/zinc/91/05/42/1121910542.db2.gz ADRSLTBWAUBZTI-UHFFFAOYSA-N 1 2 283.375 3.697 20 0 CHADLO C[C@@H]([NH2+]CC(C)(C)F)c1nc(C(F)(F)F)cs1 ZINC000631664864 1129019198 /nfs/dbraw/zinc/01/91/98/1129019198.db2.gz VALKBQPGUUBNBC-ZCFIWIBFSA-N 1 2 270.295 3.561 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@H](C)c1cccc(C(F)(F)F)c1 ZINC000822268648 1121950399 /nfs/dbraw/zinc/95/03/99/1121950399.db2.gz WQHWROBCSKMULQ-RKDXNWHRSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)on1 ZINC000732989426 1121950839 /nfs/dbraw/zinc/95/08/39/1121950839.db2.gz QEBRGGOQXXMTQX-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)on1 ZINC000732989426 1121950847 /nfs/dbraw/zinc/95/08/47/1121950847.db2.gz QEBRGGOQXXMTQX-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ccccc1-c1noc([C@H](C)[N@@H+]2CC[C@@](C)(F)C2)n1 ZINC000501396037 1121974199 /nfs/dbraw/zinc/97/41/99/1121974199.db2.gz UMQGNSIZVMSOAL-BLLLJJGKSA-N 1 2 289.354 3.540 20 0 CHADLO Cc1ccccc1-c1noc([C@H](C)[N@H+]2CC[C@@](C)(F)C2)n1 ZINC000501396037 1121974204 /nfs/dbraw/zinc/97/42/04/1121974204.db2.gz UMQGNSIZVMSOAL-BLLLJJGKSA-N 1 2 289.354 3.540 20 0 CHADLO Cc1nc(-c2cccc(NCc3ccc[nH+]c3N)c2)cs1 ZINC001180284850 1121977556 /nfs/dbraw/zinc/97/75/56/1121977556.db2.gz OLEMNWZLKGOEOQ-UHFFFAOYSA-N 1 2 296.399 3.708 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+]1CC[C@H](C)[C@@H](F)C1 ZINC001180333067 1121981475 /nfs/dbraw/zinc/98/14/75/1121981475.db2.gz YOHKESNPJLPPEV-FZMZJTMJSA-N 1 2 285.790 3.919 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+]1CC[C@H](C)[C@@H](F)C1 ZINC001180333067 1121981482 /nfs/dbraw/zinc/98/14/82/1121981482.db2.gz YOHKESNPJLPPEV-FZMZJTMJSA-N 1 2 285.790 3.919 20 0 CHADLO COc1cc(C)ccc1C[NH2+]Cc1csc(C(C)C)n1 ZINC000151033833 1121987155 /nfs/dbraw/zinc/98/71/55/1121987155.db2.gz HCAVBEOQMSYZSX-UHFFFAOYSA-N 1 2 290.432 3.873 20 0 CHADLO Cc1cc(NCc2ccc[nH+]c2N)ccc1C(F)(F)F ZINC001180488853 1121991212 /nfs/dbraw/zinc/99/12/12/1121991212.db2.gz TUCDTCYAHCZMJC-UHFFFAOYSA-N 1 2 281.281 3.603 20 0 CHADLO CCn1cnc(Cl)c1C[N@H+](C)[C@@H]1CCc2ccccc21 ZINC001180533067 1121994102 /nfs/dbraw/zinc/99/41/02/1121994102.db2.gz XPOJBYWJKKSXDU-CQSZACIVSA-N 1 2 289.810 3.676 20 0 CHADLO CCn1cnc(Cl)c1C[N@@H+](C)[C@@H]1CCc2ccccc21 ZINC001180533067 1121994107 /nfs/dbraw/zinc/99/41/07/1121994107.db2.gz XPOJBYWJKKSXDU-CQSZACIVSA-N 1 2 289.810 3.676 20 0 CHADLO COc1cnc(F)c(C[N@H+](C)Cc2cccc(Cl)c2)c1 ZINC001180565054 1122001606 /nfs/dbraw/zinc/00/16/06/1122001606.db2.gz AKPVHZAKOJCEGK-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cnc(F)c(C[N@@H+](C)Cc2cccc(Cl)c2)c1 ZINC001180565054 1122001611 /nfs/dbraw/zinc/00/16/11/1122001611.db2.gz AKPVHZAKOJCEGK-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Fc1ccc(CCNc2c[nH+]c3c(c2)CCCC3)cc1F ZINC001180839784 1122018414 /nfs/dbraw/zinc/01/84/14/1122018414.db2.gz ZSBJZOLAFJXQNH-UHFFFAOYSA-N 1 2 288.341 3.893 20 0 CHADLO Cc1cc(CNc2cccc(C(F)(F)F)n2)cc(C)[nH+]1 ZINC000420652237 1122029012 /nfs/dbraw/zinc/02/90/12/1122029012.db2.gz LYCVYRYEEOEGJA-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO CC[C@H](NC(=O)NCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC000420849603 1122037676 /nfs/dbraw/zinc/03/76/76/1122037676.db2.gz OCCIUISAEQDJRO-KRWDZBQOSA-N 1 2 297.402 3.649 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC001204294277 1122044245 /nfs/dbraw/zinc/04/42/45/1122044245.db2.gz MLMSLJXLQJWLEK-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@H+]1CCn2cccc2[C@@H]1C ZINC001204294277 1122044252 /nfs/dbraw/zinc/04/42/52/1122044252.db2.gz MLMSLJXLQJWLEK-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CCC[C@H](CC(F)(F)F)C2)s1 ZINC000420942645 1122053851 /nfs/dbraw/zinc/05/38/51/1122053851.db2.gz HGIXGFCUXRCNOJ-PSASIEDQSA-N 1 2 293.358 3.572 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CCC[C@H](CC(F)(F)F)C2)s1 ZINC000420942645 1122053853 /nfs/dbraw/zinc/05/38/53/1122053853.db2.gz HGIXGFCUXRCNOJ-PSASIEDQSA-N 1 2 293.358 3.572 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1ccccc1Cl ZINC000353387600 1122070531 /nfs/dbraw/zinc/07/05/31/1122070531.db2.gz XYYOVWPWNSKAJH-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1ccccc1Cl ZINC000353387600 1122070537 /nfs/dbraw/zinc/07/05/37/1122070537.db2.gz XYYOVWPWNSKAJH-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO CC[C@@H](CC(C)C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001181806123 1122079516 /nfs/dbraw/zinc/07/95/16/1122079516.db2.gz PYWQUZUUSXNHLE-AWEZNQCLSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cccc(N(C)C)c3C)n2c1 ZINC000421335592 1122099141 /nfs/dbraw/zinc/09/91/41/1122099141.db2.gz KYKFQTANHWRVJI-UHFFFAOYSA-N 1 2 294.402 3.629 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2ccc(CF)cc2)c(C)[nH+]1 ZINC000773994862 1122118310 /nfs/dbraw/zinc/11/83/10/1122118310.db2.gz PKFWSSHCPDPLHE-FQEVSTJZSA-N 1 2 291.391 3.617 20 0 CHADLO COc1nc(Nc2ccc(C)[nH+]c2C)cc2ccccc21 ZINC001203370220 1122118532 /nfs/dbraw/zinc/11/85/32/1122118532.db2.gz XNEIUGABVMDICW-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CC[NH+](CC)[C@H](C(=O)N(C)C(C)C)c1ccccc1Cl ZINC001182218388 1122122674 /nfs/dbraw/zinc/12/26/74/1122122674.db2.gz ITLKAQMFOCJLMO-HNNXBMFYSA-N 1 2 296.842 3.590 20 0 CHADLO Cc1cc(Br)nc(Nc2ccc(C)[nH+]c2C)c1 ZINC001203370822 1122123164 /nfs/dbraw/zinc/12/31/64/1122123164.db2.gz VOEZGRSAOPRZDB-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(CNC(=O)C23CC4CC(CC(C4)C2)C3)cc(C)[nH+]1 ZINC000421558656 1122137682 /nfs/dbraw/zinc/13/76/82/1122137682.db2.gz NCBRYSJADXPPMU-UHFFFAOYSA-N 1 2 298.430 3.531 20 0 CHADLO CCC[C@H]1CCC[C@H]1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421576041 1122138521 /nfs/dbraw/zinc/13/85/21/1122138521.db2.gz LNCWHUJCZIMJNF-JKSUJKDBSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(CNC(=O)[C@@H]2CCCC(C)(C)C2)cc(C)[nH+]1 ZINC000421576008 1122138562 /nfs/dbraw/zinc/13/85/62/1122138562.db2.gz LFYNXLUXYVTOMC-OAHLLOKOSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1ccc(C(C)(C)C(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421574202 1122138746 /nfs/dbraw/zinc/13/87/46/1122138746.db2.gz DXXUKDBWHRTHEN-UHFFFAOYSA-N 1 2 296.414 3.601 20 0 CHADLO CCCc1ccc(C(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421581029 1122139661 /nfs/dbraw/zinc/13/96/61/1122139661.db2.gz XNJIVQXHPLEQIZ-UHFFFAOYSA-N 1 2 282.387 3.581 20 0 CHADLO Cc1cc(CNC(=O)CC2CCC(C)CC2)cc(C)[nH+]1 ZINC000421578880 1122139678 /nfs/dbraw/zinc/13/96/78/1122139678.db2.gz SEOLEMYAAYVFJN-UHFFFAOYSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)cc1C ZINC000271745286 1122173896 /nfs/dbraw/zinc/17/38/96/1122173896.db2.gz JMRKBCLINBDGPN-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO CC(C)c1cc(NCc2ccc(-n3cc[nH+]c3)cc2)no1 ZINC000271944410 1122183490 /nfs/dbraw/zinc/18/34/90/1122183490.db2.gz PPAYLQOOZPZXCG-UHFFFAOYSA-N 1 2 282.347 3.596 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCOc2cc(F)ccc21)c1cscn1 ZINC000271991265 1122186207 /nfs/dbraw/zinc/18/62/07/1122186207.db2.gz IVWUWXJTDHOLFP-GXFFZTMASA-N 1 2 292.379 3.847 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCOc2cc(F)ccc21)c1cscn1 ZINC000271991267 1122186273 /nfs/dbraw/zinc/18/62/73/1122186273.db2.gz IVWUWXJTDHOLFP-ZWNOBZJWSA-N 1 2 292.379 3.847 20 0 CHADLO COc1cc(C)nc(C[NH2+][C@@H](C)c2cccc(F)c2F)c1 ZINC000272125197 1122191236 /nfs/dbraw/zinc/19/12/36/1122191236.db2.gz KYSCLTAZLLJHBV-NSHDSACASA-N 1 2 292.329 3.528 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1cc(Cl)cs1)C2 ZINC001182910983 1122196322 /nfs/dbraw/zinc/19/63/22/1122196322.db2.gz SZMLNDIIWXQZLL-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1cc(Cl)cs1)C2 ZINC001182910983 1122196323 /nfs/dbraw/zinc/19/63/23/1122196323.db2.gz SZMLNDIIWXQZLL-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(F)cn2)ccc1[NH+](C)C ZINC000272375849 1122199542 /nfs/dbraw/zinc/19/95/42/1122199542.db2.gz IEUPGCWHLHMZMW-LBPRGKRZSA-N 1 2 273.355 3.768 20 0 CHADLO COc1c(Cl)ccc(F)c1Nc1cccn2cc[nH+]c12 ZINC001250099231 1122202487 /nfs/dbraw/zinc/20/24/87/1122202487.db2.gz LDFPJLICPGEGTC-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nnc(C(C)C)[nH]1)c1ccccc1F ZINC000272610222 1122207537 /nfs/dbraw/zinc/20/75/37/1122207537.db2.gz KFNPZBBASMGBJD-RISCZKNCSA-N 1 2 290.386 3.869 20 0 CHADLO C[C@H]([NH2+]Cc1cncc(Cl)c1Cl)c1ccns1 ZINC001183197186 1122210558 /nfs/dbraw/zinc/21/05/58/1122210558.db2.gz PKXLHKVPZXJWRK-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO Cc1cc(N2CC[C@@H]2c2ccc(F)cc2)nc(C2CC2)[nH+]1 ZINC000664290486 1122224415 /nfs/dbraw/zinc/22/44/15/1122224415.db2.gz KCCCADDVKPADHI-OAHLLOKOSA-N 1 2 283.350 3.753 20 0 CHADLO Cc1cc(N2CC[C@H]2c2ccc(F)cc2)nc(C2CC2)[nH+]1 ZINC000664290481 1122224642 /nfs/dbraw/zinc/22/46/42/1122224642.db2.gz KCCCADDVKPADHI-HNNXBMFYSA-N 1 2 283.350 3.753 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@@H](c1nc(C)cs1)C1CC1 ZINC000273446689 1122232441 /nfs/dbraw/zinc/23/24/41/1122232441.db2.gz XIUWLOMECREWAC-CQSZACIVSA-N 1 2 291.420 3.551 20 0 CHADLO COC1(C(F)(F)F)C[NH+](C[C@@H](C)CC2CCCCC2)C1 ZINC001184012732 1122251840 /nfs/dbraw/zinc/25/18/40/1122251840.db2.gz PAJLIYDOYHSSEW-LBPRGKRZSA-N 1 2 293.373 3.856 20 0 CHADLO Cc1nc(C[NH2+][C@]2(c3ccc(Cl)cc3)C[C@H]2C)oc1C ZINC000503376963 1122259385 /nfs/dbraw/zinc/25/93/85/1122259385.db2.gz LGHCDEZRENALOD-QLJPJBMISA-N 1 2 290.794 3.970 20 0 CHADLO Cn1ccc(C[N@H+](C)Cc2cccc(C3CCCC3)c2)n1 ZINC001184275546 1122268295 /nfs/dbraw/zinc/26/82/95/1122268295.db2.gz BKQIXIZKJRQWLA-UHFFFAOYSA-N 1 2 283.419 3.710 20 0 CHADLO Cn1ccc(C[N@@H+](C)Cc2cccc(C3CCCC3)c2)n1 ZINC001184275546 1122268302 /nfs/dbraw/zinc/26/83/02/1122268302.db2.gz BKQIXIZKJRQWLA-UHFFFAOYSA-N 1 2 283.419 3.710 20 0 CHADLO CCOC(=O)[C@H](C)[N@H+](C/C(C)=C/c1ccccc1)C1CC1 ZINC000503570275 1122268878 /nfs/dbraw/zinc/26/88/78/1122268878.db2.gz FCXNWZKVAHHYSE-ZQHYZAEZSA-N 1 2 287.403 3.506 20 0 CHADLO CCOC(=O)[C@H](C)[N@@H+](C/C(C)=C/c1ccccc1)C1CC1 ZINC000503570275 1122268880 /nfs/dbraw/zinc/26/88/80/1122268880.db2.gz FCXNWZKVAHHYSE-ZQHYZAEZSA-N 1 2 287.403 3.506 20 0 CHADLO C[C@@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1Cl ZINC001184474233 1122278322 /nfs/dbraw/zinc/27/83/22/1122278322.db2.gz SGYJOFPXTYADKR-LLVKDONJSA-N 1 2 299.761 3.730 20 0 CHADLO CO[C@H](CNc1[nH+]c2ccccc2n1C1CCCC1)C1CC1 ZINC001184461517 1122278566 /nfs/dbraw/zinc/27/85/66/1122278566.db2.gz BXCXRCDIGISPIE-QGZVFWFLSA-N 1 2 299.418 3.988 20 0 CHADLO c1noc2ccc(Nc3ccc[nH+]c3N3CCCC3)cc12 ZINC001184969340 1122305061 /nfs/dbraw/zinc/30/50/61/1122305061.db2.gz ZHODYZAHRGZMFO-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000424624441 1122320698 /nfs/dbraw/zinc/32/06/98/1122320698.db2.gz FSNYEEBSTOWRRM-DOMZBBRYSA-N 1 2 283.375 3.842 20 0 CHADLO CCc1cc(NCCCOc2ccc(C)cc2)nc(CC)[nH+]1 ZINC001185136405 1122321503 /nfs/dbraw/zinc/32/15/03/1122321503.db2.gz COMGDCBKVAYCAT-UHFFFAOYSA-N 1 2 299.418 3.791 20 0 CHADLO C[N@H+](CCOc1ccccc1)Cc1cccc(Cl)c1O ZINC000183372569 1129048415 /nfs/dbraw/zinc/04/84/15/1129048415.db2.gz PCHPYMYTBTUQAV-UHFFFAOYSA-N 1 2 291.778 3.556 20 0 CHADLO C[N@@H+](CCOc1ccccc1)Cc1cccc(Cl)c1O ZINC000183372569 1129048419 /nfs/dbraw/zinc/04/84/19/1129048419.db2.gz PCHPYMYTBTUQAV-UHFFFAOYSA-N 1 2 291.778 3.556 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(Cl)n1)c1cc(F)ccc1F ZINC000774899918 1122349887 /nfs/dbraw/zinc/34/98/87/1122349887.db2.gz CGVWPHSWMGDDQC-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO CCc1cc(NCCC2Cc3ccccc3C2)nc(CC)[nH+]1 ZINC001186111704 1122375613 /nfs/dbraw/zinc/37/56/13/1122375613.db2.gz GPGIKQOBOUDJRZ-UHFFFAOYSA-N 1 2 295.430 3.818 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+]1CCOc2c(F)cccc2C1 ZINC000434611089 1122382685 /nfs/dbraw/zinc/38/26/85/1122382685.db2.gz CQYQOMLUZCJTMC-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+]1CCOc2c(F)cccc2C1 ZINC000434611089 1122382691 /nfs/dbraw/zinc/38/26/91/1122382691.db2.gz CQYQOMLUZCJTMC-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO CCO[C@@H](CNc1cc(CC)[nH+]c(CC)n1)c1ccccc1 ZINC001186304145 1122384119 /nfs/dbraw/zinc/38/41/19/1122384119.db2.gz JVGYWTURGJBGQK-INIZCTEOSA-N 1 2 299.418 3.791 20 0 CHADLO Cc1cc(N[C@@H]2C[C@H]2c2ccco2)nc(C2CCC2)[nH+]1 ZINC001186688504 1122402991 /nfs/dbraw/zinc/40/29/91/1122402991.db2.gz LFXINIASXCDFMT-CHWSQXEVSA-N 1 2 269.348 3.614 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccnc2Br)c1 ZINC001203654934 1122421859 /nfs/dbraw/zinc/42/18/59/1122421859.db2.gz SUEYPUWIKHJTPT-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cncc(Br)c2)c1 ZINC001203655151 1122422158 /nfs/dbraw/zinc/42/21/58/1122422158.db2.gz WTMNXKDPIMPGAY-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncc(F)cc2Br)c1 ZINC001203656600 1122423858 /nfs/dbraw/zinc/42/38/58/1122423858.db2.gz WVGVOQFHKFENEH-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(N)cc(Cl)nc2Cl)c1 ZINC001203655859 1122424637 /nfs/dbraw/zinc/42/46/37/1122424637.db2.gz DVKAHVUOVCTGQN-UHFFFAOYSA-N 1 2 283.162 3.726 20 0 CHADLO Cc1cccc(-n2nccc2Nc2cc(C)c[nH+]c2C)c1 ZINC001203657402 1122425034 /nfs/dbraw/zinc/42/50/34/1122425034.db2.gz LVEHWZZAXZJNAP-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1nc(NCC[C@H]2CC=CCC2)c2c([nH+]1)CCCC2 ZINC001187314658 1122425615 /nfs/dbraw/zinc/42/56/15/1122425615.db2.gz AZENEXQZEIPIBG-AWEZNQCLSA-N 1 2 271.408 3.822 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(OC(F)(F)F)ccn2)c1 ZINC001203660377 1122427033 /nfs/dbraw/zinc/42/70/33/1122427033.db2.gz HHCWJPGNOFTWGR-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1cnn(CC2CCC2)c1Nc1cc(C)c[nH+]c1C ZINC001203660378 1122427206 /nfs/dbraw/zinc/42/72/06/1122427206.db2.gz HHYPQENEXRMZOR-UHFFFAOYSA-N 1 2 270.380 3.747 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccccc2C(C)(C)O)c1 ZINC001203663071 1122428120 /nfs/dbraw/zinc/42/81/20/1122428120.db2.gz HPJFILJNXKGSIH-UHFFFAOYSA-N 1 2 256.349 3.669 20 0 CHADLO Cc1cccc(C)c1CN(C)c1nc(C)[nH+]c2c1CCCC2 ZINC001187746729 1122442543 /nfs/dbraw/zinc/44/25/43/1122442543.db2.gz JLLXRPUXUWLJHQ-UHFFFAOYSA-N 1 2 295.430 3.917 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1[C@@H](c1nccn1C)C1CC1 ZINC000638085577 1122448434 /nfs/dbraw/zinc/44/84/34/1122448434.db2.gz RHTSRWJEGRWXEI-YVEFUNNKSA-N 1 2 299.393 3.630 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1[C@@H](c1nccn1C)C1CC1 ZINC000638085577 1122448439 /nfs/dbraw/zinc/44/84/39/1122448439.db2.gz RHTSRWJEGRWXEI-YVEFUNNKSA-N 1 2 299.393 3.630 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)[C@H](C)c1c(F)cccc1F ZINC000425327578 1122456486 /nfs/dbraw/zinc/45/64/86/1122456486.db2.gz POSBAOAYDPGCHZ-GFCCVEGCSA-N 1 2 293.361 3.935 20 0 CHADLO CC(C)(C)c1ccc(C(=O)Nc2ccn3cc[nH+]c3c2)s1 ZINC001188246112 1122459492 /nfs/dbraw/zinc/45/94/92/1122459492.db2.gz XUHPSGYKOMDHHE-UHFFFAOYSA-N 1 2 299.399 3.946 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@H]2CCc3c2c(F)ccc3F)n1 ZINC000515154692 1122480142 /nfs/dbraw/zinc/48/01/42/1122480142.db2.gz PBTTXVXAZPUEMK-HNNXBMFYSA-N 1 2 291.345 3.519 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1cnccc1C)CC3 ZINC000366635511 1122491299 /nfs/dbraw/zinc/49/12/99/1122491299.db2.gz HPPGJGFGBSOUNF-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1cnccc1C)CC3 ZINC000366635511 1122491305 /nfs/dbraw/zinc/49/13/05/1122491305.db2.gz HPPGJGFGBSOUNF-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO c1cn(CCSc2ccc3c4c(cccc42)CC3)c[nH+]1 ZINC001189977644 1122520111 /nfs/dbraw/zinc/52/01/11/1122520111.db2.gz VGAVQEBMNXAEKB-UHFFFAOYSA-N 1 2 280.396 3.927 20 0 CHADLO Clc1ncc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001203712543 1122521441 /nfs/dbraw/zinc/52/14/41/1122521441.db2.gz JIRFKSIIVLTWHS-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO CCCC1CCN(C(=O)c2ccccc2-n2cc[nH+]c2)CC1 ZINC001190098467 1122524593 /nfs/dbraw/zinc/52/45/93/1122524593.db2.gz QZFQVVPWWCLVRT-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO Nc1cc(CSc2nc3ccc(F)cc3s2)cc[nH+]1 ZINC001190089043 1122524808 /nfs/dbraw/zinc/52/48/08/1122524808.db2.gz NAGVBXDFODIUQA-UHFFFAOYSA-N 1 2 291.376 3.705 20 0 CHADLO CCc1cn(CC(=O)c2ccc([C@@H](C)CC)cc2)c[nH+]1 ZINC001190103934 1122525361 /nfs/dbraw/zinc/52/53/61/1122525361.db2.gz MYLMMGYJLIMRDG-ZDUSSCGKSA-N 1 2 270.376 3.842 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](C)c1ccc(SC(C)C)cc1 ZINC000775354094 1122526019 /nfs/dbraw/zinc/52/60/19/1122526019.db2.gz ZFCQIRAYKWGMBY-NSHDSACASA-N 1 2 291.420 3.729 20 0 CHADLO CC(C)c1ccccc1C(=O)Nc1cccc2[nH+]ccn21 ZINC001190239379 1122530418 /nfs/dbraw/zinc/53/04/18/1122530418.db2.gz ULQDHOXFYMGFKB-UHFFFAOYSA-N 1 2 279.343 3.710 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000505809955 1122545903 /nfs/dbraw/zinc/54/59/03/1122545903.db2.gz UPEFODVBPXHNMN-YVEFUNNKSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000505809955 1122545905 /nfs/dbraw/zinc/54/59/05/1122545905.db2.gz UPEFODVBPXHNMN-YVEFUNNKSA-N 1 2 297.402 3.666 20 0 CHADLO COC(=O)[C@@H](c1ccccc1Cl)[NH+]1CCC(C)(C)CC1 ZINC001190742311 1122553767 /nfs/dbraw/zinc/55/37/67/1122553767.db2.gz IWGXGUJIZWJDHT-CQSZACIVSA-N 1 2 295.810 3.676 20 0 CHADLO Cc1nn(C)c(Cl)c1C[NH2+][C@H](C)c1ccc(F)cc1F ZINC000775428381 1122564510 /nfs/dbraw/zinc/56/45/10/1122564510.db2.gz QUWRMQGGVNIFAP-MRVPVSSYSA-N 1 2 299.752 3.511 20 0 CHADLO COc1nscc1C[N@@H+]1CC[C@H](C)C[C@@H]1c1ccco1 ZINC001191213484 1122582113 /nfs/dbraw/zinc/58/21/13/1122582113.db2.gz IJEYUQCTMTWBDK-WCQYABFASA-N 1 2 292.404 3.718 20 0 CHADLO COc1nscc1C[N@H+]1CC[C@H](C)C[C@@H]1c1ccco1 ZINC001191213484 1122582116 /nfs/dbraw/zinc/58/21/16/1122582116.db2.gz IJEYUQCTMTWBDK-WCQYABFASA-N 1 2 292.404 3.718 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2nnc(C(C)(C)C)o2)c1 ZINC000775442461 1122582533 /nfs/dbraw/zinc/58/25/33/1122582533.db2.gz DBNGGOZGFHDIMS-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO C[C@@H]1C[C@H](C[N@@H+](Cc2ccccc2)CC(F)F)C[C@H](C)O1 ZINC001191428624 1122599657 /nfs/dbraw/zinc/59/96/57/1122599657.db2.gz VBWCNYHBOFUTIJ-FOLVSLTJSA-N 1 2 297.389 3.957 20 0 CHADLO C[C@@H]1C[C@H](C[N@H+](Cc2ccccc2)CC(F)F)C[C@H](C)O1 ZINC001191428624 1122599662 /nfs/dbraw/zinc/59/96/62/1122599662.db2.gz VBWCNYHBOFUTIJ-FOLVSLTJSA-N 1 2 297.389 3.957 20 0 CHADLO COC[C@@H]([NH2+]Cc1nccc2ccccc21)c1ccc(C)o1 ZINC000894326947 1122611771 /nfs/dbraw/zinc/61/17/71/1122611771.db2.gz AWBVOOLNIJMMBG-QGZVFWFLSA-N 1 2 296.370 3.614 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2ccc(F)c(C)c2)[nH+]1 ZINC001192342970 1122639697 /nfs/dbraw/zinc/63/96/97/1122639697.db2.gz BVJUUZFQJZLJIA-UHFFFAOYSA-N 1 2 298.321 3.734 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc3nc(C)ccc3c2)cs1 ZINC000437584083 1122643651 /nfs/dbraw/zinc/64/36/51/1122643651.db2.gz QVQLGGWWUAWTOS-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc3nc(C)ccc3c2)cs1 ZINC000437584083 1122643652 /nfs/dbraw/zinc/64/36/52/1122643652.db2.gz QVQLGGWWUAWTOS-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO COCC[N@H+](CC(F)F)[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437620675 1122646590 /nfs/dbraw/zinc/64/65/90/1122646590.db2.gz MZUZVSRKMJLSNK-DOTOQJQBSA-N 1 2 297.389 3.710 20 0 CHADLO COCC[N@@H+](CC(F)F)[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437620675 1122646592 /nfs/dbraw/zinc/64/65/92/1122646592.db2.gz MZUZVSRKMJLSNK-DOTOQJQBSA-N 1 2 297.389 3.710 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC[C@H](c2ccccc2)C1)C(=O)OC(C)(C)C ZINC000437785355 1122655768 /nfs/dbraw/zinc/65/57/68/1122655768.db2.gz LMHTZSYUMDPSOA-VNQPRFMTSA-N 1 2 289.419 3.643 20 0 CHADLO CCCCOc1ccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000437962730 1122665574 /nfs/dbraw/zinc/66/55/74/1122665574.db2.gz KDLHAALZTZFONC-KRWDZBQOSA-N 1 2 299.418 3.926 20 0 CHADLO CCCCOc1ccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000437962730 1122665576 /nfs/dbraw/zinc/66/55/76/1122665576.db2.gz KDLHAALZTZFONC-KRWDZBQOSA-N 1 2 299.418 3.926 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(C2CC2)cc1F)c1ccn(C)n1 ZINC000425936844 1122666680 /nfs/dbraw/zinc/66/66/80/1122666680.db2.gz OMSNQVGDXBQMEE-INIZCTEOSA-N 1 2 287.382 3.678 20 0 CHADLO CCCCCCC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000193366679 1129071969 /nfs/dbraw/zinc/07/19/69/1129071969.db2.gz CBMZMHUWBKJQDT-UHFFFAOYSA-N 1 2 271.364 3.781 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCO[C@H](c3ccccc3)C2)c1 ZINC000506367867 1122726346 /nfs/dbraw/zinc/72/63/46/1122726346.db2.gz GWYFZJHJEYVONQ-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCO[C@H](c3ccccc3)C2)c1 ZINC000506367867 1122726349 /nfs/dbraw/zinc/72/63/49/1122726349.db2.gz GWYFZJHJEYVONQ-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cnccc1CNc1c[nH+]ccc1OC(C)(C)C ZINC000295507151 1122750525 /nfs/dbraw/zinc/75/05/25/1122750525.db2.gz UOYKXBHRWWZTAF-UHFFFAOYSA-N 1 2 271.364 3.574 20 0 CHADLO Cc1occc1C[NH2+][C@@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000623877293 1122766341 /nfs/dbraw/zinc/76/63/41/1122766341.db2.gz XXSJVJPGMIYZNZ-ZDUSSCGKSA-N 1 2 295.386 3.839 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@H](c3ccccc3)C[C@@H]2C)no1 ZINC000439331044 1122773649 /nfs/dbraw/zinc/77/36/49/1122773649.db2.gz RBNVUEUBJYZIIQ-GOEBONIOSA-N 1 2 299.418 3.790 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@H](c3ccccc3)C[C@@H]2C)no1 ZINC000439331044 1122773652 /nfs/dbraw/zinc/77/36/52/1122773652.db2.gz RBNVUEUBJYZIIQ-GOEBONIOSA-N 1 2 299.418 3.790 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3cscc3C)ccc2[nH+]1 ZINC000439388723 1122775482 /nfs/dbraw/zinc/77/54/82/1122775482.db2.gz RVVBKBQSNMLVDI-UHFFFAOYSA-N 1 2 286.360 3.657 20 0 CHADLO C[C@@H]1CC[N@H+](C[C@@H]2C[C@H]2c2ccccc2)CC1(F)F ZINC000426369026 1122775512 /nfs/dbraw/zinc/77/55/12/1122775512.db2.gz CNNGORXVHYXRLF-SNPRPXQTSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]1CC[N@@H+](C[C@@H]2C[C@H]2c2ccccc2)CC1(F)F ZINC000426369026 1122775517 /nfs/dbraw/zinc/77/55/17/1122775517.db2.gz CNNGORXVHYXRLF-SNPRPXQTSA-N 1 2 265.347 3.767 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@H+](Cc1nnc(C(C)C)[nH]1)C2 ZINC001194551660 1122782864 /nfs/dbraw/zinc/78/28/64/1122782864.db2.gz KEFPHSRGYBZYDA-UHFFFAOYSA-N 1 2 298.434 3.573 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@@H+](Cc1nnc(C(C)C)[nH]1)C2 ZINC001194551660 1122782871 /nfs/dbraw/zinc/78/28/71/1122782871.db2.gz KEFPHSRGYBZYDA-UHFFFAOYSA-N 1 2 298.434 3.573 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc3nc(C)sc3c2)no1 ZINC000426396465 1122783309 /nfs/dbraw/zinc/78/33/09/1122783309.db2.gz PKSRRXDWCCLGOJ-UHFFFAOYSA-N 1 2 287.388 3.533 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc3nc(C)sc3c2)no1 ZINC000426396465 1122783311 /nfs/dbraw/zinc/78/33/11/1122783311.db2.gz PKSRRXDWCCLGOJ-UHFFFAOYSA-N 1 2 287.388 3.533 20 0 CHADLO Cc1cccc2[nH+]c(CCc3ccc(F)cc3)[nH]c21 ZINC001250578714 1122784166 /nfs/dbraw/zinc/78/41/66/1122784166.db2.gz QZXYXGFPOLVJBP-UHFFFAOYSA-N 1 2 254.308 3.796 20 0 CHADLO Cc1cccc2[nH]c(CCc3ccc(F)cc3)[nH+]c21 ZINC001250578714 1122784173 /nfs/dbraw/zinc/78/41/73/1122784173.db2.gz QZXYXGFPOLVJBP-UHFFFAOYSA-N 1 2 254.308 3.796 20 0 CHADLO Cn1c[nH+]cc1CSc1nc2ccc(Cl)cc2o1 ZINC000439514056 1122785229 /nfs/dbraw/zinc/78/52/29/1122785229.db2.gz OAYOUFJBYXCMBJ-UHFFFAOYSA-N 1 2 279.752 3.507 20 0 CHADLO CC(C)CNc1cc[nH+]c(OCc2ccccc2)c1 ZINC001161647165 1122791011 /nfs/dbraw/zinc/79/10/11/1122791011.db2.gz MLKAQXHKJNJXNW-UHFFFAOYSA-N 1 2 256.349 3.729 20 0 CHADLO CCC(CC)COC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001194707149 1122795707 /nfs/dbraw/zinc/79/57/07/1122795707.db2.gz RTVNMVCWTKWQID-UHFFFAOYSA-N 1 2 287.363 3.857 20 0 CHADLO CC(C)CCOC(=O)Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001194871311 1122814693 /nfs/dbraw/zinc/81/46/93/1122814693.db2.gz CUAVISNNJXEWJL-UHFFFAOYSA-N 1 2 287.363 3.526 20 0 CHADLO C[N@H+](Cc1cccc2c1OCO2)Cc1ccccc1Cl ZINC000506787941 1122830082 /nfs/dbraw/zinc/83/00/82/1122830082.db2.gz CLLRVNRGSOJEGH-UHFFFAOYSA-N 1 2 289.762 3.701 20 0 CHADLO C[N@@H+](Cc1cccc2c1OCO2)Cc1ccccc1Cl ZINC000506787941 1122830087 /nfs/dbraw/zinc/83/00/87/1122830087.db2.gz CLLRVNRGSOJEGH-UHFFFAOYSA-N 1 2 289.762 3.701 20 0 CHADLO Oc1cccc(C[N@@H+]2CC[C@H]2c2ccccc2)c1Cl ZINC000506822836 1122836898 /nfs/dbraw/zinc/83/68/98/1122836898.db2.gz VCMBZSWANBPENQ-AWEZNQCLSA-N 1 2 273.763 3.993 20 0 CHADLO Oc1cccc(C[N@H+]2CC[C@H]2c2ccccc2)c1Cl ZINC000506822836 1122836900 /nfs/dbraw/zinc/83/69/00/1122836900.db2.gz VCMBZSWANBPENQ-AWEZNQCLSA-N 1 2 273.763 3.993 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)[C@@H](C)c1nnc(C)o1 ZINC000154039295 1129081968 /nfs/dbraw/zinc/08/19/68/1129081968.db2.gz XFNVQKXUGBMBKB-JTQLQIEISA-N 1 2 279.771 3.615 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)[C@@H](C)c1nnc(C)o1 ZINC000154039295 1129081972 /nfs/dbraw/zinc/08/19/72/1129081972.db2.gz XFNVQKXUGBMBKB-JTQLQIEISA-N 1 2 279.771 3.615 20 0 CHADLO CC(C)CC1(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000440428410 1122854058 /nfs/dbraw/zinc/85/40/58/1122854058.db2.gz AAWNOAGCBHKWEF-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)nc1 ZINC000664820286 1122863380 /nfs/dbraw/zinc/86/33/80/1122863380.db2.gz OVDXFAXJZXPIII-KRWDZBQOSA-N 1 2 281.403 3.622 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)nc1 ZINC000664820286 1122863383 /nfs/dbraw/zinc/86/33/83/1122863383.db2.gz OVDXFAXJZXPIII-KRWDZBQOSA-N 1 2 281.403 3.622 20 0 CHADLO CC(C)([NH2+]Cc1cc2c(cccc2O)s1)C(F)F ZINC000894603614 1122877602 /nfs/dbraw/zinc/87/76/02/1122877602.db2.gz VKPJRVQYEUVFMB-UHFFFAOYSA-N 1 2 271.332 3.740 20 0 CHADLO c1cn(C2CC2)c(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccccc2)n1 ZINC000894610078 1122879749 /nfs/dbraw/zinc/87/97/49/1122879749.db2.gz IRDQMBDIFYEEMX-SFHVURJKSA-N 1 2 293.414 3.945 20 0 CHADLO c1cn(C2CC2)c(C[N@H+]2CC3(CCC3)[C@@H]2c2ccccc2)n1 ZINC000894610078 1122879760 /nfs/dbraw/zinc/87/97/60/1122879760.db2.gz IRDQMBDIFYEEMX-SFHVURJKSA-N 1 2 293.414 3.945 20 0 CHADLO CC[C@H](NC(=O)C[C@H](C)n1cc[nH+]c1)c1cc(C)ccc1C ZINC000629108380 1122882372 /nfs/dbraw/zinc/88/23/72/1122882372.db2.gz GYPTUQVXGBVVHN-RDJZCZTQSA-N 1 2 299.418 3.719 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2cnc(C(C)C)nc2)o1 ZINC000894660106 1122889652 /nfs/dbraw/zinc/88/96/52/1122889652.db2.gz IXXUXABGRUPJMD-UHFFFAOYSA-N 1 2 291.420 3.547 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2cnc(C(C)C)nc2)o1 ZINC000894660106 1122889661 /nfs/dbraw/zinc/88/96/61/1122889661.db2.gz IXXUXABGRUPJMD-UHFFFAOYSA-N 1 2 291.420 3.547 20 0 CHADLO CC(C)(C)c1ccccc1OCCNc1cccc[nH+]1 ZINC000019777781 1122892167 /nfs/dbraw/zinc/89/21/67/1122892167.db2.gz ITKPBWGUKOTZGO-UHFFFAOYSA-N 1 2 270.376 3.870 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000894744228 1122901392 /nfs/dbraw/zinc/90/13/92/1122901392.db2.gz PSJJGLDHVNVLPR-FZMZJTMJSA-N 1 2 287.407 3.878 20 0 CHADLO Cc1ccncc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000353653721 1122913790 /nfs/dbraw/zinc/91/37/90/1122913790.db2.gz IQQXDSNGXYVVDN-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ccncc1C[N@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000353653721 1122913792 /nfs/dbraw/zinc/91/37/92/1122913792.db2.gz IQQXDSNGXYVVDN-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1ccc(F)c(F)c1F ZINC000668091320 1122914789 /nfs/dbraw/zinc/91/47/89/1122914789.db2.gz CHNOPJHYKWOZNX-CBAPKCEASA-N 1 2 297.242 3.877 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1ccc(F)c(F)c1F ZINC000668091320 1122914793 /nfs/dbraw/zinc/91/47/93/1122914793.db2.gz CHNOPJHYKWOZNX-CBAPKCEASA-N 1 2 297.242 3.877 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)CCc1c(Cl)cccc1Cl ZINC001196758236 1122933410 /nfs/dbraw/zinc/93/34/10/1122933410.db2.gz DGTOSFAJUNCYOC-UHFFFAOYSA-N 1 2 298.217 3.699 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)CCc1c(Cl)cccc1Cl ZINC001196758236 1122933415 /nfs/dbraw/zinc/93/34/15/1122933415.db2.gz DGTOSFAJUNCYOC-UHFFFAOYSA-N 1 2 298.217 3.699 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1-c1c[nH+]c(N)c(C)c1 ZINC000713183939 1122967621 /nfs/dbraw/zinc/96/76/21/1122967621.db2.gz FLQJXBGCNVIXFX-UHFFFAOYSA-N 1 2 266.266 3.966 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCCC23CCC3)n1 ZINC000334211557 1122971184 /nfs/dbraw/zinc/97/11/84/1122971184.db2.gz PWQKQLRSWGMWLJ-UHFFFAOYSA-N 1 2 276.327 3.680 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCCC23CCC3)n1 ZINC000334211557 1122971185 /nfs/dbraw/zinc/97/11/85/1122971185.db2.gz PWQKQLRSWGMWLJ-UHFFFAOYSA-N 1 2 276.327 3.680 20 0 CHADLO Cc1ccc(NC(=S)Nc2cccc3[nH+]ccn32)c(C)c1 ZINC001197959560 1122975603 /nfs/dbraw/zinc/97/56/03/1122975603.db2.gz JPWOALDEPOWELF-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(-c3ccccc3)cc2F)CCO1 ZINC000507624115 1122975979 /nfs/dbraw/zinc/97/59/79/1122975979.db2.gz YNCILRRYSDKJSF-AWEZNQCLSA-N 1 2 285.362 3.713 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(-c3ccccc3)cc2F)CCO1 ZINC000507624115 1122975981 /nfs/dbraw/zinc/97/59/81/1122975981.db2.gz YNCILRRYSDKJSF-AWEZNQCLSA-N 1 2 285.362 3.713 20 0 CHADLO CCOC(=O)[C@H](CC)[NH2+][C@H]1CCc2cccc3cccc1c32 ZINC001197989811 1122978456 /nfs/dbraw/zinc/97/84/56/1122978456.db2.gz NHLPWTMWWJNXAG-IRXDYDNUSA-N 1 2 297.398 3.758 20 0 CHADLO CC1(C)C[C@H]([NH2+]Cc2cscn2)c2ccc(F)cc2O1 ZINC000334299989 1122981150 /nfs/dbraw/zinc/98/11/50/1122981150.db2.gz SIHSEMSSHJQBKK-ZDUSSCGKSA-N 1 2 292.379 3.674 20 0 CHADLO CC(C)c1ccc(NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000334307881 1122984598 /nfs/dbraw/zinc/98/45/98/1122984598.db2.gz ICLPDCFFCJAGEV-CQSZACIVSA-N 1 2 283.375 3.592 20 0 CHADLO CC[N@H+](Cc1nc2ccccc2n1C)Cc1cccc(F)c1 ZINC000154734298 1129091928 /nfs/dbraw/zinc/09/19/28/1129091928.db2.gz PQSCULFRYHDZQS-UHFFFAOYSA-N 1 2 297.377 3.735 20 0 CHADLO CC[N@@H+](Cc1nc2ccccc2n1C)Cc1cccc(F)c1 ZINC000154734298 1129091932 /nfs/dbraw/zinc/09/19/32/1129091932.db2.gz PQSCULFRYHDZQS-UHFFFAOYSA-N 1 2 297.377 3.735 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1ncc(C(C)(C)C)o1)C2 ZINC000729480084 1123009192 /nfs/dbraw/zinc/00/91/92/1123009192.db2.gz XNHOMALYFPOAKV-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1ncc(C(C)(C)C)o1)C2 ZINC000729480084 1123009196 /nfs/dbraw/zinc/00/91/96/1123009196.db2.gz XNHOMALYFPOAKV-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO COc1ccccc1C[NH2+]Cc1ncc(C(C)C)s1 ZINC001198952226 1123016509 /nfs/dbraw/zinc/01/65/09/1123016509.db2.gz DFJOJOMMYLVCMA-UHFFFAOYSA-N 1 2 276.405 3.565 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCCC[C@H]1c1nc(C)cs1 ZINC000440689456 1123028470 /nfs/dbraw/zinc/02/84/70/1123028470.db2.gz BYPDSYMSDNEIJT-RYUDHWBXSA-N 1 2 256.390 3.727 20 0 CHADLO CC[C@H](F)C[N@H+]1CCCC[C@H]1c1nc(C)cs1 ZINC000440689456 1123028475 /nfs/dbraw/zinc/02/84/75/1123028475.db2.gz BYPDSYMSDNEIJT-RYUDHWBXSA-N 1 2 256.390 3.727 20 0 CHADLO COc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC001199531418 1123031902 /nfs/dbraw/zinc/03/19/02/1123031902.db2.gz VGFJMDIBWWUKJN-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)CCOC(C)(C)C ZINC000519904018 1123039008 /nfs/dbraw/zinc/03/90/08/1123039008.db2.gz MZAREPKMVIXJNN-LLVKDONJSA-N 1 2 271.351 3.773 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)CCOC(C)(C)C ZINC000519904018 1123039013 /nfs/dbraw/zinc/03/90/13/1123039013.db2.gz MZAREPKMVIXJNN-LLVKDONJSA-N 1 2 271.351 3.773 20 0 CHADLO COCc1cc[nH+]c(NCc2cc(Cl)ccc2OC)c1 ZINC000844707174 1123058563 /nfs/dbraw/zinc/05/85/63/1123058563.db2.gz JXIKNVWWAMIFSA-UHFFFAOYSA-N 1 2 292.766 3.502 20 0 CHADLO Cc1cc(C(N)=[NH+]OCc2ccccc2Cl)ccc1F ZINC000049066049 1123074034 /nfs/dbraw/zinc/07/40/34/1123074034.db2.gz HHXWFDWJEVZRHO-UHFFFAOYSA-N 1 2 292.741 3.625 20 0 CHADLO CCc1ccccc1NC(=S)Nc1ccc2[nH+]ccn2c1 ZINC001201136129 1123074385 /nfs/dbraw/zinc/07/43/85/1123074385.db2.gz LAENMEIAHXASDN-UHFFFAOYSA-N 1 2 296.399 3.706 20 0 CHADLO C[C@H]1C[C@@H](c2cccc(F)c2)[N@H+](CC(=O)OC(C)(C)C)C1 ZINC000441324287 1123078333 /nfs/dbraw/zinc/07/83/33/1123078333.db2.gz NEWLXANXIZTISO-WFASDCNBSA-N 1 2 293.382 3.550 20 0 CHADLO C[C@H]1C[C@@H](c2cccc(F)c2)[N@@H+](CC(=O)OC(C)(C)C)C1 ZINC000441324287 1123078336 /nfs/dbraw/zinc/07/83/36/1123078336.db2.gz NEWLXANXIZTISO-WFASDCNBSA-N 1 2 293.382 3.550 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2CCCC(F)(F)[C@H](F)C2)c1 ZINC001143580799 1123079211 /nfs/dbraw/zinc/07/92/11/1123079211.db2.gz WTHCZRFOVOCJRK-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2CCCC(F)(F)[C@H](F)C2)c1 ZINC001143580799 1123079214 /nfs/dbraw/zinc/07/92/14/1123079214.db2.gz WTHCZRFOVOCJRK-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO Nc1ccc(Nc2ccc(-c3nccs3)cc2)c[nH+]1 ZINC001201285112 1123080458 /nfs/dbraw/zinc/08/04/58/1123080458.db2.gz AGPIABWDLQIZCC-UHFFFAOYSA-N 1 2 268.345 3.531 20 0 CHADLO Nc1ccc(Nc2cncc3cc(Cl)ccc32)c[nH+]1 ZINC001201279682 1123080640 /nfs/dbraw/zinc/08/06/40/1123080640.db2.gz YLNGBECXCKRDFE-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO CC(=O)c1cc(Cl)c(Nc2ccc(N)[nH+]c2)c(Cl)c1 ZINC001201285878 1123080850 /nfs/dbraw/zinc/08/08/50/1123080850.db2.gz RKQDPTVCCATQJT-UHFFFAOYSA-N 1 2 296.157 3.917 20 0 CHADLO Nc1ccc(Nc2ccc(Oc3cccnc3)cc2)c[nH+]1 ZINC001201286841 1123081171 /nfs/dbraw/zinc/08/11/71/1123081171.db2.gz DAHONPSGYXPUFE-UHFFFAOYSA-N 1 2 278.315 3.595 20 0 CHADLO Nc1ccc(Nc2cc(F)c(C(F)(F)F)c(F)c2)c[nH+]1 ZINC001201291495 1123082766 /nfs/dbraw/zinc/08/27/66/1123082766.db2.gz JEKOJPUVPKCZRU-UHFFFAOYSA-N 1 2 289.207 3.704 20 0 CHADLO Nc1ccc(Nc2cccc3c(Cl)ccnc23)c[nH+]1 ZINC001201291708 1123082992 /nfs/dbraw/zinc/08/29/92/1123082992.db2.gz OARDHRLQHZIQKP-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO CCc1cc(C)cc(CC)c1Nc1ccc(N)[nH+]c1 ZINC001201291722 1123083006 /nfs/dbraw/zinc/08/30/06/1123083006.db2.gz OSDCCUGVYXYVHK-UHFFFAOYSA-N 1 2 255.365 3.841 20 0 CHADLO Nc1ccc(Nc2c(F)cccc2N2CCCCC2)c[nH+]1 ZINC001201295247 1123084903 /nfs/dbraw/zinc/08/49/03/1123084903.db2.gz NHEHFSOGGXFPBS-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO Nc1ccc(Nc2cccc(F)c2N2CCCCC2)c[nH+]1 ZINC001201295817 1123085094 /nfs/dbraw/zinc/08/50/94/1123085094.db2.gz SLALBZYYJPWGLD-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO Cc1ccc(-c2ccc(Nc3ccc(N)[nH+]c3)cn2)cc1 ZINC001201275932 1123085499 /nfs/dbraw/zinc/08/54/99/1123085499.db2.gz NFZHRXJMDKSWQD-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1ccc(Nc2ccc(N)[nH+]c2)c(C)c1Br ZINC001201294473 1123085736 /nfs/dbraw/zinc/08/57/36/1123085736.db2.gz AAJHZVHENKQBNV-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1NC1=CCSCC1 ZINC001201341121 1123089644 /nfs/dbraw/zinc/08/96/44/1123089644.db2.gz QYKHJRQRXVDVMT-UHFFFAOYSA-N 1 2 260.406 3.720 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccccc1OCC(F)F ZINC001201355361 1123091023 /nfs/dbraw/zinc/09/10/23/1123091023.db2.gz FEBPSVFQXZOFPL-UHFFFAOYSA-N 1 2 295.333 3.549 20 0 CHADLO Clc1cc2c(cn1)C[N@H+](CC1CCCCC1)C2 ZINC001201406790 1123093346 /nfs/dbraw/zinc/09/33/46/1123093346.db2.gz HFJYHBJRSRYYDO-UHFFFAOYSA-N 1 2 250.773 3.631 20 0 CHADLO Clc1cc2c(cn1)C[N@@H+](CC1CCCCC1)C2 ZINC001201406790 1123093349 /nfs/dbraw/zinc/09/33/49/1123093349.db2.gz HFJYHBJRSRYYDO-UHFFFAOYSA-N 1 2 250.773 3.631 20 0 CHADLO Cc1cc2ncc(Nc3ccc([NH2+]C(C)C)cc3)cn2n1 ZINC001201488719 1123108704 /nfs/dbraw/zinc/10/87/04/1123108704.db2.gz HJPTWIZAXIGPRK-UHFFFAOYSA-N 1 2 281.363 3.602 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cncc(N(C)C)c2)cc1 ZINC001201491105 1123109286 /nfs/dbraw/zinc/10/92/86/1123109286.db2.gz MCSVJANGNNCGOM-UHFFFAOYSA-N 1 2 270.380 3.712 20 0 CHADLO CCc1nc2ccc(Nc3ccc([NH2+]C(C)C)cc3)cn2n1 ZINC001201497479 1123111079 /nfs/dbraw/zinc/11/10/79/1123111079.db2.gz GACKPFMMDPBRBW-UHFFFAOYSA-N 1 2 295.390 3.856 20 0 CHADLO CCC[C@H](C)C[N@H+](CC(=O)OCC)Cc1ccsc1 ZINC001201552743 1123118617 /nfs/dbraw/zinc/11/86/17/1123118617.db2.gz SFQKLMLVJDDVTJ-ZDUSSCGKSA-N 1 2 283.437 3.549 20 0 CHADLO CCC[C@H](C)C[N@@H+](CC(=O)OCC)Cc1ccsc1 ZINC001201552743 1123118620 /nfs/dbraw/zinc/11/86/20/1123118620.db2.gz SFQKLMLVJDDVTJ-ZDUSSCGKSA-N 1 2 283.437 3.549 20 0 CHADLO Clc1cc2c(cn1)C[N@H+](CCCc1ccccc1)C2 ZINC001201682322 1123135222 /nfs/dbraw/zinc/13/52/22/1123135222.db2.gz VNCKLGWIHHXTPG-UHFFFAOYSA-N 1 2 272.779 3.683 20 0 CHADLO Clc1cc2c(cn1)C[N@@H+](CCCc1ccccc1)C2 ZINC001201682322 1123135223 /nfs/dbraw/zinc/13/52/23/1123135223.db2.gz VNCKLGWIHHXTPG-UHFFFAOYSA-N 1 2 272.779 3.683 20 0 CHADLO CSCCC[N@@H+]1CC[C@@H](Cc2ccccc2)C(F)(F)C1 ZINC001201697653 1123137920 /nfs/dbraw/zinc/13/79/20/1123137920.db2.gz LZIFPNXACFCEJL-HNNXBMFYSA-N 1 2 299.430 3.939 20 0 CHADLO CSCCC[N@H+]1CC[C@@H](Cc2ccccc2)C(F)(F)C1 ZINC001201697653 1123137923 /nfs/dbraw/zinc/13/79/23/1123137923.db2.gz LZIFPNXACFCEJL-HNNXBMFYSA-N 1 2 299.430 3.939 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnn(C(F)F)c1 ZINC001201732980 1123149219 /nfs/dbraw/zinc/14/92/19/1123149219.db2.gz WKOWXPIQKCJGFQ-UHFFFAOYSA-N 1 2 266.295 3.849 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC(C)(C)Oc2cc(F)ccc21)c1ncc[nH]1 ZINC000334275104 1123149309 /nfs/dbraw/zinc/14/93/09/1123149309.db2.gz QXTIFFUGPVRQEF-ZWNOBZJWSA-N 1 2 289.354 3.502 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@@H+]1CCC[C@H](F)C(=O)C1 ZINC001201977685 1123159226 /nfs/dbraw/zinc/15/92/26/1123159226.db2.gz WGHYPFFTYMEDCT-CABCVRRESA-N 1 2 269.404 3.762 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@H+]1CCC[C@H](F)C(=O)C1 ZINC001201977685 1123159227 /nfs/dbraw/zinc/15/92/27/1123159227.db2.gz WGHYPFFTYMEDCT-CABCVRRESA-N 1 2 269.404 3.762 20 0 CHADLO Fc1ccc(C[C@@H]2CC[N@H+](CC3CC3)CC2(F)F)cc1 ZINC001201952265 1123162357 /nfs/dbraw/zinc/16/23/57/1123162357.db2.gz INHAFPLOCZMOFF-AWEZNQCLSA-N 1 2 283.337 3.735 20 0 CHADLO Fc1ccc(C[C@@H]2CC[N@@H+](CC3CC3)CC2(F)F)cc1 ZINC001201952265 1123162360 /nfs/dbraw/zinc/16/23/60/1123162360.db2.gz INHAFPLOCZMOFF-AWEZNQCLSA-N 1 2 283.337 3.735 20 0 CHADLO Cc1[nH+]cc(NC(=O)Nc2c(Cl)cccc2Cl)n1C ZINC001202095461 1123174450 /nfs/dbraw/zinc/17/44/50/1123174450.db2.gz LNCFABJTAFVPTA-UHFFFAOYSA-N 1 2 299.161 3.679 20 0 CHADLO O=C(Nc1cccc(F)c1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001202229443 1123183683 /nfs/dbraw/zinc/18/36/83/1123183683.db2.gz SMXMPPLKILYIMW-UHFFFAOYSA-N 1 2 296.305 3.655 20 0 CHADLO O=C(Nc1ccc(Cl)cc1)Nc1cccc2[nH+]ccn21 ZINC001202365402 1123202605 /nfs/dbraw/zinc/20/26/05/1123202605.db2.gz NRCQSHVCCGRYKA-UHFFFAOYSA-N 1 2 286.722 3.632 20 0 CHADLO Cc1[nH]c(CNc2cc(F)ccc2OC(C)C)[nH+]c1C ZINC000850211619 1123247533 /nfs/dbraw/zinc/24/75/33/1123247533.db2.gz NGBLNVRAVGAZCP-UHFFFAOYSA-N 1 2 277.343 3.565 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2csnn2)cc1Cl ZINC000665242509 1123248563 /nfs/dbraw/zinc/24/85/63/1123248563.db2.gz HRDQPAKQUFEUDB-DTWKUNHWSA-N 1 2 297.811 3.612 20 0 CHADLO Fc1cc2[nH+]ccc(N[C@@H]3C[C@H]4CC[C@@H]3O4)c2cc1Cl ZINC000450339885 1123248633 /nfs/dbraw/zinc/24/86/33/1123248633.db2.gz SGBQNOXOVDEWIB-BKQRNIBNSA-N 1 2 292.741 3.759 20 0 CHADLO CC/C(C)=C(\C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000779776168 1129108859 /nfs/dbraw/zinc/10/88/59/1129108859.db2.gz MZNZSBJPGNUHCG-OUKQBFOZSA-N 1 2 269.348 3.557 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2c(F)cccc2Cl)cn1 ZINC000427816856 1123251344 /nfs/dbraw/zinc/25/13/44/1123251344.db2.gz JVFUVDAVHGYUBE-WDEREUQCSA-N 1 2 293.773 3.989 20 0 CHADLO Cc1[nH]c(CNc2ccccc2NC(C)(C)C)[nH+]c1C ZINC000850285118 1123251483 /nfs/dbraw/zinc/25/14/83/1123251483.db2.gz FXWALEHLCRJROG-UHFFFAOYSA-N 1 2 272.396 3.849 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H]2C[C@@H](O)c3ccccc32)s1 ZINC000850376023 1123260351 /nfs/dbraw/zinc/26/03/51/1123260351.db2.gz VTBZSPFSVLOOIN-UONOGXRCSA-N 1 2 288.416 3.535 20 0 CHADLO COC(=O)CC(C)(C)C[C@H](C)[NH2+]c1ccc(N(C)C)cc1 ZINC000850455375 1123269448 /nfs/dbraw/zinc/26/94/48/1123269448.db2.gz HTIWZIWKWUNIOZ-ZDUSSCGKSA-N 1 2 292.423 3.532 20 0 CHADLO COC(=O)CC(C)(C)C[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000850455375 1123269450 /nfs/dbraw/zinc/26/94/50/1123269450.db2.gz HTIWZIWKWUNIOZ-ZDUSSCGKSA-N 1 2 292.423 3.532 20 0 CHADLO Cc1cc(C)c(NC(=O)c2occc2C(C)C)c(C)[nH+]1 ZINC000335073340 1123294893 /nfs/dbraw/zinc/29/48/93/1123294893.db2.gz SKIBIFOABYBZGU-UHFFFAOYSA-N 1 2 272.348 3.976 20 0 CHADLO COC1CCN(c2cc[nH+]c3cc(F)c(Cl)cc32)CC1 ZINC000335171407 1123315572 /nfs/dbraw/zinc/31/55/72/1123315572.db2.gz UXVHMGIDUWWBHZ-UHFFFAOYSA-N 1 2 294.757 3.643 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(C(C)(C)C)s2)CC2(CCC2)O1 ZINC000521437735 1123329538 /nfs/dbraw/zinc/32/95/38/1123329538.db2.gz CGPFPMMQLYFBRH-LBPRGKRZSA-N 1 2 294.464 3.584 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(C(C)(C)C)s2)CC2(CCC2)O1 ZINC000521437735 1123329542 /nfs/dbraw/zinc/32/95/42/1123329542.db2.gz CGPFPMMQLYFBRH-LBPRGKRZSA-N 1 2 294.464 3.584 20 0 CHADLO CC1(C)C[N@H+](Cc2ccsc2Cl)[C@@H]2CCC[C@H]2O1 ZINC000442959245 1123350029 /nfs/dbraw/zinc/35/00/29/1123350029.db2.gz DZHPSGKOUVBKCE-VXGBXAGGSA-N 1 2 285.840 3.933 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccsc2Cl)[C@@H]2CCC[C@H]2O1 ZINC000442959245 1123350035 /nfs/dbraw/zinc/35/00/35/1123350035.db2.gz DZHPSGKOUVBKCE-VXGBXAGGSA-N 1 2 285.840 3.933 20 0 CHADLO CCCCN(CCCC)C(=O)C[N@H+](C)[C@H](C)c1ccco1 ZINC000521814094 1123354332 /nfs/dbraw/zinc/35/43/32/1123354332.db2.gz GXPOTIYIDLKBGC-OAHLLOKOSA-N 1 2 294.439 3.701 20 0 CHADLO CCCCN(CCCC)C(=O)C[N@@H+](C)[C@H](C)c1ccco1 ZINC000521814094 1123354334 /nfs/dbraw/zinc/35/43/34/1123354334.db2.gz GXPOTIYIDLKBGC-OAHLLOKOSA-N 1 2 294.439 3.701 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1ccccc1OC(F)F ZINC000338936795 1123357420 /nfs/dbraw/zinc/35/74/20/1123357420.db2.gz QRQHRIKZYYQBCS-JTQLQIEISA-N 1 2 264.275 3.856 20 0 CHADLO C[C@H](c1ccc2ccccc2c1)[N@H+](Cc1cnon1)C1CC1 ZINC000851752303 1123380008 /nfs/dbraw/zinc/38/00/08/1123380008.db2.gz GFHBKEMGPBMKIF-CYBMUJFWSA-N 1 2 293.370 3.948 20 0 CHADLO C[C@H](c1ccc2ccccc2c1)[N@@H+](Cc1cnon1)C1CC1 ZINC000851752303 1123380010 /nfs/dbraw/zinc/38/00/10/1123380010.db2.gz GFHBKEMGPBMKIF-CYBMUJFWSA-N 1 2 293.370 3.948 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC(C)(C)CC2)c(C)[nH+]1 ZINC000335589070 1123380280 /nfs/dbraw/zinc/38/02/80/1123380280.db2.gz LKZBTVBASYLMNL-UHFFFAOYSA-N 1 2 275.396 3.661 20 0 CHADLO FC(F)(F)C1CC[NH+](CC=C(Cl)Cl)CC1 ZINC000851791224 1123380624 /nfs/dbraw/zinc/38/06/24/1123380624.db2.gz VIQWILNAYCDNLX-UHFFFAOYSA-N 1 2 262.102 3.580 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCCC[C@@H]2C)c(C)[nH+]1 ZINC000335591541 1123381213 /nfs/dbraw/zinc/38/12/13/1123381213.db2.gz XHEOIAAISVFDRU-ZDUSSCGKSA-N 1 2 275.396 3.803 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335580382 1123383014 /nfs/dbraw/zinc/38/30/14/1123383014.db2.gz IGQHWUUCCBTPKU-BUXKBTBVSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335580382 1123383019 /nfs/dbraw/zinc/38/30/19/1123383019.db2.gz IGQHWUUCCBTPKU-BUXKBTBVSA-N 1 2 299.867 3.634 20 0 CHADLO CCC[C@H]([NH2+]Cc1cnon1)c1cccc(C(F)(F)F)c1 ZINC000851897089 1123386440 /nfs/dbraw/zinc/38/64/40/1123386440.db2.gz IPZOGTDPWSZXHR-ZDUSSCGKSA-N 1 2 299.296 3.719 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@@](C)(c3ccccc3)C2)no1 ZINC000113264825 1123391198 /nfs/dbraw/zinc/39/11/98/1123391198.db2.gz OVVWEHQZSFUYSD-GOSISDBHSA-N 1 2 299.418 3.576 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@@](C)(c3ccccc3)C2)no1 ZINC000113264825 1123391200 /nfs/dbraw/zinc/39/12/00/1123391200.db2.gz OVVWEHQZSFUYSD-GOSISDBHSA-N 1 2 299.418 3.576 20 0 CHADLO Fc1cccc(C[NH2+]C2(c3ccccc3Cl)CC2)n1 ZINC000852206499 1123399427 /nfs/dbraw/zinc/39/94/27/1123399427.db2.gz KXAAOZIXNFKIMV-UHFFFAOYSA-N 1 2 276.742 3.653 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc2c(s1)CCCC2)c1csnn1 ZINC000398374934 1123402620 /nfs/dbraw/zinc/40/26/20/1123402620.db2.gz QTWXYAGKIYSLKW-NXEZZACHSA-N 1 2 293.461 3.890 20 0 CHADLO Cc1ccc(CCC[NH2+][C@H](C)C(=O)OC(C)(C)C)c(C)c1 ZINC000738417651 1123402891 /nfs/dbraw/zinc/40/28/91/1123402891.db2.gz SGRAVJBKDGFPKN-OAHLLOKOSA-N 1 2 291.435 3.556 20 0 CHADLO Fc1cccc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)n1 ZINC000852426252 1123404599 /nfs/dbraw/zinc/40/45/99/1123404599.db2.gz IQUOLUCSTVJMEX-INIZCTEOSA-N 1 2 286.350 3.931 20 0 CHADLO Fc1cccc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)n1 ZINC000852426252 1123404603 /nfs/dbraw/zinc/40/46/03/1123404603.db2.gz IQUOLUCSTVJMEX-INIZCTEOSA-N 1 2 286.350 3.931 20 0 CHADLO Cc1oncc1C[N@@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000852426290 1123404824 /nfs/dbraw/zinc/40/48/24/1123404824.db2.gz JOJUVSNXVFBFDH-HNNXBMFYSA-N 1 2 272.348 3.693 20 0 CHADLO Cc1oncc1C[N@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000852426290 1123404825 /nfs/dbraw/zinc/40/48/25/1123404825.db2.gz JOJUVSNXVFBFDH-HNNXBMFYSA-N 1 2 272.348 3.693 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1csnn1)c1ccccc1 ZINC000398446769 1123405974 /nfs/dbraw/zinc/40/59/74/1123405974.db2.gz BMUVZBNQSIWQKB-DGCLKSJQSA-N 1 2 261.394 3.730 20 0 CHADLO C[C@@]1(C(N)=O)CCCC[N@H+]1Cc1sccc1Cl ZINC000335763047 1123425858 /nfs/dbraw/zinc/42/58/58/1123425858.db2.gz FTEVWDRXCORRAN-LBPRGKRZSA-N 1 2 272.801 3.681 20 0 CHADLO C[C@@]1(C(N)=O)CCCC[N@@H+]1Cc1sccc1Cl ZINC000335763047 1123425856 /nfs/dbraw/zinc/42/58/56/1123425856.db2.gz FTEVWDRXCORRAN-LBPRGKRZSA-N 1 2 272.801 3.681 20 0 CHADLO COc1cccc2c1CC[C@@H]([NH2+]c1ccc(N)c(C)c1)C2 ZINC000853248584 1123430018 /nfs/dbraw/zinc/43/00/18/1123430018.db2.gz VTYAFPJAVZZAPN-OAHLLOKOSA-N 1 2 282.387 3.555 20 0 CHADLO CCc1nn(C[N@H+](C2CC2)C2CCCC2)c(=S)s1 ZINC000853489689 1123442453 /nfs/dbraw/zinc/44/24/53/1123442453.db2.gz TXXAHLMKEDWHGO-UHFFFAOYSA-N 1 2 283.466 3.601 20 0 CHADLO CCc1nn(C[N@@H+](C2CC2)C2CCCC2)c(=S)s1 ZINC000853489689 1123442454 /nfs/dbraw/zinc/44/24/54/1123442454.db2.gz TXXAHLMKEDWHGO-UHFFFAOYSA-N 1 2 283.466 3.601 20 0 CHADLO CCc1cc(OCc2oc(C)nc2C)c2ccccc2[nH+]1 ZINC000853683823 1123447583 /nfs/dbraw/zinc/44/75/83/1123447583.db2.gz WKZMODDOEGZPFY-UHFFFAOYSA-N 1 2 282.343 3.981 20 0 CHADLO C[C@@H]1[C@H](Cc2ccccc2)CCN1c1cccc[nH+]1 ZINC000474172093 1123480648 /nfs/dbraw/zinc/48/06/48/1123480648.db2.gz HQYWJQOHJWQSSI-ZBFHGGJFSA-N 1 2 252.361 3.539 20 0 CHADLO COc1ccccc1C/C(C)=C/C(=O)Nc1cc[nH+]c(C)c1 ZINC000112298710 1123487380 /nfs/dbraw/zinc/48/73/80/1123487380.db2.gz MUASXIQHWVYVEN-ACCUITESSA-N 1 2 296.370 3.526 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N(C)C)cc1C)C1CCOCC1 ZINC000776767079 1123502848 /nfs/dbraw/zinc/50/28/48/1123502848.db2.gz SRRNFWLSEHRPCQ-INIZCTEOSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@H](Nc1ccc([NH+](C)C)cc1C)C1CCOCC1 ZINC000776767079 1123502849 /nfs/dbraw/zinc/50/28/49/1123502849.db2.gz SRRNFWLSEHRPCQ-INIZCTEOSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1c(Cl)cnn1C[NH+]1CCC(c2ccsc2)CC1 ZINC000776781315 1123505477 /nfs/dbraw/zinc/50/54/77/1123505477.db2.gz BUVAYLPSMFCGBY-UHFFFAOYSA-N 1 2 295.839 3.744 20 0 CHADLO Cc1cc(N[C@H](c2ccccn2)C2CC2)nc(C2CC2)[nH+]1 ZINC000895793634 1123515266 /nfs/dbraw/zinc/51/52/66/1123515266.db2.gz NYVIPGMGBYXKSN-INIZCTEOSA-N 1 2 280.375 3.621 20 0 CHADLO Cc1cc(C)c2cc(N[C@H]3CCO[C@@H](C)C3)ccc2[nH+]1 ZINC000895873997 1123524413 /nfs/dbraw/zinc/52/44/13/1123524413.db2.gz DIQSAYNXYODEHD-ZFWWWQNUSA-N 1 2 270.376 3.831 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)c(F)c2)s1 ZINC000273736776 1129128038 /nfs/dbraw/zinc/12/80/38/1129128038.db2.gz UTBTZCLYLIJVKV-YUMQZZPRSA-N 1 2 283.347 3.537 20 0 CHADLO CC(C)([NH2+]Cc1nccn1C1CC1)c1ccc(F)cc1F ZINC000896065105 1123546477 /nfs/dbraw/zinc/54/64/77/1123546477.db2.gz RBIURYVTHHIMFF-UHFFFAOYSA-N 1 2 291.345 3.521 20 0 CHADLO Cc1cnc(CNc2cc(C)[nH+]c(C3CCC3)n2)c(C)c1 ZINC000896098299 1123549029 /nfs/dbraw/zinc/54/90/29/1123549029.db2.gz CHCFYHBPQRJLQT-UHFFFAOYSA-N 1 2 282.391 3.676 20 0 CHADLO Cc1nn(C[N@@H+]2CCC[C@]3(CC=CCC3)C2)c(=S)s1 ZINC000114445156 1123564081 /nfs/dbraw/zinc/56/40/81/1123564081.db2.gz GSTKPSFDGKACCL-CQSZACIVSA-N 1 2 295.477 3.762 20 0 CHADLO Cc1nn(C[N@H+]2CCC[C@]3(CC=CCC3)C2)c(=S)s1 ZINC000114445156 1123564083 /nfs/dbraw/zinc/56/40/83/1123564083.db2.gz GSTKPSFDGKACCL-CQSZACIVSA-N 1 2 295.477 3.762 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@H+]1Cc1cncc(Cl)c1 ZINC000856731612 1123565976 /nfs/dbraw/zinc/56/59/76/1123565976.db2.gz QEBPXXAMTZHCKT-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1cncc(Cl)c1 ZINC000856731612 1123565980 /nfs/dbraw/zinc/56/59/80/1123565980.db2.gz QEBPXXAMTZHCKT-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C)nc1Cl)c1ccccc1Cl ZINC000856763965 1123567384 /nfs/dbraw/zinc/56/73/84/1123567384.db2.gz LYHQPOJPHSXNES-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO Cn1cc(C[NH2+]C2(c3ccccc3Cl)CC2)c(Cl)n1 ZINC000856791478 1123568844 /nfs/dbraw/zinc/56/88/44/1123568844.db2.gz OCGQOXJAMQLCMM-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1cn(C)nc1Cl ZINC000857018287 1123579019 /nfs/dbraw/zinc/57/90/19/1123579019.db2.gz KYUYCTVMQBWFQQ-GFCCVEGCSA-N 1 2 295.839 3.644 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1cn(C)nc1Cl ZINC000857018287 1123579023 /nfs/dbraw/zinc/57/90/23/1123579023.db2.gz KYUYCTVMQBWFQQ-GFCCVEGCSA-N 1 2 295.839 3.644 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cn(C)nc2Cl)[C@@H](c2ccco2)C1 ZINC000857022436 1123579057 /nfs/dbraw/zinc/57/90/57/1123579057.db2.gz CYGWVZRIKBKGJQ-DGCLKSJQSA-N 1 2 293.798 3.640 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cn(C)nc2Cl)[C@@H](c2ccco2)C1 ZINC000857022436 1123579058 /nfs/dbraw/zinc/57/90/58/1123579058.db2.gz CYGWVZRIKBKGJQ-DGCLKSJQSA-N 1 2 293.798 3.640 20 0 CHADLO CC[N@H+](Cc1cn(C)nc1Cl)[C@H](C)c1ccc(F)cc1 ZINC000857018036 1123579104 /nfs/dbraw/zinc/57/91/04/1123579104.db2.gz JKSJAZRUQFAJCX-LLVKDONJSA-N 1 2 295.789 3.796 20 0 CHADLO CC[N@@H+](Cc1cn(C)nc1Cl)[C@H](C)c1ccc(F)cc1 ZINC000857018036 1123579108 /nfs/dbraw/zinc/57/91/08/1123579108.db2.gz JKSJAZRUQFAJCX-LLVKDONJSA-N 1 2 295.789 3.796 20 0 CHADLO COc1ccc(F)c(C[NH2+][C@@H](C)c2cccnc2Cl)c1 ZINC000857080124 1123585225 /nfs/dbraw/zinc/58/52/25/1123585225.db2.gz DLGDQSDHDVLLBU-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)C[N@@H+]1Cn1ncsc1=S ZINC000115512566 1123618696 /nfs/dbraw/zinc/61/86/96/1123618696.db2.gz NJTJDIZGBLMNQA-WCQYABFASA-N 1 2 291.445 3.510 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)C[N@H+]1Cn1ncsc1=S ZINC000115512566 1123618697 /nfs/dbraw/zinc/61/86/97/1123618697.db2.gz NJTJDIZGBLMNQA-WCQYABFASA-N 1 2 291.445 3.510 20 0 CHADLO Cc1ccc(SCC[N@@H+]2Cc3ccncc3C2)cc1C ZINC000857876081 1123624753 /nfs/dbraw/zinc/62/47/53/1123624753.db2.gz BLVMACIHKOPRKF-UHFFFAOYSA-N 1 2 284.428 3.806 20 0 CHADLO Cc1ccc(SCC[N@H+]2Cc3ccncc3C2)cc1C ZINC000857876081 1123624756 /nfs/dbraw/zinc/62/47/56/1123624756.db2.gz BLVMACIHKOPRKF-UHFFFAOYSA-N 1 2 284.428 3.806 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H]2CCc3ccc(Cl)nc32)n1 ZINC000857903934 1123625581 /nfs/dbraw/zinc/62/55/81/1123625581.db2.gz SINRQNWRSDNHAG-MWLCHTKSSA-N 1 2 293.823 3.838 20 0 CHADLO COc1c(Nc2[nH+]c3ccccc3n2C)ccc(F)c1F ZINC001211887662 1123630578 /nfs/dbraw/zinc/63/05/78/1123630578.db2.gz QVHZBGUCPKDYGM-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO c1cn(-c2ccc(Cc3noc(C4CCCC4)n3)cc2)c[nH+]1 ZINC000896826112 1123633853 /nfs/dbraw/zinc/63/38/53/1123633853.db2.gz AZZDIXNZQIGLQF-UHFFFAOYSA-N 1 2 294.358 3.504 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2c(C)cccc2F)n1 ZINC000858366698 1123648862 /nfs/dbraw/zinc/64/88/62/1123648862.db2.gz KRKAYKGGNYQKNW-LLVKDONJSA-N 1 2 264.369 3.750 20 0 CHADLO CS[C@@H]1CC[C@@H](Nc2nc3ccccc3n3c[nH+]cc23)C1 ZINC000858425080 1123653221 /nfs/dbraw/zinc/65/32/21/1123653221.db2.gz DLOHBJIOGLMBBD-VXGBXAGGSA-N 1 2 298.415 3.579 20 0 CHADLO CC(C)c1ccc(N(C)C(=O)c2cccc3[nH+]ccn32)cc1 ZINC000777032006 1123659009 /nfs/dbraw/zinc/65/90/09/1123659009.db2.gz SKHREEBNARKSEV-UHFFFAOYSA-N 1 2 293.370 3.734 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccns1)c1nc2c(s1)CCCC2 ZINC000858576011 1123663848 /nfs/dbraw/zinc/66/38/48/1123663848.db2.gz HFXJPUPVWNCNCB-VHSXEESVSA-N 1 2 293.461 3.890 20 0 CHADLO CCC[C@@H](C)[N@H+](C)Cc1nc2c(s1)CN(C(C)C)CC2 ZINC000346148250 1123667093 /nfs/dbraw/zinc/66/70/93/1123667093.db2.gz KDAGXGYKFCXHRT-CYBMUJFWSA-N 1 2 295.496 3.530 20 0 CHADLO CCC[C@@H](C)[N@@H+](C)Cc1nc2c(s1)CN(C(C)C)CC2 ZINC000346148250 1123667095 /nfs/dbraw/zinc/66/70/95/1123667095.db2.gz KDAGXGYKFCXHRT-CYBMUJFWSA-N 1 2 295.496 3.530 20 0 CHADLO CCC[C@@H](C)N(C)Cc1nc2c(s1)C[N@@H+](C(C)C)CC2 ZINC000346148250 1123667098 /nfs/dbraw/zinc/66/70/98/1123667098.db2.gz KDAGXGYKFCXHRT-CYBMUJFWSA-N 1 2 295.496 3.530 20 0 CHADLO CCC[C@@H](C)N(C)Cc1nc2c(s1)C[N@H+](C(C)C)CC2 ZINC000346148250 1123667099 /nfs/dbraw/zinc/66/70/99/1123667099.db2.gz KDAGXGYKFCXHRT-CYBMUJFWSA-N 1 2 295.496 3.530 20 0 CHADLO Cc1ccc(F)c(NCc2cc(-n3cc[nH+]c3)cs2)c1 ZINC000346170958 1123670020 /nfs/dbraw/zinc/67/00/20/1123670020.db2.gz NJAABIUEFQZAPG-UHFFFAOYSA-N 1 2 287.363 3.993 20 0 CHADLO Cc1nc(N[C@@H]2CCc3ccc(Cl)cc32)cc[nH+]1 ZINC000858835744 1123673576 /nfs/dbraw/zinc/67/35/76/1123673576.db2.gz PEDLOFFEVJVGKO-CYBMUJFWSA-N 1 2 259.740 3.538 20 0 CHADLO CCC(CC)c1noc([C@@H](c2ccccc2)[NH+](C)C)n1 ZINC000346396214 1123686535 /nfs/dbraw/zinc/68/65/35/1123686535.db2.gz DEBVXFHQTDFUQQ-CQSZACIVSA-N 1 2 273.380 3.624 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000859300885 1123694245 /nfs/dbraw/zinc/69/42/45/1123694245.db2.gz FJGCQLLZSYTFPP-XJKSGUPXSA-N 1 2 297.402 3.920 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000859300885 1123694247 /nfs/dbraw/zinc/69/42/47/1123694247.db2.gz FJGCQLLZSYTFPP-XJKSGUPXSA-N 1 2 297.402 3.920 20 0 CHADLO Brc1ccc(/C=C\CCn2cc[nH+]c2)cc1 ZINC000346623921 1123700180 /nfs/dbraw/zinc/70/01/80/1123700180.db2.gz FVCBWGFURXQEQK-IWQZZHSRSA-N 1 2 277.165 3.749 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(F)c2F)nc(C2CC2)[nH+]1 ZINC000346696593 1123708213 /nfs/dbraw/zinc/70/82/13/1123708213.db2.gz SCGFQLFKCWWDJZ-JTQLQIEISA-N 1 2 289.329 3.536 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1cccc(-n2cc[nH+]c2)c1 ZINC000281519850 1123714024 /nfs/dbraw/zinc/71/40/24/1123714024.db2.gz XUOKGZZBCSJSST-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO COc1ccncc1C[N@H+](Cc1ccccc1F)C(C)C ZINC000346846130 1123726139 /nfs/dbraw/zinc/72/61/39/1123726139.db2.gz ZYRPAKDPNOEPDI-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO COc1ccncc1C[N@@H+](Cc1ccccc1F)C(C)C ZINC000346846130 1123726143 /nfs/dbraw/zinc/72/61/43/1123726143.db2.gz ZYRPAKDPNOEPDI-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2cnccc2C)c[nH+]1 ZINC000346945713 1123735111 /nfs/dbraw/zinc/73/51/11/1123735111.db2.gz SUVVUQXALHRWLA-CQSZACIVSA-N 1 2 284.407 3.804 20 0 CHADLO C[C@@H]1CCC[C@H]1CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000346948997 1123735758 /nfs/dbraw/zinc/73/57/58/1123735758.db2.gz LGWAQFAZMLZUGH-KGLIPLIRSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H]1COCCN1c1ccc([NH2+][C@H]2CCC23CCC3)cc1 ZINC000281933315 1123749954 /nfs/dbraw/zinc/74/99/54/1123749954.db2.gz BDCYLFOAPOQNKO-YOEHRIQHSA-N 1 2 286.419 3.656 20 0 CHADLO CC(C)c1cnc(N2CC[C@@H](C)[C@H](n3cc[nH+]c3)C2)s1 ZINC000336398123 1123768322 /nfs/dbraw/zinc/76/83/22/1123768322.db2.gz UQAFCEZVZVKLQR-CHWSQXEVSA-N 1 2 290.436 3.551 20 0 CHADLO Cc1cc(F)cc2c1C[N@H+](Cc1cnc(C3CC3)o1)CC2 ZINC000862356622 1123802429 /nfs/dbraw/zinc/80/24/29/1123802429.db2.gz LZWONXJHTBJUQV-UHFFFAOYSA-N 1 2 286.350 3.558 20 0 CHADLO Cc1cc(F)cc2c1C[N@@H+](Cc1cnc(C3CC3)o1)CC2 ZINC000862356622 1123802432 /nfs/dbraw/zinc/80/24/32/1123802432.db2.gz LZWONXJHTBJUQV-UHFFFAOYSA-N 1 2 286.350 3.558 20 0 CHADLO c1csc([C@H]([NH2+]Cc2nc(C3CCC3)no2)C2CC2)c1 ZINC000639563369 1123860404 /nfs/dbraw/zinc/86/04/04/1123860404.db2.gz TXAJMOXCEGONGB-CQSZACIVSA-N 1 2 289.404 3.640 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@H]32)s1 ZINC001142018889 1123861771 /nfs/dbraw/zinc/86/17/71/1123861771.db2.gz ZYBISKWGNKZBOL-QWHCGFSZSA-N 1 2 295.473 3.804 20 0 CHADLO CSc1ccc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@H]32)s1 ZINC001142018889 1123861774 /nfs/dbraw/zinc/86/17/74/1123861774.db2.gz ZYBISKWGNKZBOL-QWHCGFSZSA-N 1 2 295.473 3.804 20 0 CHADLO Clc1cccc(C[N@@H+]2CCOC3(C2)CCCCCC3)n1 ZINC000119432878 1123864952 /nfs/dbraw/zinc/86/49/52/1123864952.db2.gz BBXWMVKYBGFOGU-UHFFFAOYSA-N 1 2 294.826 3.660 20 0 CHADLO Clc1cccc(C[N@H+]2CCOC3(C2)CCCCCC3)n1 ZINC000119432878 1123864955 /nfs/dbraw/zinc/86/49/55/1123864955.db2.gz BBXWMVKYBGFOGU-UHFFFAOYSA-N 1 2 294.826 3.660 20 0 CHADLO CCc1ccc(C(C)(C)[NH2+]Cc2nc(CC3CC3)no2)cc1 ZINC000639567693 1123867111 /nfs/dbraw/zinc/86/71/11/1123867111.db2.gz UYHAANMIJPZYIZ-UHFFFAOYSA-N 1 2 299.418 3.609 20 0 CHADLO Fc1ccc(C2=CCN(c3cccc[nH+]3)CC2)cc1 ZINC000301087691 1123873668 /nfs/dbraw/zinc/87/36/68/1123873668.db2.gz YCISGUZDRRTMKS-UHFFFAOYSA-N 1 2 254.308 3.514 20 0 CHADLO C[C@H](OCCCNc1cccc[nH+]1)c1ccccc1 ZINC000301089913 1123873768 /nfs/dbraw/zinc/87/37/68/1123873768.db2.gz ZTDKKVDXEGBUGM-AWEZNQCLSA-N 1 2 256.349 3.661 20 0 CHADLO COCc1ccc(CNc2cc(C)[nH+]c(C(C)C)n2)cc1 ZINC000301111779 1123875317 /nfs/dbraw/zinc/87/53/17/1123875317.db2.gz VUAYJNTWKBKTGR-UHFFFAOYSA-N 1 2 285.391 3.667 20 0 CHADLO c1csc([C@@H](Nc2cccc[nH+]2)c2ccccc2)n1 ZINC000301197362 1123880890 /nfs/dbraw/zinc/88/08/90/1123880890.db2.gz NWYUBLUFIQUXKW-AWEZNQCLSA-N 1 2 267.357 3.740 20 0 CHADLO Cc1ccc(-c2nc(CCNc3cccc[nH+]3)co2)cc1 ZINC000301272363 1123886487 /nfs/dbraw/zinc/88/64/87/1123886487.db2.gz KBBBOGRADRBFFH-UHFFFAOYSA-N 1 2 279.343 3.700 20 0 CHADLO Clc1cccc(CNc2cc(NCC3CC3)[nH+]cn2)c1 ZINC000301284706 1123888006 /nfs/dbraw/zinc/88/80/06/1123888006.db2.gz MCSVLWOQJVVBIV-UHFFFAOYSA-N 1 2 288.782 3.564 20 0 CHADLO Clc1cccc(CNc2cc(NCC3CC3)nc[nH+]2)c1 ZINC000301284706 1123888015 /nfs/dbraw/zinc/88/80/15/1123888015.db2.gz MCSVLWOQJVVBIV-UHFFFAOYSA-N 1 2 288.782 3.564 20 0 CHADLO Cc1cc(N[C@H]2CCCC[C@@H]2n2cccn2)nc(C(C)C)[nH+]1 ZINC000301414737 1123898528 /nfs/dbraw/zinc/89/85/28/1123898528.db2.gz BHIVTRPVNXEPGQ-GJZGRUSLSA-N 1 2 299.422 3.701 20 0 CHADLO Cc1nc(N(C)Cc2nc3ccccc3s2)c(C)c(C)[nH+]1 ZINC000301450791 1123901394 /nfs/dbraw/zinc/90/13/94/1123901394.db2.gz TTZIHFQQDILCMA-UHFFFAOYSA-N 1 2 298.415 3.648 20 0 CHADLO Cc1cc(N(C)CCc2cccs2)nc(C(C)C)[nH+]1 ZINC000301455147 1123902157 /nfs/dbraw/zinc/90/21/57/1123902157.db2.gz HEVRWLNDOJTXQI-UHFFFAOYSA-N 1 2 275.421 3.649 20 0 CHADLO CSCc1cc(F)ccc1CNc1cccc[nH+]1 ZINC000301562105 1123911559 /nfs/dbraw/zinc/91/15/59/1123911559.db2.gz KXCJZGHQCGJIGR-UHFFFAOYSA-N 1 2 262.353 3.696 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@H]2/C=C/c2ccccc2)[nH]1 ZINC000428343908 1123925222 /nfs/dbraw/zinc/92/52/22/1123925222.db2.gz FADFXXPIJZPHEK-OFAQMXQXSA-N 1 2 296.418 3.606 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@H]2/C=C/c2ccccc2)[nH]1 ZINC000428343908 1123925226 /nfs/dbraw/zinc/92/52/26/1123925226.db2.gz FADFXXPIJZPHEK-OFAQMXQXSA-N 1 2 296.418 3.606 20 0 CHADLO COCC[C@H](C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301857343 1123938263 /nfs/dbraw/zinc/93/82/63/1123938263.db2.gz JBNBHKZZJBMEAE-LBPRGKRZSA-N 1 2 265.401 3.560 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NCC1(C)CCCC1 ZINC000301853818 1123938160 /nfs/dbraw/zinc/93/81/60/1123938160.db2.gz BJYOXIAFHLDWTO-UHFFFAOYSA-N 1 2 287.411 3.574 20 0 CHADLO CC(C)(C)C[C@H]1CCCN1c1nc(N)c2ccccc2[nH+]1 ZINC000301863603 1123939055 /nfs/dbraw/zinc/93/90/55/1123939055.db2.gz GPVJTLHICJYDBH-GFCCVEGCSA-N 1 2 284.407 3.617 20 0 CHADLO c1cn(CCCc2ccccc2)c(CNc2cccc[nH+]2)n1 ZINC000301902084 1123942966 /nfs/dbraw/zinc/94/29/66/1123942966.db2.gz NXDWJEBGGZOBSW-UHFFFAOYSA-N 1 2 292.386 3.523 20 0 CHADLO Cc1ccc(CSc2ccc3c(c2)CCO3)c(C)[nH+]1 ZINC000665687449 1123947242 /nfs/dbraw/zinc/94/72/42/1123947242.db2.gz QNESXIOFISMTAD-UHFFFAOYSA-N 1 2 271.385 3.926 20 0 CHADLO COc1cc(C)ccc1CNc1nc(C)[nH+]c2c1CCCC2 ZINC000302068933 1123953042 /nfs/dbraw/zinc/95/30/42/1123953042.db2.gz DPPGHLZVBMDTOW-UHFFFAOYSA-N 1 2 297.402 3.593 20 0 CHADLO Cc1csc2nc(C)nc(Nc3ccc(N(C)C)[nH+]c3)c12 ZINC000302183506 1123960471 /nfs/dbraw/zinc/96/04/71/1123960471.db2.gz ZVVHCTJNWYHINS-UHFFFAOYSA-N 1 2 299.403 3.513 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2coc(C3CC3)n2)c1 ZINC000865281170 1123967995 /nfs/dbraw/zinc/96/79/95/1123967995.db2.gz WEXRFYMPEUOUSF-UHFFFAOYSA-N 1 2 290.388 3.697 20 0 CHADLO COc1cccc2c(NC[C@@H](OC)C(C)(C)C)cc[nH+]c12 ZINC000302497343 1123970556 /nfs/dbraw/zinc/97/05/56/1123970556.db2.gz BTHMDKXVJBATHI-OAHLLOKOSA-N 1 2 288.391 3.716 20 0 CHADLO COc1cccc2c(N[C@@H]3CC[C@H](SC)C3)cc[nH+]c12 ZINC000302543005 1123972120 /nfs/dbraw/zinc/97/21/20/1123972120.db2.gz OJDOOUWVPSPDMB-NEPJUHHUSA-N 1 2 288.416 3.939 20 0 CHADLO Cc1nn(C[NH2+]C2(c3ccccc3)CCC2)c(=S)s1 ZINC000120515239 1123973079 /nfs/dbraw/zinc/97/30/79/1123973079.db2.gz KKGJHCWWJHSAAG-UHFFFAOYSA-N 1 2 291.445 3.609 20 0 CHADLO Cc1cc(C(=O)Cn2c[nH+]c(C(C)(C)C)c2)c(C)n1C1CC1 ZINC000865374358 1123976191 /nfs/dbraw/zinc/97/61/91/1123976191.db2.gz CMFPTOIZUGZSJG-UHFFFAOYSA-N 1 2 299.418 3.817 20 0 CHADLO CC(C)(C)c1cn(Cc2cc(-c3cccs3)on2)c[nH+]1 ZINC000865376104 1123976202 /nfs/dbraw/zinc/97/62/02/1123976202.db2.gz ZJISKJHEDRFTIV-UHFFFAOYSA-N 1 2 287.388 3.945 20 0 CHADLO COCC[C@@H]([NH2+]Cc1oc(C(C)C)nc1C)c1ccco1 ZINC000428348887 1123979439 /nfs/dbraw/zinc/97/94/39/1123979439.db2.gz AERXRYVKSRIXQU-CYBMUJFWSA-N 1 2 292.379 3.567 20 0 CHADLO Clc1cccc(C[NH2+]Cc2cn(C3CC3)cn2)c1Cl ZINC000865436399 1123984172 /nfs/dbraw/zinc/98/41/72/1123984172.db2.gz DCXPRLQJRCKDHE-UHFFFAOYSA-N 1 2 296.201 3.815 20 0 CHADLO Cc1cc(C)c(CNc2cc(N3CCCC3)nc[nH+]2)c(C)c1 ZINC000302894726 1123988641 /nfs/dbraw/zinc/98/86/41/1123988641.db2.gz OQDAYQBUECWROG-UHFFFAOYSA-N 1 2 296.418 3.614 20 0 CHADLO Cc1cc(C)c(CNc2cc(N3CCCC3)[nH+]cn2)c(C)c1 ZINC000302894726 1123988648 /nfs/dbraw/zinc/98/86/48/1123988648.db2.gz OQDAYQBUECWROG-UHFFFAOYSA-N 1 2 296.418 3.614 20 0 CHADLO CC(C)n1ccnc1C[NH2+]Cc1ccc(C(C)(C)C)o1 ZINC000865814758 1124016979 /nfs/dbraw/zinc/01/69/79/1124016979.db2.gz IZWPRJMIHYGCRQ-UHFFFAOYSA-N 1 2 275.396 3.644 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1cccc(OC(F)F)c1 ZINC000389458893 1124027679 /nfs/dbraw/zinc/02/76/79/1124027679.db2.gz DBWMYXYAYAVZLU-BDAKNGLRSA-N 1 2 279.277 3.982 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+][C@H]1CCc2ccccc21 ZINC000866221792 1124038283 /nfs/dbraw/zinc/03/82/83/1124038283.db2.gz VCBOUPPSWAWBRO-SOLBZPMBSA-N 1 2 283.346 3.735 20 0 CHADLO Cc1nc2c(s1)[C@H](Nc1[nH+]c3ccccc3n1C)CCC2 ZINC000779919558 1129162358 /nfs/dbraw/zinc/16/23/58/1129162358.db2.gz XUECTKUINISWCT-CYBMUJFWSA-N 1 2 298.415 3.828 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)s1 ZINC000866237293 1124040029 /nfs/dbraw/zinc/04/00/29/1124040029.db2.gz IZBSNIIHEJXPJF-HIFRSBDPSA-N 1 2 277.364 3.618 20 0 CHADLO C[C@@H]([NH2+][C@@H]1c2ccccc2OC[C@@H]1F)c1ccccc1 ZINC000866293893 1124047880 /nfs/dbraw/zinc/04/78/80/1124047880.db2.gz GUAMEWCSTCJSNL-ISTRZQFTSA-N 1 2 271.335 3.809 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+][C@@H](c1cccnc1)C1CC1 ZINC000866310542 1124049757 /nfs/dbraw/zinc/04/97/57/1124049757.db2.gz FVLYLGWSDDQFHM-KBAYOESNSA-N 1 2 298.361 3.594 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000866314695 1124051491 /nfs/dbraw/zinc/05/14/91/1124051491.db2.gz GDBNHNCFXKUMRS-KSZLIROESA-N 1 2 298.430 3.656 20 0 CHADLO CC(C)[C@H](Nc1ccc([NH+](C)C)cc1)c1ccccn1 ZINC000866331138 1124052886 /nfs/dbraw/zinc/05/28/86/1124052886.db2.gz WUCWECAZYSAMJP-KRWDZBQOSA-N 1 2 269.392 3.957 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2cc(C)cc(C)c2)s1 ZINC000444700514 1124055294 /nfs/dbraw/zinc/05/52/94/1124055294.db2.gz GLAHAUCNHIKDAD-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2cc(C)cc(C)c2)s1 ZINC000444700514 1124055297 /nfs/dbraw/zinc/05/52/97/1124055297.db2.gz GLAHAUCNHIKDAD-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1nc2ccccn2c1C[N@@H+](C)Cc1cc(C)ccc1F ZINC000444744546 1124058728 /nfs/dbraw/zinc/05/87/28/1124058728.db2.gz NAKAFFGJRJHZIS-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1nc2ccccn2c1C[N@H+](C)Cc1cc(C)ccc1F ZINC000444744546 1124058732 /nfs/dbraw/zinc/05/87/32/1124058732.db2.gz NAKAFFGJRJHZIS-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1nccnc1C[NH2+][C@H](C)c1cccc(Cl)c1Cl ZINC000621337337 1129165255 /nfs/dbraw/zinc/16/52/55/1129165255.db2.gz VWBHZYQNYZJFBN-SECBINFHSA-N 1 2 296.201 3.943 20 0 CHADLO Clc1ccc2c(c1Cl)OC[C@H]2Nc1cccc[nH+]1 ZINC000525191366 1124117592 /nfs/dbraw/zinc/11/75/92/1124117592.db2.gz FGIHPMXKERCEQX-SNVBAGLBSA-N 1 2 281.142 3.934 20 0 CHADLO CCCCc1nc(C[N@H+](C)C2CCC(C)(C)CC2)no1 ZINC000446726962 1124120328 /nfs/dbraw/zinc/12/03/28/1124120328.db2.gz SNXBOGHZRPVSNB-UHFFFAOYSA-N 1 2 279.428 3.813 20 0 CHADLO CCCCc1nc(C[N@@H+](C)C2CCC(C)(C)CC2)no1 ZINC000446726962 1124120331 /nfs/dbraw/zinc/12/03/31/1124120331.db2.gz SNXBOGHZRPVSNB-UHFFFAOYSA-N 1 2 279.428 3.813 20 0 CHADLO Clc1cccc([C@@H]2CCCC[N@@H+]2Cc2cc[nH]n2)c1 ZINC000446851550 1124125389 /nfs/dbraw/zinc/12/53/89/1124125389.db2.gz JJKBAHSULVVZQG-HNNXBMFYSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1cccc([C@@H]2CCCC[N@H+]2Cc2cc[nH]n2)c1 ZINC000446851550 1124125391 /nfs/dbraw/zinc/12/53/91/1124125391.db2.gz JJKBAHSULVVZQG-HNNXBMFYSA-N 1 2 275.783 3.790 20 0 CHADLO Cc1cc(C)c(CNC(=O)N(C)C[C@H](C)C(C)(C)C)c[nH+]1 ZINC000634957984 1129167672 /nfs/dbraw/zinc/16/76/72/1129167672.db2.gz DNOMSMAQWPPJOG-ZDUSSCGKSA-N 1 2 291.439 3.522 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nnc(C(C)C)[nH]2)cc1C ZINC000428459723 1124129399 /nfs/dbraw/zinc/12/93/99/1124129399.db2.gz MGVLHLPVRNWKLY-INIZCTEOSA-N 1 2 298.434 3.882 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)cc1C ZINC000428459723 1124129401 /nfs/dbraw/zinc/12/94/01/1124129401.db2.gz MGVLHLPVRNWKLY-INIZCTEOSA-N 1 2 298.434 3.882 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cnn(C(C)C)c2)c1 ZINC000446974763 1124129647 /nfs/dbraw/zinc/12/96/47/1124129647.db2.gz LOOODQPVXVQJKB-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cnn(C(C)C)c2)c1 ZINC000446974763 1124129649 /nfs/dbraw/zinc/12/96/49/1124129649.db2.gz LOOODQPVXVQJKB-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1cc2ccccc2s1 ZINC000525619909 1124134756 /nfs/dbraw/zinc/13/47/56/1124134756.db2.gz OKEHCOKCQQTIRR-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO Cc1ccc(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)cc1C ZINC000635063207 1129172317 /nfs/dbraw/zinc/17/23/17/1129172317.db2.gz WEAMOKUSPDIBJJ-INIZCTEOSA-N 1 2 285.391 3.726 20 0 CHADLO Cc1ccc(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)c(F)c1 ZINC000635147636 1129173994 /nfs/dbraw/zinc/17/39/94/1129173994.db2.gz AULFNANLDGEOQW-HNNXBMFYSA-N 1 2 289.354 3.557 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)c1Cl ZINC001238776127 1131244278 /nfs/dbraw/zinc/24/42/78/1131244278.db2.gz HFAQZPJPWSRYNM-SKDRFNHKSA-N 1 2 259.727 3.577 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)c1Cl ZINC001238776127 1131244280 /nfs/dbraw/zinc/24/42/80/1131244280.db2.gz HFAQZPJPWSRYNM-SKDRFNHKSA-N 1 2 259.727 3.577 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC[C@@H](O)[C@@H](C)c1ccccc1 ZINC000819408440 1131245144 /nfs/dbraw/zinc/24/51/44/1131245144.db2.gz FYBOASLQPHGAMH-HNAYVOBHSA-N 1 2 298.430 3.638 20 0 CHADLO Cc1ccc([C@H](O)CNc2ccc([NH+](C)C)cc2C)cc1 ZINC000819409679 1131245622 /nfs/dbraw/zinc/24/56/22/1131245622.db2.gz SMXCJTQPFNXSOU-GOSISDBHSA-N 1 2 284.403 3.515 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ncsc3C2)c(Cl)c1 ZINC000447268467 1124459955 /nfs/dbraw/zinc/45/99/55/1124459955.db2.gz VFPBIELOSACROK-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ncsc3C2)c(Cl)c1 ZINC000447268467 1124459958 /nfs/dbraw/zinc/45/99/58/1124459958.db2.gz VFPBIELOSACROK-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO COc1cc(C)ccc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000525832711 1124471340 /nfs/dbraw/zinc/47/13/40/1124471340.db2.gz NWAPCXVAJCBRPL-UHFFFAOYSA-N 1 2 284.359 3.576 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nccn2CC(C)C)c(C)o1 ZINC000447532127 1124482524 /nfs/dbraw/zinc/48/25/24/1124482524.db2.gz SHYVQYJHGPMHTQ-CYBMUJFWSA-N 1 2 275.396 3.600 20 0 CHADLO COC[C@@H]([NH2+]Cc1cccc(F)c1Cl)c1ccc(C)o1 ZINC000339084348 1124491334 /nfs/dbraw/zinc/49/13/34/1124491334.db2.gz UGKONFSOOKLMKD-CYBMUJFWSA-N 1 2 297.757 3.858 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+](C)Cc2cc[nH]n2)cc1 ZINC000509658882 1124502787 /nfs/dbraw/zinc/50/27/87/1124502787.db2.gz QLXAWOKNEDLMAI-UHFFFAOYSA-N 1 2 271.408 3.729 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+](C)Cc2cc[nH]n2)cc1 ZINC000509658882 1124502794 /nfs/dbraw/zinc/50/27/94/1124502794.db2.gz QLXAWOKNEDLMAI-UHFFFAOYSA-N 1 2 271.408 3.729 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1CCCc1c(F)cccc1Cl ZINC000447746794 1124519640 /nfs/dbraw/zinc/51/96/40/1124519640.db2.gz RALQEAXEXVKPET-GFCCVEGCSA-N 1 2 285.790 3.523 20 0 CHADLO CC[C@@H]1COCC[N@H+]1CCCc1c(F)cccc1Cl ZINC000447746794 1124519651 /nfs/dbraw/zinc/51/96/51/1124519651.db2.gz RALQEAXEXVKPET-GFCCVEGCSA-N 1 2 285.790 3.523 20 0 CHADLO C[C@H]1OCC[N@H+](CCCc2c(F)cccc2Cl)[C@H]1C ZINC000447744626 1124519931 /nfs/dbraw/zinc/51/99/31/1124519931.db2.gz KTWQXMLZPKQZRD-NWDGAFQWSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@H]1OCC[N@@H+](CCCc2c(F)cccc2Cl)[C@H]1C ZINC000447744626 1124519942 /nfs/dbraw/zinc/51/99/42/1124519942.db2.gz KTWQXMLZPKQZRD-NWDGAFQWSA-N 1 2 285.790 3.521 20 0 CHADLO COc1ccc(C[N@@H+]2CCO[C@H](C(C)(C)C)C2)cc1Cl ZINC000447831967 1124533880 /nfs/dbraw/zinc/53/38/80/1124533880.db2.gz ZXVFDJSYHPFKTQ-HNNXBMFYSA-N 1 2 297.826 3.596 20 0 CHADLO COc1ccc(C[N@H+]2CCO[C@H](C(C)(C)C)C2)cc1Cl ZINC000447831967 1124533888 /nfs/dbraw/zinc/53/38/88/1124533888.db2.gz ZXVFDJSYHPFKTQ-HNNXBMFYSA-N 1 2 297.826 3.596 20 0 CHADLO Nc1cn2ccc(-c3csc4ccccc34)cc2[nH+]1 ZINC001238888519 1131266591 /nfs/dbraw/zinc/26/65/91/1131266591.db2.gz ZGBRCUOWCSDKNT-UHFFFAOYSA-N 1 2 265.341 3.798 20 0 CHADLO Cc1cc(CNc2ccc([NH+](C)C)c(C)c2)c(C)o1 ZINC000122782938 1124535360 /nfs/dbraw/zinc/53/53/60/1124535360.db2.gz RJWXOEQZAKMKGE-UHFFFAOYSA-N 1 2 258.365 3.883 20 0 CHADLO CCC1(CC)CCC[N@H+](Cc2nnsc2Cl)CC1 ZINC000527049542 1124546775 /nfs/dbraw/zinc/54/67/75/1124546775.db2.gz FKXIAYFQQRBWNZ-UHFFFAOYSA-N 1 2 287.860 3.984 20 0 CHADLO CCC1(CC)CCC[N@@H+](Cc2nnsc2Cl)CC1 ZINC000527049542 1124546779 /nfs/dbraw/zinc/54/67/79/1124546779.db2.gz FKXIAYFQQRBWNZ-UHFFFAOYSA-N 1 2 287.860 3.984 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000339099779 1124548995 /nfs/dbraw/zinc/54/89/95/1124548995.db2.gz QHPFHOBNZHBQBT-SJCJKPOMSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000339099779 1124549002 /nfs/dbraw/zinc/54/90/02/1124549002.db2.gz QHPFHOBNZHBQBT-SJCJKPOMSA-N 1 2 297.402 3.666 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(-c2ccco2)cc1F)c1nccn1C ZINC000448021388 1124553269 /nfs/dbraw/zinc/55/32/69/1124553269.db2.gz IHEGYXZSSIRPBI-GFCCVEGCSA-N 1 2 299.349 3.670 20 0 CHADLO C[C@@H]1CCC[C@H](CC[NH2+][C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000527376930 1124576938 /nfs/dbraw/zinc/57/69/38/1124576938.db2.gz GWSNFBFJDLGZRZ-INMHGKMJSA-N 1 2 298.434 3.700 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)cnc1OCC(C)C ZINC001238923115 1131270154 /nfs/dbraw/zinc/27/01/54/1131270154.db2.gz BKKCSAWLGIUTSH-UHFFFAOYSA-N 1 2 281.359 3.740 20 0 CHADLO Cc1cc(-c2cccc3[nH+]ccn32)cnc1OCC(C)C ZINC001238924148 1131270845 /nfs/dbraw/zinc/27/08/45/1131270845.db2.gz XEWBWTWPLDASQD-UHFFFAOYSA-N 1 2 281.359 3.740 20 0 CHADLO CC[C@@H]1CCC[C@H]1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000448673983 1124607025 /nfs/dbraw/zinc/60/70/25/1124607025.db2.gz VPMDOPZLDIKRFT-ZIAGYGMSSA-N 1 2 283.375 3.637 20 0 CHADLO CC(C)CCCC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000448723762 1124609702 /nfs/dbraw/zinc/60/97/02/1124609702.db2.gz ITHLCZIPIRAVRZ-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO COCC[N@H+](Cc1cc(Cl)sc1Cl)C1CC1 ZINC000449012509 1124629603 /nfs/dbraw/zinc/62/96/03/1124629603.db2.gz DDJNSSUIFSLRQH-UHFFFAOYSA-N 1 2 280.220 3.666 20 0 CHADLO COCC[N@@H+](Cc1cc(Cl)sc1Cl)C1CC1 ZINC000449012509 1124629606 /nfs/dbraw/zinc/62/96/06/1124629606.db2.gz DDJNSSUIFSLRQH-UHFFFAOYSA-N 1 2 280.220 3.666 20 0 CHADLO FC(F)(F)C1=CC[N@H+](Cc2ccnn2C2CCCC2)CC1 ZINC000449030691 1124636239 /nfs/dbraw/zinc/63/62/39/1124636239.db2.gz SIRDKXXRRBGKBW-UHFFFAOYSA-N 1 2 299.340 3.693 20 0 CHADLO FC(F)(F)C1=CC[N@@H+](Cc2ccnn2C2CCCC2)CC1 ZINC000449030691 1124636240 /nfs/dbraw/zinc/63/62/40/1124636240.db2.gz SIRDKXXRRBGKBW-UHFFFAOYSA-N 1 2 299.340 3.693 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccnn2C2CCCC2)s1 ZINC000449172725 1124659946 /nfs/dbraw/zinc/65/99/46/1124659946.db2.gz CYQVJSXWANIXFJ-LBPRGKRZSA-N 1 2 290.436 3.614 20 0 CHADLO C/C(Cl)=C\C[NH2+][C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000528452666 1124672051 /nfs/dbraw/zinc/67/20/51/1124672051.db2.gz PLACVSCOYSQXCL-ZKXNXJMVSA-N 1 2 295.745 3.669 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1C/C=C(\C)Cl ZINC000528484158 1124677800 /nfs/dbraw/zinc/67/78/00/1124677800.db2.gz DVBZIQLHZOOBQQ-WHGQRRHOSA-N 1 2 267.804 3.573 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1C/C=C(\C)Cl ZINC000528484158 1124677801 /nfs/dbraw/zinc/67/78/01/1124677801.db2.gz DVBZIQLHZOOBQQ-WHGQRRHOSA-N 1 2 267.804 3.573 20 0 CHADLO C[C@H]([NH2+]Cc1cccc2ccoc21)c1nc(C(C)(C)C)no1 ZINC000449279124 1124682488 /nfs/dbraw/zinc/68/24/88/1124682488.db2.gz OCEGMPRKTFRSEE-NSHDSACASA-N 1 2 299.374 3.964 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+][C@@H]2CCCc3[nH]ncc32)s1 ZINC000872105945 1124683988 /nfs/dbraw/zinc/68/39/88/1124683988.db2.gz GFHIDRLMJNFWMJ-CYBMUJFWSA-N 1 2 289.448 3.936 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccc(F)cn1 ZINC000872115895 1124687137 /nfs/dbraw/zinc/68/71/37/1124687137.db2.gz NAJYMVWXXQZPOZ-ZDUSSCGKSA-N 1 2 299.802 3.818 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000528561527 1124689703 /nfs/dbraw/zinc/68/97/03/1124689703.db2.gz SKJYSEQTVXCKLB-GXJZLWQJSA-N 1 2 250.773 3.607 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000528561527 1124689706 /nfs/dbraw/zinc/68/97/06/1124689706.db2.gz SKJYSEQTVXCKLB-GXJZLWQJSA-N 1 2 250.773 3.607 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(OC(C)C)c(OC)c1)C(F)F ZINC000449357189 1124710215 /nfs/dbraw/zinc/71/02/15/1124710215.db2.gz CAJHLDMJCAXJQS-GFCCVEGCSA-N 1 2 287.350 3.616 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1c[nH]c3c1cccc3F)C2 ZINC001137059405 1124710629 /nfs/dbraw/zinc/71/06/29/1124710629.db2.gz ZVFXMSVQAUSDMH-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1c[nH]c3c1cccc3F)C2 ZINC001137059405 1124710635 /nfs/dbraw/zinc/71/06/35/1124710635.db2.gz ZVFXMSVQAUSDMH-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO c1cn2c(cccc2CNc2ccc3c(c2)CCCC3)[nH+]1 ZINC000449411499 1124731060 /nfs/dbraw/zinc/73/10/60/1124731060.db2.gz ILTIFFLPROILPM-UHFFFAOYSA-N 1 2 277.371 3.825 20 0 CHADLO Cc1cc(C[NH2+]Cc2noc3c2CC(C)(C)CC3)cs1 ZINC000873175496 1124744676 /nfs/dbraw/zinc/74/46/76/1124744676.db2.gz WNCFKYDUPXYUBM-UHFFFAOYSA-N 1 2 290.432 3.849 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@H](C(F)(F)F)C[C@H]2C)cs1 ZINC000449459706 1124747753 /nfs/dbraw/zinc/74/77/53/1124747753.db2.gz LEYLLOGZBIIZHJ-ZJUUUORDSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@H](C(F)(F)F)C[C@H]2C)cs1 ZINC000449459706 1124747765 /nfs/dbraw/zinc/74/77/65/1124747765.db2.gz LEYLLOGZBIIZHJ-ZJUUUORDSA-N 1 2 292.370 3.868 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cnc(OCC)cc2C)cc1 ZINC001238977057 1131283173 /nfs/dbraw/zinc/28/31/73/1131283173.db2.gz ANIJGKYIYYNPIF-UHFFFAOYSA-N 1 2 284.359 3.818 20 0 CHADLO Cc1[nH]c(CNc2ncnc3ccc(C(C)C)cc32)[nH+]c1C ZINC001120875548 1131285698 /nfs/dbraw/zinc/28/56/98/1131285698.db2.gz WAEJXSGKMTWOOB-UHFFFAOYSA-N 1 2 295.390 3.705 20 0 CHADLO CCc1ccc(OC(=O)c2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001122086272 1131297602 /nfs/dbraw/zinc/29/76/02/1131297602.db2.gz GHSFNDMXOLBDIZ-UHFFFAOYSA-N 1 2 292.338 3.654 20 0 CHADLO COc1cc(C)ccc1N[C@@H](C)c1cn2c([nH+]1)CCCC2 ZINC000820835516 1131305313 /nfs/dbraw/zinc/30/53/13/1131305313.db2.gz BXDCKHUYLUBDLK-ZDUSSCGKSA-N 1 2 285.391 3.710 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cc2cc(F)ccc2o1 ZINC000449563355 1124805510 /nfs/dbraw/zinc/80/55/10/1124805510.db2.gz UPYORPKDJSOHDF-NSHDSACASA-N 1 2 287.338 3.639 20 0 CHADLO CCCCOc1ccccc1C[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000449569005 1124805886 /nfs/dbraw/zinc/80/58/86/1124805886.db2.gz FLHZLXVSTQWZGA-GOEBONIOSA-N 1 2 299.418 3.858 20 0 CHADLO CCc1ccc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000449638682 1124834587 /nfs/dbraw/zinc/83/45/87/1124834587.db2.gz LQJKLQKYALSHAC-KRWDZBQOSA-N 1 2 282.387 3.964 20 0 CHADLO CCc1ccc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000449638682 1124834600 /nfs/dbraw/zinc/83/46/00/1124834600.db2.gz LQJKLQKYALSHAC-KRWDZBQOSA-N 1 2 282.387 3.964 20 0 CHADLO CC(C)c1ccc(NC(=O)N2CC[NH2+]C3=CCCC[C@H]32)cc1 ZINC001239309074 1131313567 /nfs/dbraw/zinc/31/35/67/1131313567.db2.gz KREKGPTWQHNWHR-QGZVFWFLSA-N 1 2 299.418 3.684 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2ccc(Cl)nc2)c1 ZINC000125704440 1124915747 /nfs/dbraw/zinc/91/57/47/1124915747.db2.gz YZDKVOHTWJONLO-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2ccc(Cl)nc2)c1 ZINC000125704440 1124915751 /nfs/dbraw/zinc/91/57/51/1124915751.db2.gz YZDKVOHTWJONLO-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ncc(Cl)s2)CC1 ZINC001137103818 1124934287 /nfs/dbraw/zinc/93/42/87/1124934287.db2.gz LERMQUVAJUIMSB-LLVKDONJSA-N 1 2 262.781 3.511 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ncc(Cl)s2)CC1 ZINC001137103818 1124934294 /nfs/dbraw/zinc/93/42/94/1124934294.db2.gz LERMQUVAJUIMSB-LLVKDONJSA-N 1 2 262.781 3.511 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(F)c2)C[C@H](c2ccsc2)O1 ZINC000120505771 1124946968 /nfs/dbraw/zinc/94/69/68/1124946968.db2.gz CIDVPKKMVCCXAV-MLGOLLRUSA-N 1 2 291.391 3.849 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(F)c2)C[C@H](c2ccsc2)O1 ZINC000120505771 1124946975 /nfs/dbraw/zinc/94/69/75/1124946975.db2.gz CIDVPKKMVCCXAV-MLGOLLRUSA-N 1 2 291.391 3.849 20 0 CHADLO CCCn1cc(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)nn1 ZINC000876560055 1124958091 /nfs/dbraw/zinc/95/80/91/1124958091.db2.gz CBEMLZDIYBWIQY-CYBMUJFWSA-N 1 2 298.390 3.627 20 0 CHADLO CCCn1cc(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)nn1 ZINC000876560055 1124958097 /nfs/dbraw/zinc/95/80/97/1124958097.db2.gz CBEMLZDIYBWIQY-CYBMUJFWSA-N 1 2 298.390 3.627 20 0 CHADLO COCCCN(C)c1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450275614 1124962544 /nfs/dbraw/zinc/96/25/44/1124962544.db2.gz GGVAIGHWKWFWBQ-UHFFFAOYSA-N 1 2 298.308 3.726 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1nc(Cl)cs1 ZINC000876818086 1124979408 /nfs/dbraw/zinc/97/94/08/1124979408.db2.gz MDMLNNLTDABKAX-UHFFFAOYSA-N 1 2 281.812 3.783 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)[C@@H]1CC1(F)F ZINC000876817956 1124979903 /nfs/dbraw/zinc/97/99/03/1124979903.db2.gz HVZNIYQMNJMFMC-JQWIXIFHSA-N 1 2 254.324 3.517 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2C[C@H]2CCCCC2(F)F)o1 ZINC000450420639 1125021196 /nfs/dbraw/zinc/02/11/96/1125021196.db2.gz QNULLQKJDLKABP-KGLIPLIRSA-N 1 2 299.361 3.787 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2C[C@H]2CCCCC2(F)F)o1 ZINC000450420639 1125021204 /nfs/dbraw/zinc/02/12/04/1125021204.db2.gz QNULLQKJDLKABP-KGLIPLIRSA-N 1 2 299.361 3.787 20 0 CHADLO c1coc(CC2C[NH+](Cc3coc(-c4ccccc4)n3)C2)c1 ZINC000529649268 1125029983 /nfs/dbraw/zinc/02/99/83/1125029983.db2.gz PLEHSGRJLLNPRF-UHFFFAOYSA-N 1 2 294.354 3.609 20 0 CHADLO Cc1[nH+]c[nH]c1CC(=O)Nc1cccc(C2CCCCC2)c1 ZINC000529649139 1125030163 /nfs/dbraw/zinc/03/01/63/1125030163.db2.gz MLQBTVFDNYXYDQ-UHFFFAOYSA-N 1 2 297.402 3.947 20 0 CHADLO Clc1csc(C[N@@H+]2CCSC3(CCC3)CC2)n1 ZINC000877588010 1125049766 /nfs/dbraw/zinc/04/97/66/1125049766.db2.gz UJWJJBSKDISXSM-UHFFFAOYSA-N 1 2 288.869 3.658 20 0 CHADLO Clc1csc(C[N@H+]2CCSC3(CCC3)CC2)n1 ZINC000877588010 1125049773 /nfs/dbraw/zinc/04/97/73/1125049773.db2.gz UJWJJBSKDISXSM-UHFFFAOYSA-N 1 2 288.869 3.658 20 0 CHADLO CC[C@H](C)Cc1noc([C@@H](c2ccccc2)[N@H+](C)CC)n1 ZINC000632249310 1125060189 /nfs/dbraw/zinc/06/01/89/1125060189.db2.gz KJTSVRUPAAZSSH-XJKSGUPXSA-N 1 2 287.407 3.699 20 0 CHADLO CC[C@H](C)Cc1noc([C@@H](c2ccccc2)[N@@H+](C)CC)n1 ZINC000632249310 1125060193 /nfs/dbraw/zinc/06/01/93/1125060193.db2.gz KJTSVRUPAAZSSH-XJKSGUPXSA-N 1 2 287.407 3.699 20 0 CHADLO CC(=O)c1ccc(C[N@@H+]2CCc3ccc(F)cc3C2)cc1 ZINC000450585360 1125062847 /nfs/dbraw/zinc/06/28/47/1125062847.db2.gz MULZCKSFXZFDOX-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1ccc(C[N@H+]2CCc3ccc(F)cc3C2)cc1 ZINC000450585360 1125062853 /nfs/dbraw/zinc/06/28/53/1125062853.db2.gz MULZCKSFXZFDOX-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(C)c1cc(NCC2(F)CCOCC2)nc(C(C)C)[nH+]1 ZINC001168123740 1125076967 /nfs/dbraw/zinc/07/69/67/1125076967.db2.gz JCPCPRZEKZOZRW-UHFFFAOYSA-N 1 2 295.402 3.654 20 0 CHADLO Cc1nocc1C[N@@H+]1CCc2ccc(Cl)c(C)c2C1 ZINC000877774747 1125080207 /nfs/dbraw/zinc/08/02/07/1125080207.db2.gz NRNWEDRTRJEFRJ-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1nocc1C[N@H+]1CCc2ccc(Cl)c(C)c2C1 ZINC000877774747 1125080215 /nfs/dbraw/zinc/08/02/15/1125080215.db2.gz NRNWEDRTRJEFRJ-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1ccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)c(C)n1 ZINC001239468437 1131330313 /nfs/dbraw/zinc/33/03/13/1131330313.db2.gz DNZNLEARZHNLJS-UHFFFAOYSA-N 1 2 276.330 3.705 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nc2c(o1)CCCC2 ZINC000877904429 1125098245 /nfs/dbraw/zinc/09/82/45/1125098245.db2.gz KVEAUBMAPGRLKM-UHFFFAOYSA-N 1 2 276.405 3.637 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nc2c(o1)CCCC2 ZINC000877904429 1125098253 /nfs/dbraw/zinc/09/82/53/1125098253.db2.gz KVEAUBMAPGRLKM-UHFFFAOYSA-N 1 2 276.405 3.637 20 0 CHADLO C=C/C=C/CC[N@@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000877981507 1125110099 /nfs/dbraw/zinc/11/00/99/1125110099.db2.gz WLQGCSPHBLCDBP-RDFMZFSFSA-N 1 2 273.380 3.606 20 0 CHADLO C=C/C=C/CC[N@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000877981507 1125110102 /nfs/dbraw/zinc/11/01/02/1125110102.db2.gz WLQGCSPHBLCDBP-RDFMZFSFSA-N 1 2 273.380 3.606 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000877992349 1125110697 /nfs/dbraw/zinc/11/06/97/1125110697.db2.gz VQVROLBLIFOTCJ-UHFFFAOYSA-N 1 2 273.254 3.832 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000877992349 1125110703 /nfs/dbraw/zinc/11/07/03/1125110703.db2.gz VQVROLBLIFOTCJ-UHFFFAOYSA-N 1 2 273.254 3.832 20 0 CHADLO CC[C@@H]1CCC[N@@H+]1Cc1nc(C)c(Br)s1 ZINC000878046238 1125116009 /nfs/dbraw/zinc/11/60/09/1125116009.db2.gz UJAGSSJZTHMOPI-SECBINFHSA-N 1 2 289.242 3.588 20 0 CHADLO CC[C@@H]1CCC[N@H+]1Cc1nc(C)c(Br)s1 ZINC000878046238 1125116014 /nfs/dbraw/zinc/11/60/14/1125116014.db2.gz UJAGSSJZTHMOPI-SECBINFHSA-N 1 2 289.242 3.588 20 0 CHADLO COC(=O)[C@H]([NH3+])c1ccc(-c2cccc3ccccc32)cc1 ZINC001239472004 1131333010 /nfs/dbraw/zinc/33/30/10/1131333010.db2.gz VDBLOWNIYGMCRV-GOSISDBHSA-N 1 2 291.350 3.680 20 0 CHADLO Cc1conc1C[N@H+]1CCS[C@@H](C)[C@H]1c1ccccc1 ZINC000878116795 1125120618 /nfs/dbraw/zinc/12/06/18/1125120618.db2.gz WEUXOMHFOVVGTN-BBRMVZONSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1conc1C[N@@H+]1CCS[C@@H](C)[C@H]1c1ccccc1 ZINC000878116795 1125120620 /nfs/dbraw/zinc/12/06/20/1125120620.db2.gz WEUXOMHFOVVGTN-BBRMVZONSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cccc(C(=O)Nc2ccccc2-n2cc[nH+]c2)c1F ZINC000121498237 1125124443 /nfs/dbraw/zinc/12/44/43/1125124443.db2.gz MYCSQCMLZUOKON-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2ccccn2)nc1Cl ZINC000878174761 1125127926 /nfs/dbraw/zinc/12/79/26/1125127926.db2.gz HDRIEIDAQVCYLQ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2ccccn2)nc1Cl ZINC000878174761 1125127928 /nfs/dbraw/zinc/12/79/28/1125127928.db2.gz HDRIEIDAQVCYLQ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CCc1nc2c(s1)[C@H]([N@H+](C)Cc1nocc1C)CCC2 ZINC000878273099 1125136700 /nfs/dbraw/zinc/13/67/00/1125136700.db2.gz RTRAUKPIWDHVME-CYBMUJFWSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1nc2c(s1)[C@H]([N@@H+](C)Cc1nocc1C)CCC2 ZINC000878273099 1125136703 /nfs/dbraw/zinc/13/67/03/1125136703.db2.gz RTRAUKPIWDHVME-CYBMUJFWSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1nc2c(s1)[C@@H]([N@H+](C)Cc1nocc1C)CCC2 ZINC000878273100 1125137392 /nfs/dbraw/zinc/13/73/92/1125137392.db2.gz RTRAUKPIWDHVME-ZDUSSCGKSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1nc2c(s1)[C@@H]([N@@H+](C)Cc1nocc1C)CCC2 ZINC000878273100 1125137394 /nfs/dbraw/zinc/13/73/94/1125137394.db2.gz RTRAUKPIWDHVME-ZDUSSCGKSA-N 1 2 291.420 3.511 20 0 CHADLO Cc1conc1C[NH2+][C@H](C)c1cccc(OC(C)C)c1 ZINC000878353302 1125143288 /nfs/dbraw/zinc/14/32/88/1125143288.db2.gz VKBXSUPIAXLXOZ-CYBMUJFWSA-N 1 2 274.364 3.621 20 0 CHADLO C[C@@H]([NH2+]Cc1scnc1Cl)c1cccc(F)c1F ZINC000878376490 1125145964 /nfs/dbraw/zinc/14/59/64/1125145964.db2.gz RKAGYBKIOACMIL-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C(F)(F)F)co1)c1ccccn1 ZINC000878400535 1125148824 /nfs/dbraw/zinc/14/88/24/1125148824.db2.gz GBVIADYAVYFQQF-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C(F)(F)F)co1)c1ccccn1 ZINC000878400536 1125149174 /nfs/dbraw/zinc/14/91/74/1125149174.db2.gz GBVIADYAVYFQQF-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO Cc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC000121836300 1125157303 /nfs/dbraw/zinc/15/73/03/1125157303.db2.gz SQTWQKVXAZANDF-UHFFFAOYSA-N 1 2 269.373 3.854 20 0 CHADLO COc1cc(NCc2c[nH+]c3ccc(C)cn23)c(C)cc1C ZINC000475674807 1125193311 /nfs/dbraw/zinc/19/33/11/1125193311.db2.gz ZDPVSGCMDHGHHG-UHFFFAOYSA-N 1 2 295.386 3.880 20 0 CHADLO Fc1ccc(F)c(COc2ccccc2-n2cc[nH+]c2)c1 ZINC000531233699 1125203333 /nfs/dbraw/zinc/20/33/33/1125203333.db2.gz NDWIDWJYJJMLJQ-UHFFFAOYSA-N 1 2 286.281 3.730 20 0 CHADLO CC1(F)CC[NH+](Cc2ccc(Cl)nc2Cl)CC1 ZINC000879382191 1125211754 /nfs/dbraw/zinc/21/17/54/1125211754.db2.gz FSFUBPUDFXIBKC-UHFFFAOYSA-N 1 2 277.170 3.712 20 0 CHADLO C[N@H+](Cc1noc2c1CCCC2)Cc1ccc2cc[nH]c2c1 ZINC000879726434 1125228057 /nfs/dbraw/zinc/22/80/57/1125228057.db2.gz NYENXWNAWPPRPF-UHFFFAOYSA-N 1 2 295.386 3.667 20 0 CHADLO C[N@@H+](Cc1noc2c1CCCC2)Cc1ccc2cc[nH]c2c1 ZINC000879726434 1125228060 /nfs/dbraw/zinc/22/80/60/1125228060.db2.gz NYENXWNAWPPRPF-UHFFFAOYSA-N 1 2 295.386 3.667 20 0 CHADLO COc1ccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)cc1 ZINC001239485244 1131341036 /nfs/dbraw/zinc/34/10/36/1131341036.db2.gz IGEMAZBGGHPNQD-UHFFFAOYSA-N 1 2 277.314 3.702 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+][C@@H](c2ccccc2)C2CC2)o1 ZINC000128065148 1125238286 /nfs/dbraw/zinc/23/82/86/1125238286.db2.gz CDCUTIJZGYSNRE-HNNXBMFYSA-N 1 2 285.391 3.608 20 0 CHADLO CC1(C)C[C@@H](Nc2cccc[nH+]2)c2ccc(F)cc2O1 ZINC000532221744 1125242389 /nfs/dbraw/zinc/24/23/89/1125242389.db2.gz YGWUNBBKDWEHKW-CYBMUJFWSA-N 1 2 272.323 3.935 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2CC[C@](C)(C(F)(F)F)C2)n1 ZINC000880001638 1125243302 /nfs/dbraw/zinc/24/33/02/1125243302.db2.gz XMSWCNLTLVOKDJ-SKDRFNHKSA-N 1 2 291.317 3.528 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2CC[C@](C)(C(F)(F)F)C2)n1 ZINC000880001638 1125243305 /nfs/dbraw/zinc/24/33/05/1125243305.db2.gz XMSWCNLTLVOKDJ-SKDRFNHKSA-N 1 2 291.317 3.528 20 0 CHADLO CCC1(CC)CCC[N@@H+]1Cc1nnc(C(F)(F)F)s1 ZINC000880093784 1125249467 /nfs/dbraw/zinc/24/94/67/1125249467.db2.gz KEVKBXIGZVYHOR-UHFFFAOYSA-N 1 2 293.358 3.712 20 0 CHADLO CCC1(CC)CCC[N@H+]1Cc1nnc(C(F)(F)F)s1 ZINC000880093784 1125249473 /nfs/dbraw/zinc/24/94/73/1125249473.db2.gz KEVKBXIGZVYHOR-UHFFFAOYSA-N 1 2 293.358 3.712 20 0 CHADLO FC1CC[NH+](Cc2csc(-c3cccs3)n2)CC1 ZINC000880243641 1125259782 /nfs/dbraw/zinc/25/97/82/1125259782.db2.gz OYXHLUWCDPAYPR-UHFFFAOYSA-N 1 2 282.409 3.806 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NC2(c3ccccn3)CC2)c1 ZINC001168151376 1125269103 /nfs/dbraw/zinc/26/91/03/1125269103.db2.gz OBPLMHBRPQJGHR-UHFFFAOYSA-N 1 2 290.370 3.583 20 0 CHADLO COC(=O)c1occc1C[N@@H+]1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000880539626 1125278793 /nfs/dbraw/zinc/27/87/93/1125278793.db2.gz ATXJYGFFICCSCJ-LRDDRELGSA-N 1 2 297.354 3.501 20 0 CHADLO COC(=O)c1occc1C[N@H+]1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000880539626 1125278796 /nfs/dbraw/zinc/27/87/96/1125278796.db2.gz ATXJYGFFICCSCJ-LRDDRELGSA-N 1 2 297.354 3.501 20 0 CHADLO Clc1cnc(C[N@@H+]2C[C@@H]3CC[C@H]2c2ccccc23)cn1 ZINC000880536125 1125279118 /nfs/dbraw/zinc/27/91/18/1125279118.db2.gz JDMOQMYKZDKJPM-NHYWBVRUSA-N 1 2 285.778 3.564 20 0 CHADLO Clc1cnc(C[N@H+]2C[C@@H]3CC[C@H]2c2ccccc23)cn1 ZINC000880536125 1125279122 /nfs/dbraw/zinc/27/91/22/1125279122.db2.gz JDMOQMYKZDKJPM-NHYWBVRUSA-N 1 2 285.778 3.564 20 0 CHADLO CCCC[C@@H]([NH2+][C@@H](C)Cc1ccc(Cl)cc1)C(=O)OC ZINC001168160896 1125296531 /nfs/dbraw/zinc/29/65/31/1125296531.db2.gz ZXHRGDNJQRBWDY-SWLSCSKDSA-N 1 2 297.826 3.592 20 0 CHADLO C[N@H+](CC[C@@H]1CCCO1)[C@@H](CCc1ccccc1)C(F)F ZINC000626136897 1125299932 /nfs/dbraw/zinc/29/99/32/1125299932.db2.gz LZQPNLBCFUDOSS-HOTGVXAUSA-N 1 2 297.389 3.754 20 0 CHADLO C[N@@H+](CC[C@@H]1CCCO1)[C@@H](CCc1ccccc1)C(F)F ZINC000626136897 1125299935 /nfs/dbraw/zinc/29/99/35/1125299935.db2.gz LZQPNLBCFUDOSS-HOTGVXAUSA-N 1 2 297.389 3.754 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2n[nH]c3ccccc32)cc1C ZINC000897417343 1125320920 /nfs/dbraw/zinc/32/09/20/1125320920.db2.gz KWVUWBJUTSTJFM-CYBMUJFWSA-N 1 2 295.386 3.731 20 0 CHADLO CC(C)c1ccc(C[N@H+](Cc2ncccn2)C2CC2)cc1 ZINC000191853347 1125329616 /nfs/dbraw/zinc/32/96/16/1125329616.db2.gz GHEBWWZAQHBLSI-UHFFFAOYSA-N 1 2 281.403 3.765 20 0 CHADLO CC(C)c1ccc(C[N@@H+](Cc2ncccn2)C2CC2)cc1 ZINC000191853347 1125329619 /nfs/dbraw/zinc/32/96/19/1125329619.db2.gz GHEBWWZAQHBLSI-UHFFFAOYSA-N 1 2 281.403 3.765 20 0 CHADLO COc1cc(CNc2cc[nH+]cc2F)ccc1SC ZINC000192150292 1125331621 /nfs/dbraw/zinc/33/16/21/1125331621.db2.gz NJSZBARTDJUSKH-UHFFFAOYSA-N 1 2 278.352 3.563 20 0 CHADLO c1cn2c(cccc2CNc2ccc3scnc3c2)[nH+]1 ZINC000192256281 1125332211 /nfs/dbraw/zinc/33/22/11/1125332211.db2.gz AYWBCDLFMGEWHM-UHFFFAOYSA-N 1 2 280.356 3.556 20 0 CHADLO Cc1nc(N[C@H](c2ccncc2)C2CC2)c2c([nH+]1)CCCC2 ZINC000897424246 1125335152 /nfs/dbraw/zinc/33/51/52/1125335152.db2.gz YXARFFQIACMZEN-KRWDZBQOSA-N 1 2 294.402 3.622 20 0 CHADLO Cc1cc(C)c([C@H](C)[NH2+][C@@H](C)c2csnn2)c(C)c1 ZINC000398254991 1125338007 /nfs/dbraw/zinc/33/80/07/1125338007.db2.gz YTOJLCUSSGHKSC-STQMWFEESA-N 1 2 275.421 3.875 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nnc(C(C)C)o2)cc1 ZINC000170692201 1125344164 /nfs/dbraw/zinc/34/41/64/1125344164.db2.gz CTBRZPJUMCLAID-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nnc(C(C)C)o2)cc1 ZINC000170692201 1125344167 /nfs/dbraw/zinc/34/41/67/1125344167.db2.gz CTBRZPJUMCLAID-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO CCC[C@H](CC)C(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882871025 1125358873 /nfs/dbraw/zinc/35/88/73/1125358873.db2.gz XCJWZDKITHOHJF-DOTOQJQBSA-N 1 2 299.418 3.567 20 0 CHADLO CC(C)c1c[nH]c(CNc2ccc(N3CCCCC3)c[nH+]2)n1 ZINC000882908310 1125361342 /nfs/dbraw/zinc/36/13/42/1125361342.db2.gz MDUHYTZRRHKUQO-UHFFFAOYSA-N 1 2 299.422 3.531 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2ccc([C@@H]3C[C@@H]3C)o2)n1 ZINC000882903490 1125361519 /nfs/dbraw/zinc/36/15/19/1125361519.db2.gz DFZFWANDGFCADE-WCQYABFASA-N 1 2 273.380 3.539 20 0 CHADLO CCOc1cccc(Cl)c1C[NH2+][C@@H](C)c1ccccn1 ZINC000653612560 1125362030 /nfs/dbraw/zinc/36/20/30/1125362030.db2.gz WIQDBMNJABULAB-LBPRGKRZSA-N 1 2 290.794 3.985 20 0 CHADLO CCCOc1ccc(CNc2ccc(N(C)C)[nH+]c2)cc1 ZINC000036988748 1125363076 /nfs/dbraw/zinc/36/30/76/1125363076.db2.gz QPZRFFJFKDFOTO-UHFFFAOYSA-N 1 2 285.391 3.549 20 0 CHADLO CCn1ccnc1C[NH2+][C@@H](C)c1ccccc1OC(C)C ZINC000051922974 1125370417 /nfs/dbraw/zinc/37/04/17/1125370417.db2.gz QOHLEDBQWCNBMN-AWEZNQCLSA-N 1 2 287.407 3.541 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+][C@H](C)c2cccc(F)c2F)n1 ZINC000883079006 1125370851 /nfs/dbraw/zinc/37/08/51/1125370851.db2.gz HQTDEEAQTZPCKE-SNVBAGLBSA-N 1 2 279.334 3.662 20 0 CHADLO CSc1ccc(C[NH2+]Cc2cc(C)cc(Cl)n2)o1 ZINC000883111625 1125372270 /nfs/dbraw/zinc/37/22/70/1125372270.db2.gz KOQZHEZSOZKSGW-UHFFFAOYSA-N 1 2 282.796 3.648 20 0 CHADLO C[C@H](c1noc(-c2ccccc2)n1)[NH+]1CCC(C)(F)CC1 ZINC000640071954 1125376689 /nfs/dbraw/zinc/37/66/89/1125376689.db2.gz VRAQSSVHCXLKNS-GFCCVEGCSA-N 1 2 289.354 3.622 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C)s1)c1sccc1Cl ZINC000883216970 1125379360 /nfs/dbraw/zinc/37/93/60/1125379360.db2.gz YZZQTVAKFAMISQ-SECBINFHSA-N 1 2 287.841 3.802 20 0 CHADLO CC1=CC[C@@](C)(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000666596700 1125386111 /nfs/dbraw/zinc/38/61/11/1125386111.db2.gz XJRKHQDGHJVRKV-GOSISDBHSA-N 1 2 295.386 3.947 20 0 CHADLO Cc1ncc(C[NH2+]Cc2ccc(Cl)cc2OC(C)C)o1 ZINC000883258933 1125386448 /nfs/dbraw/zinc/38/64/48/1125386448.db2.gz VLPGJVKDBPEMSH-UHFFFAOYSA-N 1 2 294.782 3.713 20 0 CHADLO CC(C)Oc1cc(Cl)ccc1C[NH2+]Cc1nccs1 ZINC000883259733 1125387119 /nfs/dbraw/zinc/38/71/19/1125387119.db2.gz WJYVUGRSQUVRAP-UHFFFAOYSA-N 1 2 296.823 3.874 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+][C@@H](C)c1nccs1 ZINC000883265497 1125388467 /nfs/dbraw/zinc/38/84/67/1125388467.db2.gz DIRPEFXAGQXTGY-NSHDSACASA-N 1 2 288.416 3.880 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+][C@@H](C)c1cscn1 ZINC000883266322 1125388633 /nfs/dbraw/zinc/38/86/33/1125388633.db2.gz XIISAJGHDZGDSN-NSHDSACASA-N 1 2 288.416 3.880 20 0 CHADLO CCCc1ccc(C[NH2+]C2(c3ncccn3)CCC2)s1 ZINC000883305446 1125392729 /nfs/dbraw/zinc/39/27/29/1125392729.db2.gz WVPXJRHMHPIVOL-UHFFFAOYSA-N 1 2 287.432 3.660 20 0 CHADLO Cc1cc(Cl)cc(C[NH2+]C2(c3ncccn3)CCC2)c1 ZINC000883305964 1125393006 /nfs/dbraw/zinc/39/30/06/1125393006.db2.gz KKVUPEPQCGQOQK-UHFFFAOYSA-N 1 2 287.794 3.607 20 0 CHADLO CC[C@@H]([NH2+]C1(c2ncccn2)CCC1)c1ccc(F)cc1 ZINC000883313492 1125393940 /nfs/dbraw/zinc/39/39/40/1125393940.db2.gz FPGQLJRDJCCQIG-OAHLLOKOSA-N 1 2 285.366 3.736 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(Cl)nc2)c[nH+]c1C ZINC000883342393 1125397944 /nfs/dbraw/zinc/39/79/44/1125397944.db2.gz VNJLWWNAJYJXEM-NSHDSACASA-N 1 2 261.756 3.920 20 0 CHADLO Cc1cc(NCc2cnc(C3CC3)s2)c[nH+]c1C ZINC000883341574 1125398558 /nfs/dbraw/zinc/39/85/58/1125398558.db2.gz UJSWALPVRUNWLN-UHFFFAOYSA-N 1 2 259.378 3.644 20 0 CHADLO CCSCc1cccnc1NCc1cccc2[nH+]ccn21 ZINC000883378000 1125404901 /nfs/dbraw/zinc/40/49/01/1125404901.db2.gz XBGAYZRVPXKDEC-UHFFFAOYSA-N 1 2 298.415 3.595 20 0 CHADLO Cc1noc(Nc2cccc3[nH+]c(C)ccc23)c1C ZINC001212794173 1125410162 /nfs/dbraw/zinc/41/01/62/1125410162.db2.gz NBVSXBJKGSHTKJ-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H]2c3ccccc3NC2(C)C)o1 ZINC000883464394 1125411035 /nfs/dbraw/zinc/41/10/35/1125411035.db2.gz MNTYBOZRTDBKSQ-INIZCTEOSA-N 1 2 285.391 3.833 20 0 CHADLO Cc1ccc2c(Nc3nc(Cl)ccc3N)cccc2[nH+]1 ZINC001212795215 1125416756 /nfs/dbraw/zinc/41/67/56/1125416756.db2.gz VHCBQNBTLOCYJQ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1cc(F)cc(-c2ccsc2)c1C[NH+]1CCOCC1 ZINC001239513696 1131355344 /nfs/dbraw/zinc/35/53/44/1131355344.db2.gz NKRSFZRYYVBTFI-UHFFFAOYSA-N 1 2 291.391 3.695 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCc2ccccc2[C@@H]1C ZINC000106862721 1125445327 /nfs/dbraw/zinc/44/53/27/1125445327.db2.gz RLPDZUHTDPBDJG-AWEZNQCLSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCc2ccccc2[C@@H]1C ZINC000106862721 1125445332 /nfs/dbraw/zinc/44/53/32/1125445332.db2.gz RLPDZUHTDPBDJG-AWEZNQCLSA-N 1 2 269.392 3.583 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@H](C)c2ccon2)cc1F ZINC000348256261 1125446104 /nfs/dbraw/zinc/44/61/04/1125446104.db2.gz WCEMDWZTGVRIPL-VHSXEESVSA-N 1 2 280.368 3.947 20 0 CHADLO Cn1ccnc1C[N@@H+]1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000108386005 1125454320 /nfs/dbraw/zinc/45/43/20/1125454320.db2.gz DPEKONKYAPGCIU-KRWDZBQOSA-N 1 2 294.402 3.629 20 0 CHADLO Cn1ccnc1C[N@H+]1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000108386005 1125454323 /nfs/dbraw/zinc/45/43/23/1125454323.db2.gz DPEKONKYAPGCIU-KRWDZBQOSA-N 1 2 294.402 3.629 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)cc2)no1 ZINC000044895905 1125456902 /nfs/dbraw/zinc/45/69/02/1125456902.db2.gz ZVADUDQRGOVWHS-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccc(Cl)cc2)no1 ZINC000044895905 1125456907 /nfs/dbraw/zinc/45/69/07/1125456907.db2.gz ZVADUDQRGOVWHS-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO CC(C)C[C@H](C(=O)N1Cc2ccccc2[C@H]1C)n1cc[nH+]c1 ZINC000635639141 1129187822 /nfs/dbraw/zinc/18/78/22/1129187822.db2.gz QMAPVNGELYPOQU-RHSMWYFYSA-N 1 2 297.402 3.574 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CC(CC3CC3)C2)cs1 ZINC000621740417 1129189867 /nfs/dbraw/zinc/18/98/67/1129189867.db2.gz PHORLLBOHSZAPF-UHFFFAOYSA-N 1 2 264.438 3.673 20 0 CHADLO Cc1cncc([C@H](C)Nc2ccc([NH+](C)C)cc2)c1 ZINC000184460350 1129201418 /nfs/dbraw/zinc/20/14/18/1129201418.db2.gz MMXZKINVRMAUDQ-ZDUSSCGKSA-N 1 2 255.365 3.629 20 0 CHADLO CCO[C@@H]1C[C@H]([N@H+](C)C/C(Cl)=C\Cl)C12CCC2 ZINC000763704862 1129213249 /nfs/dbraw/zinc/21/32/49/1129213249.db2.gz DDANJWAMTKXYNX-ALQBXHKQSA-N 1 2 278.223 3.585 20 0 CHADLO CCO[C@@H]1C[C@H]([N@@H+](C)C/C(Cl)=C\Cl)C12CCC2 ZINC000763704862 1129213253 /nfs/dbraw/zinc/21/32/53/1129213253.db2.gz DDANJWAMTKXYNX-ALQBXHKQSA-N 1 2 278.223 3.585 20 0 CHADLO CCO[C@@H]1C[C@H]([N@H+](C)C/C(Cl)=C/Cl)C12CCC2 ZINC000763704865 1129213688 /nfs/dbraw/zinc/21/36/88/1129213688.db2.gz DDANJWAMTKXYNX-NVSPSJGQSA-N 1 2 278.223 3.585 20 0 CHADLO CCO[C@@H]1C[C@H]([N@@H+](C)C/C(Cl)=C/Cl)C12CCC2 ZINC000763704865 1129213691 /nfs/dbraw/zinc/21/36/91/1129213691.db2.gz DDANJWAMTKXYNX-NVSPSJGQSA-N 1 2 278.223 3.585 20 0 CHADLO CCCc1ccccc1C(=O)NCc1c[nH+]c(C)cc1C ZINC000822012150 1131359288 /nfs/dbraw/zinc/35/92/88/1131359288.db2.gz LZFQMSDJRKVPCO-UHFFFAOYSA-N 1 2 282.387 3.581 20 0 CHADLO CO[C@H]1CC=C(Nc2ccc(N3CCCCC3)[nH+]c2)CC1 ZINC001212873425 1129229928 /nfs/dbraw/zinc/22/99/28/1129229928.db2.gz KPSQEDMNKHCNDS-INIZCTEOSA-N 1 2 287.407 3.567 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@H+](C)Cc2ccns2)s1 ZINC000638112165 1129243422 /nfs/dbraw/zinc/24/34/22/1129243422.db2.gz RNGXXTHHZIWWFR-SNVBAGLBSA-N 1 2 281.450 3.663 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@@H+](C)Cc2ccns2)s1 ZINC000638112165 1129243425 /nfs/dbraw/zinc/24/34/25/1129243425.db2.gz RNGXXTHHZIWWFR-SNVBAGLBSA-N 1 2 281.450 3.663 20 0 CHADLO CCC[C@H](CC)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000638567017 1129262901 /nfs/dbraw/zinc/26/29/01/1129262901.db2.gz HFEXZGAARYEMCG-KBPBESRZSA-N 1 2 264.417 3.594 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)C1CCCC1 ZINC000638636182 1129264182 /nfs/dbraw/zinc/26/41/82/1129264182.db2.gz QRTJTXQQPBQPAK-SWLSCSKDSA-N 1 2 276.428 3.594 20 0 CHADLO O=C(/C=C/c1ccc(Cl)cc1)Nc1ccc2[nH+]ccn2c1 ZINC000733881501 1129272446 /nfs/dbraw/zinc/27/24/46/1129272446.db2.gz QPKTXMJZZKGISU-FPYGCLRLSA-N 1 2 297.745 3.640 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)[C@@H]1CC1(F)F ZINC000638888068 1129273985 /nfs/dbraw/zinc/27/39/85/1129273985.db2.gz GZPMNSOQJDGWAD-AAEUAGOBSA-N 1 2 281.350 3.528 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2cccc(F)c2N)cs1 ZINC000639059220 1129279167 /nfs/dbraw/zinc/27/91/67/1129279167.db2.gz CGFQJASVZNDATM-CQSZACIVSA-N 1 2 291.395 3.510 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2cccc(F)c2N)cs1 ZINC000639059220 1129279169 /nfs/dbraw/zinc/27/91/69/1129279169.db2.gz CGFQJASVZNDATM-CQSZACIVSA-N 1 2 291.395 3.510 20 0 CHADLO O[C@H](C[N@@H+]1Cc2cccc(Cl)c2C1)c1ccccc1F ZINC000287370357 1129279902 /nfs/dbraw/zinc/27/99/02/1129279902.db2.gz MJZPHCCRZSOIIK-MRXNPFEDSA-N 1 2 291.753 3.528 20 0 CHADLO O[C@H](C[N@H+]1Cc2cccc(Cl)c2C1)c1ccccc1F ZINC000287370357 1129279906 /nfs/dbraw/zinc/27/99/06/1129279906.db2.gz MJZPHCCRZSOIIK-MRXNPFEDSA-N 1 2 291.753 3.528 20 0 CHADLO O[C@@H](C[N@@H+]1Cc2cccc(Cl)c2C1)c1ccccc1F ZINC000287370354 1129280487 /nfs/dbraw/zinc/28/04/87/1129280487.db2.gz MJZPHCCRZSOIIK-INIZCTEOSA-N 1 2 291.753 3.528 20 0 CHADLO O[C@@H](C[N@H+]1Cc2cccc(Cl)c2C1)c1ccccc1F ZINC000287370354 1129280488 /nfs/dbraw/zinc/28/04/88/1129280488.db2.gz MJZPHCCRZSOIIK-INIZCTEOSA-N 1 2 291.753 3.528 20 0 CHADLO Cc1cnc(C[NH2+][C@@H]2CCc3ccc(C(C)C)cc32)o1 ZINC000639088904 1129280786 /nfs/dbraw/zinc/28/07/86/1129280786.db2.gz HWJUSYNUMBUMRR-MRXNPFEDSA-N 1 2 270.376 3.884 20 0 CHADLO Cc1cc(C)cc(-c2c[nH+]c(N3CCOCC3)c(C)c2)c1 ZINC001239524055 1131363313 /nfs/dbraw/zinc/36/33/13/1131363313.db2.gz PNHHRLCDOUHNAV-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nnc[nH]1)C1CCCCC1)c1ccsc1 ZINC000639142169 1129286427 /nfs/dbraw/zinc/28/64/27/1129286427.db2.gz VUFCIQQDMAQYDG-RISCZKNCSA-N 1 2 290.436 3.838 20 0 CHADLO Cc1nnsc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000639206411 1129293010 /nfs/dbraw/zinc/29/30/10/1129293010.db2.gz IHHBZQHFBHUSET-HNNXBMFYSA-N 1 2 285.416 3.574 20 0 CHADLO Cc1nnsc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000639206411 1129293013 /nfs/dbraw/zinc/29/30/13/1129293013.db2.gz IHHBZQHFBHUSET-HNNXBMFYSA-N 1 2 285.416 3.574 20 0 CHADLO Cc1nonc1C[N@H+](Cc1ccc2ccccc2c1)C1CC1 ZINC000734146551 1129296781 /nfs/dbraw/zinc/29/67/81/1129296781.db2.gz KUYIGYGQSQYIDI-UHFFFAOYSA-N 1 2 293.370 3.696 20 0 CHADLO Cc1nonc1C[N@@H+](Cc1ccc2ccccc2c1)C1CC1 ZINC000734146551 1129296783 /nfs/dbraw/zinc/29/67/83/1129296783.db2.gz KUYIGYGQSQYIDI-UHFFFAOYSA-N 1 2 293.370 3.696 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2ccc(C(F)F)nc2)C1 ZINC000639256199 1129296782 /nfs/dbraw/zinc/29/67/82/1129296782.db2.gz UODNBXVUSLSQRW-UHFFFAOYSA-N 1 2 294.267 3.794 20 0 CHADLO CC[C@](C)([NH2+][C@H](c1ncn[nH]1)C1CCCCC1)C1CC1 ZINC000639316350 1129302709 /nfs/dbraw/zinc/30/27/09/1129302709.db2.gz IDDYBEVRCHPRKF-HOCLYGCPSA-N 1 2 276.428 3.594 20 0 CHADLO CC1(C)C[NH+](Cc2csc(-c3ccsc3)n2)C1 ZINC000639407252 1129311729 /nfs/dbraw/zinc/31/17/29/1129311729.db2.gz VYCMLIKFNUTWLU-UHFFFAOYSA-N 1 2 264.419 3.713 20 0 CHADLO c1csc([C@H]2CCC[N@H+]2Cc2nc(C3CCC3)no2)c1 ZINC000639435791 1129314320 /nfs/dbraw/zinc/31/43/20/1129314320.db2.gz ZGEOGSWPSCHXJW-GFCCVEGCSA-N 1 2 289.404 3.736 20 0 CHADLO c1csc([C@H]2CCC[N@@H+]2Cc2nc(C3CCC3)no2)c1 ZINC000639435791 1129314325 /nfs/dbraw/zinc/31/43/25/1129314325.db2.gz ZGEOGSWPSCHXJW-GFCCVEGCSA-N 1 2 289.404 3.736 20 0 CHADLO CCC[N@H+](Cc1nc(C2CCC2)no1)Cc1ccccc1 ZINC000639435138 1129314785 /nfs/dbraw/zinc/31/47/85/1129314785.db2.gz GSHCORBARCFZHY-UHFFFAOYSA-N 1 2 285.391 3.749 20 0 CHADLO CCC[N@@H+](Cc1nc(C2CCC2)no1)Cc1ccccc1 ZINC000639435138 1129314788 /nfs/dbraw/zinc/31/47/88/1129314788.db2.gz GSHCORBARCFZHY-UHFFFAOYSA-N 1 2 285.391 3.749 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+]Cc2nc(C3CCC3)no2)c1 ZINC000639563726 1129323561 /nfs/dbraw/zinc/32/35/61/1129323561.db2.gz ADQCYMOTSBLVGA-ZDUSSCGKSA-N 1 2 285.391 3.805 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2nc(C3CCC3)no2)C2CC2)cc1 ZINC000639567822 1129323631 /nfs/dbraw/zinc/32/36/31/1129323631.db2.gz CVZABFSVMBSPSF-INIZCTEOSA-N 1 2 283.375 3.578 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(C3CCC3)no2)c1C ZINC000639651693 1129327896 /nfs/dbraw/zinc/32/78/96/1129327896.db2.gz FTWXMBUWEYGTEU-UHFFFAOYSA-N 1 2 285.391 3.586 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(C3CCC3)no2)c1C ZINC000639651693 1129327898 /nfs/dbraw/zinc/32/78/98/1129327898.db2.gz FTWXMBUWEYGTEU-UHFFFAOYSA-N 1 2 285.391 3.586 20 0 CHADLO Cc1ccc2sc(C[N@@H+]3CCC[C@H]3c3cn[nH]c3)nc2c1 ZINC000639775864 1129333095 /nfs/dbraw/zinc/33/30/95/1129333095.db2.gz FZGDNYXCVIJYMH-AWEZNQCLSA-N 1 2 298.415 3.665 20 0 CHADLO Cc1ccc2sc(C[N@H+]3CCC[C@H]3c3cn[nH]c3)nc2c1 ZINC000639775864 1129333099 /nfs/dbraw/zinc/33/30/99/1129333099.db2.gz FZGDNYXCVIJYMH-AWEZNQCLSA-N 1 2 298.415 3.665 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1cc(F)cc(F)c1 ZINC000719404244 1129334375 /nfs/dbraw/zinc/33/43/75/1129334375.db2.gz ZROBZVCPYLAGHD-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO Cc1c(F)cccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001240729109 1129336995 /nfs/dbraw/zinc/33/69/95/1129336995.db2.gz QHPQINBDAYJGIY-UHFFFAOYSA-N 1 2 252.292 3.987 20 0 CHADLO CC[C@](C)([NH2+]Cc1nn(C)cc1Cl)c1ccc(F)cc1 ZINC000639926737 1129340390 /nfs/dbraw/zinc/34/03/90/1129340390.db2.gz BPJNAYXHYXKKKO-HNNXBMFYSA-N 1 2 295.789 3.628 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2nc3c(s2)CCCC3)c1 ZINC000719531502 1129343464 /nfs/dbraw/zinc/34/34/64/1129343464.db2.gz UPSKNVYDBQKXPZ-UHFFFAOYSA-N 1 2 294.370 3.590 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCc3c2cccc3F)c(C)[nH+]1 ZINC000640141786 1129350095 /nfs/dbraw/zinc/35/00/95/1129350095.db2.gz UASXMYRWZFXISG-UHFFFAOYSA-N 1 2 299.349 3.741 20 0 CHADLO CSc1cc(Nc2ccc(CO)c(Cl)c2)cc[nH+]1 ZINC001212891020 1129373592 /nfs/dbraw/zinc/37/35/92/1129373592.db2.gz MKXPPWWRZFBUQF-UHFFFAOYSA-N 1 2 280.780 3.693 20 0 CHADLO COc1cc(CN(C)c2cc[nH+]cc2F)ccc1SC ZINC000641318863 1129378269 /nfs/dbraw/zinc/37/82/69/1129378269.db2.gz FQKBARYYHKQKKG-UHFFFAOYSA-N 1 2 292.379 3.588 20 0 CHADLO CCSc1ccc([C@@H](C)Nc2cc(CO)cc[nH+]2)cc1 ZINC000641342769 1129379441 /nfs/dbraw/zinc/37/94/41/1129379441.db2.gz FSXAHFKCVFTJST-GFCCVEGCSA-N 1 2 288.416 3.859 20 0 CHADLO Cc1ccc(OC2CCN(c3cc[nH+]cc3F)CC2)cc1 ZINC000641384038 1129380691 /nfs/dbraw/zinc/38/06/91/1129380691.db2.gz UPSVBPVZPNXXGP-UHFFFAOYSA-N 1 2 286.350 3.577 20 0 CHADLO CSc1ccc(C[NH2+]C2(c3ccccc3F)CC2)o1 ZINC000641726538 1129388988 /nfs/dbraw/zinc/38/89/88/1129388988.db2.gz OZATXTPNOXZWBR-UHFFFAOYSA-N 1 2 277.364 3.920 20 0 CHADLO Cc1cn2cc(-c3cc(F)c(F)c(F)c3)ccc2[nH+]1 ZINC001240980409 1129390001 /nfs/dbraw/zinc/39/00/01/1129390001.db2.gz VKEXSLBWSWOKIO-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO OCCc1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)cc1 ZINC001212925773 1129399055 /nfs/dbraw/zinc/39/90/55/1129399055.db2.gz QZXVKMHNQTVZHV-UHFFFAOYSA-N 1 2 298.773 3.589 20 0 CHADLO CCCc1noc(C[N@@H+](CCC)Cc2ccc(C)cc2)n1 ZINC000641979632 1129399687 /nfs/dbraw/zinc/39/96/87/1129399687.db2.gz RUPRIJYGRSELSX-UHFFFAOYSA-N 1 2 287.407 3.743 20 0 CHADLO CCCc1noc(C[N@H+](CCC)Cc2ccc(C)cc2)n1 ZINC000641979632 1129399692 /nfs/dbraw/zinc/39/96/92/1129399692.db2.gz RUPRIJYGRSELSX-UHFFFAOYSA-N 1 2 287.407 3.743 20 0 CHADLO Oc1ccc(Cl)c(Nc2cccc3[nH+]ccn32)c1F ZINC001214554645 1129401136 /nfs/dbraw/zinc/40/11/36/1129401136.db2.gz XKSSVZHVQPOYFY-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO C[N@H+](Cc1ccc(C(C)(C)C)cc1)[C@@H]1CC(C)(C)OC1=O ZINC000795676090 1129425503 /nfs/dbraw/zinc/42/55/03/1129425503.db2.gz LWVFBKGCBKSBPQ-OAHLLOKOSA-N 1 2 289.419 3.510 20 0 CHADLO C[N@@H+](Cc1ccc(C(C)(C)C)cc1)[C@@H]1CC(C)(C)OC1=O ZINC000795676090 1129425506 /nfs/dbraw/zinc/42/55/06/1129425506.db2.gz LWVFBKGCBKSBPQ-OAHLLOKOSA-N 1 2 289.419 3.510 20 0 CHADLO CCC[N@H+](C)CC(=O)Oc1ccc2oc3ccccc3c2c1 ZINC000741213381 1129431821 /nfs/dbraw/zinc/43/18/21/1129431821.db2.gz YQRKLFVFUBUYEW-UHFFFAOYSA-N 1 2 297.354 3.833 20 0 CHADLO CCC[N@@H+](C)CC(=O)Oc1ccc2oc3ccccc3c2c1 ZINC000741213381 1129431822 /nfs/dbraw/zinc/43/18/22/1129431822.db2.gz YQRKLFVFUBUYEW-UHFFFAOYSA-N 1 2 297.354 3.833 20 0 CHADLO C[C@@H](Sc1[nH+]cnc2[nH]ccc21)c1cccs1 ZINC000737424566 1129433174 /nfs/dbraw/zinc/43/31/74/1129433174.db2.gz JBNFZKZYYCPFPJ-MRVPVSSYSA-N 1 2 261.375 3.873 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2C(=O)[C@@H](C)C2CCCC2)[nH+]c1C ZINC001155173826 1129440655 /nfs/dbraw/zinc/44/06/55/1129440655.db2.gz IDDCVTBVPIYNSA-NHYWBVRUSA-N 1 2 289.423 3.516 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cn[nH]c1C)c1ccc(C)cc1 ZINC000179981939 1129441820 /nfs/dbraw/zinc/44/18/20/1129441820.db2.gz VSWXCOKIMIUIMY-LRDDRELGSA-N 1 2 257.381 3.828 20 0 CHADLO C[C@@H](Cc1cccs1)[NH2+]c1ccc2c(c1)CCN2 ZINC000643503298 1129443143 /nfs/dbraw/zinc/44/31/43/1129443143.db2.gz ZVJALBSKBKHIJM-NSHDSACASA-N 1 2 258.390 3.759 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cn[nH]c1C)c1ccc(OC)cc1 ZINC000180001510 1129443380 /nfs/dbraw/zinc/44/33/80/1129443380.db2.gz DRUBBDJGAUKGAU-ZBEGNZNMSA-N 1 2 273.380 3.529 20 0 CHADLO COc1ccc([C@@H]([NH2+][C@H](C)c2cn[nH]c2C)C2CC2)cc1 ZINC000180027295 1129446247 /nfs/dbraw/zinc/44/62/47/1129446247.db2.gz ONVFNKWACOYLLH-DIFFPNOSSA-N 1 2 285.391 3.529 20 0 CHADLO CC(C)=C[C@@H]1[C@H](C(=O)Nc2cccc3[nH+]ccn32)C1(C)C ZINC000741740122 1129450015 /nfs/dbraw/zinc/45/00/15/1129450015.db2.gz LQABPNUVHIKLAI-IUODEOHRSA-N 1 2 283.375 3.511 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccnc(Cl)c1)c1cscn1 ZINC000795835683 1129450889 /nfs/dbraw/zinc/45/08/89/1129450889.db2.gz LIEHOQKCYQLVLV-DTWKUNHWSA-N 1 2 267.785 3.603 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccnc(Cl)c1)c1cscn1 ZINC000795835689 1129450895 /nfs/dbraw/zinc/45/08/95/1129450895.db2.gz LIEHOQKCYQLVLV-RKDXNWHRSA-N 1 2 267.785 3.603 20 0 CHADLO C/C(=C/C(=O)Nc1cccc2[nH+]ccn21)c1ccc(F)cc1 ZINC000741969921 1129463844 /nfs/dbraw/zinc/46/38/44/1129463844.db2.gz RAPDELOKTRWKHO-QXMHVHEDSA-N 1 2 295.317 3.515 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1cccnc1Cl ZINC000742378686 1129476301 /nfs/dbraw/zinc/47/63/01/1129476301.db2.gz JQQKBMWRLAYTFA-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](C)c1cc(C)cc(C)c1 ZINC000180499800 1129484354 /nfs/dbraw/zinc/48/43/54/1129484354.db2.gz LDTZMSQDEQJBEE-STQMWFEESA-N 1 2 257.381 3.747 20 0 CHADLO Cc1sccc1C[NH2+]Cc1c(F)c(F)cc(F)c1F ZINC000645161122 1129489632 /nfs/dbraw/zinc/48/96/32/1129489632.db2.gz QCIBKBYDYALUTM-UHFFFAOYSA-N 1 2 289.297 3.903 20 0 CHADLO Cc1cc(N2CC3(CC=CC3)[C@H]2C(C)C)nc(C2CC2)[nH+]1 ZINC000645386453 1129496659 /nfs/dbraw/zinc/49/66/59/1129496659.db2.gz BXJJQGFQDJPJMX-MRXNPFEDSA-N 1 2 283.419 3.843 20 0 CHADLO CCCCc1noc(C[NH2+]C2(c3cccc(C)c3)CCC2)n1 ZINC000646021414 1129518205 /nfs/dbraw/zinc/51/82/05/1129518205.db2.gz ZCXMIAJULISFMS-UHFFFAOYSA-N 1 2 299.418 3.890 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)c2ccc(C)cc2)c1C ZINC000303214878 1129533670 /nfs/dbraw/zinc/53/36/70/1129533670.db2.gz SODZYVMEZYXJHJ-UHFFFAOYSA-N 1 2 270.376 3.652 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC2CCC(F)CC2)c(C)[nH+]1 ZINC000646408539 1129535404 /nfs/dbraw/zinc/53/54/04/1129535404.db2.gz YJEBKXPHXRGGAU-UHFFFAOYSA-N 1 2 293.386 3.657 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)C[C@H](C)O1 ZINC000251792143 1129542460 /nfs/dbraw/zinc/54/24/60/1129542460.db2.gz FYRSZNYUTVFHOJ-MIFYACCESA-N 1 2 274.408 3.655 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]c2ccc(N3CCCC3)cc2)C[C@H](C)O1 ZINC000251792143 1129542464 /nfs/dbraw/zinc/54/24/64/1129542464.db2.gz FYRSZNYUTVFHOJ-MIFYACCESA-N 1 2 274.408 3.655 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3ccccc3F)CCC2)nn1C ZINC000647333678 1129570588 /nfs/dbraw/zinc/57/05/88/1129570588.db2.gz WYPGSUFEPCVHES-CYBMUJFWSA-N 1 2 287.382 3.598 20 0 CHADLO CC(C)Cn1nccc1C[N@@H+]1CCc2sccc2[C@H]1C ZINC000647999833 1129591284 /nfs/dbraw/zinc/59/12/84/1129591284.db2.gz ARMCRUZNYNERBF-CYBMUJFWSA-N 1 2 289.448 3.720 20 0 CHADLO CC(C)Cn1nccc1C[N@H+]1CCc2sccc2[C@H]1C ZINC000647999833 1129591288 /nfs/dbraw/zinc/59/12/88/1129591288.db2.gz ARMCRUZNYNERBF-CYBMUJFWSA-N 1 2 289.448 3.720 20 0 CHADLO CC(C)Cn1nccc1C[N@H+](C)[C@@H](C)c1ccccc1F ZINC000648001354 1129592088 /nfs/dbraw/zinc/59/20/88/1129592088.db2.gz KMRKRZFCOGNPAF-AWEZNQCLSA-N 1 2 289.398 3.871 20 0 CHADLO CC(C)Cn1nccc1C[N@@H+](C)[C@@H](C)c1ccccc1F ZINC000648001354 1129592093 /nfs/dbraw/zinc/59/20/93/1129592093.db2.gz KMRKRZFCOGNPAF-AWEZNQCLSA-N 1 2 289.398 3.871 20 0 CHADLO CC(C)Cn1nccc1C[N@H+]([C@H](C)c1ccco1)C1CC1 ZINC000648004138 1129592363 /nfs/dbraw/zinc/59/23/63/1129592363.db2.gz RTNDEEIAUKWVFW-CQSZACIVSA-N 1 2 287.407 3.858 20 0 CHADLO CC(C)Cn1nccc1C[N@@H+]([C@H](C)c1ccco1)C1CC1 ZINC000648004138 1129592365 /nfs/dbraw/zinc/59/23/65/1129592365.db2.gz RTNDEEIAUKWVFW-CQSZACIVSA-N 1 2 287.407 3.858 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2ccc(Cl)c(F)c2)C1 ZINC000648058393 1129594097 /nfs/dbraw/zinc/59/40/97/1129594097.db2.gz IAHGTGZVNCQZFB-UHFFFAOYSA-N 1 2 277.717 3.956 20 0 CHADLO c1coc([C@H]2[N@H+](Cc3[nH]nc4ccccc43)CC23CCC3)c1 ZINC000648801638 1129625981 /nfs/dbraw/zinc/62/59/81/1129625981.db2.gz IKCNGBJISYSBNJ-QGZVFWFLSA-N 1 2 293.370 3.883 20 0 CHADLO c1coc([C@H]2[N@@H+](Cc3[nH]nc4ccccc43)CC23CCC3)c1 ZINC000648801638 1129625983 /nfs/dbraw/zinc/62/59/83/1129625983.db2.gz IKCNGBJISYSBNJ-QGZVFWFLSA-N 1 2 293.370 3.883 20 0 CHADLO CCC(C)(CC)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000185381228 1129632259 /nfs/dbraw/zinc/63/22/59/1129632259.db2.gz QXTMCUTUBBGXHM-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@H+]2C[C@H]2CCC(F)(F)C2)no1 ZINC000649252387 1129638669 /nfs/dbraw/zinc/63/86/69/1129638669.db2.gz CGGGHIHJMNRDTP-RYUDHWBXSA-N 1 2 299.365 3.594 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@@H+]2C[C@H]2CCC(F)(F)C2)no1 ZINC000649252387 1129638668 /nfs/dbraw/zinc/63/86/68/1129638668.db2.gz CGGGHIHJMNRDTP-RYUDHWBXSA-N 1 2 299.365 3.594 20 0 CHADLO COc1ccnc2ccc(-c3cc(C)[nH+]c(C)c3)cc21 ZINC001241992895 1129642235 /nfs/dbraw/zinc/64/22/35/1129642235.db2.gz WOQZCYFIVCBGLL-UHFFFAOYSA-N 1 2 264.328 3.922 20 0 CHADLO Cc1cccc([C@@H](NC(=O)CCc2c[nH]c[nH+]2)C(C)(C)C)c1 ZINC000193455099 1129645092 /nfs/dbraw/zinc/64/50/92/1129645092.db2.gz AKEAEGVGBSUYEY-QGZVFWFLSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1cccc([C@@H](NC(=O)CCc2c[nH+]c[nH]2)C(C)(C)C)c1 ZINC000193455099 1129645095 /nfs/dbraw/zinc/64/50/95/1129645095.db2.gz AKEAEGVGBSUYEY-QGZVFWFLSA-N 1 2 299.418 3.554 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2n(n1)CCCC2)c1ccccc1Cl ZINC000649553140 1129657284 /nfs/dbraw/zinc/65/72/84/1129657284.db2.gz FFRODGIENXWQIT-GFCCVEGCSA-N 1 2 289.810 3.724 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](c2ccccc2)c2ccccn2)c1 ZINC000392940133 1129659817 /nfs/dbraw/zinc/65/98/17/1129659817.db2.gz PVTNMUOOCBBFKV-IBGZPJMESA-N 1 2 289.382 3.664 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2n(n1)CCCC2)c1cc2ccccc2o1 ZINC000649584013 1129660628 /nfs/dbraw/zinc/66/06/28/1129660628.db2.gz HKASUWMBTYEFJM-CYBMUJFWSA-N 1 2 295.386 3.816 20 0 CHADLO CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)c1cccc(C)c1C ZINC000649596902 1129661448 /nfs/dbraw/zinc/66/14/48/1129661448.db2.gz NBGPYLBFIWPKOZ-CQSZACIVSA-N 1 2 285.391 3.504 20 0 CHADLO CCN(C(=O)C[C@H](C)n1cc[nH+]c1)c1cccc(C)c1C ZINC000649596901 1129661750 /nfs/dbraw/zinc/66/17/50/1129661750.db2.gz NBGPYLBFIWPKOZ-AWEZNQCLSA-N 1 2 285.391 3.504 20 0 CHADLO CCCC(C)(C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000649624947 1129664195 /nfs/dbraw/zinc/66/41/95/1129664195.db2.gz SPPZOQAGIKEOJE-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO Cc1cc2cc([C@@H](C)[NH2+]Cc3ccon3)oc2cc1C ZINC000766419122 1129664329 /nfs/dbraw/zinc/66/43/29/1129664329.db2.gz CSTXHYIZZONOAR-GFCCVEGCSA-N 1 2 270.332 3.888 20 0 CHADLO CC(C)O[C@@H]1CCC[N@H+](Cc2csc(C(C)(C)C)n2)C1 ZINC000649697125 1129671205 /nfs/dbraw/zinc/67/12/05/1129671205.db2.gz ABBIVTFRFQXJCP-CQSZACIVSA-N 1 2 296.480 3.830 20 0 CHADLO CC(C)O[C@@H]1CCC[N@@H+](Cc2csc(C(C)(C)C)n2)C1 ZINC000649697125 1129671207 /nfs/dbraw/zinc/67/12/07/1129671207.db2.gz ABBIVTFRFQXJCP-CQSZACIVSA-N 1 2 296.480 3.830 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1csnn1)c1ccc(C)c(F)c1 ZINC001168826673 1129674860 /nfs/dbraw/zinc/67/48/60/1129674860.db2.gz XCSGUFYYYLIWML-MFKMUULPSA-N 1 2 279.384 3.788 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[NH+]1CCC2(CC2(F)F)CC1 ZINC000649776551 1129677057 /nfs/dbraw/zinc/67/70/57/1129677057.db2.gz PECHOMPSFBXHJE-JTQLQIEISA-N 1 2 299.365 3.549 20 0 CHADLO COc1cc[nH+]cc1NCCOc1cccc2ccccc21 ZINC001169013573 1129689959 /nfs/dbraw/zinc/68/99/59/1129689959.db2.gz MWRLWBFAUKSBKG-UHFFFAOYSA-N 1 2 294.354 3.734 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2cccc(OC(F)F)c2)c1 ZINC000650241911 1129702257 /nfs/dbraw/zinc/70/22/57/1129702257.db2.gz RCLJHECIRAERES-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO CCCOc1cccc(CNc2cc(COC)cc[nH+]2)c1 ZINC000650244824 1129702556 /nfs/dbraw/zinc/70/25/56/1129702556.db2.gz QMJOIJDRVNMTSN-UHFFFAOYSA-N 1 2 286.375 3.629 20 0 CHADLO COc1cccnc1CNc1cc2ccc(C)cc2c[nH+]1 ZINC001169203431 1129707053 /nfs/dbraw/zinc/70/70/53/1129707053.db2.gz XDLUTCNKXUUVCG-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO Cc1ccc(CCCNc2cc[nH+]c(OCC(C)C)c2)cn1 ZINC001169256935 1129712985 /nfs/dbraw/zinc/71/29/85/1129712985.db2.gz GAJMHUOXWXFEJZ-UHFFFAOYSA-N 1 2 299.418 3.865 20 0 CHADLO Cc1cc[nH+]c(C)c1N[C@@H](C1CCC1)C(F)(F)F ZINC001169285641 1129714952 /nfs/dbraw/zinc/71/49/52/1129714952.db2.gz OWMCFDKPCFUHOL-LBPRGKRZSA-N 1 2 258.287 3.841 20 0 CHADLO Cn1c[nH+]c2ccc(N[C@H](C3CCC3)C(F)(F)F)cc21 ZINC001169288328 1129716478 /nfs/dbraw/zinc/71/64/78/1129716478.db2.gz ZGTIWMWYKXASJK-CYBMUJFWSA-N 1 2 283.297 3.716 20 0 CHADLO CNc1ccc(N[C@@H]2C[C@H](C)c3ccccc32)c[nH+]1 ZINC001169378113 1129722538 /nfs/dbraw/zinc/72/25/38/1129722538.db2.gz RUWCAJRJOFMABT-XHDPSFHLSA-N 1 2 253.349 3.784 20 0 CHADLO CCCOc1ccccc1C[N@@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001138995169 1129740852 /nfs/dbraw/zinc/74/08/52/1129740852.db2.gz IDFOSKAVXZPORR-JKSUJKDBSA-N 1 2 283.362 3.747 20 0 CHADLO CCCOc1ccccc1C[N@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001138995169 1129740856 /nfs/dbraw/zinc/74/08/56/1129740856.db2.gz IDFOSKAVXZPORR-JKSUJKDBSA-N 1 2 283.362 3.747 20 0 CHADLO CCCOc1ccccc1C[N@@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001138995167 1129740987 /nfs/dbraw/zinc/74/09/87/1129740987.db2.gz IDFOSKAVXZPORR-HOTGVXAUSA-N 1 2 283.362 3.747 20 0 CHADLO CCCOc1ccccc1C[N@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001138995167 1129740990 /nfs/dbraw/zinc/74/09/90/1129740990.db2.gz IDFOSKAVXZPORR-HOTGVXAUSA-N 1 2 283.362 3.747 20 0 CHADLO C[C@@H](COCC1CC1)[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000651982785 1129754874 /nfs/dbraw/zinc/75/48/74/1129754874.db2.gz IASUSASYYYVDPM-XJKSGUPXSA-N 1 2 297.389 3.658 20 0 CHADLO CC(C)(C)CCCC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000103387069 1129755123 /nfs/dbraw/zinc/75/51/23/1129755123.db2.gz JRXPWNYSQBJPOK-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CC[C@H](c3ccccc3)C2)c1 ZINC000652068146 1129757569 /nfs/dbraw/zinc/75/75/69/1129757569.db2.gz UBTXFWDSGIPACO-IRXDYDNUSA-N 1 2 282.387 3.976 20 0 CHADLO CC/C(C)=C\C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000822729770 1131396275 /nfs/dbraw/zinc/39/62/75/1131396275.db2.gz AFGUKHIMJJPNJT-LUAWRHEFSA-N 1 2 283.375 3.988 20 0 CHADLO CCC(C)=CC(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000822729770 1131396282 /nfs/dbraw/zinc/39/62/82/1131396282.db2.gz AFGUKHIMJJPNJT-LUAWRHEFSA-N 1 2 283.375 3.988 20 0 CHADLO C[C@H]([NH2+][C@H](Cc1ccccc1)c1nccn1C)c1ccco1 ZINC000652273147 1129761724 /nfs/dbraw/zinc/76/17/24/1129761724.db2.gz DEMQALOZOVSZNF-GOEBONIOSA-N 1 2 295.386 3.648 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2C[C@H](C)c3ccccc32)c1 ZINC000652330455 1129763095 /nfs/dbraw/zinc/76/30/95/1129763095.db2.gz GUEAFBNUHBOTJG-LRDDRELGSA-N 1 2 268.360 3.888 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@@H+]1CCn2cccc2C1 ZINC001139009829 1129763939 /nfs/dbraw/zinc/76/39/39/1129763939.db2.gz VFGVQSVMQABVDG-UHFFFAOYSA-N 1 2 290.794 3.556 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@H+]1CCn2cccc2C1 ZINC001139009829 1129763944 /nfs/dbraw/zinc/76/39/44/1129763944.db2.gz VFGVQSVMQABVDG-UHFFFAOYSA-N 1 2 290.794 3.556 20 0 CHADLO COc1ccc2[nH+]c(N3C[C@H](C)CC34CC4)cc(C)c2c1 ZINC001156411809 1129768179 /nfs/dbraw/zinc/76/81/79/1129768179.db2.gz CCKSIXPXJZKIOR-GFCCVEGCSA-N 1 2 282.387 3.931 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1nc(Cl)ccc1Cl ZINC000766895858 1129769087 /nfs/dbraw/zinc/76/90/87/1129769087.db2.gz XUIPHXXBLGMQRU-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO COc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cc1F ZINC001239579742 1131396737 /nfs/dbraw/zinc/39/67/37/1131396737.db2.gz YNMIPWLPLDXTBO-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO FC1(F)CC[NH+](Cc2csc(-c3ccoc3)n2)CC1 ZINC000796540739 1129776929 /nfs/dbraw/zinc/77/69/29/1129776929.db2.gz WDFQIKUOUMFRBB-UHFFFAOYSA-N 1 2 284.331 3.634 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(-c3cccs3)s2)CCC1=O ZINC001139017681 1129777498 /nfs/dbraw/zinc/77/74/98/1129777498.db2.gz XEIOZNBGLSBHQB-NSHDSACASA-N 1 2 291.441 3.888 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(-c3cccs3)s2)CCC1=O ZINC001139017681 1129777500 /nfs/dbraw/zinc/77/75/00/1129777500.db2.gz XEIOZNBGLSBHQB-NSHDSACASA-N 1 2 291.441 3.888 20 0 CHADLO Cc1cc(-c2ccc(C[NH+]3CCOCC3)nc2)cc(C)c1C ZINC001239582552 1131398196 /nfs/dbraw/zinc/39/81/96/1131398196.db2.gz ABPWCBOPEYXCCX-UHFFFAOYSA-N 1 2 296.414 3.506 20 0 CHADLO CCCCC[C@H]1CCC[N@@H+]1CC(F)(F)C(F)F ZINC000767905611 1129821573 /nfs/dbraw/zinc/82/15/73/1129821573.db2.gz JNQRVIYKKTYEHL-JTQLQIEISA-N 1 2 255.299 3.932 20 0 CHADLO CCCCC[C@H]1CCC[N@H+]1CC(F)(F)C(F)F ZINC000767905611 1129821577 /nfs/dbraw/zinc/82/15/77/1129821577.db2.gz JNQRVIYKKTYEHL-JTQLQIEISA-N 1 2 255.299 3.932 20 0 CHADLO CC[C@@H](OC(=O)CCCn1cc[nH+]c1)c1ccc(C)cc1 ZINC000768139170 1129835694 /nfs/dbraw/zinc/83/56/94/1129835694.db2.gz CCWRVOXJVRCGOU-MRXNPFEDSA-N 1 2 286.375 3.666 20 0 CHADLO CC[C@H]([NH2+]C/C(Cl)=C\Cl)c1c(C)noc1C ZINC000393776640 1129847555 /nfs/dbraw/zinc/84/75/55/1129847555.db2.gz NLNCKDVJDVEOJR-CYNRKNSPSA-N 1 2 263.168 3.651 20 0 CHADLO C[C@H]1CCC[C@H]([NH2+]c2ccc(N3CCOCC3)cc2)C1 ZINC000020564030 1129848792 /nfs/dbraw/zinc/84/87/92/1129848792.db2.gz XXCNYCBXDZZMGT-HOCLYGCPSA-N 1 2 274.408 3.514 20 0 CHADLO CCCCC[C@@H](C(=O)N(C)CCCn1cc[nH+]c1)C(C)C ZINC000768325260 1129850935 /nfs/dbraw/zinc/85/09/35/1129850935.db2.gz VOSHDWHAEFNRCL-MRXNPFEDSA-N 1 2 293.455 3.584 20 0 CHADLO Cc1cc(NC2CC2)nc(/C=C/c2ccccc2)[nH+]1 ZINC001239587353 1131401921 /nfs/dbraw/zinc/40/19/21/1131401921.db2.gz GZEPHJCHJQLJSE-JXMROGBWSA-N 1 2 251.333 3.530 20 0 CHADLO Cc1ccc(Nc2c(O)cccc2Br)c(C)[nH+]1 ZINC001203374934 1129853476 /nfs/dbraw/zinc/85/34/76/1129853476.db2.gz PDHYVWGCHHSPHT-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO COc1ccccc1/C=C\C[N@@H+]1CCC[C@@H]1c1cc(C)on1 ZINC000768431074 1129856386 /nfs/dbraw/zinc/85/63/86/1129856386.db2.gz UYBXVMYPXJQBMO-CTEOGUNLSA-N 1 2 298.386 3.842 20 0 CHADLO COc1ccccc1/C=C\C[N@H+]1CCC[C@@H]1c1cc(C)on1 ZINC000768431074 1129856391 /nfs/dbraw/zinc/85/63/91/1129856391.db2.gz UYBXVMYPXJQBMO-CTEOGUNLSA-N 1 2 298.386 3.842 20 0 CHADLO CC(C)OC[C@H](C)Nc1ccc([NH+]2CCCC2)cc1 ZINC000800225358 1129856560 /nfs/dbraw/zinc/85/65/60/1129856560.db2.gz KIVCTVMQWJWBCK-AWEZNQCLSA-N 1 2 262.397 3.512 20 0 CHADLO COc1cccc(C[N@@H+]2CCc3c(F)cccc3C2)c1F ZINC000800511175 1129881071 /nfs/dbraw/zinc/88/10/71/1129881071.db2.gz QYLVVMMHDQHNLJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc(C[N@H+]2CCc3c(F)cccc3C2)c1F ZINC000800511175 1129881076 /nfs/dbraw/zinc/88/10/76/1129881076.db2.gz QYLVVMMHDQHNLJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1c[nH]c2ccc(Cl)cc12 ZINC001137714423 1131406106 /nfs/dbraw/zinc/40/61/06/1131406106.db2.gz NCQDLHLKXCPNCL-INIZCTEOSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1c[nH]c2ccc(Cl)cc12 ZINC001137714423 1131406107 /nfs/dbraw/zinc/40/61/07/1131406107.db2.gz NCQDLHLKXCPNCL-INIZCTEOSA-N 1 2 290.794 3.765 20 0 CHADLO Clc1ccc2[nH]cc(C[N@@H+]3CCc4occc4C3)c2c1 ZINC001137714855 1131406129 /nfs/dbraw/zinc/40/61/29/1131406129.db2.gz XVWKMICNGSXKPH-UHFFFAOYSA-N 1 2 286.762 3.973 20 0 CHADLO Clc1ccc2[nH]cc(C[N@H+]3CCc4occc4C3)c2c1 ZINC001137714855 1131406132 /nfs/dbraw/zinc/40/61/32/1131406132.db2.gz XVWKMICNGSXKPH-UHFFFAOYSA-N 1 2 286.762 3.973 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+][C@@H]3CCC[C@H]4C[C@H]43)cc2)CCO1 ZINC000800982635 1129902336 /nfs/dbraw/zinc/90/23/36/1129902336.db2.gz XMAQCROAEDULES-LBTBCDHLSA-N 1 2 286.419 3.512 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2cncc3ccccc32)s1 ZINC000769525261 1129915619 /nfs/dbraw/zinc/91/56/19/1129915619.db2.gz RHLZXHHXQKUWIR-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2cncc3ccccc32)s1 ZINC000769525261 1129915620 /nfs/dbraw/zinc/91/56/20/1129915620.db2.gz RHLZXHHXQKUWIR-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO COc1cccc(F)c1CNc1cc(C(C)C)[nH+]c(C)n1 ZINC001156743528 1129916432 /nfs/dbraw/zinc/91/64/32/1129916432.db2.gz CWDBIDLGXHUZBZ-UHFFFAOYSA-N 1 2 289.354 3.668 20 0 CHADLO CC1=C[C@@H](C)C[N@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000801555389 1129927014 /nfs/dbraw/zinc/92/70/14/1129927014.db2.gz MNDRDZHCYPTPFV-SECBINFHSA-N 1 2 271.191 3.786 20 0 CHADLO CC1=C[C@@H](C)C[N@@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000801555389 1129927016 /nfs/dbraw/zinc/92/70/16/1129927016.db2.gz MNDRDZHCYPTPFV-SECBINFHSA-N 1 2 271.191 3.786 20 0 CHADLO CC1=C(C)C[N@H+](Cc2ccc(Cl)nc2Cl)CC1 ZINC000801555357 1129927022 /nfs/dbraw/zinc/92/70/22/1129927022.db2.gz LTIFFHSYRKFABF-UHFFFAOYSA-N 1 2 271.191 3.931 20 0 CHADLO CC1=C(C)C[N@@H+](Cc2ccc(Cl)nc2Cl)CC1 ZINC000801555357 1129927023 /nfs/dbraw/zinc/92/70/23/1129927023.db2.gz LTIFFHSYRKFABF-UHFFFAOYSA-N 1 2 271.191 3.931 20 0 CHADLO COC/C(C)=C\CSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000901783916 1129952661 /nfs/dbraw/zinc/95/26/61/1129952661.db2.gz PGLXBNBJTSNOEQ-AUWJEWJLSA-N 1 2 288.416 3.698 20 0 CHADLO CCC(CC)(CC)C(=O)OCc1cccc2[nH+]ccn21 ZINC000770922285 1129963490 /nfs/dbraw/zinc/96/34/90/1129963490.db2.gz JBTWSLBEXBJJEL-UHFFFAOYSA-N 1 2 274.364 3.594 20 0 CHADLO C[C@@H](CC(=O)OCc1cccc2[nH+]ccn21)c1ccccc1 ZINC000770925779 1129963521 /nfs/dbraw/zinc/96/35/21/1129963521.db2.gz PGFTVOGHOPZMIO-AWEZNQCLSA-N 1 2 294.354 3.571 20 0 CHADLO COC/C(C)=C\CSCCc1[nH+]cc(C)cc1C ZINC000901882908 1129964232 /nfs/dbraw/zinc/96/42/32/1129964232.db2.gz LPUPQWSOXGNVJA-XGICHPGQSA-N 1 2 265.422 3.567 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2N[C@@H]1CC12CCCCC2 ZINC000771258696 1129975714 /nfs/dbraw/zinc/97/57/14/1129975714.db2.gz KNBPVZQOJIZOAF-CYBMUJFWSA-N 1 2 299.422 3.716 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccc(-n3cccn3)cc1)C2 ZINC001137759323 1131413295 /nfs/dbraw/zinc/41/32/95/1131413295.db2.gz LUGQVYWGZIDJPT-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccc(-n3cccn3)cc1)C2 ZINC001137759323 1131413297 /nfs/dbraw/zinc/41/32/97/1131413297.db2.gz LUGQVYWGZIDJPT-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Brc1ccoc1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000771941147 1129997609 /nfs/dbraw/zinc/99/76/09/1129997609.db2.gz YPYLCLQCRSIBIM-ZDUSSCGKSA-N 1 2 292.176 3.989 20 0 CHADLO Brc1ccoc1C[N@H+]1CC[C@H]1c1ccccc1 ZINC000771941147 1129997612 /nfs/dbraw/zinc/99/76/12/1129997612.db2.gz YPYLCLQCRSIBIM-ZDUSSCGKSA-N 1 2 292.176 3.989 20 0 CHADLO CC(C)[C@H](CC1CCCCC1)C(=O)OCCn1cc[nH+]c1 ZINC000771964559 1129998428 /nfs/dbraw/zinc/99/84/28/1129998428.db2.gz KKGHWCMZVUPPDX-INIZCTEOSA-N 1 2 292.423 3.669 20 0 CHADLO CNc1ccccc1CNc1ccc2c(c1)CCC[N@H+]2C ZINC000902364120 1130003189 /nfs/dbraw/zinc/00/31/89/1130003189.db2.gz ZUSSCQPWXKCOIS-UHFFFAOYSA-N 1 2 281.403 3.723 20 0 CHADLO CNc1ccccc1CNc1ccc2c(c1)CCC[N@@H+]2C ZINC000902364120 1130003194 /nfs/dbraw/zinc/00/31/94/1130003194.db2.gz ZUSSCQPWXKCOIS-UHFFFAOYSA-N 1 2 281.403 3.723 20 0 CHADLO COc1ccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)cc1OC ZINC001239617067 1130013896 /nfs/dbraw/zinc/01/38/96/1130013896.db2.gz MUVDFFHEOAYBPU-UHFFFAOYSA-N 1 2 280.327 3.761 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@H]3C[C@@H]3C(C)C)c2)[nH+]c1C ZINC000772367048 1130019375 /nfs/dbraw/zinc/01/93/75/1130019375.db2.gz VGWZDAMVLBYHIP-CVEARBPZSA-N 1 2 297.402 3.924 20 0 CHADLO CC(C)c1ccc(-c2ccc(C[NH+]3CCOCC3)nc2)cc1 ZINC001239626854 1130020037 /nfs/dbraw/zinc/02/00/37/1130020037.db2.gz QOLHTELWOZFIEN-UHFFFAOYSA-N 1 2 296.414 3.704 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(C(C)=O)cc1 ZINC001239633629 1130025506 /nfs/dbraw/zinc/02/55/06/1130025506.db2.gz QWGJMUNHQYNFCN-UHFFFAOYSA-N 1 2 292.338 3.751 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCOC[C@H]2C)cc1)[C@@H]1CC1(C)C ZINC000785496980 1130036353 /nfs/dbraw/zinc/03/63/53/1130036353.db2.gz PEGHXFXCBYJTLV-KEYYUXOJSA-N 1 2 288.435 3.758 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(F)cc1F ZINC001239680366 1130050878 /nfs/dbraw/zinc/05/08/78/1130050878.db2.gz QQIHRUYNQVPDAR-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cc(F)ccc1F ZINC001239711559 1130065856 /nfs/dbraw/zinc/06/58/56/1130065856.db2.gz GRJFEFGTPTXWBS-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO CCC[N@H+](C/C=C/Cl)[C@H](C)C(=O)Nc1ccccc1C ZINC000786127635 1130080910 /nfs/dbraw/zinc/08/09/10/1130080910.db2.gz CWYLMJJELKEKBT-DNGMOHDESA-N 1 2 294.826 3.787 20 0 CHADLO CCC[N@@H+](C/C=C/Cl)[C@H](C)C(=O)Nc1ccccc1C ZINC000786127635 1130080915 /nfs/dbraw/zinc/08/09/15/1130080915.db2.gz CWYLMJJELKEKBT-DNGMOHDESA-N 1 2 294.826 3.787 20 0 CHADLO CC(=O)Nc1ccccc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001239782048 1130105824 /nfs/dbraw/zinc/10/58/24/1130105824.db2.gz LCPOBTCFTIVGDI-UHFFFAOYSA-N 1 2 295.317 3.637 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@@H]1CCOC12CCCC2 ZINC000903133251 1130117296 /nfs/dbraw/zinc/11/72/96/1130117296.db2.gz KJQQPEIAXJAOQE-QGZVFWFLSA-N 1 2 286.419 3.800 20 0 CHADLO C[C@H]1COCCN1c1ccc([NH2+][C@H]2CCC[C@@H]3C[C@@H]32)cc1 ZINC000903146646 1130119607 /nfs/dbraw/zinc/11/96/07/1130119607.db2.gz JBRPOTPQSMARFA-DACLVMHWSA-N 1 2 286.419 3.512 20 0 CHADLO c1nc2c(s1)CCC[C@H]2[NH2+]Cc1nccc2c1CCCC2 ZINC000903182079 1130124556 /nfs/dbraw/zinc/12/45/56/1130124556.db2.gz MVOIUOIVXOZBEA-CQSZACIVSA-N 1 2 299.443 3.584 20 0 CHADLO Fc1cnc(Cl)c(C[NH2+][C@H](c2ccccn2)C2CC2)c1 ZINC000903178311 1130124975 /nfs/dbraw/zinc/12/49/75/1130124975.db2.gz WKJFKDAQQDCRRE-AWEZNQCLSA-N 1 2 291.757 3.510 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@@H+]1C/C(Cl)=C\Cl ZINC000787321020 1130147391 /nfs/dbraw/zinc/14/73/91/1130147391.db2.gz ZDLQBCUJTQAJEW-IVGLGHLBSA-N 1 2 276.129 3.968 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@H+]1C/C(Cl)=C\Cl ZINC000787321020 1130147393 /nfs/dbraw/zinc/14/73/93/1130147393.db2.gz ZDLQBCUJTQAJEW-IVGLGHLBSA-N 1 2 276.129 3.968 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@@H](c1ncccc1C)C(C)(C)C ZINC000903328180 1130156133 /nfs/dbraw/zinc/15/61/33/1130156133.db2.gz GVMWBOBXZSOJPM-NHYWBVRUSA-N 1 2 288.395 3.519 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ncccn1)C1CC1)c1cc(F)cc(F)c1 ZINC000903332521 1130158859 /nfs/dbraw/zinc/15/88/59/1130158859.db2.gz MXJWRKCRFBZLBT-BMIGLBTASA-N 1 2 289.329 3.557 20 0 CHADLO CCOc1ccc2cc(-c3c[nH+]cn3C)ccc2c1 ZINC001239957623 1130171246 /nfs/dbraw/zinc/17/12/46/1130171246.db2.gz WVPFFPIJXPUAPD-UHFFFAOYSA-N 1 2 252.317 3.639 20 0 CHADLO CC(C)Oc1ccc(-c2cc[nH+]c(N(C)C)c2)cc1 ZINC001239960721 1130172648 /nfs/dbraw/zinc/17/26/48/1130172648.db2.gz VQQAEGZCJZHBBG-UHFFFAOYSA-N 1 2 256.349 3.602 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC1CCC2(CCCO2)CC1 ZINC000788252666 1130179460 /nfs/dbraw/zinc/17/94/60/1130179460.db2.gz LKPRTCGJUQQPKB-UHFFFAOYSA-N 1 2 288.435 3.965 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+]C1CCC2(CCCO2)CC1 ZINC000788252666 1130179463 /nfs/dbraw/zinc/17/94/63/1130179463.db2.gz LKPRTCGJUQQPKB-UHFFFAOYSA-N 1 2 288.435 3.965 20 0 CHADLO CC(C)Oc1cccc(-c2c[nH+]c3c(c2)CCCN3)c1 ZINC001239972215 1130179735 /nfs/dbraw/zinc/17/97/35/1130179735.db2.gz PREOPNJRGJOJJL-UHFFFAOYSA-N 1 2 268.360 3.537 20 0 CHADLO Cc1cccc2c(C)cc(NCC3(F)CCOCC3)[nH+]c12 ZINC001157351063 1130184074 /nfs/dbraw/zinc/18/40/74/1130184074.db2.gz VMHSVECBMMZSKI-UHFFFAOYSA-N 1 2 288.366 3.782 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2cnc(Cl)cn2)c1 ZINC000788479620 1130189370 /nfs/dbraw/zinc/18/93/70/1130189370.db2.gz XJGUIJPJSXUXMV-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2cnc(Cl)cn2)c1 ZINC000788479620 1130189373 /nfs/dbraw/zinc/18/93/73/1130189373.db2.gz XJGUIJPJSXUXMV-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Cc1cc(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2)cs1 ZINC000803758952 1130193212 /nfs/dbraw/zinc/19/32/12/1130193212.db2.gz WQZONGRWKDWMIZ-UHFFFAOYSA-N 1 2 298.367 3.599 20 0 CHADLO CC1(C)CC([NH2+]c2ccc(N3CCSCC3)cc2)C1 ZINC000788594864 1130196567 /nfs/dbraw/zinc/19/65/67/1130196567.db2.gz CBQHAYOKUQYKRN-UHFFFAOYSA-N 1 2 276.449 3.840 20 0 CHADLO FC(F)(F)c1cccc(SCCn2cc[nH+]c2)c1 ZINC000788640563 1130199110 /nfs/dbraw/zinc/19/91/10/1130199110.db2.gz GYHHOCATSAPWQE-UHFFFAOYSA-N 1 2 272.295 3.694 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)nc1)c1cscn1 ZINC000788982853 1130220759 /nfs/dbraw/zinc/22/07/59/1130220759.db2.gz IWBRWQJJRBPQLT-IUCAKERBSA-N 1 2 267.785 3.603 20 0 CHADLO Fc1ccc2nc(-c3ccc4[nH+]ccn4c3)ccc2c1 ZINC001240214204 1130233543 /nfs/dbraw/zinc/23/35/43/1130233543.db2.gz LBQSXKAIONZROS-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ccc(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000748492671 1130235368 /nfs/dbraw/zinc/23/53/68/1130235368.db2.gz UFFWZPPUQVCJJR-UHFFFAOYSA-N 1 2 292.338 3.538 20 0 CHADLO CSc1ccc(-c2c[nH+]cn2C)cc1C(F)(F)F ZINC001243073021 1130235840 /nfs/dbraw/zinc/23/58/40/1130235840.db2.gz WTLYXWILQOJHCN-UHFFFAOYSA-N 1 2 272.295 3.828 20 0 CHADLO c1cn2cc(-c3ccc4scnc4c3)ccc2[nH+]1 ZINC001240217925 1130235967 /nfs/dbraw/zinc/23/59/67/1130235967.db2.gz QYNWZZNCPRUZGG-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO S=c1[nH]cc(-c2ccc(-c3ccc4[nH+]ccn4c3)cc2)[nH]1 ZINC001240217608 1130236082 /nfs/dbraw/zinc/23/60/82/1130236082.db2.gz JRCWBPBTXYFCAW-UHFFFAOYSA-N 1 2 292.367 3.680 20 0 CHADLO Fc1ccc2cc(-c3ccc4[nH+]ccn4c3)cnc2c1 ZINC001240217947 1130236149 /nfs/dbraw/zinc/23/61/49/1130236149.db2.gz SNCXWJAJSLHNTA-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Fc1ccc(-c2cncc(-c3ccc4[nH+]ccn4c3)n2)cc1 ZINC001240217230 1130236260 /nfs/dbraw/zinc/23/62/60/1130236260.db2.gz IFWARWHKHHZMSF-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO CC(C)(C)c1nc2[nH]ccc2c(-c2ccc3[nH+]ccn3c2)n1 ZINC001240217066 1130236267 /nfs/dbraw/zinc/23/62/67/1130236267.db2.gz ZXJIBYBQOMXESU-UHFFFAOYSA-N 1 2 291.358 3.522 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)c2ccccc2n1 ZINC001240219140 1130236727 /nfs/dbraw/zinc/23/67/27/1130236727.db2.gz BVLVNZMUQKXQLA-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1cccc2c1cncc2-c1ccc2[nH+]ccn2c1 ZINC001240219002 1130237178 /nfs/dbraw/zinc/23/71/78/1130237178.db2.gz UMMMKJXXGHXGBB-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ccc2nccc(-c3ccc4[nH+]ccn4c3)c2c1 ZINC001240219531 1130237212 /nfs/dbraw/zinc/23/72/12/1130237212.db2.gz MFLMLNUBQPCRDS-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COc1cccc2nccc(-c3ccc4[nH+]ccn4c3)c21 ZINC001240218799 1130237363 /nfs/dbraw/zinc/23/73/63/1130237363.db2.gz ZXFPOQZJYOLBDR-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1cc(OC(F)F)ccc1-c1ccc2[nH+]ccn2c1 ZINC001240219584 1130238309 /nfs/dbraw/zinc/23/83/09/1130238309.db2.gz OXJXXYMWSCSUQH-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO Cc1cn(C)c2ccc(-c3ccc4[nH+]ccn4c3)cc12 ZINC001240220065 1130238742 /nfs/dbraw/zinc/23/87/42/1130238742.db2.gz AFPVLHNMQFIEKT-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO CC(C)c1ocnc1C[N@H+]1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC001254367972 1130240455 /nfs/dbraw/zinc/24/04/55/1130240455.db2.gz PSCZFOGEAHZPFC-WDEREUQCSA-N 1 2 290.329 3.961 20 0 CHADLO CC(C)c1ocnc1C[N@@H+]1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC001254367972 1130240450 /nfs/dbraw/zinc/24/04/50/1130240450.db2.gz PSCZFOGEAHZPFC-WDEREUQCSA-N 1 2 290.329 3.961 20 0 CHADLO CCC[C@H](C)CC(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000748714867 1130242825 /nfs/dbraw/zinc/24/28/25/1130242825.db2.gz NGZRWTYFPAAMFY-LBPRGKRZSA-N 1 2 297.468 3.750 20 0 CHADLO CCCCC[C@H]([NH2+]C1CC(c2ccccc2)C1)C(=O)OC ZINC000789575481 1130260243 /nfs/dbraw/zinc/26/02/43/1130260243.db2.gz AAOMVAHLNFFEEW-JCYILVPMSA-N 1 2 289.419 3.644 20 0 CHADLO c1n[nH]c2c1CC[N@@H+](C1c3ccccc3-c3ccccc31)C2 ZINC000676794368 1130272368 /nfs/dbraw/zinc/27/23/68/1130272368.db2.gz WIHOLINWBUMWHM-UHFFFAOYSA-N 1 2 287.366 3.538 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc(-c2cnn(C)c2)cc1 ZINC001240364723 1130278746 /nfs/dbraw/zinc/27/87/46/1130278746.db2.gz IXOMOFCPCNODNV-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO CC(C)n1cnc2cc(-c3ccn4cc[nH+]c4c3)ccc21 ZINC001240369223 1130281677 /nfs/dbraw/zinc/28/16/77/1130281677.db2.gz GUKRBJDOURDOOK-UHFFFAOYSA-N 1 2 276.343 3.932 20 0 CHADLO Cc1csc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)c1Cl ZINC001137287553 1130296081 /nfs/dbraw/zinc/29/60/81/1130296081.db2.gz OULMZRVXPWEUHJ-JQWIXIFHSA-N 1 2 279.783 3.982 20 0 CHADLO Cc1csc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)c1Cl ZINC001137287553 1130296086 /nfs/dbraw/zinc/29/60/86/1130296086.db2.gz OULMZRVXPWEUHJ-JQWIXIFHSA-N 1 2 279.783 3.982 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccnc3[nH]ccc32)c1 ZINC001240414601 1130298524 /nfs/dbraw/zinc/29/85/24/1130298524.db2.gz YDQKHHSQRFDKMA-UHFFFAOYSA-N 1 2 274.327 3.630 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3ccncc3C2)c1Cl ZINC001137291084 1130306079 /nfs/dbraw/zinc/30/60/79/1130306079.db2.gz DGXMQPYGHLDCRB-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1csc(C[N@H+]2CCc3ccncc3C2)c1Cl ZINC001137291084 1130306085 /nfs/dbraw/zinc/30/60/85/1130306085.db2.gz DGXMQPYGHLDCRB-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@@H]1CCC[C@@H]1[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790504712 1130317493 /nfs/dbraw/zinc/31/74/93/1130317493.db2.gz IUMZWJNGFGJWAA-BSXFFOKHSA-N 1 2 288.435 3.901 20 0 CHADLO FC1(F)CC2(C[NH+](Cc3ccc(Cl)s3)C2)C1 ZINC000677731451 1130324612 /nfs/dbraw/zinc/32/46/12/1130324612.db2.gz AJKQLSZOPKFWPC-UHFFFAOYSA-N 1 2 263.740 3.633 20 0 CHADLO Cc1cncc2cccc(-c3ccn4cc[nH+]c4c3)c12 ZINC001240508591 1130334332 /nfs/dbraw/zinc/33/43/32/1130334332.db2.gz DXBNEZPAENVJOC-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COc1ncc(-c2ccn3cc[nH+]c3c2)c2ccccc12 ZINC001240509203 1130334645 /nfs/dbraw/zinc/33/46/45/1130334645.db2.gz VDBSMPNSHKZYJR-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO COc1ccc[nH+]c1NCc1csc2ccccc12 ZINC000677853712 1130335035 /nfs/dbraw/zinc/33/50/35/1130335035.db2.gz ZMEAIUPQFPUFCS-UHFFFAOYSA-N 1 2 270.357 3.917 20 0 CHADLO Cc1ccc2nccc(-c3ccn4cc[nH+]c4c3)c2c1 ZINC001240510988 1130335885 /nfs/dbraw/zinc/33/58/85/1130335885.db2.gz XELONWALIUIULI-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COc1cnc2cc(-c3ccn4cc[nH+]c4c3)ccc2c1 ZINC001240513660 1130337106 /nfs/dbraw/zinc/33/71/06/1130337106.db2.gz YOVMFJRLPWKYFG-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139474700 1130339632 /nfs/dbraw/zinc/33/96/32/1130339632.db2.gz FGVJXVNHQSNJRZ-GFCCVEGCSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139474700 1130339638 /nfs/dbraw/zinc/33/96/38/1130339638.db2.gz FGVJXVNHQSNJRZ-GFCCVEGCSA-N 1 2 277.170 3.570 20 0 CHADLO CCOc1ccc(-c2ccn3cc[nH+]c3c2)cc1CC ZINC001240517727 1130340429 /nfs/dbraw/zinc/34/04/29/1130340429.db2.gz CSJZMUJEFGUEHY-UHFFFAOYSA-N 1 2 266.344 3.962 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1cccc(N2CCCC2)c1 ZINC001240539074 1130349152 /nfs/dbraw/zinc/34/91/52/1130349152.db2.gz LVZWJEMPEZRTSP-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2cn[nH]c2)Cc2ccco2)c1 ZINC000678137441 1130357857 /nfs/dbraw/zinc/35/78/57/1130357857.db2.gz VECOCHMFVQFSMI-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Cc1cccc(C[N@H+](Cc2cn[nH]c2)Cc2ccco2)c1 ZINC000678137441 1130357863 /nfs/dbraw/zinc/35/78/63/1130357863.db2.gz VECOCHMFVQFSMI-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Cn1ccc2ccc(-c3cc[nH+]c(N4CCCC4)c3)cc21 ZINC001240573830 1130367100 /nfs/dbraw/zinc/36/71/00/1130367100.db2.gz LNGVSDBMZWWANM-UHFFFAOYSA-N 1 2 277.371 3.841 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@H+]1Cc1cnn(C(C)(C)C)c1 ZINC000678196793 1130369278 /nfs/dbraw/zinc/36/92/78/1130369278.db2.gz HNLYDMNPLQRVAZ-AWEZNQCLSA-N 1 2 299.418 3.594 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@@H+]1Cc1cnn(C(C)(C)C)c1 ZINC000678196793 1130369285 /nfs/dbraw/zinc/36/92/85/1130369285.db2.gz HNLYDMNPLQRVAZ-AWEZNQCLSA-N 1 2 299.418 3.594 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cscc1Cl ZINC000678211088 1130370173 /nfs/dbraw/zinc/37/01/73/1130370173.db2.gz IRTIOFGSHSEKNT-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cscc1Cl ZINC000678211088 1130370165 /nfs/dbraw/zinc/37/01/65/1130370165.db2.gz IRTIOFGSHSEKNT-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1cc(F)cc(-c2cc[nH+]c(N3CCCC3)c2)c1 ZINC001240593958 1130377199 /nfs/dbraw/zinc/37/71/99/1130377199.db2.gz AMPBHGYDCXTMJH-UHFFFAOYSA-N 1 2 256.324 3.796 20 0 CHADLO C[N@H+](CC(F)(F)C(F)F)C1CCC(C)(C)CC1 ZINC000756028873 1130389797 /nfs/dbraw/zinc/38/97/97/1130389797.db2.gz PLIBLEACHMDDIC-UHFFFAOYSA-N 1 2 255.299 3.787 20 0 CHADLO C[N@@H+](CC(F)(F)C(F)F)C1CCC(C)(C)CC1 ZINC000756028873 1130389802 /nfs/dbraw/zinc/38/98/02/1130389802.db2.gz PLIBLEACHMDDIC-UHFFFAOYSA-N 1 2 255.299 3.787 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+]2Cc2cccc3c2OCO3)c1 ZINC000678283137 1130390789 /nfs/dbraw/zinc/39/07/89/1130390789.db2.gz BBEYODPYRHZDRZ-HNNXBMFYSA-N 1 2 285.318 3.501 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+]2Cc2cccc3c2OCO3)c1 ZINC000678283137 1130390796 /nfs/dbraw/zinc/39/07/96/1130390796.db2.gz BBEYODPYRHZDRZ-HNNXBMFYSA-N 1 2 285.318 3.501 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cn3cccc(F)c3n2)[C@@H]1c1ccccc1 ZINC000678295570 1130393228 /nfs/dbraw/zinc/39/32/28/1130393228.db2.gz IZBLVXPTKIRTTP-DYVFJYSZSA-N 1 2 295.361 3.666 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cn3cccc(F)c3n2)[C@@H]1c1ccccc1 ZINC000678295570 1130393235 /nfs/dbraw/zinc/39/32/35/1130393235.db2.gz IZBLVXPTKIRTTP-DYVFJYSZSA-N 1 2 295.361 3.666 20 0 CHADLO C[C@H]1C[N@H+](Cc2cn3cccc(F)c3n2)[C@@H]1c1ccccc1 ZINC000678295571 1130393715 /nfs/dbraw/zinc/39/37/15/1130393715.db2.gz IZBLVXPTKIRTTP-GUYCJALGSA-N 1 2 295.361 3.666 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cn3cccc(F)c3n2)[C@@H]1c1ccccc1 ZINC000678295571 1130393718 /nfs/dbraw/zinc/39/37/18/1130393718.db2.gz IZBLVXPTKIRTTP-GUYCJALGSA-N 1 2 295.361 3.666 20 0 CHADLO Cc1ccc(-c2cc(C[NH+]3CCOCC3)ccc2F)cc1C ZINC001240703178 1130428408 /nfs/dbraw/zinc/42/84/08/1130428408.db2.gz ZIAYGGHMMOVIPC-UHFFFAOYSA-N 1 2 299.389 3.942 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@H](C)c1nc(C)sc1C ZINC000678581330 1130431276 /nfs/dbraw/zinc/43/12/76/1130431276.db2.gz QJQRNBGHKHSHFQ-LLVKDONJSA-N 1 2 290.432 3.928 20 0 CHADLO CC[C@H](C(=O)OCc1cc[nH+]c(N)c1)C1CCC(C)CC1 ZINC000792128298 1130432567 /nfs/dbraw/zinc/43/25/67/1130432567.db2.gz DKNWCVKKFKTRNG-ZALBZXLWSA-N 1 2 290.407 3.560 20 0 CHADLO Cc1ncc(-c2ccc(-c3c[nH+]cn3C)cc2)s1 ZINC001243380457 1130432714 /nfs/dbraw/zinc/43/27/14/1130432714.db2.gz AASLUGJIBQSIQD-UHFFFAOYSA-N 1 2 255.346 3.519 20 0 CHADLO COc1c(F)ccc(F)c1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001240723291 1130438973 /nfs/dbraw/zinc/43/89/73/1130438973.db2.gz PUXOAXRNTAJXKZ-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1cccnc1C ZINC001240723233 1130439563 /nfs/dbraw/zinc/43/95/63/1130439563.db2.gz AXXGBSBDAVCLLW-UHFFFAOYSA-N 1 2 267.376 3.751 20 0 CHADLO C[C@@H](Nc1cc[nH+]cc1F)c1cccc(C(F)(F)F)c1 ZINC000678789264 1130455306 /nfs/dbraw/zinc/45/53/06/1130455306.db2.gz VZJMEYKNNQSVKG-SECBINFHSA-N 1 2 284.256 3.835 20 0 CHADLO CN(Cc1ccc(Cl)cc1)c1cc[nH+]cc1F ZINC000678788213 1130455347 /nfs/dbraw/zinc/45/53/47/1130455347.db2.gz FEHAVKDZQCRSGS-UHFFFAOYSA-N 1 2 250.704 3.511 20 0 CHADLO FC1(F)CC[NH+](Cc2cscc2Cl)CC1 ZINC000793360452 1130545065 /nfs/dbraw/zinc/54/50/65/1130545065.db2.gz VFPUBABQEYUPIA-UHFFFAOYSA-N 1 2 251.729 3.633 20 0 CHADLO Cc1cc2c[nH+]c(-c3ccc(C(F)(F)F)cc3)nc2[nH]1 ZINC001240818750 1130484687 /nfs/dbraw/zinc/48/46/87/1130484687.db2.gz ZZVKJJMBFNUEMA-UHFFFAOYSA-N 1 2 277.249 3.904 20 0 CHADLO Cc1c[nH+]cc(NC2CC(C)(C)OC(C)(C)C2)c1C ZINC001170361709 1130485751 /nfs/dbraw/zinc/48/57/51/1130485751.db2.gz TYSHRGDXGNCRDH-UHFFFAOYSA-N 1 2 262.397 3.847 20 0 CHADLO Cn1c[nH+]c2ccc(NC3CC(C)(C)OC(C)(C)C3)cc21 ZINC001170361548 1130486073 /nfs/dbraw/zinc/48/60/73/1130486073.db2.gz RKFNOZGJZLBZSX-UHFFFAOYSA-N 1 2 287.407 3.721 20 0 CHADLO Clc1cccc2c1SCC[C@@H]2[NH2+]Cc1cocn1 ZINC000679048980 1130487279 /nfs/dbraw/zinc/48/72/79/1130487279.db2.gz LJRYWYVHKMKZCG-LBPRGKRZSA-N 1 2 280.780 3.655 20 0 CHADLO CC(C)c1cccc2c1OCCC[C@H]2[NH2+]Cc1cocn1 ZINC000679049939 1130487691 /nfs/dbraw/zinc/48/76/91/1130487691.db2.gz UICLIKGXDJPCPT-MRXNPFEDSA-N 1 2 286.375 3.802 20 0 CHADLO COc1ccc2c(c1)C[C@@H](Nc1c[nH+]c(C)c(C)c1)CC2 ZINC001170408128 1130491364 /nfs/dbraw/zinc/49/13/64/1130491364.db2.gz JJYNLJQHXDZUPI-INIZCTEOSA-N 1 2 282.387 3.676 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)c(C)n1 ZINC000679076333 1130491732 /nfs/dbraw/zinc/49/17/32/1130491732.db2.gz MMZXMIZIQSITJN-HNNXBMFYSA-N 1 2 296.418 3.867 20 0 CHADLO CCc1noc(C[NH2+][C@@H](CC2CCC2)c2ccccc2)n1 ZINC000679218997 1130502480 /nfs/dbraw/zinc/50/24/80/1130502480.db2.gz FKBHUMIHYMYIHT-HNNXBMFYSA-N 1 2 285.391 3.653 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)cc1Cl ZINC000679225275 1130504898 /nfs/dbraw/zinc/50/48/98/1130504898.db2.gz PNRLKTFMPOWOLF-ZWNOBZJWSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)cc1Cl ZINC000679225275 1130504902 /nfs/dbraw/zinc/50/49/02/1130504902.db2.gz PNRLKTFMPOWOLF-ZWNOBZJWSA-N 1 2 271.763 3.529 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1-c1ccc[nH+]c1N ZINC001243474788 1130505644 /nfs/dbraw/zinc/50/56/44/1130505644.db2.gz AEKNGRBNJDUNPQ-UHFFFAOYSA-N 1 2 256.349 3.735 20 0 CHADLO Cc1cccc(-c2ccc[nH+]c2N)c1C(F)(F)F ZINC001243474843 1130505694 /nfs/dbraw/zinc/50/56/94/1130505694.db2.gz SKYDNXRVSUEUBG-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679228346 1130505688 /nfs/dbraw/zinc/50/56/88/1130505688.db2.gz WQOFZJKJYQAVTN-BFVZDQMLSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679228346 1130505692 /nfs/dbraw/zinc/50/56/92/1130505692.db2.gz WQOFZJKJYQAVTN-BFVZDQMLSA-N 1 2 257.299 3.706 20 0 CHADLO Fc1ncccc1C[NH2+]Cc1c(Cl)oc2ccccc21 ZINC000793288614 1130538247 /nfs/dbraw/zinc/53/82/47/1130538247.db2.gz IIZCDJBQHSBAJV-UHFFFAOYSA-N 1 2 290.725 3.910 20 0 CHADLO Fc1cc(Cl)cc(C[NH+]2CCC(F)(F)CC2)c1 ZINC000793362435 1130545943 /nfs/dbraw/zinc/54/59/43/1130545943.db2.gz SLIYIABYYXPVGN-UHFFFAOYSA-N 1 2 263.690 3.710 20 0 CHADLO Cc1[nH+]c(-c2cc(F)c(F)c(F)c2)cc2[nH]ccc21 ZINC001240979590 1130547638 /nfs/dbraw/zinc/54/76/38/1130547638.db2.gz TZGYACIQUCPFDT-UHFFFAOYSA-N 1 2 262.234 3.956 20 0 CHADLO Cc1cn2c(n1)C[N@H+]([C@H](C)c1cccc3ccccc31)CC2 ZINC000679869137 1130561548 /nfs/dbraw/zinc/56/15/48/1130561548.db2.gz GVTLHFXTFDFQBS-OAHLLOKOSA-N 1 2 291.398 3.922 20 0 CHADLO Cc1cn2c(n1)C[N@@H+]([C@H](C)c1cccc3ccccc31)CC2 ZINC000679869137 1130561553 /nfs/dbraw/zinc/56/15/53/1130561553.db2.gz GVTLHFXTFDFQBS-OAHLLOKOSA-N 1 2 291.398 3.922 20 0 CHADLO COc1cccc2c1CC[N@H+](Cc1cscc1Cl)C2 ZINC000680002171 1130570184 /nfs/dbraw/zinc/57/01/84/1130570184.db2.gz ZOXYDZJFKUNHIV-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc2c1CC[N@@H+](Cc1cscc1Cl)C2 ZINC000680002171 1130570186 /nfs/dbraw/zinc/57/01/86/1130570186.db2.gz ZOXYDZJFKUNHIV-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO FC(F)(F)c1cscc1C[NH+]1CCC(F)(F)CC1 ZINC000811498709 1130613132 /nfs/dbraw/zinc/61/31/32/1130613132.db2.gz CTMDMEUIDGOHNB-UHFFFAOYSA-N 1 2 285.281 3.998 20 0 CHADLO C[C@H](Cn1cc[nH+]c1)Nc1cc2ccccc2c(Cl)n1 ZINC000811726184 1130641548 /nfs/dbraw/zinc/64/15/48/1130641548.db2.gz SQNOCBFMBTXIMB-LLVKDONJSA-N 1 2 286.766 3.585 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2cnc(Cl)s2)CC1 ZINC000759261667 1130647023 /nfs/dbraw/zinc/64/70/23/1130647023.db2.gz AOMKELHZMGOBQQ-UHFFFAOYSA-N 1 2 270.829 3.975 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2cnc(Cl)s2)CC1 ZINC000759261667 1130647027 /nfs/dbraw/zinc/64/70/27/1130647027.db2.gz AOMKELHZMGOBQQ-UHFFFAOYSA-N 1 2 270.829 3.975 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H]2CCCC[C@H]2F)cc1 ZINC001234588402 1130659515 /nfs/dbraw/zinc/65/95/15/1130659515.db2.gz NOUXBJYOLSQROI-ZIAGYGMSSA-N 1 2 265.328 3.708 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)Cc1cc(C)ccc1C ZINC000759612137 1130665071 /nfs/dbraw/zinc/66/50/71/1130665071.db2.gz LSXZQPIMEFPOFZ-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)Cc1cc(C)ccc1C ZINC000759612137 1130665073 /nfs/dbraw/zinc/66/50/73/1130665073.db2.gz LSXZQPIMEFPOFZ-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO c1ccc(COc2ccc[nH+]c2NC[C@@H]2CCC=CO2)cc1 ZINC000780622835 1130686883 /nfs/dbraw/zinc/68/68/83/1130686883.db2.gz PJTZQGNWGMATNG-INIZCTEOSA-N 1 2 296.370 3.765 20 0 CHADLO Cc1ccc(N(C)Cc2c[nH+]c3ccc(C)cn23)cc1 ZINC000780767358 1130690668 /nfs/dbraw/zinc/69/06/68/1130690668.db2.gz TZXKRSKKTDZRIW-UHFFFAOYSA-N 1 2 265.360 3.588 20 0 CHADLO CCCCC[C@@](C)(CC)C(=O)NC[C@@H](C)Cn1cc[nH+]c1 ZINC000780778296 1130691839 /nfs/dbraw/zinc/69/18/39/1130691839.db2.gz XEPPRIKUYUSPEW-NVXWUHKLSA-N 1 2 293.455 3.632 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1coc(C2CC2)n1 ZINC000780793631 1130692997 /nfs/dbraw/zinc/69/29/97/1130692997.db2.gz UKWYHFGTCFPZOU-GXSJLCMTSA-N 1 2 288.313 3.715 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1coc(C2CC2)n1 ZINC000780793631 1130692999 /nfs/dbraw/zinc/69/29/99/1130692999.db2.gz UKWYHFGTCFPZOU-GXSJLCMTSA-N 1 2 288.313 3.715 20 0 CHADLO CC/C(C)=C(\C)C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000780904768 1130694940 /nfs/dbraw/zinc/69/49/40/1130694940.db2.gz IVPXDDZUYQMQAN-VAWYXSNFSA-N 1 2 295.452 3.670 20 0 CHADLO O=C(N[C@H]1CCCCC12CCCC2)c1cccc2[nH+]ccn21 ZINC000812725668 1130709073 /nfs/dbraw/zinc/70/90/73/1130709073.db2.gz DDPZWZCXHGZSNI-HNNXBMFYSA-N 1 2 297.402 3.567 20 0 CHADLO CSC1CC[NH+](Cc2cc(F)c(F)c(F)c2F)CC1 ZINC001235356061 1130735147 /nfs/dbraw/zinc/73/51/47/1130735147.db2.gz WOYVOLANXSQMJE-UHFFFAOYSA-N 1 2 293.329 3.570 20 0 CHADLO Cc1cc(OC(C)C)ncc1C[N@@H+]1CCn2cccc2[C@H]1C ZINC001235428779 1130741648 /nfs/dbraw/zinc/74/16/48/1130741648.db2.gz MKUUYRGUPMNKCH-OAHLLOKOSA-N 1 2 299.418 3.556 20 0 CHADLO Cc1cc(OC(C)C)ncc1C[N@H+]1CCn2cccc2[C@H]1C ZINC001235428779 1130741652 /nfs/dbraw/zinc/74/16/52/1130741652.db2.gz MKUUYRGUPMNKCH-OAHLLOKOSA-N 1 2 299.418 3.556 20 0 CHADLO COc1cc(C[N@@H+]2CCCC[C@@](C)(F)C2)cc(F)c1F ZINC001235435480 1130744352 /nfs/dbraw/zinc/74/43/52/1130744352.db2.gz YEAWEMFDIVZNEM-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccccc2F)cc(F)c1F ZINC001235439725 1130745309 /nfs/dbraw/zinc/74/53/09/1130745309.db2.gz BVWZFZNVVBAIOU-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccccc2F)cc(F)c1F ZINC001235439725 1130745314 /nfs/dbraw/zinc/74/53/14/1130745314.db2.gz BVWZFZNVVBAIOU-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO CC1(C)C[N@H+](Cc2cncc(F)c2Cl)CCC1(F)F ZINC001235486907 1130749343 /nfs/dbraw/zinc/74/93/43/1130749343.db2.gz BKEIORZVJGWKGA-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CC1(C)C[N@@H+](Cc2cncc(F)c2Cl)CCC1(F)F ZINC001235486907 1130749346 /nfs/dbraw/zinc/74/93/46/1130749346.db2.gz BKEIORZVJGWKGA-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CCOC(=O)C[C@H]1CC[C@H]([NH2+]c2ccc(N)c(C)c2)CC1 ZINC001170701139 1130752261 /nfs/dbraw/zinc/75/22/61/1130752261.db2.gz JTNUOXIKHOIHBI-HDJSIYSDSA-N 1 2 290.407 3.501 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)[C@H](C)CCCc1ccccc1 ZINC001133682261 1130755957 /nfs/dbraw/zinc/75/59/57/1130755957.db2.gz QYNBQKAJPMYTQI-CQSZACIVSA-N 1 2 296.414 3.718 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCCC[C@](C)(F)C2)c1Cl ZINC001235577715 1130756293 /nfs/dbraw/zinc/75/62/93/1130756293.db2.gz SVYSZWXWIHPEGY-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1ccnc(C[N@H+]2CCCC[C@](C)(F)C2)c1Cl ZINC001235577715 1130756298 /nfs/dbraw/zinc/75/62/98/1130756298.db2.gz SVYSZWXWIHPEGY-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cccc2c1CCN(c1nc(C)[nH+]c3c1CCCC3)C2 ZINC000782146758 1130756483 /nfs/dbraw/zinc/75/64/83/1130756483.db2.gz IEAQRGAFRXFJTL-UHFFFAOYSA-N 1 2 293.414 3.535 20 0 CHADLO c1ccc(-c2ccncc2)c(C[N@@H+]2Cc3ccncc3C2)c1 ZINC001235625717 1130760148 /nfs/dbraw/zinc/76/01/48/1130760148.db2.gz FZVREGCWVIPDSZ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(-c2ccncc2)c(C[N@H+]2Cc3ccncc3C2)c1 ZINC001235625717 1130760156 /nfs/dbraw/zinc/76/01/56/1130760156.db2.gz FZVREGCWVIPDSZ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO Cc1cc(-c2cc(Cl)ccc2O)cn2cc[nH+]c12 ZINC001245052666 1130774523 /nfs/dbraw/zinc/77/45/23/1130774523.db2.gz QJPOGVVCJDJNRA-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO Fc1cc(C[N@H+]2CCCC[C@@H](F)C2)ccc1Cl ZINC001137649915 1130790539 /nfs/dbraw/zinc/79/05/39/1130790539.db2.gz SSJNINGHTVDSIV-LLVKDONJSA-N 1 2 259.727 3.803 20 0 CHADLO Fc1cc(C[N@@H+]2CCCC[C@@H](F)C2)ccc1Cl ZINC001137649915 1130790543 /nfs/dbraw/zinc/79/05/43/1130790543.db2.gz SSJNINGHTVDSIV-LLVKDONJSA-N 1 2 259.727 3.803 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)[C@@H]1C ZINC000813871740 1130792110 /nfs/dbraw/zinc/79/21/10/1130792110.db2.gz SCVFBYWJRLRHBP-NSIVTLKISA-N 1 2 288.435 3.757 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OCc1cccc(Cl)c1 ZINC000783152219 1130799198 /nfs/dbraw/zinc/79/91/98/1130799198.db2.gz MZYWCOCBQTVVIJ-UHFFFAOYSA-N 1 2 292.741 3.720 20 0 CHADLO C[C@@H]1CCC[C@H](CO[NH+]=C(N)Cc2cccc(F)c2)C1 ZINC000783157268 1130799870 /nfs/dbraw/zinc/79/98/70/1130799870.db2.gz HSPXLOCJMVLRSD-OCCSQVGLSA-N 1 2 278.371 3.693 20 0 CHADLO CC(C)N(C(=O)c1ccc(-c2ccc[nH+]c2N)cc1)C(C)C ZINC001235918990 1130804986 /nfs/dbraw/zinc/80/49/86/1130804986.db2.gz RWAKTCGHTGXSRN-UHFFFAOYSA-N 1 2 297.402 3.590 20 0 CHADLO Cn1ccc2c[nH+]c(-c3ccc(OC(C)(C)C)cc3)nc21 ZINC001235925765 1130805932 /nfs/dbraw/zinc/80/59/32/1130805932.db2.gz HAUWRJROCKSVHE-UHFFFAOYSA-N 1 2 281.359 3.813 20 0 CHADLO CC(C)(C)Oc1ccc(-c2ccn3cc(N)[nH+]c3c2)cc1 ZINC001235928155 1130807268 /nfs/dbraw/zinc/80/72/68/1130807268.db2.gz FTPZLMVEKYDOQL-UHFFFAOYSA-N 1 2 281.359 3.761 20 0 CHADLO COC[C@@H](Nc1ccc([NH+]2CCCC2)cc1)C1CCCC1 ZINC000783267842 1130809449 /nfs/dbraw/zinc/80/94/49/1130809449.db2.gz LIJDGHJGQZWABW-GOSISDBHSA-N 1 2 288.435 3.904 20 0 CHADLO COC[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)C1CCCC1 ZINC000783279974 1130810055 /nfs/dbraw/zinc/81/00/55/1130810055.db2.gz HYJLFWQGWVSWBT-KRWDZBQOSA-N 1 2 288.435 3.686 20 0 CHADLO COC[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)C1CCCC1 ZINC000783279974 1130810062 /nfs/dbraw/zinc/81/00/62/1130810062.db2.gz HYJLFWQGWVSWBT-KRWDZBQOSA-N 1 2 288.435 3.686 20 0 CHADLO FC(F)(F)c1ccn(C[N@@H+]2CCCC3(CCCC3)C2)n1 ZINC000783544254 1130836686 /nfs/dbraw/zinc/83/66/86/1130836686.db2.gz SSJBAQLANULXFL-UHFFFAOYSA-N 1 2 287.329 3.516 20 0 CHADLO FC(F)(F)c1ccn(C[N@H+]2CCCC3(CCCC3)C2)n1 ZINC000783544254 1130836689 /nfs/dbraw/zinc/83/66/89/1130836689.db2.gz SSJBAQLANULXFL-UHFFFAOYSA-N 1 2 287.329 3.516 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2coc(C3CC3)n2)c1C ZINC000814169494 1130838691 /nfs/dbraw/zinc/83/86/91/1130838691.db2.gz IRYDZMHBVMGGCG-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2coc(C3CC3)n2)c1C ZINC000814169494 1130838697 /nfs/dbraw/zinc/83/86/97/1130838697.db2.gz IRYDZMHBVMGGCG-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO OCc1ccc(C(F)(F)F)cc1-c1ccn2cc[nH+]c2c1 ZINC001236060089 1130843457 /nfs/dbraw/zinc/84/34/57/1130843457.db2.gz WQKSKRJQECLLEN-UHFFFAOYSA-N 1 2 292.260 3.512 20 0 CHADLO Cc1cc(-c2ccc(Cl)cc2)c[nH+]c1N1CCOCC1 ZINC001236088940 1130856177 /nfs/dbraw/zinc/85/61/77/1130856177.db2.gz VHYSIZWOTWTEJC-UHFFFAOYSA-N 1 2 288.778 3.547 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3ccc(Cl)cc3)nn2)c1C ZINC001236090285 1130856396 /nfs/dbraw/zinc/85/63/96/1130856396.db2.gz OSHAYBJKLGRGTJ-UHFFFAOYSA-N 1 2 284.750 3.600 20 0 CHADLO Nc1ccc2c(c1)CC[N@@H+](Cc1ccc(F)cc1Cl)C2 ZINC001236106136 1130865331 /nfs/dbraw/zinc/86/53/31/1130865331.db2.gz ZAJIQTKLSSHQLM-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc2c(c1)CC[N@H+](Cc1ccc(F)cc1Cl)C2 ZINC001236106136 1130865335 /nfs/dbraw/zinc/86/53/35/1130865335.db2.gz ZAJIQTKLSSHQLM-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Fc1cccc(C[NH2+]Cc2cccc(Cl)c2Cl)n1 ZINC000747935773 1130867554 /nfs/dbraw/zinc/86/75/54/1130867554.db2.gz MDKLCWGDIOYTBH-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO c1cn(-c2ccc(-c3cccnc3N3CCCC3)cc2)c[nH+]1 ZINC001236122500 1130870130 /nfs/dbraw/zinc/87/01/30/1130870130.db2.gz DLLRYXSWIKJTLE-UHFFFAOYSA-N 1 2 290.370 3.535 20 0 CHADLO COc1cc(-c2cc(C)cn3cc[nH+]c23)cc(C)c1F ZINC001236191981 1130882998 /nfs/dbraw/zinc/88/29/98/1130882998.db2.gz YUADPLOTGZIBOK-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO Cc1nonc1C[N@@H+](C)C1c2ccccc2-c2ccccc21 ZINC000747970833 1130903458 /nfs/dbraw/zinc/90/34/58/1130903458.db2.gz UBUSAXMLKWDSNK-UHFFFAOYSA-N 1 2 291.354 3.580 20 0 CHADLO Cc1nonc1C[N@H+](C)C1c2ccccc2-c2ccccc21 ZINC000747970833 1130903464 /nfs/dbraw/zinc/90/34/64/1130903464.db2.gz UBUSAXMLKWDSNK-UHFFFAOYSA-N 1 2 291.354 3.580 20 0 CHADLO Nc1ccc(-c2ccc(C3(C(F)(F)F)CC3)cc2)c[nH+]1 ZINC001236315017 1130913092 /nfs/dbraw/zinc/91/30/92/1130913092.db2.gz CEIUWQBDBXKFMM-UHFFFAOYSA-N 1 2 278.277 3.925 20 0 CHADLO CCOC(=O)c1csc2cc(-c3ccc(N)[nH+]c3)ccc12 ZINC001236317748 1130913209 /nfs/dbraw/zinc/91/32/09/1130913209.db2.gz PNJZCLKMPDCCAF-UHFFFAOYSA-N 1 2 298.367 3.722 20 0 CHADLO Cc1ccc(C)c(Nc2c(Cl)cccc2CO)[nH+]1 ZINC001159230420 1130916318 /nfs/dbraw/zinc/91/63/18/1130916318.db2.gz QMKZMKRFRLHMKV-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2ccc(CF)cc2)n1 ZINC000796667176 1130922974 /nfs/dbraw/zinc/92/29/74/1130922974.db2.gz GBSCGMLQTQPVAK-OAHLLOKOSA-N 1 2 289.354 3.575 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2ccc(CF)cc2)n1 ZINC000796667176 1130922976 /nfs/dbraw/zinc/92/29/76/1130922976.db2.gz GBSCGMLQTQPVAK-OAHLLOKOSA-N 1 2 289.354 3.575 20 0 CHADLO Cc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c2c[nH]nc21 ZINC001236506293 1130959016 /nfs/dbraw/zinc/95/90/16/1130959016.db2.gz KYLVFRNZDSRSPZ-UHFFFAOYSA-N 1 2 292.317 3.863 20 0 CHADLO CC(C)Oc1cncc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001236519256 1130961883 /nfs/dbraw/zinc/96/18/83/1130961883.db2.gz RVHVCGKKJSZNHV-UHFFFAOYSA-N 1 2 279.343 3.722 20 0 CHADLO Cc1cc(C[NH2+]Cc2c(F)cc(F)cc2F)c(C)o1 ZINC000815152106 1130962167 /nfs/dbraw/zinc/96/21/67/1130962167.db2.gz NOBBUGPXKGSWJM-UHFFFAOYSA-N 1 2 269.266 3.604 20 0 CHADLO Cc1cn2cc(-c3ccncc3C(F)(F)F)ccc2[nH+]1 ZINC001245444270 1130972110 /nfs/dbraw/zinc/97/21/10/1130972110.db2.gz YFTPLXSRJFQPFN-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Clc1nccnc1C[N@@H+]1CCC[C@@H]1c1cccs1 ZINC001236612970 1130983242 /nfs/dbraw/zinc/98/32/42/1130983242.db2.gz TZURVNCFLKOYTE-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1nccnc1C[N@H+]1CCC[C@@H]1c1cccs1 ZINC001236612970 1130983245 /nfs/dbraw/zinc/98/32/45/1130983245.db2.gz TZURVNCFLKOYTE-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+](C)Cc1nccnc1Cl ZINC001236622065 1130984676 /nfs/dbraw/zinc/98/46/76/1130984676.db2.gz SASQHTSIOXUMHI-AWEZNQCLSA-N 1 2 275.783 3.713 20 0 CHADLO CC[C@@H](c1ccccc1)[N@@H+](C)Cc1nccnc1Cl ZINC001236622065 1130984679 /nfs/dbraw/zinc/98/46/79/1130984679.db2.gz SASQHTSIOXUMHI-AWEZNQCLSA-N 1 2 275.783 3.713 20 0 CHADLO CC(=O)[C@@H]1CC[N@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236660299 1130990197 /nfs/dbraw/zinc/99/01/97/1130990197.db2.gz YHOLXVMXSCEKRN-SNVBAGLBSA-N 1 2 290.165 3.543 20 0 CHADLO CC(=O)[C@@H]1CC[N@@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236660299 1130990198 /nfs/dbraw/zinc/99/01/98/1130990198.db2.gz YHOLXVMXSCEKRN-SNVBAGLBSA-N 1 2 290.165 3.543 20 0 CHADLO COc1cc2ccccc2cc1C[N@H+](C)Cc1cncs1 ZINC001236680033 1130992730 /nfs/dbraw/zinc/99/27/30/1130992730.db2.gz PCAXIEXFZDUCGH-UHFFFAOYSA-N 1 2 298.411 3.937 20 0 CHADLO COc1cc2ccccc2cc1C[N@@H+](C)Cc1cncs1 ZINC001236680033 1130992734 /nfs/dbraw/zinc/99/27/34/1130992734.db2.gz PCAXIEXFZDUCGH-UHFFFAOYSA-N 1 2 298.411 3.937 20 0 CHADLO Oc1cc(C[NH+]2CC3(C2)CCCC3)ccc1Br ZINC001236684348 1130993886 /nfs/dbraw/zinc/99/38/86/1130993886.db2.gz QFKPSGNVNXHZIO-UHFFFAOYSA-N 1 2 296.208 3.531 20 0 CHADLO C[NH+](C)Cc1c(F)cc(OCc2ccccc2)cc1F ZINC001236693663 1130994644 /nfs/dbraw/zinc/99/46/44/1130994644.db2.gz AFBKGXPHHDGXKS-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2cccc3ccoc32)no1 ZINC001236736972 1131002936 /nfs/dbraw/zinc/00/29/36/1131002936.db2.gz GROSAVHYZADORD-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2cccc3ccoc32)no1 ZINC001236736972 1131002942 /nfs/dbraw/zinc/00/29/42/1131002942.db2.gz GROSAVHYZADORD-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(F)cc2C)s1 ZINC000815616491 1131010153 /nfs/dbraw/zinc/01/01/53/1131010153.db2.gz PSCJCFBCYUVTKE-ZJUUUORDSA-N 1 2 279.384 3.706 20 0 CHADLO COc1c(C)cc([C@@H](C)[NH2+]CC(C)(F)F)cc1Cl ZINC000815644426 1131015061 /nfs/dbraw/zinc/01/50/61/1131015061.db2.gz AHVBPPCSRKCVGB-SECBINFHSA-N 1 2 277.742 3.963 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cccs2)c(F)cc1F ZINC001236824622 1131015215 /nfs/dbraw/zinc/01/52/15/1131015215.db2.gz SGIHCMBKGHCTDA-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cccs2)c(F)cc1F ZINC001236824622 1131015219 /nfs/dbraw/zinc/01/52/19/1131015219.db2.gz SGIHCMBKGHCTDA-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)c1Cl ZINC001236849839 1131021909 /nfs/dbraw/zinc/02/19/09/1131021909.db2.gz BPLPCJPVZNHOHK-CABCVRRESA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cccc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)c1Cl ZINC001236849839 1131021914 /nfs/dbraw/zinc/02/19/14/1131021914.db2.gz BPLPCJPVZNHOHK-CABCVRRESA-N 1 2 291.822 3.982 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccccc2OC(C)(C)C)CCC1=O ZINC001237023771 1131036339 /nfs/dbraw/zinc/03/63/39/1131036339.db2.gz SDEPWWCAFVGPTF-AWEZNQCLSA-N 1 2 289.419 3.665 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccccc2OC(C)(C)C)CCC1=O ZINC001237023771 1131036343 /nfs/dbraw/zinc/03/63/43/1131036343.db2.gz SDEPWWCAFVGPTF-AWEZNQCLSA-N 1 2 289.419 3.665 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N[C@@H]3CCOC[C@@H]3C)c2)cc1 ZINC001170814342 1131059702 /nfs/dbraw/zinc/05/97/02/1131059702.db2.gz AXIPEPYCAOMDRM-WMLDXEAASA-N 1 2 282.387 3.894 20 0 CHADLO Cc1c(C[N@@H+]2CCc3ccc(F)cc3C2)ccc(F)c1F ZINC001237162044 1131062122 /nfs/dbraw/zinc/06/21/22/1131062122.db2.gz OWFGKTXFTGZNAS-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(C[N@H+]2CCc3ccc(F)cc3C2)ccc(F)c1F ZINC001237162044 1131062128 /nfs/dbraw/zinc/06/21/28/1131062128.db2.gz OWFGKTXFTGZNAS-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(F)c(F)ccc1C[N@@H+]1CCc2sccc2C1 ZINC001237159156 1131062340 /nfs/dbraw/zinc/06/23/40/1131062340.db2.gz RARPJHXCPKUTLU-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1c(F)c(F)ccc1C[N@H+]1CCc2sccc2C1 ZINC001237159156 1131062348 /nfs/dbraw/zinc/06/23/48/1131062348.db2.gz RARPJHXCPKUTLU-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO COc1cccc(C[N@@H+]2CCC[C@@](C)(F)C2)c1Cl ZINC001237185001 1131067561 /nfs/dbraw/zinc/06/75/61/1131067561.db2.gz GRYGWLFPAHVXBK-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cccc(C[N@H+]2CCC[C@@](C)(F)C2)c1Cl ZINC001237185001 1131067567 /nfs/dbraw/zinc/06/75/67/1131067567.db2.gz GRYGWLFPAHVXBK-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO FC(F)(F)c1cnccc1C[N@@H+]1CCc2ccsc2C1 ZINC001237290755 1131078216 /nfs/dbraw/zinc/07/82/16/1131078216.db2.gz GJEULZNVYBALQR-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1cnccc1C[N@H+]1CCc2ccsc2C1 ZINC001237290755 1131078220 /nfs/dbraw/zinc/07/82/20/1131078220.db2.gz GJEULZNVYBALQR-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)[C@@H]2CCOC2)c1Cl ZINC001237332799 1131087686 /nfs/dbraw/zinc/08/76/86/1131087686.db2.gz YUVVZVDIFCPPPJ-SNVBAGLBSA-N 1 2 274.191 3.523 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)[C@@H]2CCOC2)c1Cl ZINC001237332799 1131087692 /nfs/dbraw/zinc/08/76/92/1131087692.db2.gz YUVVZVDIFCPPPJ-SNVBAGLBSA-N 1 2 274.191 3.523 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(Cl)c(F)c2)CCC1(F)F ZINC001237398317 1131093712 /nfs/dbraw/zinc/09/37/12/1131093712.db2.gz QMWNPAPSLINYPE-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(Cl)c(F)c2)CCC1(F)F ZINC001237398317 1131093717 /nfs/dbraw/zinc/09/37/17/1131093717.db2.gz QMWNPAPSLINYPE-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO Brc1ccncc1C[NH+]1CC2(C1)CCCCC2 ZINC001237393927 1131095389 /nfs/dbraw/zinc/09/53/89/1131095389.db2.gz WIXNMNZFBQQNSP-UHFFFAOYSA-N 1 2 295.224 3.610 20 0 CHADLO CC[N@H+](Cc1cnn(C)c1Cl)[C@H](C)c1ccc(F)cc1 ZINC000816493602 1131100469 /nfs/dbraw/zinc/10/04/69/1131100469.db2.gz HZZGYFISCVYNOZ-LLVKDONJSA-N 1 2 295.789 3.796 20 0 CHADLO CC[N@@H+](Cc1cnn(C)c1Cl)[C@H](C)c1ccc(F)cc1 ZINC000816493602 1131100472 /nfs/dbraw/zinc/10/04/72/1131100472.db2.gz HZZGYFISCVYNOZ-LLVKDONJSA-N 1 2 295.789 3.796 20 0 CHADLO CC1CC[NH+](Cc2cc(Cl)nc(C(F)(F)F)c2)CC1 ZINC001237492710 1131103780 /nfs/dbraw/zinc/10/37/80/1131103780.db2.gz WNZQQXZUTUSHRR-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2CCCC23CC3)cc(Cl)n1 ZINC001237491596 1131104688 /nfs/dbraw/zinc/10/46/88/1131104688.db2.gz PXLVNQZQXJHGSM-UHFFFAOYSA-N 1 2 290.716 3.882 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2CCCC23CC3)cc(Cl)n1 ZINC001237491596 1131104693 /nfs/dbraw/zinc/10/46/93/1131104693.db2.gz PXLVNQZQXJHGSM-UHFFFAOYSA-N 1 2 290.716 3.882 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1c(F)ccc(O)c1F ZINC000816522170 1131107220 /nfs/dbraw/zinc/10/72/20/1131107220.db2.gz MXYAMMJYVHSIBE-UHFFFAOYSA-N 1 2 292.329 3.657 20 0 CHADLO Cc1cc(NCc2c(F)ccc(O)c2F)ccc1[NH+](C)C ZINC000816525492 1131108321 /nfs/dbraw/zinc/10/83/21/1131108321.db2.gz VOIMZGVLJLSXHA-UHFFFAOYSA-N 1 2 292.329 3.657 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)N1Cc1c[nH+]cn1CC ZINC001237591948 1131117393 /nfs/dbraw/zinc/11/73/93/1131117393.db2.gz AWBQVEXKLLYRHU-DOTOQJQBSA-N 1 2 293.455 3.666 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2C[C@@H](C)[C@@H]2C)cc1Cl ZINC000816646870 1131119100 /nfs/dbraw/zinc/11/91/00/1131119100.db2.gz JYSUNIHFLQYORM-BDAKNGLRSA-N 1 2 274.191 3.842 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2C[C@@H](C)[C@@H]2C)cc1Cl ZINC000816646870 1131119098 /nfs/dbraw/zinc/11/90/98/1131119098.db2.gz JYSUNIHFLQYORM-BDAKNGLRSA-N 1 2 274.191 3.842 20 0 CHADLO Cc1coc(C[N@@H+]2CCC[C@@H]2c2ccccc2Cl)n1 ZINC001237644579 1131123896 /nfs/dbraw/zinc/12/38/96/1131123896.db2.gz FNFDBFOFYQGKAG-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1coc(C[N@H+]2CCC[C@@H]2c2ccccc2Cl)n1 ZINC001237644579 1131123898 /nfs/dbraw/zinc/12/38/98/1131123898.db2.gz FNFDBFOFYQGKAG-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO COc1ccc(C[NH+]2CC3(C2)CCCCC3)c(Cl)n1 ZINC001237798418 1131140935 /nfs/dbraw/zinc/14/09/35/1131140935.db2.gz LYMCQAIDRUEJCA-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO Cc1cc(N2CCC[C@H](c3cc[nH]n3)C2)[nH+]c2ccccc12 ZINC001116466029 1131148925 /nfs/dbraw/zinc/14/89/25/1131148925.db2.gz SQRUFIVVUIUURX-AWEZNQCLSA-N 1 2 292.386 3.650 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@H+](Cc2cnc(Cl)s2)C1 ZINC000817280553 1131150578 /nfs/dbraw/zinc/15/05/78/1131150578.db2.gz ADFGJVTYSBTFFZ-QMMMGPOBSA-N 1 2 298.761 3.961 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC000817280553 1131150580 /nfs/dbraw/zinc/15/05/80/1131150580.db2.gz ADFGJVTYSBTFFZ-QMMMGPOBSA-N 1 2 298.761 3.961 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+](C)Cc1cccnc1 ZINC001237902827 1131150791 /nfs/dbraw/zinc/15/07/91/1131150791.db2.gz BQUWOPCSYLHMLY-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+](C)Cc1cccnc1 ZINC001237902827 1131150794 /nfs/dbraw/zinc/15/07/94/1131150794.db2.gz BQUWOPCSYLHMLY-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CC(C)n1ncc2c1[C@H]([NH2+][C@H](C)c1cscn1)CCC2 ZINC001116604970 1131157000 /nfs/dbraw/zinc/15/70/00/1131157000.db2.gz ZQOIJERLZYNZBC-DGCLKSJQSA-N 1 2 290.436 3.649 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237983994 1131158338 /nfs/dbraw/zinc/15/83/38/1131158338.db2.gz KMFMVMWZYDIWHS-CQSZACIVSA-N 1 2 289.753 3.899 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237983994 1131158341 /nfs/dbraw/zinc/15/83/41/1131158341.db2.gz KMFMVMWZYDIWHS-CQSZACIVSA-N 1 2 289.753 3.899 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237990732 1131158713 /nfs/dbraw/zinc/15/87/13/1131158713.db2.gz PYYSLLIUMXOYLC-VIFPVBQESA-N 1 2 257.736 3.559 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237990732 1131158715 /nfs/dbraw/zinc/15/87/15/1131158715.db2.gz PYYSLLIUMXOYLC-VIFPVBQESA-N 1 2 257.736 3.559 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)c(Cl)c1)C1CCCCC1 ZINC001237990250 1131158804 /nfs/dbraw/zinc/15/88/04/1131158804.db2.gz LXIBTZGIKURKHF-UHFFFAOYSA-N 1 2 271.763 3.949 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)c(Cl)c1)C1CCCCC1 ZINC001237990250 1131158808 /nfs/dbraw/zinc/15/88/08/1131158808.db2.gz LXIBTZGIKURKHF-UHFFFAOYSA-N 1 2 271.763 3.949 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCC3(CCC3)C2)cc1Cl ZINC001237997607 1131160133 /nfs/dbraw/zinc/16/01/33/1131160133.db2.gz MXQJURDDSUPEQY-UHFFFAOYSA-N 1 2 269.747 3.561 20 0 CHADLO CC[C@H]1CCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237994550 1131160331 /nfs/dbraw/zinc/16/03/31/1131160331.db2.gz FDIZKSCIJMORQZ-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237994550 1131160337 /nfs/dbraw/zinc/16/03/37/1131160337.db2.gz FDIZKSCIJMORQZ-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO Cc1cc(N2CCSC[C@@H](C)C2)[nH+]c2ccccc12 ZINC001116756404 1131162210 /nfs/dbraw/zinc/16/22/10/1131162210.db2.gz LBXMRQRGZSEWOC-LBPRGKRZSA-N 1 2 272.417 3.733 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1cnc(F)cc1Cl ZINC001238024122 1131162611 /nfs/dbraw/zinc/16/26/11/1131162611.db2.gz MLWKHNRWKZXNHD-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO CCCC(=CC(=O)NCc1cc(C)[nH+]c(C)c1)CCC ZINC000817458785 1131162547 /nfs/dbraw/zinc/16/25/47/1131162547.db2.gz KWLGNGGIHKBBQY-UHFFFAOYSA-N 1 2 274.408 3.841 20 0 CHADLO Cc1cc(N2CCS[C@H](C)CC2)[nH+]c2ccccc12 ZINC001116777373 1131163186 /nfs/dbraw/zinc/16/31/86/1131163186.db2.gz MIIKFQPJROZDRZ-CYBMUJFWSA-N 1 2 272.417 3.875 20 0 CHADLO COc1ccc(C(F)(F)F)c(C[N@@H+]2CCC[C@@H]2CF)c1 ZINC001238063979 1131166588 /nfs/dbraw/zinc/16/65/88/1131166588.db2.gz UIHSICQYKKVXSN-LLVKDONJSA-N 1 2 291.288 3.648 20 0 CHADLO COc1ccc(C(F)(F)F)c(C[N@H+]2CCC[C@@H]2CF)c1 ZINC001238063979 1131166592 /nfs/dbraw/zinc/16/65/92/1131166592.db2.gz UIHSICQYKKVXSN-LLVKDONJSA-N 1 2 291.288 3.648 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(Cl)c(O)cc2F)CC1 ZINC001238146710 1131174661 /nfs/dbraw/zinc/17/46/61/1131174661.db2.gz MAQFGQBXAUHUNH-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(Cl)c(O)cc2F)CC1 ZINC001238146710 1131174665 /nfs/dbraw/zinc/17/46/65/1131174665.db2.gz MAQFGQBXAUHUNH-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO C[C@H]1CCCC[N@H+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238143940 1131174949 /nfs/dbraw/zinc/17/49/49/1131174949.db2.gz RLBMNEYUNQKHOS-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO C[C@H]1CCCC[N@@H+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238143940 1131174953 /nfs/dbraw/zinc/17/49/53/1131174953.db2.gz RLBMNEYUNQKHOS-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO F[C@@H]1C[N@H+](Cc2cncc(C3CC3)c2)CCCC1(F)F ZINC001238182456 1131178341 /nfs/dbraw/zinc/17/83/41/1131178341.db2.gz TWAWPSSYQDBSLI-CQSZACIVSA-N 1 2 284.325 3.528 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2cncc(C3CC3)c2)CCCC1(F)F ZINC001238182456 1131178344 /nfs/dbraw/zinc/17/83/44/1131178344.db2.gz TWAWPSSYQDBSLI-CQSZACIVSA-N 1 2 284.325 3.528 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cncc(C3CC3)c2)no1 ZINC001238188298 1131178436 /nfs/dbraw/zinc/17/84/36/1131178436.db2.gz UGBIHJFXZHKQFJ-QGZVFWFLSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cncc(C3CC3)c2)no1 ZINC001238188298 1131178440 /nfs/dbraw/zinc/17/84/40/1131178440.db2.gz UGBIHJFXZHKQFJ-QGZVFWFLSA-N 1 2 283.375 3.593 20 0 CHADLO CCCC1CC[NH+](Cc2c(F)ccnc2Cl)CC1 ZINC001238230990 1131185587 /nfs/dbraw/zinc/18/55/87/1131185587.db2.gz IDXVYNHAIUUTAT-UHFFFAOYSA-N 1 2 270.779 3.886 20 0 CHADLO C[N@H+](CCc1cccc(F)c1)Cc1c(F)ccnc1Cl ZINC001238236591 1131186278 /nfs/dbraw/zinc/18/62/78/1131186278.db2.gz CLJBLFCZFXZBML-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1cccc(F)c1)Cc1c(F)ccnc1Cl ZINC001238236591 1131186280 /nfs/dbraw/zinc/18/62/80/1131186280.db2.gz CLJBLFCZFXZBML-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO Fc1ccnc(Cl)c1C[N@@H+]1CCCC2(CCC2)C1 ZINC001238240457 1131186435 /nfs/dbraw/zinc/18/64/35/1131186435.db2.gz VNSVGULJWULYFE-UHFFFAOYSA-N 1 2 268.763 3.640 20 0 CHADLO Fc1ccnc(Cl)c1C[N@H+]1CCCC2(CCC2)C1 ZINC001238240457 1131186437 /nfs/dbraw/zinc/18/64/37/1131186437.db2.gz VNSVGULJWULYFE-UHFFFAOYSA-N 1 2 268.763 3.640 20 0 CHADLO Cc1cc(NC(=O)NC(C)(C)C2CCCCC2)c(C)c[nH+]1 ZINC001117338919 1131186700 /nfs/dbraw/zinc/18/67/00/1131186700.db2.gz HWDQAAAUPWNKMJ-UHFFFAOYSA-N 1 2 289.423 3.601 20 0 CHADLO CC[C@H](C)[N@H+](C)Cc1c(Br)ccc(O)c1F ZINC001238309034 1131190043 /nfs/dbraw/zinc/19/00/43/1131190043.db2.gz INHXGJHXBZQWCM-QMMMGPOBSA-N 1 2 290.176 3.524 20 0 CHADLO CC[C@H](C)[N@@H+](C)Cc1c(Br)ccc(O)c1F ZINC001238309034 1131190044 /nfs/dbraw/zinc/19/00/44/1131190044.db2.gz INHXGJHXBZQWCM-QMMMGPOBSA-N 1 2 290.176 3.524 20 0 CHADLO CN(C)c1ccc(N[C@@H](c2ccccc2)C(F)(F)F)[nH+]c1 ZINC001170883294 1131192709 /nfs/dbraw/zinc/19/27/09/1131192709.db2.gz LJSJQMQPAYWDDK-AWEZNQCLSA-N 1 2 295.308 3.863 20 0 CHADLO Fc1ccc(C[NH+]2CCC2)c(F)c1OCc1ccccc1 ZINC001238348543 1131193416 /nfs/dbraw/zinc/19/34/16/1131193416.db2.gz HGRVKADBHDQJNV-UHFFFAOYSA-N 1 2 289.325 3.750 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(Cl)nc2)ncc1Cl ZINC001238421466 1131196965 /nfs/dbraw/zinc/19/69/65/1131196965.db2.gz GQMKIVHSMPPGLL-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(Cl)nc2)ncc1Cl ZINC001238421466 1131196968 /nfs/dbraw/zinc/19/69/68/1131196968.db2.gz GQMKIVHSMPPGLL-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@](C)(F)C2)ncc1Cl ZINC001238425407 1131198858 /nfs/dbraw/zinc/19/88/58/1131198858.db2.gz DZIODRQALJNDLT-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@](C)(F)C2)ncc1Cl ZINC001238425407 1131198859 /nfs/dbraw/zinc/19/88/59/1131198859.db2.gz DZIODRQALJNDLT-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)c(C)c1 ZINC001238434445 1131200371 /nfs/dbraw/zinc/20/03/71/1131200371.db2.gz DCQZHGPVVDBWAZ-QFBILLFUSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)c(C)c1 ZINC001238434445 1131200375 /nfs/dbraw/zinc/20/03/75/1131200375.db2.gz DCQZHGPVVDBWAZ-QFBILLFUSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2cc(C)cc(Cl)n2)c(F)c1 ZINC000818211824 1131205749 /nfs/dbraw/zinc/20/57/49/1131205749.db2.gz IXNWIGKPYCWNCG-UHFFFAOYSA-N 1 2 296.748 3.920 20 0 CHADLO CCn1nc(C)c(C[NH2+][C@@H](C)c2cc3ccc(C)cc3o2)n1 ZINC000818345814 1131209416 /nfs/dbraw/zinc/20/94/16/1131209416.db2.gz PMTPPADMCJPMEB-ZDUSSCGKSA-N 1 2 298.390 3.512 20 0 CHADLO Cc1cc(N2CCC[C@@H]2[C@@H]2CCCOC2)[nH+]c2ccccc12 ZINC001118125309 1131211588 /nfs/dbraw/zinc/21/15/88/1131211588.db2.gz SRBLLLCUFYAUKG-CRAIPNDOSA-N 1 2 296.414 3.939 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)c(C3CC3)c2)CCC1=O ZINC001238513316 1131212570 /nfs/dbraw/zinc/21/25/70/1131212570.db2.gz ZRIKUJYOLYZJIM-UHFFFAOYSA-N 1 2 275.367 3.504 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)c(C3CC3)c2)CCC1=O ZINC001238513316 1131212573 /nfs/dbraw/zinc/21/25/73/1131212573.db2.gz ZRIKUJYOLYZJIM-UHFFFAOYSA-N 1 2 275.367 3.504 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2CCCC[C@](C)(F)C2)cnc1F ZINC001238603315 1131222255 /nfs/dbraw/zinc/22/22/55/1131222255.db2.gz IIHQBQWHTAIBRC-INIZCTEOSA-N 1 2 298.377 3.722 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2CCCC[C@](C)(F)C2)cnc1F ZINC001238603315 1131222260 /nfs/dbraw/zinc/22/22/60/1131222260.db2.gz IIHQBQWHTAIBRC-INIZCTEOSA-N 1 2 298.377 3.722 20 0 CHADLO c1cc2cc(NCc3ccccc3N3CCCC3)[nH+]cc2[nH]1 ZINC001118385368 1131222614 /nfs/dbraw/zinc/22/26/14/1131222614.db2.gz WIBHQDBABOZFRZ-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1ccnc(Cl)c1F ZINC000823386749 1131424598 /nfs/dbraw/zinc/42/45/98/1131424598.db2.gz LOZRKHXCUIFBPP-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1ccnc(Cl)c1F ZINC000823386749 1131424601 /nfs/dbraw/zinc/42/46/01/1131424601.db2.gz LOZRKHXCUIFBPP-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2cc(F)ncc2F)cc1 ZINC000823394780 1131424709 /nfs/dbraw/zinc/42/47/09/1131424709.db2.gz AMXNWVXRZHAPIL-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2cc(F)ncc2F)cc1 ZINC000823394780 1131424712 /nfs/dbraw/zinc/42/47/12/1131424712.db2.gz AMXNWVXRZHAPIL-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cc(F)cc(F)c1F ZINC001137867523 1131425992 /nfs/dbraw/zinc/42/59/92/1131425992.db2.gz ZQRJUURKXJQESB-UHFFFAOYSA-N 1 2 265.278 3.736 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cc(F)cc(F)c1F ZINC001137867523 1131425995 /nfs/dbraw/zinc/42/59/95/1131425995.db2.gz ZQRJUURKXJQESB-UHFFFAOYSA-N 1 2 265.278 3.736 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1cc(F)ncc1F ZINC000823452487 1131427369 /nfs/dbraw/zinc/42/73/69/1131427369.db2.gz AGEPSUQNBAHLJH-MRXNPFEDSA-N 1 2 288.341 3.869 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1cc(F)ncc1F ZINC000823452487 1131427371 /nfs/dbraw/zinc/42/73/71/1131427371.db2.gz AGEPSUQNBAHLJH-MRXNPFEDSA-N 1 2 288.341 3.869 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1CC[C@@]2(CC2(F)F)C1 ZINC001137883225 1131429046 /nfs/dbraw/zinc/42/90/46/1131429046.db2.gz YQBYTQBNMHIQEW-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1CC[C@@]2(CC2(F)F)C1 ZINC001137883225 1131429049 /nfs/dbraw/zinc/42/90/49/1131429049.db2.gz YQBYTQBNMHIQEW-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCCCC23CC3)cc1F ZINC001137892549 1131430305 /nfs/dbraw/zinc/43/03/05/1131430305.db2.gz XKGDXHZWKDRBPX-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO Cc1cc2cc(N[C@@H]3CCc4ccc(O)cc4C3)[nH+]cc2[nH]1 ZINC001171026904 1131439140 /nfs/dbraw/zinc/43/91/40/1131439140.db2.gz CXLRTMTXZURVKN-OAHLLOKOSA-N 1 2 293.370 3.546 20 0 CHADLO CC1(C2CC2)CC[NH+](Cc2csc(Cl)n2)CC1 ZINC000829953395 1131440002 /nfs/dbraw/zinc/44/00/02/1131440002.db2.gz VYRCNWBXZWCFPN-UHFFFAOYSA-N 1 2 270.829 3.809 20 0 CHADLO C/C=C(/C)C(=O)Nc1ccccc1-c1[nH+]cc2n1CCCC2 ZINC000823769387 1131441144 /nfs/dbraw/zinc/44/11/44/1131441144.db2.gz AQBJWRNSDMVTDL-DXNYSGJVSA-N 1 2 295.386 3.791 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccsc2)c(F)c1F ZINC001137969976 1131444325 /nfs/dbraw/zinc/44/43/25/1131444325.db2.gz QKPQPBJNNNDNJI-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccsc2)c(F)c1F ZINC001137969976 1131444329 /nfs/dbraw/zinc/44/43/29/1131444329.db2.gz QKPQPBJNNNDNJI-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO COCC1CCC([NH2+]c2ccc3c(c2)CCCN3C)CC1 ZINC000824103743 1131453342 /nfs/dbraw/zinc/45/33/42/1131453342.db2.gz MZFNVIVSDSHRPU-UHFFFAOYSA-N 1 2 288.435 3.686 20 0 CHADLO COCC1CCC(Nc2ccc3c(c2)CCC[N@H+]3C)CC1 ZINC000824103743 1131453344 /nfs/dbraw/zinc/45/33/44/1131453344.db2.gz MZFNVIVSDSHRPU-UHFFFAOYSA-N 1 2 288.435 3.686 20 0 CHADLO COCC1CCC(Nc2ccc3c(c2)CCC[N@@H+]3C)CC1 ZINC000824103743 1131453347 /nfs/dbraw/zinc/45/33/47/1131453347.db2.gz MZFNVIVSDSHRPU-UHFFFAOYSA-N 1 2 288.435 3.686 20 0 CHADLO CC[N@H+](CCn1cccn1)Cc1c(F)ccc(C)c1Cl ZINC001138027865 1131454480 /nfs/dbraw/zinc/45/44/80/1131454480.db2.gz MITSEBHLKHMNOU-UHFFFAOYSA-N 1 2 295.789 3.506 20 0 CHADLO CC[N@@H+](CCn1cccn1)Cc1c(F)ccc(C)c1Cl ZINC001138027865 1131454484 /nfs/dbraw/zinc/45/44/84/1131454484.db2.gz MITSEBHLKHMNOU-UHFFFAOYSA-N 1 2 295.789 3.506 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccc(O)c2ccccc12 ZINC001138060949 1131460627 /nfs/dbraw/zinc/46/06/27/1131460627.db2.gz CNFZQUTZBKDYJQ-UHFFFAOYSA-N 1 2 284.384 3.634 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccc(O)c2ccccc12 ZINC001138060949 1131460629 /nfs/dbraw/zinc/46/06/29/1131460629.db2.gz CNFZQUTZBKDYJQ-UHFFFAOYSA-N 1 2 284.384 3.634 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc3c(cccc3Cl)[nH]2)C[C@@H]1F ZINC001136856143 1131463972 /nfs/dbraw/zinc/46/39/72/1131463972.db2.gz BDZMERSVPDNPER-RNCFNFMXSA-N 1 2 266.747 3.611 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc3c(cccc3Cl)[nH]2)C[C@@H]1F ZINC001136856143 1131463975 /nfs/dbraw/zinc/46/39/75/1131463975.db2.gz BDZMERSVPDNPER-RNCFNFMXSA-N 1 2 266.747 3.611 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCCC[C@@](C)(F)C2)c1F ZINC001138115001 1131467685 /nfs/dbraw/zinc/46/76/85/1131467685.db2.gz USBHEUHHHMQIJD-OAHLLOKOSA-N 1 2 271.326 3.987 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCCC[C@@](C)(F)C2)c1F ZINC001138115001 1131467689 /nfs/dbraw/zinc/46/76/89/1131467689.db2.gz USBHEUHHHMQIJD-OAHLLOKOSA-N 1 2 271.326 3.987 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)CCOc2ccccc2)c1F ZINC001138118329 1131468722 /nfs/dbraw/zinc/46/87/22/1131468722.db2.gz ISTCEJLQIHTWLM-UHFFFAOYSA-N 1 2 291.341 3.784 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)CCOc2ccccc2)c1F ZINC001138118329 1131468726 /nfs/dbraw/zinc/46/87/26/1131468726.db2.gz ISTCEJLQIHTWLM-UHFFFAOYSA-N 1 2 291.341 3.784 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CC[C@H](C(F)(F)F)C2)c1F ZINC001138118518 1131468972 /nfs/dbraw/zinc/46/89/72/1131468972.db2.gz MGVKSMUEIDNTRM-VIFPVBQESA-N 1 2 279.252 3.657 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CC[C@H](C(F)(F)F)C2)c1F ZINC001138118518 1131468975 /nfs/dbraw/zinc/46/89/75/1131468975.db2.gz MGVKSMUEIDNTRM-VIFPVBQESA-N 1 2 279.252 3.657 20 0 CHADLO CC1(C)CC(C)(C)c2cc(NC(=O)Cc3[nH]cc[nH+]3)ccc21 ZINC000831306177 1131483284 /nfs/dbraw/zinc/48/32/84/1131483284.db2.gz HLBATRBNFUWKNO-UHFFFAOYSA-N 1 2 297.402 3.550 20 0 CHADLO CC[N@H+](Cc1cnc2c(C)cccn12)Cc1ccccc1F ZINC001136965144 1131489676 /nfs/dbraw/zinc/48/96/76/1131489676.db2.gz HAMQTDUEFXHJBX-UHFFFAOYSA-N 1 2 297.377 3.804 20 0 CHADLO CC[N@@H+](Cc1cnc2c(C)cccn12)Cc1ccccc1F ZINC001136965144 1131489679 /nfs/dbraw/zinc/48/96/79/1131489679.db2.gz HAMQTDUEFXHJBX-UHFFFAOYSA-N 1 2 297.377 3.804 20 0 CHADLO Cc1nc(F)ccc1C[NH+]1CC(c2cccc(Cl)c2)C1 ZINC000824987751 1131493453 /nfs/dbraw/zinc/49/34/53/1131493453.db2.gz AASXHSRIRBWHNO-UHFFFAOYSA-N 1 2 290.769 3.782 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2cc(Cl)ccc2OC)o1 ZINC000825078731 1131498008 /nfs/dbraw/zinc/49/80/08/1131498008.db2.gz BAZTXJJLUIDOMN-UHFFFAOYSA-N 1 2 294.782 3.579 20 0 CHADLO CC[N@H+](Cc1cncc(Cl)c1)Cc1ccccc1F ZINC001136996499 1131500764 /nfs/dbraw/zinc/50/07/64/1131500764.db2.gz LZSSDXWGUPTYPU-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cncc(Cl)c1)Cc1ccccc1F ZINC001136996499 1131500767 /nfs/dbraw/zinc/50/07/67/1131500767.db2.gz LZSSDXWGUPTYPU-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCC[C@H](F)C2)c1 ZINC001143675883 1131523671 /nfs/dbraw/zinc/52/36/71/1131523671.db2.gz BGNYZFAHSOHKMY-LBPRGKRZSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCC[C@H](F)C2)c1 ZINC001143675883 1131523675 /nfs/dbraw/zinc/52/36/75/1131523675.db2.gz BGNYZFAHSOHKMY-LBPRGKRZSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@@H+]1CCOc2ccccc2C1 ZINC001143763904 1131529746 /nfs/dbraw/zinc/52/97/46/1131529746.db2.gz FELBZMDQIISXRX-UHFFFAOYSA-N 1 2 289.325 3.668 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@H+]1CCOc2ccccc2C1 ZINC001143763904 1131529750 /nfs/dbraw/zinc/52/97/50/1131529750.db2.gz FELBZMDQIISXRX-UHFFFAOYSA-N 1 2 289.325 3.668 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1cc(F)cc(F)c1C)CC2 ZINC001143769724 1131530741 /nfs/dbraw/zinc/53/07/41/1131530741.db2.gz SMULEZWCMTVSJG-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1cc(F)cc(F)c1C)CC2 ZINC001143769724 1131530744 /nfs/dbraw/zinc/53/07/44/1131530744.db2.gz SMULEZWCMTVSJG-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO CCc1cc(OC(=O)C[C@@H](C)n2cc[nH+]c2)ccc1Cl ZINC000844287280 1131547584 /nfs/dbraw/zinc/54/75/84/1131547584.db2.gz KMRPBFMCQCMIFV-LLVKDONJSA-N 1 2 292.766 3.656 20 0 CHADLO C[N@H+](Cc1cccc(C(F)(F)F)c1)Cc1cccnc1F ZINC001138787075 1131550329 /nfs/dbraw/zinc/55/03/29/1131550329.db2.gz BSTDTLAXWCACIG-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cccc(C(F)(F)F)c1)Cc1cccnc1F ZINC001138787075 1131550333 /nfs/dbraw/zinc/55/03/33/1131550333.db2.gz BSTDTLAXWCACIG-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@H+](CCc1ccccn1)Cc1c(Cl)ccc(F)c1F ZINC001143945582 1131557154 /nfs/dbraw/zinc/55/71/54/1131557154.db2.gz FYLIICHRGINMHT-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1ccccn1)Cc1c(Cl)ccc(F)c1F ZINC001143945582 1131557156 /nfs/dbraw/zinc/55/71/56/1131557156.db2.gz FYLIICHRGINMHT-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cnc2[nH]ccc2c1Cl ZINC001138935342 1131561300 /nfs/dbraw/zinc/56/13/00/1131561300.db2.gz DCCGEBJHRNULFF-UHFFFAOYSA-N 1 2 291.807 3.861 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cnc2[nH]ccc2c1Cl ZINC001138935342 1131561304 /nfs/dbraw/zinc/56/13/04/1131561304.db2.gz DCCGEBJHRNULFF-UHFFFAOYSA-N 1 2 291.807 3.861 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+]2CCC[C@@]3(CC3(F)F)C2)n1 ZINC000844435225 1131564064 /nfs/dbraw/zinc/56/40/64/1131564064.db2.gz DTTWMXOQJKFOBQ-BXUZGUMPSA-N 1 2 299.365 3.594 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+]2CCC[C@@]3(CC3(F)F)C2)n1 ZINC000844435225 1131564068 /nfs/dbraw/zinc/56/40/68/1131564068.db2.gz DTTWMXOQJKFOBQ-BXUZGUMPSA-N 1 2 299.365 3.594 20 0 CHADLO CCCOc1ccccc1C[N@@H+]1CCn2cccc2[C@H]1C ZINC001138999006 1131569286 /nfs/dbraw/zinc/56/92/86/1131569286.db2.gz UQNMNIJDZADPIU-OAHLLOKOSA-N 1 2 284.403 3.854 20 0 CHADLO CCCOc1ccccc1C[N@H+]1CCn2cccc2[C@H]1C ZINC001138999006 1131569289 /nfs/dbraw/zinc/56/92/89/1131569289.db2.gz UQNMNIJDZADPIU-OAHLLOKOSA-N 1 2 284.403 3.854 20 0 CHADLO FC1(F)C[C@@]12CC[N@H+](Cc1nc(Cl)ccc1Cl)C2 ZINC000844471877 1131569468 /nfs/dbraw/zinc/56/94/68/1131569468.db2.gz TVXSEWIYGWCEET-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@@]12CC[N@@H+](Cc1nc(Cl)ccc1Cl)C2 ZINC000844471877 1131569474 /nfs/dbraw/zinc/56/94/74/1131569474.db2.gz TVXSEWIYGWCEET-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO Cc1cc(Cl)c(OC(=O)C[C@H](C)n2cc[nH+]c2)cc1F ZINC000844533661 1131575658 /nfs/dbraw/zinc/57/56/58/1131575658.db2.gz DNSQYNJDWBLZET-JTQLQIEISA-N 1 2 296.729 3.541 20 0 CHADLO CCc1ccc(N(C(=O)C[C@@H](C)n2cc[nH+]c2)C(C)C)cc1 ZINC000844538178 1131578944 /nfs/dbraw/zinc/57/89/44/1131578944.db2.gz WONHBZRWOJYJHW-OAHLLOKOSA-N 1 2 299.418 3.838 20 0 CHADLO CSc1ccc(C[NH2+][C@@H]2C[C@]2(F)c2ccccc2)o1 ZINC001202903145 1131588777 /nfs/dbraw/zinc/58/87/77/1131588777.db2.gz ONTASOLFHADJMG-HIFRSBDPSA-N 1 2 277.364 3.728 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1cc(Cl)c(F)cc1F ZINC001144125036 1131588873 /nfs/dbraw/zinc/58/88/73/1131588873.db2.gz BEXYLTVFTHYONV-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1cc(Cl)c(F)cc1F ZINC001144125036 1131588874 /nfs/dbraw/zinc/58/88/74/1131588874.db2.gz BEXYLTVFTHYONV-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO CSc1ccc(C[NH2+][C@H]2C[C@]2(F)c2ccccc2)o1 ZINC001202903147 1131589538 /nfs/dbraw/zinc/58/95/38/1131589538.db2.gz ONTASOLFHADJMG-ZFWWWQNUSA-N 1 2 277.364 3.728 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(Cl)c(F)cc2F)cn1 ZINC001144133469 1131589679 /nfs/dbraw/zinc/58/96/79/1131589679.db2.gz BHRFOEFKCFEWAQ-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(Cl)c(F)cc2F)cn1 ZINC001144133469 1131589681 /nfs/dbraw/zinc/58/96/81/1131589681.db2.gz BHRFOEFKCFEWAQ-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144130786 1131590782 /nfs/dbraw/zinc/59/07/82/1131590782.db2.gz OQIVMVKTGIFFMR-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144130786 1131590785 /nfs/dbraw/zinc/59/07/85/1131590785.db2.gz OQIVMVKTGIFFMR-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO COCc1cc[nH+]c(NCc2cc(Cl)cs2)c1 ZINC000844708655 1131594022 /nfs/dbraw/zinc/59/40/22/1131594022.db2.gz MNDTYYWBNXVMFC-UHFFFAOYSA-N 1 2 268.769 3.555 20 0 CHADLO Fc1ccc(Oc2ccccc2C[N@@H+]2C[C@@H]3C[C@H]2CO3)cc1 ZINC001139298994 1131600947 /nfs/dbraw/zinc/60/09/47/1131600947.db2.gz MMKRLPNUIBQCKS-RDJZCZTQSA-N 1 2 299.345 3.591 20 0 CHADLO Fc1ccc(Oc2ccccc2C[N@H+]2C[C@@H]3C[C@H]2CO3)cc1 ZINC001139298994 1131600950 /nfs/dbraw/zinc/60/09/50/1131600950.db2.gz MMKRLPNUIBQCKS-RDJZCZTQSA-N 1 2 299.345 3.591 20 0 CHADLO C[N@H+](Cc1cscc1Br)C1CC(F)(F)C1 ZINC001139348441 1131602995 /nfs/dbraw/zinc/60/29/95/1131602995.db2.gz RTVKYYBFZIWWTD-UHFFFAOYSA-N 1 2 296.180 3.740 20 0 CHADLO C[N@@H+](Cc1cscc1Br)C1CC(F)(F)C1 ZINC001139348441 1131602998 /nfs/dbraw/zinc/60/29/98/1131602998.db2.gz RTVKYYBFZIWWTD-UHFFFAOYSA-N 1 2 296.180 3.740 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccc(-n3ccnc3)cc1)C2 ZINC001139361981 1131604985 /nfs/dbraw/zinc/60/49/85/1131604985.db2.gz FKXYWWNBFWSFEJ-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccc(-n3ccnc3)cc1)C2 ZINC001139361981 1131604988 /nfs/dbraw/zinc/60/49/88/1131604988.db2.gz FKXYWWNBFWSFEJ-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc(-n2cccc2)cc1 ZINC001144245774 1131605411 /nfs/dbraw/zinc/60/54/11/1131605411.db2.gz FNKZAWHHWBMPGD-UHFFFAOYSA-N 1 2 283.400 3.566 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc(-n2cccc2)cc1 ZINC001144245774 1131605415 /nfs/dbraw/zinc/60/54/15/1131605415.db2.gz FNKZAWHHWBMPGD-UHFFFAOYSA-N 1 2 283.400 3.566 20 0 CHADLO CCOC1C[NH+](Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139414921 1131608330 /nfs/dbraw/zinc/60/83/30/1131608330.db2.gz FCDNKJSDTNAYJV-UHFFFAOYSA-N 1 2 291.778 3.821 20 0 CHADLO Fc1c(Br)cccc1C[NH+]1CC2(C1)CCCC2 ZINC001139533323 1131613382 /nfs/dbraw/zinc/61/33/82/1131613382.db2.gz CDQIOFBCOMAYMI-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO CSc1cc(NC(=O)C[C@H](C)n2cc[nH+]c2)ccc1C ZINC000845032066 1131615622 /nfs/dbraw/zinc/61/56/22/1131615622.db2.gz GDOGSESLAGJLQC-LBPRGKRZSA-N 1 2 289.404 3.503 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2cc(Cl)ccn2)cn1 ZINC001139565838 1131616695 /nfs/dbraw/zinc/61/66/95/1131616695.db2.gz GOQMKMSXUXWROR-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2cc(Cl)ccn2)cn1 ZINC001139565838 1131616697 /nfs/dbraw/zinc/61/66/97/1131616697.db2.gz GOQMKMSXUXWROR-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cc(C)cnc1Cl)C2 ZINC001139592149 1131619965 /nfs/dbraw/zinc/61/99/65/1131619965.db2.gz GODMIRWZFSHKIT-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cc(C)cnc1Cl)C2 ZINC001139592149 1131619966 /nfs/dbraw/zinc/61/99/66/1131619966.db2.gz GODMIRWZFSHKIT-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO CCOc1ccc(C)cc1C[N@@H+]1CCn2cccc2[C@H]1C ZINC001139606852 1131621345 /nfs/dbraw/zinc/62/13/45/1131621345.db2.gz DNWSICVVVISQLB-OAHLLOKOSA-N 1 2 284.403 3.772 20 0 CHADLO CCOc1ccc(C)cc1C[N@H+]1CCn2cccc2[C@H]1C ZINC001139606852 1131621348 /nfs/dbraw/zinc/62/13/48/1131621348.db2.gz DNWSICVVVISQLB-OAHLLOKOSA-N 1 2 284.403 3.772 20 0 CHADLO CC[C@@H](C)c1ccc(OC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000845440164 1131634428 /nfs/dbraw/zinc/63/44/28/1131634428.db2.gz IJTRQUYVYRWHQR-KGLIPLIRSA-N 1 2 286.375 3.953 20 0 CHADLO CCC1(C[N@@H+]2CC[C@H](c3ccccc3)C(F)(F)C2)COC1 ZINC001202969522 1131635945 /nfs/dbraw/zinc/63/59/45/1131635945.db2.gz YSOUHYJFYVFGLE-OAHLLOKOSA-N 1 2 295.373 3.538 20 0 CHADLO CCC1(C[N@H+]2CC[C@H](c3ccccc3)C(F)(F)C2)COC1 ZINC001202969522 1131635946 /nfs/dbraw/zinc/63/59/46/1131635946.db2.gz YSOUHYJFYVFGLE-OAHLLOKOSA-N 1 2 295.373 3.538 20 0 CHADLO O=C1C[C@H]2CCC[C@@H](C1)[N@H+]2Cc1ccccc1C(F)F ZINC001139799577 1131637638 /nfs/dbraw/zinc/63/76/38/1131637638.db2.gz SFNHVXCRJDVUQD-BETUJISGSA-N 1 2 279.330 3.710 20 0 CHADLO O=C1C[C@H]2CCC[C@@H](C1)[N@@H+]2Cc1ccccc1C(F)F ZINC001139799577 1131637640 /nfs/dbraw/zinc/63/76/40/1131637640.db2.gz SFNHVXCRJDVUQD-BETUJISGSA-N 1 2 279.330 3.710 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@@H]2OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845504624 1131638789 /nfs/dbraw/zinc/63/87/89/1131638789.db2.gz XRHPBQBBXHVZKE-YCPHGPKFSA-N 1 2 298.386 3.934 20 0 CHADLO C[C@H](CC(=O)O[C@H](C)C1CCCCCC1)n1cc[nH+]c1 ZINC000845514607 1131639844 /nfs/dbraw/zinc/63/98/44/1131639844.db2.gz VPWVGCFVKWMGNU-ZIAGYGMSSA-N 1 2 278.396 3.736 20 0 CHADLO c1ccc(C2CC2)c(C[N@@H+]2CCOC[C@H]2c2cccnc2)c1 ZINC001139883219 1131644871 /nfs/dbraw/zinc/64/48/71/1131644871.db2.gz IFMNAWGBJXJQOG-IBGZPJMESA-N 1 2 294.398 3.533 20 0 CHADLO c1ccc(C2CC2)c(C[N@H+]2CCOC[C@H]2c2cccnc2)c1 ZINC001139883219 1131644873 /nfs/dbraw/zinc/64/48/73/1131644873.db2.gz IFMNAWGBJXJQOG-IBGZPJMESA-N 1 2 294.398 3.533 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1c(F)cc(O)cc1F ZINC001144541688 1131653748 /nfs/dbraw/zinc/65/37/48/1131653748.db2.gz KGZZVIHTEXWMGK-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1c(F)cc(O)cc1F ZINC001144541688 1131653750 /nfs/dbraw/zinc/65/37/50/1131653750.db2.gz KGZZVIHTEXWMGK-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1c(F)cc(O)cc1F ZINC001144553352 1131654987 /nfs/dbraw/zinc/65/49/87/1131654987.db2.gz AOJLFUBRZZAAKA-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1c(F)cc(O)cc1F ZINC001144553352 1131654991 /nfs/dbraw/zinc/65/49/91/1131654991.db2.gz AOJLFUBRZZAAKA-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cc2ccccc2cn1 ZINC001140001736 1131656424 /nfs/dbraw/zinc/65/64/24/1131656424.db2.gz ZBTIUBWVPFLXOK-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cc2ccccc2cn1 ZINC001140001736 1131656426 /nfs/dbraw/zinc/65/64/26/1131656426.db2.gz ZBTIUBWVPFLXOK-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO COc1c(Cl)cccc1C[NH+]1CCC(F)(F)CC1 ZINC001140056326 1131659770 /nfs/dbraw/zinc/65/97/70/1131659770.db2.gz QYOJPCCFBQOPHH-UHFFFAOYSA-N 1 2 275.726 3.580 20 0 CHADLO CC[N@H+](Cc1n[nH]c2ccc(OC)cc21)Cc1ccccc1 ZINC001140065375 1131661649 /nfs/dbraw/zinc/66/16/49/1131661649.db2.gz GKOSCIMURHMBLO-UHFFFAOYSA-N 1 2 295.386 3.594 20 0 CHADLO CC[N@@H+](Cc1n[nH]c2ccc(OC)cc21)Cc1ccccc1 ZINC001140065375 1131661653 /nfs/dbraw/zinc/66/16/53/1131661653.db2.gz GKOSCIMURHMBLO-UHFFFAOYSA-N 1 2 295.386 3.594 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2cc(F)c(O)cc2F)cc1 ZINC001144658125 1131674912 /nfs/dbraw/zinc/67/49/12/1131674912.db2.gz RNBATQZIRWRUCS-UHFFFAOYSA-N 1 2 291.341 3.865 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2cc(F)c(O)cc2F)cc1 ZINC001144658125 1131674914 /nfs/dbraw/zinc/67/49/14/1131674914.db2.gz RNBATQZIRWRUCS-UHFFFAOYSA-N 1 2 291.341 3.865 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc3scnc3c2)C[C@@H]1F ZINC001144733040 1131696806 /nfs/dbraw/zinc/69/68/06/1131696806.db2.gz UXHQFYGGDVKUEL-KBPBESRZSA-N 1 2 282.359 3.568 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc3scnc3c2)C[C@@H]1F ZINC001144733040 1131696809 /nfs/dbraw/zinc/69/68/09/1131696809.db2.gz UXHQFYGGDVKUEL-KBPBESRZSA-N 1 2 282.359 3.568 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2n[nH]c3ccc(C)cc32)c1 ZINC001140330757 1131700496 /nfs/dbraw/zinc/70/04/96/1131700496.db2.gz JCLJIBGGZHCERJ-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2n[nH]c3ccc(C)cc32)c1 ZINC001140330757 1131700500 /nfs/dbraw/zinc/70/05/00/1131700500.db2.gz JCLJIBGGZHCERJ-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)CCc2c[nH]c[nH+]2)c(C)c1 ZINC000846301815 1131706183 /nfs/dbraw/zinc/70/61/83/1131706183.db2.gz ZQXUSFSNDRBBSY-UHFFFAOYSA-N 1 2 299.418 3.648 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)CCc2c[nH+]c[nH]2)c(C)c1 ZINC000846301815 1131706187 /nfs/dbraw/zinc/70/61/87/1131706187.db2.gz ZQXUSFSNDRBBSY-UHFFFAOYSA-N 1 2 299.418 3.648 20 0 CHADLO c1ccc(-c2ccc(C[N@@H+]3Cc4cccnc4C3)cc2)nc1 ZINC001140376489 1131707076 /nfs/dbraw/zinc/70/70/76/1131707076.db2.gz DVPGHJRKTRFTCV-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(-c2ccc(C[N@H+]3Cc4cccnc4C3)cc2)nc1 ZINC001140376489 1131707079 /nfs/dbraw/zinc/70/70/79/1131707079.db2.gz DVPGHJRKTRFTCV-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(OC)c(Cl)c2)co1 ZINC000834899862 1131722886 /nfs/dbraw/zinc/72/28/86/1131722886.db2.gz LGJNEHAXLQPSTE-SNVBAGLBSA-N 1 2 294.782 3.750 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCc3c2cccc3Cl)co1 ZINC000834899050 1131723566 /nfs/dbraw/zinc/72/35/66/1131723566.db2.gz FDLCLMAHEGIYJZ-CQSZACIVSA-N 1 2 276.767 3.668 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2cccc(Cl)c2)co1 ZINC000834900291 1131725348 /nfs/dbraw/zinc/72/53/48/1131725348.db2.gz NQKUTJXEDRKNPK-JTQLQIEISA-N 1 2 264.756 3.741 20 0 CHADLO COc1ccc([NH2+][C@H]2CCC[C@H](SC)C2)c(OC)c1 ZINC000846749528 1131728052 /nfs/dbraw/zinc/72/80/52/1131728052.db2.gz FEWZKVFQSXNQPS-AAEUAGOBSA-N 1 2 281.421 3.790 20 0 CHADLO CCC(CC)([NH2+]Cc1cnsn1)c1ccc(Cl)cc1 ZINC000846823394 1131736918 /nfs/dbraw/zinc/73/69/18/1131736918.db2.gz WPSZLJUYSNVBTD-UHFFFAOYSA-N 1 2 295.839 3.997 20 0 CHADLO CC(C)n1ccn(C[N@H+](C)Cc2ccc(F)cc2)c1=S ZINC000834959235 1131742178 /nfs/dbraw/zinc/74/21/78/1131742178.db2.gz NFGQGJGUGXQVHX-UHFFFAOYSA-N 1 2 293.411 3.829 20 0 CHADLO CC(C)n1ccn(C[N@@H+](C)Cc2ccc(F)cc2)c1=S ZINC000834959235 1131742186 /nfs/dbraw/zinc/74/21/86/1131742186.db2.gz NFGQGJGUGXQVHX-UHFFFAOYSA-N 1 2 293.411 3.829 20 0 CHADLO CCc1ccc([C@H]([NH2+]Cc2cnsn2)C(C)C)cc1 ZINC000846818077 1131746325 /nfs/dbraw/zinc/74/63/25/1131746325.db2.gz QNDUFYXNJYWYSI-OAHLLOKOSA-N 1 2 275.421 3.587 20 0 CHADLO CC(C)(C)c1ccc(C(C)(C)[NH2+]Cc2cnsn2)cc1 ZINC000846845583 1131748501 /nfs/dbraw/zinc/74/85/01/1131748501.db2.gz YTEPZQNOLASRFM-UHFFFAOYSA-N 1 2 289.448 3.861 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3cccc(Cl)c3C2)ccc1O ZINC001140576956 1131804456 /nfs/dbraw/zinc/80/44/56/1131804456.db2.gz DIIWWNOJRFISIJ-UHFFFAOYSA-N 1 2 273.763 3.870 20 0 CHADLO Cc1cc(C[N@H+]2Cc3cccc(Cl)c3C2)ccc1O ZINC001140576956 1131804467 /nfs/dbraw/zinc/80/44/67/1131804467.db2.gz DIIWWNOJRFISIJ-UHFFFAOYSA-N 1 2 273.763 3.870 20 0 CHADLO COc1cc2c(cc1O[C@H]1CC[C@H](C)CC1)C=[NH+]CC2 ZINC001228087093 1131805249 /nfs/dbraw/zinc/80/52/49/1131805249.db2.gz CYPSDTASZJBQOI-NNUKFRKNSA-N 1 2 273.376 3.628 20 0 CHADLO C[C@@H]1CC[C@H](CO)C[N@@H+]1Cc1cc(Cl)sc1Cl ZINC001140585060 1131810273 /nfs/dbraw/zinc/81/02/73/1131810273.db2.gz QUEWZWBFAMITTN-BDAKNGLRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1CC[C@H](CO)C[N@H+]1Cc1cc(Cl)sc1Cl ZINC001140585060 1131810278 /nfs/dbraw/zinc/81/02/78/1131810278.db2.gz QUEWZWBFAMITTN-BDAKNGLRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(Cl)sc2Cl)CC[C@]1(C)O ZINC001140584670 1131810293 /nfs/dbraw/zinc/81/02/93/1131810293.db2.gz ARDKJFJIKLHGRW-UFBFGSQYSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(Cl)sc2Cl)CC[C@]1(C)O ZINC001140584670 1131810300 /nfs/dbraw/zinc/81/03/00/1131810300.db2.gz ARDKJFJIKLHGRW-UFBFGSQYSA-N 1 2 294.247 3.648 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1Cc2ccc(F)cc2C1 ZINC001140593250 1131815399 /nfs/dbraw/zinc/81/53/99/1131815399.db2.gz ZQHCSGJNYSDSSA-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1Cc2ccc(F)cc2C1 ZINC001140593250 1131815406 /nfs/dbraw/zinc/81/54/06/1131815406.db2.gz ZQHCSGJNYSDSSA-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1cc(Cl)ncc1F ZINC001140608723 1131827485 /nfs/dbraw/zinc/82/74/85/1131827485.db2.gz HWNRFWJJZKINEJ-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1cc(Cl)ncc1F ZINC001140608723 1131827477 /nfs/dbraw/zinc/82/74/77/1131827477.db2.gz HWNRFWJJZKINEJ-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC(=O)c1c(OC2C[NH+](C(C)(C)C)C2)ccc2ccccc21 ZINC001228248189 1131838330 /nfs/dbraw/zinc/83/83/30/1131838330.db2.gz QTZMOHBUFLZDMX-UHFFFAOYSA-N 1 2 297.398 3.904 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](C(C)C)C(F)(F)F)cc2[nH+]1 ZINC001228326109 1131858694 /nfs/dbraw/zinc/85/86/94/1131858694.db2.gz FMAHBUMHLFUKQQ-LBPRGKRZSA-N 1 2 272.270 3.837 20 0 CHADLO Cc1[nH]c2ccc(OCc3ccnc(OC(C)C)c3)cc2[nH+]1 ZINC001228328860 1131859233 /nfs/dbraw/zinc/85/92/33/1131859233.db2.gz JVECKYOKWKJTJS-UHFFFAOYSA-N 1 2 297.358 3.633 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701613 1131882346 /nfs/dbraw/zinc/88/23/46/1131882346.db2.gz SXEPRXASSWHJKT-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701613 1131882356 /nfs/dbraw/zinc/88/23/56/1131882356.db2.gz SXEPRXASSWHJKT-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO CCOC(=O)[C@H](C)[N@H+](Cc1cccc(C(F)F)c1)C1CC1 ZINC000348174189 1131898863 /nfs/dbraw/zinc/89/88/63/1131898863.db2.gz BGEAKUGEHSDDCE-NSHDSACASA-N 1 2 297.345 3.540 20 0 CHADLO CCOC(=O)[C@H](C)[N@@H+](Cc1cccc(C(F)F)c1)C1CC1 ZINC000348174189 1131898874 /nfs/dbraw/zinc/89/88/74/1131898874.db2.gz BGEAKUGEHSDDCE-NSHDSACASA-N 1 2 297.345 3.540 20 0 CHADLO Cc1cc(Br)ccc1C[N@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001140815134 1131934029 /nfs/dbraw/zinc/93/40/29/1131934029.db2.gz GEEZEYLXUXMKGZ-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1cc(Br)ccc1C[N@@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001140815134 1131934034 /nfs/dbraw/zinc/93/40/34/1131934034.db2.gz GEEZEYLXUXMKGZ-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Fc1cc(C[NH+]2CCSCC2)cc(F)c1C(F)(F)F ZINC001140878569 1131956358 /nfs/dbraw/zinc/95/63/58/1131956358.db2.gz CHQXPSPPALYGRE-UHFFFAOYSA-N 1 2 297.292 3.532 20 0 CHADLO Oc1ccc(C[NH+]2CCC3(CC[C@H](F)C3)CC2)c(F)c1F ZINC001140893303 1131957868 /nfs/dbraw/zinc/95/78/68/1131957868.db2.gz NNZVICCIIAEMII-LBPRGKRZSA-N 1 2 299.336 3.775 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2ccccc2F)[nH]n1 ZINC001203075392 1131958327 /nfs/dbraw/zinc/95/83/27/1131958327.db2.gz HKWNJQJMCPZTJI-INIZCTEOSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2ccccc2F)[nH]n1 ZINC001203075392 1131958335 /nfs/dbraw/zinc/95/83/35/1131958335.db2.gz HKWNJQJMCPZTJI-INIZCTEOSA-N 1 2 273.355 3.584 20 0 CHADLO C[N@H+](Cc1cnco1)Cc1ccc(Cl)c(Cl)c1 ZINC001140928389 1131965115 /nfs/dbraw/zinc/96/51/15/1131965115.db2.gz MEEUCLIELCOMPT-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1cnco1)Cc1ccc(Cl)c(Cl)c1 ZINC001140928389 1131965121 /nfs/dbraw/zinc/96/51/21/1131965121.db2.gz MEEUCLIELCOMPT-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO CCC(CC)[C@H]([NH2+]Cc1cn[nH]c1C)c1ccccc1 ZINC000037107830 1131995373 /nfs/dbraw/zinc/99/53/73/1131995373.db2.gz AVFJCBLZIXANFO-KRWDZBQOSA-N 1 2 271.408 3.985 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccns1 ZINC000716013791 1132008819 /nfs/dbraw/zinc/00/88/19/1132008819.db2.gz HTCVJDVXTNMOGT-RKDXNWHRSA-N 1 2 268.332 3.833 20 0 CHADLO CCOC(=O)C[N@H+](Cc1cccc(C(C)(C)C)c1)C1CC1 ZINC001141106259 1132019843 /nfs/dbraw/zinc/01/98/43/1132019843.db2.gz VEXFBSBCOLAAQP-UHFFFAOYSA-N 1 2 289.419 3.512 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1cccc(C(C)(C)C)c1)C1CC1 ZINC001141106259 1132019851 /nfs/dbraw/zinc/01/98/51/1132019851.db2.gz VEXFBSBCOLAAQP-UHFFFAOYSA-N 1 2 289.419 3.512 20 0 CHADLO COc1ccccc1C[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000037270221 1132026322 /nfs/dbraw/zinc/02/63/22/1132026322.db2.gz WOKUOCVOQOKOMT-AWEZNQCLSA-N 1 2 284.403 3.804 20 0 CHADLO COc1ccccc1C[C@H](C)[NH2+]c1ccc(N(C)C)cc1 ZINC000037270221 1132026330 /nfs/dbraw/zinc/02/63/30/1132026330.db2.gz WOKUOCVOQOKOMT-AWEZNQCLSA-N 1 2 284.403 3.804 20 0 CHADLO COc1ccccc1C[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000037270223 1132026343 /nfs/dbraw/zinc/02/63/43/1132026343.db2.gz WOKUOCVOQOKOMT-CQSZACIVSA-N 1 2 284.403 3.804 20 0 CHADLO COc1ccccc1C[C@@H](C)[NH2+]c1ccc(N(C)C)cc1 ZINC000037270223 1132026351 /nfs/dbraw/zinc/02/63/51/1132026351.db2.gz WOKUOCVOQOKOMT-CQSZACIVSA-N 1 2 284.403 3.804 20 0 CHADLO Cc1n[nH]c(C[NH2+]Cc2c(Cl)cccc2Cl)c1C ZINC000716476520 1132026612 /nfs/dbraw/zinc/02/66/12/1132026612.db2.gz HTWDVSIMJHUXOC-UHFFFAOYSA-N 1 2 284.190 3.623 20 0 CHADLO c1ccn(-c2ccc(NCc3cccc4ncoc43)[nH+]c2)c1 ZINC001171456739 1132034105 /nfs/dbraw/zinc/03/41/05/1132034105.db2.gz ZOPMAZDNUKZOHC-UHFFFAOYSA-N 1 2 290.326 3.626 20 0 CHADLO CC(C)Oc1cc(NCc2cccc3ncoc32)cc[nH+]1 ZINC001171461241 1132035093 /nfs/dbraw/zinc/03/50/93/1132035093.db2.gz WPMGTBBRVWTBFC-UHFFFAOYSA-N 1 2 283.331 3.622 20 0 CHADLO Cc1cc(NCCOC2CCCCC2)ccc1[NH+](C)C ZINC001171480152 1132043612 /nfs/dbraw/zinc/04/36/12/1132043612.db2.gz ZBFDUIFGWSFHSA-UHFFFAOYSA-N 1 2 276.424 3.822 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001141229623 1132052202 /nfs/dbraw/zinc/05/22/02/1132052202.db2.gz JAKMVQAOBBKPGT-CVEARBPZSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001141229623 1132052205 /nfs/dbraw/zinc/05/22/05/1132052205.db2.gz JAKMVQAOBBKPGT-CVEARBPZSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001141229629 1132052606 /nfs/dbraw/zinc/05/26/06/1132052606.db2.gz JAKMVQAOBBKPGT-JKSUJKDBSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001141229629 1132052610 /nfs/dbraw/zinc/05/26/10/1132052610.db2.gz JAKMVQAOBBKPGT-JKSUJKDBSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](C(C)C)C2)ccc1Cl ZINC001141236463 1132053691 /nfs/dbraw/zinc/05/36/91/1132053691.db2.gz RUHPWKUFKNUUGV-HNNXBMFYSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](C(C)C)C2)ccc1Cl ZINC001141236463 1132053697 /nfs/dbraw/zinc/05/36/97/1132053697.db2.gz RUHPWKUFKNUUGV-HNNXBMFYSA-N 1 2 267.800 3.505 20 0 CHADLO CC(C)(C)OC(=O)C[N@@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC001171718646 1132084148 /nfs/dbraw/zinc/08/41/48/1132084148.db2.gz IUEIRSVWDAGWNR-KRWDZBQOSA-N 1 2 299.414 3.721 20 0 CHADLO CC(C)(C)OC(=O)C[N@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC001171718646 1132084155 /nfs/dbraw/zinc/08/41/55/1132084155.db2.gz IUEIRSVWDAGWNR-KRWDZBQOSA-N 1 2 299.414 3.721 20 0 CHADLO COc1ccsc1C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC001141327353 1132087169 /nfs/dbraw/zinc/08/71/69/1132087169.db2.gz RMOKRIKVWXFXGD-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccsc1C[N@H+]1CCc2cccc(Cl)c2C1 ZINC001141327353 1132087175 /nfs/dbraw/zinc/08/71/75/1132087175.db2.gz RMOKRIKVWXFXGD-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccsc1C[N@@H+]1CCC[C@H]1c1nccs1 ZINC001141327112 1132087206 /nfs/dbraw/zinc/08/72/06/1132087206.db2.gz KCLXZLWVNLJHRF-JTQLQIEISA-N 1 2 280.418 3.550 20 0 CHADLO COc1ccsc1C[N@H+]1CCC[C@H]1c1nccs1 ZINC001141327112 1132087212 /nfs/dbraw/zinc/08/72/12/1132087212.db2.gz KCLXZLWVNLJHRF-JTQLQIEISA-N 1 2 280.418 3.550 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@@H+]1Cc1cccc(F)c1Cl ZINC001171777894 1132095906 /nfs/dbraw/zinc/09/59/06/1132095906.db2.gz IIJHVHGXRPZNJN-LBPRGKRZSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@H+]1Cc1cccc(F)c1Cl ZINC001171777894 1132095915 /nfs/dbraw/zinc/09/59/15/1132095915.db2.gz IIJHVHGXRPZNJN-LBPRGKRZSA-N 1 2 285.790 3.869 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C(F)(F)F)cc1O ZINC001212630897 1132115720 /nfs/dbraw/zinc/11/57/20/1132115720.db2.gz SQJSSZZNEKEXFO-UHFFFAOYSA-N 1 2 298.264 3.948 20 0 CHADLO CC1(C)C[C@@H]([NH2+]C2=CC=C[CH]2)CC[N@@H+]1Cc1ccccc1 ZINC001171975054 1132117483 /nfs/dbraw/zinc/11/74/83/1132117483.db2.gz KJYZSWDAOIFLIM-SFHVURJKSA-N 1 2 281.423 3.677 20 0 CHADLO CC1(C)C[C@@H]([NH2+]C2=CC=C[CH]2)CC[N@H+]1Cc1ccccc1 ZINC001171975054 1132117489 /nfs/dbraw/zinc/11/74/89/1132117489.db2.gz KJYZSWDAOIFLIM-SFHVURJKSA-N 1 2 281.423 3.677 20 0 CHADLO C[C@@]1(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2)CC=CCC1 ZINC000838180495 1132118811 /nfs/dbraw/zinc/11/88/11/1132118811.db2.gz FHJACJKVTXYOSH-GOSISDBHSA-N 1 2 296.370 3.662 20 0 CHADLO C[N@H+](Cc1c(Cl)cccc1Cl)[C@H]1COC(C)(C)C1 ZINC001172287326 1132163726 /nfs/dbraw/zinc/16/37/26/1132163726.db2.gz UFAPEQVLKQIHGX-SNVBAGLBSA-N 1 2 288.218 3.993 20 0 CHADLO C[N@@H+](Cc1c(Cl)cccc1Cl)[C@H]1COC(C)(C)C1 ZINC001172287326 1132163729 /nfs/dbraw/zinc/16/37/29/1132163729.db2.gz UFAPEQVLKQIHGX-SNVBAGLBSA-N 1 2 288.218 3.993 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(F)(F)[C@@H](C)C2)c(Cl)n1 ZINC000839015181 1132168019 /nfs/dbraw/zinc/16/80/19/1132168019.db2.gz JLQWWROFTILCLA-VIFPVBQESA-N 1 2 274.742 3.521 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(F)(F)[C@@H](C)C2)c(Cl)n1 ZINC000839015181 1132168021 /nfs/dbraw/zinc/16/80/21/1132168021.db2.gz JLQWWROFTILCLA-VIFPVBQESA-N 1 2 274.742 3.521 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(F)(F)[C@H](C)C2)c(Cl)n1 ZINC000839015179 1132168031 /nfs/dbraw/zinc/16/80/31/1132168031.db2.gz JLQWWROFTILCLA-SECBINFHSA-N 1 2 274.742 3.521 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(F)(F)[C@H](C)C2)c(Cl)n1 ZINC000839015179 1132168034 /nfs/dbraw/zinc/16/80/34/1132168034.db2.gz JLQWWROFTILCLA-SECBINFHSA-N 1 2 274.742 3.521 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@@H+]1CC[C@H](CF)C(F)(F)C1 ZINC001172341509 1132177848 /nfs/dbraw/zinc/17/78/48/1132177848.db2.gz UPNFTSLWQJIKDL-RWMBFGLXSA-N 1 2 281.362 3.507 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@H+]1CC[C@H](CF)C(F)(F)C1 ZINC001172341509 1132177852 /nfs/dbraw/zinc/17/78/52/1132177852.db2.gz UPNFTSLWQJIKDL-RWMBFGLXSA-N 1 2 281.362 3.507 20 0 CHADLO COc1cccc([C@@H](C)Oc2cc3c(cc2O)C=[NH+]CC3)c1 ZINC001230918804 1132227686 /nfs/dbraw/zinc/22/76/86/1132227686.db2.gz AIYYVJDGPPKWSA-GFCCVEGCSA-N 1 2 297.354 3.516 20 0 CHADLO C[C@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1ccncc1 ZINC001231078271 1132252007 /nfs/dbraw/zinc/25/20/07/1132252007.db2.gz AGJOFQINESDHED-ZDUSSCGKSA-N 1 2 279.343 3.622 20 0 CHADLO CC(=O)[C@@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccccc1 ZINC001231080899 1132252635 /nfs/dbraw/zinc/25/26/35/1132252635.db2.gz INKHDURAEGSBGR-QGZVFWFLSA-N 1 2 292.338 3.786 20 0 CHADLO C[C@H]1CCC[C@H]1Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231082662 1132252991 /nfs/dbraw/zinc/25/29/91/1132252991.db2.gz QEBZSSPNSDCUSD-BLLLJJGKSA-N 1 2 256.349 3.655 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(NC3CC3)[nH+]cn2)s1 ZINC000840249740 1132253324 /nfs/dbraw/zinc/25/33/24/1132253324.db2.gz UYNMVIKPJKIAQJ-JTQLQIEISA-N 1 2 274.393 3.594 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(NC3CC3)nc[nH+]2)s1 ZINC000840249740 1132253332 /nfs/dbraw/zinc/25/33/32/1132253332.db2.gz UYNMVIKPJKIAQJ-JTQLQIEISA-N 1 2 274.393 3.594 20 0 CHADLO C[C@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1cccnc1 ZINC001231082632 1132253346 /nfs/dbraw/zinc/25/33/46/1132253346.db2.gz PXLNVJGGWLWHLE-ZDUSSCGKSA-N 1 2 279.343 3.622 20 0 CHADLO CC[C@H](C)[C@@H](C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231083053 1132253419 /nfs/dbraw/zinc/25/34/19/1132253419.db2.gz BIYCVWFSZNJBIC-QWHCGFSZSA-N 1 2 258.365 3.901 20 0 CHADLO COc1ccc([C@@H](C)Oc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001231080959 1132253905 /nfs/dbraw/zinc/25/39/05/1132253905.db2.gz JICCUPKMWGHJRG-GFCCVEGCSA-N 1 2 295.342 3.620 20 0 CHADLO C[C@@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccco1 ZINC001231085697 1132254915 /nfs/dbraw/zinc/25/49/15/1132254915.db2.gz XTWWALITQNFVQZ-LLVKDONJSA-N 1 2 254.289 3.810 20 0 CHADLO C[C@@H]1C[N@H+](Cc2sccc2Oc2ccccc2)CCO1 ZINC001141994220 1132288859 /nfs/dbraw/zinc/28/88/59/1132288859.db2.gz CTMRWZPQRILJNI-CYBMUJFWSA-N 1 2 289.400 3.761 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2sccc2Oc2ccccc2)CCO1 ZINC001141994220 1132288861 /nfs/dbraw/zinc/28/88/61/1132288861.db2.gz CTMRWZPQRILJNI-CYBMUJFWSA-N 1 2 289.400 3.761 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2CCC[C@@](C)(F)C2)c1F ZINC001231477112 1132295470 /nfs/dbraw/zinc/29/54/70/1132295470.db2.gz ZAXHUIGBUZRIGE-CQSZACIVSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2CCC[C@@](C)(F)C2)c1F ZINC001231477112 1132295475 /nfs/dbraw/zinc/29/54/75/1132295475.db2.gz ZAXHUIGBUZRIGE-CQSZACIVSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1ccc(F)c(C)c1F ZINC001231481340 1132298802 /nfs/dbraw/zinc/29/88/02/1132298802.db2.gz ZCECUFNEQYHAHH-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1ccc(F)c(C)c1F ZINC001231481340 1132298807 /nfs/dbraw/zinc/29/88/07/1132298807.db2.gz ZCECUFNEQYHAHH-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO c1cc2n(n1)CC[N@@H+](Cc1cccc(-c3ccccc3)c1)C2 ZINC001231511387 1132311569 /nfs/dbraw/zinc/31/15/69/1132311569.db2.gz NPIHSIVHZRXAGM-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO c1cc2n(n1)CC[N@H+](Cc1cccc(-c3ccccc3)c1)C2 ZINC001231511387 1132311573 /nfs/dbraw/zinc/31/15/73/1132311573.db2.gz NPIHSIVHZRXAGM-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2coc(-c3ccccc3)n2)C1 ZINC001231629534 1132330177 /nfs/dbraw/zinc/33/01/77/1132330177.db2.gz ADRPTKJSFSIXEC-WFASDCNBSA-N 1 2 274.339 3.522 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2coc(-c3ccccc3)n2)C1 ZINC001231629534 1132330184 /nfs/dbraw/zinc/33/01/84/1132330184.db2.gz ADRPTKJSFSIXEC-WFASDCNBSA-N 1 2 274.339 3.522 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCC(=O)[C@H](C)CC1 ZINC001172846195 1132334741 /nfs/dbraw/zinc/33/47/41/1132334741.db2.gz CAVLAPNCQAXZJX-NEPJUHHUSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCC(=O)[C@H](C)CC1 ZINC001172846195 1132334748 /nfs/dbraw/zinc/33/47/48/1132334748.db2.gz CAVLAPNCQAXZJX-NEPJUHHUSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCCC(=O)[C@H](C)C1 ZINC001172847778 1132338143 /nfs/dbraw/zinc/33/81/43/1132338143.db2.gz PNXBVSZROUQTHZ-NEPJUHHUSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCCC(=O)[C@H](C)C1 ZINC001172847778 1132338150 /nfs/dbraw/zinc/33/81/50/1132338150.db2.gz PNXBVSZROUQTHZ-NEPJUHHUSA-N 1 2 297.801 3.711 20 0 CHADLO Cc1ccc(C[NH+]2CC3(CSC3)C2)c(Cl)c1Cl ZINC001231665786 1132340499 /nfs/dbraw/zinc/34/04/99/1132340499.db2.gz LYOXFLMCPYIZSF-UHFFFAOYSA-N 1 2 288.243 3.851 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCOC[C@@H]1C1CC1 ZINC001172861284 1132345838 /nfs/dbraw/zinc/34/58/38/1132345838.db2.gz JIDWPFFREXWXKR-MEDUHNTESA-N 1 2 297.801 3.521 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCOC[C@@H]1C1CC1 ZINC001172861284 1132345842 /nfs/dbraw/zinc/34/58/42/1132345842.db2.gz JIDWPFFREXWXKR-MEDUHNTESA-N 1 2 297.801 3.521 20 0 CHADLO C[N@H+](Cc1ccc(Cl)c(O)c1)Cc1cccc(F)c1F ZINC001231736067 1132349526 /nfs/dbraw/zinc/34/95/26/1132349526.db2.gz ZDCFZMWSCRLNKH-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)c(O)c1)Cc1cccc(F)c1F ZINC001231736067 1132349534 /nfs/dbraw/zinc/34/95/34/1132349534.db2.gz ZDCFZMWSCRLNKH-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO CC[N@H+](Cc1[nH]cnc1C(C)(C)C)Cc1ccccc1F ZINC001142230541 1132350238 /nfs/dbraw/zinc/35/02/38/1132350238.db2.gz JSIYKMZFXSXFCS-UHFFFAOYSA-N 1 2 289.398 3.868 20 0 CHADLO CC[N@@H+](Cc1[nH]cnc1C(C)(C)C)Cc1ccccc1F ZINC001142230541 1132350246 /nfs/dbraw/zinc/35/02/46/1132350246.db2.gz JSIYKMZFXSXFCS-UHFFFAOYSA-N 1 2 289.398 3.868 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[N@H+]1C[C@H]2C[C@@H](C1)O2 ZINC001231739231 1132352738 /nfs/dbraw/zinc/35/27/38/1132352738.db2.gz OMYFBZHLXQDPCB-IYBDPMFKSA-N 1 2 297.398 3.600 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[N@@H+]1C[C@H]2C[C@@H](C1)O2 ZINC001231739231 1132352746 /nfs/dbraw/zinc/35/27/46/1132352746.db2.gz OMYFBZHLXQDPCB-IYBDPMFKSA-N 1 2 297.398 3.600 20 0 CHADLO Clc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC001212693334 1132362374 /nfs/dbraw/zinc/36/23/74/1132362374.db2.gz PTZWIADKXVFNBJ-UHFFFAOYSA-N 1 2 284.750 3.723 20 0 CHADLO C[N@H+](Cc1ccno1)Cc1ccc(-c2ccccc2)o1 ZINC001231813952 1132370431 /nfs/dbraw/zinc/37/04/31/1132370431.db2.gz USWLOPSWQIDGCJ-UHFFFAOYSA-N 1 2 268.316 3.567 20 0 CHADLO C[N@@H+](Cc1ccno1)Cc1ccc(-c2ccccc2)o1 ZINC001231813952 1132370438 /nfs/dbraw/zinc/37/04/38/1132370438.db2.gz USWLOPSWQIDGCJ-UHFFFAOYSA-N 1 2 268.316 3.567 20 0 CHADLO O=C1C[N@@H+](Cc2ccccc2-c2ccccc2)CCC[C@@H]1F ZINC001142331010 1132370566 /nfs/dbraw/zinc/37/05/66/1132370566.db2.gz DQTBAKMWVZYSHS-SFHVURJKSA-N 1 2 297.373 3.857 20 0 CHADLO O=C1C[N@H+](Cc2ccccc2-c2ccccc2)CCC[C@@H]1F ZINC001142331010 1132370573 /nfs/dbraw/zinc/37/05/73/1132370573.db2.gz DQTBAKMWVZYSHS-SFHVURJKSA-N 1 2 297.373 3.857 20 0 CHADLO Cc1cccc(N)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212697863 1132377285 /nfs/dbraw/zinc/37/72/85/1132377285.db2.gz XYMYSYALOYDPLR-UHFFFAOYSA-N 1 2 278.359 3.566 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1ccc(C(F)(F)F)c(F)c1F ZINC001231856717 1132380545 /nfs/dbraw/zinc/38/05/45/1132380545.db2.gz QFPCAXWFPIXNNL-ZETCQYMHSA-N 1 2 265.225 3.578 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1ccc(C(F)(F)F)c(F)c1F ZINC001231856717 1132380553 /nfs/dbraw/zinc/38/05/53/1132380553.db2.gz QFPCAXWFPIXNNL-ZETCQYMHSA-N 1 2 265.225 3.578 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2ccc(OC3CCCCC3)nc2)C1 ZINC001231874771 1132387862 /nfs/dbraw/zinc/38/78/62/1132387862.db2.gz CCQVJGDTSFYUMK-OAHLLOKOSA-N 1 2 292.398 3.727 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2ccc(OC3CCCCC3)nc2)C1 ZINC001231874771 1132387870 /nfs/dbraw/zinc/38/78/70/1132387870.db2.gz CCQVJGDTSFYUMK-OAHLLOKOSA-N 1 2 292.398 3.727 20 0 CHADLO C[N@H+](Cc1ccnc(C(F)F)c1)Cc1ccccc1F ZINC001231888236 1132392696 /nfs/dbraw/zinc/39/26/96/1132392696.db2.gz CRQFWVGTXCCWOP-UHFFFAOYSA-N 1 2 280.293 3.790 20 0 CHADLO C[N@@H+](Cc1ccnc(C(F)F)c1)Cc1ccccc1F ZINC001231888236 1132392703 /nfs/dbraw/zinc/39/27/03/1132392703.db2.gz CRQFWVGTXCCWOP-UHFFFAOYSA-N 1 2 280.293 3.790 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1ccc3c[nH]nc3c1)C2 ZINC001231908211 1132398035 /nfs/dbraw/zinc/39/80/35/1132398035.db2.gz IXKXEMKTDQXFON-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1ccc3c[nH]nc3c1)C2 ZINC001231908211 1132398041 /nfs/dbraw/zinc/39/80/41/1132398041.db2.gz IXKXEMKTDQXFON-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO CC1CC[NH+](Cc2cc(Cl)ncc2C(F)(F)F)CC1 ZINC001231928384 1132401943 /nfs/dbraw/zinc/40/19/43/1132401943.db2.gz LOFUGXMHNCVTAJ-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO Clc1cccnc1C[N@@H+]1CCCC[C@H]1c1cccnc1 ZINC001231959180 1132403216 /nfs/dbraw/zinc/40/32/16/1132403216.db2.gz OGJYOHCDYBLRFS-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1cccnc1C[N@H+]1CCCC[C@H]1c1cccnc1 ZINC001231959180 1132403220 /nfs/dbraw/zinc/40/32/20/1132403220.db2.gz OGJYOHCDYBLRFS-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1cc3ccncc3[nH]1)C2 ZINC001232095374 1132422265 /nfs/dbraw/zinc/42/22/65/1132422265.db2.gz FOWOSVGFWXXFKK-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1cc3ccncc3[nH]1)C2 ZINC001232095374 1132422267 /nfs/dbraw/zinc/42/22/67/1132422267.db2.gz FOWOSVGFWXXFKK-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cccc3[nH]cnc31)C2 ZINC001142692391 1132428988 /nfs/dbraw/zinc/42/89/88/1132428988.db2.gz BGTFLDZRLRCZRT-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cccc3[nH]cnc31)C2 ZINC001142692391 1132428993 /nfs/dbraw/zinc/42/89/93/1132428993.db2.gz BGTFLDZRLRCZRT-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccnc3c(F)cccc23)C[C@H]1F ZINC001232209608 1132435922 /nfs/dbraw/zinc/43/59/22/1132435922.db2.gz IFVWKJYTLZLFGA-ZBFHGGJFSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccnc3c(F)cccc23)C[C@H]1F ZINC001232209608 1132435919 /nfs/dbraw/zinc/43/59/19/1132435919.db2.gz IFVWKJYTLZLFGA-ZBFHGGJFSA-N 1 2 294.320 3.646 20 0 CHADLO Oc1ccc(C[N@@H+]2CCCCC(F)(F)C2)c2cccnc12 ZINC001232271547 1132446734 /nfs/dbraw/zinc/44/67/34/1132446734.db2.gz DRTJCENPZXFKLB-UHFFFAOYSA-N 1 2 292.329 3.562 20 0 CHADLO Oc1ccc(C[N@H+]2CCCCC(F)(F)C2)c2cccnc12 ZINC001232271547 1132446736 /nfs/dbraw/zinc/44/67/36/1132446736.db2.gz DRTJCENPZXFKLB-UHFFFAOYSA-N 1 2 292.329 3.562 20 0 CHADLO C[N@H+](Cc1csc(Cl)c1)Cc1cccc2c1OCO2 ZINC001142780246 1132448032 /nfs/dbraw/zinc/44/80/32/1132448032.db2.gz LUHIKABEPANQBD-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO C[N@@H+](Cc1csc(Cl)c1)Cc1cccc2c1OCO2 ZINC001142780246 1132448036 /nfs/dbraw/zinc/44/80/36/1132448036.db2.gz LUHIKABEPANQBD-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO CC(C)Cn1nccc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000842669091 1132449356 /nfs/dbraw/zinc/44/93/56/1132449356.db2.gz AIMQRPNSKFUHJM-UHFFFAOYSA-N 1 2 298.434 3.751 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2ccc(F)cc2F)cn1 ZINC001232329748 1132456604 /nfs/dbraw/zinc/45/66/04/1132456604.db2.gz CCAGBVSJJQLSND-UHFFFAOYSA-N 1 2 294.370 3.714 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2ccc(F)cc2F)cn1 ZINC001232329748 1132456608 /nfs/dbraw/zinc/45/66/08/1132456608.db2.gz CCAGBVSJJQLSND-UHFFFAOYSA-N 1 2 294.370 3.714 20 0 CHADLO CCOc1ccc(OC)cc1C[NH+]1Cc2ccccc2C1 ZINC001232383392 1132462596 /nfs/dbraw/zinc/46/25/96/1132462596.db2.gz WUZSWMXTLNGEDS-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO Cc1[nH]c(CN(C)[C@H](C)c2ccccc2Cl)c[nH+]1 ZINC001232465371 1132473376 /nfs/dbraw/zinc/47/33/76/1132473376.db2.gz KGGYMGMWBCDVLE-SNVBAGLBSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1[nH]cc(CN(C)[C@H](C)c2ccccc2Cl)[nH+]1 ZINC001232465371 1132473379 /nfs/dbraw/zinc/47/33/79/1132473379.db2.gz KGGYMGMWBCDVLE-SNVBAGLBSA-N 1 2 263.772 3.565 20 0 CHADLO CCc1csc(C[N@H+](C)Cc2cccc(C)c2)n1 ZINC001232468550 1132476562 /nfs/dbraw/zinc/47/65/62/1132476562.db2.gz BHCADECTBZMYJE-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1csc(C[N@@H+](C)Cc2cccc(C)c2)n1 ZINC001232468550 1132476567 /nfs/dbraw/zinc/47/65/67/1132476567.db2.gz BHCADECTBZMYJE-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO Cc1cccc(F)c1C[N@H+](C)Cc1ccccc1F ZINC001232485535 1132477689 /nfs/dbraw/zinc/47/76/89/1132477689.db2.gz YCIQMRLOGBTOBS-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1cccc(F)c1C[N@@H+](C)Cc1ccccc1F ZINC001232485535 1132477694 /nfs/dbraw/zinc/47/76/94/1132477694.db2.gz YCIQMRLOGBTOBS-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO C[N@H+](Cc1ccc(Cl)nc1)Cc1cc2ccoc2cn1 ZINC001232554266 1132487285 /nfs/dbraw/zinc/48/72/85/1132487285.db2.gz OVHMNGUTDCZMCG-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)nc1)Cc1cc2ccoc2cn1 ZINC001232554266 1132487291 /nfs/dbraw/zinc/48/72/91/1132487291.db2.gz OVHMNGUTDCZMCG-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccnc1C1CC1 ZINC001212737795 1132489682 /nfs/dbraw/zinc/48/96/82/1132489682.db2.gz BJYPLEHOBBJULB-UHFFFAOYSA-N 1 2 253.349 3.968 20 0 CHADLO c1cc2n(c1)CC[N@@H+](Cc1ccc3ccsc3c1)C2 ZINC001232610964 1132493787 /nfs/dbraw/zinc/49/37/87/1132493787.db2.gz CGIUOOYHIAGWBB-UHFFFAOYSA-N 1 2 268.385 3.719 20 0 CHADLO c1cc2n(c1)CC[N@H+](Cc1ccc3ccsc3c1)C2 ZINC001232610964 1132493789 /nfs/dbraw/zinc/49/37/89/1132493789.db2.gz CGIUOOYHIAGWBB-UHFFFAOYSA-N 1 2 268.385 3.719 20 0 CHADLO Fc1nccc(Cl)c1C[N@@H+]1CCCc2ccccc2C1 ZINC001232618135 1132495088 /nfs/dbraw/zinc/49/50/88/1132495088.db2.gz JMABIXFJKGAOTQ-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Fc1nccc(Cl)c1C[N@H+]1CCCc2ccccc2C1 ZINC001232618135 1132495090 /nfs/dbraw/zinc/49/50/90/1132495090.db2.gz JMABIXFJKGAOTQ-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO CC[NH2+]Cc1noc([C@@H]2C[C@H]2c2cccc3ccccc32)n1 ZINC000843143082 1132496646 /nfs/dbraw/zinc/49/66/46/1132496646.db2.gz MUJGUXISXUOFTI-JKSUJKDBSA-N 1 2 293.370 3.603 20 0 CHADLO CC[NH2+]Cc1noc([C@H](C)Sc2ccc(Cl)cc2)n1 ZINC000843143593 1132496671 /nfs/dbraw/zinc/49/66/71/1132496671.db2.gz VVKDCXQUISGSEB-VIFPVBQESA-N 1 2 297.811 3.686 20 0 CHADLO Brc1ccoc1C[NH+]1CC(c2ccccc2)C1 ZINC001143127586 1132504484 /nfs/dbraw/zinc/50/44/84/1132504484.db2.gz BWUDXUZHICSWKC-UHFFFAOYSA-N 1 2 292.176 3.642 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1ccc2ncsc2c1 ZINC001232742615 1132514510 /nfs/dbraw/zinc/51/45/10/1132514510.db2.gz BWRVXTVDUCQMSG-UHFFFAOYSA-N 1 2 296.395 3.611 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1ccc2ncsc2c1 ZINC001232742615 1132514515 /nfs/dbraw/zinc/51/45/15/1132514515.db2.gz BWRVXTVDUCQMSG-UHFFFAOYSA-N 1 2 296.395 3.611 20 0 CHADLO CCCn1cnc(C[N@@H+]2CCC[C@H]2c2cccc(F)c2)c1 ZINC001232799182 1132524674 /nfs/dbraw/zinc/52/46/74/1132524674.db2.gz ZGKYSKIWLQBEST-KRWDZBQOSA-N 1 2 287.382 3.769 20 0 CHADLO CCCn1cnc(C[N@H+]2CCC[C@H]2c2cccc(F)c2)c1 ZINC001232799182 1132524681 /nfs/dbraw/zinc/52/46/81/1132524681.db2.gz ZGKYSKIWLQBEST-KRWDZBQOSA-N 1 2 287.382 3.769 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1cccc(C(F)(F)F)c1 ZINC001173822040 1132525700 /nfs/dbraw/zinc/52/57/00/1132525700.db2.gz AMFVJRFVJHDCOC-UHFFFAOYSA-N 1 2 281.281 3.910 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1c(Cl)cccc1C(F)(F)F ZINC001143283398 1132529748 /nfs/dbraw/zinc/52/97/48/1132529748.db2.gz XHSQADCXGNYJRR-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1c(Cl)cccc1C(F)(F)F ZINC001143283398 1132529751 /nfs/dbraw/zinc/52/97/51/1132529751.db2.gz XHSQADCXGNYJRR-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO COc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001173803348 1132536922 /nfs/dbraw/zinc/53/69/22/1132536922.db2.gz HPYZPZGMKPEKDB-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO COc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1 ZINC001173806095 1132539422 /nfs/dbraw/zinc/53/94/22/1132539422.db2.gz YWAMATOFJDRVRR-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO C[N@H+](Cc1cncs1)Cc1cncc(-c2ccccc2)c1 ZINC001232902342 1132541114 /nfs/dbraw/zinc/54/11/14/1132541114.db2.gz BIABXRAQCLSSDL-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1cncs1)Cc1cncc(-c2ccccc2)c1 ZINC001232902342 1132541122 /nfs/dbraw/zinc/54/11/22/1132541122.db2.gz BIABXRAQCLSSDL-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Oc1c(F)ccc(C[N@@H+]2CC[C@H]3CCCC[C@H]3C2)c1F ZINC001232934214 1132543517 /nfs/dbraw/zinc/54/35/17/1132543517.db2.gz BVZZEPXKSHFCGD-NEPJUHHUSA-N 1 2 281.346 3.683 20 0 CHADLO Oc1c(F)ccc(C[N@H+]2CC[C@H]3CCCC[C@H]3C2)c1F ZINC001232934214 1132543523 /nfs/dbraw/zinc/54/35/23/1132543523.db2.gz BVZZEPXKSHFCGD-NEPJUHHUSA-N 1 2 281.346 3.683 20 0 CHADLO Fc1ccccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001173845073 1132547923 /nfs/dbraw/zinc/54/79/23/1132547923.db2.gz GNNVAWCAZXGWMX-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CC[N@@H+](Cc1cc(O)c(F)c(F)c1)Cc1ccccc1F ZINC001232972936 1132551374 /nfs/dbraw/zinc/55/13/74/1132551374.db2.gz HINBTIAYBJPHQJ-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CCOc1ccccc1Nc1cc(C)[nH+]c(OC)c1 ZINC001173849548 1132552297 /nfs/dbraw/zinc/55/22/97/1132552297.db2.gz GBFIDNSHVFQDSI-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(O)c(F)c(F)c2)CCC1(F)F ZINC001232971216 1132552439 /nfs/dbraw/zinc/55/24/39/1132552439.db2.gz YKEXGTKCVCBSSA-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO Cc1cn2c(cccc2Nc2c(F)cccc2F)[nH+]1 ZINC001173858919 1132560626 /nfs/dbraw/zinc/56/06/26/1132560626.db2.gz WEMLWWVFSUHFMI-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO C[N@H+](Cc1ccsc1Cl)C1CC(F)(F)C1 ZINC001233055166 1132568376 /nfs/dbraw/zinc/56/83/76/1132568376.db2.gz MTLXOHPIJDZOCH-UHFFFAOYSA-N 1 2 251.729 3.631 20 0 CHADLO C[N@@H+](Cc1ccsc1Cl)C1CC(F)(F)C1 ZINC001233055166 1132568379 /nfs/dbraw/zinc/56/83/79/1132568379.db2.gz MTLXOHPIJDZOCH-UHFFFAOYSA-N 1 2 251.729 3.631 20 0 CHADLO Cc1nc(C[NH+]2CCCCCC2)c(Br)s1 ZINC001233150922 1132574947 /nfs/dbraw/zinc/57/49/47/1132574947.db2.gz MRVADELLQXPJNM-UHFFFAOYSA-N 1 2 289.242 3.590 20 0 CHADLO COC(=O)c1cc(Nc2ccc(C)[nH+]c2C)c(F)cc1F ZINC001203375371 1132577052 /nfs/dbraw/zinc/57/70/52/1132577052.db2.gz WJQPWAUEWKRFFS-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO CCOc1ncc(Cl)cc1C[N@H+](C)Cc1ccsc1 ZINC001233172560 1132577715 /nfs/dbraw/zinc/57/77/15/1132577715.db2.gz XEPFSJFOZLBSIK-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCOc1ncc(Cl)cc1C[N@@H+](C)Cc1ccsc1 ZINC001233172560 1132577723 /nfs/dbraw/zinc/57/77/23/1132577723.db2.gz XEPFSJFOZLBSIK-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233211370 1132580469 /nfs/dbraw/zinc/58/04/69/1132580469.db2.gz UBWYESCUIWPJIA-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233211370 1132580476 /nfs/dbraw/zinc/58/04/76/1132580476.db2.gz UBWYESCUIWPJIA-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Fc1c(Cl)ccc(C[N@@H+]2CC[C@@]3(CC3(F)F)C2)c1F ZINC001233211528 1132581030 /nfs/dbraw/zinc/58/10/30/1132581030.db2.gz YBGDAHIWWWGYFU-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1c(Cl)ccc(C[N@H+]2CC[C@@]3(CC3(F)F)C2)c1F ZINC001233211528 1132581034 /nfs/dbraw/zinc/58/10/34/1132581034.db2.gz YBGDAHIWWWGYFU-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO COc1ccc(Nc2ccc(C)[nH+]c2C)c2ncccc12 ZINC001203375714 1132581413 /nfs/dbraw/zinc/58/14/13/1132581413.db2.gz AFHXOWHNGIRSMH-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1noc(-c2ccc(Nc3ccc(C)[nH+]c3C)cc2)n1 ZINC001203375771 1132582130 /nfs/dbraw/zinc/58/21/30/1132582130.db2.gz BLFAHQUUNLWZFW-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)cc1O ZINC001233235150 1132586386 /nfs/dbraw/zinc/58/63/86/1132586386.db2.gz LZUQBYDAZCTEIP-MAUKXSAKSA-N 1 2 297.398 3.663 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)cc1O ZINC001233235150 1132586387 /nfs/dbraw/zinc/58/63/87/1132586387.db2.gz LZUQBYDAZCTEIP-MAUKXSAKSA-N 1 2 297.398 3.663 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cnn3ccccc13)CC2 ZINC001233239155 1132586945 /nfs/dbraw/zinc/58/69/45/1132586945.db2.gz GBLXNBKIJPKECA-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cnn3ccccc13)CC2 ZINC001233239155 1132586951 /nfs/dbraw/zinc/58/69/51/1132586951.db2.gz GBLXNBKIJPKECA-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1ccc(Cl)cc1C[N@@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001233248420 1132589614 /nfs/dbraw/zinc/58/96/14/1132589614.db2.gz KQHZCPYRVLPMPM-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(Cl)cc1C[N@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001233248420 1132589618 /nfs/dbraw/zinc/58/96/18/1132589618.db2.gz KQHZCPYRVLPMPM-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1cc2cccnc2s1 ZINC001233349286 1132607562 /nfs/dbraw/zinc/60/75/62/1132607562.db2.gz MEPHCGIDLSFQKV-UHFFFAOYSA-N 1 2 296.395 3.611 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1cc2cccnc2s1 ZINC001233349286 1132607564 /nfs/dbraw/zinc/60/75/64/1132607564.db2.gz MEPHCGIDLSFQKV-UHFFFAOYSA-N 1 2 296.395 3.611 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCOC(C)(C)C1 ZINC001233350776 1132607766 /nfs/dbraw/zinc/60/77/66/1132607766.db2.gz TYUZYXLLRSFYTD-UHFFFAOYSA-N 1 2 285.840 3.673 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCOC(C)(C)C1 ZINC001233350776 1132607770 /nfs/dbraw/zinc/60/77/70/1132607770.db2.gz TYUZYXLLRSFYTD-UHFFFAOYSA-N 1 2 285.840 3.673 20 0 CHADLO Clc1cccc2ncc(C[N@@H+]3CCc4ccccc4C3)n21 ZINC001233355810 1132610599 /nfs/dbraw/zinc/61/05/99/1132610599.db2.gz NBWYYWWYPBELTN-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2ncc(C[N@H+]3CCc4ccccc4C3)n21 ZINC001233355810 1132610603 /nfs/dbraw/zinc/61/06/03/1132610603.db2.gz NBWYYWWYPBELTN-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@H]2c2cc(F)ccc2F)o1 ZINC001233367319 1132611453 /nfs/dbraw/zinc/61/14/53/1132611453.db2.gz ZNXLSWZLKTVGFU-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@H]2c2cc(F)ccc2F)o1 ZINC001233367319 1132611457 /nfs/dbraw/zinc/61/14/57/1132611457.db2.gz ZNXLSWZLKTVGFU-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cn2ccc(Cl)cc2n1 ZINC001233391617 1132616526 /nfs/dbraw/zinc/61/65/26/1132616526.db2.gz PGZJPYOBWLHPPV-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cn2ccc(Cl)cc2n1 ZINC001233391617 1132616530 /nfs/dbraw/zinc/61/65/30/1132616530.db2.gz PGZJPYOBWLHPPV-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO Clc1ccn2cc(C[N@@H+]3CC[C@H]3c3ccccc3)nc2c1 ZINC001233392392 1132617057 /nfs/dbraw/zinc/61/70/57/1132617057.db2.gz XSHIJDUUOGXCPU-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccn2cc(C[N@H+]3CC[C@H]3c3ccccc3)nc2c1 ZINC001233392392 1132617063 /nfs/dbraw/zinc/61/70/63/1132617063.db2.gz XSHIJDUUOGXCPU-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO CSc1cc[nH+]c(NCc2nc3ccccc3o2)c1 ZINC001154654651 1132621644 /nfs/dbraw/zinc/62/16/44/1132621644.db2.gz HAOSXPFSTNQUMP-UHFFFAOYSA-N 1 2 271.345 3.557 20 0 CHADLO COc1ccc(Nc2cccc(C(C)C)[nH+]2)cc1OC ZINC001173886215 1132626937 /nfs/dbraw/zinc/62/69/37/1132626937.db2.gz CQEJENBOLGVSHJ-UHFFFAOYSA-N 1 2 272.348 3.966 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(OC)c(OC)c2)c1 ZINC001173887251 1132626951 /nfs/dbraw/zinc/62/69/51/1132626951.db2.gz FPVXYVLNXDPEFC-UHFFFAOYSA-N 1 2 272.348 3.795 20 0 CHADLO Cc1cnc(CCNc2cc(C)c3cccc(C)c3[nH+]2)nc1 ZINC001154861757 1132628183 /nfs/dbraw/zinc/62/81/83/1132628183.db2.gz SKQVHJMSLCXJIE-UHFFFAOYSA-N 1 2 292.386 3.605 20 0 CHADLO O[C@H](CNc1[nH+]ccc2cc(Cl)ccc21)c1ccco1 ZINC001154874931 1132629630 /nfs/dbraw/zinc/62/96/30/1132629630.db2.gz BJRWRFZUMBAVOZ-CYBMUJFWSA-N 1 2 288.734 3.627 20 0 CHADLO COc1ccc(F)cc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001173955546 1132629770 /nfs/dbraw/zinc/62/97/70/1132629770.db2.gz FRJGSOZBVBZQOJ-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO CCOc1ccc[nH+]c1NCc1cnccc1C(F)(F)F ZINC001154905741 1132631145 /nfs/dbraw/zinc/63/11/45/1132631145.db2.gz OPNBLMFNSPXNJP-UHFFFAOYSA-N 1 2 297.280 3.506 20 0 CHADLO COc1ccc(Nc2c(C)cc[nH+]c2C(C)C)cn1 ZINC001173959208 1132631811 /nfs/dbraw/zinc/63/18/11/1132631811.db2.gz FICNLJPVOQCJGN-UHFFFAOYSA-N 1 2 257.337 3.661 20 0 CHADLO CSCc1cc[nH+]c(NCc2cnc3cccc(C)n23)c1 ZINC001154950640 1132632180 /nfs/dbraw/zinc/63/21/80/1132632180.db2.gz OSPFDHACAOHATQ-UHFFFAOYSA-N 1 2 298.415 3.513 20 0 CHADLO Cc1cc(F)ccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001173965672 1132636133 /nfs/dbraw/zinc/63/61/33/1132636133.db2.gz FBBOTUKQYDRHTE-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1cc3ccccc3c[nH+]1)C(=O)N2 ZINC001155109069 1132642717 /nfs/dbraw/zinc/64/27/17/1132642717.db2.gz YPUHRJVTPKHBMK-QGZVFWFLSA-N 1 2 289.338 3.649 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1ccc(-c2cccc(F)c2)nn1 ZINC001155181851 1132646506 /nfs/dbraw/zinc/64/65/06/1132646506.db2.gz UFXKQDQUBXUTNO-UHFFFAOYSA-N 1 2 272.327 3.718 20 0 CHADLO CC(=O)C[N@@H+](C)Cc1ccc(C)cc1OCc1ccccc1 ZINC001233618919 1132649923 /nfs/dbraw/zinc/64/99/23/1132649923.db2.gz TYNOTMPSTAVPMG-UHFFFAOYSA-N 1 2 297.398 3.595 20 0 CHADLO CC(=O)C[N@H+](C)Cc1ccc(C)cc1OCc1ccccc1 ZINC001233618919 1132649929 /nfs/dbraw/zinc/64/99/29/1132649929.db2.gz TYNOTMPSTAVPMG-UHFFFAOYSA-N 1 2 297.398 3.595 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(F)ccc3F)ccc21 ZINC001173950799 1132658472 /nfs/dbraw/zinc/65/84/72/1132658472.db2.gz OXTOPXBKOSQDEJ-UHFFFAOYSA-N 1 2 259.259 3.595 20 0 CHADLO Cc1c(F)cc[nH+]c1N1CCc2c3ccccc3oc2C1 ZINC001155511682 1132658980 /nfs/dbraw/zinc/65/89/80/1132658980.db2.gz PDQHGGZDRLZRDJ-UHFFFAOYSA-N 1 2 282.318 3.838 20 0 CHADLO COc1ccc(F)cc1Nc1cccn2cc(C)[nH+]c12 ZINC001173954466 1132661797 /nfs/dbraw/zinc/66/17/97/1132661797.db2.gz ASUBBCBAHIEEAN-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO COc1ccc(C)cc1Nc1cccc2[nH+]c(C)cn21 ZINC001173982928 1132662134 /nfs/dbraw/zinc/66/21/34/1132662134.db2.gz SFUGLMQCSMKPDF-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)ccc2OC)c[nH+]1 ZINC001173984086 1132662977 /nfs/dbraw/zinc/66/29/77/1132662977.db2.gz JCWDKFFDAPRJER-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO COc1ccc[nH+]c1NCc1c(Cl)ccc(C)c1F ZINC001155828120 1132666316 /nfs/dbraw/zinc/66/63/16/1132666316.db2.gz FZTKKQYTGAMBEU-UHFFFAOYSA-N 1 2 280.730 3.803 20 0 CHADLO CCc1ccc(NC(=[NH2+])C23CC4CC(CC(C4)C2)C3)nn1 ZINC001155958724 1132671971 /nfs/dbraw/zinc/67/19/71/1132671971.db2.gz BOKHTUZWZBHXTL-UHFFFAOYSA-N 1 2 284.407 3.645 20 0 CHADLO CSCCCNc1cc(-c2ccccc2)cc[nH+]1 ZINC001155975940 1132674761 /nfs/dbraw/zinc/67/47/61/1132674761.db2.gz CTTLTCLNWRYIEZ-UHFFFAOYSA-N 1 2 258.390 3.914 20 0 CHADLO Cc1cc(Cl)c(C)c(NC[C@@H]2Cc3ccccc3O2)[nH+]1 ZINC001155973435 1132675257 /nfs/dbraw/zinc/67/52/57/1132675257.db2.gz UBFHHDXWSQTEEZ-ZDUSSCGKSA-N 1 2 288.778 3.767 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(c1)CCO2 ZINC001174010846 1132677582 /nfs/dbraw/zinc/67/75/82/1132677582.db2.gz WBYUGWVUKIKPDG-UHFFFAOYSA-N 1 2 254.333 3.631 20 0 CHADLO c1ccc([C@H]2CCCN2c2[nH+]ccc3ccccc32)nc1 ZINC001156021948 1132677878 /nfs/dbraw/zinc/67/78/78/1132677878.db2.gz JCQDLKOZKREISA-QGZVFWFLSA-N 1 2 275.355 3.971 20 0 CHADLO CCOc1ccc[nH+]c1NCc1cc2ccccc2n1C ZINC001156019410 1132678235 /nfs/dbraw/zinc/67/82/35/1132678235.db2.gz PFQJKOFYARWGQQ-UHFFFAOYSA-N 1 2 281.359 3.584 20 0 CHADLO CSc1cc(Nc2ccc(NC(C)=O)cc2)cc[nH+]1 ZINC001173977753 1132685470 /nfs/dbraw/zinc/68/54/70/1132685470.db2.gz KKUQAVNWBODAOA-UHFFFAOYSA-N 1 2 273.361 3.506 20 0 CHADLO CSCc1cc[nH+]c(NCCSCc2ccco2)c1 ZINC001156197791 1132687785 /nfs/dbraw/zinc/68/77/85/1132687785.db2.gz AIYZBSKNNLBEGL-UHFFFAOYSA-N 1 2 294.445 3.883 20 0 CHADLO c1ccc2cc(NCCCc3ccncc3)[nH+]cc2c1 ZINC001156407421 1132691939 /nfs/dbraw/zinc/69/19/39/1132691939.db2.gz VJCIMUSNCOYXCK-UHFFFAOYSA-N 1 2 263.344 3.675 20 0 CHADLO CCOc1ccc[nH+]c1NCc1ccccc1C1CC1 ZINC001156543565 1132704813 /nfs/dbraw/zinc/70/48/13/1132704813.db2.gz IFZOQOMOVYPFCU-UHFFFAOYSA-N 1 2 268.360 3.970 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(F)cn2)nc(C(C)C)[nH+]1 ZINC001156679649 1132707189 /nfs/dbraw/zinc/70/71/89/1132707189.db2.gz YOZYZSABLCWQHT-NSHDSACASA-N 1 2 274.343 3.616 20 0 CHADLO C[C@@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)c1ccccc1Cl ZINC001156783742 1132708521 /nfs/dbraw/zinc/70/85/21/1132708521.db2.gz WCPSKIWPSKKUDZ-SNVBAGLBSA-N 1 2 299.761 3.707 20 0 CHADLO Cc1ccc(F)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001174110475 1132725832 /nfs/dbraw/zinc/72/58/32/1132725832.db2.gz HSZOIGVIGIYJBD-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1ccc(F)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001174111525 1132726634 /nfs/dbraw/zinc/72/66/34/1132726634.db2.gz RVLWJYLSMJOUSW-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1ccc(F)c(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001174112049 1132727209 /nfs/dbraw/zinc/72/72/09/1132727209.db2.gz VWMRHZHNWFLZPI-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO CC(C)Oc1cccc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001174114885 1132730004 /nfs/dbraw/zinc/73/00/04/1132730004.db2.gz PDOVFTJIPKHRNP-UHFFFAOYSA-N 1 2 267.332 3.865 20 0 CHADLO Cc1cccc(Nc2ccc(C(=O)NC(C)(C)C)cc2)[nH+]1 ZINC001174124549 1132736981 /nfs/dbraw/zinc/73/69/81/1132736981.db2.gz DNTDTAVZCLICTE-UHFFFAOYSA-N 1 2 283.375 3.662 20 0 CHADLO Cc1nc(NC[C@H]2CC(C)(C)O2)cc(C2CCCCC2)[nH+]1 ZINC001157661406 1132737336 /nfs/dbraw/zinc/73/73/36/1132737336.db2.gz TWQPGNNCWUUSHD-CQSZACIVSA-N 1 2 289.423 3.812 20 0 CHADLO Cc1cccc(C2(Nc3cc(C)[nH+]c(C(C)C)n3)COC2)c1 ZINC001157676575 1132737833 /nfs/dbraw/zinc/73/78/33/1132737833.db2.gz BPHRXWPIVGSTME-UHFFFAOYSA-N 1 2 297.402 3.554 20 0 CHADLO Cc1cccc(C2(Nc3ccc(C(C)C)c[nH+]3)COC2)c1 ZINC001157677752 1132738394 /nfs/dbraw/zinc/73/83/94/1132738394.db2.gz ZQYRFEMXNTUQDF-UHFFFAOYSA-N 1 2 282.387 3.851 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@H](c1ccccn1)C(F)(F)F ZINC001157684036 1132739403 /nfs/dbraw/zinc/73/94/03/1132739403.db2.gz KBLVVOMPLAKQJD-LLVKDONJSA-N 1 2 285.244 3.640 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](c2ccccn2)C(F)(F)F)c1 ZINC001157687504 1132739676 /nfs/dbraw/zinc/73/96/76/1132739676.db2.gz VHEWUFWRXLPHMZ-LBPRGKRZSA-N 1 2 299.321 3.914 20 0 CHADLO C=Cc1ccc(CNc2cc(N(CC)CC)nc[nH+]2)cc1 ZINC001157778450 1132743099 /nfs/dbraw/zinc/74/30/99/1132743099.db2.gz WJXOPHGFBJGVPY-UHFFFAOYSA-N 1 2 282.391 3.578 20 0 CHADLO C=Cc1ccc(CNc2cc(N(CC)CC)[nH+]cn2)cc1 ZINC001157778450 1132743105 /nfs/dbraw/zinc/74/31/05/1132743105.db2.gz WJXOPHGFBJGVPY-UHFFFAOYSA-N 1 2 282.391 3.578 20 0 CHADLO COc1ccc(Nc2[nH+]cc(O)cc2C)cc1Cl ZINC001174151874 1132750664 /nfs/dbraw/zinc/75/06/64/1132750664.db2.gz OASVLDDDZVRZBZ-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO CCCCNC(=O)c1ccc(Nc2cccc(C)[nH+]2)cc1 ZINC001174121948 1132752120 /nfs/dbraw/zinc/75/21/20/1132752120.db2.gz UTPZYSWRBZDZOT-UHFFFAOYSA-N 1 2 283.375 3.664 20 0 CHADLO CCOC(=O)/C=C/c1ccc[nH+]c1N[C@H](C)C[C@@H](C)CC ZINC001157882592 1132752660 /nfs/dbraw/zinc/75/26/60/1132752660.db2.gz URHDPMCUQABARE-XACNLCELSA-N 1 2 290.407 3.895 20 0 CHADLO CCCCNC(=O)c1cccc(Nc2ccc(C)[nH+]c2C)c1 ZINC001174189729 1132754956 /nfs/dbraw/zinc/75/49/56/1132754956.db2.gz VHBGFHFLLUEECK-UHFFFAOYSA-N 1 2 297.402 3.972 20 0 CHADLO Cc1cccc(Nc2cccc(C(=O)NCC(C)C)c2)[nH+]1 ZINC001174190680 1132755042 /nfs/dbraw/zinc/75/50/42/1132755042.db2.gz BOMQWZWMFRGSGP-UHFFFAOYSA-N 1 2 283.375 3.519 20 0 CHADLO Cc1ccc[nH+]c1Nc1cccc(C(=O)NCC(C)C)c1 ZINC001174191509 1132756318 /nfs/dbraw/zinc/75/63/18/1132756318.db2.gz YWSCLONJNZIDBR-UHFFFAOYSA-N 1 2 283.375 3.519 20 0 CHADLO Cc1n[nH]c(C)c1Nc1c[nH+]c(CC(C)C)cc1C ZINC001174214661 1132760517 /nfs/dbraw/zinc/76/05/17/1132760517.db2.gz ZHNFSVPZGJHTKQ-UHFFFAOYSA-N 1 2 258.369 3.672 20 0 CHADLO Cc1nn(C)c(C)c1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001174227171 1132766477 /nfs/dbraw/zinc/76/64/77/1132766477.db2.gz LMGBKDPDXBNVEE-UHFFFAOYSA-N 1 2 284.407 3.771 20 0 CHADLO Cc1nn(C)c(C)c1Nc1ccc2c(C)cc[nH+]c2c1 ZINC001174229438 1132767550 /nfs/dbraw/zinc/76/75/50/1132767550.db2.gz XATBCPHBLJUYCR-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cn1 ZINC001174280662 1132770572 /nfs/dbraw/zinc/77/05/72/1132770572.db2.gz NYZKHBDJKJXVOU-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@H](C1CCC1)C(F)(F)F ZINC001158401243 1132775825 /nfs/dbraw/zinc/77/58/25/1132775825.db2.gz QBYMQHDUKCDMEU-LLVKDONJSA-N 1 2 274.286 3.542 20 0 CHADLO FC(F)(F)c1ccc2c(Nc3cn[nH]c3)cc[nH+]c2c1 ZINC001174294974 1132776583 /nfs/dbraw/zinc/77/65/83/1132776583.db2.gz YAQLDBABMWOUKR-UHFFFAOYSA-N 1 2 278.237 3.720 20 0 CHADLO Clc1cc2c(cn1)CN(c1cccc(C3CC3)[nH+]1)C2 ZINC001158757578 1132792109 /nfs/dbraw/zinc/79/21/09/1132792109.db2.gz PWGQRTGOUXNVQM-UHFFFAOYSA-N 1 2 271.751 3.528 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC001158793690 1132793859 /nfs/dbraw/zinc/79/38/59/1132793859.db2.gz MNUKBZFGPTXCJK-GDBMZVCRSA-N 1 2 286.350 3.861 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3cnn(C)c3c2)cc1 ZINC001174342195 1132799411 /nfs/dbraw/zinc/79/94/11/1132799411.db2.gz JMDJBZXOIIUQHO-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3cnn(C)c3c2)cc1 ZINC001174342195 1132799416 /nfs/dbraw/zinc/79/94/16/1132799416.db2.gz JMDJBZXOIIUQHO-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO Cc1nc(N2C3CCC2CC3)cc(C2CCCCC2)[nH+]1 ZINC001159083330 1132806339 /nfs/dbraw/zinc/80/63/39/1132806339.db2.gz NIRLVZULDSNDCA-UHFFFAOYSA-N 1 2 271.408 3.964 20 0 CHADLO Cn1c[nH+]c2ccc(-n3ccc4cc(Cl)cc(N)c43)cc21 ZINC001174349703 1132807047 /nfs/dbraw/zinc/80/70/47/1132807047.db2.gz NOPRDGKRVAERNX-UHFFFAOYSA-N 1 2 296.761 3.753 20 0 CHADLO Clc1ccc2[nH+]ccc(Nc3c[nH]c4cnccc34)c2c1 ZINC001159153770 1132812462 /nfs/dbraw/zinc/81/24/62/1132812462.db2.gz HHBGFXNTQCSCKU-UHFFFAOYSA-N 1 2 294.745 3.930 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)CCCN3)c[nH+]1 ZINC001159204102 1132815301 /nfs/dbraw/zinc/81/53/01/1132815301.db2.gz QNVHWMRKBFYEHX-UHFFFAOYSA-N 1 2 253.349 3.800 20 0 CHADLO CNc1ccc(Nc2cccc(OCC(C)C)c2)c[nH+]1 ZINC001159253977 1132819775 /nfs/dbraw/zinc/81/97/75/1132819775.db2.gz NZYFICNACHPTOL-UHFFFAOYSA-N 1 2 271.364 3.902 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccnc(C(F)(F)F)c3)ccc21 ZINC001174361914 1132820097 /nfs/dbraw/zinc/82/00/97/1132820097.db2.gz UPDBZUDQMXNLCS-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO COc1cc(F)c(C(C)C)cc1Nc1ccc(N)[nH+]c1 ZINC001159280937 1132823895 /nfs/dbraw/zinc/82/38/95/1132823895.db2.gz IOHITLABAGYTSC-UHFFFAOYSA-N 1 2 275.327 3.679 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)c(F)cc1Cl ZINC001159281861 1132824019 /nfs/dbraw/zinc/82/40/19/1132824019.db2.gz FQZSNJPJARJWAV-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1ccc(OC(C)C)c(Nc2ccc(N)[nH+]c2)c1 ZINC001159282395 1132825728 /nfs/dbraw/zinc/82/57/28/1132825728.db2.gz WJQPPOLLVVAJBC-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO CC(=O)c1cccnc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174381324 1132828160 /nfs/dbraw/zinc/82/81/60/1132828160.db2.gz WBBOWMVJFXIMOM-UHFFFAOYSA-N 1 2 294.358 3.958 20 0 CHADLO COc1ccc(Nc2ccc3c(c2)[nH+]cn3C(C)C)cn1 ZINC001174380828 1132828366 /nfs/dbraw/zinc/82/83/66/1132828366.db2.gz LWAWEUJDUZWRJN-UHFFFAOYSA-N 1 2 282.347 3.764 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cn(CC4CC4)cn3)ccc21 ZINC001174382190 1132829987 /nfs/dbraw/zinc/82/99/87/1132829987.db2.gz CZMHZYZYULAADV-UHFFFAOYSA-N 1 2 295.390 3.967 20 0 CHADLO OCc1cccc(Cl)c1Nc1ccccc1-n1cc[nH+]c1 ZINC001159446618 1132846269 /nfs/dbraw/zinc/84/62/69/1132846269.db2.gz BNNRKQVMXSKNQU-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO COCCCOc1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1 ZINC001174411434 1132848936 /nfs/dbraw/zinc/84/89/36/1132848936.db2.gz YZVQOFQEPSVNNZ-UHFFFAOYSA-N 1 2 297.358 3.673 20 0 CHADLO FC(F)Oc1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1 ZINC001174410957 1132849092 /nfs/dbraw/zinc/84/90/92/1132849092.db2.gz AMVXVXJISIRVTP-UHFFFAOYSA-N 1 2 275.258 3.860 20 0 CHADLO Cc1ccc(C)c(Nc2cnn(CCC(C)C)c2)[nH+]1 ZINC001174411484 1132849860 /nfs/dbraw/zinc/84/98/60/1132849860.db2.gz CGIXOZXIOFSDIS-UHFFFAOYSA-N 1 2 258.369 3.685 20 0 CHADLO COc1cc(Nc2cc[nH+]c3[nH]ccc32)cc(F)c1F ZINC001174413544 1132853051 /nfs/dbraw/zinc/85/30/51/1132853051.db2.gz ZBDVICGAEBHWDQ-UHFFFAOYSA-N 1 2 275.258 3.545 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc2cc(N)ccc2c1 ZINC001159505640 1132856302 /nfs/dbraw/zinc/85/63/02/1132856302.db2.gz ZUBRXEJFHFRHHQ-UHFFFAOYSA-N 1 2 288.354 3.652 20 0 CHADLO Clc1cc(Nc2ccc3cn[nH]c3c2)cn2cc[nH+]c12 ZINC001174498497 1132863489 /nfs/dbraw/zinc/86/34/89/1132863489.db2.gz LLGSAPWORNSAHP-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO c1cn2cccc(Nc3ccc(N4CCCC4)cc3)c2[nH+]1 ZINC001174525384 1132887136 /nfs/dbraw/zinc/88/71/36/1132887136.db2.gz QYCZYHOQSCTIFL-UHFFFAOYSA-N 1 2 278.359 3.678 20 0 CHADLO O=c1cc(Nc2ccc([NH+]3CCCC3)cc2)cc(Cl)[nH]1 ZINC001174526451 1132887591 /nfs/dbraw/zinc/88/75/91/1132887591.db2.gz NTLHLMUMRQWNLY-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(C)cc(F)c2)[nH+]1 ZINC001174527808 1132888992 /nfs/dbraw/zinc/88/89/92/1132888992.db2.gz OZQIQGQQISMPAK-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1cccc2c(C)cc(N[C@H]3CCOC[C@H]3C)[nH+]c12 ZINC001159709442 1132897732 /nfs/dbraw/zinc/89/77/32/1132897732.db2.gz SCLMLZDGUUTZBZ-HIFRSBDPSA-N 1 2 270.376 3.689 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(c1)OCC2 ZINC001206672336 1132906320 /nfs/dbraw/zinc/90/63/20/1132906320.db2.gz SPZUBMCKGLGWSE-UHFFFAOYSA-N 1 2 254.333 3.631 20 0 CHADLO COc1cc(Nc2ccc3ccn(C)c3c2)cc(C)[nH+]1 ZINC001174515677 1132908806 /nfs/dbraw/zinc/90/88/06/1132908806.db2.gz HMYOOHUFAUEQTA-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO Cc1cc(NCC(F)(F)c2ccccn2)nc(C(C)C)[nH+]1 ZINC001159794142 1132910109 /nfs/dbraw/zinc/91/01/09/1132910109.db2.gz JERTYHHKPFVTFR-UHFFFAOYSA-N 1 2 292.333 3.507 20 0 CHADLO CCNC(=O)Nc1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001174518130 1132910739 /nfs/dbraw/zinc/91/07/39/1132910739.db2.gz JYKPOQZJVOPPNE-UHFFFAOYSA-N 1 2 284.363 3.583 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)c(O)c1 ZINC001174522018 1132911656 /nfs/dbraw/zinc/91/16/56/1132911656.db2.gz GUTFZXXINFBQNX-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)ccc1CO ZINC001174523799 1132913172 /nfs/dbraw/zinc/91/31/72/1132913172.db2.gz RPEPAWXGEWKWRK-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Oc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC001174522808 1132913340 /nfs/dbraw/zinc/91/33/40/1132913340.db2.gz XSZCZBNPJAZPEM-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO Cc1ccc(N)cc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174523171 1132913524 /nfs/dbraw/zinc/91/35/24/1132913524.db2.gz GKFOTEOFQJEVMX-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO Oc1ccccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174524861 1132913563 /nfs/dbraw/zinc/91/35/63/1132913563.db2.gz SLLQAXKWYABCRT-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)ccc2O)cc1 ZINC001174631089 1132933295 /nfs/dbraw/zinc/93/32/95/1132933295.db2.gz BQBKBLIHVPJNGW-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)ccc2O)cc1 ZINC001174631089 1132933301 /nfs/dbraw/zinc/93/33/01/1132933301.db2.gz BQBKBLIHVPJNGW-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO COc1cc(C)c[nH+]c1NCC1(c2ccccc2)CC1 ZINC001160262202 1132953764 /nfs/dbraw/zinc/95/37/64/1132953764.db2.gz FVUFJXRFHKEZHV-UHFFFAOYSA-N 1 2 268.360 3.542 20 0 CHADLO CC(=O)CC(C)(C)Nc1[nH+]c(C)cc(Cl)c1C ZINC001160299818 1132957753 /nfs/dbraw/zinc/95/77/53/1132957753.db2.gz LEHTXNLMYLYOEO-UHFFFAOYSA-N 1 2 254.761 3.521 20 0 CHADLO COc1ccc(Nc2ccc3c(c2)[nH+]cn3C(C)C)cc1N ZINC001160311066 1132960526 /nfs/dbraw/zinc/96/05/26/1132960526.db2.gz LPYPNVVUPPAZDY-UHFFFAOYSA-N 1 2 296.374 3.952 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3c(c2)C(C)(C)CCN3)cc1N ZINC001160332873 1132963638 /nfs/dbraw/zinc/96/36/38/1132963638.db2.gz VFLTVZCEEUEHKV-UHFFFAOYSA-N 1 2 282.391 3.809 20 0 CHADLO Oc1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)cc1F ZINC001174734237 1132968147 /nfs/dbraw/zinc/96/81/47/1132968147.db2.gz UIIZHWILONNLGI-UHFFFAOYSA-N 1 2 288.709 3.898 20 0 CHADLO CC(C)C[C@@H](Nc1ccc(Cl)c(N)[nH+]1)c1ccccn1 ZINC001160486955 1132972002 /nfs/dbraw/zinc/97/20/02/1132972002.db2.gz FKKIZLDIPAUTFY-CYBMUJFWSA-N 1 2 290.798 3.912 20 0 CHADLO COc1c[nH+]c(N[C@H](CC(C)C)c2ccccn2)c(C)c1 ZINC001160485860 1132972717 /nfs/dbraw/zinc/97/27/17/1132972717.db2.gz IJPWCEKFLHYABY-MRXNPFEDSA-N 1 2 285.391 3.993 20 0 CHADLO CSc1cc[nH+]c(NC2CC3(C2)CC(F)(F)C3)c1 ZINC001160591893 1132976618 /nfs/dbraw/zinc/97/66/18/1132976618.db2.gz NASUMUDCVLUIPD-UHFFFAOYSA-N 1 2 270.348 3.793 20 0 CHADLO COC1CCC(CCNc2cc(CSC)cc[nH+]2)CC1 ZINC001160643537 1132977197 /nfs/dbraw/zinc/97/71/97/1132977197.db2.gz KJAMRNDDYAVRLA-UHFFFAOYSA-N 1 2 294.464 3.952 20 0 CHADLO CSc1cccc(N[C@@H](C)c2c[nH+]c3c(C)cccn23)n1 ZINC001160686303 1132978803 /nfs/dbraw/zinc/97/88/03/1132978803.db2.gz FNWMPTAQKNBFKP-LBPRGKRZSA-N 1 2 298.415 3.933 20 0 CHADLO COc1cc(C)c(CNc2[nH+]cc(C)cc2OC)cc1C ZINC001160775688 1132980882 /nfs/dbraw/zinc/98/08/82/1132980882.db2.gz KRWDTHJQAPABCA-UHFFFAOYSA-N 1 2 286.375 3.636 20 0 CHADLO CSc1cc[nH+]c(NCCc2c[nH]c3ccccc23)c1 ZINC001160779768 1132981490 /nfs/dbraw/zinc/98/14/90/1132981490.db2.gz CWVLZIZNCJBBAJ-UHFFFAOYSA-N 1 2 283.400 3.939 20 0 CHADLO CC(C)C[C@H](C(=O)N[C@]1(C)CCCC[C@@H]1C)n1cc[nH+]c1 ZINC001160888087 1132986201 /nfs/dbraw/zinc/98/62/01/1132986201.db2.gz KSAOLYGBQZESGT-ZMSDIMECSA-N 1 2 291.439 3.555 20 0 CHADLO c1[nH]c2c(cccc2Nc2csc3cnccc23)[nH+]1 ZINC001213028640 1132988403 /nfs/dbraw/zinc/98/84/03/1132988403.db2.gz VEHWNKMOASDHPE-UHFFFAOYSA-N 1 2 266.329 3.916 20 0 CHADLO FC(F)(F)Oc1ncccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213029015 1132992211 /nfs/dbraw/zinc/99/22/11/1132992211.db2.gz DRTBRERIQHUULY-UHFFFAOYSA-N 1 2 294.236 3.600 20 0 CHADLO CC(=O)c1sccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213029536 1132992694 /nfs/dbraw/zinc/99/26/94/1132992694.db2.gz PBORCXJWWPOLKV-UHFFFAOYSA-N 1 2 257.318 3.571 20 0 CHADLO Fc1cccc2cc(Nc3cccc4[nH+]c[nH]c43)cnc12 ZINC001213029945 1132994090 /nfs/dbraw/zinc/99/40/90/1132994090.db2.gz ZNYAIZMANGQUBA-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO Fc1ccc2ncc(Nc3cccc4[nH+]c[nH]c43)cc2c1 ZINC001213029916 1132994132 /nfs/dbraw/zinc/99/41/32/1132994132.db2.gz YECACUSOMXOCSM-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO FC(F)(F)Oc1cc(Nc2cccc3[nH+]c[nH]c32)ccn1 ZINC001213030814 1132994585 /nfs/dbraw/zinc/99/45/85/1132994585.db2.gz SHLJDPVTLYUNJT-UHFFFAOYSA-N 1 2 294.236 3.600 20 0 CHADLO COc1ccc(C2(Nc3cc(C)[nH+]c(C4CC4)n3)CC2)cc1 ZINC001161263802 1132996997 /nfs/dbraw/zinc/99/69/97/1132996997.db2.gz GVLRGMDTANJAHY-UHFFFAOYSA-N 1 2 295.386 3.772 20 0 CHADLO CC1(C)Oc2ccc(Nc3cccc4[nH+]c[nH]c43)cc2O1 ZINC001213031604 1132997201 /nfs/dbraw/zinc/99/72/01/1132997201.db2.gz IQWBORJHBDCHAF-UHFFFAOYSA-N 1 2 281.315 3.814 20 0 CHADLO Oc1cc(Cl)ccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213032235 1132998863 /nfs/dbraw/zinc/99/88/63/1132998863.db2.gz ZLIWRSZFWUUPHR-UHFFFAOYSA-N 1 2 259.696 3.666 20 0 CHADLO CCOC(=O)c1cccc(Nc2cccc3[nH+]c[nH]c32)c1C ZINC001213032360 1132999089 /nfs/dbraw/zinc/99/90/89/1132999089.db2.gz BESOPLUXZOUEGR-UHFFFAOYSA-N 1 2 295.342 3.792 20 0 CHADLO Cc1cc(=O)[nH]c2cc(Nc3cccc4[nH+]c[nH]c43)ccc12 ZINC001213032667 1133000886 /nfs/dbraw/zinc/00/08/86/1133000886.db2.gz RJJYJUXHVAQZLN-UHFFFAOYSA-N 1 2 290.326 3.869 20 0 CHADLO c1nsc2ccc(Nc3cccc4[nH+]c[nH]c43)cc12 ZINC001213032695 1133000962 /nfs/dbraw/zinc/00/09/62/1133000962.db2.gz SWYPWSBZWBBXAE-UHFFFAOYSA-N 1 2 266.329 3.916 20 0 CHADLO CSCc1cc[nH+]c(NC[C@@H]2CCOC(C)(C)C2)c1 ZINC001161430785 1133002019 /nfs/dbraw/zinc/00/20/19/1133002019.db2.gz ZVBSZOIDJAFXDO-CYBMUJFWSA-N 1 2 280.437 3.562 20 0 CHADLO Nc1cc(Cl)cc(F)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213033393 1133004736 /nfs/dbraw/zinc/00/47/36/1133004736.db2.gz DLNHIWMTBHZRPZ-UHFFFAOYSA-N 1 2 276.702 3.681 20 0 CHADLO CCc1cc2c(ccnc2NCc2c[nH+]cn2C(C)C)o1 ZINC001161569172 1133006301 /nfs/dbraw/zinc/00/63/01/1133006301.db2.gz DLVDFFZUABFFLW-UHFFFAOYSA-N 1 2 284.363 3.780 20 0 CHADLO COc1cc[nH+]cc1N[C@H](C)CCc1ccccc1 ZINC001161612234 1133008626 /nfs/dbraw/zinc/00/86/26/1133008626.db2.gz FUSDXNRBHBFQSV-CYBMUJFWSA-N 1 2 256.349 3.523 20 0 CHADLO Cc1ccccc1CNc1cc(N(C)C)cc(Cl)[nH+]1 ZINC001161617389 1133010133 /nfs/dbraw/zinc/01/01/33/1133010133.db2.gz UBCYCGXOJCHVHP-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO C=Cc1ccc(NCC2(CC3CC3)CCOCC2)[nH+]c1 ZINC001161651519 1133014214 /nfs/dbraw/zinc/01/42/14/1133014214.db2.gz AYIRYMJPFMLRTO-UHFFFAOYSA-N 1 2 272.392 3.733 20 0 CHADLO c1[nH]c2c(cccc2Nc2cccc3c2CCCO3)[nH+]1 ZINC001213034286 1133014238 /nfs/dbraw/zinc/01/42/38/1133014238.db2.gz BHASSEXHMBOFRG-UHFFFAOYSA-N 1 2 265.316 3.632 20 0 CHADLO COc1cc2ccccc2cc1NCCCn1cc[nH+]c1 ZINC001161688340 1133016259 /nfs/dbraw/zinc/01/62/59/1133016259.db2.gz PIIOHEKPKPJECS-UHFFFAOYSA-N 1 2 281.359 3.547 20 0 CHADLO COC[C@H](Nc1cc(-c2ccccc2)cc[nH+]1)C1CC1 ZINC001161721634 1133020062 /nfs/dbraw/zinc/02/00/62/1133020062.db2.gz YPKGXYAVZKFHGQ-INIZCTEOSA-N 1 2 268.360 3.586 20 0 CHADLO COc1ccc2ccc(N[C@H]3CC=CCC3)[nH+]c2c1 ZINC001161752927 1133023424 /nfs/dbraw/zinc/02/34/24/1133023424.db2.gz WPHMIDJRGZIVJO-ZDUSSCGKSA-N 1 2 254.333 3.764 20 0 CHADLO Cc1nc2cc(Nc3[nH+]cc(O)cc3C)ccc2s1 ZINC001213035480 1133028176 /nfs/dbraw/zinc/02/81/76/1133028176.db2.gz OUJDECMXCYSHRU-UHFFFAOYSA-N 1 2 271.345 3.757 20 0 CHADLO Cc1nc2cc(Nc3cccn4cc[nH+]c34)ccc2s1 ZINC001213035649 1133028256 /nfs/dbraw/zinc/02/82/56/1133028256.db2.gz YYSJFQONPXHZKB-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO CSc1nc(NC(C)(C)CC2CCC2)cc(C)[nH+]1 ZINC001161846624 1133034646 /nfs/dbraw/zinc/03/46/46/1133034646.db2.gz BVIOCRLVYPNMSB-UHFFFAOYSA-N 1 2 265.426 3.888 20 0 CHADLO c1ccc(-c2cc[nH+]c(NC3(c4cnccn4)CC3)c2)cc1 ZINC001161940489 1133040775 /nfs/dbraw/zinc/04/07/75/1133040775.db2.gz WWGXECVLZZGIBU-UHFFFAOYSA-N 1 2 288.354 3.640 20 0 CHADLO CCc1c[nH]c2nc[nH+]c(SCc3ccccc3)c12 ZINC001161984869 1133047255 /nfs/dbraw/zinc/04/72/55/1133047255.db2.gz ULIJVUHUAIKPQT-UHFFFAOYSA-N 1 2 269.373 3.813 20 0 CHADLO Cc1cccc2c(C)cc(NCc3nccnc3C)[nH+]c12 ZINC001161997334 1133048176 /nfs/dbraw/zinc/04/81/76/1133048176.db2.gz ISXJMHRGBONMHB-UHFFFAOYSA-N 1 2 278.359 3.562 20 0 CHADLO C=Cc1ccc(NCC(C)(C)c2cc(OC)cc[nH+]2)nc1 ZINC001162129251 1133053141 /nfs/dbraw/zinc/05/31/41/1133053141.db2.gz CAGJEVRLFVUURM-UHFFFAOYSA-N 1 2 283.375 3.518 20 0 CHADLO COc1cccc2c(NCc3cnc(C)s3)cc[nH+]c12 ZINC001162161508 1133055377 /nfs/dbraw/zinc/05/53/77/1133055377.db2.gz JZFDCCJUGQTJCW-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO CCCCNc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)nn1 ZINC001162202441 1133058631 /nfs/dbraw/zinc/05/86/31/1133058631.db2.gz XOQUQRPQOFEDDL-UHFFFAOYSA-N 1 2 293.374 3.541 20 0 CHADLO CCc1cc(N2CCC[C@H]2C2CCCC2)nc(CC)[nH+]1 ZINC001162227358 1133062247 /nfs/dbraw/zinc/06/22/47/1133062247.db2.gz WUPKIZBDNIZHCB-HNNXBMFYSA-N 1 2 273.424 3.760 20 0 CHADLO CSc1cc[nH+]c(NC(C)(C)Cc2cccnc2)c1 ZINC001162240883 1133062786 /nfs/dbraw/zinc/06/27/86/1133062786.db2.gz BAZQSUVYQDAUAN-UHFFFAOYSA-N 1 2 273.405 3.632 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N[C@@H]2C[C@]23CCCOC3)c1 ZINC001162369963 1133073472 /nfs/dbraw/zinc/07/34/72/1133073472.db2.gz GSGVVQWACUWWSN-ZBFHGGJFSA-N 1 2 288.778 3.869 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NC(C)(C)C2CC2)c1 ZINC001162522359 1133086930 /nfs/dbraw/zinc/08/69/30/1133086930.db2.gz VAFPCBOXHYURKC-UHFFFAOYSA-N 1 2 255.365 3.688 20 0 CHADLO COC(=[NH2+])c1cccc(NC[C@H]2CCC(F)(F)C2)c1 ZINC001162650807 1133100211 /nfs/dbraw/zinc/10/02/11/1133100211.db2.gz TUYULLPJVAAOIC-JTQLQIEISA-N 1 2 268.307 3.506 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1nc(Cl)nc2cc(F)ccc21 ZINC001162657192 1133101784 /nfs/dbraw/zinc/10/17/84/1133101784.db2.gz IPEVPKACXLAGJH-UHFFFAOYSA-N 1 2 280.734 3.858 20 0 CHADLO CCS[C@H]1CCC[C@@H]1Nc1nc(C)[nH+]c2c1CCCC2 ZINC001162717520 1133106191 /nfs/dbraw/zinc/10/61/91/1133106191.db2.gz MPLPBMGZEFLPRO-GJZGRUSLSA-N 1 2 291.464 3.750 20 0 CHADLO Fc1ccc2nc(NCc3ccn4cc[nH+]c4c3)sc2c1 ZINC001162793076 1133113518 /nfs/dbraw/zinc/11/35/18/1133113518.db2.gz SKVCCJQBTAWXRS-UHFFFAOYSA-N 1 2 298.346 3.695 20 0 CHADLO CC[C@@H]1CCC[C@H]1Nc1nc(C)[nH+]c2c1CCCC2 ZINC001162818737 1133114349 /nfs/dbraw/zinc/11/43/49/1133114349.db2.gz JZUYTMASQDOZQW-TZMCWYRMSA-N 1 2 259.397 3.654 20 0 CHADLO CCN(CC)c1cc(N[C@H]2CCc3ccc(C)cc32)[nH+]cn1 ZINC001162823963 1133114948 /nfs/dbraw/zinc/11/49/48/1133114948.db2.gz HZIUPICZXDFEDS-INIZCTEOSA-N 1 2 296.418 3.731 20 0 CHADLO CCN(CC)c1cc(N[C@H]2CCc3ccc(C)cc32)nc[nH+]1 ZINC001162823963 1133114949 /nfs/dbraw/zinc/11/49/49/1133114949.db2.gz HZIUPICZXDFEDS-INIZCTEOSA-N 1 2 296.418 3.731 20 0 CHADLO COC1CC(CNc2[nH+]ccc3cc(Cl)ccc32)C1 ZINC001162986376 1133125641 /nfs/dbraw/zinc/12/56/41/1133125641.db2.gz VSSWTNLZJWIBES-UHFFFAOYSA-N 1 2 276.767 3.725 20 0 CHADLO CS[C@@H](CNc1nc(C)[nH+]c2c1CCCC2)C(C)(C)C ZINC001163030403 1133126775 /nfs/dbraw/zinc/12/67/75/1133126775.db2.gz FJOGWOKXNURHKO-AWEZNQCLSA-N 1 2 293.480 3.853 20 0 CHADLO Cc1cc(N[C@H](c2cccnc2)C(C)C)nc(C2CC2)[nH+]1 ZINC001163062956 1133128919 /nfs/dbraw/zinc/12/89/19/1133128919.db2.gz BSBCFJVJOPPYNV-INIZCTEOSA-N 1 2 282.391 3.867 20 0 CHADLO COc1cc(C)c[nH+]c1NCCOc1cccc2ccoc21 ZINC001163078268 1133129492 /nfs/dbraw/zinc/12/94/92/1133129492.db2.gz YVBRZYIXKNYFCW-UHFFFAOYSA-N 1 2 298.342 3.636 20 0 CHADLO CC(=O)Oc1ccc(F)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203381173 1133156379 /nfs/dbraw/zinc/15/63/79/1133156379.db2.gz QJRNFVYRJGDJEI-UHFFFAOYSA-N 1 2 274.295 3.506 20 0 CHADLO CCOC(=O)c1c(C)cc(N2[C@H](C)CCC[C@H]2C)[nH+]c1C ZINC001163546526 1133157712 /nfs/dbraw/zinc/15/77/12/1133157712.db2.gz PVBJYJSDTVWFBR-CHWSQXEVSA-N 1 2 290.407 3.642 20 0 CHADLO Cc1cc(N2[C@H](C)CCC[C@H]2C)nc(C(C)(C)C)[nH+]1 ZINC001163551071 1133158375 /nfs/dbraw/zinc/15/83/75/1133158375.db2.gz YAEPVIQDOCHGMX-CHWSQXEVSA-N 1 2 261.413 3.850 20 0 CHADLO Cc1[nH+]c(C(C)(C)C)nc(NCCc2cccc(O)c2)c1C ZINC001163698417 1133166353 /nfs/dbraw/zinc/16/63/53/1133166353.db2.gz MXHRMHBIDUESEJ-UHFFFAOYSA-N 1 2 299.418 3.751 20 0 CHADLO Cc1nc(NC[C@@H]2CCC[C@H]3C[C@H]32)c2c([nH+]1)CCCC2 ZINC001163739380 1133170530 /nfs/dbraw/zinc/17/05/30/1133170530.db2.gz GFLQUQYIYXKNMZ-KCQAQPDRSA-N 1 2 271.408 3.512 20 0 CHADLO Cc1cc(N2CC3(C2)CC(F)(F)C3)[nH+]c2ccccc12 ZINC001163745836 1133171062 /nfs/dbraw/zinc/17/10/62/1133171062.db2.gz MCGAHAHQPQNAQE-UHFFFAOYSA-N 1 2 274.314 3.779 20 0 CHADLO Cc1cc(C)cc(CNc2cc(C3(C)OCCO3)cc[nH+]2)c1 ZINC001163747245 1133171243 /nfs/dbraw/zinc/17/12/43/1133171243.db2.gz CNGVXSGKJFDFNR-UHFFFAOYSA-N 1 2 298.386 3.530 20 0 CHADLO F[C@H]1CCN(c2[nH+]ccc3ccccc32)CCC1(F)F ZINC001163772823 1133173502 /nfs/dbraw/zinc/17/35/02/1133173502.db2.gz PUONQSIBAGLOAQ-ZDUSSCGKSA-N 1 2 280.293 3.808 20 0 CHADLO Cc1ccc2cc[nH+]c(N3CCC(=O)[C@@H]4CCCC[C@H]43)c2c1 ZINC001163789122 1133175483 /nfs/dbraw/zinc/17/54/83/1133175483.db2.gz AKNKLEJVDRAPBS-NVXWUHKLSA-N 1 2 294.398 3.881 20 0 CHADLO Cc1cc(N2CCCC(F)(F)CC2)nc(C(C)C)[nH+]1 ZINC001163981941 1133191665 /nfs/dbraw/zinc/19/16/65/1133191665.db2.gz GUKFMLBCTUGDNQ-UHFFFAOYSA-N 1 2 269.339 3.534 20 0 CHADLO CC(C)(C)c1ccc(N2CC[C@H](CF)C(F)(F)C2)[nH+]c1 ZINC001164100148 1133196765 /nfs/dbraw/zinc/19/67/65/1133196765.db2.gz WQOSRUMLRGSHOR-LLVKDONJSA-N 1 2 286.341 3.810 20 0 CHADLO COc1ccc2[nH+]c(N(C)C3CC(C)C3)cc(C)c2c1 ZINC001164195049 1133205387 /nfs/dbraw/zinc/20/53/87/1133205387.db2.gz GMYONHKHMVDVDL-UHFFFAOYSA-N 1 2 270.376 3.787 20 0 CHADLO CSc1cc(NCc2cnc3ccccc3c2)nc(C)[nH+]1 ZINC001164200195 1133206907 /nfs/dbraw/zinc/20/69/07/1133206907.db2.gz BELRSHCIVNVYKR-UHFFFAOYSA-N 1 2 296.399 3.667 20 0 CHADLO CSc1cc[nH+]c(N2CC[C@@](CF)(C(F)(F)F)C2)c1 ZINC001164267406 1133211020 /nfs/dbraw/zinc/21/10/20/1133211020.db2.gz AXBRBVCXLSRVCY-NSHDSACASA-N 1 2 294.317 3.532 20 0 CHADLO CCc1nc(N2Cc3ccccc3[C@H]2CC)cc(C)[nH+]1 ZINC001164310026 1133212629 /nfs/dbraw/zinc/21/26/29/1133212629.db2.gz HFXIADZETGYZHD-OAHLLOKOSA-N 1 2 267.376 3.819 20 0 CHADLO CC(C)(C)c1ccc(N2CC[C@@](C)(F)[C@@H](F)C2)[nH+]c1 ZINC001164340840 1133215039 /nfs/dbraw/zinc/21/50/39/1133215039.db2.gz SQMOWIONGUHVDW-SWLSCSKDSA-N 1 2 268.351 3.656 20 0 CHADLO Cc1cc(N2CC(F)(F)C[C@H]2CF)[nH+]c2ccccc12 ZINC001164525416 1133222160 /nfs/dbraw/zinc/22/21/60/1133222160.db2.gz FSLKTQNJKJVCJX-NSHDSACASA-N 1 2 280.293 3.727 20 0 CHADLO Cc1ccc2cc[nH+]c(N3CC(F)(F)C[C@H]3CF)c2c1 ZINC001164525715 1133222674 /nfs/dbraw/zinc/22/26/74/1133222674.db2.gz UKDWYCIPEYDNLE-LBPRGKRZSA-N 1 2 280.293 3.727 20 0 CHADLO CC(C)c1ccc(N2CC(F)(F)C[C@]2(C)CF)[nH+]c1 ZINC001164563463 1133223685 /nfs/dbraw/zinc/22/36/85/1133223685.db2.gz OWDNNJAXVDTKBG-CYBMUJFWSA-N 1 2 272.314 3.779 20 0 CHADLO FC(F)(F)C1CCC(Nc2ccn3cc[nH+]c3c2)CC1 ZINC001165625121 1133247757 /nfs/dbraw/zinc/24/77/57/1133247757.db2.gz PQSLGIJPUACRRG-UHFFFAOYSA-N 1 2 283.297 3.867 20 0 CHADLO C(=C/c1ccccc1)\CNc1ccc(-n2cccc2)c[nH+]1 ZINC001166096924 1133259172 /nfs/dbraw/zinc/25/91/72/1133259172.db2.gz HGNDDCQTYRLQAU-RMKNXTFCSA-N 1 2 275.355 3.998 20 0 CHADLO CSCc1cc[nH+]c(N(C)Cc2ccc(C)cc2)c1 ZINC001166539222 1133269884 /nfs/dbraw/zinc/26/98/84/1133269884.db2.gz YGZRMQGSKXSSJF-UHFFFAOYSA-N 1 2 272.417 3.889 20 0 CHADLO Cc1c(F)cc[nH+]c1N(C)CCCc1ccccc1 ZINC001166556015 1133270525 /nfs/dbraw/zinc/27/05/25/1133270525.db2.gz AJOPQNNDIPLZGO-UHFFFAOYSA-N 1 2 258.340 3.598 20 0 CHADLO CSc1cc[nH+]c(N(C)CCCc2ccccc2)c1 ZINC001166556097 1133270792 /nfs/dbraw/zinc/27/07/92/1133270792.db2.gz BUZOFQXHWGVGDV-UHFFFAOYSA-N 1 2 272.417 3.873 20 0 CHADLO Cc1c(F)cc[nH+]c1N(C)C12CC3CC(CC(C3)C1)C2 ZINC001166595114 1133273437 /nfs/dbraw/zinc/27/34/37/1133273437.db2.gz KRFXHAGHLFWLGV-UHFFFAOYSA-N 1 2 274.383 3.934 20 0 CHADLO c1ccc([C@H]2CN(c3[nH+]ccc4ccccc43)CCO2)cc1 ZINC001166677183 1133281200 /nfs/dbraw/zinc/28/12/00/1133281200.db2.gz MGJDSNVVMSKMSK-GOSISDBHSA-N 1 2 290.366 3.813 20 0 CHADLO COc1cccc2[nH+]c(NCCc3ccsc3)ccc21 ZINC001166783500 1133287076 /nfs/dbraw/zinc/28/70/76/1133287076.db2.gz IEBSUOCLACDOQK-UHFFFAOYSA-N 1 2 284.384 3.960 20 0 CHADLO c1cc(CCNc2cc(-c3ccncc3)cc[nH+]2)cs1 ZINC001166783687 1133287419 /nfs/dbraw/zinc/28/74/19/1133287419.db2.gz KQTKSSJOOBNKLT-UHFFFAOYSA-N 1 2 281.384 3.860 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(OC)c2ccccc12 ZINC001203321504 1133315463 /nfs/dbraw/zinc/31/54/63/1133315463.db2.gz YGXFWZBFJAMNSY-UHFFFAOYSA-N 1 2 281.359 3.677 20 0 CHADLO Cc1ccc(Nc2cnc(-c3ccccc3)cn2)c(C)[nH+]1 ZINC001203363212 1133319046 /nfs/dbraw/zinc/31/90/46/1133319046.db2.gz NSWUEEOYUZSDFB-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO CCOC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)c(F)c1 ZINC001203372480 1133319759 /nfs/dbraw/zinc/31/97/59/1133319759.db2.gz BJUURGVNFKWMSZ-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO Cc1ccc(Nc2cncc(OC(F)(F)F)c2)c(C)[nH+]1 ZINC001203374093 1133320111 /nfs/dbraw/zinc/32/01/11/1133320111.db2.gz SSXBNKFRMRGIRN-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1ccc(Nc2cnc3c(c2)CCCC3)c(C)[nH+]1 ZINC001203370881 1133320470 /nfs/dbraw/zinc/32/04/70/1133320470.db2.gz YUKBBKGOSCALGL-UHFFFAOYSA-N 1 2 253.349 3.716 20 0 CHADLO COc1cc(OC)c(Nc2ccc(C)[nH+]c2C)cc1F ZINC001203374538 1133320574 /nfs/dbraw/zinc/32/05/74/1133320574.db2.gz KSPDHTBKAKMNFP-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO COc1cc(Nc2cn(C)c3ccccc23)cc(C)[nH+]1 ZINC001203419261 1133325302 /nfs/dbraw/zinc/32/53/02/1133325302.db2.gz LDBMHZKUZOEQKA-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO CNc1ccc(Nc2cccc(F)c2Br)c[nH+]1 ZINC001203458867 1133331012 /nfs/dbraw/zinc/33/10/12/1133331012.db2.gz SDORUDWXNLMXKH-UHFFFAOYSA-N 1 2 296.143 3.769 20 0 CHADLO CNc1ccc(Nc2cncc(C3CCC3)c2)c[nH+]1 ZINC001203453160 1133328930 /nfs/dbraw/zinc/32/89/30/1133328930.db2.gz XCMJDHSNDYTEBL-UHFFFAOYSA-N 1 2 254.337 3.529 20 0 CHADLO CNc1ccc(Nc2ccc(C(=O)OC(C)(C)C)cc2)c[nH+]1 ZINC001203455142 1133328971 /nfs/dbraw/zinc/32/89/71/1133328971.db2.gz QGHWATYUVYDNDY-UHFFFAOYSA-N 1 2 299.374 3.822 20 0 CHADLO CNc1ccc(Nc2cccnc2C2CCC2)c[nH+]1 ZINC001203452203 1133329068 /nfs/dbraw/zinc/32/90/68/1133329068.db2.gz ISHDOVOXOCHSEC-UHFFFAOYSA-N 1 2 254.337 3.529 20 0 CHADLO CNc1ccc(Nc2c(F)ccc(OC)c2Cl)c[nH+]1 ZINC001203458373 1133329501 /nfs/dbraw/zinc/32/95/01/1133329501.db2.gz MBOBOHZVLQZWKA-UHFFFAOYSA-N 1 2 281.718 3.668 20 0 CHADLO CNc1ccc(Nc2cc(F)ccc2OC(C)C)c[nH+]1 ZINC001203462630 1133330529 /nfs/dbraw/zinc/33/05/29/1133330529.db2.gz XBXNHPDWACGLSK-UHFFFAOYSA-N 1 2 275.327 3.793 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1cccc2c1OCC2 ZINC001203463877 1133331030 /nfs/dbraw/zinc/33/10/30/1133331030.db2.gz HZVPEXQCXXXVSP-MSOLQXFVSA-N 1 2 297.373 3.737 20 0 CHADLO CNc1ccc(N(c2ccccc2N)C2CCCC2)c[nH+]1 ZINC001203464440 1133331154 /nfs/dbraw/zinc/33/11/54/1133331154.db2.gz AIZDFXJGVHMPLJ-UHFFFAOYSA-N 1 2 282.391 3.786 20 0 CHADLO CCCn1nc(C)c(CNc2[nH]c3cc(C)ccc3[nH+]2)c1C ZINC001203479310 1133332719 /nfs/dbraw/zinc/33/27/19/1133332719.db2.gz RGFPJRQCVSXVNJ-UHFFFAOYSA-N 1 2 297.406 3.707 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(C)nccc2Br)c1 ZINC001203656040 1133347040 /nfs/dbraw/zinc/34/70/40/1133347040.db2.gz ISDPTACAJYDFKZ-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncccc2C(F)(F)F)c1 ZINC001203654412 1133347629 /nfs/dbraw/zinc/34/76/29/1133347629.db2.gz JDXGLDOGWODSEH-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(C)cc(Br)n2)c1 ZINC001203656401 1133348705 /nfs/dbraw/zinc/34/87/05/1133348705.db2.gz QOMNBAMDJUWGCM-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1cc(C)c[nH+]c1C ZINC001203666689 1133350821 /nfs/dbraw/zinc/35/08/21/1133350821.db2.gz BIASUADDMAIJMH-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO COc1cc(C(C)=O)ccc1Nc1cc(C)c[nH+]c1C ZINC001203663637 1133351064 /nfs/dbraw/zinc/35/10/64/1133351064.db2.gz VBWYYPDVAHKLRJ-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+]Cc1cccc2ccoc21 ZINC001203665907 1133351707 /nfs/dbraw/zinc/35/17/07/1133351707.db2.gz SZEOLZSWLMTINJ-NVXWUHKLSA-N 1 2 297.329 3.994 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+]Cc1cccc2ccoc21 ZINC001203665909 1133351958 /nfs/dbraw/zinc/35/19/58/1133351958.db2.gz SZEOLZSWLMTINJ-WBVHZDCISA-N 1 2 297.329 3.994 20 0 CHADLO Fc1ccc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001203712491 1133356904 /nfs/dbraw/zinc/35/69/04/1133356904.db2.gz HTEVEKSFNJRQNM-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO O=c1cc(Nc2ccc([NH+]3CCCCC3)cc2)cc[nH]1 ZINC001203713327 1133357108 /nfs/dbraw/zinc/35/71/08/1133357108.db2.gz XTUPHPGQQSURMH-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO Nc1cccc(Nc2ccc([NH+]3CCCCC3)cc2)c1O ZINC001203724566 1133358595 /nfs/dbraw/zinc/35/85/95/1133358595.db2.gz QWWRXEZCGUJQOL-UHFFFAOYSA-N 1 2 283.375 3.708 20 0 CHADLO F[C@@H]1CCCC[N@H+](Cc2cccc(Br)c2)C1 ZINC001203766625 1133367403 /nfs/dbraw/zinc/36/74/03/1133367403.db2.gz CFOAKOAHQQEGQY-CYBMUJFWSA-N 1 2 286.188 3.773 20 0 CHADLO F[C@@H]1CCCC[N@@H+](Cc2cccc(Br)c2)C1 ZINC001203766625 1133367409 /nfs/dbraw/zinc/36/74/09/1133367409.db2.gz CFOAKOAHQQEGQY-CYBMUJFWSA-N 1 2 286.188 3.773 20 0 CHADLO COc1cccc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)c1 ZINC001203824107 1133374076 /nfs/dbraw/zinc/37/40/76/1133374076.db2.gz OCAKNOQQQBCMOM-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc(C[N@H+]2CCc3cc(F)c(F)cc3C2)c1 ZINC001203824107 1133374079 /nfs/dbraw/zinc/37/40/79/1133374079.db2.gz OCAKNOQQQBCMOM-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1ccccn1 ZINC001204060167 1133404848 /nfs/dbraw/zinc/40/48/48/1133404848.db2.gz VXUGEFACDDQWMV-SJORKVTESA-N 1 2 276.424 3.845 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1ccccn1 ZINC001204060167 1133404851 /nfs/dbraw/zinc/40/48/51/1133404851.db2.gz VXUGEFACDDQWMV-SJORKVTESA-N 1 2 276.424 3.845 20 0 CHADLO CC(C)c1ccc(C[N@@H+]2CCC(F)(F)[C@H](F)C2)cc1 ZINC001204167611 1133413054 /nfs/dbraw/zinc/41/30/54/1133413054.db2.gz WQQLKPTWZQYIHD-CQSZACIVSA-N 1 2 271.326 3.989 20 0 CHADLO CC(C)c1ccc(C[N@H+]2CCC(F)(F)[C@H](F)C2)cc1 ZINC001204167611 1133413057 /nfs/dbraw/zinc/41/30/57/1133413057.db2.gz WQQLKPTWZQYIHD-CQSZACIVSA-N 1 2 271.326 3.989 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cccc(F)c2F)C1 ZINC001204193106 1133417876 /nfs/dbraw/zinc/41/78/76/1133417876.db2.gz FMTCPTHRTKWNEL-CQSZACIVSA-N 1 2 257.299 3.679 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)c1 ZINC001204221629 1133421771 /nfs/dbraw/zinc/42/17/71/1133421771.db2.gz YYUUIPUOQQEAQN-QGZVFWFLSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCOC[C@@H]2C2CCCCC2)c1 ZINC001204221629 1133421780 /nfs/dbraw/zinc/42/17/80/1133421780.db2.gz YYUUIPUOQQEAQN-QGZVFWFLSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc2[nH]ccc2c1Nc1cccc2[nH+]ccn21 ZINC001204260232 1133427505 /nfs/dbraw/zinc/42/75/05/1133427505.db2.gz RBKJJQRNXJMFHZ-UHFFFAOYSA-N 1 2 266.279 3.698 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1c2cc[nH]c2ccc1F ZINC001204290101 1133429883 /nfs/dbraw/zinc/42/98/83/1133429883.db2.gz SYTXBLWNOBWWKX-UHFFFAOYSA-N 1 2 280.306 3.537 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc(C(F)(F)F)cc1F ZINC001204462954 1133449727 /nfs/dbraw/zinc/44/97/27/1133449727.db2.gz BYLFDBSFQNKKKT-KCJUWKMLSA-N 1 2 279.252 3.777 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc(C(F)(F)F)cc1F ZINC001204462954 1133449731 /nfs/dbraw/zinc/44/97/31/1133449731.db2.gz BYLFDBSFQNKKKT-KCJUWKMLSA-N 1 2 279.252 3.777 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)c(F)c(F)c2)CCC1(F)F ZINC001204525082 1133457604 /nfs/dbraw/zinc/45/76/04/1133457604.db2.gz OSULARYUOMEZFI-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)c(F)c(F)c2)CCC1(F)F ZINC001204525082 1133457607 /nfs/dbraw/zinc/45/76/07/1133457607.db2.gz OSULARYUOMEZFI-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1cc(C)c(C)o1)CC2 ZINC001204539120 1133460156 /nfs/dbraw/zinc/46/01/56/1133460156.db2.gz MNTVMDVNKJGTIQ-UHFFFAOYSA-N 1 2 283.371 3.657 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1cc(C)c(C)o1)CC2 ZINC001204539120 1133460160 /nfs/dbraw/zinc/46/01/60/1133460160.db2.gz MNTVMDVNKJGTIQ-UHFFFAOYSA-N 1 2 283.371 3.657 20 0 CHADLO Cc1cccc(C[N@H+](Cc2nccs2)C2CC2)c1C ZINC001204549786 1133460903 /nfs/dbraw/zinc/46/09/03/1133460903.db2.gz LBELDILSYZDTNS-UHFFFAOYSA-N 1 2 272.417 3.925 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2nccs2)C2CC2)c1C ZINC001204549786 1133460906 /nfs/dbraw/zinc/46/09/06/1133460906.db2.gz LBELDILSYZDTNS-UHFFFAOYSA-N 1 2 272.417 3.925 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@@H+]1Cc1nccs1 ZINC001204547962 1133461248 /nfs/dbraw/zinc/46/12/48/1133461248.db2.gz UOKAEBWENUCPCF-ZDUSSCGKSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@H+]1Cc1nccs1 ZINC001204547962 1133461250 /nfs/dbraw/zinc/46/12/50/1133461250.db2.gz UOKAEBWENUCPCF-ZDUSSCGKSA-N 1 2 262.353 3.619 20 0 CHADLO Cc1c(N)cc[nH+]c1-c1c(F)ccc(OC(C)C)c1F ZINC001204634898 1133470154 /nfs/dbraw/zinc/47/01/54/1133470154.db2.gz HPYGDDZPEXDQCG-UHFFFAOYSA-N 1 2 278.302 3.705 20 0 CHADLO Clc1ccc2c(Nc3cnc4ccnn4c3)cc[nH+]c2c1 ZINC001204785480 1133485552 /nfs/dbraw/zinc/48/55/52/1133485552.db2.gz AQNWTLFNEAAFMU-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO CSc1cc(Nc2cnc3[nH]c(C)cc3c2)cc[nH+]1 ZINC001204911749 1133499056 /nfs/dbraw/zinc/49/90/56/1133499056.db2.gz WARCEZROIKBROW-UHFFFAOYSA-N 1 2 270.361 3.732 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccccc2F)c(F)c1 ZINC001204928035 1133501604 /nfs/dbraw/zinc/50/16/04/1133501604.db2.gz GDYUJJGCIGYNLU-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccccc2F)c(F)c1 ZINC001204928035 1133501607 /nfs/dbraw/zinc/50/16/07/1133501607.db2.gz GDYUJJGCIGYNLU-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO c1cn2ccc(Nc3cnc(-c4ccccc4)cn3)cc2[nH+]1 ZINC001204951071 1133506255 /nfs/dbraw/zinc/50/62/55/1133506255.db2.gz MPJPJOPJGDUCED-UHFFFAOYSA-N 1 2 287.326 3.535 20 0 CHADLO Cc1ccc(-n2nccc2Nc2ccn3cc[nH+]c3c2)cc1 ZINC001204966819 1133509633 /nfs/dbraw/zinc/50/96/33/1133509633.db2.gz YQZXEXZWWWQVFK-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO Nc1cccc2cccc(Nc3ccn4cc[nH+]c4c3)c12 ZINC001204982887 1133512526 /nfs/dbraw/zinc/51/25/26/1133512526.db2.gz KXZUVLHTTLFTDX-UHFFFAOYSA-N 1 2 274.327 3.813 20 0 CHADLO CCc1onc(C)c1C[N@@H+](C)Cc1ccc(Cl)s1 ZINC001205087563 1133526746 /nfs/dbraw/zinc/52/67/46/1133526746.db2.gz CYGGLFNIJNTCJM-UHFFFAOYSA-N 1 2 284.812 3.892 20 0 CHADLO CCc1onc(C)c1C[N@H+](C)Cc1ccc(Cl)s1 ZINC001205087563 1133526743 /nfs/dbraw/zinc/52/67/43/1133526743.db2.gz CYGGLFNIJNTCJM-UHFFFAOYSA-N 1 2 284.812 3.892 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2ccc3c(c2)CCCN3)C1 ZINC001205156223 1133538625 /nfs/dbraw/zinc/53/86/25/1133538625.db2.gz SQTMEHGIQCYXOC-UHFFFAOYSA-N 1 2 280.362 3.666 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2ccc3c(c2)CCCN3)C1 ZINC001205156223 1133538626 /nfs/dbraw/zinc/53/86/26/1133538626.db2.gz SQTMEHGIQCYXOC-UHFFFAOYSA-N 1 2 280.362 3.666 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(F)c(C)c1)C2 ZINC001205187110 1133543615 /nfs/dbraw/zinc/54/36/15/1133543615.db2.gz NIIDMRQSMLMRRQ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(F)c(C)c1)C2 ZINC001205187110 1133543618 /nfs/dbraw/zinc/54/36/18/1133543618.db2.gz NIIDMRQSMLMRRQ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO C[N@H+](Cc1c(Cl)n[nH]c1C1CC1)Cc1ccc(F)cc1 ZINC001205215190 1133547411 /nfs/dbraw/zinc/54/74/11/1133547411.db2.gz DGJCKIHQMJOLGV-UHFFFAOYSA-N 1 2 293.773 3.712 20 0 CHADLO C[N@@H+](Cc1c(Cl)n[nH]c1C1CC1)Cc1ccc(F)cc1 ZINC001205215190 1133547413 /nfs/dbraw/zinc/54/74/13/1133547413.db2.gz DGJCKIHQMJOLGV-UHFFFAOYSA-N 1 2 293.773 3.712 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2c2nccs2)cc1F ZINC001205243512 1133548581 /nfs/dbraw/zinc/54/85/81/1133548581.db2.gz HKIAAYVUOFZDOH-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2c2nccs2)cc1F ZINC001205243512 1133548586 /nfs/dbraw/zinc/54/85/86/1133548586.db2.gz HKIAAYVUOFZDOH-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO CCn1nccc1Nc1ccc2c(C)cc[nH+]c2c1 ZINC001205317702 1133559407 /nfs/dbraw/zinc/55/94/07/1133559407.db2.gz IZOXFCJCCYKJJB-UHFFFAOYSA-N 1 2 252.321 3.503 20 0 CHADLO Cn1ccc2cc(Nc3cccc(-n4cc[nH+]c4)c3)cnc21 ZINC001205723585 1133605570 /nfs/dbraw/zinc/60/55/70/1133605570.db2.gz LEUYULKZEZILTK-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CC[C@@H](C)C[C@H]2C)c(C)[nH+]1 ZINC001205900118 1133628822 /nfs/dbraw/zinc/62/88/22/1133628822.db2.gz VAQLOBABZLGFTH-UEKVPHQBSA-N 1 2 289.423 3.953 20 0 CHADLO CC[C@H](NC(=O)Nc1c(C)cc(C)[nH+]c1C)[C@H]1CC1(C)C ZINC001205900234 1133628856 /nfs/dbraw/zinc/62/88/56/1133628856.db2.gz WPSWJLTXVBQLKL-KGLIPLIRSA-N 1 2 289.423 3.953 20 0 CHADLO CSc1ccc(F)cc1-c1cc(-n2ccnc2)cc[nH+]1 ZINC001205963035 1133635111 /nfs/dbraw/zinc/63/51/11/1133635111.db2.gz PZUPIAXAJVGSRC-UHFFFAOYSA-N 1 2 285.347 3.795 20 0 CHADLO C[C@@H](COC1C[NH+](Cc2ccccc2)C1)c1ccccc1 ZINC001205999660 1133639875 /nfs/dbraw/zinc/63/98/75/1133639875.db2.gz BOWJUMHWBBREEH-INIZCTEOSA-N 1 2 281.399 3.691 20 0 CHADLO Cc1c[nH]c2ncc(Nc3ccc(-n4cc[nH+]c4)cc3)cc12 ZINC001206042531 1133647339 /nfs/dbraw/zinc/64/73/39/1133647339.db2.gz FJGWFJVBQQQMKO-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO CCC1(CC)C[NH+](Cc2cncc(Br)c2C)C1 ZINC001206175059 1133667295 /nfs/dbraw/zinc/66/72/95/1133667295.db2.gz XTPYRBSZRDLYNX-UHFFFAOYSA-N 1 2 297.240 3.775 20 0 CHADLO Fc1cc(-c2ccc(-c3[nH]cc[nH+]3)cc2)cc2n[nH]cc21 ZINC001206594599 1133724745 /nfs/dbraw/zinc/72/47/45/1133724745.db2.gz ROUYAUIQFZQURU-UHFFFAOYSA-N 1 2 278.290 3.759 20 0 CHADLO COc1cc(C)ccc1C[N@H+](C)Cc1cnc(Cl)s1 ZINC001206740460 1133738547 /nfs/dbraw/zinc/73/85/47/1133738547.db2.gz ITYVLYYIDATWQA-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1cc(C)ccc1C[N@@H+](C)Cc1cnc(Cl)s1 ZINC001206740460 1133738551 /nfs/dbraw/zinc/73/85/51/1133738551.db2.gz ITYVLYYIDATWQA-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO Oc1cc(F)cc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001206760421 1133743908 /nfs/dbraw/zinc/74/39/08/1133743908.db2.gz QVGFLTKQSHWWBR-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+](C)Cc1c(F)cncc1F ZINC001206862592 1133757723 /nfs/dbraw/zinc/75/77/23/1133757723.db2.gz MYSCXIJFOVQSCK-MRXNPFEDSA-N 1 2 276.330 3.943 20 0 CHADLO CC[C@H](c1ccccc1)[N@@H+](C)Cc1c(F)cncc1F ZINC001206862592 1133757726 /nfs/dbraw/zinc/75/77/26/1133757726.db2.gz MYSCXIJFOVQSCK-MRXNPFEDSA-N 1 2 276.330 3.943 20 0 CHADLO C[N@H+](Cc1cccc(=O)[nH]1)Cc1cccc(Cl)c1Cl ZINC001207070733 1133764603 /nfs/dbraw/zinc/76/46/03/1133764603.db2.gz FBDBLWZFEKSKPQ-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1cccc(=O)[nH]1)Cc1cccc(Cl)c1Cl ZINC001207070733 1133764606 /nfs/dbraw/zinc/76/46/06/1133764606.db2.gz FBDBLWZFEKSKPQ-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO Fc1cnc(C[N@H+]2CC=C(c3ccccc3)CC2)c(F)c1 ZINC001207099869 1133767168 /nfs/dbraw/zinc/76/71/68/1133767168.db2.gz UVJTVSBWYWZJRO-UHFFFAOYSA-N 1 2 286.325 3.649 20 0 CHADLO Fc1cnc(C[N@@H+]2CC=C(c3ccccc3)CC2)c(F)c1 ZINC001207099869 1133767174 /nfs/dbraw/zinc/76/71/74/1133767174.db2.gz UVJTVSBWYWZJRO-UHFFFAOYSA-N 1 2 286.325 3.649 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cccc(C(F)F)c2)C[C@@H]1F ZINC001207127277 1133770060 /nfs/dbraw/zinc/77/00/60/1133770060.db2.gz YLWUSXRJHWLVNZ-JSGCOSHPSA-N 1 2 275.289 3.896 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cccc(C(F)F)c2)C[C@@H]1F ZINC001207127277 1133770062 /nfs/dbraw/zinc/77/00/62/1133770062.db2.gz YLWUSXRJHWLVNZ-JSGCOSHPSA-N 1 2 275.289 3.896 20 0 CHADLO C[C@@H]1CC[N@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342928 1133793516 /nfs/dbraw/zinc/79/35/16/1133793516.db2.gz RCPPRDJDWLPMLQ-SNVBAGLBSA-N 1 2 267.310 3.789 20 0 CHADLO C[C@@H]1CC[N@@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342928 1133793519 /nfs/dbraw/zinc/79/35/19/1133793519.db2.gz RCPPRDJDWLPMLQ-SNVBAGLBSA-N 1 2 267.310 3.789 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1cscc1Cl)C2 ZINC001207843871 1133853840 /nfs/dbraw/zinc/85/38/40/1133853840.db2.gz HQYDIMPZCAJGGJ-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1cscc1Cl)C2 ZINC001207843871 1133853846 /nfs/dbraw/zinc/85/38/46/1133853846.db2.gz HQYDIMPZCAJGGJ-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO COCC1(CNc2[nH+]c3ccccc3n2C2CC2)CCC1 ZINC001207876286 1133857737 /nfs/dbraw/zinc/85/77/37/1133857737.db2.gz OQASVNSRQCHPGO-UHFFFAOYSA-N 1 2 285.391 3.600 20 0 CHADLO CC/C=C\CCCN1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001208138352 1133883916 /nfs/dbraw/zinc/88/39/16/1133883916.db2.gz OEQROFSHSHUNSR-JZIMQPMSSA-N 1 2 286.410 3.538 20 0 CHADLO CC/C=C\CCCCC[N@@H+]1CC(F)(F)CC[C@@H]1CO ZINC001208189848 1133902406 /nfs/dbraw/zinc/90/24/06/1133902406.db2.gz ZBLCBWGLZAZBJT-VQTKUKTRSA-N 1 2 275.383 3.605 20 0 CHADLO CC/C=C\CCCCC[N@H+]1CC(F)(F)CC[C@@H]1CO ZINC001208189848 1133902409 /nfs/dbraw/zinc/90/24/09/1133902409.db2.gz ZBLCBWGLZAZBJT-VQTKUKTRSA-N 1 2 275.383 3.605 20 0 CHADLO C[C@H](C[N@@H+]1CCC[C@H](F)C(=O)C1)c1cccc2ccccc21 ZINC001208707871 1133933558 /nfs/dbraw/zinc/93/35/58/1133933558.db2.gz UUHYJLIVCPJASJ-KDOFPFPSSA-N 1 2 299.389 3.946 20 0 CHADLO C[C@H](C[N@H+]1CCC[C@H](F)C(=O)C1)c1cccc2ccccc21 ZINC001208707871 1133933561 /nfs/dbraw/zinc/93/35/61/1133933561.db2.gz UUHYJLIVCPJASJ-KDOFPFPSSA-N 1 2 299.389 3.946 20 0 CHADLO COc1ccccc1CCC[N@@H+]1CC[C@H](C)C(F)(F)C1 ZINC001208835578 1133950042 /nfs/dbraw/zinc/95/00/42/1133950042.db2.gz MMEPCAVOTUKHEH-ZDUSSCGKSA-N 1 2 283.362 3.605 20 0 CHADLO COc1ccccc1CCC[N@H+]1CC[C@H](C)C(F)(F)C1 ZINC001208835578 1133950046 /nfs/dbraw/zinc/95/00/46/1133950046.db2.gz MMEPCAVOTUKHEH-ZDUSSCGKSA-N 1 2 283.362 3.605 20 0 CHADLO O=C1C[C@H]2CCC[C@@H](C1)[N@H+]2CCCc1ccccc1Cl ZINC001208871362 1133954901 /nfs/dbraw/zinc/95/49/01/1133954901.db2.gz GKPYKXRIBWGGOF-GASCZTMLSA-N 1 2 291.822 3.859 20 0 CHADLO O=C1C[C@H]2CCC[C@@H](C1)[N@@H+]2CCCc1ccccc1Cl ZINC001208871362 1133954904 /nfs/dbraw/zinc/95/49/04/1133954904.db2.gz GKPYKXRIBWGGOF-GASCZTMLSA-N 1 2 291.822 3.859 20 0 CHADLO c1cn2ccc(NC3=CCN(c4ccccc4)CC3)cc2[nH+]1 ZINC001209056973 1133970643 /nfs/dbraw/zinc/97/06/43/1133970643.db2.gz KOISDEODDRLKIL-UHFFFAOYSA-N 1 2 290.370 3.540 20 0 CHADLO CCOC(C)(C)C[N@@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001209078660 1133971893 /nfs/dbraw/zinc/97/18/93/1133971893.db2.gz KGJCJRPYIMPETL-HNNXBMFYSA-N 1 2 297.389 3.926 20 0 CHADLO CCOC(C)(C)C[N@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001209078660 1133971897 /nfs/dbraw/zinc/97/18/97/1133971897.db2.gz KGJCJRPYIMPETL-HNNXBMFYSA-N 1 2 297.389 3.926 20 0 CHADLO C[C@@H]1C[N@H+](CCc2ccc(Cl)cc2Cl)[C@@H](C)CO1 ZINC001209082711 1133974551 /nfs/dbraw/zinc/97/45/51/1133974551.db2.gz BNMHRNISQAOJLM-WDEREUQCSA-N 1 2 288.218 3.645 20 0 CHADLO C[C@@H]1C[N@@H+](CCc2ccc(Cl)cc2Cl)[C@@H](C)CO1 ZINC001209082711 1133974557 /nfs/dbraw/zinc/97/45/57/1133974557.db2.gz BNMHRNISQAOJLM-WDEREUQCSA-N 1 2 288.218 3.645 20 0 CHADLO FC(F)C1C[NH+](CCc2c(Cl)cccc2Cl)C1 ZINC001209109782 1133975140 /nfs/dbraw/zinc/97/51/40/1133975140.db2.gz WTZMLRHVLPLPRO-UHFFFAOYSA-N 1 2 280.145 3.733 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1CCc1cccc(C(F)(F)F)c1 ZINC001209120555 1133977813 /nfs/dbraw/zinc/97/78/13/1133977813.db2.gz BLMDTZHADPYCSQ-STQMWFEESA-N 1 2 293.279 3.630 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1CCc1cccc(C(F)(F)F)c1 ZINC001209120555 1133977818 /nfs/dbraw/zinc/97/78/18/1133977818.db2.gz BLMDTZHADPYCSQ-STQMWFEESA-N 1 2 293.279 3.630 20 0 CHADLO CCc1cncc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001209180969 1133984653 /nfs/dbraw/zinc/98/46/53/1133984653.db2.gz KEJSXTWCMDQJON-UHFFFAOYSA-N 1 2 272.739 3.689 20 0 CHADLO Fc1cc(C(F)(F)F)cnc1Nc1ccc2[nH]c[nH+]c2c1 ZINC001209842991 1134078481 /nfs/dbraw/zinc/07/84/81/1134078481.db2.gz SVFALYAHFNZLOD-UHFFFAOYSA-N 1 2 296.227 3.859 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc4[nH]nc(N)c4c3)ccc12 ZINC001209862374 1134087719 /nfs/dbraw/zinc/08/77/19/1134087719.db2.gz LROLKFFHVZJMDP-UHFFFAOYSA-N 1 2 289.342 3.745 20 0 CHADLO CC(C)c1cccc(Nc2ccc(F)c(CO)c2)[nH+]1 ZINC001209873526 1134092876 /nfs/dbraw/zinc/09/28/76/1134092876.db2.gz ZRFIKUOSRHRFOV-UHFFFAOYSA-N 1 2 260.312 3.580 20 0 CHADLO Oc1ccc(Nc2ccn3cc[nH+]c3c2)cc1C(F)(F)F ZINC001209986153 1134117057 /nfs/dbraw/zinc/11/70/57/1134117057.db2.gz RKIJLCYZZFNKNM-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO Oc1cc(F)ccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001210018026 1134123885 /nfs/dbraw/zinc/12/38/85/1134123885.db2.gz DTPSWRNWVZWHFF-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(C)c2F)[nH+]1 ZINC001210035577 1134129021 /nfs/dbraw/zinc/12/90/21/1134129021.db2.gz RRUGOYZLCOQXSN-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1ccc([NH+](C)C)c(C)c1 ZINC001210041153 1134131290 /nfs/dbraw/zinc/13/12/90/1134131290.db2.gz JQBQDNJHLPEDFS-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO Cc1cc(Nc2cccc3c2CCC3=O)ccc1[NH+](C)C ZINC001210042690 1134132061 /nfs/dbraw/zinc/13/20/61/1134132061.db2.gz AGKPZRKYDHDRTG-UHFFFAOYSA-N 1 2 280.371 3.934 20 0 CHADLO Cc1cc2c(cccc2N)n1-c1ccc([NH+](C)C)c(C)c1 ZINC001210044151 1134132777 /nfs/dbraw/zinc/13/27/77/1134132777.db2.gz LOLGIEPZLJGENL-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO COCc1cccc(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210043522 1134133097 /nfs/dbraw/zinc/13/30/97/1134133097.db2.gz OIPKHJOXNKSEPY-UHFFFAOYSA-N 1 2 270.376 3.951 20 0 CHADLO Cc1cc(Nc2cc(F)cc(F)c2CO)ccc1[NH+](C)C ZINC001210044917 1134133310 /nfs/dbraw/zinc/13/33/10/1134133310.db2.gz OVVYARYWPHRDDK-UHFFFAOYSA-N 1 2 292.329 3.575 20 0 CHADLO Cc1cc(Nc2ccccc2[C@H](C)O)ccc1[NH+](C)C ZINC001210045782 1134134086 /nfs/dbraw/zinc/13/40/86/1134134086.db2.gz XQNDGLJNOWXJQT-ZDUSSCGKSA-N 1 2 270.376 3.858 20 0 CHADLO FC(F)Oc1cccnc1Nc1ccc2c[nH+]ccc2c1 ZINC001210046723 1134134752 /nfs/dbraw/zinc/13/47/52/1134134752.db2.gz OYHLHBMCJWKEPO-UHFFFAOYSA-N 1 2 287.269 3.975 20 0 CHADLO FC(F)(F)Cn1cnc(Nc2ccc3c[nH+]ccc3c2)c1 ZINC001210047317 1134135972 /nfs/dbraw/zinc/13/59/72/1134135972.db2.gz XILOIMCMPLUNAB-UHFFFAOYSA-N 1 2 292.264 3.737 20 0 CHADLO Cc1cc2n[nH]cc2cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001210105289 1134148269 /nfs/dbraw/zinc/14/82/69/1134148269.db2.gz UTABJKXYISZJRV-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Oc1c(F)cccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001210194700 1134163518 /nfs/dbraw/zinc/16/35/18/1134163518.db2.gz BXCICKKDQGRGDL-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO c1cc2cc[nH+]cc2c(Nc2nc3c(s2)CCC3)c1 ZINC001210222488 1134170002 /nfs/dbraw/zinc/17/00/02/1134170002.db2.gz KANDAVZBIOGCRS-UHFFFAOYSA-N 1 2 267.357 3.924 20 0 CHADLO CCOC(=O)c1ccncc1Nc1cccc2cc[nH+]cc21 ZINC001210223953 1134171939 /nfs/dbraw/zinc/17/19/39/1134171939.db2.gz LGEUHCAYIIMHQJ-UHFFFAOYSA-N 1 2 293.326 3.550 20 0 CHADLO CCN(C)c1ccc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001210225420 1134172181 /nfs/dbraw/zinc/17/21/81/1134172181.db2.gz GLMRHLBDTULPLE-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO Cc1cnc(Nc2cccc3cc[nH+]cc32)c(F)c1 ZINC001210224476 1134172754 /nfs/dbraw/zinc/17/27/54/1134172754.db2.gz OLSBSYWNESPCQO-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1cccc(O)c1Nc1cccc2cc[nH+]cc21 ZINC001210230685 1134173649 /nfs/dbraw/zinc/17/36/49/1134173649.db2.gz LFYSPUBGNJXWMX-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO Oc1cc(F)cc(F)c1Nc1cccc2cc[nH+]cc21 ZINC001210232011 1134173670 /nfs/dbraw/zinc/17/36/70/1134173670.db2.gz VWGYBMKVFVGGRO-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO COc1ccc(O)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210230846 1134174011 /nfs/dbraw/zinc/17/40/11/1134174011.db2.gz HLLWJLVAMIYEAQ-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccnc3C(F)(F)F)ccc21 ZINC001210234393 1134174834 /nfs/dbraw/zinc/17/48/34/1134174834.db2.gz MZEIVIFJSDQLHV-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO Cc1ccc2nc(Nc3c[nH+]c(C)c(N)c3)sc2c1 ZINC001210286157 1134185745 /nfs/dbraw/zinc/18/57/45/1134185745.db2.gz WHGOYFCXMBPDHR-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO CCCc1nc2ccc(Nc3c[nH+]c(C)c(N)c3)cc2o1 ZINC001210287909 1134185780 /nfs/dbraw/zinc/18/57/80/1134185780.db2.gz GIXUBXSXQLDDMZ-UHFFFAOYSA-N 1 2 282.347 3.810 20 0 CHADLO Cc1cc(OC(F)(F)F)ccc1Nc1c[nH+]c(C)c(N)c1 ZINC001210288385 1134186989 /nfs/dbraw/zinc/18/69/89/1134186989.db2.gz PCTISXYCNFFSLC-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO CC(=O)c1ccc(Cl)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210289553 1134187085 /nfs/dbraw/zinc/18/70/85/1134187085.db2.gz QWLMZGDXWAQBHW-UHFFFAOYSA-N 1 2 275.739 3.572 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(Oc3cccnc3)c2)cc1N ZINC001210291699 1134187479 /nfs/dbraw/zinc/18/74/79/1134187479.db2.gz QYXCYMQNZYUZEW-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO Cc1[nH+]cc(Nc2cccc3nc(Cl)ccc32)cc1N ZINC001210292889 1134188171 /nfs/dbraw/zinc/18/81/71/1134188171.db2.gz BHYVNTIEBJUMIJ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO COc1ncc(Nc2ccc[nH+]c2N2CCCCC2)cc1C ZINC001210313468 1134190919 /nfs/dbraw/zinc/19/09/19/1134190919.db2.gz VEJLYIJVZMFXGY-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO COc1cc(Nc2ccc(OC(F)F)cc2)cc(C)[nH+]1 ZINC001210325351 1134193178 /nfs/dbraw/zinc/19/31/78/1134193178.db2.gz HNVAHGHNNNTQIU-UHFFFAOYSA-N 1 2 280.274 3.744 20 0 CHADLO COc1c(F)cccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001210406433 1134209791 /nfs/dbraw/zinc/20/97/91/1134209791.db2.gz HJNLXQUBXCZUNQ-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO Cn1cc[nH+]c1-c1ccc(-n2ccc3ccc(N)cc32)cc1 ZINC001210431677 1134212993 /nfs/dbraw/zinc/21/29/93/1134212993.db2.gz VCOPLQWBFIOOCG-UHFFFAOYSA-N 1 2 288.354 3.613 20 0 CHADLO CSc1cc(Nc2cccc([C@@H](C)O)c2)cc[nH+]1 ZINC001210507792 1134234364 /nfs/dbraw/zinc/23/43/64/1134234364.db2.gz GQGRDFZFWIFDAB-SNVBAGLBSA-N 1 2 260.362 3.600 20 0 CHADLO C[C@H](O)c1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001210509143 1134235016 /nfs/dbraw/zinc/23/50/16/1134235016.db2.gz SAEZFGAZBOSAGO-ZDUSSCGKSA-N 1 2 279.343 3.669 20 0 CHADLO CCOc1cncc(Nc2cc[nH+]c3ccc(Cl)cc23)c1 ZINC001210659740 1134270242 /nfs/dbraw/zinc/27/02/42/1134270242.db2.gz QTFDJVAUFPQWJW-UHFFFAOYSA-N 1 2 299.761 3.847 20 0 CHADLO Sc1ccccc1NC1=CC[C@H]([NH+]2CCCC2)CC1 ZINC001210709422 1134277471 /nfs/dbraw/zinc/27/74/71/1134277471.db2.gz WKUWMKASIIFYRI-AWEZNQCLSA-N 1 2 274.433 3.919 20 0 CHADLO COc1cc(Nc2cc3ccccc3nc2C)cc(C)[nH+]1 ZINC001210774002 1134292984 /nfs/dbraw/zinc/29/29/84/1134292984.db2.gz HLYIINGZWJXQQY-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cc(Nc2cccc(C(F)(F)F)n2)cc(C)[nH+]1 ZINC001210774790 1134293372 /nfs/dbraw/zinc/29/33/72/1134293372.db2.gz AMZDJKZOVHRRLR-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO COc1cc(Nc2ncnc(Cl)c2C(C)C)cc(C)[nH+]1 ZINC001210780657 1134294928 /nfs/dbraw/zinc/29/49/28/1134294928.db2.gz ZAVQIVJQEXVZTH-UHFFFAOYSA-N 1 2 292.770 3.709 20 0 CHADLO COc1cc(Nc2cccc3ccoc32)cc(C)[nH+]1 ZINC001210781192 1134294968 /nfs/dbraw/zinc/29/49/68/1134294968.db2.gz ZDBIEFGBESLONS-UHFFFAOYSA-N 1 2 254.289 3.888 20 0 CHADLO COc1cc(Nc2ccc3nc(C)ccc3c2)cc(C)[nH+]1 ZINC001210781269 1134295487 /nfs/dbraw/zinc/29/54/87/1134295487.db2.gz QISRCGQWBCVPJC-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CCc1cccc(Nc2cccc(OC3CC3)c2)[nH+]1 ZINC001210861233 1134316899 /nfs/dbraw/zinc/31/68/99/1134316899.db2.gz WYZGTKNXRZTWKO-UHFFFAOYSA-N 1 2 254.333 3.929 20 0 CHADLO CSc1ccc(Nc2cccc3[nH+]ccn32)c(F)c1 ZINC001210869186 1134319912 /nfs/dbraw/zinc/31/99/12/1134319912.db2.gz RGDTXZPWCBWLAZ-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CCc1cccc(C)c1Nc1[nH+]cccc1N1CCOCC1 ZINC001210875037 1134321590 /nfs/dbraw/zinc/32/15/90/1134321590.db2.gz QCHWNFWQASMXQX-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO c1[nH]c2ncccc2c1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001210938737 1134332560 /nfs/dbraw/zinc/33/25/60/1134332560.db2.gz OXFPNKROWQXEJS-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO COc1cc(Nc2ccc(C)c[nH+]2)ccc1Br ZINC001211011823 1134348683 /nfs/dbraw/zinc/34/86/83/1134348683.db2.gz DAMFXXDCNWCVLB-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)NC(=O)CC3(C)C)[nH+]c1 ZINC001211012710 1134349070 /nfs/dbraw/zinc/34/90/70/1134349070.db2.gz LOWKQJATVPZNQJ-UHFFFAOYSA-N 1 2 281.359 3.753 20 0 CHADLO CSc1ccc(Nc2[nH+]cc(O)cc2C)cc1F ZINC001211108365 1134370580 /nfs/dbraw/zinc/37/05/80/1134370580.db2.gz FUUZZTBHFMPXJP-UHFFFAOYSA-N 1 2 264.325 3.700 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cnn2ccccc12 ZINC001211094374 1134367758 /nfs/dbraw/zinc/36/77/58/1134367758.db2.gz UXGMPTSXUIDDOY-UHFFFAOYSA-N 1 2 280.375 3.980 20 0 CHADLO COc1cc(Nc2cc3ccccc3[nH]2)cc(C)[nH+]1 ZINC001211307659 1134407321 /nfs/dbraw/zinc/40/73/21/1134407321.db2.gz DXNFHHWDTPLOHI-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO Cc1cnc(Cl)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n1 ZINC001213087749 1134424896 /nfs/dbraw/zinc/42/48/96/1134424896.db2.gz YRURCPQHPYTXDS-UHFFFAOYSA-N 1 2 285.738 3.572 20 0 CHADLO Cc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)ccc1CO ZINC001213091019 1134425383 /nfs/dbraw/zinc/42/53/83/1134425383.db2.gz RDMYQBSIHUFUCK-UHFFFAOYSA-N 1 2 279.343 3.621 20 0 CHADLO Nc1cc2ccn(-c3ccc(-c4[nH]cc[nH+]4)cc3)c2cc1F ZINC001213091914 1134425432 /nfs/dbraw/zinc/42/54/32/1134425432.db2.gz RJFYLVBOXIXDEZ-UHFFFAOYSA-N 1 2 292.317 3.742 20 0 CHADLO Nc1ccc2ccn(-c3ccc(-c4[nH]cc[nH+]4)cc3)c2c1 ZINC001213089055 1134425994 /nfs/dbraw/zinc/42/59/94/1134425994.db2.gz REGYVBOOPSNQHQ-UHFFFAOYSA-N 1 2 274.327 3.603 20 0 CHADLO c1cn(-c2cccc(Nc3ccc4c(c3)NCC4)c2)c[nH+]1 ZINC001213151823 1134431141 /nfs/dbraw/zinc/43/11/41/1134431141.db2.gz IEIRWDDYMPVVOM-UHFFFAOYSA-N 1 2 276.343 3.584 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)c(O)c(F)c1 ZINC001213379198 1134446101 /nfs/dbraw/zinc/44/61/01/1134446101.db2.gz DOTHVPHNXVEEDJ-UHFFFAOYSA-N 1 2 264.275 3.680 20 0 CHADLO COc1cc(C)c(Nc2cc(C3CC3)c[nH+]c2C)cn1 ZINC001213494666 1134454209 /nfs/dbraw/zinc/45/42/09/1134454209.db2.gz FCBFWELKJGYTML-UHFFFAOYSA-N 1 2 269.348 3.723 20 0 CHADLO Cc1cnc(Nc2cc(C3CC3)c[nH+]c2C)c(F)c1 ZINC001213494690 1134454248 /nfs/dbraw/zinc/45/42/48/1134454248.db2.gz GDZJVIIRSZEJHV-UHFFFAOYSA-N 1 2 257.312 3.854 20 0 CHADLO CCCc1cc(Nc2cc(C3CC3)c[nH+]c2C)on1 ZINC001213489570 1134454357 /nfs/dbraw/zinc/45/43/57/1134454357.db2.gz SRVMJCZWIHUOJR-UHFFFAOYSA-N 1 2 257.337 3.952 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(-n2ccnn2)cc1 ZINC001213497521 1134454852 /nfs/dbraw/zinc/45/48/52/1134454852.db2.gz SOPNDBHKDBJWNP-UHFFFAOYSA-N 1 2 291.358 3.592 20 0 CHADLO COc1nccc(Nc2cc(C3CC3)c[nH+]c2C)c1F ZINC001213497451 1134455409 /nfs/dbraw/zinc/45/54/09/1134455409.db2.gz PROCUOMUAVFEGJ-UHFFFAOYSA-N 1 2 273.311 3.554 20 0 CHADLO CCc1nc2ccc(Nc3cc(C4CC4)c[nH+]c3C)cn2n1 ZINC001213496906 1134455435 /nfs/dbraw/zinc/45/54/35/1134455435.db2.gz DDXLKMXYPNVKES-UHFFFAOYSA-N 1 2 293.374 3.616 20 0 CHADLO CC(=O)Nc1cccc(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213497061 1134455656 /nfs/dbraw/zinc/45/56/56/1134455656.db2.gz GMVVHHQEJWUNDN-UHFFFAOYSA-N 1 2 281.359 3.969 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2ncncc2c1 ZINC001213501154 1134456392 /nfs/dbraw/zinc/45/63/92/1134456392.db2.gz KDUPCLXQFAMAJW-UHFFFAOYSA-N 1 2 276.343 3.954 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccccc1C1=NCCO1 ZINC001213503440 1134456415 /nfs/dbraw/zinc/45/64/15/1134456415.db2.gz GLVYUOHIAXURCG-UHFFFAOYSA-N 1 2 293.370 3.788 20 0 CHADLO Cc1cc(C)c(Nc2ncc(Br)cc2C)c[nH+]1 ZINC001213503480 1134456487 /nfs/dbraw/zinc/45/64/87/1134456487.db2.gz ITDXQFIFMIQZHK-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2ccc3nc(C4CCC4)nn3c2)c[nH+]1 ZINC001213513495 1134457660 /nfs/dbraw/zinc/45/76/60/1134457660.db2.gz OZRYKLZEQRHTLC-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1cc(C)c(Nc2ccnc(F)c2Br)c[nH+]1 ZINC001213508503 1134457877 /nfs/dbraw/zinc/45/78/77/1134457877.db2.gz VDXRBVGVYITGLW-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1cc(C)c(Nc2cnc3cc(C4CCC4)nn3c2)c[nH+]1 ZINC001213510772 1134458023 /nfs/dbraw/zinc/45/80/23/1134458023.db2.gz KCWLVRJEJKLNGG-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1cc(C)c(Nc2ccc(O)c(Br)c2)c[nH+]1 ZINC001213513592 1134459093 /nfs/dbraw/zinc/45/90/93/1134459093.db2.gz YADLKSVNQRSRRM-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1cc(C)c(Nc2ccc3oc(=O)ccc3c2)c[nH+]1 ZINC001213520543 1134459110 /nfs/dbraw/zinc/45/91/10/1134459110.db2.gz DJCFPHCDQSTSFM-UHFFFAOYSA-N 1 2 266.300 3.548 20 0 CHADLO Cc1cc(C)c(Nc2cccc3c2CCC3=O)c[nH+]1 ZINC001213515911 1134459178 /nfs/dbraw/zinc/45/91/78/1134459178.db2.gz RYEYCHLDPLQDDF-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO Cc1cc(C)c(Nc2cc(Cl)c(N)cc2C)c[nH+]1 ZINC001213518307 1134459415 /nfs/dbraw/zinc/45/94/15/1134459415.db2.gz HBKNFVOVUIOHBZ-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO COc1cc(Nc2c[nH+]c(C)cc2C)cc2cccnc21 ZINC001213520542 1134459493 /nfs/dbraw/zinc/45/94/93/1134459493.db2.gz DIPCTNPCUSHIIH-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnc(C(C)(C)C)n2)c1C ZINC001213528943 1134461246 /nfs/dbraw/zinc/46/12/46/1134461246.db2.gz SZAHPCQFFYKFIR-UHFFFAOYSA-N 1 2 256.353 3.530 20 0 CHADLO CCOC(=O)c1ccc(F)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213530598 1134461274 /nfs/dbraw/zinc/46/12/74/1134461274.db2.gz GDCIYKDRDXVNJT-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO COc1ccc(Nc2c[nH+]cc(C)c2C)c2ncccc12 ZINC001213532487 1134461727 /nfs/dbraw/zinc/46/17/27/1134461727.db2.gz KYWVYSYMINTUBI-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(-c3ccccc3)cnn2)c1C ZINC001213529072 1134461784 /nfs/dbraw/zinc/46/17/84/1134461784.db2.gz VEMNQLKDMHZWDS-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(OC(F)(F)F)ccc2N)c1C ZINC001213534240 1134462377 /nfs/dbraw/zinc/46/23/77/1134462377.db2.gz SRPDDZMPXZBEEW-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO Cc1cc2c(cccc2N)n1-c1c[nH+]cc(C)c1C ZINC001213534011 1134462590 /nfs/dbraw/zinc/46/25/90/1134462590.db2.gz HTIMAYSNNFODIQ-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)CCCC3=O)c1C ZINC001213534268 1134462748 /nfs/dbraw/zinc/46/27/48/1134462748.db2.gz UUHJBDJEPDLCCA-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO CC(=O)c1cccc(Nc2c[nH+]cc(C)c2C)c1C ZINC001213534218 1134462844 /nfs/dbraw/zinc/46/28/44/1134462844.db2.gz RWGZAXVCQJVICA-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO COCc1ccc(C)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001213573760 1134464922 /nfs/dbraw/zinc/46/49/22/1134464922.db2.gz FFIUHVKUOKFTLA-UHFFFAOYSA-N 1 2 297.402 3.880 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccccc1N1CCCC1 ZINC001213601708 1134467940 /nfs/dbraw/zinc/46/79/40/1134467940.db2.gz ATMIIDMPZRGXGB-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccccc1N1CCCC1 ZINC001213608037 1134468432 /nfs/dbraw/zinc/46/84/32/1134468432.db2.gz MHMSQVCVRLITHH-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2C(=O)O[C@@H](C)CC(C)C)[nH+]c1C ZINC001213709259 1134479196 /nfs/dbraw/zinc/47/91/96/1134479196.db2.gz LXLKUDGPHFJBGY-SMDDNHRTSA-N 1 2 293.411 3.735 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2C(=O)O[C@@H](C)CC(C)C)[nH+]c1C ZINC001213709257 1134479407 /nfs/dbraw/zinc/47/94/07/1134479407.db2.gz LXLKUDGPHFJBGY-FZMZJTMJSA-N 1 2 293.411 3.735 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3cc[nH]c(=O)c3c2)c[nH+]1 ZINC001213958656 1134501233 /nfs/dbraw/zinc/50/12/33/1134501233.db2.gz BTBKAVAGMFWHDH-UHFFFAOYSA-N 1 2 294.358 3.535 20 0 CHADLO OCc1cc(F)c(Nc2cccc3cc[nH+]cc32)c(F)c1 ZINC001214096666 1134510220 /nfs/dbraw/zinc/51/02/20/1134510220.db2.gz DXZCFOLVODSRTR-UHFFFAOYSA-N 1 2 286.281 3.749 20 0 CHADLO COc1cc(Nc2ccc(N)cc2C)[nH+]cc1C(F)(F)F ZINC001214112364 1134512327 /nfs/dbraw/zinc/51/23/27/1134512327.db2.gz FEDXVQRLYHFMRX-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1OC(C)C ZINC001214330139 1134529551 /nfs/dbraw/zinc/52/95/51/1134529551.db2.gz UKFDMVCXNWPNSU-UHFFFAOYSA-N 1 2 285.391 3.987 20 0 CHADLO CCc1cccc(Nc2ccc(CO)c(C(F)(F)F)c2)[nH+]1 ZINC001214679058 1134564509 /nfs/dbraw/zinc/56/45/09/1134564509.db2.gz BTYGURYLTGEWGY-UHFFFAOYSA-N 1 2 296.292 3.899 20 0 CHADLO CC(=O)c1cc(Nc2cccc3[nH+]c(C)cn32)cs1 ZINC001214771598 1134570240 /nfs/dbraw/zinc/57/02/40/1134570240.db2.gz XBWDDPMMPHMGQV-UHFFFAOYSA-N 1 2 271.345 3.650 20 0 CHADLO Cc1cc(Nc2cccn3cc[nH+]c23)cnc1OC(C)C ZINC001214938874 1134583458 /nfs/dbraw/zinc/58/34/58/1134583458.db2.gz NFFNHKJJAGRIQK-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C)cnc1OC(C)C ZINC001214942869 1134584683 /nfs/dbraw/zinc/58/46/83/1134584683.db2.gz VLEBVTUISRXCGJ-UHFFFAOYSA-N 1 2 296.374 3.808 20 0 CHADLO COc1c(F)cc(Nc2cc(C)cc[nH+]2)cc1Cl ZINC001214963959 1134588556 /nfs/dbraw/zinc/58/85/56/1134588556.db2.gz NLCHRBVYNUWVEE-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(CO)c(F)c2F)cc1 ZINC001215046006 1134596193 /nfs/dbraw/zinc/59/61/93/1134596193.db2.gz UWWRVEIDTNJHAY-UHFFFAOYSA-N 1 2 292.329 3.657 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(CO)c(F)c2F)cc1 ZINC001215046006 1134596196 /nfs/dbraw/zinc/59/61/96/1134596196.db2.gz UWWRVEIDTNJHAY-UHFFFAOYSA-N 1 2 292.329 3.657 20 0 CHADLO COCOc1cc(C)c(Nc2ccc(C)c[nH+]2)c(C)c1 ZINC001215158769 1134602000 /nfs/dbraw/zinc/60/20/00/1134602000.db2.gz RVRHWCBBTPJKRQ-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO Cc1cc(C)c(Nc2ccc(CO)c(C)c2C)c[nH+]1 ZINC001215235821 1134608773 /nfs/dbraw/zinc/60/87/73/1134608773.db2.gz FAYSRBFABXWDHB-UHFFFAOYSA-N 1 2 256.349 3.551 20 0 CHADLO CSc1cc(Nc2ccc(CO)c(C)c2C)cc[nH+]1 ZINC001215240596 1134609297 /nfs/dbraw/zinc/60/92/97/1134609297.db2.gz FXQFLIWGNGITAT-UHFFFAOYSA-N 1 2 274.389 3.656 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)cc1 ZINC000401617300 1134618070 /nfs/dbraw/zinc/61/80/70/1134618070.db2.gz RZWKJPZDQJVKQU-LRDDRELGSA-N 1 2 285.391 3.537 20 0 CHADLO CCOc1c(Nc2ccc(N(C)C)[nH+]c2)ccc(F)c1F ZINC001215409311 1134625199 /nfs/dbraw/zinc/62/51/99/1134625199.db2.gz XBUTTZFOUDPISM-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO COc1cc(C)cc(F)c1Nc1cccc2[nH+]ccn21 ZINC001215706144 1134663480 /nfs/dbraw/zinc/66/34/80/1134663480.db2.gz TWKDNAUEFPFZMB-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO COc1cc(F)c(Nc2cc(C)cc(C)[nH+]2)cc1OC ZINC001215716740 1134665971 /nfs/dbraw/zinc/66/59/71/1134665971.db2.gz KWOQBEMHRLUXPA-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cc(OC)c(OC)cc1F ZINC001215716976 1134666090 /nfs/dbraw/zinc/66/60/90/1134666090.db2.gz RPKCDZGWJWSUOQ-UHFFFAOYSA-N 1 2 290.338 3.852 20 0 CHADLO Cc1cc(C)c(Nc2ccc(C)c3n[nH]cc32)c[nH+]1 ZINC001215755685 1134674696 /nfs/dbraw/zinc/67/46/96/1134674696.db2.gz XOTXNMZTBITGPO-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(OC)c2n[nH]cc21 ZINC001215765504 1134679553 /nfs/dbraw/zinc/67/95/53/1134679553.db2.gz XOKQDCDBIGSMEC-UHFFFAOYSA-N 1 2 282.347 3.581 20 0 CHADLO CSc1cc(Nc2cccc3[nH+]ccn32)ccc1F ZINC001215793301 1134688505 /nfs/dbraw/zinc/68/85/05/1134688505.db2.gz IZIUSBPWDPXNAR-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CSc1ncc(Nc2cccn3cc[nH+]c23)cc1C ZINC001215827170 1134696152 /nfs/dbraw/zinc/69/61/52/1134696152.db2.gz IJRGKQYIIWVXHY-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO Cc1cc(C)c(Nc2cc(Cl)cc3n[nH]cc32)c[nH+]1 ZINC001215856972 1134704336 /nfs/dbraw/zinc/70/43/36/1134704336.db2.gz BKWOYRBYVHPQJL-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO COc1cc(Nc2cc(Cl)cc3n[nH]cc32)cc(C)[nH+]1 ZINC001215859368 1134705144 /nfs/dbraw/zinc/70/51/44/1134705144.db2.gz ZADWGQGCMDJIGA-UHFFFAOYSA-N 1 2 288.738 3.672 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC)c(SC)c1 ZINC001215931638 1134723559 /nfs/dbraw/zinc/72/35/59/1134723559.db2.gz GVXIUJKCXXYVKI-UHFFFAOYSA-N 1 2 276.361 3.564 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(Cl)c3n[nH]cc32)[nH+]1 ZINC001215940413 1134726424 /nfs/dbraw/zinc/72/64/24/1134726424.db2.gz FRRMLUOHTKHYFP-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO Oc1cccc(Cl)c1Nc1ccccc1-n1cc[nH+]c1 ZINC001215986184 1134741421 /nfs/dbraw/zinc/74/14/21/1134741421.db2.gz PYHKLLUUEDETNK-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO COc1c(C)cc(C)cc1Nc1ccn2cc[nH+]c2c1 ZINC001215996176 1134746208 /nfs/dbraw/zinc/74/62/08/1134746208.db2.gz KGMWVODFABIEMF-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C(C)C)ccc1N ZINC001216018951 1134750515 /nfs/dbraw/zinc/75/05/15/1134750515.db2.gz AUWAVVXIJTVJAR-UHFFFAOYSA-N 1 2 271.364 3.930 20 0 CHADLO CCc1cccc(Nc2cc(OC(F)(F)F)ccc2N)[nH+]1 ZINC001216013784 1134751406 /nfs/dbraw/zinc/75/14/06/1134751406.db2.gz VJEDGUGDZQQDSN-UHFFFAOYSA-N 1 2 297.280 3.868 20 0 CHADLO COc1cc[nH+]c(Nc2cnc(SC)c(Cl)c2)c1 ZINC001216025881 1134753273 /nfs/dbraw/zinc/75/32/73/1134753273.db2.gz XBQBTNRZBNLHRP-UHFFFAOYSA-N 1 2 281.768 3.604 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(N)c(OC(F)(F)F)c1 ZINC001216031002 1134753710 /nfs/dbraw/zinc/75/37/10/1134753710.db2.gz SBCWNGINHXUPJO-UHFFFAOYSA-N 1 2 297.280 3.868 20 0 CHADLO CCc1cc(Nc2ccc[nH+]c2N2CCCC2)ccc1N ZINC001216035958 1134754540 /nfs/dbraw/zinc/75/45/40/1134754540.db2.gz FUHBUFKBEAEUCH-UHFFFAOYSA-N 1 2 282.391 3.570 20 0 CHADLO CCc1cc(Nc2[nH+]cccc2C2CC2)ccc1N ZINC001216037655 1134756190 /nfs/dbraw/zinc/75/61/90/1134756190.db2.gz PVLNCIDYAFZTGN-UHFFFAOYSA-N 1 2 253.349 3.847 20 0 CHADLO Cc1cc(Nc2cccc(C3CC3)[nH+]2)cc(O)c1F ZINC001216078334 1134769073 /nfs/dbraw/zinc/76/90/73/1134769073.db2.gz GPVGOYMIZRMLAL-UHFFFAOYSA-N 1 2 258.296 3.856 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C)cc(Cl)c1O ZINC001216088009 1134773761 /nfs/dbraw/zinc/77/37/61/1134773761.db2.gz OPKFAMPFDTXKAJ-UHFFFAOYSA-N 1 2 287.750 3.984 20 0 CHADLO Cc1cn2cccc(Nc3cc(C)cc(C)c3N)c2[nH+]1 ZINC001216096049 1134775553 /nfs/dbraw/zinc/77/55/53/1134775553.db2.gz HXBFIYOJHDAJCV-UHFFFAOYSA-N 1 2 266.348 3.585 20 0 CHADLO CCc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)ccc1CO ZINC001216105906 1134779513 /nfs/dbraw/zinc/77/95/13/1134779513.db2.gz SJQJIEJPSIUETP-UHFFFAOYSA-N 1 2 293.370 3.671 20 0 CHADLO Cc1ccc(Nc2cc(F)cc(N(C)C)c2)c(C)[nH+]1 ZINC001216157470 1134792011 /nfs/dbraw/zinc/79/20/11/1134792011.db2.gz OGDVFGJJYBPQFY-UHFFFAOYSA-N 1 2 259.328 3.647 20 0 CHADLO Cc1c(F)cncc1Nc1cc[nH+]c2ccc(Cl)cc12 ZINC001216191094 1134803720 /nfs/dbraw/zinc/80/37/20/1134803720.db2.gz CMMSNLFNPXEYGE-UHFFFAOYSA-N 1 2 287.725 3.896 20 0 CHADLO OCCc1ccc[nH+]c1Nc1ccc(C2CCC2)cc1 ZINC001216305829 1134843956 /nfs/dbraw/zinc/84/39/56/1134843956.db2.gz AQJNWRGIJRQAJT-UHFFFAOYSA-N 1 2 268.360 3.628 20 0 CHADLO CCOc1cc(Nc2cccc(O)c2C)cc(C)[nH+]1 ZINC001216319051 1134851294 /nfs/dbraw/zinc/85/12/94/1134851294.db2.gz OOBMEZZXVVWWRN-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO COc1c(F)ccc(Nc2c[nH+]c(C)cc2C)c1OC ZINC001216391317 1134880374 /nfs/dbraw/zinc/88/03/74/1134880374.db2.gz ZNCLFEXKDKQAOU-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(Cl)ccc2O)[nH+]1 ZINC001216396857 1134881077 /nfs/dbraw/zinc/88/10/77/1134881077.db2.gz SXMZLTUHWQLCPD-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO CCOC(=O)/C=C/c1ccc(Nc2[nH+]cccc2CC)cc1 ZINC001216473321 1134900972 /nfs/dbraw/zinc/90/09/72/1134900972.db2.gz BBNXSDOAMLACSX-FMIVXFBMSA-N 1 2 296.370 3.964 20 0 CHADLO CCn1ncc2cc(Nc3cc(C)cc[nH+]3)ccc21 ZINC001216588691 1134926836 /nfs/dbraw/zinc/92/68/36/1134926836.db2.gz YENKRRBXVWMWBG-UHFFFAOYSA-N 1 2 252.321 3.503 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(C(F)(F)F)ccc2C#N)c1 ZINC000404715201 1134949043 /nfs/dbraw/zinc/94/90/43/1134949043.db2.gz SOOWFMSEKUUQPX-UHFFFAOYSA-N 1 2 292.264 3.728 20 0 CHADLO CCC[C@@H](NC(=O)Nc1ccn2cc[nH+]c2c1)C(C)(C)C ZINC001217319736 1134971128 /nfs/dbraw/zinc/97/11/28/1134971128.db2.gz ZXSGMSHXQMILGX-CYBMUJFWSA-N 1 2 288.395 3.671 20 0 CHADLO Cc1ccc(-c2noc(-c3cccc4[nH+]ccn43)n2)c(C)c1 ZINC001217500007 1134981336 /nfs/dbraw/zinc/98/13/36/1134981336.db2.gz QNJBHOXEYZCCEL-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO CC(C)=CCC[C@H](C)CCO[C@H]1C[NH2+]CC(F)(F)C1 ZINC001217855637 1135009934 /nfs/dbraw/zinc/00/99/34/1135009934.db2.gz MUERHSAYNJTSCI-UONOGXRCSA-N 1 2 275.383 3.773 20 0 CHADLO Cc1cc(Cl)cc(Cl)c1O[C@@H]1CC[NH2+]CC1(F)F ZINC001218008349 1135042244 /nfs/dbraw/zinc/04/22/44/1135042244.db2.gz XOEHONYOSIDDQE-SNVBAGLBSA-N 1 2 296.144 3.678 20 0 CHADLO Cc1cc(C(C)(C)C)ccc1O[C@@H]1C[NH2+]CC(F)(F)C1 ZINC001218274536 1135078054 /nfs/dbraw/zinc/07/80/54/1135078054.db2.gz QXHCMEMVWHNULQ-ZDUSSCGKSA-N 1 2 283.362 3.669 20 0 CHADLO Cc1cc(N[C@H]2CCCSC2)[nH+]c2ccccc12 ZINC000310769398 1135127057 /nfs/dbraw/zinc/12/70/57/1135127057.db2.gz MFYZLWLYUYKECZ-LBPRGKRZSA-N 1 2 258.390 3.851 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC[C@@H]2C[C@H]2C2CC2)c(C)[nH+]1 ZINC001219009691 1135125008 /nfs/dbraw/zinc/12/50/08/1135125008.db2.gz JMPVMNFNISJBHD-CABCVRRESA-N 1 2 287.407 3.565 20 0 CHADLO CC(C)c1ccc(-c2nnc([C@@H]([NH3+])c3ccccc3)o2)cc1 ZINC000315158321 1135152299 /nfs/dbraw/zinc/15/22/99/1135152299.db2.gz UPPITEPOYYJNTK-INIZCTEOSA-N 1 2 293.370 3.908 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1Cc2ccccc2O1 ZINC000316438273 1135167140 /nfs/dbraw/zinc/16/71/40/1135167140.db2.gz RHDNDMLAAGQZHV-INIZCTEOSA-N 1 2 290.366 3.959 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1Cc2ccccc2O1 ZINC000316438275 1135167624 /nfs/dbraw/zinc/16/76/24/1135167624.db2.gz RHDNDMLAAGQZHV-MRXNPFEDSA-N 1 2 290.366 3.959 20 0 CHADLO CCOc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)c(C)n1 ZINC001222106775 1135192994 /nfs/dbraw/zinc/19/29/94/1135192994.db2.gz POOBQONHGZVPMT-UHFFFAOYSA-N 1 2 279.343 3.641 20 0 CHADLO CCCC[C@@H](OCCn1cc[nH+]c1)[C@H](C)CCC ZINC001222138845 1135198932 /nfs/dbraw/zinc/19/89/32/1135198932.db2.gz KXEASWSZQUILJF-HUUCEWRRSA-N 1 2 252.402 3.895 20 0 CHADLO CCCCOc1ccc(-c2ccc[nH+]c2N)c(F)c1F ZINC001222206416 1135206636 /nfs/dbraw/zinc/20/66/36/1135206636.db2.gz JWAFDPBZEFTYIP-UHFFFAOYSA-N 1 2 278.302 3.788 20 0 CHADLO Cc1cc(-c2ccn3cc(N)[nH+]c3c2)c(F)cc1Cl ZINC001222232227 1135211836 /nfs/dbraw/zinc/21/18/36/1135211836.db2.gz MHFNSTBKZBAUTA-UHFFFAOYSA-N 1 2 275.714 3.684 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1cn(C)nc1-c1ccccc1 ZINC001222536491 1135249910 /nfs/dbraw/zinc/24/99/10/1135249910.db2.gz TWYSVTHHNHGOGU-UHFFFAOYSA-N 1 2 292.386 3.524 20 0 CHADLO C[C@H](OCc1c[nH+]cn1C)c1ccc2ccccc2c1 ZINC001222585889 1135253133 /nfs/dbraw/zinc/25/31/33/1135253133.db2.gz KUKPBEJNNKPNHW-ZDUSSCGKSA-N 1 2 266.344 3.851 20 0 CHADLO Cc1cc(CO[C@@H]2CCCc3cccnc32)cc(C)[nH+]1 ZINC001223421792 1135343443 /nfs/dbraw/zinc/34/34/43/1135343443.db2.gz QVWMEQGZQIBJKZ-MRXNPFEDSA-N 1 2 268.360 3.688 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](C)COc1ccccc1C ZINC001224967475 1135497353 /nfs/dbraw/zinc/49/73/53/1135497353.db2.gz VUEZGPAXYFMWKB-HNNXBMFYSA-N 1 2 293.407 3.963 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](c1cccnc1)C1CC1 ZINC001224964624 1135497429 /nfs/dbraw/zinc/49/74/29/1135497429.db2.gz BAHRZHVWNIVISQ-INIZCTEOSA-N 1 2 276.380 3.733 20 0 CHADLO Fc1cc(Cl)c(O[C@@H]2C[NH+]3CCC2CC3)c(Cl)c1 ZINC001226006500 1135651775 /nfs/dbraw/zinc/65/17/75/1135651775.db2.gz UPOVGENYVXQUQI-GFCCVEGCSA-N 1 2 290.165 3.606 20 0 CHADLO CCC[C@H](C)Oc1n[nH]c2c1CC[N@H+](Cc1ccccc1)C2 ZINC001226704612 1135731344 /nfs/dbraw/zinc/73/13/44/1135731344.db2.gz LVXWPZHUCDITDA-AWEZNQCLSA-N 1 2 299.418 3.535 20 0 CHADLO CCC[C@H](C)Oc1n[nH]c2c1CC[N@@H+](Cc1ccccc1)C2 ZINC001226704612 1135731346 /nfs/dbraw/zinc/73/13/46/1135731346.db2.gz LVXWPZHUCDITDA-AWEZNQCLSA-N 1 2 299.418 3.535 20 0 CHADLO CCCCOC[C@H](C)OC[C@@H](C)Oc1c(C)cc[nH+]c1C ZINC001227261440 1135811342 /nfs/dbraw/zinc/81/13/42/1135811342.db2.gz AQKBCHRLGHSZBB-LSDHHAIUSA-N 1 2 295.423 3.688 20 0 CHADLO COc1ccc([C@H](C)Oc2c(C)cc[nH+]c2C)cc1OC ZINC001227263662 1135812566 /nfs/dbraw/zinc/81/25/66/1135812566.db2.gz GNKWOENKKNGWCQ-ZDUSSCGKSA-N 1 2 287.359 3.856 20 0 CHADLO COc1ccc2c(c1)CC[C@H](Oc1c(C)cc[nH+]c1C)C2 ZINC001227262741 1135813157 /nfs/dbraw/zinc/81/31/57/1135813157.db2.gz VSBPOEOMVDUMAE-KRWDZBQOSA-N 1 2 283.371 3.643 20 0 CHADLO CC(C)[NH+]1CC(Oc2ccc3ccccc3c2N=O)C1 ZINC001227469196 1135837752 /nfs/dbraw/zinc/83/77/52/1135837752.db2.gz UBNAYOLGXCGZQG-UHFFFAOYSA-N 1 2 270.332 3.709 20 0 CHADLO Cc1nc(NC[C@@H]2CCC[C@H]2C)c(Br)c(C)[nH+]1 ZINC000323132545 1135852578 /nfs/dbraw/zinc/85/25/78/1135852578.db2.gz YQIYNGTVIPBAAD-KCJUWKMLSA-N 1 2 298.228 3.704 20 0 CHADLO CCc1cc(-c2nc(Cc3ccc(C)[nH+]c3)no2)oc1CC ZINC000356109465 529503806 /nfs/dbraw/zinc/50/38/06/529503806.db2.gz KQJUIUONZMFLIT-UHFFFAOYSA-N 1 2 297.358 3.749 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@H]2c2nccs2)cn1 ZINC000347850620 529639570 /nfs/dbraw/zinc/63/95/70/529639570.db2.gz ODIGQRILHDEOAR-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@H]2c2nccs2)cn1 ZINC000347850620 529639573 /nfs/dbraw/zinc/63/95/73/529639573.db2.gz ODIGQRILHDEOAR-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCc1cnc(C[N@@H+](CC)Cc2ccc(OC)cc2)s1 ZINC000346117117 529645768 /nfs/dbraw/zinc/64/57/68/529645768.db2.gz ATUXNRWEJOVDSD-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCc1cnc(C[N@H+](CC)Cc2ccc(OC)cc2)s1 ZINC000346117117 529645771 /nfs/dbraw/zinc/64/57/71/529645771.db2.gz ATUXNRWEJOVDSD-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)sc1C)c1nc(C)cs1 ZINC000347608918 529636501 /nfs/dbraw/zinc/63/65/01/529636501.db2.gz CRRGETUGOJNNLJ-NSHDSACASA-N 1 2 281.450 3.766 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C2CC2)cs1)c1ccc(F)cn1 ZINC000352845455 529636657 /nfs/dbraw/zinc/63/66/57/529636657.db2.gz FZYYBAKBPFTEGG-LBPRGKRZSA-N 1 2 291.395 3.796 20 0 CHADLO CCc1ccc(CNc2c[nH+]ccc2OC(C)(C)C)cn1 ZINC000352761379 529659414 /nfs/dbraw/zinc/65/94/14/529659414.db2.gz WXXUIZODYFKVAS-UHFFFAOYSA-N 1 2 285.391 3.828 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1nc(C)sc1C ZINC000352547362 529730322 /nfs/dbraw/zinc/73/03/22/529730322.db2.gz FOSBGWAEUJPGRV-UHFFFAOYSA-N 1 2 262.378 3.605 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(C)c(C)c2)cs1 ZINC000352764305 529735320 /nfs/dbraw/zinc/73/53/20/529735320.db2.gz CSYPMVCCEZXMBJ-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(C)c(C)c2)cs1 ZINC000352764305 529735321 /nfs/dbraw/zinc/73/53/21/529735321.db2.gz CSYPMVCCEZXMBJ-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1ccc(Cl)cc1F ZINC000353403501 530012883 /nfs/dbraw/zinc/01/28/83/530012883.db2.gz LLATUHQEAPJXHA-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1ccc(Cl)cc1F ZINC000353403501 530012885 /nfs/dbraw/zinc/01/28/85/530012885.db2.gz LLATUHQEAPJXHA-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO CC(C)C(C[NH2+][C@H](C1CC1)C(F)(F)F)C(C)C ZINC000574082459 334990714 /nfs/dbraw/zinc/99/07/14/334990714.db2.gz UXZIYJOFCCSSJZ-GFCCVEGCSA-N 1 2 251.336 3.845 20 0 CHADLO C[C@H](CC(=O)N[C@@H](C)c1ccc(C2CC2)cc1)n1cc[nH+]c1 ZINC000574405079 335016900 /nfs/dbraw/zinc/01/69/00/335016900.db2.gz YJPDFFMBWBVGOG-KGLIPLIRSA-N 1 2 297.402 3.589 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]CC(F)(F)c1ccc(F)cc1 ZINC000574412419 335017801 /nfs/dbraw/zinc/01/78/01/335017801.db2.gz APAHAMBASBGFRU-GFCCVEGCSA-N 1 2 294.320 3.972 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCOC[C@@H](C2CCC2)C1 ZINC000574501166 335024242 /nfs/dbraw/zinc/02/42/42/335024242.db2.gz OSNYIRLQPSDDPH-KRWDZBQOSA-N 1 2 296.414 3.796 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2noc3ccccc23)o1 ZINC000574532319 335027855 /nfs/dbraw/zinc/02/78/55/335027855.db2.gz YEXVFKNSETWMIC-FZMZJTMJSA-N 1 2 282.343 3.834 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2noc3ccccc23)o1 ZINC000574532321 335027949 /nfs/dbraw/zinc/02/79/49/335027949.db2.gz YEXVFKNSETWMIC-SMDDNHRTSA-N 1 2 282.343 3.834 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ccc(Cl)cn3)CCC2)n1 ZINC000574741603 335043899 /nfs/dbraw/zinc/04/38/99/335043899.db2.gz BGXVPTMQMRYVOQ-UHFFFAOYSA-N 1 2 293.823 3.669 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nnc(C)o1)c1cccc(Cl)c1 ZINC000182595446 261945002 /nfs/dbraw/zinc/94/50/02/261945002.db2.gz YNIOHJUBZIKDAP-ZANVPECISA-N 1 2 279.771 3.833 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](C)c2ncc(C)s2)cs1 ZINC000184991183 262036405 /nfs/dbraw/zinc/03/64/05/262036405.db2.gz CPOXJZSBVNWXFQ-JTQLQIEISA-N 1 2 281.450 3.711 20 0 CHADLO COc1cc(NCCCc2ccc(C)[nH+]c2)ccc1F ZINC000354927987 533028846 /nfs/dbraw/zinc/02/88/46/533028846.db2.gz SOMNDTWHKGAACJ-UHFFFAOYSA-N 1 2 274.339 3.582 20 0 CHADLO COc1cc[nH+]cc1CSc1ccc(F)c(F)c1 ZINC000343831209 533084550 /nfs/dbraw/zinc/08/45/50/533084550.db2.gz CYLRYPSBERSRIU-UHFFFAOYSA-N 1 2 267.300 3.661 20 0 CHADLO COc1cc[nH+]cc1NCc1ccc(-c2ccccc2)o1 ZINC000344827932 533090420 /nfs/dbraw/zinc/09/04/20/533090420.db2.gz ORPAOSRZZHJLAJ-UHFFFAOYSA-N 1 2 280.327 3.962 20 0 CHADLO C[N@H+](Cc1cnn2ccccc12)Cc1ccccc1Cl ZINC000179616524 260059223 /nfs/dbraw/zinc/05/92/23/260059223.db2.gz PDIXLFPRZBOQGT-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@@H+](Cc1cnn2ccccc12)Cc1ccccc1Cl ZINC000179616524 260059225 /nfs/dbraw/zinc/05/92/25/260059225.db2.gz PDIXLFPRZBOQGT-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO COCc1ccccc1/C=C(\C)CNc1c[nH+]ccc1OC ZINC000352694700 533254272 /nfs/dbraw/zinc/25/42/72/533254272.db2.gz YDEHCHNAXXEUFM-GXDHUFHOSA-N 1 2 298.386 3.752 20 0 CHADLO COc1ccc2nc(CNc3ccc(C)[nH+]c3C)sc2c1 ZINC000352309341 533348493 /nfs/dbraw/zinc/34/84/93/533348493.db2.gz UUOYUSVARPTTCM-UHFFFAOYSA-N 1 2 299.399 3.929 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2ccc(F)cc21)c1ccc(Cl)cn1 ZINC000334639949 533530463 /nfs/dbraw/zinc/53/04/63/533530463.db2.gz RFGWZUFMHBRMNS-YMTOWFKASA-N 1 2 292.741 3.658 20 0 CHADLO CSC1(CNc2ccc(-n3cc[nH+]c3)c(Cl)c2)CC1 ZINC000347958590 533513124 /nfs/dbraw/zinc/51/31/24/533513124.db2.gz OEMNPJGZRVAEPA-UHFFFAOYSA-N 1 2 293.823 3.833 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nccn1C)C1CC1)c1cccc(F)c1F ZINC000353820062 533514810 /nfs/dbraw/zinc/51/48/10/533514810.db2.gz QWUIBYFFOXUNNW-MEBBXXQBSA-N 1 2 291.345 3.500 20 0 CHADLO COc1cccc(CNc2ccc3ccccc3[nH+]2)c1OC ZINC000301067558 533519067 /nfs/dbraw/zinc/51/90/67/533519067.db2.gz DRRNCQDWWRQJIJ-UHFFFAOYSA-N 1 2 294.354 3.864 20 0 CHADLO COc1ccc(C[NH+]2Cc3ccccc3C2)cc1Cl ZINC000343495422 533574638 /nfs/dbraw/zinc/57/46/38/533574638.db2.gz FTLAZGICOQLEAN-UHFFFAOYSA-N 1 2 273.763 3.864 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2C(F)F)c2ccccc2n1 ZINC000353177115 533603972 /nfs/dbraw/zinc/60/39/72/533603972.db2.gz VKSBQEBTSXDUHQ-OAHLLOKOSA-N 1 2 276.330 3.773 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2C(F)F)c2ccccc2n1 ZINC000353177115 533603977 /nfs/dbraw/zinc/60/39/77/533603977.db2.gz VKSBQEBTSXDUHQ-OAHLLOKOSA-N 1 2 276.330 3.773 20 0 CHADLO FC(F)(F)c1cccc(C2([NH2+]Cc3nccs3)CC2)c1 ZINC000341853735 130095475 /nfs/dbraw/zinc/09/54/75/130095475.db2.gz IWFWFRDCVCSBMH-UHFFFAOYSA-N 1 2 298.333 3.941 20 0 CHADLO COCC[C@@H]1CCCCN(c2cc(C)[nH+]c(C(C)C)n2)C1 ZINC000341953062 130169092 /nfs/dbraw/zinc/16/90/92/130169092.db2.gz BVZIBCODJBOTNQ-HNNXBMFYSA-N 1 2 291.439 3.551 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccccc2C(F)(F)F)nc1 ZINC000341995616 130187212 /nfs/dbraw/zinc/18/72/12/130187212.db2.gz POKYYULAFWYGND-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO COc1c(C)c[nH+]c(CSCc2cccnc2)c1C ZINC000342022532 130204951 /nfs/dbraw/zinc/20/49/51/130204951.db2.gz PRPJURJFJDWQPF-UHFFFAOYSA-N 1 2 274.389 3.535 20 0 CHADLO Cc1cccc(NCc2cn3ccccc3[nH+]2)c1C ZINC000008415241 170335664 /nfs/dbraw/zinc/33/56/64/170335664.db2.gz NYCPTGBOAIEZSN-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1F)c1c(F)cccc1F ZINC000019949935 170939000 /nfs/dbraw/zinc/93/90/00/170939000.db2.gz WQMSMYOXDQJEEU-JTQLQIEISA-N 1 2 265.278 3.955 20 0 CHADLO Fc1c(Cl)cccc1NCc1cn2ccccc2[nH+]1 ZINC000020361690 171160135 /nfs/dbraw/zinc/16/01/35/171160135.db2.gz BLNNKOWZXOHIJI-UHFFFAOYSA-N 1 2 275.714 3.739 20 0 CHADLO COc1cc2[nH]c(-c3ccccc3C)[nH+]c2cc1OC ZINC000031943848 171666010 /nfs/dbraw/zinc/66/60/10/171666010.db2.gz APKRVPYQXKQSPE-UHFFFAOYSA-N 1 2 268.316 3.556 20 0 CHADLO COc1cccc(CNc2ccc([NH+]3CCCC3)cc2)c1 ZINC000032128374 171714191 /nfs/dbraw/zinc/71/41/91/171714191.db2.gz MRINPZFOSFYUAM-UHFFFAOYSA-N 1 2 282.387 3.908 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1Cl)c1ccco1 ZINC000035136795 172004063 /nfs/dbraw/zinc/00/40/63/172004063.db2.gz MIVIFBGUKLDUAA-VIFPVBQESA-N 1 2 253.704 3.923 20 0 CHADLO Cn1cc[nH+]c1CNc1ccccc1Cc1ccccc1 ZINC000037301872 173106941 /nfs/dbraw/zinc/10/69/41/173106941.db2.gz DDOHPWDYYRAOTH-UHFFFAOYSA-N 1 2 277.371 3.623 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2cc3cc(C)c(C)cc3o2)n1 ZINC000575137743 335071507 /nfs/dbraw/zinc/07/15/07/335071507.db2.gz CYWDNWCTGUVGFA-LBPRGKRZSA-N 1 2 299.374 3.934 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2cc3cc(C)c(C)cc3o2)n1 ZINC000575137743 335071509 /nfs/dbraw/zinc/07/15/09/335071509.db2.gz CYWDNWCTGUVGFA-LBPRGKRZSA-N 1 2 299.374 3.934 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(F)c(Br)c1 ZINC000071413354 176158775 /nfs/dbraw/zinc/15/87/75/176158775.db2.gz ROFXYPSMXGERIT-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Fc1cc(F)cc(C[N@@H+]2CCCc3occc3C2)c1 ZINC000344257142 225007745 /nfs/dbraw/zinc/00/77/45/225007745.db2.gz IUEPZTQXBWUJSR-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO Fc1cc(F)cc(C[N@H+]2CCCc3occc3C2)c1 ZINC000344257142 225007750 /nfs/dbraw/zinc/00/77/50/225007750.db2.gz IUEPZTQXBWUJSR-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO FC(F)(F)c1cccnc1C[N@@H+]1CCCc2occc2C1 ZINC000344266858 225010627 /nfs/dbraw/zinc/01/06/27/225010627.db2.gz LKVGZOHLQLAOKG-UHFFFAOYSA-N 1 2 296.292 3.642 20 0 CHADLO FC(F)(F)c1cccnc1C[N@H+]1CCCc2occc2C1 ZINC000344266858 225010632 /nfs/dbraw/zinc/01/06/32/225010632.db2.gz LKVGZOHLQLAOKG-UHFFFAOYSA-N 1 2 296.292 3.642 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@@H](C)c3cccnc3)co2)cc1 ZINC000344465122 225066536 /nfs/dbraw/zinc/06/65/36/225066536.db2.gz VNJWJRBTWMOWPH-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1ccc(COc2ccc3c(c2F)CCC3=O)c(C)[nH+]1 ZINC000344583553 225100699 /nfs/dbraw/zinc/10/06/99/225100699.db2.gz LOQVKBWAGZNNRJ-UHFFFAOYSA-N 1 2 285.318 3.545 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCc3ccc(F)cn3)c2c1 ZINC000344673720 225141234 /nfs/dbraw/zinc/14/12/34/225141234.db2.gz MMEXNAPBCPRCTK-UHFFFAOYSA-N 1 2 298.317 3.665 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@@H](C)CC[C@@H]2C)c(C)[nH+]1 ZINC000334714142 225284228 /nfs/dbraw/zinc/28/42/28/225284228.db2.gz WVRKJUJZOMNANN-GWCFXTLKSA-N 1 2 275.396 3.659 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCOc2ccc(F)cc21)c1cscn1 ZINC000334926101 225365780 /nfs/dbraw/zinc/36/57/80/225365780.db2.gz QBVLQKNMYKRDTK-GWCFXTLKSA-N 1 2 292.379 3.847 20 0 CHADLO c1ccc2c(c1)CC[C@@H]2Nc1ccc(N2CCCC2)c[nH+]1 ZINC000346417563 225806804 /nfs/dbraw/zinc/80/68/04/225806804.db2.gz JZPMTLKQRXGKLN-KRWDZBQOSA-N 1 2 279.387 3.781 20 0 CHADLO COc1ccncc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000347115973 226029814 /nfs/dbraw/zinc/02/98/14/226029814.db2.gz DCOMLZWDRWMFQW-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccncc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000347115973 226029817 /nfs/dbraw/zinc/02/98/17/226029817.db2.gz DCOMLZWDRWMFQW-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1ccc(N[C@H]2c3cc(F)ccc3C[C@H]2C)c[nH+]1 ZINC000347155955 226062466 /nfs/dbraw/zinc/06/24/66/226062466.db2.gz RLUXBMOMDINMRU-QLJPJBMISA-N 1 2 256.324 3.875 20 0 CHADLO O=C(C/C=C\c1ccc(F)cc1)Nc1ccn2cc[nH+]c2c1 ZINC000347321295 226132154 /nfs/dbraw/zinc/13/21/54/226132154.db2.gz BPNMCWPPCXNXIB-UPHRSURJSA-N 1 2 295.317 3.515 20 0 CHADLO Cc1[nH+]c2ccccn2c1CN1Cc2cccc(Cl)c2C1 ZINC000347439284 226156791 /nfs/dbraw/zinc/15/67/91/226156791.db2.gz BHFUHVWBURAZDV-UHFFFAOYSA-N 1 2 297.789 3.812 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](CC(C)C)c2cccs2)o1 ZINC000347616727 226201127 /nfs/dbraw/zinc/20/11/27/226201127.db2.gz SEUYUGMHPJMFAR-NSHDSACASA-N 1 2 279.409 3.571 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2ccn(C(F)F)n2)C2CC2)c1 ZINC000347693487 226236356 /nfs/dbraw/zinc/23/63/56/226236356.db2.gz WSWYSZCNPGCEFG-UHFFFAOYSA-N 1 2 295.308 3.582 20 0 CHADLO Fc1cccc(C[N@H+](Cc2ccn(C(F)F)n2)C2CC2)c1 ZINC000347693487 226236360 /nfs/dbraw/zinc/23/63/60/226236360.db2.gz WSWYSZCNPGCEFG-UHFFFAOYSA-N 1 2 295.308 3.582 20 0 CHADLO Cc1ccc(NCc2cnc(-c3ccncc3)s2)c[nH+]1 ZINC000347893887 226384041 /nfs/dbraw/zinc/38/40/41/226384041.db2.gz MKCFMMWYWOUQSB-UHFFFAOYSA-N 1 2 282.372 3.521 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1ccc(F)c(C(F)(F)F)c1 ZINC000354519008 227020196 /nfs/dbraw/zinc/02/01/96/227020196.db2.gz CIGFMVFKUSYPIY-JTQLQIEISA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1ccc(F)c(C(F)(F)F)c1 ZINC000354519008 227020201 /nfs/dbraw/zinc/02/02/01/227020201.db2.gz CIGFMVFKUSYPIY-JTQLQIEISA-N 1 2 279.252 3.778 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCOc2ccc(F)cc21)c1nccs1 ZINC000336484051 227057148 /nfs/dbraw/zinc/05/71/48/227057148.db2.gz BRZHNVUVXLIGLM-MFKMUULPSA-N 1 2 292.379 3.847 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCOc2ccc(F)cc21)c1nccs1 ZINC000336484050 227058152 /nfs/dbraw/zinc/05/81/52/227058152.db2.gz BRZHNVUVXLIGLM-GXFFZTMASA-N 1 2 292.379 3.847 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CC[C@@H]3CCC[C@H]32)s1 ZINC000336496266 227062161 /nfs/dbraw/zinc/06/21/61/227062161.db2.gz JCGCEALTEMIEDO-DTWKUNHWSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CC[C@@H]3CCC[C@H]32)s1 ZINC000336496266 227062166 /nfs/dbraw/zinc/06/21/66/227062166.db2.gz JCGCEALTEMIEDO-DTWKUNHWSA-N 1 2 276.327 3.536 20 0 CHADLO Fc1cccc2c1CC[C@H]2[NH2+]Cc1ncc(Cl)s1 ZINC000336543834 227108323 /nfs/dbraw/zinc/10/83/23/227108323.db2.gz JSTYYMNPNXAIJQ-LLVKDONJSA-N 1 2 282.771 3.713 20 0 CHADLO Cc1[nH]c(CNc2cc(C)c(C)cc2Cl)[nH+]c1C ZINC000575196423 335079415 /nfs/dbraw/zinc/07/94/15/335079415.db2.gz LXRDSZAFGIXNNC-UHFFFAOYSA-N 1 2 263.772 3.909 20 0 CHADLO Clc1ccc(CNc2cc[nH+]c(C3CC3)n2)s1 ZINC000171813906 335081275 /nfs/dbraw/zinc/08/12/75/335081275.db2.gz HAXDGIWKLJTNHX-UHFFFAOYSA-N 1 2 265.769 3.681 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CCCN1c1[nH+]c2ccccc2n1C ZINC000480277354 227178775 /nfs/dbraw/zinc/17/87/75/227178775.db2.gz KTOJFTUKWNUXSZ-QWRGUYRKSA-N 1 2 297.324 3.741 20 0 CHADLO COc1ccccc1C(=O)Cn1c[nH+]c2cc(C)c(C)cc21 ZINC000192840724 227302876 /nfs/dbraw/zinc/30/28/76/227302876.db2.gz FRYVXQVESPNWJP-UHFFFAOYSA-N 1 2 294.354 3.545 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nc2c(s1)CCC2)c1nccs1 ZINC000355101169 227398971 /nfs/dbraw/zinc/39/89/71/227398971.db2.gz HXMKLCBQTAUNQY-RKDXNWHRSA-N 1 2 279.434 3.500 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@H]1CC12CCCC2 ZINC000329990819 228009268 /nfs/dbraw/zinc/00/92/68/228009268.db2.gz JIHCJFPKADYHQL-AWEZNQCLSA-N 1 2 281.359 3.596 20 0 CHADLO O=C(CCCC(F)(F)F)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000356084629 228114934 /nfs/dbraw/zinc/11/49/34/228114934.db2.gz QXANQINPLVNIOT-UHFFFAOYSA-N 1 2 297.280 3.748 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2ccccc2)c2cccnc2)s1 ZINC000353548150 228118936 /nfs/dbraw/zinc/11/89/36/228118936.db2.gz ZYBUVLNARUYETD-KRWDZBQOSA-N 1 2 295.411 3.726 20 0 CHADLO c1nc(-c2ccccc2)[nH]c1CNc1cc(C2CC2)cc[nH+]1 ZINC000353128029 228061512 /nfs/dbraw/zinc/06/15/12/228061512.db2.gz SSLPQSLMNCBWPJ-UHFFFAOYSA-N 1 2 290.370 3.961 20 0 CHADLO Cc1ccc(Cc2noc([C@H](F)Cc3ccccc3)n2)c[nH+]1 ZINC000356115842 228126654 /nfs/dbraw/zinc/12/66/54/228126654.db2.gz UCSZROHIKHWOTO-OAHLLOKOSA-N 1 2 297.333 3.617 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+]Cc2ccn(C3CCCC3)n2)c1 ZINC000353626548 228134657 /nfs/dbraw/zinc/13/46/57/228134657.db2.gz XWYQKGVYXXIUDX-CQSZACIVSA-N 1 2 284.407 3.552 20 0 CHADLO C[C@H]1C[C@H](C)N(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000336183564 228208510 /nfs/dbraw/zinc/20/85/10/228208510.db2.gz KYQCGQLQVMELFL-KBPBESRZSA-N 1 2 296.370 3.744 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cnc(C(C)C)s2)n1 ZINC000353749412 228149874 /nfs/dbraw/zinc/14/98/74/228149874.db2.gz SRLRHEOZPZAJGB-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cnc(C(C)C)s2)n1 ZINC000353749412 228149876 /nfs/dbraw/zinc/14/98/76/228149876.db2.gz SRLRHEOZPZAJGB-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(Cl)ccc2F)n1 ZINC000353757701 228150768 /nfs/dbraw/zinc/15/07/68/228150768.db2.gz ZJOYOZDCODLELT-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(Cl)ccc2F)n1 ZINC000353757701 228150770 /nfs/dbraw/zinc/15/07/70/228150770.db2.gz ZJOYOZDCODLELT-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CC[C@@H](C)[C@H](C)C1 ZINC000351934235 228167446 /nfs/dbraw/zinc/16/74/46/228167446.db2.gz BILZDBQAIYDXCY-MPKXVKKWSA-N 1 2 275.396 3.645 20 0 CHADLO Cc1nn(Cc2ccccc2)c(C)c1C[N@@H+]1CCC=C(F)C1 ZINC000351974267 228170710 /nfs/dbraw/zinc/17/07/10/228170710.db2.gz ITZISDRUSWVIPO-UHFFFAOYSA-N 1 2 299.393 3.607 20 0 CHADLO Cc1nn(Cc2ccccc2)c(C)c1C[N@H+]1CCC=C(F)C1 ZINC000351974267 228170711 /nfs/dbraw/zinc/17/07/11/228170711.db2.gz ITZISDRUSWVIPO-UHFFFAOYSA-N 1 2 299.393 3.607 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc3c(ccc(C)c3C)[nH]2)no1 ZINC000352001181 228173568 /nfs/dbraw/zinc/17/35/68/228173568.db2.gz DZYHEMZJWXNJIO-UHFFFAOYSA-N 1 2 283.375 3.713 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc3c(ccc(C)c3C)[nH]2)no1 ZINC000352001181 228173569 /nfs/dbraw/zinc/17/35/69/228173569.db2.gz DZYHEMZJWXNJIO-UHFFFAOYSA-N 1 2 283.375 3.713 20 0 CHADLO Oc1ccc(F)c(C[NH2+]Cc2ccccc2Cl)c1F ZINC000352016493 228174520 /nfs/dbraw/zinc/17/45/20/228174520.db2.gz XPPMXJNUZXDXIF-UHFFFAOYSA-N 1 2 283.705 3.614 20 0 CHADLO Cc1csc2nc(C)nc(N[C@H]3C[C@H](C)n4cc[nH+]c43)c12 ZINC000333706682 228211108 /nfs/dbraw/zinc/21/11/08/228211108.db2.gz PASGQVVVAFMUFF-ONGXEEELSA-N 1 2 299.403 3.623 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)c1cccc(C(F)(F)F)c1 ZINC000336201350 228213258 /nfs/dbraw/zinc/21/32/58/228213258.db2.gz AUJHDNJTFVERDX-UHFFFAOYSA-N 1 2 294.276 3.970 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)c(C)c1 ZINC000333824200 228215972 /nfs/dbraw/zinc/21/59/72/228215972.db2.gz KIKLZGRSVMSLEM-UONOGXRCSA-N 1 2 298.390 3.636 20 0 CHADLO C[N@H+](C/C=C/c1ccc(Cl)cc1)Cc1cscn1 ZINC000179627529 260059670 /nfs/dbraw/zinc/05/96/70/260059670.db2.gz PEXILTUOTZPLPS-NSCUHMNNSA-N 1 2 278.808 3.942 20 0 CHADLO C[N@@H+](C/C=C/c1ccc(Cl)cc1)Cc1cscn1 ZINC000179627529 260059671 /nfs/dbraw/zinc/05/96/71/260059671.db2.gz PEXILTUOTZPLPS-NSCUHMNNSA-N 1 2 278.808 3.942 20 0 CHADLO Cc1ccc(C2CC([NH2+][C@H](C)C(=O)OC(C)(C)C)C2)cc1 ZINC000180722937 260109326 /nfs/dbraw/zinc/10/93/26/260109326.db2.gz VPYKZGMHRFFJHH-IUDNXUCKSA-N 1 2 289.419 3.561 20 0 CHADLO C[C@H]([NH2+]Cc1cnn(C(C)(C)C)c1)c1c(F)cccc1F ZINC000037771862 260116318 /nfs/dbraw/zinc/11/63/18/260116318.db2.gz FNVISTHUHRHKBA-NSHDSACASA-N 1 2 293.361 3.767 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2c(F)cccc2F)cc1O ZINC000037771726 260116380 /nfs/dbraw/zinc/11/63/80/260116380.db2.gz RDKCHCLQGZVSJY-SNVBAGLBSA-N 1 2 293.313 3.530 20 0 CHADLO Cc1ccc(N[C@H](C)c2[nH+]ccn2C)cc1C(F)(F)F ZINC000070445351 260157741 /nfs/dbraw/zinc/15/77/41/260157741.db2.gz JVRMINHNQWKHFH-SNVBAGLBSA-N 1 2 283.297 3.920 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccccc1OC(F)(F)F ZINC000090207520 260239069 /nfs/dbraw/zinc/23/90/69/260239069.db2.gz JGQYNYRGXMILMQ-UHFFFAOYSA-N 1 2 299.296 3.975 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc2cnccc21 ZINC000090207906 260239231 /nfs/dbraw/zinc/23/92/31/260239231.db2.gz QLXNVSHOWSCXGR-UHFFFAOYSA-N 1 2 266.348 3.624 20 0 CHADLO Cc1ccc(NC(=O)c2cc3ccccc3cc2O)c(C)[nH+]1 ZINC000317503073 260240781 /nfs/dbraw/zinc/24/07/81/260240781.db2.gz GPIGSOJLFHEHKC-UHFFFAOYSA-N 1 2 292.338 3.810 20 0 CHADLO CCOc1cc(Cl)ccc1C[NH2+]Cc1ncc(C)s1 ZINC000449215557 260296753 /nfs/dbraw/zinc/29/67/53/260296753.db2.gz ZVRVTIQJAWJCRP-UHFFFAOYSA-N 1 2 296.823 3.793 20 0 CHADLO CC[C@H](Nc1cc(C)[nH+]c(-c2cccnc2)n1)C(C)(C)C ZINC000517949107 260324932 /nfs/dbraw/zinc/32/49/32/260324932.db2.gz JNOIOESWSDJSGD-AWEZNQCLSA-N 1 2 284.407 3.506 20 0 CHADLO Cc1nc(N2CCc3cc(Cl)cc(Cl)c3C2)cc[nH+]1 ZINC000084488942 260364038 /nfs/dbraw/zinc/36/40/38/260364038.db2.gz GXBXZLPCAXUMOB-UHFFFAOYSA-N 1 2 294.185 3.655 20 0 CHADLO Cc1cc(N[C@H]2CCCC[C@H]2Cc2ccccc2)nc[nH+]1 ZINC000518365147 260384674 /nfs/dbraw/zinc/38/46/74/260384674.db2.gz HHSAGTMZIQVETQ-IRXDYDNUSA-N 1 2 281.403 3.998 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1cc2c(s1)CCCC2 ZINC000129422020 260387228 /nfs/dbraw/zinc/38/72/28/260387228.db2.gz FSAHBZPHUPFCSJ-LLVKDONJSA-N 1 2 275.421 3.509 20 0 CHADLO CC(C)c1nc(NCC2(Sc3ccccc3)CC2)cc[nH+]1 ZINC000518387452 260395404 /nfs/dbraw/zinc/39/54/04/260395404.db2.gz GYEWHEXWCVCNJM-UHFFFAOYSA-N 1 2 299.443 3.759 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccccc2OC)s1 ZINC000130495724 260396818 /nfs/dbraw/zinc/39/68/18/260396818.db2.gz ARDNUXSFVIOIMW-NSHDSACASA-N 1 2 276.405 3.565 20 0 CHADLO Cn1c2c(cccc2F)[nH+]c1N1CC[C@H](C(C)(C)C)C1 ZINC000409722463 260428212 /nfs/dbraw/zinc/42/82/12/260428212.db2.gz WNNQGSPXTIHFIF-NSHDSACASA-N 1 2 275.371 3.585 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2cccc(Cl)n2)CC1 ZINC000091439703 260476452 /nfs/dbraw/zinc/47/64/52/260476452.db2.gz CTUIVXOFWVZLPE-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO COc1ccccc1/C=C/C[N@@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000092729277 260499438 /nfs/dbraw/zinc/49/94/38/260499438.db2.gz SJDWEXXEUJADRX-IQIBNGDESA-N 1 2 297.402 3.659 20 0 CHADLO COc1ccccc1/C=C/C[N@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000092729277 260499439 /nfs/dbraw/zinc/49/94/39/260499439.db2.gz SJDWEXXEUJADRX-IQIBNGDESA-N 1 2 297.402 3.659 20 0 CHADLO CSc1ccc(C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)cc1 ZINC000152029759 261058223 /nfs/dbraw/zinc/05/82/23/261058223.db2.gz DJJSZYSFDISTNR-HNNXBMFYSA-N 1 2 287.432 3.859 20 0 CHADLO CSc1ccc(C[N@H+]2CCCC[C@H]2c2ncc[nH]2)cc1 ZINC000152029759 261058225 /nfs/dbraw/zinc/05/82/25/261058225.db2.gz DJJSZYSFDISTNR-HNNXBMFYSA-N 1 2 287.432 3.859 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2CCc3ccccc32)c(C)[nH+]1 ZINC000152372024 261063522 /nfs/dbraw/zinc/06/35/22/261063522.db2.gz KZVVMCFNYJBROO-INIZCTEOSA-N 1 2 281.359 3.507 20 0 CHADLO Cc1ccc(NC(=O)NC2(c3cccc(F)c3)CC2)c(C)[nH+]1 ZINC000152424726 261063882 /nfs/dbraw/zinc/06/38/82/261063882.db2.gz CBBPAMUASUJMHC-UHFFFAOYSA-N 1 2 299.349 3.648 20 0 CHADLO C[C@@H]([NH2+]Cc1noc(C2CC2)n1)c1ccc2c(c1)CCCC2 ZINC000154343880 261090774 /nfs/dbraw/zinc/09/07/74/261090774.db2.gz HMPUDDYECZAJLL-GFCCVEGCSA-N 1 2 297.402 3.677 20 0 CHADLO Cc1cncc(C[N@@H+](C)Cc2cc(Cl)ccc2F)c1 ZINC000444700758 261108247 /nfs/dbraw/zinc/10/82/47/261108247.db2.gz GVIBOGINWDVSTM-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cncc(C[N@H+](C)Cc2cc(Cl)ccc2F)c1 ZINC000444700758 261108249 /nfs/dbraw/zinc/10/82/49/261108249.db2.gz GVIBOGINWDVSTM-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1ccccc1OC)c1ccc(C)o1 ZINC000156424438 261122826 /nfs/dbraw/zinc/12/28/26/261122826.db2.gz BNBWDUYJRVDSQF-DZGCQCFKSA-N 1 2 289.375 3.635 20 0 CHADLO CC(C)(C)[C@H]1C[N@H+](Cc2ccsc2Cl)CCO1 ZINC000448356400 261124325 /nfs/dbraw/zinc/12/43/25/261124325.db2.gz SKQPECJTMWHEAR-LLVKDONJSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)(C)[C@H]1C[N@@H+](Cc2ccsc2Cl)CCO1 ZINC000448356400 261124327 /nfs/dbraw/zinc/12/43/27/261124327.db2.gz SKQPECJTMWHEAR-LLVKDONJSA-N 1 2 273.829 3.648 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1ccc(C)o1)c1ccc(C)o1 ZINC000156517005 261124958 /nfs/dbraw/zinc/12/49/58/261124958.db2.gz RYVYEXJWSKPMQY-QWHCGFSZSA-N 1 2 263.337 3.528 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1ccc(F)cc1F)c1ccco1 ZINC000156591874 261127340 /nfs/dbraw/zinc/12/73/40/261127340.db2.gz UCWOJZPVRWYAPF-YGRLFVJLSA-N 1 2 281.302 3.596 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2ccccn2)cs1 ZINC000159106530 261177563 /nfs/dbraw/zinc/17/75/63/261177563.db2.gz LAHCWLGBZIUQTI-WDEREUQCSA-N 1 2 261.394 3.512 20 0 CHADLO C[C@@H](CCn1cccn1)[NH2+]c1ccc(N2CCCCC2)cc1 ZINC000159231391 261181400 /nfs/dbraw/zinc/18/14/00/261181400.db2.gz RNGQFFJZPKGVRS-INIZCTEOSA-N 1 2 298.434 3.764 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(OC(C)C)cc2)cs1 ZINC000102398228 261232384 /nfs/dbraw/zinc/23/23/84/261232384.db2.gz VKOHLHHGRVDBKY-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(OC(C)C)cc2)cs1 ZINC000102398228 261232385 /nfs/dbraw/zinc/23/23/85/261232385.db2.gz VKOHLHHGRVDBKY-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1Br)c1cscn1 ZINC000161178975 261239438 /nfs/dbraw/zinc/23/94/38/261239438.db2.gz WIIFRCCNHQSATN-VIFPVBQESA-N 1 2 297.221 3.756 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1ccco1 ZINC000102696227 261271013 /nfs/dbraw/zinc/27/10/13/261271013.db2.gz JCXKDFLJDZRWOE-GFCCVEGCSA-N 1 2 268.316 3.785 20 0 CHADLO COc1cc[nH+]cc1NC(=O)C[C@H](c1ccccc1)C(C)C ZINC000103005089 261273590 /nfs/dbraw/zinc/27/35/90/261273590.db2.gz UVUKRZXDNMXMEN-HNNXBMFYSA-N 1 2 298.386 3.859 20 0 CHADLO Cc1ccc([C@H](N[C@@H](C)c2[nH]cc[nH+]2)c2ccccn2)cc1 ZINC000367600173 261273592 /nfs/dbraw/zinc/27/35/92/261273592.db2.gz ZPWWSYADGDEWMP-YOEHRIQHSA-N 1 2 292.386 3.553 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+]Cc2cc(F)cc(F)c2)o1 ZINC000162896344 261297914 /nfs/dbraw/zinc/29/79/14/261297914.db2.gz OCNQMSJIAQXAKI-OAHLLOKOSA-N 1 2 295.329 3.598 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1ccsc1Cl ZINC000361583308 261350696 /nfs/dbraw/zinc/35/06/96/261350696.db2.gz KXCXYKKYSYELNP-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1ccsc1Cl ZINC000361583308 261350697 /nfs/dbraw/zinc/35/06/97/261350697.db2.gz KXCXYKKYSYELNP-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(C(C)(C)C)s2)n1 ZINC000361610324 261357402 /nfs/dbraw/zinc/35/74/02/261357402.db2.gz MISHXDHKSGMQSG-VIFPVBQESA-N 1 2 279.409 3.588 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)c1cscn1 ZINC000164937185 261362317 /nfs/dbraw/zinc/36/23/17/261362317.db2.gz NEUIFWZONNRBCJ-NSHDSACASA-N 1 2 261.394 3.691 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cc(Cl)ccc3C2)o1 ZINC000361725876 261382451 /nfs/dbraw/zinc/38/24/51/261382451.db2.gz HWXQUALWAZLJNH-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cc(Cl)ccc3C2)o1 ZINC000361725876 261382453 /nfs/dbraw/zinc/38/24/53/261382453.db2.gz HWXQUALWAZLJNH-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+][C@H](C)c1nnc(C)o1 ZINC000179744414 261813041 /nfs/dbraw/zinc/81/30/41/261813041.db2.gz MZEMKWTUQHCXKO-BXUZGUMPSA-N 1 2 289.379 3.579 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)CSc1ccc2c(c1)CCC2 ZINC000107288616 261882884 /nfs/dbraw/zinc/88/28/84/261882884.db2.gz UFBSHFHDKBKTQK-UHFFFAOYSA-N 1 2 298.411 3.610 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccnc(Cl)c2)cs1 ZINC000184676157 262025631 /nfs/dbraw/zinc/02/56/31/262025631.db2.gz MWZQPFPKQODRIA-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO Cc1cc(NC(=O)c2scnc2C2CCCC2)cc[nH+]1 ZINC000185278987 262048540 /nfs/dbraw/zinc/04/85/40/262048540.db2.gz MLLTYTDBXSZULH-UHFFFAOYSA-N 1 2 287.388 3.756 20 0 CHADLO CC(F)(F)CCCNc1ccc2ccccc2[nH+]1 ZINC000390007074 262073548 /nfs/dbraw/zinc/07/35/48/262073548.db2.gz BTDQDBLKOYZYLT-UHFFFAOYSA-N 1 2 250.292 3.504 20 0 CHADLO c1cnn(-c2ccc([NH2+][C@H]3CCCC34CCOCC4)cc2)c1 ZINC000319230027 262187018 /nfs/dbraw/zinc/18/70/18/262187018.db2.gz VCBHKAFCKHPMJF-KRWDZBQOSA-N 1 2 297.402 3.634 20 0 CHADLO CC(C)[N@H+](Cc1csc(C2CC2)n1)Cc1cccnc1 ZINC000162380777 262329227 /nfs/dbraw/zinc/32/92/27/262329227.db2.gz IPLQJXXFLZOGNM-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CC(C)[N@@H+](Cc1csc(C2CC2)n1)Cc1cccnc1 ZINC000162380777 262329228 /nfs/dbraw/zinc/32/92/28/262329228.db2.gz IPLQJXXFLZOGNM-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO COC(=O)C1([NH2+]Cc2cccc3ccccc32)CCCCC1 ZINC000522775406 262340733 /nfs/dbraw/zinc/34/07/33/262340733.db2.gz SQLPBOFKYYYOBL-UHFFFAOYSA-N 1 2 297.398 3.805 20 0 CHADLO Cc1ccnc(C[NH2+]C(c2ccccc2)c2ccccc2)n1 ZINC000339416194 262639658 /nfs/dbraw/zinc/63/96/58/262639658.db2.gz LXYNWOXRCNWFJL-UHFFFAOYSA-N 1 2 289.382 3.664 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)cs1)c1ccn(C)n1 ZINC000414132829 262808553 /nfs/dbraw/zinc/80/85/53/262808553.db2.gz ZXYNAOYOJBHTOJ-QWRGUYRKSA-N 1 2 292.452 3.586 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2csc(Cl)c2)s1 ZINC000276490062 263037022 /nfs/dbraw/zinc/03/70/22/263037022.db2.gz HOUAJDHXZNCGTB-BQBZGAKWSA-N 1 2 287.841 3.973 20 0 CHADLO CC[C@@H]1C[C@@H](CNc2ccc3c(c2)CCC[NH2+]3)CCO1 ZINC000414844380 263059044 /nfs/dbraw/zinc/05/90/44/263059044.db2.gz DPLRLKLUWXWNJY-XJKSGUPXSA-N 1 2 274.408 3.662 20 0 CHADLO Cc1cccc2ncc(CNc3ccc4c(c3)CCC[NH2+]4)n21 ZINC000414856622 263073102 /nfs/dbraw/zinc/07/31/02/263073102.db2.gz YUOKERHMCBPOIE-UHFFFAOYSA-N 1 2 292.386 3.613 20 0 CHADLO CCn1c2ccccc2nc1[C@@H](C)[NH2+][C@@H](C)c1cc(C)on1 ZINC000282695435 263078065 /nfs/dbraw/zinc/07/80/65/263078065.db2.gz KPXYSKLFKCRDRC-QWHCGFSZSA-N 1 2 298.390 3.764 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1c(F)cncc1F ZINC000285499282 263093379 /nfs/dbraw/zinc/09/33/79/263093379.db2.gz AFQIOZNWMKLOCM-MNOVXSKESA-N 1 2 292.329 3.780 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1cccc(C)c1C)C(=O)OCC ZINC000439597231 263135084 /nfs/dbraw/zinc/13/50/84/263135084.db2.gz QMJKWLBQOXTZBO-GOEBONIOSA-N 1 2 277.408 3.686 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccccc2OC2CC2)s1 ZINC000295069930 263171889 /nfs/dbraw/zinc/17/18/89/263171889.db2.gz ADWKHUNYIYFXKR-LBPRGKRZSA-N 1 2 288.416 3.844 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cnc2ccsc2c1)C(F)F ZINC000453233072 263205526 /nfs/dbraw/zinc/20/55/26/263205526.db2.gz GZNMIDVKIQGVDC-WPRPVWTQSA-N 1 2 270.348 3.991 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1ncc(C(C)(C)C)o1 ZINC000299333846 263239422 /nfs/dbraw/zinc/23/94/22/263239422.db2.gz CRSXZGPUBYNJTA-UHFFFAOYSA-N 1 2 276.380 3.976 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1ncc(C(C)(C)C)o1 ZINC000299333846 263239424 /nfs/dbraw/zinc/23/94/24/263239424.db2.gz CRSXZGPUBYNJTA-UHFFFAOYSA-N 1 2 276.380 3.976 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000299330957 263239782 /nfs/dbraw/zinc/23/97/82/263239782.db2.gz CBOGDDBLZISXDP-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO Cc1noc(C[N@H+](C)[C@@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000299330957 263239784 /nfs/dbraw/zinc/23/97/84/263239784.db2.gz CBOGDDBLZISXDP-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000299330952 263239906 /nfs/dbraw/zinc/23/99/06/263239906.db2.gz CBOGDDBLZISXDP-SECBINFHSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1noc(C[N@H+](C)[C@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000299330952 263239909 /nfs/dbraw/zinc/23/99/09/263239909.db2.gz CBOGDDBLZISXDP-SECBINFHSA-N 1 2 299.296 3.590 20 0 CHADLO CC(C)(C)CCNc1ccccc1OCCn1cc[nH+]c1 ZINC000187576552 263261689 /nfs/dbraw/zinc/26/16/89/263261689.db2.gz YKTIUEWKPFSKSA-UHFFFAOYSA-N 1 2 287.407 3.810 20 0 CHADLO Cc1cccc(NC(=O)N[C@H](c2[nH]cc[nH+]2)C(C)C)c1C ZINC000342383157 263427855 /nfs/dbraw/zinc/42/78/55/263427855.db2.gz XZJKUZRVWAXVLI-AWEZNQCLSA-N 1 2 286.379 3.545 20 0 CHADLO Cc1cc(C)c(CNC(=O)NCC(C)(C)C(C)(C)C)c[nH+]1 ZINC000454988043 263620772 /nfs/dbraw/zinc/62/07/72/263620772.db2.gz KPOVJHIVPBHOHC-UHFFFAOYSA-N 1 2 291.439 3.570 20 0 CHADLO Cc1cn2cc(NC(=O)c3ccc(C)c(Cl)c3)ccc2[nH+]1 ZINC000343202155 263688246 /nfs/dbraw/zinc/68/82/46/263688246.db2.gz MXARQBAYGAISBU-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO CCc1cccc(N(C)Cc2c[nH+]cn2C(C)C)c1 ZINC000425340571 264008197 /nfs/dbraw/zinc/00/81/97/264008197.db2.gz BABMOKJDGYXNDN-UHFFFAOYSA-N 1 2 257.381 3.663 20 0 CHADLO Clc1oc2ccccc2c1C[N@@H+]1CCOC[C@@H]1C1CC1 ZINC000353749776 264031837 /nfs/dbraw/zinc/03/18/37/264031837.db2.gz GYXRSZCJPPDHSA-CQSZACIVSA-N 1 2 291.778 3.697 20 0 CHADLO Clc1oc2ccccc2c1C[N@H+]1CCOC[C@@H]1C1CC1 ZINC000353749776 264031838 /nfs/dbraw/zinc/03/18/38/264031838.db2.gz GYXRSZCJPPDHSA-CQSZACIVSA-N 1 2 291.778 3.697 20 0 CHADLO Cc1ccc(Cc2noc([C@H]3CCC[C@@H](C)C3)n2)c[nH+]1 ZINC000330902788 264073043 /nfs/dbraw/zinc/07/30/43/264073043.db2.gz LHOSKIZOLOMKEI-RISCZKNCSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1ccc(Cc2noc(C3C[C@@H](C)C[C@H](C)C3)n2)c[nH+]1 ZINC000330919563 264093005 /nfs/dbraw/zinc/09/30/05/264093005.db2.gz YUUADHLAWIBHDQ-RYUDHWBXSA-N 1 2 285.391 3.904 20 0 CHADLO CC[C@H](NC(=O)C[C@H]1CCCCC1(C)C)c1[nH]cc[nH+]1 ZINC000331264742 264185450 /nfs/dbraw/zinc/18/54/50/264185450.db2.gz GPXFUBWGKRSRKQ-OLZOCXBDSA-N 1 2 277.412 3.584 20 0 CHADLO Cc1ccc2c(c1)N(Cc1c[nH+]cn1C(C)C)CCC2 ZINC000425365916 264210935 /nfs/dbraw/zinc/21/09/35/264210935.db2.gz YZOWNCPPGVLJLZ-UHFFFAOYSA-N 1 2 269.392 3.725 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2cccc(F)c2F)n1 ZINC000070943469 264243184 /nfs/dbraw/zinc/24/31/84/264243184.db2.gz BRWAAXYSTNNACS-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO FC(F)c1noc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)n1 ZINC000425410602 264292933 /nfs/dbraw/zinc/29/29/33/264292933.db2.gz XNWQGXFKGIGZRU-SNVBAGLBSA-N 1 2 297.305 3.718 20 0 CHADLO FC(F)c1noc(C[N@H+]2CCCCC[C@@H]2c2ccco2)n1 ZINC000425410602 264292934 /nfs/dbraw/zinc/29/29/34/264292934.db2.gz XNWQGXFKGIGZRU-SNVBAGLBSA-N 1 2 297.305 3.718 20 0 CHADLO Clc1sccc1NCc1cccc2[nH+]ccn21 ZINC000189528648 264306994 /nfs/dbraw/zinc/30/69/94/264306994.db2.gz HVJHDEIXLRXULH-UHFFFAOYSA-N 1 2 263.753 3.661 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(F)F)no1)c1cc2ccccc2o1 ZINC000425435495 264316802 /nfs/dbraw/zinc/31/68/02/264316802.db2.gz STYLWQQVIZHMDZ-QMMMGPOBSA-N 1 2 293.273 3.604 20 0 CHADLO C[C@@H]1CCC[C@H](C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000162609260 264326701 /nfs/dbraw/zinc/32/67/01/264326701.db2.gz QPZBEFIQKBKKSK-OCCSQVGLSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1cc(Nc2ccc(COC(C)C)cc2)nc(C)[nH+]1 ZINC000131797529 264382654 /nfs/dbraw/zinc/38/26/54/264382654.db2.gz BYYZYDMQLHVHTA-UHFFFAOYSA-N 1 2 271.364 3.762 20 0 CHADLO Cc1cc(C)cc(CCC(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000425579171 264941238 /nfs/dbraw/zinc/94/12/38/264941238.db2.gz CKVRDGCHOIXNLP-UHFFFAOYSA-N 1 2 282.387 3.887 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)C[C@@H]1CCc2ccccc2C1 ZINC000425585460 264945978 /nfs/dbraw/zinc/94/59/78/264945978.db2.gz HZRCMJTXRAZIHT-OAHLLOKOSA-N 1 2 294.398 3.832 20 0 CHADLO CCCCOCC[N@H+](C)[C@H](C)c1c(F)cccc1F ZINC000518833807 265075149 /nfs/dbraw/zinc/07/51/49/265075149.db2.gz WRRWHSQJEPGOQH-GFCCVEGCSA-N 1 2 271.351 3.774 20 0 CHADLO CCCCOCC[N@@H+](C)[C@H](C)c1c(F)cccc1F ZINC000518833807 265075156 /nfs/dbraw/zinc/07/51/56/265075156.db2.gz WRRWHSQJEPGOQH-GFCCVEGCSA-N 1 2 271.351 3.774 20 0 CHADLO CCC[N@H+](Cc1nc(C(C)C)no1)Cc1ccc(C)cc1 ZINC000514530324 265173667 /nfs/dbraw/zinc/17/36/67/265173667.db2.gz YAWVIDIJTSIESM-UHFFFAOYSA-N 1 2 287.407 3.914 20 0 CHADLO CCC[N@@H+](Cc1nc(C(C)C)no1)Cc1ccc(C)cc1 ZINC000514530324 265173670 /nfs/dbraw/zinc/17/36/70/265173670.db2.gz YAWVIDIJTSIESM-UHFFFAOYSA-N 1 2 287.407 3.914 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@H+](C)Cc1ccc(Cl)s1 ZINC000096731105 265385058 /nfs/dbraw/zinc/38/50/58/265385058.db2.gz ZIOGCFQZFCZANS-LLVKDONJSA-N 1 2 289.828 3.565 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@@H+](C)Cc1ccc(Cl)s1 ZINC000096731105 265385061 /nfs/dbraw/zinc/38/50/61/265385061.db2.gz ZIOGCFQZFCZANS-LLVKDONJSA-N 1 2 289.828 3.565 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@]1(F)c1ccccc1)c1cn2ccccc2n1 ZINC000519659222 265523186 /nfs/dbraw/zinc/52/31/86/265523186.db2.gz WPNNSLWFCOYGQT-XCRHUMRWSA-N 1 2 295.361 3.622 20 0 CHADLO CCC[N@H+](Cc1noc(CC)n1)[C@H](CC)c1ccccc1 ZINC000519906664 265651412 /nfs/dbraw/zinc/65/14/12/265651412.db2.gz ORWDTUNJZGPBHD-OAHLLOKOSA-N 1 2 287.407 3.995 20 0 CHADLO CCC[N@@H+](Cc1noc(CC)n1)[C@H](CC)c1ccccc1 ZINC000519906664 265651414 /nfs/dbraw/zinc/65/14/14/265651414.db2.gz ORWDTUNJZGPBHD-OAHLLOKOSA-N 1 2 287.407 3.995 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1ccc(C(C)C)s1 ZINC000354703502 266045504 /nfs/dbraw/zinc/04/55/04/266045504.db2.gz ZLDLNTALLJMQLM-UHFFFAOYSA-N 1 2 276.361 3.527 20 0 CHADLO CC[C@H]1CCCCCN1C(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000356170573 266062391 /nfs/dbraw/zinc/06/23/91/266062391.db2.gz OQROXIFYZXSAKF-HNNXBMFYSA-N 1 2 299.418 3.677 20 0 CHADLO CC[C@H]1CCCC[C@H]1C(=O)Nc1ccc(C)[nH+]c1C ZINC000356374041 266104314 /nfs/dbraw/zinc/10/43/14/266104314.db2.gz GLOOCQGFGXZYPQ-UONOGXRCSA-N 1 2 260.381 3.853 20 0 CHADLO FC(F)Oc1ccccc1C[NH+]1CC2(CCC2(F)F)C1 ZINC000356531473 266131695 /nfs/dbraw/zinc/13/16/95/266131695.db2.gz SXPAKRXWQZOIEQ-UHFFFAOYSA-N 1 2 289.272 3.519 20 0 CHADLO CCCCN(c1cc(C)[nH+]c(-c2ccncc2)n1)C1CC1 ZINC000356752908 266170242 /nfs/dbraw/zinc/17/02/42/266170242.db2.gz AMBFILXOTBXQMS-UHFFFAOYSA-N 1 2 282.391 3.616 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2cccc(-c3ccccc3)c2)n1 ZINC000356888541 266205655 /nfs/dbraw/zinc/20/56/55/266205655.db2.gz DEEKURXOWHWTGQ-CYBMUJFWSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2cc(Cl)cc(Cl)c2)n1 ZINC000356894820 266206616 /nfs/dbraw/zinc/20/66/16/266206616.db2.gz NVNPTWNAAIKZTJ-SSDOTTSWSA-N 1 2 286.162 3.536 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ncccc2CC)s1 ZINC000356905201 266209770 /nfs/dbraw/zinc/20/97/70/266209770.db2.gz UQYQGURPEMPSKR-LLVKDONJSA-N 1 2 275.421 3.514 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)CCOc2ccccc2Cl)o1 ZINC000356955434 266218231 /nfs/dbraw/zinc/21/82/31/266218231.db2.gz FZOIGSLYEBQHOJ-GFCCVEGCSA-N 1 2 294.782 3.708 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)CCOc2ccccc2Cl)o1 ZINC000356955434 266218234 /nfs/dbraw/zinc/21/82/34/266218234.db2.gz FZOIGSLYEBQHOJ-GFCCVEGCSA-N 1 2 294.782 3.708 20 0 CHADLO C[C@@H]1OCC[N@H+](Cc2ccc(Oc3ccccc3)o2)[C@H]1C ZINC000356960014 266218818 /nfs/dbraw/zinc/21/88/18/266218818.db2.gz BWWIERIRRJEJIF-KBPBESRZSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@@H]1OCC[N@@H+](Cc2ccc(Oc3ccccc3)o2)[C@H]1C ZINC000356960014 266218824 /nfs/dbraw/zinc/21/88/24/266218824.db2.gz BWWIERIRRJEJIF-KBPBESRZSA-N 1 2 287.359 3.681 20 0 CHADLO Cn1c[nH+]cc1CN1CCc2cc(-c3ccccc3)ccc21 ZINC000356982992 266224630 /nfs/dbraw/zinc/22/46/30/266224630.db2.gz POGPYCIMMSWYCY-UHFFFAOYSA-N 1 2 289.382 3.650 20 0 CHADLO CC(C)(C)C1CC[NH+](Cc2ncn(-c3ccccc3)n2)CC1 ZINC000357009800 266232099 /nfs/dbraw/zinc/23/20/99/266232099.db2.gz RPQZTGICBAIKKP-UHFFFAOYSA-N 1 2 298.434 3.525 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CCC[C@H](C)C3)cc2[nH+]1 ZINC000357024468 266235278 /nfs/dbraw/zinc/23/52/78/266235278.db2.gz DUMPORWUVYKXLW-CMPLNLGQSA-N 1 2 271.364 3.636 20 0 CHADLO CCCOc1cc(C[N@@H+]2CCC=C(F)C2)ccc1OC ZINC000357211676 266267014 /nfs/dbraw/zinc/26/70/14/266267014.db2.gz HIDPDUAKBBHNLM-UHFFFAOYSA-N 1 2 279.355 3.543 20 0 CHADLO CCCOc1cc(C[N@H+]2CCC=C(F)C2)ccc1OC ZINC000357211676 266267018 /nfs/dbraw/zinc/26/70/18/266267018.db2.gz HIDPDUAKBBHNLM-UHFFFAOYSA-N 1 2 279.355 3.543 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@H]1CC12CCCCC2 ZINC000357336350 266279107 /nfs/dbraw/zinc/27/91/07/266279107.db2.gz OQSNOPXJBJQGEI-CQSZACIVSA-N 1 2 295.386 3.781 20 0 CHADLO c1cn(-c2cccc(OCCCOc3ccccc3)c2)c[nH+]1 ZINC000357663923 266342193 /nfs/dbraw/zinc/34/21/93/266342193.db2.gz SRGXETZHAFIRNE-UHFFFAOYSA-N 1 2 294.354 3.720 20 0 CHADLO CSc1ccc(F)cc1C[NH2+][C@@H](C)c1nccs1 ZINC000357776981 266359896 /nfs/dbraw/zinc/35/98/96/266359896.db2.gz GKXRJPZXASWLHW-VIFPVBQESA-N 1 2 282.409 3.855 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1oc2ccc(F)cc2c1C ZINC000358290585 266449340 /nfs/dbraw/zinc/44/93/40/266449340.db2.gz RUGPNXWGYUDWJE-UHFFFAOYSA-N 1 2 298.317 3.512 20 0 CHADLO Cc1ccc(NC(=O)N2CC[C@]3(CC[C@@H](C)C3)C2)c(C)[nH+]1 ZINC000359355974 266569438 /nfs/dbraw/zinc/56/94/38/266569438.db2.gz YRMJEKHSKZLMTB-PXAZEXFGSA-N 1 2 287.407 3.742 20 0 CHADLO C[C@@]1(c2ccc(F)cc2)CCN(c2cc[nH+]c(C3CC3)n2)C1 ZINC000360291484 266702050 /nfs/dbraw/zinc/70/20/50/266702050.db2.gz FZCVIUDMANTRPW-GOSISDBHSA-N 1 2 297.377 3.661 20 0 CHADLO CN(c1nc(C[N@@H+]2CC[C@H]2c2ccccc2)cs1)C1CC1 ZINC000361541682 266843161 /nfs/dbraw/zinc/84/31/61/266843161.db2.gz RXELKLYKPLSTHY-INIZCTEOSA-N 1 2 299.443 3.689 20 0 CHADLO CN(c1nc(C[N@H+]2CC[C@H]2c2ccccc2)cs1)C1CC1 ZINC000361541682 266843165 /nfs/dbraw/zinc/84/31/65/266843165.db2.gz RXELKLYKPLSTHY-INIZCTEOSA-N 1 2 299.443 3.689 20 0 CHADLO CCCc1nc(C[NH+]2CCC(C(F)F)CC2)cs1 ZINC000361700125 266872387 /nfs/dbraw/zinc/87/23/87/266872387.db2.gz UPLNDISWAHIAPA-UHFFFAOYSA-N 1 2 274.380 3.573 20 0 CHADLO CC(C)(C)OCc1cc(C[NH2+]Cc2ccc(Cl)o2)no1 ZINC000362526490 267000837 /nfs/dbraw/zinc/00/08/37/267000837.db2.gz RUIUQSNRPGMMLG-UHFFFAOYSA-N 1 2 298.770 3.526 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1CNc1cc[nH+]cc1F ZINC000362800331 267047218 /nfs/dbraw/zinc/04/72/18/267047218.db2.gz DQXAUMNEBWWWAH-UHFFFAOYSA-N 1 2 284.256 3.582 20 0 CHADLO Cc1ccc(NCc2cc3ccc(F)cc3[nH]2)c(C)[nH+]1 ZINC000362798896 267047601 /nfs/dbraw/zinc/04/76/01/267047601.db2.gz TYBWXLIXWIJGOO-UHFFFAOYSA-N 1 2 269.323 3.931 20 0 CHADLO CC(C)c1nc(N2CC(C)(C)[C@@H]2c2ccncc2)cc[nH+]1 ZINC000368027513 267138070 /nfs/dbraw/zinc/13/80/70/267138070.db2.gz LPOMYDOUXNCSIC-HNNXBMFYSA-N 1 2 282.391 3.583 20 0 CHADLO c1cc([C@@H]2CCCC[N@H+]2Cc2cc3ccccc3[nH]2)n[nH]1 ZINC000368040787 267139696 /nfs/dbraw/zinc/13/96/96/267139696.db2.gz JVWGFTHPQSZRMB-KRWDZBQOSA-N 1 2 280.375 3.618 20 0 CHADLO c1cc([C@@H]2CCCC[N@@H+]2Cc2cc3ccccc3[nH]2)n[nH]1 ZINC000368040787 267139698 /nfs/dbraw/zinc/13/96/98/267139698.db2.gz JVWGFTHPQSZRMB-KRWDZBQOSA-N 1 2 280.375 3.618 20 0 CHADLO Cc1c2ccc(F)cc2nc2c1CN(c1cccc[nH+]1)CC2 ZINC000369948134 267278226 /nfs/dbraw/zinc/27/82/26/267278226.db2.gz KJHWXOUZFVZAHM-UHFFFAOYSA-N 1 2 293.345 3.640 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000370690089 267340267 /nfs/dbraw/zinc/34/02/67/267340267.db2.gz LVCKYPIBRZKZDX-OAHLLOKOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000370690089 267340270 /nfs/dbraw/zinc/34/02/70/267340270.db2.gz LVCKYPIBRZKZDX-OAHLLOKOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)C[C@H]2C=CCC2)[nH+]1 ZINC000371246180 267376556 /nfs/dbraw/zinc/37/65/56/267376556.db2.gz BJWUCEKSVPQTST-ZDUSSCGKSA-N 1 2 281.359 3.680 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)CC2CCCC2)[nH+]1 ZINC000371246558 267376750 /nfs/dbraw/zinc/37/67/50/267376750.db2.gz FJNWELABTZCDHV-UHFFFAOYSA-N 1 2 283.375 3.904 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)CC2CCC2)[nH+]1 ZINC000371252979 267378140 /nfs/dbraw/zinc/37/81/40/267378140.db2.gz GLIXFRJQUYWURT-UHFFFAOYSA-N 1 2 269.348 3.514 20 0 CHADLO C[C@H]([NH2+]Cc1ncoc1-c1ccccc1)c1cncc(F)c1 ZINC000371279406 267381077 /nfs/dbraw/zinc/38/10/77/267381077.db2.gz IEZXJJPAJNHHMY-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCC[C@H]2c2ncon2)s1 ZINC000371372774 267395181 /nfs/dbraw/zinc/39/51/81/267395181.db2.gz HYKIACZKOLGEPF-LBPRGKRZSA-N 1 2 291.420 3.766 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCC[C@H]2c2ncon2)s1 ZINC000371372774 267395184 /nfs/dbraw/zinc/39/51/84/267395184.db2.gz HYKIACZKOLGEPF-LBPRGKRZSA-N 1 2 291.420 3.766 20 0 CHADLO Fc1cc(F)cc(C[N@@H+]2CCC[C@@H]2c2nccs2)c1 ZINC000374299099 267776771 /nfs/dbraw/zinc/77/67/71/267776771.db2.gz BBLDGBFLSOQKFX-CYBMUJFWSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cc(F)cc(C[N@H+]2CCC[C@@H]2c2nccs2)c1 ZINC000374299099 267776774 /nfs/dbraw/zinc/77/67/74/267776774.db2.gz BBLDGBFLSOQKFX-CYBMUJFWSA-N 1 2 280.343 3.758 20 0 CHADLO COc1ccc2oc(C[N@@H+]3CCC[C@@H]3c3ncc[nH]3)cc2c1 ZINC000375353426 267851849 /nfs/dbraw/zinc/85/18/49/267851849.db2.gz PXXANILQHDNCIF-OAHLLOKOSA-N 1 2 297.358 3.502 20 0 CHADLO COc1ccc2oc(C[N@H+]3CCC[C@@H]3c3ncc[nH]3)cc2c1 ZINC000375353426 267851852 /nfs/dbraw/zinc/85/18/52/267851852.db2.gz PXXANILQHDNCIF-OAHLLOKOSA-N 1 2 297.358 3.502 20 0 CHADLO Cn1ccnc1C[N@@H+]1CCCC[C@H]1c1cccc(Cl)c1 ZINC000376648020 268005667 /nfs/dbraw/zinc/00/56/67/268005667.db2.gz CQUKEOMQAAAQJQ-HNNXBMFYSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1ccnc1C[N@H+]1CCCC[C@H]1c1cccc(Cl)c1 ZINC000376648020 268005670 /nfs/dbraw/zinc/00/56/70/268005670.db2.gz CQUKEOMQAAAQJQ-HNNXBMFYSA-N 1 2 289.810 3.801 20 0 CHADLO COc1ccncc1C[N@@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000376856779 268024360 /nfs/dbraw/zinc/02/43/60/268024360.db2.gz HEDRVTXNXBBZEU-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO COc1ccncc1C[N@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000376856779 268024363 /nfs/dbraw/zinc/02/43/63/268024363.db2.gz HEDRVTXNXBBZEU-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO Cc1cccc(CNc2cc[nH+]cc2F)c1OC(F)F ZINC000192149678 335090284 /nfs/dbraw/zinc/09/02/84/335090284.db2.gz OTCGEDPCNPUWFY-UHFFFAOYSA-N 1 2 282.265 3.743 20 0 CHADLO CC1(C)CCC(SCCCn2cc[nH+]c2)CC1 ZINC000344514510 528909050 /nfs/dbraw/zinc/90/90/50/528909050.db2.gz KLQJRSQSVYLTIK-UHFFFAOYSA-N 1 2 252.427 3.975 20 0 CHADLO Cc1ccc(C)c([C@@H](C)N(C)C(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000570727025 327614807 /nfs/dbraw/zinc/61/48/07/327614807.db2.gz JIOMCQTXEKUSJV-HZPDHXFCSA-N 1 2 299.418 3.671 20 0 CHADLO Cc1ccc(C)c([C@@H](C)N(C)C(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000570727026 327614844 /nfs/dbraw/zinc/61/48/44/327614844.db2.gz JIOMCQTXEKUSJV-JKSUJKDBSA-N 1 2 299.418 3.671 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(OC(F)F)cc1)C(C)(F)F ZINC000389456284 328846825 /nfs/dbraw/zinc/84/68/25/328846825.db2.gz ADNGQIXVRKJAET-DTWKUNHWSA-N 1 2 279.277 3.982 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000580077633 327693499 /nfs/dbraw/zinc/69/34/99/327693499.db2.gz ILXLGKHZLIZTAT-ZNMIVQPWSA-N 1 2 289.423 3.778 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1N[C@@H]1CCC[C@H]2C[C@H]21 ZINC000378880019 327762904 /nfs/dbraw/zinc/76/29/04/327762904.db2.gz FJMWEZNONCAKOX-NUEKZKHPSA-N 1 2 271.408 3.672 20 0 CHADLO Cc1cc[nH+]c(NC[C@@]2(C)CCCS2)c1Cl ZINC000309693344 327821388 /nfs/dbraw/zinc/82/13/88/327821388.db2.gz KFKIVSNPKFBWBP-GFCCVEGCSA-N 1 2 256.802 3.741 20 0 CHADLO CC(C)(C)[C@H]([NH2+]C[C@H]1CCC(F)(F)C1)C(F)(F)F ZINC000582103429 327856138 /nfs/dbraw/zinc/85/61/38/327856138.db2.gz RVDYGRURFSVKLE-IUCAKERBSA-N 1 2 273.289 3.988 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]C[C@H]1CCC(F)(F)C1)C(F)(F)F ZINC000582103428 327856165 /nfs/dbraw/zinc/85/61/65/327856165.db2.gz RVDYGRURFSVKLE-DTWKUNHWSA-N 1 2 273.289 3.988 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)[NH2+]c1ccc(-n2cccn2)cc1 ZINC000388552463 327892781 /nfs/dbraw/zinc/89/27/81/327892781.db2.gz WPUVRGIAECJBBG-CQSZACIVSA-N 1 2 293.370 3.621 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(Cl)c2F)CCC1(F)F ZINC000582449083 329007282 /nfs/dbraw/zinc/00/72/82/329007282.db2.gz IYBRHIHBLIBFRI-SECBINFHSA-N 1 2 277.717 3.956 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(Cl)c2F)CCC1(F)F ZINC000582449083 329007284 /nfs/dbraw/zinc/00/72/84/329007284.db2.gz IYBRHIHBLIBFRI-SECBINFHSA-N 1 2 277.717 3.956 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N(C)Cc1cscn1 ZINC000531758529 328032024 /nfs/dbraw/zinc/03/20/24/328032024.db2.gz FSPABCLNMPCXNE-UHFFFAOYSA-N 1 2 269.373 3.636 20 0 CHADLO CCN(Cc1cccs1)c1[nH+]c2ccccc2n1C ZINC000534242364 328041416 /nfs/dbraw/zinc/04/14/16/328041416.db2.gz XJOTYUSUJDCJDI-UHFFFAOYSA-N 1 2 271.389 3.661 20 0 CHADLO CCOc1cccc([C@@H](C)Nc2[nH+]c3ccccc3n2C)c1 ZINC000534244524 328041603 /nfs/dbraw/zinc/04/16/03/328041603.db2.gz SQZHLPDWWISLFH-CYBMUJFWSA-N 1 2 295.386 3.567 20 0 CHADLO CCOc1cccc([C@H](C)Nc2[nH+]c3ccccc3n2C)c1 ZINC000534244525 328041650 /nfs/dbraw/zinc/04/16/50/328041650.db2.gz SQZHLPDWWISLFH-ZDUSSCGKSA-N 1 2 295.386 3.567 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@H]2CC(C)(C)C)c(C)c[nH+]1 ZINC000534324162 328045816 /nfs/dbraw/zinc/04/58/16/328045816.db2.gz UZZFCWUGOJFNIF-AWEZNQCLSA-N 1 2 289.423 3.553 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1cccc(C(C)(C)C)c1 ZINC000563363963 328059158 /nfs/dbraw/zinc/05/91/58/328059158.db2.gz KGZURGCNILJHCX-CYBMUJFWSA-N 1 2 299.418 3.692 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]C/C(Cl)=C/Cl)n1 ZINC000268686387 328071579 /nfs/dbraw/zinc/07/15/79/328071579.db2.gz PYCRINGYQAVYQG-YWEYNIOJSA-N 1 2 265.209 3.595 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cc(F)c(C(F)(F)F)cc2F)C1 ZINC000563643286 328080220 /nfs/dbraw/zinc/08/02/20/328080220.db2.gz VAEKPEOGWNMROM-LBPRGKRZSA-N 1 2 297.242 3.918 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cc(F)c(C(F)(F)F)cc2F)C1 ZINC000563643286 328080221 /nfs/dbraw/zinc/08/02/21/328080221.db2.gz VAEKPEOGWNMROM-LBPRGKRZSA-N 1 2 297.242 3.918 20 0 CHADLO Cc1ccc(NC(=O)NCC2(c3ccccc3)CC2)c(C)[nH+]1 ZINC000152233563 328082174 /nfs/dbraw/zinc/08/21/74/328082174.db2.gz GBDBQIMDWHWFEY-UHFFFAOYSA-N 1 2 295.386 3.552 20 0 CHADLO CC[C@H]1CC[C@@H]1Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000571087212 328084278 /nfs/dbraw/zinc/08/42/78/328084278.db2.gz OAXBBOUHXVHCSF-WFASDCNBSA-N 1 2 255.365 3.688 20 0 CHADLO CC[N@H+](Cc1nc(-c2ccccc2)no1)Cc1occc1C ZINC000412042069 328102035 /nfs/dbraw/zinc/10/20/35/328102035.db2.gz NRNMXBMXHYZXCS-UHFFFAOYSA-N 1 2 297.358 3.660 20 0 CHADLO CC[N@@H+](Cc1nc(-c2ccccc2)no1)Cc1occc1C ZINC000412042069 328102036 /nfs/dbraw/zinc/10/20/36/328102036.db2.gz NRNMXBMXHYZXCS-UHFFFAOYSA-N 1 2 297.358 3.660 20 0 CHADLO CC[N@H+](Cc1noc(Cc2ccccc2)n1)C1CC(C)(C)C1 ZINC000412041138 328102249 /nfs/dbraw/zinc/10/22/49/328102249.db2.gz SFZMBWJEXCUYTI-UHFFFAOYSA-N 1 2 299.418 3.671 20 0 CHADLO CC[N@@H+](Cc1noc(Cc2ccccc2)n1)C1CC(C)(C)C1 ZINC000412041138 328102250 /nfs/dbraw/zinc/10/22/50/328102250.db2.gz SFZMBWJEXCUYTI-UHFFFAOYSA-N 1 2 299.418 3.671 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(Cl)c1F)c1csnn1 ZINC000412044258 328102532 /nfs/dbraw/zinc/10/25/32/328102532.db2.gz QLDODFJCBDLSKY-HTQZYQBOSA-N 1 2 285.775 3.742 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H](C)CC(F)F ZINC000412313456 328108210 /nfs/dbraw/zinc/10/82/10/328108210.db2.gz UVLODTJQUOGDDI-JTQLQIEISA-N 1 2 293.317 3.615 20 0 CHADLO Cc1ccc(N[C@@H](C)CCc2ccc(O)cc2)c[nH+]1 ZINC000154257772 328125498 /nfs/dbraw/zinc/12/54/98/328125498.db2.gz QHVHTBOAPLJOGH-ZDUSSCGKSA-N 1 2 256.349 3.529 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CC[C@@H](C(F)(F)F)C(C)(C)C1 ZINC000571462776 328123403 /nfs/dbraw/zinc/12/34/03/328123403.db2.gz YPRRFTCVVCIDAB-LLVKDONJSA-N 1 2 287.329 3.508 20 0 CHADLO Cc1nc(N2Cc3ccc(Cl)cc3C2)c(C)c(C)[nH+]1 ZINC000413454679 328147187 /nfs/dbraw/zinc/14/71/87/328147187.db2.gz MWPIJUJSSFUTNB-UHFFFAOYSA-N 1 2 273.767 3.575 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nccc(C(F)(F)F)n2)cc1 ZINC000414266687 328153901 /nfs/dbraw/zinc/15/39/01/328153901.db2.gz WBBVPZRXOUDJQZ-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO Clc1ccc(C[NH2+][C@H]2CCCc3cn[nH]c32)c(Cl)c1 ZINC000268815876 328186460 /nfs/dbraw/zinc/18/64/60/328186460.db2.gz FZUWVKLTOUNFSU-ZDUSSCGKSA-N 1 2 296.201 3.884 20 0 CHADLO Clc1ccc(C[NH2+][C@H]2CCCc3c[nH]nc32)c(Cl)c1 ZINC000268815876 328186462 /nfs/dbraw/zinc/18/64/62/328186462.db2.gz FZUWVKLTOUNFSU-ZDUSSCGKSA-N 1 2 296.201 3.884 20 0 CHADLO Cc1cc2cc(C[NH2+][C@H](C)c3csnn3)oc2cc1C ZINC000414552527 328193970 /nfs/dbraw/zinc/19/39/70/328193970.db2.gz RKIZHMSIZMNKGD-LLVKDONJSA-N 1 2 287.388 3.752 20 0 CHADLO CC(C)n1cc[nH+]c1CN[C@@H](C)c1c(F)cccc1F ZINC000271811398 328197591 /nfs/dbraw/zinc/19/75/91/328197591.db2.gz UJNRKCNHEZBIQK-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO CC(C)n1ccnc1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000271811398 328197592 /nfs/dbraw/zinc/19/75/92/328197592.db2.gz UJNRKCNHEZBIQK-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@H+]1Cc1ccnc(Cl)c1 ZINC000283631395 328244477 /nfs/dbraw/zinc/24/44/77/328244477.db2.gz ACUZHVZUJWJAKF-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1ccnc(Cl)c1 ZINC000283631395 328244478 /nfs/dbraw/zinc/24/44/78/328244478.db2.gz ACUZHVZUJWJAKF-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@@H](C)c1cscn1 ZINC000287507714 328251662 /nfs/dbraw/zinc/25/16/62/328251662.db2.gz OAWDZVLKFCTFOD-VIFPVBQESA-N 1 2 282.409 3.855 20 0 CHADLO CC(C)C[C@H](C)CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000340527119 328288756 /nfs/dbraw/zinc/28/87/56/328288756.db2.gz CZQXDYIMZQLDHM-AWEZNQCLSA-N 1 2 285.391 3.883 20 0 CHADLO CC[C@H]([NH2+][C@H](CO)c1c(F)cccc1F)c1ccsc1 ZINC000296883325 328292530 /nfs/dbraw/zinc/29/25/30/328292530.db2.gz OGRHTXXAPAISTQ-UONOGXRCSA-N 1 2 297.370 3.801 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2CCn3ccnc32)c(OC(C)(C)C)c1 ZINC000297847634 328294688 /nfs/dbraw/zinc/29/46/88/328294688.db2.gz SRDUGNDJEXUYQS-OAHLLOKOSA-N 1 2 299.418 3.603 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H](C)c3cccc(C)c3)cc2[nH+]1 ZINC000531930321 328314587 /nfs/dbraw/zinc/31/45/87/328314587.db2.gz LYKOBTXTPMHPDZ-GFCCVEGCSA-N 1 2 293.370 3.922 20 0 CHADLO Cc1cc(CNc2cc(C)[nH+]c3c(F)cccc23)no1 ZINC000531949658 328327218 /nfs/dbraw/zinc/32/72/18/328327218.db2.gz QQUOCXPCPPDGEZ-UHFFFAOYSA-N 1 2 271.295 3.591 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)C(C)(C)C)cc2[nH+]1 ZINC000049428886 328361152 /nfs/dbraw/zinc/36/11/52/328361152.db2.gz BZTBOZSNPSDYHX-UHFFFAOYSA-N 1 2 273.380 3.890 20 0 CHADLO CCCCC(=O)Nc1ccc2c(c1)[nH+]c(C(C)(C)C)n2C ZINC000534813057 328362790 /nfs/dbraw/zinc/36/27/90/328362790.db2.gz DYXNLUFFYCGPLS-UHFFFAOYSA-N 1 2 287.407 4.000 20 0 CHADLO CC[C@H](C)n1ncc(Nc2[nH+]cnc3[nH]ccc32)c1C1CC1 ZINC000534980411 328372956 /nfs/dbraw/zinc/37/29/56/328372956.db2.gz ZDWZTXMQAYERMB-JTQLQIEISA-N 1 2 296.378 3.746 20 0 CHADLO CCC[C@@H](NC(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000535061275 328381863 /nfs/dbraw/zinc/38/18/63/328381863.db2.gz FYMFLCKDLQPXPV-MRXNPFEDSA-N 1 2 297.402 3.783 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CC[C@H](C2CCOCC2)C1 ZINC000535088333 328387391 /nfs/dbraw/zinc/38/73/91/328387391.db2.gz SJQYFLSTYBWYOO-KRWDZBQOSA-N 1 2 296.414 3.796 20 0 CHADLO Cc1cc(NC(=O)C(C)(C)c2ccccc2Cl)cc[nH+]1 ZINC000112298262 328396705 /nfs/dbraw/zinc/39/67/05/328396705.db2.gz BYJOMMOXJBQEOM-UHFFFAOYSA-N 1 2 288.778 3.960 20 0 CHADLO CCc1cccc(NC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000152286182 328438473 /nfs/dbraw/zinc/43/84/73/328438473.db2.gz OLFHPUWANGYEON-UHFFFAOYSA-N 1 2 269.348 3.905 20 0 CHADLO COC(=O)[C@@H]([NH2+]C[C@@H]1CC=CCC1)c1ccc(Cl)cc1 ZINC000428086098 328490773 /nfs/dbraw/zinc/49/07/73/328490773.db2.gz CUXPWMVBLUGTSJ-DOMZBBRYSA-N 1 2 293.794 3.500 20 0 CHADLO Cc1ccc(NC(=O)N2CCC[C@H](C(C)C)CC2)c(C)[nH+]1 ZINC000152388016 328523667 /nfs/dbraw/zinc/52/36/67/328523667.db2.gz LXJJCENBZAPYLL-HNNXBMFYSA-N 1 2 289.423 3.988 20 0 CHADLO CC[C@@H]1CCC[C@H]1c1nc(CCc2[nH+]cccc2C)no1 ZINC000563862688 328529078 /nfs/dbraw/zinc/52/90/78/328529078.db2.gz UZYHBIJOSPPAPY-ZIAGYGMSSA-N 1 2 285.391 3.852 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](CO)c2cccc(Cl)c2F)o1 ZINC000343506437 328553777 /nfs/dbraw/zinc/55/37/77/328553777.db2.gz NWXHVAMWISKQRX-GXFFZTMASA-N 1 2 297.757 3.765 20 0 CHADLO CC(C)c1ncc(C[NH2+]Cc2nnc(C(C)C)s2)s1 ZINC000344667627 328559404 /nfs/dbraw/zinc/55/94/04/328559404.db2.gz HLWROTLRZBRNJB-UHFFFAOYSA-N 1 2 296.465 3.531 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1csnn1)c1cccc(C)c1 ZINC000398453955 328592544 /nfs/dbraw/zinc/59/25/44/328592544.db2.gz GJDAXVHIHAIBGE-WCQYABFASA-N 1 2 261.394 3.648 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1csnn1)c1csc(Cl)c1 ZINC000398453898 328592578 /nfs/dbraw/zinc/59/25/78/328592578.db2.gz GFBKBDOVNFSJJB-RNFRBKRXSA-N 1 2 273.814 3.665 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1csnn1)c1ccc(C)cc1 ZINC000398448821 328592701 /nfs/dbraw/zinc/59/27/01/328592701.db2.gz CVBRGTHVZVOFLT-YPMHNXCESA-N 1 2 261.394 3.648 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3nc4ccccc4o3)CC2)cc1 ZINC000533390906 326826624 /nfs/dbraw/zinc/82/66/24/326826624.db2.gz KJFIBNFOHDDOBY-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000530532637 326853505 /nfs/dbraw/zinc/85/35/05/326853505.db2.gz FLIWUQMICSBMMB-DYVFJYSZSA-N 1 2 282.387 3.547 20 0 CHADLO Fc1ccc2cc[nH+]c(NCc3ncccc3F)c2c1 ZINC000530533622 326853784 /nfs/dbraw/zinc/85/37/84/326853784.db2.gz DPQUHVDFOXBUMC-UHFFFAOYSA-N 1 2 271.270 3.520 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc2ccccc2o1)c1csnn1 ZINC000398261951 326876780 /nfs/dbraw/zinc/87/67/80/326876780.db2.gz LIYPIUJGZIBWNE-UWVGGRQHSA-N 1 2 273.361 3.696 20 0 CHADLO CC(C)[C@H]1CCN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000533639135 326898894 /nfs/dbraw/zinc/89/88/94/326898894.db2.gz VDBFCARJZHXZIA-JTQLQIEISA-N 1 2 258.287 3.583 20 0 CHADLO Cc1ccn2c(CNc3cc(C)ccc3F)c[nH+]c2c1 ZINC000128178856 326902730 /nfs/dbraw/zinc/90/27/30/326902730.db2.gz UHUSUSZBSXDKKV-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1cc(NC(=O)/C=C\c2nc3ccccc3s2)cc[nH+]1 ZINC000255111880 326943775 /nfs/dbraw/zinc/94/37/75/326943775.db2.gz TWHKZYYNFHMJNO-SREVYHEPSA-N 1 2 295.367 3.652 20 0 CHADLO CC[C@H](C)[N@H+](CC(=O)OC(C)(C)C)Cc1cccc(F)c1 ZINC000558072839 327057948 /nfs/dbraw/zinc/05/79/48/327057948.db2.gz NZRWTRZPFDSJIA-ZDUSSCGKSA-N 1 2 295.398 3.768 20 0 CHADLO CC[C@H](C)[N@@H+](CC(=O)OC(C)(C)C)Cc1cccc(F)c1 ZINC000558072839 327057949 /nfs/dbraw/zinc/05/79/49/327057949.db2.gz NZRWTRZPFDSJIA-ZDUSSCGKSA-N 1 2 295.398 3.768 20 0 CHADLO C[C@H]1C[C@H](C[N@@H+]2CCCCc3ccccc32)CCO1 ZINC000558407059 327091271 /nfs/dbraw/zinc/09/12/71/327091271.db2.gz BUIXMFCNFKJHDO-LSDHHAIUSA-N 1 2 259.393 3.644 20 0 CHADLO C[C@H]1C[C@H](C[N@H+]2CCCCc3ccccc32)CCO1 ZINC000558407059 327091273 /nfs/dbraw/zinc/09/12/73/327091273.db2.gz BUIXMFCNFKJHDO-LSDHHAIUSA-N 1 2 259.393 3.644 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCc1ccc([C@H]2C[C@@H]2C)o1 ZINC000558449807 327095267 /nfs/dbraw/zinc/09/52/67/327095267.db2.gz RQLAYYBYUPFFBH-FZMZJTMJSA-N 1 2 299.374 3.737 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N(C1CC1)C1CCCC1 ZINC000558449488 327095286 /nfs/dbraw/zinc/09/52/86/327095286.db2.gz VDLFAQMGJMOZPJ-UHFFFAOYSA-N 1 2 273.380 3.637 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)cccc2Cl)[C@@H]1[C@H]1CCCO1 ZINC000558471159 327096593 /nfs/dbraw/zinc/09/65/93/327096593.db2.gz WPJLVVOEPPTOGY-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)cccc2Cl)[C@@H]1[C@H]1CCCO1 ZINC000558471159 327096594 /nfs/dbraw/zinc/09/65/94/327096594.db2.gz WPJLVVOEPPTOGY-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO O=C(c1ccccc1Oc1cc[nH+]cc1)N1C2CCC1CC2 ZINC000558683611 327113774 /nfs/dbraw/zinc/11/37/74/327113774.db2.gz SYXSDXPNHAGMRF-UHFFFAOYSA-N 1 2 294.354 3.641 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@H+](C)Cc2ncc(C)o2)s1 ZINC000075766923 327127635 /nfs/dbraw/zinc/12/76/35/327127635.db2.gz IXFDWICOVMIMSE-NSHDSACASA-N 1 2 279.409 3.503 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@@H+](C)Cc2ncc(C)o2)s1 ZINC000075766923 327127636 /nfs/dbraw/zinc/12/76/36/327127636.db2.gz IXFDWICOVMIMSE-NSHDSACASA-N 1 2 279.409 3.503 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc(SC)cc2)o1 ZINC000223667567 327167062 /nfs/dbraw/zinc/16/70/62/327167062.db2.gz SAGHJQHXIDYHGJ-NSHDSACASA-N 1 2 276.405 3.810 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)c(F)c2)[C@H]1[C@H]1CCCO1 ZINC000569412253 327174215 /nfs/dbraw/zinc/17/42/15/327174215.db2.gz RPQPYEUZXGDOHV-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)c(F)c2)[C@H]1[C@H]1CCCO1 ZINC000569412253 327174216 /nfs/dbraw/zinc/17/42/16/327174216.db2.gz RPQPYEUZXGDOHV-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO C/C(=C/c1ccc(Cl)cc1)C[NH2+]C(CF)CF ZINC000449382262 327184464 /nfs/dbraw/zinc/18/44/64/327184464.db2.gz WCTAPHPCKXAUJE-POHAHGRESA-N 1 2 259.727 3.641 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000573507129 327192103 /nfs/dbraw/zinc/19/21/03/327192103.db2.gz QGEPNZZNGCPAGL-RYUDHWBXSA-N 1 2 276.405 3.872 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(Br)s1 ZINC000159446010 327195042 /nfs/dbraw/zinc/19/50/42/327195042.db2.gz HVLMXEUZZZCBNJ-UHFFFAOYSA-N 1 2 299.193 3.526 20 0 CHADLO CCS[C@H](C)c1noc(C[NH+]2CCC(C(C)C)CC2)n1 ZINC000133768470 327199659 /nfs/dbraw/zinc/19/96/59/327199659.db2.gz DPENNWMGCBZRAQ-GFCCVEGCSA-N 1 2 297.468 3.752 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@@H+](CC1=CCCOC1)CC2 ZINC000093815837 327200303 /nfs/dbraw/zinc/20/03/03/327200303.db2.gz YCEBIVBRDMPEQJ-UHFFFAOYSA-N 1 2 298.213 3.698 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@H+](CC1=CCCOC1)CC2 ZINC000093815837 327200305 /nfs/dbraw/zinc/20/03/05/327200305.db2.gz YCEBIVBRDMPEQJ-UHFFFAOYSA-N 1 2 298.213 3.698 20 0 CHADLO CCCOc1ccc(CNc2c[nH+]cc(C)c2)cc1 ZINC000227603361 327207676 /nfs/dbraw/zinc/20/76/76/327207676.db2.gz HQVIZVFGHJLADH-UHFFFAOYSA-N 1 2 256.349 3.791 20 0 CHADLO CC(C)(C)c1cnc(COc2ccccc2-n2cc[nH+]c2)o1 ZINC000531015705 327216771 /nfs/dbraw/zinc/21/67/71/327216771.db2.gz QRZWNCNFQSIHHZ-UHFFFAOYSA-N 1 2 297.358 3.737 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)CN1c1[nH+]c2ccccc2n1C ZINC000536501901 327241911 /nfs/dbraw/zinc/24/19/11/327241911.db2.gz JINWPDMHYPJMDX-ZBFHGGJFSA-N 1 2 291.398 3.956 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cc(F)c(C(F)(F)F)cc1F ZINC000559399991 327243718 /nfs/dbraw/zinc/24/37/18/327243718.db2.gz AALQIKJCIVRTDS-SECBINFHSA-N 1 2 297.242 3.918 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cc(F)c(C(F)(F)F)cc1F ZINC000559399991 327243719 /nfs/dbraw/zinc/24/37/19/327243719.db2.gz AALQIKJCIVRTDS-SECBINFHSA-N 1 2 297.242 3.918 20 0 CHADLO C[C@H]1CCC[C@H]([N@H+](C)Cc2noc(Cc3ccccc3)n2)C1 ZINC000109377915 327259698 /nfs/dbraw/zinc/25/96/98/327259698.db2.gz WGEVVNHGCVKUKV-HOCLYGCPSA-N 1 2 299.418 3.671 20 0 CHADLO C[C@H]1CCC[C@H]([N@@H+](C)Cc2noc(Cc3ccccc3)n2)C1 ZINC000109377915 327259700 /nfs/dbraw/zinc/25/97/00/327259700.db2.gz WGEVVNHGCVKUKV-HOCLYGCPSA-N 1 2 299.418 3.671 20 0 CHADLO COc1ccc(SCCc2cccc(C)[nH+]2)cc1 ZINC000577394993 327260074 /nfs/dbraw/zinc/26/00/74/327260074.db2.gz IROMASZBMFYSIJ-UHFFFAOYSA-N 1 2 259.374 3.733 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2ccccc21)c1nc(C(C)(C)C)no1 ZINC000559900275 327270128 /nfs/dbraw/zinc/27/01/28/327270128.db2.gz KIOHYLRVSKMXQX-SMDDNHRTSA-N 1 2 285.391 3.705 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cncc4ccccc43)cn2c1 ZINC000559987314 327275679 /nfs/dbraw/zinc/27/56/79/327275679.db2.gz MBNLXDXEOGPSQK-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)CCc1ccsc1)C(=O)OC(C)(C)C ZINC000583355584 327317324 /nfs/dbraw/zinc/31/73/24/327317324.db2.gz DLKFHCJTDJOWLQ-GXTWGEPZSA-N 1 2 297.464 3.779 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)CCc1ccsc1)C(=O)OC(C)(C)C ZINC000583355585 327317370 /nfs/dbraw/zinc/31/73/70/327317370.db2.gz DLKFHCJTDJOWLQ-TZMCWYRMSA-N 1 2 297.464 3.779 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CC(C)(C)Cc3oc(C)cc32)no1 ZINC000560582156 327327628 /nfs/dbraw/zinc/32/76/28/327327628.db2.gz XVYCWNXQBYCKGG-AWEZNQCLSA-N 1 2 274.364 3.688 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)c(Cl)c1 ZINC000560628508 327332432 /nfs/dbraw/zinc/33/24/32/327332432.db2.gz DXFSTGXKDXBHOW-HOTGVXAUSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)c(Cl)c1 ZINC000560628508 327332433 /nfs/dbraw/zinc/33/24/33/327332433.db2.gz DXFSTGXKDXBHOW-HOTGVXAUSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)c(Cl)c1 ZINC000560628490 327332445 /nfs/dbraw/zinc/33/24/45/327332445.db2.gz DXFSTGXKDXBHOW-CVEARBPZSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)c(Cl)c1 ZINC000560628490 327332446 /nfs/dbraw/zinc/33/24/46/327332446.db2.gz DXFSTGXKDXBHOW-CVEARBPZSA-N 1 2 295.785 3.623 20 0 CHADLO CO[C@H](COc1ccccc1-n1cc[nH+]c1)c1ccccc1 ZINC000561021011 327358631 /nfs/dbraw/zinc/35/86/31/327358631.db2.gz DMGSTHIBBDDNNS-GOSISDBHSA-N 1 2 294.354 3.639 20 0 CHADLO O[C@H]1CCC[C@@H](CNc2cc[nH+]c3c(Cl)cccc23)C1 ZINC000561211242 327375980 /nfs/dbraw/zinc/37/59/80/327375980.db2.gz YILULSXONAYLJT-NEPJUHHUSA-N 1 2 290.794 3.851 20 0 CHADLO C[C@@H]([NH2+]Cc1noc(C2CC2)n1)c1ccc(C2CC2)cc1 ZINC000561251812 327379461 /nfs/dbraw/zinc/37/94/61/327379461.db2.gz AAMUQONPGOKCIS-LLVKDONJSA-N 1 2 283.375 3.675 20 0 CHADLO Cn1cc(Cl)c(C[N@H+](C)[C@@H]2CCCc3ccccc32)n1 ZINC000561469427 327396719 /nfs/dbraw/zinc/39/67/19/327396719.db2.gz QADAFGKCVYRONO-MRXNPFEDSA-N 1 2 289.810 3.583 20 0 CHADLO Cn1cc(Cl)c(C[N@@H+](C)[C@@H]2CCCc3ccccc32)n1 ZINC000561469427 327396721 /nfs/dbraw/zinc/39/67/21/327396721.db2.gz QADAFGKCVYRONO-MRXNPFEDSA-N 1 2 289.810 3.583 20 0 CHADLO COc1cc[nH+]cc1CN(C)c1cccc(C(C)C)c1 ZINC000561842214 327424811 /nfs/dbraw/zinc/42/48/11/327424811.db2.gz WARGOKIRWCJXAN-UHFFFAOYSA-N 1 2 270.376 3.850 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccc(Cl)cn1)c1nccs1 ZINC000562263836 327461110 /nfs/dbraw/zinc/46/11/10/327461110.db2.gz ZJMYRDYBZGZSEO-ZDUSSCGKSA-N 1 2 281.812 3.607 20 0 CHADLO C[C@H]([NH2+][C@@H](CC(C)(C)C)C(F)(F)F)[C@H]1CCCOC1 ZINC000507439216 332805389 /nfs/dbraw/zinc/80/53/89/332805389.db2.gz CGHCVJFYTCTYSE-SRVKXCTJSA-N 1 2 281.362 3.758 20 0 CHADLO Cc1[nH+]c2ccccc2n1CC(=O)Nc1cc(C)ccc1C ZINC000005627988 327536937 /nfs/dbraw/zinc/53/69/37/327536937.db2.gz PMDWAKIBNONQGK-UHFFFAOYSA-N 1 2 293.370 3.600 20 0 CHADLO C[C@]1(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CC=CCC1 ZINC000448841478 327551882 /nfs/dbraw/zinc/55/18/82/327551882.db2.gz UCSGBVFHVVXIFM-KRWDZBQOSA-N 1 2 281.359 3.762 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+][C@@H](C)c1csnn1 ZINC000570400520 327588888 /nfs/dbraw/zinc/58/88/88/327588888.db2.gz CKZTWQLFAMODRE-RYUDHWBXSA-N 1 2 291.420 3.737 20 0 CHADLO Fc1ccc(COc2cccc(CNc3c[nH]c[nH+]3)c2)cc1 ZINC000570671406 327609789 /nfs/dbraw/zinc/60/97/89/327609789.db2.gz LFFWQQONBAGLQV-UHFFFAOYSA-N 1 2 297.333 3.740 20 0 CHADLO CC(C)NC(=O)c1ccc(Oc2cc[nH+]cc2)c(Cl)c1 ZINC000114313601 328643652 /nfs/dbraw/zinc/64/36/52/328643652.db2.gz CRYZZRNSZCINPX-UHFFFAOYSA-N 1 2 290.750 3.666 20 0 CHADLO Cc1cc(NC(=O)N2CCCC[C@@H]2CC(C)C)c(C)c[nH+]1 ZINC000536619699 328670364 /nfs/dbraw/zinc/67/03/64/328670364.db2.gz YNXMAVXQKCBRPF-OAHLLOKOSA-N 1 2 289.423 3.553 20 0 CHADLO CCCOc1ccc(OCc2cc(OC)cc(C)[nH+]2)cc1 ZINC000536668799 328678471 /nfs/dbraw/zinc/67/84/71/328678471.db2.gz CJRMAIYVIMMUDV-UHFFFAOYSA-N 1 2 287.359 3.766 20 0 CHADLO Cc1cc(N2C[C@@H](C)CC(C)(C)C2)nc(-c2ccncc2)[nH+]1 ZINC000519466885 328682107 /nfs/dbraw/zinc/68/21/07/328682107.db2.gz RQBLTMBMFZIWOJ-ZDUSSCGKSA-N 1 2 296.418 3.719 20 0 CHADLO CC(C)OC1CCN(c2[nH+]ccc3ccc(F)cc32)CC1 ZINC000536686917 328683657 /nfs/dbraw/zinc/68/36/57/328683657.db2.gz LEZNAHRUQMLQDL-UHFFFAOYSA-N 1 2 288.366 3.768 20 0 CHADLO COc1cccc([C@H](C)Nc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000532115353 328699515 /nfs/dbraw/zinc/69/95/15/328699515.db2.gz VLWFXBASYKPFLB-ZDUSSCGKSA-N 1 2 285.391 3.512 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cc(F)ccc3C)cn2c1 ZINC000102679804 328713712 /nfs/dbraw/zinc/71/37/12/328713712.db2.gz WQVZSYZEWVVVFY-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@@H](C)c1cc(C)ccn1 ZINC000583646804 328726078 /nfs/dbraw/zinc/72/60/78/328726078.db2.gz LHDYLXMUVWNDSY-STQMWFEESA-N 1 2 288.366 3.950 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CCCN1c1cc[nH+]c(C2CC2)n1 ZINC000336082135 328743778 /nfs/dbraw/zinc/74/37/78/328743778.db2.gz ITPCCMHNSWVWHJ-GXSJLCMTSA-N 1 2 285.313 3.521 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)C1CCC1)C(=O)OC(C)(C)C ZINC000532701565 328805832 /nfs/dbraw/zinc/80/58/32/328805832.db2.gz GHGVTTYNHLQUHP-BBRMVZONSA-N 1 2 289.419 3.848 20 0 CHADLO Cc1cc(N[C@H]2c3ccccc3CCC[C@H]2C)nc[nH+]1 ZINC000302162605 328831320 /nfs/dbraw/zinc/83/13/20/328831320.db2.gz HZXRJYWQNQIJAR-SJKOYZFVSA-N 1 2 267.376 3.911 20 0 CHADLO CCc1nnc(C[NH2+]Cc2c(C)cc(Cl)cc2C)s1 ZINC000353073027 328846604 /nfs/dbraw/zinc/84/66/04/328846604.db2.gz NTWSTWVPCMNEBW-UHFFFAOYSA-N 1 2 295.839 3.661 20 0 CHADLO CC(C)Oc1ccc(C[NH2+][C@@H](C)C(C)(F)F)cc1 ZINC000389457434 328846820 /nfs/dbraw/zinc/84/68/20/328846820.db2.gz BHUCJEOSFGSKHN-NSHDSACASA-N 1 2 257.324 3.607 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(F)c(Cl)c1)C(C)(F)F ZINC000389458734 328847275 /nfs/dbraw/zinc/84/72/75/328847275.db2.gz AOTLHFGJNZOARL-ZETCQYMHSA-N 1 2 251.679 3.612 20 0 CHADLO Cc1ccc(CS[C@@H](C)C(=O)OC(C)(C)C)c(C)[nH+]1 ZINC000358657950 328889792 /nfs/dbraw/zinc/88/97/92/328889792.db2.gz XSDNVMIEGPCGQI-LBPRGKRZSA-N 1 2 281.421 3.662 20 0 CHADLO Cc1ccc(COc2ccc(NC(=O)C(C)C)cc2)c(C)[nH+]1 ZINC000358683467 328891857 /nfs/dbraw/zinc/89/18/57/328891857.db2.gz DTURMARJKFJKIO-UHFFFAOYSA-N 1 2 298.386 3.872 20 0 CHADLO C[C@H]([NH2+]Cc1ccsc1Cl)c1nccs1 ZINC000389568692 328945212 /nfs/dbraw/zinc/94/52/12/328945212.db2.gz AWDQBGZQDBETCB-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cccc4c3OCCC4)cn2c1 ZINC000374570474 328993869 /nfs/dbraw/zinc/99/38/69/328993869.db2.gz YLKLQOMIMJWATJ-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+]Cc1ccc3ccccc3c1)CCC2 ZINC000377390377 329013773 /nfs/dbraw/zinc/01/37/73/329013773.db2.gz HBKCFMJJULNERB-KRWDZBQOSA-N 1 2 277.371 3.730 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2C[C@H]3CCCC[C@H]32)n1 ZINC000377717653 329026950 /nfs/dbraw/zinc/02/69/50/329026950.db2.gz IFHCFAQPSILGDY-RKDXNWHRSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2C[C@H]3CCCC[C@H]32)n1 ZINC000377717653 329026951 /nfs/dbraw/zinc/02/69/51/329026951.db2.gz IFHCFAQPSILGDY-RKDXNWHRSA-N 1 2 276.327 3.536 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2ccc(C)cc2C)no1 ZINC000378348606 329047245 /nfs/dbraw/zinc/04/72/45/329047245.db2.gz GEZFDCHLQSEZBC-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2ccc(C)cc2C)no1 ZINC000378348606 329047246 /nfs/dbraw/zinc/04/72/46/329047246.db2.gz GEZFDCHLQSEZBC-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nnc(C[N@@H+]2CCC[C@H]2/C=C/c2ccccc2)s1 ZINC000378595155 329055891 /nfs/dbraw/zinc/05/58/91/329055891.db2.gz GSEWPRFPNVVULI-FEAKQIBJSA-N 1 2 285.416 3.524 20 0 CHADLO Cc1nnc(C[N@H+]2CCC[C@H]2/C=C/c2ccccc2)s1 ZINC000378595155 329055892 /nfs/dbraw/zinc/05/58/92/329055892.db2.gz GSEWPRFPNVVULI-FEAKQIBJSA-N 1 2 285.416 3.524 20 0 CHADLO CCc1nc(CNc2ccc3ccccc3[nH+]2)cs1 ZINC000193691195 329060741 /nfs/dbraw/zinc/06/07/41/329060741.db2.gz IVQFHVSVMIFYDF-UHFFFAOYSA-N 1 2 269.373 3.866 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCc2sc(Br)cc21 ZINC000393950690 329098007 /nfs/dbraw/zinc/09/80/07/329098007.db2.gz XBHIIDYTUWRVKP-ZETCQYMHSA-N 1 2 296.180 3.743 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cccc(C)c1)C(=O)OC(C)(C)C ZINC000582521987 329102234 /nfs/dbraw/zinc/10/22/34/329102234.db2.gz DBCPWGWIUGORIK-DZGCQCFKSA-N 1 2 277.408 3.766 20 0 CHADLO CCc1cc(C)cc(CC)c1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000582729175 329132146 /nfs/dbraw/zinc/13/21/46/329132146.db2.gz BXIQVBRTLCHGNI-CQSZACIVSA-N 1 2 299.418 3.906 20 0 CHADLO CC(C)n1cc[nH+]c1CN1C[C@H](C)CN(C)c2ccccc21 ZINC000172930226 329140719 /nfs/dbraw/zinc/14/07/19/329140719.db2.gz KBFXZABNOSSJTE-OAHLLOKOSA-N 1 2 298.434 3.557 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2ccon2)o1 ZINC000174448851 329159264 /nfs/dbraw/zinc/15/92/64/329159264.db2.gz VNBDGXOBQQKZMA-DOMZBBRYSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2ccon2)o1 ZINC000174448851 329159265 /nfs/dbraw/zinc/15/92/65/329159265.db2.gz VNBDGXOBQQKZMA-DOMZBBRYSA-N 1 2 274.364 3.803 20 0 CHADLO Cc1cc(C)cc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000174661575 329160552 /nfs/dbraw/zinc/16/05/52/329160552.db2.gz QDGVEHVIEJNYJZ-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO CC(C)c1nc(N2C[C@@H](c3ccccc3)OC[C@@H]2C)cc[nH+]1 ZINC000174922645 329161624 /nfs/dbraw/zinc/16/16/24/329161624.db2.gz ZRYMDPCSXZRHIE-HOCLYGCPSA-N 1 2 297.402 3.566 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCCC[C@H]2c2cccn2C)n1 ZINC000175123274 329163288 /nfs/dbraw/zinc/16/32/88/329163288.db2.gz GLQOTRQMWTWYAE-KRWDZBQOSA-N 1 2 286.423 3.530 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCCC[C@H]2c2cccn2C)n1 ZINC000175123274 329163289 /nfs/dbraw/zinc/16/32/89/329163289.db2.gz GLQOTRQMWTWYAE-KRWDZBQOSA-N 1 2 286.423 3.530 20 0 CHADLO Cc1ccc(C[C@@H](C)C(=O)Nc2c(C)cc[nH+]c2C)cc1 ZINC000425598570 329176326 /nfs/dbraw/zinc/17/63/26/329176326.db2.gz XAULUFOHUYCZRS-CQSZACIVSA-N 1 2 282.387 3.824 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000177040513 329178524 /nfs/dbraw/zinc/17/85/24/329178524.db2.gz GLIZZEUIJYVABG-INIZCTEOSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000177040513 329178525 /nfs/dbraw/zinc/17/85/25/329178525.db2.gz GLIZZEUIJYVABG-INIZCTEOSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)Oc1ccccc1CN1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000177040513 329178527 /nfs/dbraw/zinc/17/85/27/329178527.db2.gz GLIZZEUIJYVABG-INIZCTEOSA-N 1 2 299.418 3.924 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000177679891 329189017 /nfs/dbraw/zinc/18/90/17/329189017.db2.gz WRWMAYMQVLUALP-ZBEGNZNMSA-N 1 2 293.313 3.692 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000177679891 329189018 /nfs/dbraw/zinc/18/90/18/329189018.db2.gz WRWMAYMQVLUALP-ZBEGNZNMSA-N 1 2 293.313 3.692 20 0 CHADLO CC1(C(=O)Nc2ccc(-c3[nH+]cc4n3CCCC4)cc2)CC1 ZINC000177883830 329193983 /nfs/dbraw/zinc/19/39/83/329193983.db2.gz AJXJPQZCLIOOIN-UHFFFAOYSA-N 1 2 295.386 3.625 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2ccn(C(C)C)n2)n1 ZINC000178684247 329202137 /nfs/dbraw/zinc/20/21/37/329202137.db2.gz SACIENCEHOPRSW-KRWDZBQOSA-N 1 2 284.407 3.505 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2ccn(C(C)C)n2)n1 ZINC000178684247 329202138 /nfs/dbraw/zinc/20/21/38/329202138.db2.gz SACIENCEHOPRSW-KRWDZBQOSA-N 1 2 284.407 3.505 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cc3nc(C(C)C)no3)CC2)c1 ZINC000179399270 329205185 /nfs/dbraw/zinc/20/51/85/329205185.db2.gz NKTVHZDVJXYJOL-UHFFFAOYSA-N 1 2 297.402 3.791 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cc3nc(C(C)C)no3)CC2)c1 ZINC000179399270 329205187 /nfs/dbraw/zinc/20/51/87/329205187.db2.gz NKTVHZDVJXYJOL-UHFFFAOYSA-N 1 2 297.402 3.791 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CC23CCCCC3)c(C)[nH+]1 ZINC000179403712 329205277 /nfs/dbraw/zinc/20/52/77/329205277.db2.gz WFUYCBPJAZPQNH-CQSZACIVSA-N 1 2 273.380 3.543 20 0 CHADLO Cc1cncc(C[NH2+][C@H](C)c2ncc(-c3ccccc3)o2)c1 ZINC000179245873 329206737 /nfs/dbraw/zinc/20/67/37/329206737.db2.gz XVZZHPFWRKHKEH-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO CC[C@@H](Nc1ccc(C)c(-c2ncco2)c1)c1[nH+]ccn1C ZINC000180008498 329214280 /nfs/dbraw/zinc/21/42/80/329214280.db2.gz DGHGUGCADVEJQZ-OAHLLOKOSA-N 1 2 296.374 3.947 20 0 CHADLO Clc1ccc(CSCCCn2cc[nH+]c2)cc1 ZINC000180428280 329216601 /nfs/dbraw/zinc/21/66/01/329216601.db2.gz LHASTEYGTNDPPW-UHFFFAOYSA-N 1 2 266.797 3.860 20 0 CHADLO CCCn1cc(C[N@@H+]2CCc3ccc(Cl)cc3C2)cn1 ZINC000180149740 329218027 /nfs/dbraw/zinc/21/80/27/329218027.db2.gz LNYLMUMJSBPUNC-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO CCCn1cc(C[N@H+]2CCc3ccc(Cl)cc3C2)cn1 ZINC000180149740 329218029 /nfs/dbraw/zinc/21/80/29/329218029.db2.gz LNYLMUMJSBPUNC-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO Cc1nc([C@@H]([NH2+]C2CCCCC2)c2ccccc2F)no1 ZINC000182247208 329241301 /nfs/dbraw/zinc/24/13/01/329241301.db2.gz ITFLQCBPTIJGTI-HNNXBMFYSA-N 1 2 289.354 3.529 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1nc(C2CC2)no1 ZINC000183546182 329248262 /nfs/dbraw/zinc/24/82/62/329248262.db2.gz YLSVIQAJXAYETG-NSHDSACASA-N 1 2 297.358 3.852 20 0 CHADLO Cc1ccc2c(c1)[C@@H](NC(=O)Nc1cc[nH+]cc1C)[C@H](C)C2 ZINC000184188588 329257062 /nfs/dbraw/zinc/25/70/62/329257062.db2.gz DDUGZSMMMZEAKS-PXAZEXFGSA-N 1 2 295.386 3.753 20 0 CHADLO Cc1ccc(NCc2ccc(F)cc2Br)c[nH+]1 ZINC000226455809 329259696 /nfs/dbraw/zinc/25/96/96/329259696.db2.gz UVIUOEMZUGUAAD-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cc1ccc(OC(F)F)c(CNc2c[nH+]ccc2C)c1 ZINC000184890609 329263688 /nfs/dbraw/zinc/26/36/88/329263688.db2.gz ACWDLFOYGXITIS-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO Fc1ccccc1C[NH2+]Cc1nc2ccccc2n1C1CC1 ZINC000184783305 329264202 /nfs/dbraw/zinc/26/42/02/329264202.db2.gz FMTLSMIZSMEYIR-UHFFFAOYSA-N 1 2 295.361 3.800 20 0 CHADLO C[C@@H](c1ccsc1)N1CC[NH+](Cc2ccsc2)CC1 ZINC000184971581 329266272 /nfs/dbraw/zinc/26/62/72/329266272.db2.gz SRMXITWEOCLWRD-ZDUSSCGKSA-N 1 2 292.473 3.688 20 0 CHADLO Cc1ccc(C[NH+]2CCN([C@@H](C)c3ccsc3)CC2)o1 ZINC000184970726 329266281 /nfs/dbraw/zinc/26/62/81/329266281.db2.gz DSYURBOYCLAZAP-AWEZNQCLSA-N 1 2 290.432 3.528 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1noc2ccccc12 ZINC000582855921 329281213 /nfs/dbraw/zinc/28/12/13/329281213.db2.gz GISJKIKHKHUMGP-LBPRGKRZSA-N 1 2 281.359 3.636 20 0 CHADLO CCCCN(C(=O)C[C@@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000583087478 329308300 /nfs/dbraw/zinc/30/83/00/329308300.db2.gz WVTLWVRVSIVTCW-OAHLLOKOSA-N 1 2 291.439 3.796 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(F)c(Cl)c2F)c(C)[nH+]1 ZINC000186139873 329338576 /nfs/dbraw/zinc/33/85/76/329338576.db2.gz WTYJJIWHTTXVPF-UHFFFAOYSA-N 1 2 296.704 3.882 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2Cc3ccccc3C3(CC3)C2)n1 ZINC000186237677 329340056 /nfs/dbraw/zinc/34/00/56/329340056.db2.gz CJPDRQANANJZHY-CYBMUJFWSA-N 1 2 297.402 3.801 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2Cc3ccccc3C3(CC3)C2)n1 ZINC000186237677 329340057 /nfs/dbraw/zinc/34/00/57/329340057.db2.gz CJPDRQANANJZHY-CYBMUJFWSA-N 1 2 297.402 3.801 20 0 CHADLO CCSc1nnc(SCc2ccc(C)[nH+]c2C)s1 ZINC000187312577 329355287 /nfs/dbraw/zinc/35/52/87/329355287.db2.gz PHSGBQLKEXCTNH-UHFFFAOYSA-N 1 2 297.474 3.954 20 0 CHADLO Cc1occc1CNc1ccc(N2CCCCC2)[nH+]c1 ZINC000321479370 329364543 /nfs/dbraw/zinc/36/45/43/329364543.db2.gz DWMOFMRKVNPOLS-UHFFFAOYSA-N 1 2 271.364 3.585 20 0 CHADLO Cc1[nH]c2ccccc2c1C(=O)C[N@@H+](C)Cc1ccoc1C ZINC000063559654 329386603 /nfs/dbraw/zinc/38/66/03/329386603.db2.gz OYOCJQYGHRAELH-UHFFFAOYSA-N 1 2 296.370 3.692 20 0 CHADLO Cc1[nH]c2ccccc2c1C(=O)C[N@H+](C)Cc1ccoc1C ZINC000063559654 329386605 /nfs/dbraw/zinc/38/66/05/329386605.db2.gz OYOCJQYGHRAELH-UHFFFAOYSA-N 1 2 296.370 3.692 20 0 CHADLO CC[C@@H](C)CC(=O)Nc1c[nH+]ccc1OC(C)(C)C ZINC000189720314 329387276 /nfs/dbraw/zinc/38/72/76/329387276.db2.gz YVYAYYURPPCRBD-LLVKDONJSA-N 1 2 264.369 3.634 20 0 CHADLO CCOC(=O)[C@@H](C)[NH2+][C@@H](Cc1ccccc1)c1ccccc1 ZINC000314813577 329541277 /nfs/dbraw/zinc/54/12/77/329541277.db2.gz PHENQSBIZWCKAE-QAPCUYQASA-N 1 2 297.398 3.512 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C)ccc1F)c1nccs1 ZINC000119832104 329548719 /nfs/dbraw/zinc/54/87/19/329548719.db2.gz JABNFCFAEBSDNJ-CYBMUJFWSA-N 1 2 264.369 3.832 20 0 CHADLO O=C(CCC1CCCCC1)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000359958079 329643930 /nfs/dbraw/zinc/64/39/30/329643930.db2.gz JAFRZEWIKGRTEO-OAHLLOKOSA-N 1 2 289.423 3.824 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccccc2F)cs1 ZINC000054917983 329672941 /nfs/dbraw/zinc/67/29/41/329672941.db2.gz SRHKWLGHSHQOEY-JTQLQIEISA-N 1 2 264.369 3.695 20 0 CHADLO Clc1ccc(N[C@H](c2[nH]cc[nH+]2)c2cccnc2)cc1 ZINC000195099025 329690398 /nfs/dbraw/zinc/69/03/98/329690398.db2.gz RAUGPPDOBSIEBX-AWEZNQCLSA-N 1 2 284.750 3.660 20 0 CHADLO CC(C)(C)c1cnc(C[NH+]2CCC(OC3CCC3)CC2)o1 ZINC000419290054 329714895 /nfs/dbraw/zinc/71/48/95/329714895.db2.gz VAXAITIEKZLXEW-UHFFFAOYSA-N 1 2 292.423 3.506 20 0 CHADLO COc1c(C)c[nH+]c(CSCCC2CCOCC2)c1C ZINC000419456471 329730987 /nfs/dbraw/zinc/73/09/87/329730987.db2.gz SNYXGWSOEAYUES-UHFFFAOYSA-N 1 2 295.448 3.757 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSC[C@@H](O)C(F)(F)F ZINC000419494941 329737630 /nfs/dbraw/zinc/73/76/30/329737630.db2.gz QRGHWIWOQANHIP-GFCCVEGCSA-N 1 2 293.354 3.670 20 0 CHADLO CC(C)N(CC1CCC1)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000431557383 329744564 /nfs/dbraw/zinc/74/45/64/329744564.db2.gz MVOXWTYVDVYEIS-UHFFFAOYSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(CCCc2ccccc2)n1 ZINC000420511324 329772916 /nfs/dbraw/zinc/77/29/16/329772916.db2.gz NMOGCZQCBATZGJ-UHFFFAOYSA-N 1 2 293.370 3.539 20 0 CHADLO CCCC1(c2noc(Cc3ccc(C)[nH+]c3)n2)CCC1 ZINC000420518929 329774551 /nfs/dbraw/zinc/77/45/51/329774551.db2.gz VTMDMQYUAWYTSA-UHFFFAOYSA-N 1 2 271.364 3.586 20 0 CHADLO CC[C@@H]1C[C@H](Nc2[nH+]ccc3c(OC)cccc32)CCO1 ZINC000420631908 329780289 /nfs/dbraw/zinc/78/02/89/329780289.db2.gz JKDMYLLSAJTBPF-CHWSQXEVSA-N 1 2 286.375 3.613 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(F)cc1 ZINC000420938849 329794418 /nfs/dbraw/zinc/79/44/18/329794418.db2.gz PWBDGLOWDUDFQK-OAHLLOKOSA-N 1 2 295.789 3.700 20 0 CHADLO Cc1c(Cl)c(C[NH2+]C(C)(C)c2cccc(C)c2)nn1C ZINC000421362571 329823062 /nfs/dbraw/zinc/82/30/62/329823062.db2.gz JNHTUAGNJBCJSB-UHFFFAOYSA-N 1 2 291.826 3.715 20 0 CHADLO Cc1cc(CNC(=O)[C@H](C)CCC(C)(C)C)cc(C)[nH+]1 ZINC000421575394 329843089 /nfs/dbraw/zinc/84/30/89/329843089.db2.gz KAYROQWJJOLRRM-GFCCVEGCSA-N 1 2 276.424 3.777 20 0 CHADLO CCn1cc[nH+]c1CN(C)[C@@H](C)c1ccccc1Cl ZINC000076292633 329901252 /nfs/dbraw/zinc/90/12/52/329901252.db2.gz JTTUPSOPWBCKFZ-LBPRGKRZSA-N 1 2 277.799 3.749 20 0 CHADLO Cc1ccc(C[NH2+]C(C)(C)c2nc(C)c(C)s2)o1 ZINC000076904173 329916158 /nfs/dbraw/zinc/91/61/58/329916158.db2.gz KTRARSCTJVROFP-UHFFFAOYSA-N 1 2 264.394 3.686 20 0 CHADLO c1c2cccnc2oc1CNc1c[nH+]c2c(c1)CCCC2 ZINC000571970261 329933847 /nfs/dbraw/zinc/93/38/47/329933847.db2.gz VMXMQGIBAHSWQB-UHFFFAOYSA-N 1 2 279.343 3.714 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+]Cc1nnc(C2CC2)s1 ZINC000572129128 329946899 /nfs/dbraw/zinc/94/68/99/329946899.db2.gz OTYBICPRCXZEOV-UHFFFAOYSA-N 1 2 299.399 3.760 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccccc1Cl ZINC000161766385 329954665 /nfs/dbraw/zinc/95/46/65/329954665.db2.gz NHFCAOFAIBMQRT-UHFFFAOYSA-N 1 2 297.745 3.982 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432743002 330014619 /nfs/dbraw/zinc/01/46/19/330014619.db2.gz RRLFBNGWGBAWPU-UWVGGRQHSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432743002 330014621 /nfs/dbraw/zinc/01/46/21/330014621.db2.gz RRLFBNGWGBAWPU-UWVGGRQHSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432743004 330014633 /nfs/dbraw/zinc/01/46/33/330014633.db2.gz RRLFBNGWGBAWPU-ZJUUUORDSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432743004 330014634 /nfs/dbraw/zinc/01/46/34/330014634.db2.gz RRLFBNGWGBAWPU-ZJUUUORDSA-N 1 2 293.354 3.766 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2nccn2C(F)F)c1 ZINC000079865714 330062960 /nfs/dbraw/zinc/06/29/60/330062960.db2.gz AVWHOAKXLFREFH-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2nccn2C(F)F)c1 ZINC000079865714 330062961 /nfs/dbraw/zinc/06/29/61/330062961.db2.gz AVWHOAKXLFREFH-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1ccc(F)cc1Cl ZINC000080923176 330153222 /nfs/dbraw/zinc/15/32/22/330153222.db2.gz KMKLQTXZLCRCIN-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1ccc(F)cc1Cl ZINC000080923176 330153224 /nfs/dbraw/zinc/15/32/24/330153224.db2.gz KMKLQTXZLCRCIN-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO COc1cccc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)c1 ZINC000423216570 330178736 /nfs/dbraw/zinc/17/87/36/330178736.db2.gz OTQHKOPLNTXOIM-LBPRGKRZSA-N 1 2 268.360 3.836 20 0 CHADLO CCc1cccnc1[C@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423221843 330179847 /nfs/dbraw/zinc/17/98/47/330179847.db2.gz YJWYQMOKTDSOEV-LBPRGKRZSA-N 1 2 267.376 3.785 20 0 CHADLO COc1cc[nH+]cc1COc1cccc(C2CC2)c1 ZINC000424107290 330234553 /nfs/dbraw/zinc/23/45/53/330234553.db2.gz ZDHWIAUUUGAXBX-UHFFFAOYSA-N 1 2 255.317 3.547 20 0 CHADLO COc1cc(Cl)c(C[NH+]2[C@@H](C)C[C@@H]2C)cc1Cl ZINC000527238532 330240542 /nfs/dbraw/zinc/24/05/42/330240542.db2.gz GWYZWIQGZAFGRR-IUCAKERBSA-N 1 2 274.191 3.985 20 0 CHADLO CCOC(=O)[C@H]([NH2+]Cc1ccc2occc2c1)[C@H](C)CC ZINC000580483990 330257378 /nfs/dbraw/zinc/25/73/78/330257378.db2.gz YVWOCSBOIZEVAO-MLGOLLRUSA-N 1 2 289.375 3.500 20 0 CHADLO COc1ccc([C@@H](C)[C@H](C)Nc2[nH+]cccc2OC)cc1 ZINC000527523936 330257854 /nfs/dbraw/zinc/25/78/54/330257854.db2.gz MGOQJPQTUIJANP-STQMWFEESA-N 1 2 286.375 3.703 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(Cl)ccc3C2)ccn1 ZINC000527540541 330261374 /nfs/dbraw/zinc/26/13/74/330261374.db2.gz ZHXMGMQLWXEAKQ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(Cl)ccc3C2)ccn1 ZINC000527540541 330261375 /nfs/dbraw/zinc/26/13/75/330261375.db2.gz ZHXMGMQLWXEAKQ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@H+]([C@H](C)c1cccc(F)c1)C2 ZINC000527622644 330266175 /nfs/dbraw/zinc/26/61/75/330266175.db2.gz VCPRNVCXZBHILB-CYBMUJFWSA-N 1 2 299.393 3.858 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@@H+]([C@H](C)c1cccc(F)c1)C2 ZINC000527622644 330266176 /nfs/dbraw/zinc/26/61/76/330266176.db2.gz VCPRNVCXZBHILB-CYBMUJFWSA-N 1 2 299.393 3.858 20 0 CHADLO Cc1ncsc1C[NH2+]Cc1c(F)ccc(F)c1Cl ZINC000527655816 330273045 /nfs/dbraw/zinc/27/30/45/330273045.db2.gz RMSRVNKOHZIMFW-UHFFFAOYSA-N 1 2 288.750 3.673 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2cncn2C(C)C)on1 ZINC000424138639 330276900 /nfs/dbraw/zinc/27/69/00/330276900.db2.gz DKRYWHFSFJXFNQ-UHFFFAOYSA-N 1 2 290.411 3.645 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NCc1c(F)ccc(F)c1Cl ZINC000527716492 330276903 /nfs/dbraw/zinc/27/69/03/330276903.db2.gz NGEYLSQZCJSVLE-SECBINFHSA-N 1 2 299.752 3.685 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2nc3cc(C)ccc3s2)C[C@H](C)O1 ZINC000528379491 330280894 /nfs/dbraw/zinc/28/08/94/330280894.db2.gz LSDXDAKZPOYHPH-QWHCGFSZSA-N 1 2 290.432 3.604 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2nc3cc(C)ccc3s2)C[C@H](C)O1 ZINC000528379491 330280895 /nfs/dbraw/zinc/28/08/95/330280895.db2.gz LSDXDAKZPOYHPH-QWHCGFSZSA-N 1 2 290.432 3.604 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@@H](C)c2ncccc2F)c1 ZINC000527826243 330286652 /nfs/dbraw/zinc/28/66/52/330286652.db2.gz ITACWCNOZQTBHG-NSHDSACASA-N 1 2 262.303 3.519 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@H]2C[C@H]2C)o1)c1ncccc1F ZINC000527826916 330286656 /nfs/dbraw/zinc/28/66/56/330286656.db2.gz XARYPZZAEXDDKS-MDZLAQPJSA-N 1 2 274.339 3.788 20 0 CHADLO CC(C)OC1CCN(c2[nH+]ccc3ccccc32)CC1 ZINC000527879736 330291607 /nfs/dbraw/zinc/29/16/07/330291607.db2.gz ACBURRKZYKQNIP-UHFFFAOYSA-N 1 2 270.376 3.629 20 0 CHADLO CC[C@H](C)c1ccc([C@H](C)[NH2+][C@@H](C)c2ncn(C)n2)cc1 ZINC000527892855 330292511 /nfs/dbraw/zinc/29/25/11/330292511.db2.gz LQSDDGITOJBQSO-IHRRRGAJSA-N 1 2 286.423 3.740 20 0 CHADLO COc1c(C)cc(Cl)cc1N[C@@H](C)c1[nH+]ccn1C ZINC000527974061 330301824 /nfs/dbraw/zinc/30/18/24/330301824.db2.gz MIAHIUQRGIQRTE-JTQLQIEISA-N 1 2 279.771 3.564 20 0 CHADLO COc1ccc[nH+]c1N(C)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000528024035 330304822 /nfs/dbraw/zinc/30/48/22/330304822.db2.gz SSQOQKKLAOWHOM-PHIMTYICSA-N 1 2 288.313 3.648 20 0 CHADLO FC(F)Oc1ccccc1NCc1cn2ccccc2[nH+]1 ZINC000036904822 330310992 /nfs/dbraw/zinc/31/09/92/330310992.db2.gz OVWZXIXYKHVWJO-UHFFFAOYSA-N 1 2 289.285 3.548 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1cc(CO)ccc1F ZINC000528360494 330322194 /nfs/dbraw/zinc/32/21/94/330322194.db2.gz YXKGTBIPSHDAKX-GFCCVEGCSA-N 1 2 291.341 3.650 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1cc(CO)ccc1F ZINC000528360494 330322195 /nfs/dbraw/zinc/32/21/95/330322195.db2.gz YXKGTBIPSHDAKX-GFCCVEGCSA-N 1 2 291.341 3.650 20 0 CHADLO CC[C@@H](C)n1ncc(NCc2c[nH+]cn2C(C)C)c1C ZINC000424198852 330326184 /nfs/dbraw/zinc/32/61/84/330326184.db2.gz YEJWDAZXMWTRHM-GFCCVEGCSA-N 1 2 275.400 3.552 20 0 CHADLO Fc1ccc(NCc2cn3cc(Cl)ccc3[nH+]2)cc1 ZINC000105853547 330329982 /nfs/dbraw/zinc/32/99/82/330329982.db2.gz XOKDWEMNYAFAEA-UHFFFAOYSA-N 1 2 275.714 3.739 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2C[C@@]2(F)c2ccccc2)cs1 ZINC000424329761 330342002 /nfs/dbraw/zinc/34/20/02/330342002.db2.gz SGQKFXCMRDWESP-NZVBXONLSA-N 1 2 276.380 3.739 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)/C=C/c1cc2ccccc2o1 ZINC000107289675 330348715 /nfs/dbraw/zinc/34/87/15/330348715.db2.gz JOZNKBAYXKDHPS-VOTSOKGWSA-N 1 2 278.311 3.788 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC000107291502 330348827 /nfs/dbraw/zinc/34/88/27/330348827.db2.gz OBJVCVLIHNRZRE-UONOGXRCSA-N 1 2 286.762 3.786 20 0 CHADLO CSc1ccc(NCc2cccn2CCC(C)C)[nH+]c1 ZINC000533188386 330352191 /nfs/dbraw/zinc/35/21/91/330352191.db2.gz MIPCWXAOPGXPTL-UHFFFAOYSA-N 1 2 289.448 3.685 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)[N@@H+]1CCCOCC1 ZINC000533260766 330355707 /nfs/dbraw/zinc/35/57/07/330355707.db2.gz TZOOEWUJSAFIAE-SNVBAGLBSA-N 1 2 274.191 3.777 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)[N@H+]1CCCOCC1 ZINC000533260766 330355708 /nfs/dbraw/zinc/35/57/08/330355708.db2.gz TZOOEWUJSAFIAE-SNVBAGLBSA-N 1 2 274.191 3.777 20 0 CHADLO Cc1cc(NCc2cn3c(cccc3C)[nH+]2)ccc1F ZINC000083139664 330356345 /nfs/dbraw/zinc/35/63/45/330356345.db2.gz FIEDMGPXOVTPAP-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cn2cc(Cl)ccc2n1 ZINC000055053986 330361284 /nfs/dbraw/zinc/36/12/84/330361284.db2.gz OSDJTVRDGLZLOL-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cn2cc(Cl)ccc2n1 ZINC000055053986 330361285 /nfs/dbraw/zinc/36/12/85/330361285.db2.gz OSDJTVRDGLZLOL-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO Cc1noc(C)c1CCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000084928540 330363645 /nfs/dbraw/zinc/36/36/45/330363645.db2.gz QZFVLAYLDFUNLV-UHFFFAOYSA-N 1 2 299.296 3.750 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1nc(-c2ccoc2)no1)C1CC1 ZINC000119160736 330370587 /nfs/dbraw/zinc/37/05/87/330370587.db2.gz ZUPOBAHSZUCECI-NSHDSACASA-N 1 2 299.330 3.648 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1nc(-c2ccoc2)no1)C1CC1 ZINC000119160736 330370588 /nfs/dbraw/zinc/37/05/88/330370588.db2.gz ZUPOBAHSZUCECI-NSHDSACASA-N 1 2 299.330 3.648 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cccc(-c2ccoc2)c1 ZINC000089803240 330388122 /nfs/dbraw/zinc/38/81/22/330388122.db2.gz RIWQRCZHHFPNTK-UHFFFAOYSA-N 1 2 278.311 3.902 20 0 CHADLO CC(C)c1cc(N(C)[C@H]2CCSC2)nc(C(C)C)[nH+]1 ZINC000120459065 330388178 /nfs/dbraw/zinc/38/81/78/330388178.db2.gz AJRPHLQABQHJEO-LBPRGKRZSA-N 1 2 279.453 3.665 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(Br)cc1C ZINC000090169695 330390793 /nfs/dbraw/zinc/39/07/93/330390793.db2.gz XRHIJHGSUFFDGK-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO CC(C)c1ccc2c(c1)[C@H]([NH2+]Cc1ccn[nH]1)CCC2 ZINC000090408771 330392343 /nfs/dbraw/zinc/39/23/43/330392343.db2.gz JNOOWQLBADSVIZ-QGZVFWFLSA-N 1 2 269.392 3.700 20 0 CHADLO CCC[C@@H]1CCC[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000120929956 330393279 /nfs/dbraw/zinc/39/32/79/330393279.db2.gz UYDRFOUSSGDGPP-MRXNPFEDSA-N 1 2 299.418 3.673 20 0 CHADLO CCC[C@@H]1CCC[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000120929956 330393280 /nfs/dbraw/zinc/39/32/80/330393280.db2.gz UYDRFOUSSGDGPP-MRXNPFEDSA-N 1 2 299.418 3.673 20 0 CHADLO Fc1ccc(OCC[NH+]2Cc3ccccc3C2)c(Cl)c1 ZINC000537679287 330454474 /nfs/dbraw/zinc/45/44/74/330454474.db2.gz XYFPQABWDMOJNH-UHFFFAOYSA-N 1 2 291.753 3.874 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2C[C@H]2c2ccccc2)c(C)[nH+]1 ZINC000515601014 330480385 /nfs/dbraw/zinc/48/03/85/330480385.db2.gz MSLVRCJIXPUSEG-JKSUJKDBSA-N 1 2 295.386 3.684 20 0 CHADLO c1ccc(N2CCC(c3nc4c(s3)CCCC4)CC2)[nH+]c1 ZINC000425287090 330495151 /nfs/dbraw/zinc/49/51/51/330495151.db2.gz ZJUFBJUVCYDEFB-UHFFFAOYSA-N 1 2 299.443 3.801 20 0 CHADLO Cc1ccc(-c2noc(CCc3c[nH+]cc(C)c3)n2)cc1 ZINC000426443670 330509598 /nfs/dbraw/zinc/50/95/98/330509598.db2.gz FIZIRARHXPGURP-UHFFFAOYSA-N 1 2 279.343 3.534 20 0 CHADLO Cn1cc[nH+]c1CNc1cccc(-c2ccccc2)c1 ZINC000431097537 330578962 /nfs/dbraw/zinc/57/89/62/330578962.db2.gz BQTSRRURFOCDSW-UHFFFAOYSA-N 1 2 263.344 3.699 20 0 CHADLO Cn1c2cc(F)ccc2[nH+]c1N1CC[C@@H](C(C)(C)C)C1 ZINC000431428914 330584449 /nfs/dbraw/zinc/58/44/49/330584449.db2.gz WTPFOPMFOVVTDJ-LLVKDONJSA-N 1 2 275.371 3.585 20 0 CHADLO Cc1ccc(-c2nc(COc3cc[nH+]cc3)cs2)o1 ZINC000431488466 330585458 /nfs/dbraw/zinc/58/54/58/330585458.db2.gz VMJRHPBKYNVHBC-UHFFFAOYSA-N 1 2 272.329 3.686 20 0 CHADLO Clc1cccc([C@@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000432129241 330593379 /nfs/dbraw/zinc/59/33/79/330593379.db2.gz KEBVARMTSZPIHN-CYBMUJFWSA-N 1 2 258.752 3.729 20 0 CHADLO Cc1cc(N2[C@H](C)C[C@H]3CCCC[C@@H]32)nc(C2CC2)[nH+]1 ZINC000433767019 330630860 /nfs/dbraw/zinc/63/08/60/330630860.db2.gz QWQYGHWXJJOPQN-YUELXQCFSA-N 1 2 271.408 3.820 20 0 CHADLO CC(C)c1nc(N2[C@H](C)C[C@@H]3CCCC[C@@H]32)cc[nH+]1 ZINC000433805774 330631581 /nfs/dbraw/zinc/63/15/81/330631581.db2.gz XVLWRZYGICSZPI-RDBSUJKOSA-N 1 2 259.397 3.757 20 0 CHADLO CC(C)C(C)(C)[S@](=O)Cc1[nH+]ccn1-c1ccccc1 ZINC000434640316 330642104 /nfs/dbraw/zinc/64/21/04/330642104.db2.gz ZXCUQABVNFDCHW-HXUWFJFHSA-N 1 2 290.432 3.556 20 0 CHADLO Cc1[nH+]cccc1NCc1cc2c(cccc2F)[nH]1 ZINC000436021458 330667575 /nfs/dbraw/zinc/66/75/75/330667575.db2.gz ONYZFKFGWHSDDP-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO CCc1ccccc1CNC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000436492000 330678702 /nfs/dbraw/zinc/67/87/02/330678702.db2.gz JDMYBEBJDSSFEX-UHFFFAOYSA-N 1 2 297.402 3.891 20 0 CHADLO Fc1ccc(OCc2c[nH+]cn2Cc2ccccc2)cc1 ZINC000437608324 330705016 /nfs/dbraw/zinc/70/50/16/330705016.db2.gz VEFGYEBQEUCYPS-UHFFFAOYSA-N 1 2 282.318 3.650 20 0 CHADLO Cc1cccc(N(C)Cc2cccc3[nH+]ccn32)c1C ZINC000438279373 330720381 /nfs/dbraw/zinc/72/03/81/330720381.db2.gz KDLFENHFSGVSFT-UHFFFAOYSA-N 1 2 265.360 3.588 20 0 CHADLO CCOc1ccccc1N(C)Cc1c[nH+]c2c(C)cccn12 ZINC000438277613 330720689 /nfs/dbraw/zinc/72/06/89/330720689.db2.gz CDZCFWHTWVBPLF-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1ccc(F)cc1NCc1c[nH+]cn1Cc1ccccc1 ZINC000438320366 330721660 /nfs/dbraw/zinc/72/16/60/330721660.db2.gz PURQNRKWJDZJPW-UHFFFAOYSA-N 1 2 295.361 3.991 20 0 CHADLO FC(F)(F)[C@@H]1CCC[C@H](CNc2cccc[nH+]2)C1 ZINC000439561014 330740413 /nfs/dbraw/zinc/74/04/13/330740413.db2.gz LMJXSKVJHMRPQU-WDEREUQCSA-N 1 2 258.287 3.862 20 0 CHADLO CCN(CCCn1cc[nH+]c1)c1ccc(Cl)cc1F ZINC000440616564 330752595 /nfs/dbraw/zinc/75/25/95/330752595.db2.gz RKALAAANSJDBAH-UHFFFAOYSA-N 1 2 281.762 3.592 20 0 CHADLO Cc1cc(CNc2cccc[nH+]2)ccc1Br ZINC000157422502 330775355 /nfs/dbraw/zinc/77/53/55/330775355.db2.gz DQFASNWLQRVHEO-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO Cc1ccc(CNc2ccc3ccn(C)c3c2)c(C)[nH+]1 ZINC000442881589 330790278 /nfs/dbraw/zinc/79/02/78/330790278.db2.gz ZTFXXNNFLASKNI-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(C(F)(F)F)cc2C)no1 ZINC000442997904 330792586 /nfs/dbraw/zinc/79/25/86/330792586.db2.gz CFMLHXCMBSMZNI-UHFFFAOYSA-N 1 2 284.281 3.600 20 0 CHADLO CC[C@@H](NC(=O)c1coc2cc(C)c(C)cc12)c1[nH]cc[nH+]1 ZINC000443134828 330795606 /nfs/dbraw/zinc/79/56/06/330795606.db2.gz WZBNJTAFRZVGQM-CQSZACIVSA-N 1 2 297.358 3.654 20 0 CHADLO CC[C@H](Nc1cc[nH+]c2ccncc12)c1ccc(F)cc1F ZINC000444078900 330809896 /nfs/dbraw/zinc/80/98/96/330809896.db2.gz ABMRKVYCUKUEMH-HNNXBMFYSA-N 1 2 299.324 3.893 20 0 CHADLO Cc1nc(N2CCCC3(CCCC3)C2)c(C)c(C)[nH+]1 ZINC000445667926 330834273 /nfs/dbraw/zinc/83/42/73/330834273.db2.gz IZSZLYNIOACQGU-UHFFFAOYSA-N 1 2 259.397 3.562 20 0 CHADLO Cc1cc(N2CCCCC(C)(C)C2)nc(-c2ccncc2)[nH+]1 ZINC000445668492 330834367 /nfs/dbraw/zinc/83/43/67/330834367.db2.gz ZIAMXWYHBLQDME-UHFFFAOYSA-N 1 2 296.418 3.864 20 0 CHADLO COCc1cccc(CSCc2cn3ccccc3[nH+]2)c1 ZINC000446950963 330858649 /nfs/dbraw/zinc/85/86/49/330858649.db2.gz RSNLHEKIICKKDV-UHFFFAOYSA-N 1 2 298.411 3.914 20 0 CHADLO Cc1ccc(NCc2ccc3c(c2)OCCCCO3)c[nH+]1 ZINC000449198034 330905663 /nfs/dbraw/zinc/90/56/63/330905663.db2.gz ZJOOOHXTUSTTLK-UHFFFAOYSA-N 1 2 284.359 3.554 20 0 CHADLO FC(F)C1([NH2+]Cc2cc3cccnc3o2)CCCCC1 ZINC000449319811 330914221 /nfs/dbraw/zinc/91/42/21/330914221.db2.gz ZYYIVVUBNSYPPP-UHFFFAOYSA-N 1 2 280.318 3.885 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(C(F)(F)F)cc1)C(F)F ZINC000449363476 330918870 /nfs/dbraw/zinc/91/88/70/330918870.db2.gz PKRIENLNVSALNB-SNVBAGLBSA-N 1 2 267.241 3.839 20 0 CHADLO Cc1cc(N[C@H](C)c2cccc(C3CC3)c2)nc[nH+]1 ZINC000450555828 330986213 /nfs/dbraw/zinc/98/62/13/330986213.db2.gz MFRKWEGKHZEMSB-GFCCVEGCSA-N 1 2 253.349 3.836 20 0 CHADLO CC(F)(F)CCCNc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000450560836 330986528 /nfs/dbraw/zinc/98/65/28/330986528.db2.gz TWWDWPCZAYUUGC-UHFFFAOYSA-N 1 2 290.317 3.958 20 0 CHADLO CC(C)c1cc(N2CCC(CF)CC2)nc(C(C)C)[nH+]1 ZINC000450691980 330993928 /nfs/dbraw/zinc/99/39/28/330993928.db2.gz ZVKXMAISSMXXIV-UHFFFAOYSA-N 1 2 279.403 3.909 20 0 CHADLO C[C@@H]1C[C@@H](Nc2nc3cccc(F)c3s2)c2[nH+]ccn21 ZINC000450822263 331001081 /nfs/dbraw/zinc/00/10/81/331001081.db2.gz STFPUVOLKACODB-LDYMZIIASA-N 1 2 288.351 3.750 20 0 CHADLO CC(=O)c1cccc(C[N@H+](C)Cc2cc(C(C)(C)C)n[nH]2)c1 ZINC000450870376 331003912 /nfs/dbraw/zinc/00/39/12/331003912.db2.gz LPYGCFFWGVIFAS-UHFFFAOYSA-N 1 2 299.418 3.542 20 0 CHADLO CC(=O)c1cccc(C[N@@H+](C)Cc2cc(C(C)(C)C)n[nH]2)c1 ZINC000450870376 331003913 /nfs/dbraw/zinc/00/39/13/331003913.db2.gz LPYGCFFWGVIFAS-UHFFFAOYSA-N 1 2 299.418 3.542 20 0 CHADLO CCC(=O)CCC[N@@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000450931613 331007826 /nfs/dbraw/zinc/00/78/26/331007826.db2.gz QAPUJCQKLJTDGX-INIZCTEOSA-N 1 2 299.336 3.595 20 0 CHADLO CCC(=O)CCC[N@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000450931613 331007827 /nfs/dbraw/zinc/00/78/27/331007827.db2.gz QAPUJCQKLJTDGX-INIZCTEOSA-N 1 2 299.336 3.595 20 0 CHADLO CCO[C@@H]1CCC[C@H]1Nc1[nH+]ccc2c(OC)cccc21 ZINC000450934113 331007905 /nfs/dbraw/zinc/00/79/05/331007905.db2.gz FKZIGNZMMUCFMM-GDBMZVCRSA-N 1 2 286.375 3.613 20 0 CHADLO COc1c(C)c[nH+]c(CSc2ncccc2F)c1C ZINC000451062015 331014361 /nfs/dbraw/zinc/01/43/61/331014361.db2.gz MIWCRXJMUMPWCP-UHFFFAOYSA-N 1 2 278.352 3.533 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000451121152 331016345 /nfs/dbraw/zinc/01/63/45/331016345.db2.gz NINAPGWLAVIJNL-MRXNPFEDSA-N 1 2 292.329 3.805 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2coc(-c3cccc(F)c3)n2)C1 ZINC000451121152 331016346 /nfs/dbraw/zinc/01/63/46/331016346.db2.gz NINAPGWLAVIJNL-MRXNPFEDSA-N 1 2 292.329 3.805 20 0 CHADLO CC[C@H](C)c1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@H](F)C1 ZINC000451179427 331019097 /nfs/dbraw/zinc/01/90/97/331019097.db2.gz AQMMFFLONSDFHC-IHRRRGAJSA-N 1 2 292.398 3.571 20 0 CHADLO CC[C@H](C)c1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@H](F)C1 ZINC000451179427 331019098 /nfs/dbraw/zinc/01/90/98/331019098.db2.gz AQMMFFLONSDFHC-IHRRRGAJSA-N 1 2 292.398 3.571 20 0 CHADLO F[C@H]1CC[N@H+](Cc2coc(-c3ccc(Cl)cc3)n2)C1 ZINC000451192356 331019706 /nfs/dbraw/zinc/01/97/06/331019706.db2.gz SSNQTINQDUKFAR-LBPRGKRZSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@H]1CC[N@@H+](Cc2coc(-c3ccc(Cl)cc3)n2)C1 ZINC000451192356 331019707 /nfs/dbraw/zinc/01/97/07/331019707.db2.gz SSNQTINQDUKFAR-LBPRGKRZSA-N 1 2 280.730 3.539 20 0 CHADLO Clc1ccc([C@@H]2CCCC[N@@H+]2Cc2cnns2)cc1 ZINC000451732710 331040545 /nfs/dbraw/zinc/04/05/45/331040545.db2.gz HDRJMPBPSYDEAW-AWEZNQCLSA-N 1 2 293.823 3.919 20 0 CHADLO Clc1ccc([C@@H]2CCCC[N@H+]2Cc2cnns2)cc1 ZINC000451732710 331040546 /nfs/dbraw/zinc/04/05/46/331040546.db2.gz HDRJMPBPSYDEAW-AWEZNQCLSA-N 1 2 293.823 3.919 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCCC[C@H]1c1nc2ccccc2[nH]1 ZINC000451877307 331045874 /nfs/dbraw/zinc/04/58/74/331045874.db2.gz IFSCVWZURPWVPW-DOMZBBRYSA-N 1 2 275.371 3.838 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCCC[C@H]1c1nc2ccccc2[nH]1 ZINC000451877307 331045875 /nfs/dbraw/zinc/04/58/75/331045875.db2.gz IFSCVWZURPWVPW-DOMZBBRYSA-N 1 2 275.371 3.838 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2nc(C3CC3)no2)cc1C ZINC000452046337 331051977 /nfs/dbraw/zinc/05/19/77/331051977.db2.gz VOLNPSYOEFHATL-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2nc(C3CC3)no2)cc1C ZINC000452046337 331051978 /nfs/dbraw/zinc/05/19/78/331051978.db2.gz VOLNPSYOEFHATL-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2ncc(C3CC3)o2)c1 ZINC000452098584 331054049 /nfs/dbraw/zinc/05/40/49/331054049.db2.gz ZLLNGORLVSKBGZ-LBPRGKRZSA-N 1 2 256.349 3.711 20 0 CHADLO Cc1sc(C[NH2+][C@H]2C[C@@H](C)n3ccnc32)nc1C(C)C ZINC000453121570 331091343 /nfs/dbraw/zinc/09/13/43/331091343.db2.gz NNSVNPGEVORGAS-PWSUYJOCSA-N 1 2 290.436 3.567 20 0 CHADLO Cc1sc(C[NH2+][C@@H]2C[C@@H](C)n3ccnc32)nc1C(C)C ZINC000453121571 331091363 /nfs/dbraw/zinc/09/13/63/331091363.db2.gz NNSVNPGEVORGAS-ZYHUDNBSSA-N 1 2 290.436 3.567 20 0 CHADLO CCc1c(N[C@@H]2CCn3cc[nH+]c32)cnn1C(CC)CC ZINC000453212466 331101988 /nfs/dbraw/zinc/10/19/88/331101988.db2.gz ODYURNIVNZHRQP-CYBMUJFWSA-N 1 2 287.411 3.560 20 0 CHADLO FC(F)(F)[C@H]([NH2+]C1CCc2ccccc2CC1)C1CC1 ZINC000453218490 331102874 /nfs/dbraw/zinc/10/28/74/331102874.db2.gz IOGMHEBNCHETRA-OAHLLOKOSA-N 1 2 283.337 3.865 20 0 CHADLO CC[C@@H](Cc1nc(CCc2[nH+]cccc2C)no1)C(C)C ZINC000453223246 331103345 /nfs/dbraw/zinc/10/33/45/331103345.db2.gz MAFUKOSYZKQLEY-AWEZNQCLSA-N 1 2 287.407 3.783 20 0 CHADLO CC[C@@H]([NH2+][C@H](CC)C(F)F)c1ccc(C(=O)OC)cc1 ZINC000453228524 331104224 /nfs/dbraw/zinc/10/42/24/331104224.db2.gz BWCRCYXKIADRNN-CHWSQXEVSA-N 1 2 285.334 3.558 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cc(F)c(Cl)cc1F ZINC000453234020 331104913 /nfs/dbraw/zinc/10/49/13/331104913.db2.gz YKFUKMJWYSEBMP-VIFPVBQESA-N 1 2 299.752 3.685 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cnn(C2CCCC2)c1)C(F)F ZINC000453250092 331107511 /nfs/dbraw/zinc/10/75/11/331107511.db2.gz RLMFTFUHQWLQHJ-GWCFXTLKSA-N 1 2 271.355 3.693 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCSc2ccccc21)C(F)F ZINC000453250688 331107528 /nfs/dbraw/zinc/10/75/28/331107528.db2.gz SFNPVJCVKOJZPO-WDEREUQCSA-N 1 2 257.349 3.857 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(OC)c(F)c1)C(F)F ZINC000453252203 331107819 /nfs/dbraw/zinc/10/78/19/331107819.db2.gz VCGXIYHPQGDHEZ-KCJUWKMLSA-N 1 2 261.287 3.529 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(OC)c(F)c1)C(F)F ZINC000453252200 331107838 /nfs/dbraw/zinc/10/78/38/331107838.db2.gz VCGXIYHPQGDHEZ-GZMMTYOYSA-N 1 2 261.287 3.529 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCSc2ccc(OC)cc21)C(F)F ZINC000453253451 331107927 /nfs/dbraw/zinc/10/79/27/331107927.db2.gz XFTOTQGSMNPPTL-NWDGAFQWSA-N 1 2 287.375 3.865 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cccc(OC(F)F)c1)C(F)F ZINC000453253289 331108029 /nfs/dbraw/zinc/10/80/29/331108029.db2.gz WPNPNOSXIDBLTK-KWQFWETISA-N 1 2 279.277 3.982 20 0 CHADLO CCc1nc2c(s1)[C@H]([NH2+][C@@H](C)C(C)(F)F)CCC2 ZINC000453280682 331110495 /nfs/dbraw/zinc/11/04/95/331110495.db2.gz HETYUEKKOKJKPS-DTWKUNHWSA-N 1 2 274.380 3.716 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2nn(C)cc2Cl)C2CCC2)o1 ZINC000453322024 331116556 /nfs/dbraw/zinc/11/65/56/331116556.db2.gz QGZFNJLKZACNOU-HNNXBMFYSA-N 1 2 293.798 3.606 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1ccc2ccccc2n1 ZINC000453334509 331118081 /nfs/dbraw/zinc/11/80/81/331118081.db2.gz CMOSYVYJKYXBGF-IIYDPXPESA-N 1 2 292.386 3.788 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1csc(Cl)c1 ZINC000453339217 331118871 /nfs/dbraw/zinc/11/88/71/331118871.db2.gz GHHCZCGTKCRAFC-YWVKMMECSA-N 1 2 281.812 3.955 20 0 CHADLO CCc1ncc(C[NH2+][C@H](CC)c2ccc(F)cc2F)o1 ZINC000453344446 331119738 /nfs/dbraw/zinc/11/97/38/331119738.db2.gz DGOCLXPUJXOUNQ-CQSZACIVSA-N 1 2 280.318 3.756 20 0 CHADLO CCc1ccc(N[C@H]2C[C@@H](C)n3cc[nH+]c32)cc1OC ZINC000453389290 331126988 /nfs/dbraw/zinc/12/69/88/331126988.db2.gz XGGWOUAEIBKNAU-RISCZKNCSA-N 1 2 271.364 3.572 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H](C)c2cc(F)ccc2F)o1 ZINC000453396658 331127982 /nfs/dbraw/zinc/12/79/82/331127982.db2.gz UYSDCVCDSKEKCH-JTQLQIEISA-N 1 2 280.318 3.756 20 0 CHADLO Cc1cccc(CC[C@H](C)[NH2+][C@H](C)C(=O)OC(C)(C)C)c1 ZINC000470338180 331137508 /nfs/dbraw/zinc/13/75/08/331137508.db2.gz PKBBPKRUOOHIOU-LSDHHAIUSA-N 1 2 291.435 3.636 20 0 CHADLO CCCC[C@@H](CCC)NC(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000454616235 331149888 /nfs/dbraw/zinc/14/98/88/331149888.db2.gz LVNLUTYFYIJXKS-ZIAGYGMSSA-N 1 2 294.443 3.622 20 0 CHADLO CCc1ccc(NC(=O)NCc2c[nH+]c(C)cc2C)cc1C ZINC000455048174 331159493 /nfs/dbraw/zinc/15/94/93/331159493.db2.gz AXMDXSSCTWHXMR-UHFFFAOYSA-N 1 2 297.402 3.891 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)Nc1ccc(C)[nH+]c1C ZINC000455044249 331159515 /nfs/dbraw/zinc/15/95/15/331159515.db2.gz LRLIMPOPXWVLPF-LBPRGKRZSA-N 1 2 275.396 3.955 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@]1(C)CCCC[C@@H]1C ZINC000455439911 331169923 /nfs/dbraw/zinc/16/99/23/331169923.db2.gz MLLDTCLFOUGHAX-LRDDRELGSA-N 1 2 275.396 3.789 20 0 CHADLO CC[C@@H](CSC)N(C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455505513 331171200 /nfs/dbraw/zinc/17/12/00/331171200.db2.gz XHRIBEVAYUAPPA-ZDUSSCGKSA-N 1 2 295.452 3.612 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)CC1CCC(C)(C)CC1 ZINC000456337565 331190276 /nfs/dbraw/zinc/19/02/76/331190276.db2.gz BBNYIBLHMRISKO-ZDUSSCGKSA-N 1 2 291.439 3.687 20 0 CHADLO C[C@H](CC(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21)C1CCCCC1 ZINC000456391414 331192031 /nfs/dbraw/zinc/19/20/31/331192031.db2.gz QVIADYYXBOVYCX-NFAWXSAZSA-N 1 2 289.423 3.612 20 0 CHADLO CC(C)CC1(C(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)CCCC1 ZINC000456396519 331192051 /nfs/dbraw/zinc/19/20/51/331192051.db2.gz BBNWNFHEBINPGL-ZIAGYGMSSA-N 1 2 289.423 3.612 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)CCCC2CCCCC2)c2[nH+]ccn21 ZINC000456397841 331192225 /nfs/dbraw/zinc/19/22/25/331192225.db2.gz TZMPHDHVFRUKGR-UKRRQHHQSA-N 1 2 289.423 3.756 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2(C)CCCCCC2)c[nH+]1 ZINC000456836995 331207045 /nfs/dbraw/zinc/20/70/45/331207045.db2.gz KGRRJMPJTJOBBW-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO Cc1cc(C)c(CNC(=O)CCC2CCCCC2)c[nH+]1 ZINC000456858472 331207779 /nfs/dbraw/zinc/20/77/79/331207779.db2.gz LVGMVIZRJMVCCI-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@@H](C)c2ccccc2)c[nH+]1 ZINC000456864380 331207868 /nfs/dbraw/zinc/20/78/68/331207868.db2.gz SHXXHRBUZWZYFV-CQSZACIVSA-N 1 2 282.387 3.508 20 0 CHADLO C[C@@H](CC(F)(F)F)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000457497649 331224985 /nfs/dbraw/zinc/22/49/85/331224985.db2.gz FXRUTTUXIMBKDG-VIFPVBQESA-N 1 2 297.280 3.604 20 0 CHADLO C[C@H](C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C)C1CCC1 ZINC000457672270 331230758 /nfs/dbraw/zinc/23/07/58/331230758.db2.gz BVNYBFIXEOWXLU-NSHDSACASA-N 1 2 297.402 3.825 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1ccncc1F ZINC000459378179 331279084 /nfs/dbraw/zinc/27/90/84/331279084.db2.gz VLHDMQSUEWCVOD-RYUDHWBXSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1ccncc1F ZINC000459378179 331279085 /nfs/dbraw/zinc/27/90/85/331279085.db2.gz VLHDMQSUEWCVOD-RYUDHWBXSA-N 1 2 288.341 3.868 20 0 CHADLO CCC[C@@H](NC(=O)Nc1ccc(C)[nH+]c1C)C1CCC1 ZINC000459425700 331280837 /nfs/dbraw/zinc/28/08/37/331280837.db2.gz CGOXROQYFYSVIW-OAHLLOKOSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)[C@@H](C)c2cnn(C)c2)c(Cl)c1 ZINC000459467933 331283192 /nfs/dbraw/zinc/28/31/92/331283192.db2.gz QERRYWYTSUCVOI-NSHDSACASA-N 1 2 295.789 3.714 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)[C@@H](C)c2cnn(C)c2)c(Cl)c1 ZINC000459467933 331283193 /nfs/dbraw/zinc/28/31/93/331283193.db2.gz QERRYWYTSUCVOI-NSHDSACASA-N 1 2 295.789 3.714 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1c(F)cc(C)cc1Cl ZINC000459559093 331287814 /nfs/dbraw/zinc/28/78/14/331287814.db2.gz OXPQEOCSPPPLHA-UHFFFAOYSA-N 1 2 267.731 3.979 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2Cc3sccc3CC2(C)C)n1 ZINC000459573051 331288644 /nfs/dbraw/zinc/28/86/44/331288644.db2.gz BURCTVCSHXALKV-UHFFFAOYSA-N 1 2 289.448 3.862 20 0 CHADLO CC(C)n1ccc(C[N@H+]2Cc3sccc3CC2(C)C)n1 ZINC000459573051 331288645 /nfs/dbraw/zinc/28/86/45/331288645.db2.gz BURCTVCSHXALKV-UHFFFAOYSA-N 1 2 289.448 3.862 20 0 CHADLO Cc1ncc(C[NH2+]Cc2ccc(F)c(Cl)c2Cl)o1 ZINC000459668579 331293210 /nfs/dbraw/zinc/29/32/10/331293210.db2.gz BWVVVHWEDKMMJJ-UHFFFAOYSA-N 1 2 289.137 3.719 20 0 CHADLO C[N@H+](Cc1noc(C2CCCCC2)n1)C1CC(C)(C)C1 ZINC000459733383 331296759 /nfs/dbraw/zinc/29/67/59/331296759.db2.gz APYOROVXFNADID-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO C[N@@H+](Cc1noc(C2CCCCC2)n1)C1CC(C)(C)C1 ZINC000459733383 331296760 /nfs/dbraw/zinc/29/67/60/331296760.db2.gz APYOROVXFNADID-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO Cc1cc(C)c(NC(=O)CC(C)(C)C2CC2)c(C)[nH+]1 ZINC000459935147 331303594 /nfs/dbraw/zinc/30/35/94/331303594.db2.gz SSHJMXAXDHFPDL-UHFFFAOYSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3ncc(Cl)cc3C)n2c1 ZINC000461212662 331311990 /nfs/dbraw/zinc/31/19/90/331311990.db2.gz QZIOIPDPAFLTOF-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CC(C)(O)CC[N@H+](CCc1ccccc1)c1ccc(O)cc1 ZINC000462359125 331320490 /nfs/dbraw/zinc/32/04/90/331320490.db2.gz MPWOVSTZLOEQHR-UHFFFAOYSA-N 1 2 299.414 3.602 20 0 CHADLO CC(C)(O)CC[N@@H+](CCc1ccccc1)c1ccc(O)cc1 ZINC000462359125 331320491 /nfs/dbraw/zinc/32/04/91/331320491.db2.gz MPWOVSTZLOEQHR-UHFFFAOYSA-N 1 2 299.414 3.602 20 0 CHADLO Cc1nc(N[C@@H]2CCCC[C@H]2Cc2ccccc2)cc[nH+]1 ZINC000462825425 331331567 /nfs/dbraw/zinc/33/15/67/331331567.db2.gz JFGLRNSNAIDNKM-DLBZAZTESA-N 1 2 281.403 3.998 20 0 CHADLO CO[C@H]1CCCC[C@H]1Nc1ccc2ccccc2[nH+]1 ZINC000462914636 331334912 /nfs/dbraw/zinc/33/49/12/331334912.db2.gz UEPFAESUXMHSIC-CABCVRRESA-N 1 2 256.349 3.604 20 0 CHADLO CC(C)[C@@H](Cc1ccc(F)cc1)Nc1cc[nH+]c(C2CC2)n1 ZINC000463063127 331339119 /nfs/dbraw/zinc/33/91/19/331339119.db2.gz SVZFNWJFQMSURO-MRXNPFEDSA-N 1 2 299.393 3.594 20 0 CHADLO C[C@@H]1CC[N@@H+]([C@H]2C[C@H]2c2ccccc2)CC1(F)F ZINC000463215716 331342467 /nfs/dbraw/zinc/34/24/67/331342467.db2.gz XYBGTGXQAPBQLL-XBFCOCLRSA-N 1 2 251.320 3.520 20 0 CHADLO C[C@@H]1CC[N@H+]([C@H]2C[C@H]2c2ccccc2)CC1(F)F ZINC000463215716 331342468 /nfs/dbraw/zinc/34/24/68/331342468.db2.gz XYBGTGXQAPBQLL-XBFCOCLRSA-N 1 2 251.320 3.520 20 0 CHADLO C[C@@H]1CC[N@@H+]([C@@H]2C[C@H]2c2ccccc2)CC1(F)F ZINC000463215713 331342487 /nfs/dbraw/zinc/34/24/87/331342487.db2.gz XYBGTGXQAPBQLL-KWCYVHTRSA-N 1 2 251.320 3.520 20 0 CHADLO C[C@@H]1CC[N@H+]([C@@H]2C[C@H]2c2ccccc2)CC1(F)F ZINC000463215713 331342488 /nfs/dbraw/zinc/34/24/88/331342488.db2.gz XYBGTGXQAPBQLL-KWCYVHTRSA-N 1 2 251.320 3.520 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2F)[N@H+](CC(=O)OC(C)(C)C)C1 ZINC000464741606 331365671 /nfs/dbraw/zinc/36/56/71/331365671.db2.gz UMHKSTRSONCPAC-SWLSCSKDSA-N 1 2 293.382 3.550 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2F)[N@@H+](CC(=O)OC(C)(C)C)C1 ZINC000464741606 331365672 /nfs/dbraw/zinc/36/56/72/331365672.db2.gz UMHKSTRSONCPAC-SWLSCSKDSA-N 1 2 293.382 3.550 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCS[C@@H](CC)C2)cs1 ZINC000464784453 331368029 /nfs/dbraw/zinc/36/80/29/331368029.db2.gz FGQNKLFHFZRZQW-ZDUSSCGKSA-N 1 2 284.494 3.813 20 0 CHADLO CCCCc1nc(C[N@H+]2CCS[C@@H](CC)C2)cs1 ZINC000464784453 331368030 /nfs/dbraw/zinc/36/80/30/331368030.db2.gz FGQNKLFHFZRZQW-ZDUSSCGKSA-N 1 2 284.494 3.813 20 0 CHADLO CCc1csc(Nc2ccc(N3CCCCC3)[nH+]c2)n1 ZINC000464809934 331369459 /nfs/dbraw/zinc/36/94/59/331369459.db2.gz HZUGYBOEMBXMEZ-UHFFFAOYSA-N 1 2 288.420 3.834 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CC[C@@H]3c3ccccc3)nc2c1 ZINC000464884620 331372835 /nfs/dbraw/zinc/37/28/35/331372835.db2.gz VCXVWWMAJLPVCW-OAHLLOKOSA-N 1 2 282.318 3.914 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CC[C@@H]3c3ccccc3)nc2c1 ZINC000464884620 331372836 /nfs/dbraw/zinc/37/28/36/331372836.db2.gz VCXVWWMAJLPVCW-OAHLLOKOSA-N 1 2 282.318 3.914 20 0 CHADLO Cc1ccc(F)cc1OCc1c[nH+]cn1Cc1ccccc1 ZINC000468305794 331432077 /nfs/dbraw/zinc/43/20/77/331432077.db2.gz CKXHJMFDZHPJNM-UHFFFAOYSA-N 1 2 296.345 3.958 20 0 CHADLO CC[C@@H]1CN(Cc2c[nH+]c3ccccn23)c2ccccc2O1 ZINC000469123739 331446217 /nfs/dbraw/zinc/44/62/17/331446217.db2.gz YZSOLFFGDSUQBD-OAHLLOKOSA-N 1 2 293.370 3.512 20 0 CHADLO CC(C)C[C@@H]1CCCN(c2cc[nH+]c3ccncc32)C1 ZINC000469159216 331446785 /nfs/dbraw/zinc/44/67/85/331446785.db2.gz NHRHQRDZJUIKKX-AWEZNQCLSA-N 1 2 269.392 3.892 20 0 CHADLO Cc1c2cc(C)ccc2sc1C(=O)Nc1cc[nH+]cc1C ZINC000469371496 331450256 /nfs/dbraw/zinc/45/02/56/331450256.db2.gz OBMMKGLINYXPJX-UHFFFAOYSA-N 1 2 296.395 3.896 20 0 CHADLO Cc1ccc(CNc2[nH+]cccc2OC(F)F)cc1C ZINC000469461540 331452111 /nfs/dbraw/zinc/45/21/11/331452111.db2.gz USTIXBIJKDQWHN-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1cccc2ccn(C)c21 ZINC000471806656 331521357 /nfs/dbraw/zinc/52/13/57/331521357.db2.gz XORSXSIENWSFSL-UHFFFAOYSA-N 1 2 290.370 3.747 20 0 CHADLO CCC[C@@](C)([NH2+]Cc1cccc(SCC)c1)C(=O)OC ZINC000473139433 331548819 /nfs/dbraw/zinc/54/88/19/331548819.db2.gz AMSJLLMFEODALJ-MRXNPFEDSA-N 1 2 295.448 3.620 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1ccc(OC)c(F)c1 ZINC000473663055 331554989 /nfs/dbraw/zinc/55/49/89/331554989.db2.gz QYHZSNMCWDBIQO-UHFFFAOYSA-N 1 2 275.323 3.679 20 0 CHADLO CC(C)C[C@@H](CO)N(C)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000475723916 331643262 /nfs/dbraw/zinc/64/32/62/331643262.db2.gz GYBNYHFBRYVLEA-AWEZNQCLSA-N 1 2 293.455 3.567 20 0 CHADLO Cc1cc(N2CCO[C@@H](C(C)C)C2)c2cccc(F)c2[nH+]1 ZINC000476964453 331671734 /nfs/dbraw/zinc/67/17/34/331671734.db2.gz SQULSLQQGSDKAI-MRXNPFEDSA-N 1 2 288.366 3.544 20 0 CHADLO Cc1[nH+]c[nH]c1CNC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC000479999843 331753605 /nfs/dbraw/zinc/75/36/05/331753605.db2.gz YCTZNPLEZQLAGE-HNNXBMFYSA-N 1 2 291.439 3.577 20 0 CHADLO CC(C)C[C@@H]1OCCC[C@@H]1Nc1[nH+]c2ccccc2n1C ZINC000480528388 331776315 /nfs/dbraw/zinc/77/63/15/331776315.db2.gz ZYOLRRPKVSEVKK-HOCLYGCPSA-N 1 2 287.407 3.579 20 0 CHADLO Cc1ccc(C[NH2+]Cc2noc(-c3ccccc3C)n2)cc1 ZINC000483305726 331868398 /nfs/dbraw/zinc/86/83/98/331868398.db2.gz GLPVADULHAXLTH-UHFFFAOYSA-N 1 2 293.370 3.643 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCC(C)(C)CC(C)C ZINC000485632381 331919364 /nfs/dbraw/zinc/91/93/64/331919364.db2.gz NKVMUTDNHUJXBP-UHFFFAOYSA-N 1 2 277.412 3.892 20 0 CHADLO Cn1cc[nH+]c1CNc1ccccc1-c1c(F)cccc1F ZINC000488195062 331993387 /nfs/dbraw/zinc/99/33/87/331993387.db2.gz BYSCKWURAZAODV-UHFFFAOYSA-N 1 2 299.324 3.977 20 0 CHADLO CC(C)[C@H]1C[C@@H](Nc2ccc(N3CCCC3)[nH+]c2)CS1 ZINC000488444205 332009334 /nfs/dbraw/zinc/00/93/34/332009334.db2.gz WIPPHSVXXYOGPH-HUUCEWRRSA-N 1 2 291.464 3.624 20 0 CHADLO Cc1ccc(NC(=O)Nc2cc[nH+]cc2C)cc1OC(C)C ZINC000488573635 332018528 /nfs/dbraw/zinc/01/85/28/332018528.db2.gz AUMDUERFLSZCOV-UHFFFAOYSA-N 1 2 299.374 3.552 20 0 CHADLO CCCCC[C@H]1CCCN1C(=O)c1ccc2[nH+]ccn2c1 ZINC000489285157 332045421 /nfs/dbraw/zinc/04/54/21/332045421.db2.gz GUJYWQBTBGNGSS-HNNXBMFYSA-N 1 2 285.391 3.519 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@@H]3C=CCCC3)cc2[nH+]1 ZINC000489958711 332074434 /nfs/dbraw/zinc/07/44/34/332074434.db2.gz XLXCTINLOSZKTM-GFCCVEGCSA-N 1 2 269.348 3.556 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1CCOC[C@H]1c1ccccc1 ZINC000490549521 332091181 /nfs/dbraw/zinc/09/11/81/332091181.db2.gz UXAXEEYLEHLNSO-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1CCOC[C@H]1c1ccccc1 ZINC000490549521 332091182 /nfs/dbraw/zinc/09/11/82/332091182.db2.gz UXAXEEYLEHLNSO-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO COCC(C)(C)CNc1ccc(-c2ccccc2)c[nH+]1 ZINC000492314837 332392151 /nfs/dbraw/zinc/39/21/51/332392151.db2.gz URCJQQQJFXPAQM-UHFFFAOYSA-N 1 2 270.376 3.833 20 0 CHADLO CCC[C@H](NC(=O)/C=C/c1[nH+]ccn1CC)c1ccccc1 ZINC000492493973 332400018 /nfs/dbraw/zinc/40/00/18/332400018.db2.gz PLCMJUBOYACTJN-PCUGXKRQSA-N 1 2 297.402 3.574 20 0 CHADLO CCC[C@@H](NC(=O)/C=C\c1[nH+]ccn1CC)c1ccccc1 ZINC000492493974 332400105 /nfs/dbraw/zinc/40/01/05/332400105.db2.gz PLCMJUBOYACTJN-YUQCYMQKSA-N 1 2 297.402 3.574 20 0 CHADLO C/C=C/c1ccc(NC(=O)/C=C\c2[nH+]ccn2CC)cc1 ZINC000493026602 332423465 /nfs/dbraw/zinc/42/34/65/332423465.db2.gz QWLANUBLGRPPSN-DWQQYCHFSA-N 1 2 281.359 3.588 20 0 CHADLO Cc1ccccc1[C@H](C(C)C)N(C)C(=O)/C=C/c1[nH]cc[nH+]1 ZINC000493381719 332437857 /nfs/dbraw/zinc/43/78/57/332437857.db2.gz HKMILHQCKXDCQS-BBVFFXRHSA-N 1 2 297.402 3.587 20 0 CHADLO Cc1ccccc1[C@H](C(C)C)N(C)C(=O)C=Cc1c[nH]c[nH+]1 ZINC000493497931 332442840 /nfs/dbraw/zinc/44/28/40/332442840.db2.gz QBQWDIVJQXFEPP-LPADLIQXSA-N 1 2 297.402 3.587 20 0 CHADLO CCCc1csc(C[NH2+][C@H](C)c2csc(CC)n2)n1 ZINC000494038550 332461750 /nfs/dbraw/zinc/46/17/50/332461750.db2.gz OWVIYDJAQQEPLD-SNVBAGLBSA-N 1 2 295.477 3.965 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+]CC(C)(F)F ZINC000565651442 334583831 /nfs/dbraw/zinc/58/38/31/334583831.db2.gz DAFHRTWXRIDNNH-MRVPVSSYSA-N 1 2 263.715 3.654 20 0 CHADLO C[C@H]1C[C@@]1([NH2+]Cc1cscn1)c1ccc(Cl)cc1 ZINC000500462582 332610306 /nfs/dbraw/zinc/61/03/06/332610306.db2.gz MNDQNYWSJNOVAD-HZMBPMFUSA-N 1 2 278.808 3.821 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccn1)c1ccccc1C(C)(C)C ZINC000516585587 332643379 /nfs/dbraw/zinc/64/33/79/332643379.db2.gz WGMOBVUVSMUMQW-CYBMUJFWSA-N 1 2 269.392 3.625 20 0 CHADLO Cc1[nH]c(CNc2ccc(C3CC3)c(C)c2)[nH+]c1C ZINC000566496544 334652671 /nfs/dbraw/zinc/65/26/71/334652671.db2.gz JHVFLILZAAVVCF-UHFFFAOYSA-N 1 2 255.365 3.824 20 0 CHADLO Fc1cccc(Cl)c1CCC[NH2+]C1(C(F)F)CC1 ZINC000504542148 332714405 /nfs/dbraw/zinc/71/44/05/332714405.db2.gz QZXAAEYTTMENBI-UHFFFAOYSA-N 1 2 277.717 3.799 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccncc1Cl)c1nccs1 ZINC000174871705 333009723 /nfs/dbraw/zinc/00/97/23/333009723.db2.gz QZBYPWCVEAIDLJ-ZDUSSCGKSA-N 1 2 281.812 3.607 20 0 CHADLO FC(F)C[C@H]1COCC[N@@H+]1Cc1ccc(C2CCC2)cc1 ZINC000513419732 333018425 /nfs/dbraw/zinc/01/84/25/333018425.db2.gz JFAXBOVKBSSCFM-INIZCTEOSA-N 1 2 295.373 3.810 20 0 CHADLO FC(F)C[C@H]1COCC[N@H+]1Cc1ccc(C2CCC2)cc1 ZINC000513419732 333018427 /nfs/dbraw/zinc/01/84/27/333018427.db2.gz JFAXBOVKBSSCFM-INIZCTEOSA-N 1 2 295.373 3.810 20 0 CHADLO CCC[C@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000176748597 333046654 /nfs/dbraw/zinc/04/66/54/333046654.db2.gz CCVBGTRFSQLGMI-HNNXBMFYSA-N 1 2 297.402 3.525 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccc(Br)s2)cc[nH+]1 ZINC000309913831 333051215 /nfs/dbraw/zinc/05/12/15/333051215.db2.gz OGHIJXPTJDNGMG-ZETCQYMHSA-N 1 2 298.209 3.782 20 0 CHADLO COCC1(Nc2cc(C(C)(C)C)[nH+]c(C(C)(C)C)n2)CC1 ZINC000517637237 333104683 /nfs/dbraw/zinc/10/46/83/333104683.db2.gz NMKACDYOHPZHCM-UHFFFAOYSA-N 1 2 291.439 3.663 20 0 CHADLO Cc1cc(N[C@H](C)c2ccccc2OC(C)C)nc[nH+]1 ZINC000517653535 333104944 /nfs/dbraw/zinc/10/49/44/333104944.db2.gz KDSJFHZZWSEUMC-CYBMUJFWSA-N 1 2 271.364 3.745 20 0 CHADLO Cc1cc(C(=O)Nc2ccccc2-n2cc[nH+]c2)ccc1F ZINC000336852456 335121696 /nfs/dbraw/zinc/12/16/96/335121696.db2.gz QVXJXACDLZYOAC-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO C[C@@H]1C[N@H+](CCCc2c(Cl)cccc2Cl)CCO1 ZINC000521287474 333117127 /nfs/dbraw/zinc/11/71/27/333117127.db2.gz TYQMYZDXGNAYIM-LLVKDONJSA-N 1 2 288.218 3.647 20 0 CHADLO C[C@@H]1C[N@@H+](CCCc2c(Cl)cccc2Cl)CCO1 ZINC000521287474 333117129 /nfs/dbraw/zinc/11/71/29/333117129.db2.gz TYQMYZDXGNAYIM-LLVKDONJSA-N 1 2 288.218 3.647 20 0 CHADLO CC[C@@H](C)[C@@H](C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000183543376 333121225 /nfs/dbraw/zinc/12/12/25/333121225.db2.gz LBAHJKMZOWMESE-VXGBXAGGSA-N 1 2 271.364 3.697 20 0 CHADLO Clc1cccc([C@H]2C[N@H+](Cc3ccsc3)CCO2)c1 ZINC000185645854 333145823 /nfs/dbraw/zinc/14/58/23/333145823.db2.gz RZOHSYLINUSEFK-OAHLLOKOSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1cccc([C@H]2C[N@@H+](Cc3ccsc3)CCO2)c1 ZINC000185645854 333145825 /nfs/dbraw/zinc/14/58/25/333145825.db2.gz RZOHSYLINUSEFK-OAHLLOKOSA-N 1 2 293.819 3.975 20 0 CHADLO CCCC[C@H]([NH2+][C@H](C)c1cc(C)sc1C)C(=O)OC ZINC000219844822 333157960 /nfs/dbraw/zinc/15/79/60/333157960.db2.gz YMUBFZBVBJFGND-RISCZKNCSA-N 1 2 283.437 3.747 20 0 CHADLO C[C@@H]1CCC[C@@H]1CC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000186650982 333164098 /nfs/dbraw/zinc/16/40/98/333164098.db2.gz CBZULLABPHKXAL-ZIAGYGMSSA-N 1 2 297.402 3.852 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)c(F)c2)o1 ZINC000220889845 333170347 /nfs/dbraw/zinc/17/03/47/333170347.db2.gz RKTDATSZWZCJII-UWVGGRQHSA-N 1 2 266.291 3.673 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2cccc(F)c2F)o1 ZINC000220958859 333171327 /nfs/dbraw/zinc/17/13/27/333171327.db2.gz JCCVRJGMAYEPGX-NXEZZACHSA-N 1 2 266.291 3.673 20 0 CHADLO C[C@H]1CCC[C@@H]1CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000187615396 333173169 /nfs/dbraw/zinc/17/31/69/333173169.db2.gz LUAQRXXISCEYRP-GXTWGEPZSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1nccc(C[NH2+][C@@H](C)c2cccc(Cl)c2Cl)n1 ZINC000222228836 333177682 /nfs/dbraw/zinc/17/76/82/333177682.db2.gz ZUQYLACYZAXPNY-VIFPVBQESA-N 1 2 296.201 3.943 20 0 CHADLO Cc1nccc(C[NH2+][C@H](C)c2cccc(Cl)c2Cl)n1 ZINC000222228889 333177740 /nfs/dbraw/zinc/17/77/40/333177740.db2.gz ZUQYLACYZAXPNY-SECBINFHSA-N 1 2 296.201 3.943 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CCc2sc(Cl)cc2C1 ZINC000521621223 333180238 /nfs/dbraw/zinc/18/02/38/333180238.db2.gz QNIMIPWXBYLJNM-UHFFFAOYSA-N 1 2 295.839 3.737 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C3CCC3)cc2)c(C)c[nH+]1 ZINC000521852835 333193521 /nfs/dbraw/zinc/19/35/21/333193521.db2.gz NIBSACVIEITOEY-UHFFFAOYSA-N 1 2 280.371 3.640 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2cccc(OC(F)F)c2)o1 ZINC000223650876 333210512 /nfs/dbraw/zinc/21/05/12/333210512.db2.gz WUCMHOMYGNKINP-MNOVXSKESA-N 1 2 296.317 3.996 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2cccc(OC(F)F)c2)o1 ZINC000223650825 333210536 /nfs/dbraw/zinc/21/05/36/333210536.db2.gz WUCMHOMYGNKINP-GHMZBOCLSA-N 1 2 296.317 3.996 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2cnn(C(C)C)c2C)cs1 ZINC000191275525 333217724 /nfs/dbraw/zinc/21/77/24/333217724.db2.gz JKGMWDPVQBVAOP-NSHDSACASA-N 1 2 292.452 3.642 20 0 CHADLO CCCOc1cc(C)ccc1[NH2+]C[C@@H]1CCSC1 ZINC000224386782 333220185 /nfs/dbraw/zinc/22/01/85/333220185.db2.gz QYLGAHOMFJXEOQ-ZDUSSCGKSA-N 1 2 265.422 3.949 20 0 CHADLO Cc1cccn2cc(C[NH2+]Cc3sccc3Cl)nc12 ZINC000193115147 333242820 /nfs/dbraw/zinc/24/28/20/333242820.db2.gz IAJNXIFGUXNEPH-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)CC(C)(C)C)ccc2[nH+]1 ZINC000195705188 333261147 /nfs/dbraw/zinc/26/11/47/333261147.db2.gz KPZQQWHDTGVFIH-UHFFFAOYSA-N 1 2 285.391 3.676 20 0 CHADLO Cc1cc(C)c([C@H](C)[NH2+]Cc2nn(C)cc2Cl)cc1C ZINC000393355426 333273217 /nfs/dbraw/zinc/27/32/17/333273217.db2.gz PVGUANRFOZKVHL-ZDUSSCGKSA-N 1 2 291.826 3.850 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1cccc(O)c1 ZINC000194877637 333278559 /nfs/dbraw/zinc/27/85/59/333278559.db2.gz GXDJFIWYZLELHE-CYBMUJFWSA-N 1 2 294.354 3.898 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+](C)Cc1nccn1C(F)F ZINC000194987039 333280984 /nfs/dbraw/zinc/28/09/84/333280984.db2.gz GVUFRSIIGQLKMY-JTQLQIEISA-N 1 2 283.297 3.610 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+](C)Cc1nccn1C(F)F ZINC000194987039 333280985 /nfs/dbraw/zinc/28/09/85/333280985.db2.gz GVUFRSIIGQLKMY-JTQLQIEISA-N 1 2 283.297 3.610 20 0 CHADLO Cc1c2ccccc2n(C)c1CNc1c[nH+]ccc1C ZINC000227528090 333285102 /nfs/dbraw/zinc/28/51/02/333285102.db2.gz IUOKWRPOBGPXLL-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO Cc1c[nH+]cc(NCc2csc(-c3ccccn3)n2)c1 ZINC000227603655 333286776 /nfs/dbraw/zinc/28/67/76/333286776.db2.gz CZBVJJGPERTAFZ-UHFFFAOYSA-N 1 2 282.372 3.521 20 0 CHADLO CCC[C@@H](C)CC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000523318064 333319047 /nfs/dbraw/zinc/31/90/47/333319047.db2.gz MEDHBLFEEJDRFQ-GFCCVEGCSA-N 1 2 271.364 3.842 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]CC(F)(F)C(F)F)cc1 ZINC000232554755 333338681 /nfs/dbraw/zinc/33/86/81/333338681.db2.gz MTNCZTAIDQKWCT-UHFFFAOYSA-N 1 2 277.305 3.974 20 0 CHADLO COC(=O)[C@H]([NH2+]CC[C@H]1CCCC[C@H]1C)c1ccccc1 ZINC000524012870 333351296 /nfs/dbraw/zinc/35/12/96/333351296.db2.gz OMCSCSZIVBUTLD-BFYDXBDKSA-N 1 2 289.419 3.707 20 0 CHADLO CCC[C@@H](CC)[NH2+][C@H](C(=O)OC)c1cccc(Cl)c1 ZINC000524015860 333351590 /nfs/dbraw/zinc/35/15/90/333351590.db2.gz UQVGIOLKCBZXLT-KGLIPLIRSA-N 1 2 283.799 3.722 20 0 CHADLO COc1c(C)c[nH+]c(CSC2CCCC2)c1C ZINC000524030875 333352220 /nfs/dbraw/zinc/35/22/20/333352220.db2.gz LZCWQZBLGHWJFI-UHFFFAOYSA-N 1 2 251.395 3.883 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(C)c(F)c2)s1 ZINC000338273212 335135583 /nfs/dbraw/zinc/13/55/83/335135583.db2.gz FFKDQBIDNVETPR-UWVGGRQHSA-N 1 2 279.384 3.706 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nnc(C)s1)c1ccccc1OC ZINC000338287904 335135692 /nfs/dbraw/zinc/13/56/92/335135692.db2.gz OAADOBKSISNEAX-GWCFXTLKSA-N 1 2 291.420 3.657 20 0 CHADLO Fc1ccccc1[C@@H]1C[N@H+](C/C=C\c2ccccc2)CCO1 ZINC000524309756 333359822 /nfs/dbraw/zinc/35/98/22/333359822.db2.gz GIZKMWDOGPPEET-QBUQCISBSA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccccc1[C@@H]1C[N@@H+](C/C=C\c2ccccc2)CCO1 ZINC000524309756 333359823 /nfs/dbraw/zinc/35/98/23/333359823.db2.gz GIZKMWDOGPPEET-QBUQCISBSA-N 1 2 297.373 3.912 20 0 CHADLO Cc1cc([NH2+][C@H](C)C2CCC2)ccc1-n1nnnc1C(C)C ZINC000525993503 333417635 /nfs/dbraw/zinc/41/76/35/333417635.db2.gz VUFBQTSWCCHRFP-CYBMUJFWSA-N 1 2 299.422 3.695 20 0 CHADLO C[C@@H](CNc1ccc(N2CCCC2)[nH+]c1)c1ccccc1 ZINC000036982079 333449169 /nfs/dbraw/zinc/44/91/69/333449169.db2.gz BYADGILCYHKMLZ-HNNXBMFYSA-N 1 2 281.403 3.897 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)[C@@H]1CCCC12CC2 ZINC000528890399 333463442 /nfs/dbraw/zinc/46/34/42/333463442.db2.gz KYLYQLKJECDLDU-LBPRGKRZSA-N 1 2 288.391 3.778 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccc(Cl)cc1 ZINC000538129323 333576114 /nfs/dbraw/zinc/57/61/14/333576114.db2.gz SWDFMOWOUFTSRC-ZDUSSCGKSA-N 1 2 291.782 3.841 20 0 CHADLO COc1ccc2oc(CNc3c[nH+]ccc3C)cc2c1 ZINC000127655503 333634002 /nfs/dbraw/zinc/63/40/02/333634002.db2.gz RPXKHLAGJZJORZ-UHFFFAOYSA-N 1 2 268.316 3.757 20 0 CHADLO C[C@@H]1CCN(c2[nH+]c3ccccc3n2C)CC12CCC2 ZINC000538929919 333645412 /nfs/dbraw/zinc/64/54/12/333645412.db2.gz YIUYXXFSQUBDMN-CYBMUJFWSA-N 1 2 269.392 3.590 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccccc2OC(C)C)o1 ZINC000539224361 333662157 /nfs/dbraw/zinc/66/21/57/333662157.db2.gz DBQIFFHHAGJFLP-CYBMUJFWSA-N 1 2 274.364 3.621 20 0 CHADLO Clc1ccccc1-c1ccc(C[NH2+]Cc2cc[nH]n2)o1 ZINC000174366743 335153190 /nfs/dbraw/zinc/15/31/90/335153190.db2.gz IQRRRURJOREYQO-UHFFFAOYSA-N 1 2 287.750 3.613 20 0 CHADLO Fc1cc(Cl)cc(NCc2cn3ccccc3[nH+]2)c1 ZINC000128509094 333679905 /nfs/dbraw/zinc/67/99/05/333679905.db2.gz DSXNAKZQOUQDQF-UHFFFAOYSA-N 1 2 275.714 3.739 20 0 CHADLO Cc1ccc(C[NH2+]Cc2coc(-c3ccccc3)n2)o1 ZINC000174475486 335156436 /nfs/dbraw/zinc/15/64/36/335156436.db2.gz ISUSSSSHVOKGMG-UHFFFAOYSA-N 1 2 268.316 3.533 20 0 CHADLO COc1cc(C)[nH+]c(CSCCOc2ccccc2)c1 ZINC000540723608 333744021 /nfs/dbraw/zinc/74/40/21/333744021.db2.gz AQXNRNBYLUUUCJ-UHFFFAOYSA-N 1 2 289.400 3.711 20 0 CHADLO CC[C@@H]1CN(c2cc(C)[nH+]c3c(F)cccc23)CCCO1 ZINC000541206387 333765101 /nfs/dbraw/zinc/76/51/01/333765101.db2.gz DUGGEJLAFLHSJW-CYBMUJFWSA-N 1 2 288.366 3.688 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000576138074 335159816 /nfs/dbraw/zinc/15/98/16/335159816.db2.gz ZCWMQVDMQZCIND-CJNGLKHVSA-N 1 2 275.392 3.541 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[N@H+]1Cc2ccccc2[C@H]1C ZINC000576138074 335159818 /nfs/dbraw/zinc/15/98/18/335159818.db2.gz ZCWMQVDMQZCIND-CJNGLKHVSA-N 1 2 275.392 3.541 20 0 CHADLO COc1cc(C)[nH+]c(COc2ccccc2C(F)(F)F)c1 ZINC000542218306 333816862 /nfs/dbraw/zinc/81/68/62/333816862.db2.gz PTUUEFMQEGQEEC-UHFFFAOYSA-N 1 2 297.276 3.996 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC[C@@H]1CCO[C@@H](C)C1 ZINC000543207292 333864793 /nfs/dbraw/zinc/86/47/93/333864793.db2.gz ODGVQRKAXXTESE-LSDHHAIUSA-N 1 2 284.403 3.582 20 0 CHADLO Cc1nnc(C[NH2+]Cc2ccc(-c3ccc(C)cc3)o2)s1 ZINC000543728945 333892490 /nfs/dbraw/zinc/89/24/90/333892490.db2.gz LJPGAGGBERSXRR-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO CC(C)n1ccc(C[C@@H](C)Nc2c[nH+]c3c(c2)CCCC3)n1 ZINC000544041734 333912012 /nfs/dbraw/zinc/91/20/12/333912012.db2.gz ZFYUOYYWXVGRLG-CQSZACIVSA-N 1 2 298.434 3.781 20 0 CHADLO CC(C)n1ccc(C[C@H](C)Nc2c[nH+]c3c(c2)CCCC3)n1 ZINC000544041733 333912102 /nfs/dbraw/zinc/91/21/02/333912102.db2.gz ZFYUOYYWXVGRLG-AWEZNQCLSA-N 1 2 298.434 3.781 20 0 CHADLO Cc1cccc([C@H]2CCN(c3[nH+]c4ccccc4n3C)C2)c1 ZINC000544141386 333918328 /nfs/dbraw/zinc/91/83/28/333918328.db2.gz HHOSJUIEXNRCKD-INIZCTEOSA-N 1 2 291.398 3.876 20 0 CHADLO CC[C@H](C(=O)Nc1cc[nH+]c(C)c1)c1ccccc1 ZINC000072709766 333954047 /nfs/dbraw/zinc/95/40/47/333954047.db2.gz KUGSLWIVYKWLTF-HNNXBMFYSA-N 1 2 254.333 3.522 20 0 CHADLO CC(C)CCC(=O)Nc1c[nH+]ccc1OC(C)(C)C ZINC000132062135 333971261 /nfs/dbraw/zinc/97/12/61/333971261.db2.gz KMDFVQCGZSNUSA-UHFFFAOYSA-N 1 2 264.369 3.634 20 0 CHADLO CCc1ccc([C@@H]([NH2+]Cc2nc(C3CC3)no2)C(C)C)cc1 ZINC000074157572 333989238 /nfs/dbraw/zinc/98/92/38/333989238.db2.gz WFTBFLVSBWCATO-KRWDZBQOSA-N 1 2 299.418 3.996 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@@H]3CCC[C@H]3C)ccc2n1C ZINC000546007692 334011620 /nfs/dbraw/zinc/01/16/20/334011620.db2.gz ZLUAQLXIVPBQRY-YPMHNXCESA-N 1 2 285.391 3.647 20 0 CHADLO CC(C)OC[C@@H]1C[N@@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000546031421 334013801 /nfs/dbraw/zinc/01/38/01/334013801.db2.gz IBAKTURNRHJUGU-KBPBESRZSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@@H]1C[N@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000546031421 334013802 /nfs/dbraw/zinc/01/38/02/334013802.db2.gz IBAKTURNRHJUGU-KBPBESRZSA-N 1 2 297.826 3.527 20 0 CHADLO CCCCC(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000074985837 334021749 /nfs/dbraw/zinc/02/17/49/334021749.db2.gz DAYUELUUOXHGPI-UHFFFAOYSA-N 1 2 271.364 3.579 20 0 CHADLO C[C@H]1CCC[C@@H]1CC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000546720485 334048050 /nfs/dbraw/zinc/04/80/50/334048050.db2.gz KAYPSBUNXIRUOD-GXTWGEPZSA-N 1 2 283.375 3.842 20 0 CHADLO CC[C@H](Cc1nc(Cc2cn3ccccc3[nH+]2)no1)C(C)C ZINC000547013648 334061973 /nfs/dbraw/zinc/06/19/73/334061973.db2.gz SDNNYLHXUBVZFT-CYBMUJFWSA-N 1 2 298.390 3.533 20 0 CHADLO Cc1ccc(Cc2noc(-c3cccc(C)c3Cl)n2)c[nH+]1 ZINC000547047842 334063621 /nfs/dbraw/zinc/06/36/21/334063621.db2.gz QDFOQZVACHARRQ-UHFFFAOYSA-N 1 2 299.761 3.993 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3c(Cl)cccc3C2)s1 ZINC000133884470 334068088 /nfs/dbraw/zinc/06/80/88/334068088.db2.gz RNJCPCBGVKJAFS-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3c(Cl)cccc3C2)s1 ZINC000133884470 334068089 /nfs/dbraw/zinc/06/80/89/334068089.db2.gz RNJCPCBGVKJAFS-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1Cc1cccc(OC(F)(F)F)c1 ZINC000564316385 334078179 /nfs/dbraw/zinc/07/81/79/334078179.db2.gz DOUZAMFTVHPMTI-LLVKDONJSA-N 1 2 295.251 3.815 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1Cc1cccc(OC(F)(F)F)c1 ZINC000564316385 334078181 /nfs/dbraw/zinc/07/81/81/334078181.db2.gz DOUZAMFTVHPMTI-LLVKDONJSA-N 1 2 295.251 3.815 20 0 CHADLO CC(C)C[N@H+](Cc1nnsc1Cl)CC(C)(C)C ZINC000134450184 334090928 /nfs/dbraw/zinc/09/09/28/334090928.db2.gz OFHNXWJYWRZMMZ-UHFFFAOYSA-N 1 2 275.849 3.696 20 0 CHADLO CC(C)C[N@@H+](Cc1nnsc1Cl)CC(C)(C)C ZINC000134450184 334090932 /nfs/dbraw/zinc/09/09/32/334090932.db2.gz OFHNXWJYWRZMMZ-UHFFFAOYSA-N 1 2 275.849 3.696 20 0 CHADLO CC(C)=CC[N@@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000547513362 334096772 /nfs/dbraw/zinc/09/67/72/334096772.db2.gz ZBEVBMOIPOMCHC-OAHLLOKOSA-N 1 2 283.774 3.819 20 0 CHADLO CC(C)=CC[N@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000547513362 334096773 /nfs/dbraw/zinc/09/67/73/334096773.db2.gz ZBEVBMOIPOMCHC-OAHLLOKOSA-N 1 2 283.774 3.819 20 0 CHADLO CC(C)c1cc(NCc2ccccc2CO)nc(C(C)C)[nH+]1 ZINC000134693378 334100828 /nfs/dbraw/zinc/10/08/28/334100828.db2.gz IFFZNDKIUHSLPQ-UHFFFAOYSA-N 1 2 299.418 3.828 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1ccc(F)c(Br)c1 ZINC000547769880 334114649 /nfs/dbraw/zinc/11/46/49/334114649.db2.gz WLXCPYUWRVZETQ-IUCAKERBSA-N 1 2 272.161 3.571 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[NH+]2[C@H](C)C[C@H]2C)c(Cl)c1 ZINC000547768922 334116130 /nfs/dbraw/zinc/11/61/30/334116130.db2.gz SYTIBJAHQKHZET-UPJWGTAASA-N 1 2 294.826 3.767 20 0 CHADLO Cc1ccc(-c2nc(C[NH+]3[C@@H](C)C[C@@H]3C)cs2)o1 ZINC000547768647 334116312 /nfs/dbraw/zinc/11/63/12/334116312.db2.gz JBGFVLCIIBPOOU-UWVGGRQHSA-N 1 2 262.378 3.694 20 0 CHADLO C[C@H]([NH2+]Cc1cccc2c1OC(C)(C)C2)c1nccs1 ZINC000078006013 334120482 /nfs/dbraw/zinc/12/04/82/334120482.db2.gz QPUBLJGKQCVNCW-NSHDSACASA-N 1 2 288.416 3.707 20 0 CHADLO CCC[C@@H](C)[C@H]1CCC[N@@H+]1Cc1nnsc1Cl ZINC000135336126 334126521 /nfs/dbraw/zinc/12/65/21/334126521.db2.gz AGOVTGAYRLVXBG-MWLCHTKSSA-N 1 2 273.833 3.592 20 0 CHADLO CCC[C@@H](C)[C@H]1CCC[N@H+]1Cc1nnsc1Cl ZINC000135336126 334126522 /nfs/dbraw/zinc/12/65/22/334126522.db2.gz AGOVTGAYRLVXBG-MWLCHTKSSA-N 1 2 273.833 3.592 20 0 CHADLO CC[C@]1(C)C[N@@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)CCO1 ZINC000135626031 334134680 /nfs/dbraw/zinc/13/46/80/334134680.db2.gz HDDXGEYLJPFUKP-BLLLJJGKSA-N 1 2 280.412 3.534 20 0 CHADLO CC[C@]1(C)C[N@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)CCO1 ZINC000135626031 334134681 /nfs/dbraw/zinc/13/46/81/334134681.db2.gz HDDXGEYLJPFUKP-BLLLJJGKSA-N 1 2 280.412 3.534 20 0 CHADLO CC[C@@]1(C)C[N@@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)CCO1 ZINC000135626055 334134803 /nfs/dbraw/zinc/13/48/03/334134803.db2.gz HDDXGEYLJPFUKP-LRDDRELGSA-N 1 2 280.412 3.534 20 0 CHADLO CC[C@@]1(C)C[N@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)CCO1 ZINC000135626055 334134804 /nfs/dbraw/zinc/13/48/04/334134804.db2.gz HDDXGEYLJPFUKP-LRDDRELGSA-N 1 2 280.412 3.534 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[NH+]1[C@H](C)C[C@H]1C ZINC000548098563 334139776 /nfs/dbraw/zinc/13/97/76/334139776.db2.gz AKGCPHSQLMZIHD-GMTAPVOTSA-N 1 2 279.334 3.975 20 0 CHADLO Cc1cccc([C@H](C)Sc2nc(N)cc(C)[nH+]2)c1 ZINC000078948539 334143784 /nfs/dbraw/zinc/14/37/84/334143784.db2.gz RBCRGFCOGYBVMQ-NSHDSACASA-N 1 2 259.378 3.529 20 0 CHADLO CCC[N@H+](Cc1nccn1CC)[C@H]1CCCc2cccnc21 ZINC000548511723 334161786 /nfs/dbraw/zinc/16/17/86/334161786.db2.gz HXORKCCFIWYBCH-INIZCTEOSA-N 1 2 298.434 3.588 20 0 CHADLO CCC[N@@H+](Cc1nccn1CC)[C@H]1CCCc2cccnc21 ZINC000548511723 334161787 /nfs/dbraw/zinc/16/17/87/334161787.db2.gz HXORKCCFIWYBCH-INIZCTEOSA-N 1 2 298.434 3.588 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2nccs2)c(OC)c1 ZINC000080265806 334168938 /nfs/dbraw/zinc/16/89/38/334168938.db2.gz JJXBIEHCLXHSRX-WDEREUQCSA-N 1 2 292.404 3.572 20 0 CHADLO Cc1cccn2cc(CNc3cccc(F)c3C)[nH+]c12 ZINC000080773087 334173307 /nfs/dbraw/zinc/17/33/07/334173307.db2.gz NUMLXIUJTNATLM-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1nnc(C2CC2)o1 ZINC000548852364 334176364 /nfs/dbraw/zinc/17/63/64/334176364.db2.gz NGFFUANBRQXGKK-SNVBAGLBSA-N 1 2 289.404 3.520 20 0 CHADLO FC(F)(F)c1cc[nH+]c(N2CC[C@H](CC3CC3)C2)c1 ZINC000549656471 334200978 /nfs/dbraw/zinc/20/09/78/334200978.db2.gz IMFSCEGMPVAJCX-LLVKDONJSA-N 1 2 270.298 3.727 20 0 CHADLO CCc1nocc1C[NH2+]Cc1c(Cl)cccc1Cl ZINC000549729340 334203187 /nfs/dbraw/zinc/20/31/87/334203187.db2.gz WKWLKUYPISECGX-UHFFFAOYSA-N 1 2 285.174 3.834 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(F)ccc(Br)c2F)[C@H]1C ZINC000549921953 334208992 /nfs/dbraw/zinc/20/89/92/334208992.db2.gz SBDMNEMSLCMGIL-SFYZADRCSA-N 1 2 290.151 3.568 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(F)ccc(Br)c2F)[C@H]1C ZINC000549921953 334208994 /nfs/dbraw/zinc/20/89/94/334208994.db2.gz SBDMNEMSLCMGIL-SFYZADRCSA-N 1 2 290.151 3.568 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc3ccccc3[nH]2)[C@H]1c1ccccc1 ZINC000245786276 334219365 /nfs/dbraw/zinc/21/93/65/334219365.db2.gz VIFMNUWJHYHAHG-SCLBCKFNSA-N 1 2 277.371 3.756 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc3ccccc3[nH]2)[C@H]1c1ccccc1 ZINC000245786276 334219366 /nfs/dbraw/zinc/21/93/66/334219366.db2.gz VIFMNUWJHYHAHG-SCLBCKFNSA-N 1 2 277.371 3.756 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[N@@H+]2C[C@H](C)[C@H]2C)c(Cl)c1 ZINC000550304943 334219429 /nfs/dbraw/zinc/21/94/29/334219429.db2.gz PWQWGAUYEWPXBZ-XQQFMLRXSA-N 1 2 294.826 3.624 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[N@H+]2C[C@H](C)[C@H]2C)c(Cl)c1 ZINC000550304943 334219431 /nfs/dbraw/zinc/21/94/31/334219431.db2.gz PWQWGAUYEWPXBZ-XQQFMLRXSA-N 1 2 294.826 3.624 20 0 CHADLO Clc1ccc2[nH+]c(CSC[C@@H]3CCCCO3)cn2c1 ZINC000567566128 334222172 /nfs/dbraw/zinc/22/21/72/334222172.db2.gz ABESVRGMZAPYGL-ZDUSSCGKSA-N 1 2 296.823 3.790 20 0 CHADLO C[C@H]1CCc2c(F)cccc2[C@H]1[NH2+]CC(C)(F)F ZINC000550857878 334229457 /nfs/dbraw/zinc/22/94/57/334229457.db2.gz DVSUMPKKKGCBSY-ZANVPECISA-N 1 2 257.299 3.694 20 0 CHADLO CC[C@H]1CC[C@H]([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000551212064 334241171 /nfs/dbraw/zinc/24/11/71/334241171.db2.gz QZEOQRMDSIFVEF-FVQBIDKESA-N 1 2 290.455 3.985 20 0 CHADLO CC1(C)CCC[C@H]1C[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000551307951 334246123 /nfs/dbraw/zinc/24/61/23/334246123.db2.gz HCDVVRSXIDXAJM-LSDHHAIUSA-N 1 2 290.455 3.842 20 0 CHADLO Fc1ccc(C2=CC[C@H](Nc3c[nH]c[nH+]3)CC2)cc1 ZINC000551329666 334247089 /nfs/dbraw/zinc/24/70/89/334247089.db2.gz QMVOGHATVDEUTC-AWEZNQCLSA-N 1 2 257.312 3.597 20 0 CHADLO CCSCC[N@@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000551710772 334258703 /nfs/dbraw/zinc/25/87/03/334258703.db2.gz ATHXAFHQWRTKJH-AWEZNQCLSA-N 1 2 289.366 3.588 20 0 CHADLO CCSCC[N@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000551710772 334258704 /nfs/dbraw/zinc/25/87/04/334258704.db2.gz ATHXAFHQWRTKJH-AWEZNQCLSA-N 1 2 289.366 3.588 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC=C(C)CC1)c1cc(C)cc(C)c1 ZINC000552019749 334276366 /nfs/dbraw/zinc/27/63/66/334276366.db2.gz QAOWIAYTQWFCKC-IAGOWNOFSA-N 1 2 287.403 3.606 20 0 CHADLO CC1=CC[C@H]([NH2+]c2ccc(-n3cccn3)cc2)CC1 ZINC000551973809 334268496 /nfs/dbraw/zinc/26/84/96/334268496.db2.gz ZSWVKRYGFKYBBC-AWEZNQCLSA-N 1 2 253.349 3.783 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@@H]1CC[C@H]1C(C)C ZINC000552062226 334283636 /nfs/dbraw/zinc/28/36/36/334283636.db2.gz QDZYYBLPOITOPY-FUHWJXTLSA-N 1 2 288.435 3.678 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)ccc1Cl ZINC000552147928 334295408 /nfs/dbraw/zinc/29/54/08/334295408.db2.gz HYABGILQQWSGHJ-JKSUJKDBSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1cc(C[N@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)ccc1Cl ZINC000552147928 334295409 /nfs/dbraw/zinc/29/54/09/334295409.db2.gz HYABGILQQWSGHJ-JKSUJKDBSA-N 1 2 295.785 3.623 20 0 CHADLO Cc1ccc(CCC(=O)Nc2ccn3cc[nH+]c3c2)c(C)c1 ZINC000552195984 334301412 /nfs/dbraw/zinc/30/14/12/334301412.db2.gz KNXWFOKVXKSPCM-UHFFFAOYSA-N 1 2 293.370 3.522 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1ncc[nH]1 ZINC000552240038 334307183 /nfs/dbraw/zinc/30/71/83/334307183.db2.gz NNJBTTHXXIJPSW-OLZOCXBDSA-N 1 2 273.380 3.609 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2c(C)cccc2C)cs1 ZINC000553127902 334363492 /nfs/dbraw/zinc/36/34/92/334363492.db2.gz RUUBFCZKRUQJIB-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2c(C)cccc2C)cs1 ZINC000553127902 334363494 /nfs/dbraw/zinc/36/34/94/334363494.db2.gz RUUBFCZKRUQJIB-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO COCC[C@H](C)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000553376608 334381334 /nfs/dbraw/zinc/38/13/34/334381334.db2.gz UFERNPTUMRHEPN-JTQLQIEISA-N 1 2 264.756 3.725 20 0 CHADLO C[C@@H](CCc1ccco1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000553803674 334399393 /nfs/dbraw/zinc/39/93/93/334399393.db2.gz DXBCPUYOLLEZPU-AWEZNQCLSA-N 1 2 285.391 3.708 20 0 CHADLO CC1(C)OCC[C@H]1Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000556160781 334498008 /nfs/dbraw/zinc/49/80/08/334498008.db2.gz NLNLPIGJXSGEPU-CQSZACIVSA-N 1 2 291.782 3.505 20 0 CHADLO Cc1cc2c(cc1C)[C@H]([NH2+]CC(C)(F)F)CCCO2 ZINC000556228619 334501509 /nfs/dbraw/zinc/50/15/09/334501509.db2.gz IVXYIZVYNHBWEX-CYBMUJFWSA-N 1 2 269.335 3.762 20 0 CHADLO CSc1cc(C)ccc1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000565484699 334567903 /nfs/dbraw/zinc/56/79/03/334567903.db2.gz ZNEHPOHQSYOGQC-LBPRGKRZSA-N 1 2 289.404 3.503 20 0 CHADLO Cc1nsc(C)c1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000566922474 334669466 /nfs/dbraw/zinc/66/94/66/334669466.db2.gz KRJJPBHKTSDGDH-SECBINFHSA-N 1 2 282.359 3.889 20 0 CHADLO Cc1ccc(C)c([S@](=O)Cc2cn3c(cccc3C)[nH+]2)c1 ZINC000155559365 334723642 /nfs/dbraw/zinc/72/36/42/334723642.db2.gz FDVLAXMFPDEMRM-NRFANRHFSA-N 1 2 298.411 3.567 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)c1ccsc1 ZINC000155841179 334730579 /nfs/dbraw/zinc/73/05/79/334730579.db2.gz ZUNSEEHDNYWQFL-LBPRGKRZSA-N 1 2 297.383 3.676 20 0 CHADLO CCCc1ccccc1NC(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000567561399 334733713 /nfs/dbraw/zinc/73/37/13/334733713.db2.gz XFCBBFMKUFNJLE-SWLSCSKDSA-N 1 2 298.390 3.663 20 0 CHADLO Cc1cccc2c(C(=O)Nc3c[nH+]c4n3CCCC4)coc21 ZINC000567698879 334753240 /nfs/dbraw/zinc/75/32/40/334753240.db2.gz YXRPQGOHHPZAPT-UHFFFAOYSA-N 1 2 295.342 3.526 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(C(F)F)cc2)s1 ZINC000274191833 334777168 /nfs/dbraw/zinc/77/71/68/334777168.db2.gz QGQYSBBJIBNBCB-QMMMGPOBSA-N 1 2 283.347 3.635 20 0 CHADLO CCn1nc(CNc2ccc([NH+](C)C)cc2)c2ccccc21 ZINC000274391328 334779830 /nfs/dbraw/zinc/77/98/30/334779830.db2.gz CLSYECALLUTMEK-UHFFFAOYSA-N 1 2 294.402 3.734 20 0 CHADLO Cc1[nH+]cccc1Cc1nc([C@@H]2CCCC[C@H]2C)no1 ZINC000576312297 335188420 /nfs/dbraw/zinc/18/84/20/335188420.db2.gz SINKKQNVDJLSJO-BXUZGUMPSA-N 1 2 271.364 3.658 20 0 CHADLO C[C@H](c1csnn1)[NH+]1CCC(c2ccccc2F)CC1 ZINC000576819900 335251439 /nfs/dbraw/zinc/25/14/39/335251439.db2.gz VLTGZRHCPJHEMY-LLVKDONJSA-N 1 2 291.395 3.618 20 0 CHADLO Cc1cc(NC(=O)C[C@H](C)n2cc[nH+]c2)cc(C)c1Cl ZINC000576827597 335252883 /nfs/dbraw/zinc/25/28/83/335252883.db2.gz WFKDOTBCDMDCJR-LBPRGKRZSA-N 1 2 291.782 3.743 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](c2ccccc2)C2CC2)n1 ZINC000192556071 335278053 /nfs/dbraw/zinc/27/80/53/335278053.db2.gz RXUAVHJJMSUHET-QGZVFWFLSA-N 1 2 285.391 3.653 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H](C(C)(C)C)CC2)no1 ZINC000577051440 335290028 /nfs/dbraw/zinc/29/00/28/335290028.db2.gz MEIAMISHOLTIKD-ZDUSSCGKSA-N 1 2 279.428 3.841 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H](C(C)(C)C)CC2)no1 ZINC000577051440 335290030 /nfs/dbraw/zinc/29/00/30/335290030.db2.gz MEIAMISHOLTIKD-ZDUSSCGKSA-N 1 2 279.428 3.841 20 0 CHADLO CCC1(CC)CCCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000577223790 335325785 /nfs/dbraw/zinc/32/57/85/335325785.db2.gz JZJQWDORTBDJST-OAHLLOKOSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1ccc(NC(=O)N2Cc3ccccc3C[C@@H]2C)c(C)[nH+]1 ZINC000176737329 335328805 /nfs/dbraw/zinc/32/88/05/335328805.db2.gz SCNJFWDUCKRNLU-ZDUSSCGKSA-N 1 2 295.386 3.677 20 0 CHADLO CC(C)CC1(C)CC[NH+](Cc2noc(C(C)C)n2)CC1 ZINC000577381901 335345620 /nfs/dbraw/zinc/34/56/20/335345620.db2.gz RETMZFPWUZZAKD-UHFFFAOYSA-N 1 2 279.428 3.841 20 0 CHADLO O=C(Nc1ccc(Oc2cc[nH+]cc2)cc1)[C@H]1C[C@H]1C1CC1 ZINC000176970443 335348991 /nfs/dbraw/zinc/34/89/91/335348991.db2.gz LXZCSYHFVNSYNI-IRXDYDNUSA-N 1 2 294.354 3.859 20 0 CHADLO c1[nH]cc(NCc2cccc(Oc3ccccc3)c2)[nH+]1 ZINC000577446814 335353033 /nfs/dbraw/zinc/35/30/33/335353033.db2.gz AGHZNVXFPGWFGD-UHFFFAOYSA-N 1 2 265.316 3.814 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2ccccn2)nc2ccccc12 ZINC000177100449 335360750 /nfs/dbraw/zinc/36/07/50/335360750.db2.gz CYDDFBITOPYNRB-AWEZNQCLSA-N 1 2 292.386 3.526 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2ccccn2)nc2ccccc12 ZINC000177100449 335360752 /nfs/dbraw/zinc/36/07/52/335360752.db2.gz CYDDFBITOPYNRB-AWEZNQCLSA-N 1 2 292.386 3.526 20 0 CHADLO O=C(Nc1cccc(Oc2cc[nH+]cc2)c1)[C@H]1C[C@@H]1C1CC1 ZINC000177353211 335370820 /nfs/dbraw/zinc/37/08/20/335370820.db2.gz YQXHLAFEPGMMSW-SJORKVTESA-N 1 2 294.354 3.859 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1cocn1 ZINC000346862856 335371599 /nfs/dbraw/zinc/37/15/99/335371599.db2.gz FRIJYEXPNWMMON-NSHDSACASA-N 1 2 256.305 3.580 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1nccs1 ZINC000179918733 335532112 /nfs/dbraw/zinc/53/21/12/335532112.db2.gz ZCZIBSFTAUFVQN-GFCCVEGCSA-N 1 2 288.420 3.702 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H](C)Cc1ccsc1 ZINC000578351489 335617806 /nfs/dbraw/zinc/61/78/06/335617806.db2.gz NNADWNWCVXQWSE-NSHDSACASA-N 1 2 289.404 3.513 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2noc(-c3ccccc3)n2)cc1 ZINC000182342777 335811811 /nfs/dbraw/zinc/81/18/11/335811811.db2.gz MGYJLYPYVWPGKC-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO CCCCN(CCCC)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000579651313 335835320 /nfs/dbraw/zinc/83/53/20/335835320.db2.gz AEJGVDBVFYSZAH-UHFFFAOYSA-N 1 2 288.395 3.768 20 0 CHADLO C=Cn1cc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)cs2)cn1 ZINC000194300932 336015861 /nfs/dbraw/zinc/01/58/61/336015861.db2.gz ZBKUOLAIEZGQMF-NSHDSACASA-N 1 2 290.436 3.588 20 0 CHADLO c1ccc(C[C@H]2CCC[C@H]2Nc2cc[nH+]c(C3CC3)n2)cc1 ZINC000581218750 336025927 /nfs/dbraw/zinc/02/59/27/336025927.db2.gz WNKCRALHYWQFQY-IAGOWNOFSA-N 1 2 293.414 3.599 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)C1CCC1 ZINC000380949834 336040717 /nfs/dbraw/zinc/04/07/17/336040717.db2.gz ABWLVWFEZCCHIX-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO CC[C@H](C)[C@H](CNc1[nH+]ccc2c(OC)cccc21)OC ZINC000381126574 336051063 /nfs/dbraw/zinc/05/10/63/336051063.db2.gz GHJDKHWXAFUONV-LRDDRELGSA-N 1 2 288.391 3.716 20 0 CHADLO COC(=O)C[NH2+][C@H]1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000581384804 336066346 /nfs/dbraw/zinc/06/63/46/336066346.db2.gz NRFODNVLWJTQGY-CABCVRRESA-N 1 2 295.810 3.519 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cccc2c(OC)ccnc12 ZINC000581411297 336071876 /nfs/dbraw/zinc/07/18/76/336071876.db2.gz IBGSUJRTWBDZRQ-LBPRGKRZSA-N 1 2 296.374 3.633 20 0 CHADLO Fc1cc2[nH+]cn(C[C@@H]3CCCC3(F)F)c2cc1F ZINC000381828571 336073827 /nfs/dbraw/zinc/07/38/27/336073827.db2.gz FYIPVXGAKMFNJF-QMMMGPOBSA-N 1 2 272.245 3.750 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCc2ccc(Br)cc21 ZINC000382382963 336086792 /nfs/dbraw/zinc/08/67/92/336086792.db2.gz JVSQBGIDWSZHMF-LLVKDONJSA-N 1 2 290.151 3.681 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[NH2+]CC(F)(F)c1ccccc1 ZINC000581973147 336181237 /nfs/dbraw/zinc/18/12/37/336181237.db2.gz ZHASAPQMBGYOJP-UHFFFAOYSA-N 1 2 293.361 3.589 20 0 CHADLO COc1cccc2c(N(C)Cc3cccc(O)c3)cc[nH+]c12 ZINC000581977841 336182262 /nfs/dbraw/zinc/18/22/62/336182262.db2.gz UUMPFLPMPSRRHV-UHFFFAOYSA-N 1 2 294.354 3.585 20 0 CHADLO C[C@H](CC(C)(C)C)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000355983946 533716270 /nfs/dbraw/zinc/71/62/70/533716270.db2.gz JDFQZEAHQHBTSJ-OLZOCXBDSA-N 1 2 277.412 3.536 20 0 CHADLO CCc1ccsc1C(=O)Nc1cc[nH+]cc1CC ZINC000265168843 522000392 /nfs/dbraw/zinc/00/03/92/522000392.db2.gz DKZUHRDRVSBGBK-UHFFFAOYSA-N 1 2 260.362 3.520 20 0 CHADLO CCc1cnc(C[NH2+]Cc2cc(Cl)ccc2F)s1 ZINC000119404320 522036272 /nfs/dbraw/zinc/03/62/72/522036272.db2.gz ZMTOBGHZWNTVML-UHFFFAOYSA-N 1 2 284.787 3.788 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)c2ccsc2)[nH]1 ZINC000277083193 522050769 /nfs/dbraw/zinc/05/07/69/522050769.db2.gz FUVQLPXNTBYHJB-HBNTYKKESA-N 1 2 278.425 3.792 20 0 CHADLO C[C@@H]1C[C@H](C(C)(C)C)CC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000347611054 533775888 /nfs/dbraw/zinc/77/58/88/533775888.db2.gz CPJSPKVLIACYPJ-DGCLKSJQSA-N 1 2 277.412 3.594 20 0 CHADLO C[C@@H]1C[C@H](C(C)(C)C)CC[N@H+]1Cc1noc(C2CC2)n1 ZINC000347611054 533775893 /nfs/dbraw/zinc/77/58/93/533775893.db2.gz CPJSPKVLIACYPJ-DGCLKSJQSA-N 1 2 277.412 3.594 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000347932072 533797936 /nfs/dbraw/zinc/79/79/36/533797936.db2.gz CXSOFTFOHJPVRI-CQSZACIVSA-N 1 2 291.439 3.509 20 0 CHADLO CCc1nc(CSCc2c[nH+]ccc2OC)cs1 ZINC000289344826 522367539 /nfs/dbraw/zinc/36/75/39/522367539.db2.gz FUCJISCSCNOBGE-UHFFFAOYSA-N 1 2 280.418 3.543 20 0 CHADLO Cc1cc(N2C[C@@H](C)CC[C@@H]2c2ccccc2)nc[nH+]1 ZINC000343626794 533913727 /nfs/dbraw/zinc/91/37/27/533913727.db2.gz LNKRMPYMSLUEGH-XJKSGUPXSA-N 1 2 267.376 3.763 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1F)c1nc2c(s1)CCC2 ZINC000355126760 533934228 /nfs/dbraw/zinc/93/42/28/533934228.db2.gz DLAZOCGQKCDFNZ-JTQLQIEISA-N 1 2 276.380 3.622 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CC=C(c2ccc(Cl)cc2)CC1 ZINC000356054983 533989590 /nfs/dbraw/zinc/98/95/90/533989590.db2.gz KPTHIFPQFMXSBV-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CC=C(c2ccc(Cl)cc2)CC1 ZINC000356054983 533989593 /nfs/dbraw/zinc/98/95/93/533989593.db2.gz KPTHIFPQFMXSBV-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO C[C@H]([NH2+][C@H]1CCSc2ccc(F)cc21)c1csnn1 ZINC000334835720 534011836 /nfs/dbraw/zinc/01/18/36/534011836.db2.gz IBXXHKPVWXQBLX-KWQFWETISA-N 1 2 295.408 3.565 20 0 CHADLO C[C@@H]1C[C@H]1CC(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000353804680 534016727 /nfs/dbraw/zinc/01/67/27/534016727.db2.gz FBMBIHKKKLSUMI-PWSUYJOCSA-N 1 2 283.375 3.815 20 0 CHADLO C[C@H](Nc1cc(N2CCCCC2)nc[nH+]1)c1ccccc1 ZINC000114708491 534017824 /nfs/dbraw/zinc/01/78/24/534017824.db2.gz ILNKCADRZTZGBE-AWEZNQCLSA-N 1 2 282.391 3.640 20 0 CHADLO C[C@H](Nc1cc(N2CCCCC2)[nH+]cn1)c1ccccc1 ZINC000114708491 534017834 /nfs/dbraw/zinc/01/78/34/534017834.db2.gz ILNKCADRZTZGBE-AWEZNQCLSA-N 1 2 282.391 3.640 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@@H]2CC[C@@H](C)C2)c(C)[nH+]1 ZINC000334702979 534073545 /nfs/dbraw/zinc/07/35/45/534073545.db2.gz WEHQPAQGHOYPMB-QMTHXVAHSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@H]2Nc1cc[nH+]c(C2CC2)n1 ZINC000352769490 534075485 /nfs/dbraw/zinc/07/54/85/534075485.db2.gz MXTRIJHPFGAPGD-CQSZACIVSA-N 1 2 297.402 3.803 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@@H]2Nc1cc[nH+]c(C2CC2)n1 ZINC000352769489 534076451 /nfs/dbraw/zinc/07/64/51/534076451.db2.gz MXTRIJHPFGAPGD-AWEZNQCLSA-N 1 2 297.402 3.803 20 0 CHADLO CSc1ccc(-c2nc(Cc3ccc(C)[nH+]c3)no2)cc1 ZINC000356134106 534179462 /nfs/dbraw/zinc/17/94/62/534179462.db2.gz KEPAPZAMYQTINC-UHFFFAOYSA-N 1 2 297.383 3.753 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3CCS[C@H](C)C3)cs2)o1 ZINC000350630047 534214669 /nfs/dbraw/zinc/21/46/69/534214669.db2.gz VOLYGWFAUQNSQU-LLVKDONJSA-N 1 2 294.445 3.649 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3CCS[C@H](C)C3)cs2)o1 ZINC000350630047 534214674 /nfs/dbraw/zinc/21/46/74/534214674.db2.gz VOLYGWFAUQNSQU-LLVKDONJSA-N 1 2 294.445 3.649 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CCCN1c1[nH]c2ccccc2[nH+]1 ZINC000480309817 534228579 /nfs/dbraw/zinc/22/85/79/534228579.db2.gz LCVJRACGEXWIMZ-ZJUUUORDSA-N 1 2 283.297 3.730 20 0 CHADLO CCCCn1ncc(Nc2[nH+]cnc3[nH]ccc32)c1C(C)C ZINC000477698178 517576020 /nfs/dbraw/zinc/57/60/20/517576020.db2.gz FWQQCXRLHZGNNX-UHFFFAOYSA-N 1 2 298.394 3.822 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)c1ccccc1 ZINC000131856220 518899030 /nfs/dbraw/zinc/89/90/30/518899030.db2.gz NBLRFJGLDVXWDU-UHFFFAOYSA-N 1 2 270.332 3.511 20 0 CHADLO FC(F)(F)Cn1cc[nH+]c1CSCC1CCCC1 ZINC000344476475 534400962 /nfs/dbraw/zinc/40/09/62/534400962.db2.gz OLTNTLBCZJULPG-UHFFFAOYSA-N 1 2 278.343 3.869 20 0 CHADLO Cc1ccc2c(c1)CCN(C(=O)Nc1c(C)cc[nH+]c1C)C2 ZINC000334947752 534410563 /nfs/dbraw/zinc/41/05/63/534410563.db2.gz FDUUTTBXSXBJTB-UHFFFAOYSA-N 1 2 295.386 3.597 20 0 CHADLO CC(C)[N@H+](Cc1ncc(Cl)n1C)Cc1cccc(F)c1 ZINC000299130002 519663212 /nfs/dbraw/zinc/66/32/12/519663212.db2.gz LCURCAKERBJAIF-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC(C)[N@@H+](Cc1ncc(Cl)n1C)Cc1cccc(F)c1 ZINC000299130002 519663214 /nfs/dbraw/zinc/66/32/14/519663214.db2.gz LCURCAKERBJAIF-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC(C)[N@H+](Cc1ncc(Cl)n1C)Cc1ccc(F)cc1 ZINC000299325479 519663710 /nfs/dbraw/zinc/66/37/10/519663710.db2.gz ASINZZMPSCZLAB-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC(C)[N@@H+](Cc1ncc(Cl)n1C)Cc1ccc(F)cc1 ZINC000299325479 519663713 /nfs/dbraw/zinc/66/37/13/519663713.db2.gz ASINZZMPSCZLAB-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC(C)c1ccc(C[N@H+](Cc2cnns2)C2CC2)cc1 ZINC000289389592 519835891 /nfs/dbraw/zinc/83/58/91/519835891.db2.gz QTWGVANZVFBYEV-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CC(C)c1ccc(C[N@@H+](Cc2cnns2)C2CC2)cc1 ZINC000289389592 519835892 /nfs/dbraw/zinc/83/58/92/519835892.db2.gz QTWGVANZVFBYEV-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H]2c3ccccc3O[C@@H]2C)s1 ZINC000367967248 519850752 /nfs/dbraw/zinc/85/07/52/519850752.db2.gz DBWOKSBOOCPYFV-BZNIZROVSA-N 1 2 288.416 3.878 20 0 CHADLO CC(C)c1nc(N[C@@H](CCCO)c2ccccc2)cc[nH+]1 ZINC000156878817 519878519 /nfs/dbraw/zinc/87/85/19/519878519.db2.gz VGXAKTSNKJSOSE-HNNXBMFYSA-N 1 2 285.391 3.526 20 0 CHADLO CC(C)c1nc(N2Cc3ccccc3[C@H]2C)cc[nH+]1 ZINC000340768233 519878700 /nfs/dbraw/zinc/87/87/00/519878700.db2.gz BDTOSMQMMVLVHY-GFCCVEGCSA-N 1 2 253.349 3.681 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+]CC(F)(F)c2ccccc2)cn1 ZINC000353624310 519977712 /nfs/dbraw/zinc/97/77/12/519977712.db2.gz DEGJBUSCKOUTJX-ZDUSSCGKSA-N 1 2 293.361 3.907 20 0 CHADLO CC(C)n1cnnc1C[N@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000292511172 520058582 /nfs/dbraw/zinc/05/85/82/520058582.db2.gz VOJYIRQEDCOUHX-GFCCVEGCSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1cnnc1C[N@@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000292511172 520058591 /nfs/dbraw/zinc/05/85/91/520058591.db2.gz VOJYIRQEDCOUHX-GFCCVEGCSA-N 1 2 292.814 3.705 20 0 CHADLO CCN(C(=O)c1cccc(Oc2cc[nH+]cc2)c1)C(C)C ZINC000264894063 520100586 /nfs/dbraw/zinc/10/05/86/520100586.db2.gz HKBLXYSCRMBKKG-UHFFFAOYSA-N 1 2 284.359 3.744 20 0 CHADLO Fc1ccc(CNc2[nH+]ccc3ccc(F)cc32)nc1 ZINC000354881797 534469873 /nfs/dbraw/zinc/46/98/73/534469873.db2.gz RBMGXAGIBRSXMK-UHFFFAOYSA-N 1 2 271.270 3.520 20 0 CHADLO CC1(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CCCC1 ZINC000265403159 520232947 /nfs/dbraw/zinc/23/29/47/520232947.db2.gz ADKZEGPNHGDASC-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO CCO[C@@H](CNc1cc[nH+]c(C2CC2)n1)c1ccccc1 ZINC000277981797 520313963 /nfs/dbraw/zinc/31/39/63/520313963.db2.gz VXBGGLYHHHUEOH-HNNXBMFYSA-N 1 2 283.375 3.544 20 0 CHADLO CC1(C)CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000155538530 520326004 /nfs/dbraw/zinc/32/60/04/520326004.db2.gz HDMFJBCRNIMTJW-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO CC1(C)CCC[C@H]1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000337238190 520359127 /nfs/dbraw/zinc/35/91/27/520359127.db2.gz DATIMLGTSARIAA-ZDUSSCGKSA-N 1 2 283.375 3.637 20 0 CHADLO CCCC[N@H+](Cc1ncc(C(F)(F)F)s1)C1CC1 ZINC000279327507 520550281 /nfs/dbraw/zinc/55/02/81/520550281.db2.gz CLTHJVTWSQPPAF-UHFFFAOYSA-N 1 2 278.343 3.926 20 0 CHADLO CCCC[N@@H+](Cc1ncc(C(F)(F)F)s1)C1CC1 ZINC000279327507 520550289 /nfs/dbraw/zinc/55/02/89/520550289.db2.gz CLTHJVTWSQPPAF-UHFFFAOYSA-N 1 2 278.343 3.926 20 0 CHADLO Fc1ccc2cc[nH+]c(NCCOC3CCCC3)c2c1 ZINC000354560154 534508565 /nfs/dbraw/zinc/50/85/65/534508565.db2.gz GDKJGTUPEZFYRF-UHFFFAOYSA-N 1 2 274.339 3.745 20 0 CHADLO Cc1oc(-c2ccccc2)nc1COc1cc[nH+]cc1 ZINC000356093201 534528603 /nfs/dbraw/zinc/52/86/03/534528603.db2.gz JXBVELVONWSAFF-UHFFFAOYSA-N 1 2 266.300 3.624 20 0 CHADLO CCC(C)(C)C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000279870970 521273674 /nfs/dbraw/zinc/27/36/74/521273674.db2.gz CWZXBCYUSUXPIQ-UHFFFAOYSA-N 1 2 271.364 3.760 20 0 CHADLO CC[N@H+](Cc1ncnn1C(C)C)Cc1ccc(Cl)cc1 ZINC000280022238 521462541 /nfs/dbraw/zinc/46/25/41/521462541.db2.gz MOOBQDBLRLCNMC-UHFFFAOYSA-N 1 2 292.814 3.535 20 0 CHADLO CC[N@@H+](Cc1ncnn1C(C)C)Cc1ccc(Cl)cc1 ZINC000280022238 521462555 /nfs/dbraw/zinc/46/25/55/521462555.db2.gz MOOBQDBLRLCNMC-UHFFFAOYSA-N 1 2 292.814 3.535 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2ccc([C@@H]3C[C@H]3C)o2)o1 ZINC000291824637 521495639 /nfs/dbraw/zinc/49/56/39/521495639.db2.gz ZOGISFUSKHHDRC-BXUZGUMPSA-N 1 2 288.391 3.942 20 0 CHADLO CCCc1nc(C[N@H+](C)[C@H](C)c2cccnc2)cs1 ZINC000127772622 521503552 /nfs/dbraw/zinc/50/35/52/521503552.db2.gz VUJKODKEAJHTAN-GFCCVEGCSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1nc(C[N@@H+](C)[C@H](C)c2cccnc2)cs1 ZINC000127772622 521503554 /nfs/dbraw/zinc/50/35/54/521503554.db2.gz VUJKODKEAJHTAN-GFCCVEGCSA-N 1 2 275.421 3.684 20 0 CHADLO CCOc1ncccc1C[NH2+][C@@H](C)c1cc(F)ccc1F ZINC000112522213 521620436 /nfs/dbraw/zinc/62/04/36/521620436.db2.gz IYSQZUQNOUZVCM-NSHDSACASA-N 1 2 292.329 3.609 20 0 CHADLO CCC(CC)n1ccc(C[N@H+](C)Cc2occc2C)n1 ZINC000292146279 521639211 /nfs/dbraw/zinc/63/92/11/521639211.db2.gz JQSAFFBRDPWZAQ-UHFFFAOYSA-N 1 2 275.396 3.778 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+](C)Cc2occc2C)n1 ZINC000292146279 521639216 /nfs/dbraw/zinc/63/92/16/521639216.db2.gz JQSAFFBRDPWZAQ-UHFFFAOYSA-N 1 2 275.396 3.778 20 0 CHADLO CCC1(C(=O)Nc2cccc(-c3[nH]c(C)c(C)[nH+]3)c2)CC1 ZINC000365883617 521672715 /nfs/dbraw/zinc/67/27/15/521672715.db2.gz FMSVYFSJDMDXSO-UHFFFAOYSA-N 1 2 283.375 3.822 20 0 CHADLO CCC[N@H+](Cc1noc(C2CC2)n1)[C@H]1CCc2ccccc21 ZINC000299411581 521696878 /nfs/dbraw/zinc/69/68/78/521696878.db2.gz PFZJGKSRVOEASK-INIZCTEOSA-N 1 2 297.402 3.847 20 0 CHADLO CCC[N@@H+](Cc1noc(C2CC2)n1)[C@H]1CCc2ccccc21 ZINC000299411581 521696881 /nfs/dbraw/zinc/69/68/81/521696881.db2.gz PFZJGKSRVOEASK-INIZCTEOSA-N 1 2 297.402 3.847 20 0 CHADLO Cc1occc1C[NH2+][C@H](c1ccccc1)c1ccccn1 ZINC000352567460 534584362 /nfs/dbraw/zinc/58/43/62/534584362.db2.gz KYRDKYYFPBQDFD-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO CC[C@H](C)Oc1cccc(NCc2[nH+]cc(C)n2C)c1 ZINC000339427090 521779350 /nfs/dbraw/zinc/77/93/50/521779350.db2.gz KNLRVOGSGRYEAX-ZDUSSCGKSA-N 1 2 273.380 3.518 20 0 CHADLO CCc1cccc2c1OCC[C@H]2Nc1cccc[nH+]1 ZINC000263732624 521802022 /nfs/dbraw/zinc/80/20/22/521802022.db2.gz QCOKVKXMZKWOCO-CQSZACIVSA-N 1 2 254.333 3.580 20 0 CHADLO CC[C@@H](C)C(=O)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000277684396 521922801 /nfs/dbraw/zinc/92/28/01/521922801.db2.gz LVYVVYXNULHRID-CYBMUJFWSA-N 1 2 297.402 3.871 20 0 CHADLO C[NH+](C)Cc1nc(C(C)(C)c2cccc3ccccc32)no1 ZINC000293374534 521937136 /nfs/dbraw/zinc/93/71/36/521937136.db2.gz PJXMJWMKXCYIDS-UHFFFAOYSA-N 1 2 295.386 3.610 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2cc(F)ccc2C)[nH]1 ZINC000277058072 522052724 /nfs/dbraw/zinc/05/27/24/522052724.db2.gz BHDAPYZSAXDYBQ-CMPLNLGQSA-N 1 2 290.386 3.617 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H]2CCCc3occc32)[nH]1 ZINC000278812713 522053245 /nfs/dbraw/zinc/05/32/45/522053245.db2.gz RZOLHXDVVLTTLT-LOWVWBTDSA-N 1 2 288.395 3.639 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1C[C@H]1c1ccc(F)cc1 ZINC000265254855 522083171 /nfs/dbraw/zinc/08/31/71/522083171.db2.gz UJVPZQOAVZBLCY-LSDHHAIUSA-N 1 2 284.334 3.525 20 0 CHADLO COc1cc(C)c(NC(=O)Nc2cc[nH+]cc2C)cc1C ZINC000278058374 522209580 /nfs/dbraw/zinc/20/95/80/522209580.db2.gz DWVFPYKXTPLHNI-UHFFFAOYSA-N 1 2 285.347 3.659 20 0 CHADLO CN(C)c1ccc(NCc2cc3ccccc3o2)[nH+]c1 ZINC000150901245 522236048 /nfs/dbraw/zinc/23/60/48/522236048.db2.gz XNOOCSGAJKZCEI-UHFFFAOYSA-N 1 2 267.332 3.506 20 0 CHADLO CCc1nc(C2([NH2+]Cc3sccc3C)CCCC2)no1 ZINC000237032003 522245387 /nfs/dbraw/zinc/24/53/87/522245387.db2.gz OMSXRNAEJLRIAT-UHFFFAOYSA-N 1 2 291.420 3.561 20 0 CHADLO COC[C@H]([NH2+]Cc1cc(F)cc(Cl)c1)c1ccc(C)o1 ZINC000274968710 522748854 /nfs/dbraw/zinc/74/88/54/522748854.db2.gz HYIMIHNPEZOVGW-AWEZNQCLSA-N 1 2 297.757 3.858 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(Cl)cc2)o1 ZINC000111193696 522792593 /nfs/dbraw/zinc/79/25/93/522792593.db2.gz PNXYSHSINFVOMV-ZJUUUORDSA-N 1 2 279.771 3.697 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H](C)c3ccccc3)cc2[nH+]1 ZINC000344255715 534664370 /nfs/dbraw/zinc/66/43/70/534664370.db2.gz ITPOHWNBBWTUDA-NSHDSACASA-N 1 2 279.343 3.614 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(F)ccc(O)c1F)c1nc(C)cs1 ZINC000293518476 522868718 /nfs/dbraw/zinc/86/87/18/522868718.db2.gz CRVZJUVIUSEZNW-LLVKDONJSA-N 1 2 298.358 3.676 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]cn1)c1nc(-c2ccccc2)c[nH]1 ZINC000340768499 522955225 /nfs/dbraw/zinc/95/52/25/522955225.db2.gz BCBBMSQICLOQQC-CQSZACIVSA-N 1 2 293.374 3.738 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+](CC)Cc1noc(C2CC2)n1 ZINC000299401577 523099237 /nfs/dbraw/zinc/09/92/37/523099237.db2.gz MEBUWAHYNFVSDT-HNNXBMFYSA-N 1 2 285.391 3.920 20 0 CHADLO CC[C@@H](c1ccccc1)[N@@H+](CC)Cc1noc(C2CC2)n1 ZINC000299401577 523099247 /nfs/dbraw/zinc/09/92/47/523099247.db2.gz MEBUWAHYNFVSDT-HNNXBMFYSA-N 1 2 285.391 3.920 20 0 CHADLO C[N@H+](Cc1ccc[nH]1)Cc1cc(C(F)(F)F)ccc1F ZINC000354025811 523287004 /nfs/dbraw/zinc/28/70/04/523287004.db2.gz JQRWFRFEFTZUCF-UHFFFAOYSA-N 1 2 286.272 3.805 20 0 CHADLO C[N@@H+](Cc1ccc[nH]1)Cc1cc(C(F)(F)F)ccc1F ZINC000354025811 523287015 /nfs/dbraw/zinc/28/70/15/523287015.db2.gz JQRWFRFEFTZUCF-UHFFFAOYSA-N 1 2 286.272 3.805 20 0 CHADLO CC[C@@H]1CCN1C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000293217401 523336233 /nfs/dbraw/zinc/33/62/33/523336233.db2.gz GOOSAMDMPLHDET-CQSZACIVSA-N 1 2 297.358 3.890 20 0 CHADLO Cc1cccc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000352667504 534711817 /nfs/dbraw/zinc/71/18/17/534711817.db2.gz KVZKHASBYSFMHM-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cccc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000352667504 534711821 /nfs/dbraw/zinc/71/18/21/534711821.db2.gz KVZKHASBYSFMHM-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO C[N@H+](Cc1cccnc1)[C@@]1(c2ccccc2)CCCCC1=O ZINC000276408876 523418107 /nfs/dbraw/zinc/41/81/07/523418107.db2.gz GTZMGWHHYHBXAU-LJQANCHMSA-N 1 2 294.398 3.552 20 0 CHADLO C[N@@H+](Cc1cccnc1)[C@@]1(c2ccccc2)CCCCC1=O ZINC000276408876 523418111 /nfs/dbraw/zinc/41/81/11/523418111.db2.gz GTZMGWHHYHBXAU-LJQANCHMSA-N 1 2 294.398 3.552 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1cc(Cl)ccc1OC ZINC000297041033 523554524 /nfs/dbraw/zinc/55/45/24/523554524.db2.gz VGZWMHXHJSREPR-SNVBAGLBSA-N 1 2 279.771 3.738 20 0 CHADLO CC[C@@]1(C)C[C@H]1C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000340666070 523730116 /nfs/dbraw/zinc/73/01/16/523730116.db2.gz DUOATTLEGYTUJO-UGSOOPFHSA-N 1 2 297.402 3.825 20 0 CHADLO CN(C(=O)CCC1CCC1)[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000293782097 523796810 /nfs/dbraw/zinc/79/68/10/523796810.db2.gz ALQVECCZINSZMF-QGZVFWFLSA-N 1 2 297.402 3.538 20 0 CHADLO CC[C@H](C)CC(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000279881855 523852665 /nfs/dbraw/zinc/85/26/65/523852665.db2.gz DNTKPIFKVYABHD-NSHDSACASA-N 1 2 271.364 3.760 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+]Cc2ccc(F)c(C)c2)cs1 ZINC000267636141 523914963 /nfs/dbraw/zinc/91/49/63/523914963.db2.gz PHRNNGZKMYGBHS-LLVKDONJSA-N 1 2 294.395 3.588 20 0 CHADLO COc1ccc(Cl)c(N[C@@H]2C[C@H](C)n3cc[nH+]c32)c1 ZINC000294254287 524186645 /nfs/dbraw/zinc/18/66/45/524186645.db2.gz WXKSEHIMXTZGPC-TVQRCGJNSA-N 1 2 277.755 3.663 20 0 CHADLO COc1cccc2c(N[C@@H]3CCOC(C)(C)C3)cc[nH+]c12 ZINC000275808521 524200959 /nfs/dbraw/zinc/20/09/59/524200959.db2.gz LFMXTTZRIHTVQI-GFCCVEGCSA-N 1 2 286.375 3.613 20 0 CHADLO Cc1ccc(C)n1C1CCN(c2cc[nH+]c(C3CC3)n2)CC1 ZINC000264789854 524224074 /nfs/dbraw/zinc/22/40/74/524224074.db2.gz AIXXHXJAPALNCL-UHFFFAOYSA-N 1 2 296.418 3.614 20 0 CHADLO COc1ccc(Cl)cc1[C@@H](C)[NH2+]Cc1nccs1 ZINC000128217526 524253045 /nfs/dbraw/zinc/25/30/45/524253045.db2.gz AMWCBNCNBGUYGM-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO C[C@@H]1CCC[C@@H](CC(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)C1 ZINC000338430678 524282085 /nfs/dbraw/zinc/28/20/85/524282085.db2.gz LZQDVPJHCKQKAD-KFWWJZLASA-N 1 2 289.423 3.680 20 0 CHADLO Cc1ccc(CCCNc2ccc(F)c(F)c2)c[nH+]1 ZINC000354576190 524322404 /nfs/dbraw/zinc/32/24/04/524322404.db2.gz VYGMRPMFEQNGHD-UHFFFAOYSA-N 1 2 262.303 3.713 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+][C@@H](C)c1ncc(C)o1 ZINC000356307345 524334781 /nfs/dbraw/zinc/33/47/81/524334781.db2.gz LXJCFBXXCPMVPX-QWRGUYRKSA-N 1 2 278.327 3.543 20 0 CHADLO Cc1cccc(CN(C)C(=O)Nc2c(C)cc[nH+]c2C)c1C ZINC000297030284 524369348 /nfs/dbraw/zinc/36/93/48/524369348.db2.gz LXJYBQHFGIOEAD-UHFFFAOYSA-N 1 2 297.402 3.979 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2csc(C(C)C)n2)o1 ZINC000338396643 524394455 /nfs/dbraw/zinc/39/44/55/524394455.db2.gz SFHYOTOSLNVAJS-UHFFFAOYSA-N 1 2 264.394 3.800 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2csc(C(C)C)n2)o1 ZINC000338396643 524394462 /nfs/dbraw/zinc/39/44/62/524394462.db2.gz SFHYOTOSLNVAJS-UHFFFAOYSA-N 1 2 264.394 3.800 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nc(C(F)(F)F)cs2)o1 ZINC000278710328 524603975 /nfs/dbraw/zinc/60/39/75/524603975.db2.gz KIRKXOGJMDKURO-MRVPVSSYSA-N 1 2 290.310 3.914 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(-c3ccccc3)[nH]2)CCC1(F)F ZINC000291587288 524607758 /nfs/dbraw/zinc/60/77/58/524607758.db2.gz PMENRNMDZRXHPZ-LBPRGKRZSA-N 1 2 291.345 3.554 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(-c3ccccc3)[nH]2)CCC1(F)F ZINC000291587288 524607765 /nfs/dbraw/zinc/60/77/65/524607765.db2.gz PMENRNMDZRXHPZ-LBPRGKRZSA-N 1 2 291.345 3.554 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2c(C)cc(C)cc2C)no1 ZINC000064952084 524662564 /nfs/dbraw/zinc/66/25/64/524662564.db2.gz DEPQHPIROHWCJS-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2c(C)cc(C)cc2C)no1 ZINC000064952084 524662571 /nfs/dbraw/zinc/66/25/71/524662571.db2.gz DEPQHPIROHWCJS-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO C[C@@H]1CC[C@H](CC(=O)Nc2ccccc2-n2cc[nH+]c2)C1 ZINC000119879104 524666621 /nfs/dbraw/zinc/66/66/21/524666621.db2.gz LVNYZKDLVKIFSW-KGLIPLIRSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1ccc(Cc2noc(/C=C3/CC[C@H](C)C3)n2)c[nH+]1 ZINC000273672891 524698462 /nfs/dbraw/zinc/69/84/62/524698462.db2.gz AQQYDCIDTWHCCR-KWJWQAOWSA-N 1 2 269.348 3.567 20 0 CHADLO Cc1ccc(Cc2noc(-c3ccccc3Cl)n2)c[nH+]1 ZINC000356123771 524698621 /nfs/dbraw/zinc/69/86/21/524698621.db2.gz IMRQYUYESNTUHC-UHFFFAOYSA-N 1 2 285.734 3.684 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCCC[C@H]2[C@@H]2CCOC2)cc1 ZINC000365073423 524703959 /nfs/dbraw/zinc/70/39/59/524703959.db2.gz RPBDJRPQMQLGNA-XOKHGSTOSA-N 1 2 275.392 3.702 20 0 CHADLO Cc1cc(C[NH2+]Cc2cccc(Cl)c2Cl)on1 ZINC000044985277 524761949 /nfs/dbraw/zinc/76/19/49/524761949.db2.gz USVCNWOWMLCGNI-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2cccc(C(F)(F)F)c2)no1 ZINC000044296291 524778506 /nfs/dbraw/zinc/77/85/06/524778506.db2.gz KGEUDXOLBQLBNU-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO COc1ccncc1COc1cc(C)[nH+]c2c(C)cccc12 ZINC000341391577 524863081 /nfs/dbraw/zinc/86/30/81/524863081.db2.gz LZRBYYLBPQJNHD-UHFFFAOYSA-N 1 2 294.354 3.834 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(-c3ccco3)no2)[C@@H]1c1ccccc1 ZINC000081574800 525027646 /nfs/dbraw/zinc/02/76/46/525027646.db2.gz VWNFNMOTICCLRB-WBMJQRKESA-N 1 2 295.342 3.523 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(-c3ccco3)no2)[C@@H]1c1ccccc1 ZINC000081574800 525027655 /nfs/dbraw/zinc/02/76/55/525027655.db2.gz VWNFNMOTICCLRB-WBMJQRKESA-N 1 2 295.342 3.523 20 0 CHADLO Cc1ccc(NC(=O)N2CC3(CCC3)[C@H]2C(C)C)c(C)[nH+]1 ZINC000292651945 525117076 /nfs/dbraw/zinc/11/70/76/525117076.db2.gz IBJWXDVOQCNDAQ-OAHLLOKOSA-N 1 2 287.407 3.741 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)cc1C ZINC000117560906 525242000 /nfs/dbraw/zinc/24/20/00/525242000.db2.gz XDIISPMZYNUCMA-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1ccc(NCc2cc(Br)ccc2F)c[nH+]1 ZINC000149823786 525280485 /nfs/dbraw/zinc/28/04/85/525280485.db2.gz XSOTYSMAMOJKPT-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cc1ccc(NCc2cn3c(cccc3C)[nH+]2)cc1F ZINC000157256717 525284702 /nfs/dbraw/zinc/28/47/02/525284702.db2.gz QJQXOCAXCSGBAE-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1cc(N[C@@H](CCCO)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000265804469 525296509 /nfs/dbraw/zinc/29/65/09/525296509.db2.gz CWOATMFLAPLQTQ-INIZCTEOSA-N 1 2 297.402 3.588 20 0 CHADLO Cc1cc(N[C@H]2CCOC[C@@H]2C)c2cccc(F)c2[nH+]1 ZINC000277665535 525304376 /nfs/dbraw/zinc/30/43/76/525304376.db2.gz PHSKMIUFDYAPIO-HZMBPMFUSA-N 1 2 274.339 3.519 20 0 CHADLO CSC1(CNc2ccc3ccccc3[nH+]2)CCC1 ZINC000227986069 525341363 /nfs/dbraw/zinc/34/13/63/525341363.db2.gz NDJBQEMFGGFGGP-UHFFFAOYSA-N 1 2 258.390 3.932 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(Cl)cc2F)no1 ZINC000337278262 525466267 /nfs/dbraw/zinc/46/62/67/525466267.db2.gz GADGIYPTQZUSCO-SECBINFHSA-N 1 2 268.719 3.626 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1ccccc1Br ZINC000040420838 525483890 /nfs/dbraw/zinc/48/38/90/525483890.db2.gz VGMDAUUTSQFFDU-VIFPVBQESA-N 1 2 297.221 3.756 20 0 CHADLO CSCCCSCc1[nH+]ccn1Cc1ccccc1 ZINC000340865678 525486020 /nfs/dbraw/zinc/48/60/20/525486020.db2.gz VGGDYFLIYJGEKW-UHFFFAOYSA-N 1 2 292.473 3.918 20 0 CHADLO C[C@H]([NH2+]Cc1nc2cccc(Cl)c2n1C)c1ccco1 ZINC000274819028 525490156 /nfs/dbraw/zinc/49/01/56/525490156.db2.gz ZZGWKXKZGXZCKQ-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)cc1Cl)c1nncn1C ZINC000037017367 525530545 /nfs/dbraw/zinc/53/05/45/525530545.db2.gz YINPEEARZFGRAR-IUCAKERBSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@@H]([NH2+]Cc1ncnn1C(C)(C)C)c1ccc(Cl)s1 ZINC000283500174 525564814 /nfs/dbraw/zinc/56/48/14/525564814.db2.gz LQPJAXKFZVTMNR-SECBINFHSA-N 1 2 298.843 3.599 20 0 CHADLO Cc1c(CSC(C)C)cccc1NCc1[nH+]ccn1C ZINC000266162915 525736193 /nfs/dbraw/zinc/73/61/93/525736193.db2.gz OEYLNZAKVATNNO-UHFFFAOYSA-N 1 2 289.448 3.982 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1csc(-c2ccoc2)n1 ZINC000292153141 525797113 /nfs/dbraw/zinc/79/71/13/525797113.db2.gz KDEOHLWLYMPFRZ-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1csc(-c2ccoc2)n1 ZINC000292153141 525797123 /nfs/dbraw/zinc/79/71/23/525797123.db2.gz KDEOHLWLYMPFRZ-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1ccoc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000157436963 525809469 /nfs/dbraw/zinc/80/94/69/525809469.db2.gz TYYSRLYKBVKYIM-UHFFFAOYSA-N 1 2 256.349 3.800 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2[C@@H](C)c2nc(C3CC3)no2)o1 ZINC000265398804 525823674 /nfs/dbraw/zinc/82/36/74/525823674.db2.gz XIDGMLNFLSHYQZ-AAEUAGOBSA-N 1 2 287.363 3.747 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2[C@@H](C)c2nc(C3CC3)no2)o1 ZINC000265398804 525823684 /nfs/dbraw/zinc/82/36/84/525823684.db2.gz XIDGMLNFLSHYQZ-AAEUAGOBSA-N 1 2 287.363 3.747 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2cc(C)on2)o1 ZINC000076120856 525854742 /nfs/dbraw/zinc/85/47/42/525854742.db2.gz JPEOXYALFZIJFY-ABAIWWIYSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2cc(C)on2)o1 ZINC000076120856 525854753 /nfs/dbraw/zinc/85/47/53/525854753.db2.gz JPEOXYALFZIJFY-ABAIWWIYSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1c(N[C@H]2CCn3cc[nH+]c32)cccc1C(F)(F)F ZINC000296553752 525879843 /nfs/dbraw/zinc/87/98/43/525879843.db2.gz TUNBTGRSTNLZCX-LBPRGKRZSA-N 1 2 281.281 3.767 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](C)c2nc(C(C)C)no2)cc1 ZINC000079323991 525943947 /nfs/dbraw/zinc/94/39/47/525943947.db2.gz IUCSVDQRKFDVGU-QWHCGFSZSA-N 1 2 273.380 3.913 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H]3CCCC(C)(C)C3)ccc2[nH+]1 ZINC000339202416 525950447 /nfs/dbraw/zinc/95/04/47/525950447.db2.gz SUPORRLHXPOKSS-ZDUSSCGKSA-N 1 2 285.391 3.798 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)nc1 ZINC000340661506 526007742 /nfs/dbraw/zinc/00/77/42/526007742.db2.gz NLIZWYCDIJBYPQ-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)nc1 ZINC000340661506 526007746 /nfs/dbraw/zinc/00/77/46/526007746.db2.gz NLIZWYCDIJBYPQ-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2ccc(Cl)cc2)o1 ZINC000075597215 526007907 /nfs/dbraw/zinc/00/79/07/526007907.db2.gz DLAYCAOUXDRLFT-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2ccc(Cl)cc2)o1 ZINC000075597215 526007914 /nfs/dbraw/zinc/00/79/14/526007914.db2.gz DLAYCAOUXDRLFT-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2cccc(Cl)c2)C(C)C)nc1 ZINC000340658871 526011792 /nfs/dbraw/zinc/01/17/92/526011792.db2.gz DMIZFXPOUVPHQZ-UHFFFAOYSA-N 1 2 289.810 3.849 20 0 CHADLO Cc1cnc(C[N@H+](Cc2cccc(Cl)c2)C(C)C)nc1 ZINC000340658871 526011800 /nfs/dbraw/zinc/01/18/00/526011800.db2.gz DMIZFXPOUVPHQZ-UHFFFAOYSA-N 1 2 289.810 3.849 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C12CC3C[C@@](C)(C1)C[C@](C)(C3)C2 ZINC000337319303 526014979 /nfs/dbraw/zinc/01/49/79/526014979.db2.gz HHFLWAMXKROEJL-KOCGSPDRSA-N 1 2 298.430 3.747 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2ccccc2Cl)nc1 ZINC000340659165 526016421 /nfs/dbraw/zinc/01/64/21/526016421.db2.gz OKRNKGGFJLEZNW-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2ccccc2Cl)nc1 ZINC000340659165 526016428 /nfs/dbraw/zinc/01/64/28/526016428.db2.gz OKRNKGGFJLEZNW-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1c[nH]nc1CNc1cccc([NH+]2CCCCCC2)c1 ZINC000289401940 526038035 /nfs/dbraw/zinc/03/80/35/526038035.db2.gz AJQOGSPUIKLZSI-UHFFFAOYSA-N 1 2 284.407 3.711 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1cccc(F)c1F ZINC000128108382 526124068 /nfs/dbraw/zinc/12/40/68/526124068.db2.gz IAQOJTRHXJECHB-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1cccc(F)c1F ZINC000128108382 526124080 /nfs/dbraw/zinc/12/40/80/526124080.db2.gz IAQOJTRHXJECHB-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1nc(-c2ccco2)no1)C1CC1 ZINC000086234492 526146343 /nfs/dbraw/zinc/14/63/43/526146343.db2.gz PESWXIJLXMRQHB-LLVKDONJSA-N 1 2 299.330 3.648 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1nc(-c2ccco2)no1)C1CC1 ZINC000086234492 526146353 /nfs/dbraw/zinc/14/63/53/526146353.db2.gz PESWXIJLXMRQHB-LLVKDONJSA-N 1 2 299.330 3.648 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@@H+]1C[C@@H](C)[C@H]1C ZINC000292958942 526173731 /nfs/dbraw/zinc/17/37/31/526173731.db2.gz JIZMZOSQDILZKF-MXWKQRLJSA-N 1 2 279.334 3.833 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@H+]1C[C@@H](C)[C@H]1C ZINC000292958942 526173736 /nfs/dbraw/zinc/17/37/36/526173736.db2.gz JIZMZOSQDILZKF-MXWKQRLJSA-N 1 2 279.334 3.833 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H](Cc1ccccc1)C1CC1 ZINC000121538873 526240876 /nfs/dbraw/zinc/24/08/76/526240876.db2.gz NBZMWJWUOFMHML-QGZVFWFLSA-N 1 2 295.386 3.533 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccc([C@@H]4C[C@@H]4C)o3)cn2c1 ZINC000264365214 526249364 /nfs/dbraw/zinc/24/93/64/526249364.db2.gz JQOBBZNVVNVGSP-XJKSGUPXSA-N 1 2 295.386 3.649 20 0 CHADLO Cc1ccc2[nH+]c(CNCc3ccc([C@@H]4C[C@@H]4C)o3)cn2c1 ZINC000264365214 526249367 /nfs/dbraw/zinc/24/93/67/526249367.db2.gz JQOBBZNVVNVGSP-XJKSGUPXSA-N 1 2 295.386 3.649 20 0 CHADLO Fc1ccc(CCNc2cccc[nH+]2)c(Cl)c1 ZINC000354629701 526329761 /nfs/dbraw/zinc/32/97/61/526329761.db2.gz MELJZDQPOFXNLM-UHFFFAOYSA-N 1 2 250.704 3.529 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000299394768 526332060 /nfs/dbraw/zinc/33/20/60/526332060.db2.gz SPTYZVPBSSKPMS-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000299394768 526332068 /nfs/dbraw/zinc/33/20/68/526332068.db2.gz SPTYZVPBSSKPMS-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)nc1 ZINC000364897601 526333419 /nfs/dbraw/zinc/33/34/19/526333419.db2.gz TXZWEUJEEGUVRS-INIZCTEOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)nc1 ZINC000364897601 526333424 /nfs/dbraw/zinc/33/34/24/526333424.db2.gz TXZWEUJEEGUVRS-INIZCTEOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc(NCc2ccc(N3CCCC3)[nH+]c2)c(F)c1 ZINC000130345755 526354524 /nfs/dbraw/zinc/35/45/24/526354524.db2.gz QISSGZDWQNUOCJ-UHFFFAOYSA-N 1 2 289.329 3.572 20 0 CHADLO Cc1csc(C[NH2+]Cc2ccccc2OC(C)C)n1 ZINC000037988422 526358540 /nfs/dbraw/zinc/35/85/40/526358540.db2.gz UHSSRTDFIBOVNK-UHFFFAOYSA-N 1 2 276.405 3.529 20 0 CHADLO Fc1cccc2[nH]c(C[NH+]3CC4(CCC4(F)F)C3)cc21 ZINC000356528805 526400544 /nfs/dbraw/zinc/40/05/44/526400544.db2.gz OTPDBUWCJFLEGK-UHFFFAOYSA-N 1 2 280.293 3.538 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2nccc3ccccc32)s1 ZINC000290746524 526405951 /nfs/dbraw/zinc/40/59/51/526405951.db2.gz QMTWEGYZSAPQFA-MNOVXSKESA-N 1 2 298.415 3.807 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccccc2C(F)(F)F)o1 ZINC000112534970 526406544 /nfs/dbraw/zinc/40/65/44/526406544.db2.gz NRUQBFTXGVXKGP-BDAKNGLRSA-N 1 2 299.296 3.809 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@H+]2Cc2csc(C3CC3)n2)c1 ZINC000127428337 526408981 /nfs/dbraw/zinc/40/89/81/526408981.db2.gz CTQRDGXKANMOIR-AWEZNQCLSA-N 1 2 273.405 3.686 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@@H+]2Cc2csc(C3CC3)n2)c1 ZINC000127428337 526408983 /nfs/dbraw/zinc/40/89/83/526408983.db2.gz CTQRDGXKANMOIR-AWEZNQCLSA-N 1 2 273.405 3.686 20 0 CHADLO c1cc(C[NH2+][C@@H](CC2CCCC2)c2ccccc2)[nH]n1 ZINC000128906969 526428560 /nfs/dbraw/zinc/42/85/60/526428560.db2.gz VMJLSIUXGRGTFT-KRWDZBQOSA-N 1 2 269.392 3.821 20 0 CHADLO Cc1csc([C@H]2COCC[N@H+]2C[C@H](C)CC(C)C)n1 ZINC000337205273 526445523 /nfs/dbraw/zinc/44/55/23/526445523.db2.gz KEQCJFFSBIQSCD-TZMCWYRMSA-N 1 2 282.453 3.507 20 0 CHADLO Cc1csc([C@H]2COCC[N@@H+]2C[C@H](C)CC(C)C)n1 ZINC000337205273 526445526 /nfs/dbraw/zinc/44/55/26/526445526.db2.gz KEQCJFFSBIQSCD-TZMCWYRMSA-N 1 2 282.453 3.507 20 0 CHADLO c1ccc(NCCCCNc2nc3ccccc3o2)[nH+]c1 ZINC000263916270 526481903 /nfs/dbraw/zinc/48/19/03/526481903.db2.gz OFIDRALNJPNYBR-UHFFFAOYSA-N 1 2 282.347 3.527 20 0 CHADLO Cc1noc(C[NH2+][C@H](c2oc3ccccc3c2C)C(C)C)n1 ZINC000271704879 526622252 /nfs/dbraw/zinc/62/22/52/526622252.db2.gz NHBCALWCWBFKIA-INIZCTEOSA-N 1 2 299.374 3.920 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COC(=O)COC1CCCC1 ZINC000293537347 526669129 /nfs/dbraw/zinc/66/91/29/526669129.db2.gz XPRIJZNQSDEYNV-UHFFFAOYSA-N 1 2 291.391 3.516 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COC(=O)C1(CCF)CC1 ZINC000293110257 526669384 /nfs/dbraw/zinc/66/93/84/526669384.db2.gz QVUGFDLFCGPFQM-UHFFFAOYSA-N 1 2 279.355 3.696 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc([C@H]3C[C@H]3C)o2)cs1 ZINC000340659950 526841216 /nfs/dbraw/zinc/84/12/16/526841216.db2.gz FFPCEOLBKRJVEY-YGRLFVJLSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc([C@H]3C[C@H]3C)o2)cs1 ZINC000340659950 526841218 /nfs/dbraw/zinc/84/12/18/526841218.db2.gz FFPCEOLBKRJVEY-YGRLFVJLSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2F)C(C)C)oc1C ZINC000299355195 526849581 /nfs/dbraw/zinc/84/95/81/526849581.db2.gz HTTDMTDDCDJNPJ-UHFFFAOYSA-N 1 2 276.355 3.841 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2F)C(C)C)oc1C ZINC000299355195 526849583 /nfs/dbraw/zinc/84/95/83/526849583.db2.gz HTTDMTDDCDJNPJ-UHFFFAOYSA-N 1 2 276.355 3.841 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2ccsc2)cs1 ZINC000076719996 526861669 /nfs/dbraw/zinc/86/16/69/526861669.db2.gz ANBBXVYZZBDFTC-ZDUSSCGKSA-N 1 2 264.419 3.850 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2ccsc2)cs1 ZINC000076719996 526861674 /nfs/dbraw/zinc/86/16/74/526861674.db2.gz ANBBXVYZZBDFTC-ZDUSSCGKSA-N 1 2 264.419 3.850 20 0 CHADLO Cc1sccc1CNc1c[nH+]c2c(c1)CCCC2 ZINC000339344866 526923336 /nfs/dbraw/zinc/92/33/36/526923336.db2.gz OORMXUQDXSYKAP-UHFFFAOYSA-N 1 2 258.390 3.942 20 0 CHADLO Clc1cc2c(s1)CCC[C@H]2[NH2+]Cc1cocn1 ZINC000291704838 526931734 /nfs/dbraw/zinc/93/17/34/526931734.db2.gz KPOCSXSKISYLTD-SNVBAGLBSA-N 1 2 268.769 3.557 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000289449659 527034519 /nfs/dbraw/zinc/03/45/19/527034519.db2.gz DUMOHQZGMJHSCN-RKDXNWHRSA-N 1 2 299.296 3.809 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cccc(Cl)c1Cl ZINC000289601266 527035478 /nfs/dbraw/zinc/03/54/78/527035478.db2.gz SXFVZUWUKIZQBU-PHIMTYICSA-N 1 2 284.186 3.689 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cccc(Cl)c1Cl ZINC000289601266 527035481 /nfs/dbraw/zinc/03/54/81/527035481.db2.gz SXFVZUWUKIZQBU-PHIMTYICSA-N 1 2 284.186 3.689 20 0 CHADLO Cc1[nH+]c2cc(CNC(=O)[C@@H](C)C(C)C)ccc2n1C1CC1 ZINC000289638578 527119295 /nfs/dbraw/zinc/11/92/95/527119295.db2.gz MYIJTNXFESMDAJ-LBPRGKRZSA-N 1 2 299.418 3.588 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccoc3C3CC3)cc2[nH+]1 ZINC000337058520 527147300 /nfs/dbraw/zinc/14/73/00/527147300.db2.gz QQMPOKFXWCQVKS-UHFFFAOYSA-N 1 2 281.315 3.594 20 0 CHADLO Cc1[nH]c2cc(NC(=O)c3ccoc3C3CC3)ccc2[nH+]1 ZINC000337058520 527147304 /nfs/dbraw/zinc/14/73/04/527147304.db2.gz QQMPOKFXWCQVKS-UHFFFAOYSA-N 1 2 281.315 3.594 20 0 CHADLO CC(C)(CNc1cc[nH+]c(C2CC2)n1)c1cccs1 ZINC000114451151 527184020 /nfs/dbraw/zinc/18/40/20/527184020.db2.gz DNDPQBFAXJGLIL-UHFFFAOYSA-N 1 2 273.405 3.805 20 0 CHADLO Cc1[nH]c(C(=O)N[C@H](C)c2cccc(Cl)c2Cl)c[nH+]1 ZINC000339228613 527241474 /nfs/dbraw/zinc/24/14/74/527241474.db2.gz IWYAEMWRTCPLSV-SSDOTTSWSA-N 1 2 298.173 3.516 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@@H](C)c2nc3ccccc3s2)cn1 ZINC000338414570 527250888 /nfs/dbraw/zinc/25/08/88/527250888.db2.gz HAMNWORXDCCDGZ-NSHDSACASA-N 1 2 298.415 3.588 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@@H](C)c2nc3ccccc3s2)cn1 ZINC000338414570 527250892 /nfs/dbraw/zinc/25/08/92/527250892.db2.gz HAMNWORXDCCDGZ-NSHDSACASA-N 1 2 298.415 3.588 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)C1CCCCC1 ZINC000074829735 527313446 /nfs/dbraw/zinc/31/34/46/527313446.db2.gz VCOGFLPRIBHMHZ-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccc(F)c3ccccc32)cc[nH+]1 ZINC000340801198 527325152 /nfs/dbraw/zinc/32/51/52/527325152.db2.gz QREMBBRZXDYYBW-NSHDSACASA-N 1 2 281.334 3.672 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@H]3CCC=CO3)cc2)c1C ZINC000291202066 527459626 /nfs/dbraw/zinc/45/96/26/527459626.db2.gz HSEKTEUWSOCCSJ-QGZVFWFLSA-N 1 2 283.375 3.594 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@@H]3CCC=CO3)cc2)c1C ZINC000291202064 527460477 /nfs/dbraw/zinc/46/04/77/527460477.db2.gz HSEKTEUWSOCCSJ-KRWDZBQOSA-N 1 2 283.375 3.594 20 0 CHADLO Cc1ncsc1C[N@@H+](C)[C@H](C)c1ccc(F)cc1F ZINC000066565166 527500582 /nfs/dbraw/zinc/50/05/82/527500582.db2.gz NRYIHSCRIQLRRJ-SNVBAGLBSA-N 1 2 282.359 3.923 20 0 CHADLO Cc1ncsc1C[N@H+](C)[C@H](C)c1ccc(F)cc1F ZINC000066565166 527500588 /nfs/dbraw/zinc/50/05/88/527500588.db2.gz NRYIHSCRIQLRRJ-SNVBAGLBSA-N 1 2 282.359 3.923 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2ncc(Cl)s2)CC1 ZINC000352011010 527620953 /nfs/dbraw/zinc/62/09/53/527620953.db2.gz NCZIVXFNIKORJD-UHFFFAOYSA-N 1 2 270.829 3.975 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2ncc(Cl)s2)CC1 ZINC000352011010 527620960 /nfs/dbraw/zinc/62/09/60/527620960.db2.gz NCZIVXFNIKORJD-UHFFFAOYSA-N 1 2 270.829 3.975 20 0 CHADLO FC(F)(F)CC[NH2+][C@H](c1nccs1)c1ccccc1 ZINC000265839193 527665701 /nfs/dbraw/zinc/66/57/01/527665701.db2.gz NENXLXXZXWKICP-NSHDSACASA-N 1 2 286.322 3.775 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](Cc1cccs1)C1CC1 ZINC000049024090 527666295 /nfs/dbraw/zinc/66/62/95/527666295.db2.gz GZMGLDIVFYCDFE-UHFFFAOYSA-N 1 2 295.839 3.608 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](Cc1cccs1)C1CC1 ZINC000049024090 527666297 /nfs/dbraw/zinc/66/62/97/527666297.db2.gz GZMGLDIVFYCDFE-UHFFFAOYSA-N 1 2 295.839 3.608 20 0 CHADLO FC(F)(F)C[C@H]1CC[N@H+](Cc2cnc(Cl)s2)C1 ZINC000278875632 527674058 /nfs/dbraw/zinc/67/40/58/527674058.db2.gz SVLIOZBAUMDIBC-SSDOTTSWSA-N 1 2 284.734 3.571 20 0 CHADLO FC(F)(F)C[C@H]1CC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC000278875632 527674062 /nfs/dbraw/zinc/67/40/62/527674062.db2.gz SVLIOZBAUMDIBC-SSDOTTSWSA-N 1 2 284.734 3.571 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+](Cc2cc[nH]n2)C2CC2)cc1 ZINC000129872261 527681634 /nfs/dbraw/zinc/68/16/34/527681634.db2.gz SVLDYMDCAIEUQA-UHFFFAOYSA-N 1 2 295.308 3.593 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+](Cc2cc[nH]n2)C2CC2)cc1 ZINC000129872261 527681638 /nfs/dbraw/zinc/68/16/38/527681638.db2.gz SVLDYMDCAIEUQA-UHFFFAOYSA-N 1 2 295.308 3.593 20 0 CHADLO CC(C)(C)C[N@H+](Cc1noc(C2CC2)n1)Cc1ccccc1 ZINC000350749344 527683679 /nfs/dbraw/zinc/68/36/79/527683679.db2.gz SAUHOKFIAAQUSY-UHFFFAOYSA-N 1 2 299.418 3.995 20 0 CHADLO CC(C)(C)C[N@@H+](Cc1noc(C2CC2)n1)Cc1ccccc1 ZINC000350749344 527683680 /nfs/dbraw/zinc/68/36/80/527683680.db2.gz SAUHOKFIAAQUSY-UHFFFAOYSA-N 1 2 299.418 3.995 20 0 CHADLO CC(C)[C@H]1OCCC[C@H]1CNc1cc[nH+]c(C(C)(C)C)n1 ZINC000301593038 527909669 /nfs/dbraw/zinc/90/96/69/527909669.db2.gz RDLMTIJAXJWZKW-DZGCQCFKSA-N 1 2 291.439 3.637 20 0 CHADLO CCCC1(CNC(=O)Nc2ccc(C)[nH+]c2C)CCC1 ZINC000344272774 528054809 /nfs/dbraw/zinc/05/48/09/528054809.db2.gz HYMGUTYORWMTFK-UHFFFAOYSA-N 1 2 275.396 3.790 20 0 CHADLO CC(C)c1ccc(C(=O)N[C@H]2C[C@H](C)n3cc[nH+]c32)s1 ZINC000334750118 528079348 /nfs/dbraw/zinc/07/93/48/528079348.db2.gz QLVSRZMIXFOSAX-QWRGUYRKSA-N 1 2 289.404 3.504 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCOC[C@@H]2CC2CCC2)s1 ZINC000354733327 528350222 /nfs/dbraw/zinc/35/02/22/528350222.db2.gz PJKMIJYRAVEICG-AWEZNQCLSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCOC[C@@H]2CC2CCC2)s1 ZINC000354733327 528350228 /nfs/dbraw/zinc/35/02/28/528350228.db2.gz PJKMIJYRAVEICG-AWEZNQCLSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)c1nc(N2CCC[C@@H](Oc3ccccc3)C2)cc[nH+]1 ZINC000354651234 528365530 /nfs/dbraw/zinc/36/55/30/528365530.db2.gz MIBSXWMCQCBHSE-MRXNPFEDSA-N 1 2 297.402 3.648 20 0 CHADLO CC(C)C[C@H]([NH2+]Cc1ccn[nH]1)c1ccc(Cl)cc1 ZINC000344515020 528573285 /nfs/dbraw/zinc/57/32/85/528573285.db2.gz CQNYSNQAGLPUOM-HNNXBMFYSA-N 1 2 277.799 3.940 20 0 CHADLO CCOCCC1(CNc2[nH+]ccc3ccc(F)cc32)CC1 ZINC000354879222 528638552 /nfs/dbraw/zinc/63/85/52/528638552.db2.gz AUYPBRXBUCHNIC-UHFFFAOYSA-N 1 2 288.366 3.993 20 0 CHADLO CC(C)Cn1ncc(N[C@H](C)c2[nH+]ccn2C)c1C(C)C ZINC000347344444 528753871 /nfs/dbraw/zinc/75/38/71/528753871.db2.gz OZIYISMWAGBYCM-CYBMUJFWSA-N 1 2 289.427 3.569 20 0 CHADLO CC1(C)CC[C@@H](C[N@@H+]2Cc3cccc(Cl)c3C2)O1 ZINC000347469057 529020223 /nfs/dbraw/zinc/02/02/23/529020223.db2.gz UDGICPQFYCRPII-LBPRGKRZSA-N 1 2 265.784 3.613 20 0 CHADLO CC1(C)CC[C@@H](C[N@H+]2Cc3cccc(Cl)c3C2)O1 ZINC000347469057 529020227 /nfs/dbraw/zinc/02/02/27/529020227.db2.gz UDGICPQFYCRPII-LBPRGKRZSA-N 1 2 265.784 3.613 20 0 CHADLO CCOC[C@H]1CCC[C@H]1Nc1cc(CSCC)cc[nH+]1 ZINC000354561767 529022637 /nfs/dbraw/zinc/02/26/37/529022637.db2.gz FAHCJZZHJTVGDD-HUUCEWRRSA-N 1 2 294.464 3.952 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+]2CCC[C@@H]2c2ccco2)n1 ZINC000116294581 529062078 /nfs/dbraw/zinc/06/20/78/529062078.db2.gz YLCGQOMDNLUXAY-CHWSQXEVSA-N 1 2 289.379 3.903 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+]2CCC[C@@H]2c2ccco2)n1 ZINC000116294581 529062082 /nfs/dbraw/zinc/06/20/82/529062082.db2.gz YLCGQOMDNLUXAY-CHWSQXEVSA-N 1 2 289.379 3.903 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@H+](C)Cc1cscn1 ZINC000347548712 529184600 /nfs/dbraw/zinc/18/46/00/529184600.db2.gz ZQCMNEMRWKEOHF-CQSZACIVSA-N 1 2 276.405 3.735 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@@H+](C)Cc1cscn1 ZINC000347548712 529184603 /nfs/dbraw/zinc/18/46/03/529184603.db2.gz ZQCMNEMRWKEOHF-CQSZACIVSA-N 1 2 276.405 3.735 20 0 CHADLO CC[C@H](c1ccncc1)N(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC000348212050 529187261 /nfs/dbraw/zinc/18/72/61/529187261.db2.gz DBCFOFKDCMWESW-OAHLLOKOSA-N 1 2 282.391 3.645 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@H+](C)Cc1cc(C)on1 ZINC000347556532 529258784 /nfs/dbraw/zinc/25/87/84/529258784.db2.gz IZAUGWSGBYPMLY-HNNXBMFYSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@@H+](C)Cc1cc(C)on1 ZINC000347556532 529258785 /nfs/dbraw/zinc/25/87/85/529258785.db2.gz IZAUGWSGBYPMLY-HNNXBMFYSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@@H]1CC[C@@H](C)N1C(=O)CCn1c(C)[nH+]c2ccccc21 ZINC000355579646 529336723 /nfs/dbraw/zinc/33/67/23/529336723.db2.gz DBEQESBFNYHIKR-UKRRQHHQSA-N 1 2 299.418 3.524 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2cccc(C)c2C)o1 ZINC000341878874 535857157 /nfs/dbraw/zinc/85/71/57/535857157.db2.gz YDRXVWZRCBCJOP-QWHCGFSZSA-N 1 2 273.380 3.661 20 0 CHADLO Clc1cc(C[N@@H+]2CC[C@@H]3C[C@@H]32)ccc1Br ZINC000787272678 1131436471 /nfs/dbraw/zinc/43/64/71/1131436471.db2.gz VFKIVCAGTVXURY-SKDRFNHKSA-N 1 2 286.600 3.697 20 0 CHADLO Clc1cc(C[N@H+]2CC[C@@H]3C[C@@H]32)ccc1Br ZINC000787272678 1131436473 /nfs/dbraw/zinc/43/64/73/1131436473.db2.gz VFKIVCAGTVXURY-SKDRFNHKSA-N 1 2 286.600 3.697 20 0 CHADLO CCCCc1nc(C[N@H+]2CC=C(C)CC2)cs1 ZINC000339628236 1117941369 /nfs/dbraw/zinc/94/13/69/1117941369.db2.gz YKSNYJSGIPDWIL-UHFFFAOYSA-N 1 2 250.411 3.638 20 0 CHADLO CCCCc1nc(C[N@@H+]2CC=C(C)CC2)cs1 ZINC000339628236 1117941372 /nfs/dbraw/zinc/94/13/72/1117941372.db2.gz YKSNYJSGIPDWIL-UHFFFAOYSA-N 1 2 250.411 3.638 20 0 CHADLO Clc1ccc(C[NH2+][C@@H]2CCCc3scnc32)o1 ZINC000623928766 1117954766 /nfs/dbraw/zinc/95/47/66/1117954766.db2.gz BKKFGSCGTSCPRL-SECBINFHSA-N 1 2 268.769 3.557 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](CC1=NOCC1)C1CC1 ZINC001167325707 1118295725 /nfs/dbraw/zinc/29/57/25/1118295725.db2.gz DJZACHBKOIVSRV-LLVKDONJSA-N 1 2 278.783 3.642 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](CC1=NOCC1)C1CC1 ZINC001167325707 1118295733 /nfs/dbraw/zinc/29/57/33/1118295733.db2.gz DJZACHBKOIVSRV-LLVKDONJSA-N 1 2 278.783 3.642 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2cccc(C)n2)c(Cl)c1 ZINC000580700123 1118492611 /nfs/dbraw/zinc/49/26/11/1118492611.db2.gz HCXLLYQIQXFGMD-UHFFFAOYSA-N 1 2 278.758 3.781 20 0 CHADLO C[C@@H]1CCC[C@H](CCSCCn2cc[nH+]c2)C1 ZINC000341516091 1118717501 /nfs/dbraw/zinc/71/75/01/1118717501.db2.gz SYIBAKSSRVAZDN-ZIAGYGMSSA-N 1 2 252.427 3.833 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)Cc1cccs1)C(=O)OC(C)(C)C ZINC000610833398 1118899121 /nfs/dbraw/zinc/89/91/21/1118899121.db2.gz SCQXTQXOGFLLII-GXTWGEPZSA-N 1 2 297.464 3.779 20 0 CHADLO CC(C)[C@@H]1C[N@H+](Cc2nc(C(C)(C)C)co2)CCS1 ZINC000892197681 1119019917 /nfs/dbraw/zinc/01/99/17/1119019917.db2.gz VETNDOAATSQYNS-LBPRGKRZSA-N 1 2 282.453 3.546 20 0 CHADLO CC(C)[C@@H]1C[N@@H+](Cc2nc(C(C)(C)C)co2)CCS1 ZINC000892197681 1119019920 /nfs/dbraw/zinc/01/99/20/1119019920.db2.gz VETNDOAATSQYNS-LBPRGKRZSA-N 1 2 282.453 3.546 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc[nH]1)c1cc(Cl)ccc1Cl ZINC000112344565 1125486317 /nfs/dbraw/zinc/48/63/17/1125486317.db2.gz SUNAZXXQLSQRAA-MRVPVSSYSA-N 1 2 270.163 3.567 20 0 CHADLO CCc1noc(C[NH2+][C@H](CC)c2ccc(Cl)cc2)n1 ZINC000127747783 1119095462 /nfs/dbraw/zinc/09/54/62/1119095462.db2.gz HVMBVAYQISFTKO-GFCCVEGCSA-N 1 2 279.771 3.526 20 0 CHADLO Cn1cccc1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000093686319 1119571869 /nfs/dbraw/zinc/57/18/69/1119571869.db2.gz BMXOAICQNSSIEP-HNNXBMFYSA-N 1 2 260.768 3.626 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1cccc(OC)c1 ZINC000672622877 1120337081 /nfs/dbraw/zinc/33/70/81/1120337081.db2.gz WNXAZBSXRQCCJZ-ZIAGYGMSSA-N 1 2 269.335 3.924 20 0 CHADLO Oc1cccc2c1CCC[C@@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000643317255 1121143270 /nfs/dbraw/zinc/14/32/70/1121143270.db2.gz ZSWSCVMOQXIDPN-GXTWGEPZSA-N 1 2 267.319 3.547 20 0 CHADLO COc1cc[nH+]cc1Nc1ncc(Cl)cc1Cl ZINC001176116856 1121556544 /nfs/dbraw/zinc/55/65/44/1121556544.db2.gz NLESORONRHMPKF-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO CCOc1c(Cl)cccc1C[NH2+][C@H](C)CN(CC)CC ZINC000487300049 1121617086 /nfs/dbraw/zinc/61/70/86/1121617086.db2.gz VDSQIHFFZZYZTF-CYBMUJFWSA-N 1 2 298.858 3.559 20 0 CHADLO Brc1ccc2c(c1)[C@H](Oc1cc[nH+]cc1)CC2 ZINC001225291287 1121722539 /nfs/dbraw/zinc/72/25/39/1121722539.db2.gz RKDJHUMHPADWMP-CQSZACIVSA-N 1 2 290.160 3.910 20 0 CHADLO CSc1ccc(C[NH2+]Cc2cc(O)ccc2Cl)o1 ZINC001179458398 1121881894 /nfs/dbraw/zinc/88/18/94/1121881894.db2.gz SIRAPIBLCMGUBY-UHFFFAOYSA-N 1 2 283.780 3.650 20 0 CHADLO CC[C@H](C)C[C@H]([NH2+][C@H](C)CCc1ccsc1)C(=O)OC ZINC001182575313 1122175745 /nfs/dbraw/zinc/17/57/45/1122175745.db2.gz YXRYMUSXERFMII-GUTXKFCHSA-N 1 2 297.464 3.637 20 0 CHADLO CCC[C@H](CC)[NH2+][C@H](Cc1ccc(Cl)cc1)C(=O)OC ZINC000438295482 1122689935 /nfs/dbraw/zinc/68/99/35/1122689935.db2.gz VIXDFTUFIZNCOE-LSDHHAIUSA-N 1 2 297.826 3.592 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2ccn[nH]2)C(C)(C)C)c1 ZINC000294926157 1122737663 /nfs/dbraw/zinc/73/76/63/1122737663.db2.gz HBBKXRGVJQPTRA-OAHLLOKOSA-N 1 2 257.381 3.595 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cnc(CC(F)(F)F)s2)C1 ZINC000894658382 1122884989 /nfs/dbraw/zinc/88/49/89/1122884989.db2.gz GAOQXWWBNWFVTD-NSHDSACASA-N 1 2 296.333 3.572 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cnc(CC(F)(F)F)s2)C1 ZINC000894658382 1122884993 /nfs/dbraw/zinc/88/49/93/1122884993.db2.gz GAOQXWWBNWFVTD-NSHDSACASA-N 1 2 296.333 3.572 20 0 CHADLO Cc1ccc(O)c(NC2=CC[C@@H](C(F)(F)F)CC2)[nH+]1 ZINC001196766792 1122931987 /nfs/dbraw/zinc/93/19/87/1122931987.db2.gz GZJNTACHWGRVNW-SECBINFHSA-N 1 2 272.270 3.754 20 0 CHADLO Cc1ncnc(C)c1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201489871 1123108309 /nfs/dbraw/zinc/10/83/09/1123108309.db2.gz WBRFQMVKGHWEHV-UHFFFAOYSA-N 1 2 256.353 3.657 20 0 CHADLO CCC[N@@H+]1CC[C@H](Cc2ccc(F)cc2)C(F)(F)C1 ZINC001201652962 1123137104 /nfs/dbraw/zinc/13/71/04/1123137104.db2.gz ZHOOFGWDDLSNHF-CYBMUJFWSA-N 1 2 271.326 3.735 20 0 CHADLO CCC[N@H+]1CC[C@H](Cc2ccc(F)cc2)C(F)(F)C1 ZINC001201652962 1123137105 /nfs/dbraw/zinc/13/71/05/1123137105.db2.gz ZHOOFGWDDLSNHF-CYBMUJFWSA-N 1 2 271.326 3.735 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@@H+]1C[C@H](CO)CC(F)(F)C1 ZINC001201975463 1123158656 /nfs/dbraw/zinc/15/86/56/1123158656.db2.gz HRLADGCOQFDKBF-LSDHHAIUSA-N 1 2 289.410 3.709 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@H+]1C[C@H](CO)CC(F)(F)C1 ZINC001201975463 1123158658 /nfs/dbraw/zinc/15/86/58/1123158658.db2.gz HRLADGCOQFDKBF-LSDHHAIUSA-N 1 2 289.410 3.709 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CC[C@H](C)c2ccsc21 ZINC000334543087 1123249877 /nfs/dbraw/zinc/24/98/77/1123249877.db2.gz CUUUNXYNBPDVPX-ZANVPECISA-N 1 2 261.394 3.508 20 0 CHADLO FC1(c2ccccn2)CC[NH+](CC=C(Cl)Cl)CC1 ZINC000852021875 1123393332 /nfs/dbraw/zinc/39/33/32/1123393332.db2.gz FPTCNFCPZOTQPP-UHFFFAOYSA-N 1 2 289.181 3.661 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](C)c2csnn2)c(C)c1 ZINC000398471425 1123406912 /nfs/dbraw/zinc/40/69/12/1123406912.db2.gz NXDRPYDDZVNACW-VXGBXAGGSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1occc1C[NH2+]Cc1c(Cl)cncc1Cl ZINC000865426241 1123982701 /nfs/dbraw/zinc/98/27/01/1123982701.db2.gz IDAIRPOSENPCQG-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1cnc(C(F)(F)F)s1 ZINC000447796882 1124524834 /nfs/dbraw/zinc/52/48/34/1124524834.db2.gz YWMBHCNHQNWQCO-UHFFFAOYSA-N 1 2 292.351 3.855 20 0 CHADLO COc1ccc(Cl)c2c1CCC[C@@H]2[N@H+](C)CCF ZINC000844444177 1131566148 /nfs/dbraw/zinc/56/61/48/1131566148.db2.gz RUGZQWBYHFFOQD-LBPRGKRZSA-N 1 2 271.763 3.627 20 0 CHADLO COc1ccc(Cl)c2c1CCC[C@@H]2[N@@H+](C)CCF ZINC000844444177 1131566152 /nfs/dbraw/zinc/56/61/52/1131566152.db2.gz RUGZQWBYHFFOQD-LBPRGKRZSA-N 1 2 271.763 3.627 20 0 CHADLO C[N@@H+](Cc1c(F)cc(Cl)cc1F)C[C@@H]1CCCCO1 ZINC001139050605 1131575766 /nfs/dbraw/zinc/57/57/66/1131575766.db2.gz ASKVQCGHVPCNMA-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO C[N@H+](Cc1c(F)cc(Cl)cc1F)C[C@@H]1CCCCO1 ZINC001139050605 1131575769 /nfs/dbraw/zinc/57/57/69/1131575769.db2.gz ASKVQCGHVPCNMA-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCOc1ccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)cc1Cl ZINC001139592767 1131619988 /nfs/dbraw/zinc/61/99/88/1131619988.db2.gz GXUUAZCEVKNHCP-BXUZGUMPSA-N 1 2 285.790 3.919 20 0 CHADLO CCOc1ccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)cc1Cl ZINC001139592767 1131619989 /nfs/dbraw/zinc/61/99/89/1131619989.db2.gz GXUUAZCEVKNHCP-BXUZGUMPSA-N 1 2 285.790 3.919 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1cc(F)c(O)cc1F ZINC001144659596 1131678860 /nfs/dbraw/zinc/67/88/60/1131678860.db2.gz MLYMQBMCMZMEHQ-UHFFFAOYSA-N 1 2 283.343 3.754 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1cc(F)c(O)cc1F ZINC001144659596 1131678861 /nfs/dbraw/zinc/67/88/61/1131678861.db2.gz MLYMQBMCMZMEHQ-UHFFFAOYSA-N 1 2 283.343 3.754 20 0 CHADLO CC(C)(C)[NH+]1CC(Oc2ccc(C(F)(F)F)cc2F)C1 ZINC001229107235 1132018933 /nfs/dbraw/zinc/01/89/33/1132018933.db2.gz ITIGETBUGKLISM-UHFFFAOYSA-N 1 2 291.288 3.706 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)cc1Cl ZINC001231421206 1132291909 /nfs/dbraw/zinc/29/19/09/1132291909.db2.gz LNACIDYEJOWDLN-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)cc1Cl ZINC001231421206 1132291913 /nfs/dbraw/zinc/29/19/13/1132291913.db2.gz LNACIDYEJOWDLN-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1csc2sc(C[NH+]3CCC(=O)CC3)c(C)c12 ZINC001231829372 1132377482 /nfs/dbraw/zinc/37/74/82/1132377482.db2.gz XLXPSLAHMAXCOV-UHFFFAOYSA-N 1 2 279.430 3.745 20 0 CHADLO CCCO[C@H]1CC[N@@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232100931 1132423042 /nfs/dbraw/zinc/42/30/42/1132423042.db2.gz BYSAUOCQLHBTOM-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+]2CC[C@H](C(F)(F)F)C2)o1 ZINC001232444125 1132470756 /nfs/dbraw/zinc/47/07/56/1132470756.db2.gz OXKWPCFPDKGJQN-ZETCQYMHSA-N 1 2 287.203 3.683 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+]2CC[C@H](C(F)(F)F)C2)o1 ZINC001232444125 1132470762 /nfs/dbraw/zinc/47/07/62/1132470762.db2.gz OXKWPCFPDKGJQN-ZETCQYMHSA-N 1 2 287.203 3.683 20 0 CHADLO FC(F)(F)c1ccc(C[NH+]2CC3(CCC3)C2)c(Cl)n1 ZINC001232791784 1132520072 /nfs/dbraw/zinc/52/00/72/1132520072.db2.gz XMILMZLXCWHHFX-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccccc2Cl)C[C@H]1F ZINC001203377099 1133320010 /nfs/dbraw/zinc/32/00/10/1133320010.db2.gz BTLIHLAYQAUHSM-OLZOCXBDSA-N 1 2 259.727 3.612 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccccc2Cl)C[C@H]1F ZINC001203377099 1133320013 /nfs/dbraw/zinc/32/00/13/1133320013.db2.gz BTLIHLAYQAUHSM-OLZOCXBDSA-N 1 2 259.727 3.612 20 0 CHADLO Fc1ccc(C[N@H+]2CCCC[C@@H](F)C2)c(Cl)c1 ZINC001204435307 1133447855 /nfs/dbraw/zinc/44/78/55/1133447855.db2.gz XOUUCRPPNGRQBD-GFCCVEGCSA-N 1 2 259.727 3.803 20 0 CHADLO Fc1ccc(C[N@@H+]2CCCC[C@@H](F)C2)c(Cl)c1 ZINC001204435307 1133447860 /nfs/dbraw/zinc/44/78/60/1133447860.db2.gz XOUUCRPPNGRQBD-GFCCVEGCSA-N 1 2 259.727 3.803 20 0 CHADLO CCCC[C@H]([NH2+][C@@H](C)Cc1ccc(Cl)cc1)C(=O)OC ZINC001205292318 1133555344 /nfs/dbraw/zinc/55/53/44/1133555344.db2.gz ZXHRGDNJQRBWDY-WFASDCNBSA-N 1 2 297.826 3.592 20 0 CHADLO CCc1cccc(Nc2cc(F)c(O)c(Cl)c2)[nH+]1 ZINC001214484655 1134544331 /nfs/dbraw/zinc/54/43/31/1134544331.db2.gz OVBNHOYROCCGCP-UHFFFAOYSA-N 1 2 266.703 3.886 20 0 CHADLO Cc1cc(C[N@H+]2CCS[C@H](C)[C@@H]2c2ccccc2)no1 ZINC000118422809 1125522222 /nfs/dbraw/zinc/52/22/22/1125522222.db2.gz NJMDGXUKYWUXDN-CZUORRHYSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cc(C[N@@H+]2CCS[C@H](C)[C@@H]2c2ccccc2)no1 ZINC000118422809 1125522229 /nfs/dbraw/zinc/52/22/29/1125522229.db2.gz NJMDGXUKYWUXDN-CZUORRHYSA-N 1 2 288.416 3.662 20 0 CHADLO CC[NH+](CC)[C@@H](CN[C@@H](C)c1ccco1)c1ccco1 ZINC000119101005 1125529799 /nfs/dbraw/zinc/52/97/99/1125529799.db2.gz FYXPIYSJBZMJQH-KBPBESRZSA-N 1 2 276.380 3.606 20 0 CHADLO FCCC[N@@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000137102161 1125534030 /nfs/dbraw/zinc/53/40/30/1125534030.db2.gz RPBSAPAAOIFRHM-UHFFFAOYSA-N 1 2 266.747 3.539 20 0 CHADLO FCCC[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000137102161 1125534035 /nfs/dbraw/zinc/53/40/35/1125534035.db2.gz RPBSAPAAOIFRHM-UHFFFAOYSA-N 1 2 266.747 3.539 20 0 CHADLO C[C@@H]([NH2+]C(C)(C)c1cccs1)c1nc(C2CC2)no1 ZINC000348318084 1125541538 /nfs/dbraw/zinc/54/15/38/1125541538.db2.gz JPEHJJICBQQQPQ-SECBINFHSA-N 1 2 277.393 3.594 20 0 CHADLO C[C@H]([NH2+]C(C)(C)c1cccs1)c1nc(C2CC2)no1 ZINC000348318086 1125541779 /nfs/dbraw/zinc/54/17/79/1125541779.db2.gz JPEHJJICBQQQPQ-VIFPVBQESA-N 1 2 277.393 3.594 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1cscn1 ZINC000120237571 1125542615 /nfs/dbraw/zinc/54/26/15/1125542615.db2.gz LJIXMNJMJVEERJ-MDWZMJQESA-N 1 2 258.390 3.678 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1cscn1 ZINC000120237571 1125542617 /nfs/dbraw/zinc/54/26/17/1125542617.db2.gz LJIXMNJMJVEERJ-MDWZMJQESA-N 1 2 258.390 3.678 20 0 CHADLO CCC(CC)n1ncc(C(=O)Nc2cc[nH+]c(C)c2)c1C ZINC000120757165 1125550656 /nfs/dbraw/zinc/55/06/56/1125550656.db2.gz WKCMHUQMAKNXBB-UHFFFAOYSA-N 1 2 286.379 3.508 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc3ncccc3c2)c(C)[nH+]1 ZINC000195226810 1125560126 /nfs/dbraw/zinc/56/01/26/1125560126.db2.gz KIFQSLAVTABZDK-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO CCCN(CCc1ccccn1)c1cc(C)[nH+]c(C(C)C)n1 ZINC000348335780 1125592912 /nfs/dbraw/zinc/59/29/12/1125592912.db2.gz CWLOWORTCPHCBG-UHFFFAOYSA-N 1 2 298.434 3.763 20 0 CHADLO Cc1ccc(Nc2cccc(C3CCC3)[nH+]2)c(N)c1 ZINC001214184369 1125621067 /nfs/dbraw/zinc/62/10/67/1125621067.db2.gz ALOMLYOJMUYTLE-UHFFFAOYSA-N 1 2 253.349 3.983 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)CC(=O)Nc1ccc(Cl)cc1 ZINC000069069285 1125629735 /nfs/dbraw/zinc/62/97/35/1125629735.db2.gz YMUILJPUXWHLKV-LLVKDONJSA-N 1 2 292.766 3.565 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)CC(=O)Nc1ccc(Cl)cc1 ZINC000069069285 1125629737 /nfs/dbraw/zinc/62/97/37/1125629737.db2.gz YMUILJPUXWHLKV-LLVKDONJSA-N 1 2 292.766 3.565 20 0 CHADLO C[N@H+](Cc1nccn1Cc1ccccc1)Cc1ccccc1 ZINC000075608084 1125651958 /nfs/dbraw/zinc/65/19/58/1125651958.db2.gz FHJQFXWELXCHCI-UHFFFAOYSA-N 1 2 291.398 3.563 20 0 CHADLO C[N@@H+](Cc1nccn1Cc1ccccc1)Cc1ccccc1 ZINC000075608084 1125651965 /nfs/dbraw/zinc/65/19/65/1125651965.db2.gz FHJQFXWELXCHCI-UHFFFAOYSA-N 1 2 291.398 3.563 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(O)c(F)c2F)cc1 ZINC001212016352 1125653570 /nfs/dbraw/zinc/65/35/70/1125653570.db2.gz LXZWTLSRYXBTLJ-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(O)c(F)c2F)cc1 ZINC001212016352 1125653574 /nfs/dbraw/zinc/65/35/74/1125653574.db2.gz LXZWTLSRYXBTLJ-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CC[C@@H]2C2CC2)c1 ZINC000348379683 1125670252 /nfs/dbraw/zinc/67/02/52/1125670252.db2.gz OWBVPWTYFQDBJQ-KGLIPLIRSA-N 1 2 262.422 3.935 20 0 CHADLO Cc1cc(C)c2cc(NCc3cnn4c3CCC4)ccc2[nH+]1 ZINC000886370210 1125674407 /nfs/dbraw/zinc/67/44/07/1125674407.db2.gz YXVWILLQXIVNCD-UHFFFAOYSA-N 1 2 292.386 3.606 20 0 CHADLO C[C@@H]([NH2+]Cc1ccco1)c1nc(-c2ccncc2)cs1 ZINC000076895515 1125686814 /nfs/dbraw/zinc/68/68/14/1125686814.db2.gz KYOADAWBZMJJHM-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO c1cnc2c(c1)CC[C@H]2Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000886566556 1125691710 /nfs/dbraw/zinc/69/17/10/1125691710.db2.gz SZOLHYJUWSJKEA-MRXNPFEDSA-N 1 2 294.402 3.566 20 0 CHADLO O=C(/C=C/c1[nH]cc[nH+]1)c1ccc(N2CCCCCC2)cc1 ZINC000067647855 1125694109 /nfs/dbraw/zinc/69/41/09/1125694109.db2.gz ZCTOWNTWWLLQAH-MDZDMXLPSA-N 1 2 295.386 3.686 20 0 CHADLO Fc1cc(F)c(C[NH+]2CC(Cc3cccs3)C2)cc1F ZINC000628478522 1125704480 /nfs/dbraw/zinc/70/44/80/1125704480.db2.gz GKWONSJXOCKCPB-UHFFFAOYSA-N 1 2 297.345 3.840 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C2CC2)no1)c1ccc2ccccc2n1 ZINC000886684598 1125707424 /nfs/dbraw/zinc/70/74/24/1125707424.db2.gz OJHQAKQBHGXTRE-GFCCVEGCSA-N 1 2 293.370 3.951 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH2+][C@@H]2CCc3c2cccc3F)n1 ZINC000886925410 1125725969 /nfs/dbraw/zinc/72/59/69/1125725969.db2.gz WETGIYLGXFZVSH-QMTHXVAHSA-N 1 2 289.354 3.670 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2cnccc2Cl)CC1 ZINC001137230898 1125726009 /nfs/dbraw/zinc/72/60/09/1125726009.db2.gz ATJONHUGLMFZCH-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cnccc1Cl)CC2 ZINC001137231095 1125726054 /nfs/dbraw/zinc/72/60/54/1125726054.db2.gz GBBFYURUVMCDRY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cnccc1Cl)CC2 ZINC001137231095 1125726061 /nfs/dbraw/zinc/72/60/61/1125726061.db2.gz GBBFYURUVMCDRY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3OC3(CCC3)C2)oc1C ZINC000887150864 1125755502 /nfs/dbraw/zinc/75/55/02/1125755502.db2.gz AOXMKLRIGZWSPX-UHFFFAOYSA-N 1 2 298.386 3.609 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3OC3(CCC3)C2)oc1C ZINC000887150864 1125755510 /nfs/dbraw/zinc/75/55/10/1125755510.db2.gz AOXMKLRIGZWSPX-UHFFFAOYSA-N 1 2 298.386 3.609 20 0 CHADLO CC[N@H+](Cc1ccc(F)cc1)Cc1cnccc1Cl ZINC001137238108 1125755753 /nfs/dbraw/zinc/75/57/53/1125755753.db2.gz NUJZKHUJYDYWEK-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cc1)Cc1cnccc1Cl ZINC001137238108 1125755760 /nfs/dbraw/zinc/75/57/60/1125755760.db2.gz NUJZKHUJYDYWEK-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1cc(F)ccc1F ZINC000091988758 1125756655 /nfs/dbraw/zinc/75/66/55/1125756655.db2.gz RCSKUVARZQKHLA-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1cc(F)ccc1F ZINC000091988758 1125756664 /nfs/dbraw/zinc/75/66/64/1125756664.db2.gz RCSKUVARZQKHLA-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1cc(F)ccc1F ZINC000093233835 1125766400 /nfs/dbraw/zinc/76/64/00/1125766400.db2.gz LMKJMJRVOSORTQ-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1cc(F)ccc1F ZINC000093233835 1125766408 /nfs/dbraw/zinc/76/64/08/1125766408.db2.gz LMKJMJRVOSORTQ-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2cccc3[nH]ccc32)o1 ZINC000093460120 1125768611 /nfs/dbraw/zinc/76/86/11/1125768611.db2.gz POJVEOFPXJOJLF-QGZVFWFLSA-N 1 2 296.370 3.643 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2cccc3[nH]ccc32)o1 ZINC000093460120 1125768616 /nfs/dbraw/zinc/76/86/16/1125768616.db2.gz POJVEOFPXJOJLF-QGZVFWFLSA-N 1 2 296.370 3.643 20 0 CHADLO CCn1cncc1C[N@@H+]1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000841844016 1125771911 /nfs/dbraw/zinc/77/19/11/1125771911.db2.gz WIGNUDBSUFNMCE-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cncc1C[N@H+]1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000841844016 1125771918 /nfs/dbraw/zinc/77/19/18/1125771918.db2.gz WIGNUDBSUFNMCE-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+]1CCCC[C@@H](F)C1 ZINC001238694668 1131232514 /nfs/dbraw/zinc/23/25/14/1131232514.db2.gz JRVZLEQXUZRJGW-OAHLLOKOSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+]1CCCC[C@@H](F)C1 ZINC001238694668 1131232515 /nfs/dbraw/zinc/23/25/15/1131232515.db2.gz JRVZLEQXUZRJGW-OAHLLOKOSA-N 1 2 283.362 3.937 20 0 CHADLO COc1cc(C[NH2+]CC(F)(F)C(C)(C)C)ccc1F ZINC001118629849 1131233286 /nfs/dbraw/zinc/23/32/86/1131233286.db2.gz WZJXYPUMYHKMSC-UHFFFAOYSA-N 1 2 275.314 3.605 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2cc(C3CC3)no2)o1 ZINC000638385606 1129256810 /nfs/dbraw/zinc/25/68/10/1129256810.db2.gz LXGNHSBHIREXKW-IINYFYTJSA-N 1 2 272.348 3.558 20 0 CHADLO Cc1ncsc1C[N@H+]1CC(C)(C)[C@@H]1c1ccco1 ZINC000639929861 1129340281 /nfs/dbraw/zinc/34/02/81/1129340281.db2.gz RAYXWDRMICAAOQ-ZDUSSCGKSA-N 1 2 262.378 3.628 20 0 CHADLO CC[N@H+](Cc1cn(C)nc1Cl)Cc1ccc(Cl)cc1 ZINC001118754838 1131236028 /nfs/dbraw/zinc/23/60/28/1131236028.db2.gz QADRJYKUEQMROM-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1cn(C)nc1Cl)Cc1ccc(Cl)cc1 ZINC001118754838 1131236032 /nfs/dbraw/zinc/23/60/32/1131236032.db2.gz QADRJYKUEQMROM-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CCCCC(=O)[C@H](C)Nc1ccc([NH+](C)C)c(C)c1 ZINC001169551067 1129736517 /nfs/dbraw/zinc/73/65/17/1129736517.db2.gz OOCHYILZUDDPIO-ZDUSSCGKSA-N 1 2 262.397 3.621 20 0 CHADLO CC(C)n1c[nH+]cc1/C=C\c1nc(Br)cs1 ZINC000901512176 1129932433 /nfs/dbraw/zinc/93/24/33/1129932433.db2.gz DQOMSAWHGBYDLS-ARJAWSKDSA-N 1 2 298.209 3.853 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc2c(s1)CCC2)c1nc(C)cs1 ZINC000093067334 1126718721 /nfs/dbraw/zinc/71/87/21/1126718721.db2.gz LBDFLCDAVLJILV-SNVBAGLBSA-N 1 2 293.461 3.638 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCc3c2c(F)ccc3F)cs1 ZINC000338186401 1126722427 /nfs/dbraw/zinc/72/24/27/1126722427.db2.gz VJBLOEOZJIBWBY-CYBMUJFWSA-N 1 2 294.370 3.761 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+](C)Cc1nccs1 ZINC000136204832 1126724552 /nfs/dbraw/zinc/72/45/52/1126724552.db2.gz UAWNKQGZRIYNPY-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+](C)Cc1nccs1 ZINC000136204832 1126724554 /nfs/dbraw/zinc/72/45/54/1126724554.db2.gz UAWNKQGZRIYNPY-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@H+](C)Cc2ncc[nH]2)cc1 ZINC000600629966 1126728552 /nfs/dbraw/zinc/72/85/52/1126728552.db2.gz VIONCEABRNIYLO-ZDUSSCGKSA-N 1 2 257.381 3.726 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@@H+](C)Cc2ncc[nH]2)cc1 ZINC000600629966 1126728557 /nfs/dbraw/zinc/72/85/57/1126728557.db2.gz VIONCEABRNIYLO-ZDUSSCGKSA-N 1 2 257.381 3.726 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)c(C)c2)s1 ZINC000338274003 1126729613 /nfs/dbraw/zinc/72/96/13/1126729613.db2.gz IKADNSKQYZJJDF-NXEZZACHSA-N 1 2 279.384 3.706 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCCC[C@@H]2c2nnc(C)[nH]2)cc1 ZINC000338331803 1126735234 /nfs/dbraw/zinc/73/52/34/1126735234.db2.gz YATPMJSTCGODQM-QGZVFWFLSA-N 1 2 298.434 3.793 20 0 CHADLO CCCc1ccc(C[N@H+]2CCCC[C@@H]2c2nnc(C)[nH]2)cc1 ZINC000338331803 1126735238 /nfs/dbraw/zinc/73/52/38/1126735238.db2.gz YATPMJSTCGODQM-QGZVFWFLSA-N 1 2 298.434 3.793 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccncc1F ZINC000338358436 1126737725 /nfs/dbraw/zinc/73/77/25/1126737725.db2.gz NXBRPVPYACBJLP-SNVBAGLBSA-N 1 2 293.411 3.826 20 0 CHADLO CCc1noc(C)c1CSCc1ccc(C)[nH+]c1C ZINC000358663779 1126740696 /nfs/dbraw/zinc/74/06/96/1126740696.db2.gz RGPOBPLMZVIRQK-UHFFFAOYSA-N 1 2 276.405 3.991 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2ccc(CC(C)C)cc2)C2CC2)o1 ZINC000152590191 1126757533 /nfs/dbraw/zinc/75/75/33/1126757533.db2.gz CAQFTSLUVFLNIR-GOSISDBHSA-N 1 2 299.418 3.817 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2ccc(F)c(F)c2)o1 ZINC000174480582 1126770448 /nfs/dbraw/zinc/77/04/48/1126770448.db2.gz RNRTXSSGGGJJKH-HNNXBMFYSA-N 1 2 295.329 3.598 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cc2ccc(C)cc2[nH]1 ZINC000668195701 1126765366 /nfs/dbraw/zinc/76/53/66/1126765366.db2.gz SHQNIWXHJOESPC-UHFFFAOYSA-N 1 2 283.375 3.659 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cc2ccc(C)cc2[nH]1 ZINC000668195701 1126765369 /nfs/dbraw/zinc/76/53/69/1126765369.db2.gz SHQNIWXHJOESPC-UHFFFAOYSA-N 1 2 283.375 3.659 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2F)[N@H+](Cc2cscn2)C1 ZINC000174043542 1126765652 /nfs/dbraw/zinc/76/56/52/1126765652.db2.gz IOPNMICKOOQINU-XHDPSFHLSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2F)[N@@H+](Cc2cscn2)C1 ZINC000174043542 1126765655 /nfs/dbraw/zinc/76/56/55/1126765655.db2.gz IOPNMICKOOQINU-XHDPSFHLSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@H+](Cc2coc(-c3cccs3)n2)CCS1 ZINC000177519513 1126801549 /nfs/dbraw/zinc/80/15/49/1126801549.db2.gz RRIZAZKEAUZANG-UHFFFAOYSA-N 1 2 294.445 3.731 20 0 CHADLO CC1(C)C[N@@H+](Cc2coc(-c3cccs3)n2)CCS1 ZINC000177519513 1126801553 /nfs/dbraw/zinc/80/15/53/1126801553.db2.gz RRIZAZKEAUZANG-UHFFFAOYSA-N 1 2 294.445 3.731 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](c1cccs1)C1CC1 ZINC000679330668 1130516243 /nfs/dbraw/zinc/51/62/43/1130516243.db2.gz PPQANIZHVCWIPH-LKFCYVNXSA-N 1 2 261.394 3.582 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H](C)[C@@H]2c2ccccc2)cs1 ZINC000177829736 1126805916 /nfs/dbraw/zinc/80/59/16/1126805916.db2.gz YVEANJJAMDFCHP-IAQYHMDHSA-N 1 2 258.390 3.645 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H](C)[C@@H]2c2ccccc2)cs1 ZINC000177829736 1126805917 /nfs/dbraw/zinc/80/59/17/1126805917.db2.gz YVEANJJAMDFCHP-IAQYHMDHSA-N 1 2 258.390 3.645 20 0 CHADLO COc1ccccc1[C@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000484257645 1126812296 /nfs/dbraw/zinc/81/22/96/1126812296.db2.gz UGDIBOXKGSLWMT-ZDUSSCGKSA-N 1 2 285.391 3.512 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(Cl)n1C)c1ccc(F)cc1F ZINC000178448863 1126813600 /nfs/dbraw/zinc/81/36/00/1126813600.db2.gz ZJUABVNSWBZQRZ-GFCCVEGCSA-N 1 2 299.752 3.593 20 0 CHADLO CC1(C)CCC[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000178657415 1126818346 /nfs/dbraw/zinc/81/83/46/1126818346.db2.gz GTQOJYVKQRHCEH-UHFFFAOYSA-N 1 2 264.316 3.536 20 0 CHADLO CC1(C)CCC[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000178657415 1126818349 /nfs/dbraw/zinc/81/83/49/1126818349.db2.gz GTQOJYVKQRHCEH-UHFFFAOYSA-N 1 2 264.316 3.536 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cc(F)c(F)c(F)c2)s1 ZINC000179382594 1126827574 /nfs/dbraw/zinc/82/75/74/1126827574.db2.gz NESNPYDLPOCRAX-UHFFFAOYSA-N 1 2 286.322 3.501 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cc(F)c(F)c(F)c2)s1 ZINC000179382594 1126827578 /nfs/dbraw/zinc/82/75/78/1126827578.db2.gz NESNPYDLPOCRAX-UHFFFAOYSA-N 1 2 286.322 3.501 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+](Cc2cnc[nH]2)C2CC2)cc1 ZINC000180024074 1126838218 /nfs/dbraw/zinc/83/82/18/1126838218.db2.gz PDXGQVHGMNORBB-UHFFFAOYSA-N 1 2 295.308 3.593 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+](Cc2cnc[nH]2)C2CC2)cc1 ZINC000180024074 1126838220 /nfs/dbraw/zinc/83/82/20/1126838220.db2.gz PDXGQVHGMNORBB-UHFFFAOYSA-N 1 2 295.308 3.593 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+](Cc2c[nH]cn2)C2CC2)cc1 ZINC000180024074 1126838222 /nfs/dbraw/zinc/83/82/22/1126838222.db2.gz PDXGQVHGMNORBB-UHFFFAOYSA-N 1 2 295.308 3.593 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+](Cc2c[nH]cn2)C2CC2)cc1 ZINC000180024074 1126838223 /nfs/dbraw/zinc/83/82/23/1126838223.db2.gz PDXGQVHGMNORBB-UHFFFAOYSA-N 1 2 295.308 3.593 20 0 CHADLO CCc1ccc(C(C)(C)[NH2+]Cc2nc(C(C)C)no2)cc1 ZINC000180097490 1126839565 /nfs/dbraw/zinc/83/95/65/1126839565.db2.gz IWFZURWZULGBRP-UHFFFAOYSA-N 1 2 287.407 3.780 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc(C2[C@@H]3CCCCCC[C@@H]23)n1 ZINC000904265391 1126840428 /nfs/dbraw/zinc/84/04/28/1126840428.db2.gz JDOREZYOXBZSPS-VXGBXAGGSA-N 1 2 298.390 3.706 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2sc(C)nc2C)s1 ZINC000180484909 1126844392 /nfs/dbraw/zinc/84/43/92/1126844392.db2.gz YGSYNRDDRJUKNP-JTQLQIEISA-N 1 2 281.450 3.718 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2sc(C)nc2C)s1 ZINC000180484909 1126844395 /nfs/dbraw/zinc/84/43/95/1126844395.db2.gz YGSYNRDDRJUKNP-JTQLQIEISA-N 1 2 281.450 3.718 20 0 CHADLO COc1ccccc1[C@H](CC(C)C)[NH2+]Cc1cc(C)on1 ZINC000181713964 1126859618 /nfs/dbraw/zinc/85/96/18/1126859618.db2.gz DDYAUDOMCKILLO-INIZCTEOSA-N 1 2 288.391 3.869 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ccon1)c1ccc(Cl)cc1F ZINC000182107547 1126865351 /nfs/dbraw/zinc/86/53/51/1126865351.db2.gz GLZIDVHFHASHEE-AWEZNQCLSA-N 1 2 282.746 3.954 20 0 CHADLO CC(C)CCOc1ccc([C@@H](C)[NH2+]Cc2cocn2)cc1 ZINC000182064437 1126865446 /nfs/dbraw/zinc/86/54/46/1126865446.db2.gz BPCYHGINXRIOLC-CQSZACIVSA-N 1 2 288.391 3.950 20 0 CHADLO CC(C)(C)c1ncc(-c2cccc(Cn3cc[nH+]c3)c2)o1 ZINC000904754178 1126868294 /nfs/dbraw/zinc/86/82/94/1126868294.db2.gz VGHQDLVIVGUPJM-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCC[C@@H]1c1ccncc1 ZINC000182664791 1126875992 /nfs/dbraw/zinc/87/59/92/1126875992.db2.gz VRSKKRYXDFTOMC-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCC[C@@H]1c1ccncc1 ZINC000182664791 1126875995 /nfs/dbraw/zinc/87/59/95/1126875995.db2.gz VRSKKRYXDFTOMC-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO C[C@H](Nc1c[nH+]cc2c1CCCC2)c1ccccn1 ZINC000668439979 1126890780 /nfs/dbraw/zinc/89/07/80/1126890780.db2.gz HOYCFDBJPSONJD-LBPRGKRZSA-N 1 2 253.349 3.529 20 0 CHADLO c1csc(CNc2[nH+]c3ccccc3n2CC2CC2)n1 ZINC000905429273 1126902330 /nfs/dbraw/zinc/90/23/30/1126902330.db2.gz GHSPTYUGPKLUKB-UHFFFAOYSA-N 1 2 284.388 3.515 20 0 CHADLO c1cn(CCCSCCCc2ccccc2)c[nH+]1 ZINC000185552901 1126900855 /nfs/dbraw/zinc/90/08/55/1126900855.db2.gz BSKLDAAMJBOOLV-UHFFFAOYSA-N 1 2 260.406 3.639 20 0 CHADLO CCOc1cc(C[N@H+](C)Cc2ccccc2C)c(F)cn1 ZINC001238723600 1131238255 /nfs/dbraw/zinc/23/82/55/1131238255.db2.gz AMWIEFMRUWMHGY-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CCOc1cc(C[N@@H+](C)Cc2ccccc2C)c(F)cn1 ZINC001238723600 1131238260 /nfs/dbraw/zinc/23/82/60/1131238260.db2.gz AMWIEFMRUWMHGY-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CC/C=C/CC[N@@H+]1CCSC[C@@H]1c1nccs1 ZINC000187060924 1126908801 /nfs/dbraw/zinc/90/88/01/1126908801.db2.gz RTNJPPNQULKYDR-AAOUONPWSA-N 1 2 268.451 3.589 20 0 CHADLO CC/C=C/CC[N@H+]1CCSC[C@@H]1c1nccs1 ZINC000187060924 1126908802 /nfs/dbraw/zinc/90/88/02/1126908802.db2.gz RTNJPPNQULKYDR-AAOUONPWSA-N 1 2 268.451 3.589 20 0 CHADLO CC[N@H+](Cc1noc(C(C)C)n1)[C@H](C)Cc1ccsc1 ZINC000187788626 1126914596 /nfs/dbraw/zinc/91/45/96/1126914596.db2.gz OFFDWZMMHFQDOQ-GFCCVEGCSA-N 1 2 293.436 3.708 20 0 CHADLO CC[N@@H+](Cc1noc(C(C)C)n1)[C@H](C)Cc1ccsc1 ZINC000187788626 1126914600 /nfs/dbraw/zinc/91/46/00/1126914600.db2.gz OFFDWZMMHFQDOQ-GFCCVEGCSA-N 1 2 293.436 3.708 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@H](C)c2nccnc2C)on1 ZINC000188077309 1126919252 /nfs/dbraw/zinc/91/92/52/1126919252.db2.gz KXEIVJYZGLDOTB-GFCCVEGCSA-N 1 2 288.395 3.527 20 0 CHADLO COc1c(C)c[nH+]c(CSC[C@@H]2CCO[C@@H](C)C2)c1C ZINC000485572360 1126927716 /nfs/dbraw/zinc/92/77/16/1126927716.db2.gz SMJBRULPDGFHCF-GXTWGEPZSA-N 1 2 295.448 3.755 20 0 CHADLO CCc1cccc(C[NH2+][C@H](c2nc(C3CC3)no2)C(C)C)c1 ZINC000189867283 1126936006 /nfs/dbraw/zinc/93/60/06/1126936006.db2.gz KMTWWNFRDKJDKM-INIZCTEOSA-N 1 2 299.418 3.996 20 0 CHADLO Cc1cncc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)c1 ZINC000189792993 1126935162 /nfs/dbraw/zinc/93/51/62/1126935162.db2.gz LQWXBEKZNWCHRK-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cncc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)c1 ZINC000189792993 1126935165 /nfs/dbraw/zinc/93/51/65/1126935165.db2.gz LQWXBEKZNWCHRK-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cc(C)c(C(=O)N2CC[C@H](c3ccccc3)C2)c(C)[nH+]1 ZINC000906452969 1126954853 /nfs/dbraw/zinc/95/48/53/1126954853.db2.gz BWOOTNSUMKQFRE-KRWDZBQOSA-N 1 2 294.398 3.637 20 0 CHADLO CCCC[NH2+][C@@H](c1noc(C)n1)c1ccc(Cl)cc1 ZINC000906527351 1126956903 /nfs/dbraw/zinc/95/69/03/1126956903.db2.gz FZARBEARZAXAAF-CYBMUJFWSA-N 1 2 279.771 3.511 20 0 CHADLO Cc1cc(=O)[nH]c([C@@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)n1 ZINC000192779816 1126963838 /nfs/dbraw/zinc/96/38/38/1126963838.db2.gz BKVHCNCOUIZNKD-NWDGAFQWSA-N 1 2 297.358 3.649 20 0 CHADLO CC[N@H+](Cc1sccc1Cl)Cc1ccccn1 ZINC000194393823 1126974182 /nfs/dbraw/zinc/97/41/82/1126974182.db2.gz WLNCYFOHQNYWAZ-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1sccc1Cl)Cc1ccccn1 ZINC000194393823 1126974184 /nfs/dbraw/zinc/97/41/84/1126974184.db2.gz WLNCYFOHQNYWAZ-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CSCc1ccc(Nc2[nH+]cnc3[nH]ccc32)cc1 ZINC000066818945 1126975265 /nfs/dbraw/zinc/97/52/65/1126975265.db2.gz FOMHMMFIEQAHCS-UHFFFAOYSA-N 1 2 270.361 3.565 20 0 CHADLO CC(C)(Sc1[nH+]cnc2[nH]ccc21)c1ccccc1 ZINC000486493359 1126984931 /nfs/dbraw/zinc/98/49/31/1126984931.db2.gz ZVBXNMLMZVONAR-UHFFFAOYSA-N 1 2 269.373 3.985 20 0 CHADLO Clc1ccc([C@@H]2CC[N@@H+]2Cc2cccnc2)cc1 ZINC000267230875 1126995452 /nfs/dbraw/zinc/99/54/52/1126995452.db2.gz VRCNSJKIGGWUFU-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccc([C@@H]2CC[N@H+]2Cc2cccnc2)cc1 ZINC000267230875 1126995455 /nfs/dbraw/zinc/99/54/55/1126995455.db2.gz VRCNSJKIGGWUFU-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)C2CCC(F)(F)CC2)c(C)[nH+]1 ZINC000908225916 1126995359 /nfs/dbraw/zinc/99/53/59/1126995359.db2.gz ZVRCTOVTTBMWEZ-UHFFFAOYSA-N 1 2 296.361 3.657 20 0 CHADLO CCCCC[C@H]1CCC[N@@H+]1Cc1noc(C(C)(C)C)n1 ZINC000486787894 1127001421 /nfs/dbraw/zinc/00/14/21/1127001421.db2.gz LQVWEWUWFZNPAQ-ZDUSSCGKSA-N 1 2 279.428 3.912 20 0 CHADLO CCCCC[C@H]1CCC[N@H+]1Cc1noc(C(C)(C)C)n1 ZINC000486787894 1127001424 /nfs/dbraw/zinc/00/14/24/1127001424.db2.gz LQVWEWUWFZNPAQ-ZDUSSCGKSA-N 1 2 279.428 3.912 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@@H](C)c2c(F)cccc2F)c(C)n1 ZINC000268775556 1127023978 /nfs/dbraw/zinc/02/39/78/1127023978.db2.gz GXUFLFIHDNXMSQ-ONGXEEELSA-N 1 2 291.345 3.783 20 0 CHADLO CC1(C)CC[C@@H]([NH2+]c2ccc(N3CCOCC3)cc2)C1 ZINC000149766980 1127022387 /nfs/dbraw/zinc/02/23/87/1127022387.db2.gz AKRKPGUUMPNQHT-OAHLLOKOSA-N 1 2 274.408 3.514 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2scnc2C)cs1 ZINC000268914920 1127027704 /nfs/dbraw/zinc/02/77/04/1127027704.db2.gz NQTQYCIEULGBSA-WCBMZHEXSA-N 1 2 281.450 3.882 20 0 CHADLO Cc1nc(C[N@@H+](C)C[C@@H](CC(C)C)c2ccccc2)no1 ZINC000668798465 1127043057 /nfs/dbraw/zinc/04/30/57/1127043057.db2.gz MAIPVNYXSXZKKT-MRXNPFEDSA-N 1 2 287.407 3.640 20 0 CHADLO Cc1nc(C[N@H+](C)C[C@@H](CC(C)C)c2ccccc2)no1 ZINC000668798465 1127043060 /nfs/dbraw/zinc/04/30/60/1127043060.db2.gz MAIPVNYXSXZKKT-MRXNPFEDSA-N 1 2 287.407 3.640 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@H](C)c3cn[nH]c3)cs2)cc1 ZINC000270251200 1127051721 /nfs/dbraw/zinc/05/17/21/1127051721.db2.gz UMJXCISDGQLLDJ-GFCCVEGCSA-N 1 2 298.415 3.692 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncc(C3CC3)o2)[C@@H]1c1ccccc1 ZINC000270595575 1127057937 /nfs/dbraw/zinc/05/79/37/1127057937.db2.gz KZAXVMFBKBMJCX-SJCJKPOMSA-N 1 2 268.360 3.745 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncc(C3CC3)o2)[C@@H]1c1ccccc1 ZINC000270595575 1127057941 /nfs/dbraw/zinc/05/79/41/1127057941.db2.gz KZAXVMFBKBMJCX-SJCJKPOMSA-N 1 2 268.360 3.745 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2ncc(C3CC3)o2)cc1 ZINC000270593959 1127058044 /nfs/dbraw/zinc/05/80/44/1127058044.db2.gz KRADMIWQNDVCRY-LBPRGKRZSA-N 1 2 286.375 3.754 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2ncc(C3CC3)o2)cc1 ZINC000270593959 1127058049 /nfs/dbraw/zinc/05/80/49/1127058049.db2.gz KRADMIWQNDVCRY-LBPRGKRZSA-N 1 2 286.375 3.754 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000270648360 1127060108 /nfs/dbraw/zinc/06/01/08/1127060108.db2.gz STTREJRRLRZDRB-NSHDSACASA-N 1 2 274.339 3.884 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000270648360 1127060110 /nfs/dbraw/zinc/06/01/10/1127060110.db2.gz STTREJRRLRZDRB-NSHDSACASA-N 1 2 274.339 3.884 20 0 CHADLO Cc1n[nH]cc1C[N@H+](Cc1ccco1)Cc1cccc(C)c1 ZINC000271029032 1127065959 /nfs/dbraw/zinc/06/59/59/1127065959.db2.gz BOSXPUFCFINEBS-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1n[nH]cc1C[N@@H+](Cc1ccco1)Cc1cccc(C)c1 ZINC000271029032 1127065961 /nfs/dbraw/zinc/06/59/61/1127065961.db2.gz BOSXPUFCFINEBS-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1ccc(OC(F)F)c(C[NH2+][C@H](C)c2cscn2)c1 ZINC000271193686 1127071941 /nfs/dbraw/zinc/07/19/41/1127071941.db2.gz XZCXUBHEOQNNLN-SNVBAGLBSA-N 1 2 298.358 3.904 20 0 CHADLO Cc1nnc(C[N@H+](Cc2ccccc2)C(C)(C)C)s1 ZINC000271368694 1127077259 /nfs/dbraw/zinc/07/72/59/1127077259.db2.gz BFTKFICWDHPCKY-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO Cc1nnc(C[N@@H+](Cc2ccccc2)C(C)(C)C)s1 ZINC000271368694 1127077260 /nfs/dbraw/zinc/07/72/60/1127077260.db2.gz BFTKFICWDHPCKY-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO CCC(CC)n1ccc(C[N@H+](C)[C@H](C)c2ccncc2)n1 ZINC000271430465 1127080857 /nfs/dbraw/zinc/08/08/57/1127080857.db2.gz SVGGUELKKUTWFA-CQSZACIVSA-N 1 2 286.423 3.832 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+](C)[C@H](C)c2ccncc2)n1 ZINC000271430465 1127080860 /nfs/dbraw/zinc/08/08/60/1127080860.db2.gz SVGGUELKKUTWFA-CQSZACIVSA-N 1 2 286.423 3.832 20 0 CHADLO Cc1nnc(C[N@@H+]2CCCCC[C@H]2c2ccco2)s1 ZINC000271442178 1127081787 /nfs/dbraw/zinc/08/17/87/1127081787.db2.gz QDCGJWCQIAWUGC-LBPRGKRZSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1nnc(C[N@H+]2CCCCC[C@H]2c2ccco2)s1 ZINC000271442178 1127081791 /nfs/dbraw/zinc/08/17/91/1127081791.db2.gz QDCGJWCQIAWUGC-LBPRGKRZSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1ncc(CSCc2c[nH+]cn2Cc2ccccc2)o1 ZINC000912428438 1127100202 /nfs/dbraw/zinc/10/02/02/1127100202.db2.gz DCHDCGXZFFDPGC-UHFFFAOYSA-N 1 2 299.399 3.661 20 0 CHADLO C1C[N@@H+](C2CCCC2)[C@@H]1c1nc([C@H]2CCCCS2)no1 ZINC000923964864 1127110903 /nfs/dbraw/zinc/11/09/03/1127110903.db2.gz JWSONTSEWIBZNN-QWHCGFSZSA-N 1 2 293.436 3.717 20 0 CHADLO C1C[N@H+](C2CCCC2)[C@@H]1c1nc([C@H]2CCCCS2)no1 ZINC000923964864 1127110905 /nfs/dbraw/zinc/11/09/05/1127110905.db2.gz JWSONTSEWIBZNN-QWHCGFSZSA-N 1 2 293.436 3.717 20 0 CHADLO C1C[N@@H+](C2CCCC2)[C@H]1c1nc([C@@H]2CCCCS2)no1 ZINC000923964861 1127110978 /nfs/dbraw/zinc/11/09/78/1127110978.db2.gz JWSONTSEWIBZNN-OLZOCXBDSA-N 1 2 293.436 3.717 20 0 CHADLO C1C[N@H+](C2CCCC2)[C@H]1c1nc([C@@H]2CCCCS2)no1 ZINC000923964861 1127110981 /nfs/dbraw/zinc/11/09/81/1127110981.db2.gz JWSONTSEWIBZNN-OLZOCXBDSA-N 1 2 293.436 3.717 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H](C)c3cccs3)cc2[nH+]1 ZINC000348534596 1127121194 /nfs/dbraw/zinc/12/11/94/1127121194.db2.gz VQMQOLKVOQNHPH-SECBINFHSA-N 1 2 285.372 3.675 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+]1Cc1cccc(-c2ccncc2)c1 ZINC000348836792 1127130140 /nfs/dbraw/zinc/13/01/40/1127130140.db2.gz YCOTVUSLMXCBHQ-INIZCTEOSA-N 1 2 288.341 3.978 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+]1Cc1cccc(-c2ccncc2)c1 ZINC000348836792 1127130142 /nfs/dbraw/zinc/13/01/42/1127130142.db2.gz YCOTVUSLMXCBHQ-INIZCTEOSA-N 1 2 288.341 3.978 20 0 CHADLO c1cn(Cc2ccc(N[C@@H]3CCC[C@H](C4CC4)C3)nc2)c[nH+]1 ZINC000348849490 1127131586 /nfs/dbraw/zinc/13/15/86/1127131586.db2.gz RCYCEYIVQGZNAR-DLBZAZTESA-N 1 2 296.418 3.707 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[N@@H+]2CCOc3cc(O)ccc3C2)o1 ZINC000348880907 1127132314 /nfs/dbraw/zinc/13/23/14/1127132314.db2.gz WHISLERVQMCZJZ-BLLLJJGKSA-N 1 2 299.370 3.503 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[N@H+]2CCOc3cc(O)ccc3C2)o1 ZINC000348880907 1127132316 /nfs/dbraw/zinc/13/23/16/1127132316.db2.gz WHISLERVQMCZJZ-BLLLJJGKSA-N 1 2 299.370 3.503 20 0 CHADLO CO[C@@H](C[N@H+](C)Cc1cscn1)c1ccc(Cl)cc1 ZINC000283361198 1127134374 /nfs/dbraw/zinc/13/43/74/1127134374.db2.gz BQJADVIBXUEOQK-AWEZNQCLSA-N 1 2 296.823 3.616 20 0 CHADLO CO[C@@H](C[N@@H+](C)Cc1cscn1)c1ccc(Cl)cc1 ZINC000283361198 1127134376 /nfs/dbraw/zinc/13/43/76/1127134376.db2.gz BQJADVIBXUEOQK-AWEZNQCLSA-N 1 2 296.823 3.616 20 0 CHADLO C[C@@H](O)[C@H]([NH2+]Cc1ccsc1Cl)c1ccccc1F ZINC000571052237 1127151875 /nfs/dbraw/zinc/15/18/75/1127151875.db2.gz QYAPYLNBUSNVGJ-RNCFNFMXSA-N 1 2 299.798 3.752 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3ncc(Cl)s3)CC2)c1 ZINC000349601114 1127155677 /nfs/dbraw/zinc/15/56/77/1127155677.db2.gz RJSQCNRSXATWAJ-UHFFFAOYSA-N 1 2 282.771 3.715 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccnc(Cl)c2)cs1 ZINC000156449114 1127156155 /nfs/dbraw/zinc/15/61/55/1127156155.db2.gz LQFJQVHMJWPAMD-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(Cl)s1)c1c(C)noc1C ZINC000349645176 1127156740 /nfs/dbraw/zinc/15/67/40/1127156740.db2.gz JZNYMXUTQCMQGD-SECBINFHSA-N 1 2 285.800 3.642 20 0 CHADLO COc1cccc2cc([C@@H](C)[NH2+][C@H](C)c3ccon3)oc21 ZINC000349826825 1127163600 /nfs/dbraw/zinc/16/36/00/1127163600.db2.gz AUVRDMWUXJYAJX-GHMZBOCLSA-N 1 2 286.331 3.841 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1ccc2ccccc2n1 ZINC000349837104 1127165208 /nfs/dbraw/zinc/16/52/08/1127165208.db2.gz UKJBLENPDLAROW-NEPJUHHUSA-N 1 2 267.332 3.635 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1sccc1C1CC1 ZINC000350178374 1127174619 /nfs/dbraw/zinc/17/46/19/1127174619.db2.gz YDSAVDNGUAZQHB-UHFFFAOYSA-N 1 2 283.356 3.526 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237536073 1131111252 /nfs/dbraw/zinc/11/12/52/1131111252.db2.gz XXDIPFGHZQHPKD-SECBINFHSA-N 1 2 289.206 3.859 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237536073 1131111254 /nfs/dbraw/zinc/11/12/54/1131111254.db2.gz XXDIPFGHZQHPKD-SECBINFHSA-N 1 2 289.206 3.859 20 0 CHADLO CC[N@H+](Cc1nc(C)co1)Cc1ccc(Cl)cc1 ZINC001237650192 1131123138 /nfs/dbraw/zinc/12/31/38/1131123138.db2.gz UPYOLFCWZURXLM-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1nc(C)co1)Cc1ccc(Cl)cc1 ZINC001237650192 1131123141 /nfs/dbraw/zinc/12/31/41/1131123141.db2.gz UPYOLFCWZURXLM-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO C[C@H]([NH2+]Cc1cncc(F)c1)c1c(F)cccc1Cl ZINC000351488728 1127189944 /nfs/dbraw/zinc/18/99/44/1127189944.db2.gz AUEHOLRPVUEJFK-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO CCC(CC)(CC)CNc1cc(CSCCO)cc[nH+]1 ZINC000351620005 1127198955 /nfs/dbraw/zinc/19/89/55/1127198955.db2.gz MHDJIXVHGPAIHW-UHFFFAOYSA-N 1 2 296.480 3.935 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+]Cc1ccc(C(C)(C)C)cc1 ZINC000924858779 1127204194 /nfs/dbraw/zinc/20/41/94/1127204194.db2.gz QUOBLURJUJGFCO-LLVKDONJSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1cc(NC(=O)c2cscc2-c2ccccc2)cc[nH+]1 ZINC000351734436 1127206282 /nfs/dbraw/zinc/20/62/82/1127206282.db2.gz GHJAVCLJVSRAIC-UHFFFAOYSA-N 1 2 294.379 3.793 20 0 CHADLO CCc1cc(C[N@@H+]2C[C@@H](C)OC[C@@H]2C)ccc1Cl ZINC001237739738 1131136033 /nfs/dbraw/zinc/13/60/33/1131136033.db2.gz GVHZWUJDASLCOB-NWDGAFQWSA-N 1 2 267.800 3.512 20 0 CHADLO CCc1cc(C[N@H+]2C[C@@H](C)OC[C@@H]2C)ccc1Cl ZINC001237739738 1131136034 /nfs/dbraw/zinc/13/60/34/1131136034.db2.gz GVHZWUJDASLCOB-NWDGAFQWSA-N 1 2 267.800 3.512 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1nc2ccccc2o1 ZINC000588928914 1127207633 /nfs/dbraw/zinc/20/76/33/1127207633.db2.gz VIYOUSVVPJUPAO-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1nc2ccccc2o1 ZINC000588928914 1127207637 /nfs/dbraw/zinc/20/76/37/1127207637.db2.gz VIYOUSVVPJUPAO-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO CC[C@@H](C)c1ccc(C(=O)OCCCn2cc[nH+]c2)cc1 ZINC000784082610 1127211237 /nfs/dbraw/zinc/21/12/37/1127211237.db2.gz JAQCJUXXWBHWQH-CQSZACIVSA-N 1 2 286.375 3.644 20 0 CHADLO CC1=CC[C@H]([NH2+]c2ccc(N3CCC(O)CC3)cc2)CC1 ZINC000284989105 1127214222 /nfs/dbraw/zinc/21/42/22/1127214222.db2.gz GJEURGWUMSIBIF-HNNXBMFYSA-N 1 2 286.419 3.558 20 0 CHADLO CC1=CC[C@H](Nc2ccc([NH+]3CCC(O)CC3)cc2)CC1 ZINC000284989105 1127214226 /nfs/dbraw/zinc/21/42/26/1127214226.db2.gz GJEURGWUMSIBIF-HNNXBMFYSA-N 1 2 286.419 3.558 20 0 CHADLO CCOc1cc(F)cc(C[N@@H+]2CCC[C@@](C)(F)C2)c1 ZINC001237913215 1131151100 /nfs/dbraw/zinc/15/11/00/1131151100.db2.gz NREZIUPABNGADV-OAHLLOKOSA-N 1 2 269.335 3.548 20 0 CHADLO CCOc1cc(F)cc(C[N@H+]2CCC[C@@](C)(F)C2)c1 ZINC001237913215 1131151103 /nfs/dbraw/zinc/15/11/03/1131151103.db2.gz NREZIUPABNGADV-OAHLLOKOSA-N 1 2 269.335 3.548 20 0 CHADLO Nc1cc(Cl)cc2ccn(-c3cc[nH+]cc3Cl)c21 ZINC001212162171 1127245374 /nfs/dbraw/zinc/24/53/74/1127245374.db2.gz KNTBTGSZMAHWMO-UHFFFAOYSA-N 1 2 278.142 3.915 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000285936842 1127247815 /nfs/dbraw/zinc/24/78/15/1127247815.db2.gz DFECFGIQGIYLHF-AWEZNQCLSA-N 1 2 282.391 3.558 20 0 CHADLO CSCc1cnc(C[NH2+][C@@H](C)c2cnccc2C)s1 ZINC000590186731 1127250667 /nfs/dbraw/zinc/25/06/67/1127250667.db2.gz UOJPUSUPNVZDMI-NSHDSACASA-N 1 2 293.461 3.560 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2cc(C)ccn2)s1 ZINC000286161917 1127260771 /nfs/dbraw/zinc/26/07/71/1127260771.db2.gz PYLWNEPHHNOPRU-NEPJUHHUSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2cc(C)ccn2)s1 ZINC000286161919 1127261372 /nfs/dbraw/zinc/26/13/72/1127261372.db2.gz PYLWNEPHHNOPRU-RYUDHWBXSA-N 1 2 261.394 3.567 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2ccsc2)cc(C)[nH+]1 ZINC000286186502 1127261994 /nfs/dbraw/zinc/26/19/94/1127261994.db2.gz QMONQJMEDATBPC-ZDUSSCGKSA-N 1 2 273.405 3.750 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccc3ncccc3c2)cc1 ZINC000057611280 1127267717 /nfs/dbraw/zinc/26/77/17/1127267717.db2.gz SLCWDCATQSSSAK-UHFFFAOYSA-N 1 2 277.371 3.913 20 0 CHADLO FC(F)C[C@H]1COCC[N@@H+]1Cc1cc2ccccc2s1 ZINC000286571760 1127269519 /nfs/dbraw/zinc/26/95/19/1127269519.db2.gz UPRXZPOENGSNOP-LBPRGKRZSA-N 1 2 297.370 3.757 20 0 CHADLO FC(F)C[C@H]1COCC[N@H+]1Cc1cc2ccccc2s1 ZINC000286571760 1127269522 /nfs/dbraw/zinc/26/95/22/1127269522.db2.gz UPRXZPOENGSNOP-LBPRGKRZSA-N 1 2 297.370 3.757 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc(Cl)cn2)nc(C2CC2)[nH+]1 ZINC000286673504 1127271200 /nfs/dbraw/zinc/27/12/00/1127271200.db2.gz WHBGWBHFOHICDV-SNVBAGLBSA-N 1 2 288.782 3.884 20 0 CHADLO Cc1cc(N2CCC=C(c3ccco3)C2)nc(C2CC2)[nH+]1 ZINC000286610197 1127270540 /nfs/dbraw/zinc/27/05/40/1127270540.db2.gz QCPAVUDNERFSCZ-UHFFFAOYSA-N 1 2 281.359 3.549 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1c(N)ccnc1F ZINC001238158103 1131175960 /nfs/dbraw/zinc/17/59/60/1131175960.db2.gz BNGIDLLBYOEQEC-SNVBAGLBSA-N 1 2 293.773 3.649 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1c(N)ccnc1F ZINC001238158103 1131175962 /nfs/dbraw/zinc/17/59/62/1131175962.db2.gz BNGIDLLBYOEQEC-SNVBAGLBSA-N 1 2 293.773 3.649 20 0 CHADLO COC(=O)[C@@H](c1ccccc1C)[N@@H+]1CC[C@H]1c1ccccc1 ZINC000466813739 1127275945 /nfs/dbraw/zinc/27/59/45/1127275945.db2.gz FHHXHYGEWUAPSX-ZWKOTPCHSA-N 1 2 295.382 3.656 20 0 CHADLO COC(=O)[C@@H](c1ccccc1C)[N@H+]1CC[C@H]1c1ccccc1 ZINC000466813739 1127275948 /nfs/dbraw/zinc/27/59/48/1127275948.db2.gz FHHXHYGEWUAPSX-ZWKOTPCHSA-N 1 2 295.382 3.656 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(Cl)c(O)cc2F)C2(CC2)C1 ZINC001238154760 1131176028 /nfs/dbraw/zinc/17/60/28/1131176028.db2.gz LOHNVOOYMCTJDD-SECBINFHSA-N 1 2 269.747 3.559 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(Cl)c(O)cc2F)C2(CC2)C1 ZINC001238154760 1131176030 /nfs/dbraw/zinc/17/60/30/1131176030.db2.gz LOHNVOOYMCTJDD-SECBINFHSA-N 1 2 269.747 3.559 20 0 CHADLO CCC[N@H+]1CCC[C@@H]1C[NH2+][C@@H](CC)c1ccc(OC)cc1 ZINC000353973018 1127282340 /nfs/dbraw/zinc/28/23/40/1127282340.db2.gz PCXYSGREXDIMJX-AEFFLSMTSA-N 1 2 290.451 3.610 20 0 CHADLO Fc1ccc(C2CCN(c3cccc[nH+]3)CC2)cc1F ZINC000591019317 1127283162 /nfs/dbraw/zinc/28/31/62/1127283162.db2.gz ZWMICXMKKCPDGG-UHFFFAOYSA-N 1 2 274.314 3.744 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2cc(C)cc(C)c2)s1 ZINC000354036336 1127287382 /nfs/dbraw/zinc/28/73/82/1127287382.db2.gz HFOJIGCSZUZQSW-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2cc(C)cc(C)c2)s1 ZINC000354036336 1127287386 /nfs/dbraw/zinc/28/73/86/1127287386.db2.gz HFOJIGCSZUZQSW-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO Cc1oc2ccccc2c1C[N@@H+](C)[C@H](C)C(=O)OC(C)C ZINC000354043401 1127287985 /nfs/dbraw/zinc/28/79/85/1127287985.db2.gz XQGAFADNJPPNJI-GFCCVEGCSA-N 1 2 289.375 3.513 20 0 CHADLO Cc1oc2ccccc2c1C[N@H+](C)[C@H](C)C(=O)OC(C)C ZINC000354043401 1127287990 /nfs/dbraw/zinc/28/79/90/1127287990.db2.gz XQGAFADNJPPNJI-GFCCVEGCSA-N 1 2 289.375 3.513 20 0 CHADLO Cc1cccc([C@@H](C)[N@@H+]2Cc3ccccc3NC(=O)C2)c1 ZINC000573134959 1127292178 /nfs/dbraw/zinc/29/21/78/1127292178.db2.gz YUMOSCXNKSYEOS-CQSZACIVSA-N 1 2 280.371 3.510 20 0 CHADLO Cc1cccc([C@@H](C)[N@H+]2Cc3ccccc3NC(=O)C2)c1 ZINC000573134959 1127292180 /nfs/dbraw/zinc/29/21/80/1127292180.db2.gz YUMOSCXNKSYEOS-CQSZACIVSA-N 1 2 280.371 3.510 20 0 CHADLO CCCOc1cccc2c(NCC[C@H](O)CC)cc[nH+]c12 ZINC000354156321 1127296631 /nfs/dbraw/zinc/29/66/31/1127296631.db2.gz YHLPRQOMGPXRES-CYBMUJFWSA-N 1 2 288.391 3.597 20 0 CHADLO CCC[C@@H](C)CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000354223335 1127298683 /nfs/dbraw/zinc/29/86/83/1127298683.db2.gz YNXQNTOHUMQBKG-CYBMUJFWSA-N 1 2 271.364 3.637 20 0 CHADLO Cc1noc([C@H](C)[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)n1 ZINC000646116845 1127299879 /nfs/dbraw/zinc/29/98/79/1127299879.db2.gz HJEKAPAWURLODA-BBRMVZONSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1noc([C@H](C)[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)n1 ZINC000646116845 1127299880 /nfs/dbraw/zinc/29/98/80/1127299880.db2.gz HJEKAPAWURLODA-BBRMVZONSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1sc(C[NH2+]Cc2ccnn2C(C)C)nc1C(C)C ZINC000354354247 1127304819 /nfs/dbraw/zinc/30/48/19/1127304819.db2.gz XYEROGJRKCWQGT-UHFFFAOYSA-N 1 2 292.452 3.642 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@@H+]1CCC[C@@H]1CF ZINC001238335864 1131191490 /nfs/dbraw/zinc/19/14/90/1131191490.db2.gz DCFAIJFJVGLFMO-CYBMUJFWSA-N 1 2 269.335 3.547 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@H+]1CCC[C@@H]1CF ZINC001238335864 1131191495 /nfs/dbraw/zinc/19/14/95/1131191495.db2.gz DCFAIJFJVGLFMO-CYBMUJFWSA-N 1 2 269.335 3.547 20 0 CHADLO C[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCCO1 ZINC000287962415 1127315222 /nfs/dbraw/zinc/31/52/22/1127315222.db2.gz RAIZKFCOHCAFAA-NSHDSACASA-N 1 2 276.767 3.503 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1F)c1nc2c(s1)CCC2 ZINC000355126764 1127318677 /nfs/dbraw/zinc/31/86/77/1127318677.db2.gz DLAZOCGQKCDFNZ-SNVBAGLBSA-N 1 2 276.380 3.622 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2COCc3ccccc32)c(C)s1 ZINC000669426439 1127326568 /nfs/dbraw/zinc/32/65/68/1127326568.db2.gz CULFQBXESGHROO-MEBBXXQBSA-N 1 2 288.416 3.682 20 0 CHADLO COCc1cccc(CNc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000355333669 1127333782 /nfs/dbraw/zinc/33/37/82/1127333782.db2.gz HHCRBFFFHIGUDG-UHFFFAOYSA-N 1 2 293.370 3.631 20 0 CHADLO C[C@H]1CC(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C[C@H](C)C1 ZINC000355354948 1127336004 /nfs/dbraw/zinc/33/60/04/1127336004.db2.gz ZEKCVNJVFYBYDR-ZIAGYGMSSA-N 1 2 297.402 3.883 20 0 CHADLO COc1c(C)c[nH+]c(CSCCC[C@H]2CCOC2)c1C ZINC000592454127 1127350459 /nfs/dbraw/zinc/35/04/59/1127350459.db2.gz GMRUOKKYYLASNZ-AWEZNQCLSA-N 1 2 295.448 3.757 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cccc(Cl)c1F ZINC000355583773 1127351641 /nfs/dbraw/zinc/35/16/41/1127351641.db2.gz NIEHGQUHIOQDLM-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cccc(Cl)c1F ZINC000355583773 1127351645 /nfs/dbraw/zinc/35/16/45/1127351645.db2.gz NIEHGQUHIOQDLM-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1oc(C(C)C)cc1C(=O)Nc1cccc2[nH+]ccn21 ZINC000288692467 1127356932 /nfs/dbraw/zinc/35/69/32/1127356932.db2.gz HWMAGCAQSULSIM-UHFFFAOYSA-N 1 2 283.331 3.611 20 0 CHADLO CCSCCNc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000355731163 1127361856 /nfs/dbraw/zinc/36/18/56/1127361856.db2.gz HERXRZRRXOQFEY-UHFFFAOYSA-N 1 2 281.812 3.691 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2cc3cccc(F)c3o2)no1 ZINC000355757462 1127362500 /nfs/dbraw/zinc/36/25/00/1127362500.db2.gz MQGSBYRPZSNYPU-SNVBAGLBSA-N 1 2 274.295 3.719 20 0 CHADLO CCCCC[C@@H](NC(=O)CCc1[nH]cc[nH+]1)c1ccccc1 ZINC000289032858 1127371374 /nfs/dbraw/zinc/37/13/74/1127371374.db2.gz JRGNPHNTZQMMSF-MRXNPFEDSA-N 1 2 299.418 3.780 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+]Cc1ccn(C(C)C)n1 ZINC000574130946 1127371999 /nfs/dbraw/zinc/37/19/99/1127371999.db2.gz KNBJDALVKXGSDW-UHFFFAOYSA-N 1 2 291.826 3.970 20 0 CHADLO C[C@@H](O)c1ncc(C[N@H+](C)[C@@H](C)c2ccccc2F)s1 ZINC000289215248 1127377653 /nfs/dbraw/zinc/37/76/53/1127377653.db2.gz XAAMSZAAPRDNFH-WDEREUQCSA-N 1 2 294.395 3.529 20 0 CHADLO C[C@@H](O)c1ncc(C[N@@H+](C)[C@@H](C)c2ccccc2F)s1 ZINC000289215248 1127377655 /nfs/dbraw/zinc/37/76/55/1127377655.db2.gz XAAMSZAAPRDNFH-WDEREUQCSA-N 1 2 294.395 3.529 20 0 CHADLO c1nnc([C@@H]([NH2+]CCCCC2CCCC2)c2ccccc2)[nH]1 ZINC000289229424 1127378276 /nfs/dbraw/zinc/37/82/76/1127378276.db2.gz LWILBFFZAIHAOS-KRWDZBQOSA-N 1 2 298.434 3.844 20 0 CHADLO CN(C(=O)c1cccc(-n2cc[nH+]c2)c1)[C@@H]1CCC(C)(C)C1 ZINC000358067393 1127400690 /nfs/dbraw/zinc/40/06/90/1127400690.db2.gz BFHMJTVFBOEJKP-MRXNPFEDSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2ccc(C)c(C)c2)c(C)[nH+]1 ZINC000358140599 1127403933 /nfs/dbraw/zinc/40/39/33/1127403933.db2.gz UKHGLHACHDYFDZ-HXUWFJFHSA-N 1 2 287.428 3.764 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+]1CC[C@H](C(F)(F)F)C1 ZINC000761043221 1127407520 /nfs/dbraw/zinc/40/75/20/1127407520.db2.gz BVIOYASDFWOFHN-UWVGGRQHSA-N 1 2 290.329 3.917 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+]1CC[C@H](C(F)(F)F)C1 ZINC000761043221 1127407523 /nfs/dbraw/zinc/40/75/23/1127407523.db2.gz BVIOYASDFWOFHN-UWVGGRQHSA-N 1 2 290.329 3.917 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+]1CC[C@H](C(F)(F)F)C1 ZINC000761043227 1127407574 /nfs/dbraw/zinc/40/75/74/1127407574.db2.gz BVIOYASDFWOFHN-ZJUUUORDSA-N 1 2 290.329 3.917 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+]1CC[C@H](C(F)(F)F)C1 ZINC000761043227 1127407577 /nfs/dbraw/zinc/40/75/77/1127407577.db2.gz BVIOYASDFWOFHN-ZJUUUORDSA-N 1 2 290.329 3.917 20 0 CHADLO CC(C)(C)c1csc(C[N@@H+]2CC[C@@H](C(F)(F)F)C2)n1 ZINC000761043953 1127408442 /nfs/dbraw/zinc/40/84/42/1127408442.db2.gz YOIDQGGPOQHSLW-SECBINFHSA-N 1 2 292.370 3.825 20 0 CHADLO CC(C)(C)c1csc(C[N@H+]2CC[C@@H](C(F)(F)F)C2)n1 ZINC000761043953 1127408444 /nfs/dbraw/zinc/40/84/44/1127408444.db2.gz YOIDQGGPOQHSLW-SECBINFHSA-N 1 2 292.370 3.825 20 0 CHADLO c1c(C[NH+]2Cc3ccccc3C2)onc1-c1ccccc1 ZINC000358354598 1127416941 /nfs/dbraw/zinc/41/69/41/1127416941.db2.gz MBPHUGFJYOIDIN-UHFFFAOYSA-N 1 2 276.339 3.857 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCCC2)cnc1F ZINC001212175966 1127431342 /nfs/dbraw/zinc/43/13/42/1127431342.db2.gz NENBUXARXGVGDA-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCC(C)(C)C2)c(C)[nH+]1 ZINC000358877187 1127436882 /nfs/dbraw/zinc/43/68/82/1127436882.db2.gz QRKIBHKHWFZBLS-CYBMUJFWSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1cc(N2CC[C@]3(CC[C@H](C)C3)C2)nc(C2CC2)[nH+]1 ZINC000359078846 1127448395 /nfs/dbraw/zinc/44/83/95/1127448395.db2.gz UWYOULMLYZZLHO-SJCJKPOMSA-N 1 2 271.408 3.679 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)CSCCF)c1 ZINC000595030294 1127449190 /nfs/dbraw/zinc/44/91/90/1127449190.db2.gz PTMQHRZMPJGTTH-LLVKDONJSA-N 1 2 288.457 3.838 20 0 CHADLO COCCC[C@@H](Cc1ccccc1)Nc1cccc[nH+]1 ZINC000359872951 1127481600 /nfs/dbraw/zinc/48/16/00/1127481600.db2.gz HSNIPPWMEWHUTN-INIZCTEOSA-N 1 2 270.376 3.531 20 0 CHADLO COC(=O)Cc1cc[nH+]c(NCc2cccc(C(C)C)c2)c1 ZINC000596196778 1127485150 /nfs/dbraw/zinc/48/51/50/1127485150.db2.gz OUYPOXUROVMTAY-UHFFFAOYSA-N 1 2 298.386 3.533 20 0 CHADLO Fc1cccc2c1CCN2Cc1cc(-n2cc[nH+]c2)cs1 ZINC000360200130 1127492049 /nfs/dbraw/zinc/49/20/49/1127492049.db2.gz VCQJDRRKFZAFMD-UHFFFAOYSA-N 1 2 299.374 3.636 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2cc(C3CC3)no2)c1 ZINC000596352612 1127497261 /nfs/dbraw/zinc/49/72/61/1127497261.db2.gz PLIABJHPGOWAGS-UHFFFAOYSA-N 1 2 290.388 3.697 20 0 CHADLO Cc1nocc1CSCc1ccc(-n2cc[nH+]c2)cc1C ZINC000596355423 1127497750 /nfs/dbraw/zinc/49/77/50/1127497750.db2.gz ABHHVPUFZSDLDW-UHFFFAOYSA-N 1 2 299.399 3.911 20 0 CHADLO Cc1ccc(CSCC[C@H]2CCCCO2)c(C)[nH+]1 ZINC000596364187 1127499969 /nfs/dbraw/zinc/49/99/69/1127499969.db2.gz JDPDSQLOKPMVKS-OAHLLOKOSA-N 1 2 265.422 3.891 20 0 CHADLO CC(C)(C)CCCC[NH2+][C@H](c1cccs1)c1nnc[nH]1 ZINC000293698318 1127513843 /nfs/dbraw/zinc/51/38/43/1127513843.db2.gz LGSSLCFUFJYZNM-CYBMUJFWSA-N 1 2 292.452 3.762 20 0 CHADLO Cc1nc(NCc2ccc(OC3CCCCC3)cc2)cc[nH+]1 ZINC000360985670 1127526140 /nfs/dbraw/zinc/52/61/40/1127526140.db2.gz WPSIHHVJGKQECS-UHFFFAOYSA-N 1 2 297.402 3.531 20 0 CHADLO Cc1nc(N2CCC[C@@H](c3ccccc3)CC2)cc[nH+]1 ZINC000360994299 1127527118 /nfs/dbraw/zinc/52/71/18/1127527118.db2.gz DTUUJIIGCBQRSX-MRXNPFEDSA-N 1 2 267.376 3.559 20 0 CHADLO CC(C)Cn1cc(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)cn1 ZINC000293927754 1127533706 /nfs/dbraw/zinc/53/37/06/1127533706.db2.gz NDYFYKFYKICFQV-QGZVFWFLSA-N 1 2 298.434 3.517 20 0 CHADLO CC(C)Cn1cc(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)cn1 ZINC000293927754 1127533707 /nfs/dbraw/zinc/53/37/07/1127533707.db2.gz NDYFYKFYKICFQV-QGZVFWFLSA-N 1 2 298.434 3.517 20 0 CHADLO CC(C)Cn1cc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)cn1 ZINC000293927753 1127533933 /nfs/dbraw/zinc/53/39/33/1127533933.db2.gz NDYFYKFYKICFQV-KRWDZBQOSA-N 1 2 298.434 3.517 20 0 CHADLO CC(C)Cn1cc(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)cn1 ZINC000293927753 1127533935 /nfs/dbraw/zinc/53/39/35/1127533935.db2.gz NDYFYKFYKICFQV-KRWDZBQOSA-N 1 2 298.434 3.517 20 0 CHADLO CS[C@H]1CC[C@@H]1[NH2+]CC(F)(F)c1ccccc1C ZINC000597227661 1127535026 /nfs/dbraw/zinc/53/50/26/1127535026.db2.gz AEOHHQSMLPFYBR-STQMWFEESA-N 1 2 271.376 3.570 20 0 CHADLO Clc1ccc(C[N@@H+]2Cc3ccccc3C3(CC3)C2)o1 ZINC000361141040 1127541488 /nfs/dbraw/zinc/54/14/88/1127541488.db2.gz MCTPYAXBPQYPIH-UHFFFAOYSA-N 1 2 273.763 3.980 20 0 CHADLO Clc1ccc(C[N@H+]2Cc3ccccc3C3(CC3)C2)o1 ZINC000361141040 1127541490 /nfs/dbraw/zinc/54/14/90/1127541490.db2.gz MCTPYAXBPQYPIH-UHFFFAOYSA-N 1 2 273.763 3.980 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@@H](C(F)(F)F)[C@@H]2C)s1 ZINC000361141245 1127541827 /nfs/dbraw/zinc/54/18/27/1127541827.db2.gz MVLCHJPFTGZZFK-GXSJLCMTSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@@H](C(F)(F)F)[C@@H]2C)s1 ZINC000361141245 1127541830 /nfs/dbraw/zinc/54/18/30/1127541830.db2.gz MVLCHJPFTGZZFK-GXSJLCMTSA-N 1 2 292.370 3.868 20 0 CHADLO C[C@H]([NH2+][C@H]1CCOc2c(F)ccc(F)c21)c1ccco1 ZINC000361178188 1127545029 /nfs/dbraw/zinc/54/50/29/1127545029.db2.gz LGQOVXPTTFCEAS-CABZTGNLSA-N 1 2 279.286 3.732 20 0 CHADLO COc1ccc(CNc2ccc([NH+](C)C)cc2)c(F)c1 ZINC000228596244 1127571266 /nfs/dbraw/zinc/57/12/66/1127571266.db2.gz PIRZERZHJFAFFG-UHFFFAOYSA-N 1 2 274.339 3.512 20 0 CHADLO Fc1cc(C[N@H+](Cc2ccccc2)C2CC2)c(F)cn1 ZINC000294562543 1127583064 /nfs/dbraw/zinc/58/30/64/1127583064.db2.gz MSANRKPLYMTVHU-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1cc(C[N@@H+](Cc2ccccc2)C2CC2)c(F)cn1 ZINC000294562543 1127583067 /nfs/dbraw/zinc/58/30/67/1127583067.db2.gz MSANRKPLYMTVHU-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1cc(F)ncc1F ZINC000294570837 1127583771 /nfs/dbraw/zinc/58/37/71/1127583771.db2.gz OJFBKBLETLGORB-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1cc(F)ncc1F ZINC000294570837 1127583773 /nfs/dbraw/zinc/58/37/73/1127583773.db2.gz OJFBKBLETLGORB-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO CCN(CC/[NH+]=C/c1ccccc1O)c1cccc(C)c1 ZINC000060804501 1127587997 /nfs/dbraw/zinc/58/79/97/1127587997.db2.gz AQIZJXCDFKLAAU-XMHGGMMESA-N 1 2 282.387 3.646 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CCc2cc(Cl)ccc2C1 ZINC000361852611 1127593911 /nfs/dbraw/zinc/59/39/11/1127593911.db2.gz VXPVWRYRDBDSKN-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CCc2cc(Cl)ccc2C1 ZINC000361852611 1127593915 /nfs/dbraw/zinc/59/39/15/1127593915.db2.gz VXPVWRYRDBDSKN-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO C[C@@H](CC(C)(C)C)[NH2+]c1ccc(N2CCC(O)CC2)cc1 ZINC000294811074 1127600987 /nfs/dbraw/zinc/60/09/87/1127600987.db2.gz MBOZDTMKCYCZBP-AWEZNQCLSA-N 1 2 290.451 3.884 20 0 CHADLO CCC1(C[NH2+][C@@H](c2cccs2)c2nnc[nH]2)CCCC1 ZINC000294846019 1127603998 /nfs/dbraw/zinc/60/39/98/1127603998.db2.gz SBRZIZHSJWFALM-ZDUSSCGKSA-N 1 2 290.436 3.516 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232096519 1127613899 /nfs/dbraw/zinc/61/38/99/1127613899.db2.gz CJXWCWNUONPIPC-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232096519 1127613901 /nfs/dbraw/zinc/61/39/01/1127613901.db2.gz CJXWCWNUONPIPC-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+](C)Cc1cccnc1 ZINC000295018186 1127614128 /nfs/dbraw/zinc/61/41/28/1127614128.db2.gz UFYMVAYICCDPAX-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+](C)Cc1cccnc1 ZINC000295018186 1127614130 /nfs/dbraw/zinc/61/41/30/1127614130.db2.gz UFYMVAYICCDPAX-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cc(NCCCC(C)(F)F)nc(C2CC2)[nH+]1 ZINC000295023619 1127615348 /nfs/dbraw/zinc/61/53/48/1127615348.db2.gz VDHPWMZMBVWIJX-UHFFFAOYSA-N 1 2 255.312 3.510 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC=C(c3cccnc3)C2)no1 ZINC000295232795 1127629346 /nfs/dbraw/zinc/62/93/46/1127629346.db2.gz TUKATFMCNAFLRG-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC=C(c3cccnc3)C2)no1 ZINC000295232795 1127629347 /nfs/dbraw/zinc/62/93/47/1127629347.db2.gz TUKATFMCNAFLRG-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1ccc(Cl)cc1F ZINC000295241621 1127629459 /nfs/dbraw/zinc/62/94/59/1127629459.db2.gz PCXIEMBPWFAEMM-SNVBAGLBSA-N 1 2 281.762 3.546 20 0 CHADLO CC(C)(SCCCn1cc[nH+]c1)c1ccccc1 ZINC000362399790 1127633144 /nfs/dbraw/zinc/63/31/44/1127633144.db2.gz BUVHCLAPWCWJKJ-UHFFFAOYSA-N 1 2 260.406 3.942 20 0 CHADLO COc1ccccc1[C@@H](C)SCc1ccc[nH+]c1N ZINC000603320854 1127641918 /nfs/dbraw/zinc/64/19/18/1127641918.db2.gz PVNOOOBZXNPLGS-LLVKDONJSA-N 1 2 274.389 3.667 20 0 CHADLO CS[C@H]1CCCCN(c2[nH+]ccc3ccccc32)C1 ZINC000599061763 1127654715 /nfs/dbraw/zinc/65/47/15/1127654715.db2.gz RMXATSYUKZXZCP-AWEZNQCLSA-N 1 2 272.417 3.957 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(C3CC3)cc2F)s1 ZINC000296054844 1127661771 /nfs/dbraw/zinc/66/17/71/1127661771.db2.gz OYEXPVLNUGIQLG-UHFFFAOYSA-N 1 2 276.380 3.758 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1cccc(C(C)(C)C)c1 ZINC000659948159 1127676124 /nfs/dbraw/zinc/67/61/24/1127676124.db2.gz SSMTUQNQGKNMLK-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1cccc(C(C)(C)C)c1 ZINC000659948159 1127676126 /nfs/dbraw/zinc/67/61/26/1127676126.db2.gz SSMTUQNQGKNMLK-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO CC(C)c1nn(C[N@H+](C)C2CCC(C)CC2)c(=S)n1C ZINC000171090639 1127684093 /nfs/dbraw/zinc/68/40/93/1127684093.db2.gz YWNXVAXWJSUHOK-UHFFFAOYSA-N 1 2 296.484 3.542 20 0 CHADLO CC(C)c1nn(C[N@@H+](C)C2CCC(C)CC2)c(=S)n1C ZINC000171090639 1127684094 /nfs/dbraw/zinc/68/40/94/1127684094.db2.gz YWNXVAXWJSUHOK-UHFFFAOYSA-N 1 2 296.484 3.542 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000603881735 1127679893 /nfs/dbraw/zinc/67/98/93/1127679893.db2.gz HHKWMPGFKSLAIF-CQSZACIVSA-N 1 2 285.391 3.879 20 0 CHADLO Cc1ccccc1C(C)(C)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000603881814 1127679976 /nfs/dbraw/zinc/67/99/76/1127679976.db2.gz PCXIQDYHHAWJDS-UHFFFAOYSA-N 1 2 293.370 3.559 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1nnc(C(C)C)[nH]1 ZINC000296659151 1127680689 /nfs/dbraw/zinc/68/06/89/1127680689.db2.gz ZQNFCSFJCHGALA-LBPRGKRZSA-N 1 2 298.390 3.834 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1c(F)ccc(C2OCCO2)c1Cl ZINC000297515984 1127698587 /nfs/dbraw/zinc/69/85/87/1127698587.db2.gz WIKLBNOXBBLDOY-SNVBAGLBSA-N 1 2 299.773 3.509 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1c(F)ccc(C2OCCO2)c1Cl ZINC000297515984 1127698588 /nfs/dbraw/zinc/69/85/88/1127698588.db2.gz WIKLBNOXBBLDOY-SNVBAGLBSA-N 1 2 299.773 3.509 20 0 CHADLO COCc1cc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)no1 ZINC000297569129 1127700201 /nfs/dbraw/zinc/70/02/01/1127700201.db2.gz CTGSBWZSIXFWCI-LLVKDONJSA-N 1 2 294.782 3.667 20 0 CHADLO COCc1cc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)no1 ZINC000297569129 1127700205 /nfs/dbraw/zinc/70/02/05/1127700205.db2.gz CTGSBWZSIXFWCI-LLVKDONJSA-N 1 2 294.782 3.667 20 0 CHADLO CCOc1ccc([C@@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000604756650 1127716257 /nfs/dbraw/zinc/71/62/57/1127716257.db2.gz NUFJYYYPZIKANJ-CYBMUJFWSA-N 1 2 297.402 3.656 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1nc(C(C)C)no1 ZINC000298839199 1127734269 /nfs/dbraw/zinc/73/42/69/1127734269.db2.gz FKLGBLYOJVNTBL-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1nc(C(C)C)no1 ZINC000298839199 1127734270 /nfs/dbraw/zinc/73/42/70/1127734270.db2.gz FKLGBLYOJVNTBL-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO CCCCC[C@H](C)CC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000365602099 1127735006 /nfs/dbraw/zinc/73/50/06/1127735006.db2.gz LVBDNNWLSADSQP-GJZGRUSLSA-N 1 2 291.439 3.722 20 0 CHADLO c1cn(-c2ccccc2OCCCOc2ccccc2)c[nH+]1 ZINC000605434300 1127736066 /nfs/dbraw/zinc/73/60/66/1127736066.db2.gz ZTJYEYWEBLERKR-UHFFFAOYSA-N 1 2 294.354 3.720 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1cccc(C)n1)CC3 ZINC000605574579 1127743373 /nfs/dbraw/zinc/74/33/73/1127743373.db2.gz ZKDQXQMQORPBQG-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1cccc(C)n1)CC3 ZINC000605574579 1127743375 /nfs/dbraw/zinc/74/33/75/1127743375.db2.gz ZKDQXQMQORPBQG-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nc3c(s2)CCCC3)o1 ZINC000605593859 1127744230 /nfs/dbraw/zinc/74/42/30/1127744230.db2.gz CXXJNYRSUPZQTK-LLVKDONJSA-N 1 2 276.405 3.774 20 0 CHADLO Cc1cc(N[C@@H](Cc2ccccc2)C2CC2)nc(C2CC2)[nH+]1 ZINC000605697490 1127746819 /nfs/dbraw/zinc/74/68/19/1127746819.db2.gz KMZHZZGNOXHUAZ-KRWDZBQOSA-N 1 2 293.414 3.518 20 0 CHADLO CC1CCC(OC2CC[NH+](Cc3cscn3)CC2)CC1 ZINC000370875348 1127762643 /nfs/dbraw/zinc/76/26/43/1127762643.db2.gz FJFLJQMZILGNJW-UHFFFAOYSA-N 1 2 294.464 3.703 20 0 CHADLO CC(C)SCC[N@@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000409446094 1127769165 /nfs/dbraw/zinc/76/91/65/1127769165.db2.gz OTPSINSBAYGUQR-HNNXBMFYSA-N 1 2 299.867 3.855 20 0 CHADLO CC(C)SCC[N@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000409446094 1127769167 /nfs/dbraw/zinc/76/91/67/1127769167.db2.gz OTPSINSBAYGUQR-HNNXBMFYSA-N 1 2 299.867 3.855 20 0 CHADLO COc1cccc([C@@H]2C[C@H](C)CN2c2cccc[nH+]2)c1 ZINC000371691559 1127775818 /nfs/dbraw/zinc/77/58/18/1127775818.db2.gz BBJWTTQWRWVUAR-BBRMVZONSA-N 1 2 268.360 3.678 20 0 CHADLO Oc1cccc2ccc(/C=C\c3c[nH+]c4ccccn34)nc12 ZINC000607059271 1127789272 /nfs/dbraw/zinc/78/92/72/1127789272.db2.gz VGORQRIRZVGRDN-KTKRTIGZSA-N 1 2 287.322 3.759 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H](O)c1ccccc1 ZINC000063105701 1127796026 /nfs/dbraw/zinc/79/60/26/1127796026.db2.gz LGNXPQQVOITNIP-KRWDZBQOSA-N 1 2 278.355 3.689 20 0 CHADLO C[C@H](C[N@@H+]1CCC[C@H]1c1ncon1)c1cccc(Cl)c1 ZINC000372670803 1127808713 /nfs/dbraw/zinc/80/87/13/1127808713.db2.gz AWEAWLSXTDZVDI-RISCZKNCSA-N 1 2 291.782 3.664 20 0 CHADLO C[C@H](C[N@H+]1CCC[C@H]1c1ncon1)c1cccc(Cl)c1 ZINC000372670803 1127808718 /nfs/dbraw/zinc/80/87/18/1127808718.db2.gz AWEAWLSXTDZVDI-RISCZKNCSA-N 1 2 291.782 3.664 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)s1)Cn1cc[nH]c1=S ZINC000173294315 1127815604 /nfs/dbraw/zinc/81/56/04/1127815604.db2.gz SQKJVZOJHKKOGV-UHFFFAOYSA-N 1 2 287.841 3.740 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)s1)Cn1cc[nH]c1=S ZINC000173294315 1127815608 /nfs/dbraw/zinc/81/56/08/1127815608.db2.gz SQKJVZOJHKKOGV-UHFFFAOYSA-N 1 2 287.841 3.740 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000372826663 1127815675 /nfs/dbraw/zinc/81/56/75/1127815675.db2.gz WLSFDNIYGNNMJO-OAHLLOKOSA-N 1 2 298.386 3.898 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000372826663 1127815681 /nfs/dbraw/zinc/81/56/81/1127815681.db2.gz WLSFDNIYGNNMJO-OAHLLOKOSA-N 1 2 298.386 3.898 20 0 CHADLO CC1=C[C@@H](C)C[C@@H](C[NH2+]CC(F)(F)Br)C1 ZINC000380171099 1127818069 /nfs/dbraw/zinc/81/80/69/1127818069.db2.gz DMXIRWDWTSBOEP-PSASIEDQSA-N 1 2 282.172 3.556 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cnc3ccsc3c2)c(C)[nH+]1 ZINC000607779082 1127829382 /nfs/dbraw/zinc/82/93/82/1127829382.db2.gz GVGDTUWLEWOEND-UHFFFAOYSA-N 1 2 297.383 3.869 20 0 CHADLO C[C@@H](C(=O)Nc1ccc2[nH+]ccn2c1)c1cccc(Cl)c1 ZINC000607830105 1127830713 /nfs/dbraw/zinc/83/07/13/1127830713.db2.gz KFMHMMVCPPLYAP-LLVKDONJSA-N 1 2 299.761 3.730 20 0 CHADLO CC[C@H](Cc1ccccc1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000607852173 1127834268 /nfs/dbraw/zinc/83/42/68/1127834268.db2.gz FCTJUIROHYIQPA-OAHLLOKOSA-N 1 2 293.370 3.542 20 0 CHADLO CCc1ccc(C[NH2+]C(C)(C)c2nc(C)c(C)s2)nc1 ZINC000411390055 1127858876 /nfs/dbraw/zinc/85/88/76/1127858876.db2.gz QMNZELYYIXJWCB-UHFFFAOYSA-N 1 2 289.448 3.742 20 0 CHADLO CCc1ccc(C[N@H+]2[C@H](c3ccccc3)COC[C@@H]2C)nc1 ZINC000411424266 1127859563 /nfs/dbraw/zinc/85/95/63/1127859563.db2.gz LGCXWCWXJHBGMW-KXBFYZLASA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@@H+]2[C@H](c3ccccc3)COC[C@@H]2C)nc1 ZINC000411424266 1127859567 /nfs/dbraw/zinc/85/95/67/1127859567.db2.gz LGCXWCWXJHBGMW-KXBFYZLASA-N 1 2 296.414 3.606 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2cccc(Cl)n2)sc1C ZINC000173960055 1127861475 /nfs/dbraw/zinc/86/14/75/1127861475.db2.gz ASRGWHMVWANSCI-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2ncc(-c3ccccc3)o2)cn1 ZINC000608372507 1127863370 /nfs/dbraw/zinc/86/33/70/1127863370.db2.gz XHMVUAVTARDNPA-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO S=c1sc(C2CC2)nn1C[NH+]1CCCCCCC1 ZINC000173879094 1127858293 /nfs/dbraw/zinc/85/82/93/1127858293.db2.gz RQDJWMRROPXVNT-UHFFFAOYSA-N 1 2 283.466 3.775 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2cnc3ccc(C)cc3c2)s1 ZINC000411527183 1127863857 /nfs/dbraw/zinc/86/38/57/1127863857.db2.gz NQRRIYQOMNPQSA-LLVKDONJSA-N 1 2 298.415 3.554 20 0 CHADLO CO[C@H](C[N@@H+]1Cc2ccc(C(C)=O)cc2C1)c1ccccc1 ZINC000411964072 1127882056 /nfs/dbraw/zinc/88/20/56/1127882056.db2.gz WCIVRRFVSOZTFR-LJQANCHMSA-N 1 2 295.382 3.593 20 0 CHADLO CO[C@H](C[N@H+]1Cc2ccc(C(C)=O)cc2C1)c1ccccc1 ZINC000411964072 1127882058 /nfs/dbraw/zinc/88/20/58/1127882058.db2.gz WCIVRRFVSOZTFR-LJQANCHMSA-N 1 2 295.382 3.593 20 0 CHADLO Clc1c2ccccc2sc1C[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000608746119 1127884712 /nfs/dbraw/zinc/88/47/12/1127884712.db2.gz WVUOTHPIQGWHIL-PHIMTYICSA-N 1 2 293.819 3.918 20 0 CHADLO Clc1c2ccccc2sc1C[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000608746119 1127884716 /nfs/dbraw/zinc/88/47/16/1127884716.db2.gz WVUOTHPIQGWHIL-PHIMTYICSA-N 1 2 293.819 3.918 20 0 CHADLO CCCOc1ccc(F)cc1Nc1cc(OC)cc[nH+]1 ZINC001212195534 1127896178 /nfs/dbraw/zinc/89/61/78/1127896178.db2.gz SXHGUZCQHPLQEH-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO CC[N@H+](Cc1nc(C)oc1C)[C@H](C)c1cccc(O)c1 ZINC000659987728 1127900156 /nfs/dbraw/zinc/90/01/56/1127900156.db2.gz NESAIZWNTQGGFS-LLVKDONJSA-N 1 2 274.364 3.580 20 0 CHADLO CC[N@@H+](Cc1nc(C)oc1C)[C@H](C)c1cccc(O)c1 ZINC000659987728 1127900159 /nfs/dbraw/zinc/90/01/59/1127900159.db2.gz NESAIZWNTQGGFS-LLVKDONJSA-N 1 2 274.364 3.580 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCO[C@@H](c2cccc(F)c2)C1 ZINC000929485873 1127910224 /nfs/dbraw/zinc/91/02/24/1127910224.db2.gz OFNNFMILQHUYJZ-CQSZACIVSA-N 1 2 287.325 3.634 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCO[C@@H](c2cccc(F)c2)C1 ZINC000929485873 1127910227 /nfs/dbraw/zinc/91/02/27/1127910227.db2.gz OFNNFMILQHUYJZ-CQSZACIVSA-N 1 2 287.325 3.634 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1ccsc1 ZINC000535595241 1127921206 /nfs/dbraw/zinc/92/12/06/1127921206.db2.gz IZYAPBRGTRKQRB-UHFFFAOYSA-N 1 2 271.389 3.542 20 0 CHADLO Cn1c[nH+]cc1CNc1cc(Cl)ccc1C(C)(C)C ZINC000669826910 1127926527 /nfs/dbraw/zinc/92/65/27/1127926527.db2.gz OHQAEXHMDZYPHD-UHFFFAOYSA-N 1 2 277.799 3.983 20 0 CHADLO CCCC[C@@H](COC)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000539741328 1127938486 /nfs/dbraw/zinc/93/84/86/1127938486.db2.gz PPKFQEYISCJQBX-INIZCTEOSA-N 1 2 291.439 3.689 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOC3(CCCC3)C2)s1 ZINC000539821128 1127943287 /nfs/dbraw/zinc/94/32/87/1127943287.db2.gz JCKKHLXZVNHGAP-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Clc1ccc(C[N@H+]2CCOC3(CCCC3)C2)s1 ZINC000539821128 1127943289 /nfs/dbraw/zinc/94/32/89/1127943289.db2.gz JCKKHLXZVNHGAP-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc(OC(F)F)c(Cl)c2)C1 ZINC000539924116 1127954704 /nfs/dbraw/zinc/95/47/04/1127954704.db2.gz QPHNJZHAULONDI-ZDUSSCGKSA-N 1 2 293.716 3.875 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc(OC(F)F)c(Cl)c2)C1 ZINC000539924116 1127954710 /nfs/dbraw/zinc/95/47/10/1127954710.db2.gz QPHNJZHAULONDI-ZDUSSCGKSA-N 1 2 293.716 3.875 20 0 CHADLO CC(C)C[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccccc1 ZINC000540341893 1127976019 /nfs/dbraw/zinc/97/60/19/1127976019.db2.gz BYZSMGBQZINKMW-OAHLLOKOSA-N 1 2 285.391 3.824 20 0 CHADLO CC[C@@]1(C)C[C@H]1c1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000544657550 1127980270 /nfs/dbraw/zinc/98/02/70/1127980270.db2.gz VWOHXLKJNMODIL-GUYCJALGSA-N 1 2 296.374 3.520 20 0 CHADLO Cc1ccc(CSc2[nH+]cnc3[nH]ccc32)cc1C ZINC000467788381 1127980944 /nfs/dbraw/zinc/98/09/44/1127980944.db2.gz JGUDLTGLLFPBNG-UHFFFAOYSA-N 1 2 269.373 3.867 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+]1C[C@H](C)[C@H]1c1ccccc1 ZINC000471023447 1127982589 /nfs/dbraw/zinc/98/25/89/1127982589.db2.gz UXGMVMBEVYFPHM-WBAXXEDZSA-N 1 2 295.382 3.594 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+]1C[C@H](C)[C@H]1c1ccccc1 ZINC000471023447 1127982593 /nfs/dbraw/zinc/98/25/93/1127982593.db2.gz UXGMVMBEVYFPHM-WBAXXEDZSA-N 1 2 295.382 3.594 20 0 CHADLO Cc1cc([NH+]2CCCC2)ccc1N[C@@H](C)C1CCOCC1 ZINC000540708206 1127991202 /nfs/dbraw/zinc/99/12/02/1127991202.db2.gz NMMYBBSOIUAELY-HNNXBMFYSA-N 1 2 288.435 3.822 20 0 CHADLO CCc1ncc(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)o1 ZINC000929631189 1127992506 /nfs/dbraw/zinc/99/25/06/1127992506.db2.gz DFVMUZDWGNTMFG-HNNXBMFYSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1ncc(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)o1 ZINC000929631189 1127992510 /nfs/dbraw/zinc/99/25/10/1127992510.db2.gz DFVMUZDWGNTMFG-HNNXBMFYSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2cc(F)c(F)c(F)c2)o1 ZINC000540769132 1127994363 /nfs/dbraw/zinc/99/43/63/1127994363.db2.gz OGUVOVSNFOHFGU-UHFFFAOYSA-N 1 2 283.293 3.891 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2cc(F)c(F)c(F)c2)o1 ZINC000540769132 1127994368 /nfs/dbraw/zinc/99/43/68/1127994368.db2.gz OGUVOVSNFOHFGU-UHFFFAOYSA-N 1 2 283.293 3.891 20 0 CHADLO Cc1cc(N2CC[C@H](C(C)(C)C)C2)nc(C(C)C)[nH+]1 ZINC000541341642 1128018959 /nfs/dbraw/zinc/01/89/59/1128018959.db2.gz XDWXWMKLHOGCCD-ZDUSSCGKSA-N 1 2 261.413 3.781 20 0 CHADLO C[C@@H]([NH2+]Cc1ccno1)c1nc(-c2ccccc2)cs1 ZINC000541661271 1128032759 /nfs/dbraw/zinc/03/27/59/1128032759.db2.gz UPOGBCUOIVPVFR-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO C[N@H+](CCc1cccc2ccccc21)CC(=O)OC(C)(C)C ZINC000303142506 1128054052 /nfs/dbraw/zinc/05/40/52/1128054052.db2.gz QEXAFDZQVRBRHN-UHFFFAOYSA-N 1 2 299.414 3.656 20 0 CHADLO C[N@@H+](CCc1cccc2ccccc21)CC(=O)OC(C)(C)C ZINC000303142506 1128054055 /nfs/dbraw/zinc/05/40/55/1128054055.db2.gz QEXAFDZQVRBRHN-UHFFFAOYSA-N 1 2 299.414 3.656 20 0 CHADLO C[C@@H](C[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1cccc(Cl)c1 ZINC000470355495 1128069016 /nfs/dbraw/zinc/06/90/16/1128069016.db2.gz WMMLIDLUSJZFJO-RYUDHWBXSA-N 1 2 297.826 3.763 20 0 CHADLO C[C@H]([N@H+](Cc1csnn1)Cc1ccccc1)C(C)(C)C ZINC000470550347 1128073293 /nfs/dbraw/zinc/07/32/93/1128073293.db2.gz XNZFUZPYKIXWTH-ZDUSSCGKSA-N 1 2 289.448 3.975 20 0 CHADLO C[C@H]([N@@H+](Cc1csnn1)Cc1ccccc1)C(C)(C)C ZINC000470550347 1128073296 /nfs/dbraw/zinc/07/32/96/1128073296.db2.gz XNZFUZPYKIXWTH-ZDUSSCGKSA-N 1 2 289.448 3.975 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1cccc(O)c1Cl ZINC000328341876 1128077940 /nfs/dbraw/zinc/07/79/40/1128077940.db2.gz LKIRHXUOJGXXPK-QMMMGPOBSA-N 1 2 280.755 3.501 20 0 CHADLO Cc1ccccc1[C@@H](c1noc([C@@H](C)[NH+](C)C)n1)C(C)C ZINC000924067480 1128090343 /nfs/dbraw/zinc/09/03/43/1128090343.db2.gz AWCDAVLLNBPKCY-HIFRSBDPSA-N 1 2 287.407 3.789 20 0 CHADLO CC(C)[C@@H]1C[N@@H+]([C@@H](C)c2nc(C(C)(C)C)no2)CCS1 ZINC000174336914 1128100199 /nfs/dbraw/zinc/10/01/99/1128100199.db2.gz PEPNJCLAYAMVHK-RYUDHWBXSA-N 1 2 297.468 3.502 20 0 CHADLO CC(C)[C@@H]1C[N@H+]([C@@H](C)c2nc(C(C)(C)C)no2)CCS1 ZINC000174336914 1128100202 /nfs/dbraw/zinc/10/02/02/1128100202.db2.gz PEPNJCLAYAMVHK-RYUDHWBXSA-N 1 2 297.468 3.502 20 0 CHADLO Cc1csc(C[NH2+][C@@H](C)c2cc(-c3ccccc3)no2)n1 ZINC000924616340 1128108337 /nfs/dbraw/zinc/10/83/37/1128108337.db2.gz SBJRMPGQNZVGFX-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCSc2c(F)cccc21)c1ncco1 ZINC000924647706 1128109200 /nfs/dbraw/zinc/10/92/00/1128109200.db2.gz IONINPNNNOFDCQ-BXKDBHETSA-N 1 2 278.352 3.701 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000924717418 1128110795 /nfs/dbraw/zinc/11/07/95/1128110795.db2.gz UNDXTMFKXKJLQL-SWHYSGLUSA-N 1 2 286.375 3.867 20 0 CHADLO CCOc1ccccc1C[NH2+][C@H]1CCCc2oc(C)nc21 ZINC000924739248 1128111371 /nfs/dbraw/zinc/11/13/71/1128111371.db2.gz HITBEPRKRDEUDI-AWEZNQCLSA-N 1 2 286.375 3.549 20 0 CHADLO CCC[C@H]([NH2+]Cc1c(C)noc1C)c1ncccc1Cl ZINC000924782930 1128113172 /nfs/dbraw/zinc/11/31/72/1128113172.db2.gz SNHMYPJVWHAGIU-AWEZNQCLSA-N 1 2 293.798 3.971 20 0 CHADLO FC1=CCC[N@H+](CCOc2cccc3ccccc32)C1 ZINC000492811977 1128113211 /nfs/dbraw/zinc/11/32/11/1128113211.db2.gz XVRYIFDYACKRLA-UHFFFAOYSA-N 1 2 271.335 3.778 20 0 CHADLO FC1=CCC[N@@H+](CCOc2cccc3ccccc32)C1 ZINC000492811977 1128113214 /nfs/dbraw/zinc/11/32/14/1128113214.db2.gz XVRYIFDYACKRLA-UHFFFAOYSA-N 1 2 271.335 3.778 20 0 CHADLO C[C@H](c1ccc(OC(F)F)cc1)[N@@H+]1CCC=C(F)C1 ZINC000492805607 1128113263 /nfs/dbraw/zinc/11/32/63/1128113263.db2.gz GMGQSHBQFQBJEC-SNVBAGLBSA-N 1 2 271.282 3.908 20 0 CHADLO C[C@H](c1ccc(OC(F)F)cc1)[N@H+]1CCC=C(F)C1 ZINC000492805607 1128113266 /nfs/dbraw/zinc/11/32/66/1128113266.db2.gz GMGQSHBQFQBJEC-SNVBAGLBSA-N 1 2 271.282 3.908 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C\c2ccsc2)c(C)[nH+]1 ZINC000492795118 1128113786 /nfs/dbraw/zinc/11/37/86/1128113786.db2.gz OIJKDMFLACMYKY-PLNGDYQASA-N 1 2 272.373 3.720 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@H](C)c1ccns1)c1ccco1 ZINC000924889756 1128116085 /nfs/dbraw/zinc/11/60/85/1128116085.db2.gz MRDRBBMATWKVES-CHWSQXEVSA-N 1 2 294.420 3.801 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H](C)c2cc(O)cc(F)c2)n1 ZINC000924924409 1128116574 /nfs/dbraw/zinc/11/65/74/1128116574.db2.gz FTRMCVFWLWAGBD-NXEZZACHSA-N 1 2 280.368 3.708 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H]2CCc3c2nccc3Cl)on1 ZINC000925070156 1128120725 /nfs/dbraw/zinc/12/07/25/1128120725.db2.gz ZVDWDINSSAZLIU-ZDUSSCGKSA-N 1 2 291.782 3.624 20 0 CHADLO Cc1cc([C@@H]([NH2+][C@H](C)c2ncco2)C2CC2)ccc1F ZINC000925642897 1128135442 /nfs/dbraw/zinc/13/54/42/1128135442.db2.gz HTAKVXJBCKRNLQ-ABAIWWIYSA-N 1 2 274.339 3.924 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1cc[nH]c(=O)c1 ZINC000925728009 1128139115 /nfs/dbraw/zinc/13/91/15/1128139115.db2.gz RYOQXSYMPZRXNZ-ZDUSSCGKSA-N 1 2 283.375 3.561 20 0 CHADLO COc1ccnc([C@H](C)Nc2ccc([NH+](C)C)cc2C)c1 ZINC000925721371 1128138113 /nfs/dbraw/zinc/13/81/13/1128138113.db2.gz MMVPSKOCOQHXHH-ZDUSSCGKSA-N 1 2 285.391 3.638 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1nnc(-c2ccccc2)[nH]1 ZINC000777577054 1128143749 /nfs/dbraw/zinc/14/37/49/1128143749.db2.gz YWRRWGNSDIAETL-CQSZACIVSA-N 1 2 292.386 3.631 20 0 CHADLO Cc1cc(N(C)Cc2cccc3[nH]ccc32)nc(C2CC2)[nH+]1 ZINC000493711862 1128147513 /nfs/dbraw/zinc/14/75/13/1128147513.db2.gz UVZFSUXROTZBHA-UHFFFAOYSA-N 1 2 292.386 3.780 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2Nc1ccc([NH+](C)C)cc1 ZINC000926028923 1128150177 /nfs/dbraw/zinc/15/01/77/1128150177.db2.gz XEANOEANDCITBH-AWEZNQCLSA-N 1 2 271.364 3.539 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+][C@@H]1C[C@@H](C)n2ncnc21 ZINC000926264809 1128164019 /nfs/dbraw/zinc/16/40/19/1128164019.db2.gz AESWHSWPVFYUNJ-MPKXVKKWSA-N 1 2 296.374 3.689 20 0 CHADLO CCCc1noc(C[N@H+](C)C/C(C)=C/c2ccccc2)n1 ZINC000494293349 1128168687 /nfs/dbraw/zinc/16/86/87/1128168687.db2.gz OLUQUJJBGAPVTR-SDNWHVSQSA-N 1 2 285.391 3.557 20 0 CHADLO CCCc1noc(C[N@@H+](C)C/C(C)=C/c2ccccc2)n1 ZINC000494293349 1128168690 /nfs/dbraw/zinc/16/86/90/1128168690.db2.gz OLUQUJJBGAPVTR-SDNWHVSQSA-N 1 2 285.391 3.557 20 0 CHADLO COC(=O)c1ccoc1C[N@H+](C)C/C(C)=C/c1ccccc1 ZINC000494287792 1128169060 /nfs/dbraw/zinc/16/90/60/1128169060.db2.gz MLAUXJVGEAFLRW-SDNWHVSQSA-N 1 2 299.370 3.602 20 0 CHADLO COC(=O)c1ccoc1C[N@@H+](C)C/C(C)=C/c1ccccc1 ZINC000494287792 1128169061 /nfs/dbraw/zinc/16/90/61/1128169061.db2.gz MLAUXJVGEAFLRW-SDNWHVSQSA-N 1 2 299.370 3.602 20 0 CHADLO CCCc1noc(C[N@H+](C)C/C(C)=C\c2ccccc2)n1 ZINC000494293348 1128169202 /nfs/dbraw/zinc/16/92/02/1128169202.db2.gz OLUQUJJBGAPVTR-KAMYIIQDSA-N 1 2 285.391 3.557 20 0 CHADLO CCCc1noc(C[N@@H+](C)C/C(C)=C\c2ccccc2)n1 ZINC000494293348 1128169205 /nfs/dbraw/zinc/16/92/05/1128169205.db2.gz OLUQUJJBGAPVTR-KAMYIIQDSA-N 1 2 285.391 3.557 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2cc(O)cc(F)c2)o1 ZINC000926476015 1128174210 /nfs/dbraw/zinc/17/42/10/1128174210.db2.gz IDGMVYGOTOATMZ-SNVBAGLBSA-N 1 2 292.354 3.631 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@H](C)c1cccc(C2CC2)c1 ZINC000926492551 1128175743 /nfs/dbraw/zinc/17/57/43/1128175743.db2.gz FRASSKVEQLWAHT-GHMZBOCLSA-N 1 2 271.364 3.667 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2cc(-c3ccccc3)no2)o1 ZINC000926536600 1128179021 /nfs/dbraw/zinc/17/90/21/1128179021.db2.gz FJWKLTMRFNXJBN-LBPRGKRZSA-N 1 2 297.358 3.743 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C(C)(C)C)[nH]1)c1coc2ccccc12 ZINC000926569511 1128181552 /nfs/dbraw/zinc/18/15/52/1128181552.db2.gz FNWFCZMKRGKTTK-NSHDSACASA-N 1 2 298.390 3.699 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000780400156 1128182397 /nfs/dbraw/zinc/18/23/97/1128182397.db2.gz HRJUYWUWAPNRCR-NWDGAFQWSA-N 1 2 297.468 3.606 20 0 CHADLO Cc1csc(SCc2ccc(C)[nH+]c2C)n1 ZINC000187336762 1128186095 /nfs/dbraw/zinc/18/60/95/1128186095.db2.gz OFVZYHBLEPEDJY-UHFFFAOYSA-N 1 2 250.392 3.756 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cn(C(C)C)nn1)c1ccccc1F ZINC000926660470 1128186626 /nfs/dbraw/zinc/18/66/26/1128186626.db2.gz LEWMQNQKALNVAW-SWLSCSKDSA-N 1 2 290.386 3.800 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1cc2ccccc2[nH]1 ZINC000926757955 1128191783 /nfs/dbraw/zinc/19/17/83/1128191783.db2.gz YPUJQIZCZMLORO-SNVBAGLBSA-N 1 2 252.308 3.864 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc(C(C)(C)O)cc1 ZINC000926757980 1128192069 /nfs/dbraw/zinc/19/20/69/1128192069.db2.gz YZYLZDYWSFQCCC-LLVKDONJSA-N 1 2 271.351 3.610 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@@H](c1ccccn1)C1CCC1 ZINC000926753055 1128192307 /nfs/dbraw/zinc/19/23/07/1128192307.db2.gz PEWUIMXHZPAZIU-NVXWUHKLSA-N 1 2 297.402 3.886 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@H](C)c1cc2cnccc2o1 ZINC000926811047 1128196435 /nfs/dbraw/zinc/19/64/35/1128196435.db2.gz GJZYDLGSXZPTEH-MFKMUULPSA-N 1 2 297.358 3.852 20 0 CHADLO CCC[C@H](C)c1noc(-c2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC000761847541 1128245776 /nfs/dbraw/zinc/24/57/76/1128245776.db2.gz VLOXYBQSMNYEGV-ZDUSSCGKSA-N 1 2 296.374 3.885 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(F)ccc(Br)c2F)C1 ZINC000230343154 1128251041 /nfs/dbraw/zinc/25/10/41/1128251041.db2.gz OURQAGJCAHGPRC-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(F)ccc(Br)c2F)C1 ZINC000230343154 1128251043 /nfs/dbraw/zinc/25/10/43/1128251043.db2.gz OURQAGJCAHGPRC-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H](C)CC(C)(C)OC)cc1 ZINC001234590888 1128210167 /nfs/dbraw/zinc/21/01/67/1128210167.db2.gz VHSLGADVFOBBJL-LBPRGKRZSA-N 1 2 279.380 3.631 20 0 CHADLO c1nc2c(s1)CCC[C@H]2[NH2+][C@H]1CCCc2cccnc21 ZINC000927062321 1128212960 /nfs/dbraw/zinc/21/29/60/1128212960.db2.gz LHEFDNIXNUNMMB-QWHCGFSZSA-N 1 2 285.416 3.583 20 0 CHADLO CC(C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1)=C1CCCC1 ZINC000176567999 1128216157 /nfs/dbraw/zinc/21/61/57/1128216157.db2.gz IOFJAZWWDSUYPB-UHFFFAOYSA-N 1 2 299.349 3.840 20 0 CHADLO CC1(C)C(C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)C1(C)C ZINC000494963256 1128217237 /nfs/dbraw/zinc/21/72/37/1128217237.db2.gz XVMIJIWFXJQQKD-CQSZACIVSA-N 1 2 290.455 3.698 20 0 CHADLO CC(C)C[C@@H]([NH2+]Cc1cc(C2CC2)n(C)n1)c1ccccn1 ZINC000927112316 1128218549 /nfs/dbraw/zinc/21/85/49/1128218549.db2.gz LYBRIOUQLIPHBJ-QGZVFWFLSA-N 1 2 298.434 3.570 20 0 CHADLO CC(C)(C)c1cn(C[C@]2(C)CC2(Cl)Cl)c[nH+]1 ZINC001118928084 1131242950 /nfs/dbraw/zinc/24/29/50/1131242950.db2.gz VWOHCWQMDOYKGW-NSHDSACASA-N 1 2 261.196 3.765 20 0 CHADLO C[C@@H](Cc1cccc(F)c1)[N@@H+]1CCCCC(F)(F)C1 ZINC001168475822 1128224567 /nfs/dbraw/zinc/22/45/67/1128224567.db2.gz QAPZIRJJLCZAQD-LBPRGKRZSA-N 1 2 271.326 3.878 20 0 CHADLO C[C@@H](Cc1cccc(F)c1)[N@H+]1CCCCC(F)(F)C1 ZINC001168475822 1128224570 /nfs/dbraw/zinc/22/45/70/1128224570.db2.gz QAPZIRJJLCZAQD-LBPRGKRZSA-N 1 2 271.326 3.878 20 0 CHADLO C(=C/c1ccncc1)\C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000495065252 1128227240 /nfs/dbraw/zinc/22/72/40/1128227240.db2.gz JLKPPXQQAACJBB-ONEGZZNKSA-N 1 2 289.382 3.634 20 0 CHADLO C(=C/c1ccncc1)\C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000495065252 1128227243 /nfs/dbraw/zinc/22/72/43/1128227243.db2.gz JLKPPXQQAACJBB-ONEGZZNKSA-N 1 2 289.382 3.634 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+](C)Cc1ccco1 ZINC000495073098 1128228382 /nfs/dbraw/zinc/22/83/82/1128228382.db2.gz HKYBBWAKEYMCHD-UHFFFAOYSA-N 1 2 279.767 3.964 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+](C)Cc1ccco1 ZINC000495073098 1128228384 /nfs/dbraw/zinc/22/83/84/1128228384.db2.gz HKYBBWAKEYMCHD-UHFFFAOYSA-N 1 2 279.767 3.964 20 0 CHADLO CCN(Cc1[nH]c(C)[nH+]c1C)Cc1cccc(Cl)c1 ZINC000929279741 1128267389 /nfs/dbraw/zinc/26/73/89/1128267389.db2.gz OZJFCLYBPBXMME-UHFFFAOYSA-N 1 2 277.799 3.702 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc3c(c2)OCO3)ccc1[NH+](C)C ZINC000777643474 1128233232 /nfs/dbraw/zinc/23/32/32/1128233232.db2.gz PGESMPPWNURJRA-ZDUSSCGKSA-N 1 2 298.386 3.963 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)C1CCCC1 ZINC000777691107 1128242228 /nfs/dbraw/zinc/24/22/28/1128242228.db2.gz BLEDNNWKARJCLH-LSDHHAIUSA-N 1 2 288.435 3.902 20 0 CHADLO CCC[C@@H](C)c1noc(-c2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000761840902 1128242910 /nfs/dbraw/zinc/24/29/10/1128242910.db2.gz DRJRFPQXZHHQRM-CYBMUJFWSA-N 1 2 296.374 3.885 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC[C@@H]1c1cccs1 ZINC000929373172 1128271544 /nfs/dbraw/zinc/27/15/44/1128271544.db2.gz WCOIYYZEYWRNAU-CQSZACIVSA-N 1 2 297.383 3.602 20 0 CHADLO Cc1cn(C)nc1C[NH+]1CCC(=Cc2ccccc2F)CC1 ZINC000929826862 1128288975 /nfs/dbraw/zinc/28/89/75/1128288975.db2.gz QHHKVKUQZOOBPR-UHFFFAOYSA-N 1 2 299.393 3.547 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCO[C@H](c2ccsc2)C1 ZINC000929827522 1128289659 /nfs/dbraw/zinc/28/96/59/1128289659.db2.gz CQUTYBIVJBGIFL-LBPRGKRZSA-N 1 2 275.364 3.557 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCO[C@H](c2ccsc2)C1 ZINC000929827522 1128289661 /nfs/dbraw/zinc/28/96/61/1128289661.db2.gz CQUTYBIVJBGIFL-LBPRGKRZSA-N 1 2 275.364 3.557 20 0 CHADLO Cc1nnsc1[C@H](C)[N@H+](C)Cc1cc2ccccc2o1 ZINC000929771115 1128286610 /nfs/dbraw/zinc/28/66/10/1128286610.db2.gz ZOLIWRWISACPGY-NSHDSACASA-N 1 2 287.388 3.786 20 0 CHADLO Cc1nnsc1[C@H](C)[N@@H+](C)Cc1cc2ccccc2o1 ZINC000929771115 1128286614 /nfs/dbraw/zinc/28/66/14/1128286614.db2.gz ZOLIWRWISACPGY-NSHDSACASA-N 1 2 287.388 3.786 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1coc(C(C)(C)C)n1 ZINC000929862525 1128291252 /nfs/dbraw/zinc/29/12/52/1128291252.db2.gz YFALLAQNWBCYRM-HNNXBMFYSA-N 1 2 287.407 3.648 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1coc(C(C)(C)C)n1 ZINC000929862525 1128291256 /nfs/dbraw/zinc/29/12/56/1128291256.db2.gz YFALLAQNWBCYRM-HNNXBMFYSA-N 1 2 287.407 3.648 20 0 CHADLO CNc1ccc(Nc2cc(-c3cccc(F)c3)no2)c[nH+]1 ZINC001203452135 1128294849 /nfs/dbraw/zinc/29/48/49/1128294849.db2.gz GLLXBNVWKGXVEN-UHFFFAOYSA-N 1 2 284.294 3.661 20 0 CHADLO Cc1cc(C)nc(C[NH2+]C2(c3nccs3)CCCC2)c1 ZINC000930009021 1128297490 /nfs/dbraw/zinc/29/74/90/1128297490.db2.gz BWMORJUSKXWKEV-UHFFFAOYSA-N 1 2 287.432 3.714 20 0 CHADLO Fc1cncc(F)c1C[NH2+]C1(c2cccc(Cl)c2)CC1 ZINC000930225879 1128309529 /nfs/dbraw/zinc/30/95/29/1128309529.db2.gz GLIJKBMIEOFCHY-UHFFFAOYSA-N 1 2 294.732 3.792 20 0 CHADLO c1cc2c(cn1)C[N@H+](C[C@H]1CCC3(CCCCCC3)O1)C2 ZINC000930462073 1128319593 /nfs/dbraw/zinc/31/95/93/1128319593.db2.gz FEYLDGDBJKZZFA-QGZVFWFLSA-N 1 2 286.419 3.669 20 0 CHADLO c1cc2c(cn1)C[N@@H+](C[C@H]1CCC3(CCCCCC3)O1)C2 ZINC000930462073 1128319596 /nfs/dbraw/zinc/31/95/96/1128319596.db2.gz FEYLDGDBJKZZFA-QGZVFWFLSA-N 1 2 286.419 3.669 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1c(F)ccc(C)c1F ZINC000930478197 1128320915 /nfs/dbraw/zinc/32/09/15/1128320915.db2.gz XZSXHTJKDPISPJ-UHFFFAOYSA-N 1 2 294.345 3.903 20 0 CHADLO CCOc1ccccc1NC1CCN(c2cccc[nH+]2)CC1 ZINC000236960941 1128321019 /nfs/dbraw/zinc/32/10/19/1128321019.db2.gz ROTYVTVHQKPGAA-UHFFFAOYSA-N 1 2 297.402 3.561 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)cc1 ZINC000393376613 1128334497 /nfs/dbraw/zinc/33/44/97/1128334497.db2.gz WIIPJFKRBGCUTG-SNVBAGLBSA-N 1 2 295.839 3.646 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(C(C)C)ns2)ccc1F ZINC000930598985 1128327812 /nfs/dbraw/zinc/32/78/12/1128327812.db2.gz SNKQDIDERPFBSE-LLVKDONJSA-N 1 2 293.411 3.960 20 0 CHADLO c1nnc(C[N@H+](C2CC2)[C@H]2CCCc3ccccc32)s1 ZINC000929890017 1128331058 /nfs/dbraw/zinc/33/10/58/1128331058.db2.gz LPJFEXXLXQSBQA-HNNXBMFYSA-N 1 2 285.416 3.580 20 0 CHADLO c1nnc(C[N@@H+](C2CC2)[C@H]2CCCc3ccccc32)s1 ZINC000929890017 1128331064 /nfs/dbraw/zinc/33/10/64/1128331064.db2.gz LPJFEXXLXQSBQA-HNNXBMFYSA-N 1 2 285.416 3.580 20 0 CHADLO FC1(C2CC2)CC[NH+](C/C(Cl)=C\Cl)CC1 ZINC000932625857 1128418283 /nfs/dbraw/zinc/41/82/83/1128418283.db2.gz DHSKBKOBRIRMHJ-JXMROGBWSA-N 1 2 252.160 3.520 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2ccccc2C(C)C)n1 ZINC000930889291 1128338243 /nfs/dbraw/zinc/33/82/43/1128338243.db2.gz MAVKLRNECRWUSF-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2ccccc2C(C)C)n1 ZINC000930889291 1128338246 /nfs/dbraw/zinc/33/82/46/1128338246.db2.gz MAVKLRNECRWUSF-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO c1cc(N[C@H]2CCC[C@@H]2C2CC2)[nH+]cc1N1CCCC1 ZINC000561800988 1128354157 /nfs/dbraw/zinc/35/41/57/1128354157.db2.gz MIGCEBSVSYJYAU-CVEARBPZSA-N 1 2 271.408 3.672 20 0 CHADLO CCOc1cc(C[NH+]2Cc3ccccc3C2)ccc1F ZINC001235795906 1128360086 /nfs/dbraw/zinc/36/00/86/1128360086.db2.gz SYKLSFUOKQAGQA-UHFFFAOYSA-N 1 2 271.335 3.740 20 0 CHADLO Clc1nc(C[N@@H+]2C[C@H]3C[C@@]3(c3ccccc3)C2)cs1 ZINC000931922837 1128387818 /nfs/dbraw/zinc/38/78/18/1128387818.db2.gz GYWALBREIACXMB-DOMZBBRYSA-N 1 2 290.819 3.570 20 0 CHADLO Clc1nc(C[N@H+]2C[C@H]3C[C@@]3(c3ccccc3)C2)cs1 ZINC000931922837 1128387822 /nfs/dbraw/zinc/38/78/22/1128387822.db2.gz GYWALBREIACXMB-DOMZBBRYSA-N 1 2 290.819 3.570 20 0 CHADLO FC1(F)C[C@]2(CC[N@H+](C/C(Cl)=C\Cl)C2)C1(F)F ZINC000931920679 1128388019 /nfs/dbraw/zinc/38/80/19/1128388019.db2.gz ZGPLLTBGHNUEMW-DKCNVOGISA-N 1 2 292.103 3.672 20 0 CHADLO FC1(F)C[C@]2(CC[N@@H+](C/C(Cl)=C\Cl)C2)C1(F)F ZINC000931920679 1128388024 /nfs/dbraw/zinc/38/80/24/1128388024.db2.gz ZGPLLTBGHNUEMW-DKCNVOGISA-N 1 2 292.103 3.672 20 0 CHADLO C[C@H](CCc1ccsc1)[NH2+][C@@H](C)C(=O)OC1CCCC1 ZINC000763287559 1128388411 /nfs/dbraw/zinc/38/84/11/1128388411.db2.gz IYRVNNATZMOJTQ-OLZOCXBDSA-N 1 2 295.448 3.533 20 0 CHADLO Cc1ncc(C[NH2+]Cc2c(Cl)cccc2Cl)o1 ZINC000397897859 1128388444 /nfs/dbraw/zinc/38/84/44/1128388444.db2.gz JIJXXDRQKPUKAQ-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(=O)OC1CCCC1)[C@H](C)c1ccccc1 ZINC000763289703 1128388842 /nfs/dbraw/zinc/38/88/42/1128388842.db2.gz UFFBYSCYILJYRM-ZNMIVQPWSA-N 1 2 289.419 3.643 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)cn1)C2 ZINC000562318722 1128394401 /nfs/dbraw/zinc/39/44/01/1128394401.db2.gz HDNSZIICRWGXLL-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)cn1)C2 ZINC000562318722 1128394405 /nfs/dbraw/zinc/39/44/05/1128394405.db2.gz HDNSZIICRWGXLL-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1conc1C[NH2+][C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000932213555 1128400797 /nfs/dbraw/zinc/40/07/97/1128400797.db2.gz WYBAGJDSKRCUNG-CQSZACIVSA-N 1 2 284.359 3.519 20 0 CHADLO C/C=C/C[C@H]([NH2+]CC[C@H](C)c1ccccc1)C(=O)OCC ZINC000562488891 1128405835 /nfs/dbraw/zinc/40/58/35/1128405835.db2.gz BXZZZVUPENFKAK-DVJJNNKXSA-N 1 2 289.419 3.668 20 0 CHADLO Cc1ccc(NCc2cccc(O)c2Cl)c(C)[nH+]1 ZINC000328196275 1128409033 /nfs/dbraw/zinc/40/90/33/1128409033.db2.gz DZBDPNIGOTWDGD-UHFFFAOYSA-N 1 2 262.740 3.670 20 0 CHADLO CC(C)C[N@H+](Cc1scnc1Cl)Cc1ccccn1 ZINC000932447272 1128410334 /nfs/dbraw/zinc/41/03/34/1128410334.db2.gz PRQXUMWZVBTSQD-UHFFFAOYSA-N 1 2 295.839 3.850 20 0 CHADLO CC(C)C[N@@H+](Cc1scnc1Cl)Cc1ccccn1 ZINC000932447272 1128410335 /nfs/dbraw/zinc/41/03/35/1128410335.db2.gz PRQXUMWZVBTSQD-UHFFFAOYSA-N 1 2 295.839 3.850 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1c(F)cc(F)c(F)c1F ZINC001205173237 1128440365 /nfs/dbraw/zinc/44/03/65/1128440365.db2.gz DOWBJJGXSXSVBK-UHFFFAOYSA-N 1 2 284.256 3.679 20 0 CHADLO c1cc(C[N@@H+](Cc2nc3ccccc3o2)C2CC2)co1 ZINC000934004279 1128449718 /nfs/dbraw/zinc/44/97/18/1128449718.db2.gz PGDCJEOBVIWPGA-UHFFFAOYSA-N 1 2 268.316 3.585 20 0 CHADLO c1cc(C[N@H+](Cc2nc3ccccc3o2)C2CC2)co1 ZINC000934004279 1128449720 /nfs/dbraw/zinc/44/97/20/1128449720.db2.gz PGDCJEOBVIWPGA-UHFFFAOYSA-N 1 2 268.316 3.585 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(OC(C)C)c2)cs1 ZINC000065301320 1128461972 /nfs/dbraw/zinc/46/19/72/1128461972.db2.gz IOTVZBQQDJZYRK-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(OC(C)C)c2)cs1 ZINC000065301320 1128461977 /nfs/dbraw/zinc/46/19/77/1128461977.db2.gz IOTVZBQQDJZYRK-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1Cc2ccccc2[C@H](C)C1 ZINC000917039608 1128468301 /nfs/dbraw/zinc/46/83/01/1128468301.db2.gz KMSLZAZALOCKAK-NEPJUHHUSA-N 1 2 283.375 3.627 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1Cc2ccccc2[C@H](C)C1 ZINC000917039608 1128468304 /nfs/dbraw/zinc/46/83/04/1128468304.db2.gz KMSLZAZALOCKAK-NEPJUHHUSA-N 1 2 283.375 3.627 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC=C(c3cccc(C)c3C)CC2)o1 ZINC000934844182 1128470821 /nfs/dbraw/zinc/47/08/21/1128470821.db2.gz LFMUCJRTWPJWTI-CQSZACIVSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(c3cccc(C)c3C)CC2)o1 ZINC000934844182 1128470823 /nfs/dbraw/zinc/47/08/23/1128470823.db2.gz LFMUCJRTWPJWTI-CQSZACIVSA-N 1 2 297.402 3.845 20 0 CHADLO COc1ccccc1[C@H]([NH2+]Cc1ccn(C)c1)c1ccco1 ZINC000126971539 1128471073 /nfs/dbraw/zinc/47/10/73/1128471073.db2.gz QHYMPTLXKHDBQS-SFHVURJKSA-N 1 2 296.370 3.506 20 0 CHADLO CCC[C@@H](C)[C@@H]1CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934917996 1128473749 /nfs/dbraw/zinc/47/37/49/1128473749.db2.gz VGKXSZHOXNBHNX-WBMJQRKESA-N 1 2 285.391 3.604 20 0 CHADLO CCC[C@H](C)CC[C@@H](C)[N@@H+]1C[C@H](CO)CC(F)(F)C1 ZINC001257475894 1128479353 /nfs/dbraw/zinc/47/93/53/1128479353.db2.gz IAGCRYSEQSXHJW-BFHYXJOUSA-N 1 2 277.399 3.541 20 0 CHADLO CCC[C@H](C)CC[C@@H](C)[N@H+]1C[C@H](CO)CC(F)(F)C1 ZINC001257475894 1128479355 /nfs/dbraw/zinc/47/93/55/1128479355.db2.gz IAGCRYSEQSXHJW-BFHYXJOUSA-N 1 2 277.399 3.541 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2ccc(C3CC3)cc2)n1 ZINC000563799742 1128479570 /nfs/dbraw/zinc/47/95/70/1128479570.db2.gz NAMBBVVSNUOTAL-NEPJUHHUSA-N 1 2 285.391 3.921 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Cl)cn2)[C@H]1c1ccccc1 ZINC000563736617 1128476518 /nfs/dbraw/zinc/47/65/18/1128476518.db2.gz JSWHTWBTYWJFOV-BLLLJJGKSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)cn2)[C@H]1c1ccccc1 ZINC000563736617 1128476520 /nfs/dbraw/zinc/47/65/20/1128476520.db2.gz JSWHTWBTYWJFOV-BLLLJJGKSA-N 1 2 272.779 3.928 20 0 CHADLO CCC(C)(C)c1ccc(C[NH2+][C@@H](C)c2nnc(C)o2)cc1 ZINC000563822970 1128480948 /nfs/dbraw/zinc/48/09/48/1128480948.db2.gz FCUXSPYTFDVJNB-LBPRGKRZSA-N 1 2 287.407 3.916 20 0 CHADLO CC(=O)[C@@H](C)SCc1c[nH+]c(N(C)C)c2ccccc12 ZINC000917164377 1128489724 /nfs/dbraw/zinc/48/97/24/1128489724.db2.gz ZKUMTNCFAIIZKE-GFCCVEGCSA-N 1 2 288.416 3.512 20 0 CHADLO Cc1cccn2cc(Cc3noc(C(C)(C)C(C)C)n3)[nH+]c12 ZINC000564235788 1128500539 /nfs/dbraw/zinc/50/05/39/1128500539.db2.gz SNJQTTZPWAQSBE-UHFFFAOYSA-N 1 2 298.390 3.550 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(Cl)nc2C)c(C)[nH+]1 ZINC000154508666 1128518489 /nfs/dbraw/zinc/51/84/89/1128518489.db2.gz GJPSUTUOMSHHKO-UHFFFAOYSA-N 1 2 289.766 3.616 20 0 CHADLO COc1cc[nH+]c(Nc2ccnc(Cl)c2Cl)c1 ZINC001212230500 1128523403 /nfs/dbraw/zinc/52/34/03/1128523403.db2.gz XECVSQJYPCZOBS-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO Fc1ccccc1CCOc1ccccc1-n1cc[nH+]c1 ZINC000565752850 1128531891 /nfs/dbraw/zinc/53/18/91/1128531891.db2.gz QKWOAKOBHLOIIX-UHFFFAOYSA-N 1 2 282.318 3.633 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1nc2ccccc2o1 ZINC000069068962 1128533423 /nfs/dbraw/zinc/53/34/23/1128533423.db2.gz ZXAQPJRCTREERT-LLVKDONJSA-N 1 2 256.305 3.614 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1nc2ccccc2o1 ZINC000069068962 1128533426 /nfs/dbraw/zinc/53/34/26/1128533426.db2.gz ZXAQPJRCTREERT-LLVKDONJSA-N 1 2 256.305 3.614 20 0 CHADLO CCC1(CC)CCN(C(=O)c2ccn3c(C)c[nH+]c3c2)CC1 ZINC000565844578 1128533450 /nfs/dbraw/zinc/53/34/50/1128533450.db2.gz XDTWUPAXOJMMBP-UHFFFAOYSA-N 1 2 299.418 3.685 20 0 CHADLO CCCCC[C@H](C)N1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001257518700 1128535220 /nfs/dbraw/zinc/53/52/20/1128535220.db2.gz QMVIBNSBTXOUDC-LSDHHAIUSA-N 1 2 288.426 3.761 20 0 CHADLO CCCCC[C@H](C)[N@@H+]1CC[C@@H](N2CCCC2)C(F)(F)C1 ZINC001257518700 1128535222 /nfs/dbraw/zinc/53/52/22/1128535222.db2.gz QMVIBNSBTXOUDC-LSDHHAIUSA-N 1 2 288.426 3.761 20 0 CHADLO CCCCC[C@H](C)[N@H+]1CC[C@@H](N2CCCC2)C(F)(F)C1 ZINC001257518700 1128535225 /nfs/dbraw/zinc/53/52/25/1128535225.db2.gz QMVIBNSBTXOUDC-LSDHHAIUSA-N 1 2 288.426 3.761 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2CCCc3cn[nH]c32)c(Cl)c1 ZINC000565955556 1128535752 /nfs/dbraw/zinc/53/57/52/1128535752.db2.gz KSCIWZWUFUIDNG-CQSZACIVSA-N 1 2 275.783 3.539 20 0 CHADLO S=c1snc(-c2ccccc2)n1C[N@H+]1CC=CCC1 ZINC000778576882 1128559982 /nfs/dbraw/zinc/55/99/82/1128559982.db2.gz OAEMLPJONFTUTL-UHFFFAOYSA-N 1 2 289.429 3.560 20 0 CHADLO S=c1snc(-c2ccccc2)n1C[N@@H+]1CC=CCC1 ZINC000778576882 1128559984 /nfs/dbraw/zinc/55/99/84/1128559984.db2.gz OAEMLPJONFTUTL-UHFFFAOYSA-N 1 2 289.429 3.560 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@@H+]1CCC[C@@H]1CF ZINC000566810390 1128551816 /nfs/dbraw/zinc/55/18/16/1128551816.db2.gz JXRQKXFJEIHCBC-GXFFZTMASA-N 1 2 286.188 3.944 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@H+]1CCC[C@@H]1CF ZINC000566810390 1128551818 /nfs/dbraw/zinc/55/18/18/1128551818.db2.gz JXRQKXFJEIHCBC-GXFFZTMASA-N 1 2 286.188 3.944 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cc(Cl)nc(Cl)c1 ZINC000064249413 1128568072 /nfs/dbraw/zinc/56/80/72/1128568072.db2.gz HWHQASXZDUUGMV-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cc(Cl)nc(Cl)c1 ZINC000064249413 1128568075 /nfs/dbraw/zinc/56/80/75/1128568075.db2.gz HWHQASXZDUUGMV-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Fc1cccc(C[N@H+](Cc2nc3ccccc3[nH]2)C2CC2)c1 ZINC000130151256 1128568361 /nfs/dbraw/zinc/56/83/61/1128568361.db2.gz HYDOKQAHTNFOBT-UHFFFAOYSA-N 1 2 295.361 3.867 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2nc3ccccc3[nH]2)C2CC2)c1 ZINC000130151256 1128568362 /nfs/dbraw/zinc/56/83/62/1128568362.db2.gz HYDOKQAHTNFOBT-UHFFFAOYSA-N 1 2 295.361 3.867 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H]2CCCC[C@H]2C2CC2)c[nH+]1 ZINC000567929834 1128574595 /nfs/dbraw/zinc/57/45/95/1128574595.db2.gz LLNFBIRIUGQTLI-IRXDYDNUSA-N 1 2 286.419 3.531 20 0 CHADLO CC(C)[C@@H]1CN(C(=O)C[C@H](C)n2cc[nH+]c2)c2ccccc21 ZINC000568396508 1128584416 /nfs/dbraw/zinc/58/44/16/1128584416.db2.gz KUBPAIPNCAXQSJ-HOCLYGCPSA-N 1 2 297.402 3.621 20 0 CHADLO CC[N@H+](Cc1nc(C2CCCC2)no1)Cc1ccccc1 ZINC000130540143 1128586520 /nfs/dbraw/zinc/58/65/20/1128586520.db2.gz HQYYNIMMVUZPMG-UHFFFAOYSA-N 1 2 285.391 3.749 20 0 CHADLO CC[N@@H+](Cc1nc(C2CCCC2)no1)Cc1ccccc1 ZINC000130540143 1128586525 /nfs/dbraw/zinc/58/65/25/1128586525.db2.gz HQYYNIMMVUZPMG-UHFFFAOYSA-N 1 2 285.391 3.749 20 0 CHADLO CCOc1ccc(Nc2cccn3cc(C)[nH+]c23)c(OC)c1 ZINC001213116219 1128593055 /nfs/dbraw/zinc/59/30/55/1128593055.db2.gz PDKVNVZHYQWSNL-UHFFFAOYSA-N 1 2 297.358 3.794 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCc3[nH]ncc31)CCC2 ZINC000352609425 1128603863 /nfs/dbraw/zinc/60/38/63/1128603863.db2.gz UAXAGVUCWMSRHS-DLBZAZTESA-N 1 2 281.403 3.763 20 0 CHADLO Cc1ccoc1C[N@@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000556619886 1128610342 /nfs/dbraw/zinc/61/03/42/1128610342.db2.gz YEGMMWJANPEDFP-INIZCTEOSA-N 1 2 295.304 3.937 20 0 CHADLO Cc1ccoc1C[N@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000556619886 1128610345 /nfs/dbraw/zinc/61/03/45/1128610345.db2.gz YEGMMWJANPEDFP-INIZCTEOSA-N 1 2 295.304 3.937 20 0 CHADLO C/C(=C/c1ccc(C)cc1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000778731320 1128612148 /nfs/dbraw/zinc/61/21/48/1128612148.db2.gz COWMRPFXOUZSAK-KAMYIIQDSA-N 1 2 291.354 3.685 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@@H+]2CCCC(C)(C)C2)n1 ZINC000131495251 1128623197 /nfs/dbraw/zinc/62/31/97/1128623197.db2.gz OPVLRJJLEUEVJI-NSHDSACASA-N 1 2 283.441 3.506 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@H+]2CCCC(C)(C)C2)n1 ZINC000131495251 1128623198 /nfs/dbraw/zinc/62/31/98/1128623198.db2.gz OPVLRJJLEUEVJI-NSHDSACASA-N 1 2 283.441 3.506 20 0 CHADLO Cc1nocc1C[N@@H+]1CCCC[C@H]1c1nc2ccccc2o1 ZINC000556808062 1128626493 /nfs/dbraw/zinc/62/64/93/1128626493.db2.gz HAROUYBWLMDVEM-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1nocc1C[N@H+]1CCCC[C@H]1c1nc2ccccc2o1 ZINC000556808062 1128626496 /nfs/dbraw/zinc/62/64/96/1128626496.db2.gz HAROUYBWLMDVEM-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(F)cc2Cl)cn1 ZINC000131560706 1128627514 /nfs/dbraw/zinc/62/75/14/1128627514.db2.gz YZORJFOWFUIEFE-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(F)cc2Cl)cn1 ZINC000131560706 1128627516 /nfs/dbraw/zinc/62/75/16/1128627516.db2.gz YZORJFOWFUIEFE-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(F)c(C[N@H+](C)[C@H](C)c2ccco2)cc1OC ZINC000570932585 1128635421 /nfs/dbraw/zinc/63/54/21/1128635421.db2.gz PTJCNXDZJXRDAE-LLVKDONJSA-N 1 2 293.338 3.629 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)[C@H](C)c2ccco2)cc1OC ZINC000570932585 1128635424 /nfs/dbraw/zinc/63/54/24/1128635424.db2.gz PTJCNXDZJXRDAE-LLVKDONJSA-N 1 2 293.338 3.629 20 0 CHADLO CC(C)C[C@]1(C)CCC[N@@H+]1Cc1nnsc1Cl ZINC000570772041 1128632013 /nfs/dbraw/zinc/63/20/13/1128632013.db2.gz FXQDMAJRWBCFKR-LBPRGKRZSA-N 1 2 273.833 3.592 20 0 CHADLO CC(C)C[C@]1(C)CCC[N@H+]1Cc1nnsc1Cl ZINC000570772041 1128632015 /nfs/dbraw/zinc/63/20/15/1128632015.db2.gz FXQDMAJRWBCFKR-LBPRGKRZSA-N 1 2 273.833 3.592 20 0 CHADLO COc1ccc(C[N@@H+]2CCOC[C@@H]2c2ccccc2)cc1C ZINC000556927090 1128646598 /nfs/dbraw/zinc/64/65/98/1128646598.db2.gz MMDVEIHXVCYBHW-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO COc1ccc(C[N@H+]2CCOC[C@@H]2c2ccccc2)cc1C ZINC000556927090 1128646600 /nfs/dbraw/zinc/64/66/00/1128646600.db2.gz MMDVEIHXVCYBHW-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)c2cscn2)c(F)c1 ZINC000575430652 1128666603 /nfs/dbraw/zinc/66/66/03/1128666603.db2.gz FQTFHTVCUNBYKD-NXEZZACHSA-N 1 2 280.368 3.703 20 0 CHADLO CCC/C(C)=C/C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000920222355 1128673766 /nfs/dbraw/zinc/67/37/66/1128673766.db2.gz PVHWSGNGUCQKKV-JLHYYAGUSA-N 1 2 269.348 3.557 20 0 CHADLO Cc1ccccc1OC(=O)[C@H]1CCC[N@@H+]1Cc1ccccc1 ZINC000920244169 1128675869 /nfs/dbraw/zinc/67/58/69/1128675869.db2.gz NDFQSSYUTGORIR-QGZVFWFLSA-N 1 2 295.382 3.565 20 0 CHADLO Cc1ccccc1OC(=O)[C@H]1CCC[N@H+]1Cc1ccccc1 ZINC000920244169 1128675871 /nfs/dbraw/zinc/67/58/71/1128675871.db2.gz NDFQSSYUTGORIR-QGZVFWFLSA-N 1 2 295.382 3.565 20 0 CHADLO CC(C)n1cncc1C[N@@H+]1CCc2cccc(F)c2[C@H]1C ZINC000577860998 1128694476 /nfs/dbraw/zinc/69/44/76/1128694476.db2.gz LZOYKFIYBLXHLP-CYBMUJFWSA-N 1 2 287.382 3.722 20 0 CHADLO CC(C)n1cncc1C[N@H+]1CCc2cccc(F)c2[C@H]1C ZINC000577860998 1128694477 /nfs/dbraw/zinc/69/44/77/1128694477.db2.gz LZOYKFIYBLXHLP-CYBMUJFWSA-N 1 2 287.382 3.722 20 0 CHADLO CCCC[C@H](C)c1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000578047413 1128704902 /nfs/dbraw/zinc/70/49/02/1128704902.db2.gz ATCGFWOYFAVMKN-ZDUSSCGKSA-N 1 2 298.390 3.910 20 0 CHADLO CNc1ccc(Nc2ccc(F)c(Cl)c2)c[nH+]1 ZINC001203454947 1128715786 /nfs/dbraw/zinc/71/57/86/1128715786.db2.gz NHBBMWWZIJRYEB-UHFFFAOYSA-N 1 2 251.692 3.659 20 0 CHADLO Cc1c(C)c(-c2ccc(N)[nH+]c2)ccc1OCC(C)C ZINC001236318425 1128716106 /nfs/dbraw/zinc/71/61/06/1128716106.db2.gz RALBFZXLRCSTSK-UHFFFAOYSA-N 1 2 270.376 3.982 20 0 CHADLO CC[C@@]([NH2+]CC1CCCCC1)(C(=O)OC)c1ccccc1 ZINC000609483578 1128716749 /nfs/dbraw/zinc/71/67/49/1128716749.db2.gz YZBYOOIXRCHHML-SFHVURJKSA-N 1 2 289.419 3.635 20 0 CHADLO CCN(C(=O)c1ccn2c(C)c[nH+]c2c1)[C@@H]1CCCC[C@H]1C ZINC000654699757 1117744319 /nfs/dbraw/zinc/74/43/19/1117744319.db2.gz OTLVTWPKPRDWBG-CZUORRHYSA-N 1 2 299.418 3.684 20 0 CHADLO C[C@H](C[N@@H+]1CC[C@H](CF)C(F)(F)C1)c1ccccc1 ZINC001207899899 1117754927 /nfs/dbraw/zinc/75/49/27/1117754927.db2.gz OPIWHJHDVYYYCD-TZMCWYRMSA-N 1 2 271.326 3.717 20 0 CHADLO C[C@H](C[N@H+]1CC[C@H](CF)C(F)(F)C1)c1ccccc1 ZINC001207899899 1117754930 /nfs/dbraw/zinc/75/49/30/1117754930.db2.gz OPIWHJHDVYYYCD-TZMCWYRMSA-N 1 2 271.326 3.717 20 0 CHADLO CC(C)c1nnc(C[N@H+](C)C(C)(C)c2ccccc2)o1 ZINC000671245493 1117784767 /nfs/dbraw/zinc/78/47/67/1117784767.db2.gz CYSFKPXQACCJJC-UHFFFAOYSA-N 1 2 273.380 3.560 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C)C(C)(C)c2ccccc2)o1 ZINC000671245493 1117784772 /nfs/dbraw/zinc/78/47/72/1117784772.db2.gz CYSFKPXQACCJJC-UHFFFAOYSA-N 1 2 273.380 3.560 20 0 CHADLO CCCCN(C(=O)CCCn1cc[nH+]c1)C1CCCCC1 ZINC000623254007 1117827274 /nfs/dbraw/zinc/82/72/74/1117827274.db2.gz YSBLUEXTOXNHDN-UHFFFAOYSA-N 1 2 291.439 3.625 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CC[N@H+](C)C(C)(C)C1)c1ccc(F)cc1F ZINC000623426461 1117853489 /nfs/dbraw/zinc/85/34/89/1117853489.db2.gz MNRNYZOXSRKUGD-XJKSGUPXSA-N 1 2 296.405 3.878 20 0 CHADLO c1ccc(C2CN(c3cccc(C4CC4)[nH+]3)C2)cc1 ZINC001167066445 1117863284 /nfs/dbraw/zinc/86/32/84/1117863284.db2.gz ZLVUVZHQRDNPOS-UHFFFAOYSA-N 1 2 250.345 3.563 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)C(=O)CC3)c[nH+]1 ZINC001213513480 1117873773 /nfs/dbraw/zinc/87/37/73/1117873773.db2.gz NOUXIQSOASLXPQ-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO CNc1ccc(Nc2cncc(C(C)(C)C)c2)c[nH+]1 ZINC001203455228 1128741235 /nfs/dbraw/zinc/74/12/35/1128741235.db2.gz RAGHXWQKQORUOE-UHFFFAOYSA-N 1 2 256.353 3.559 20 0 CHADLO CCSCC[N@@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000610213078 1117877556 /nfs/dbraw/zinc/87/75/56/1117877556.db2.gz LLTAEEILLLWXMV-UHFFFAOYSA-N 1 2 294.851 3.933 20 0 CHADLO CCSCC[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000610213078 1117877561 /nfs/dbraw/zinc/87/75/61/1117877561.db2.gz LLTAEEILLLWXMV-UHFFFAOYSA-N 1 2 294.851 3.933 20 0 CHADLO Cc1nc(N2CCc3c(Cl)cccc3C2)c(C)c(C)[nH+]1 ZINC000354418561 1128741384 /nfs/dbraw/zinc/74/13/84/1128741384.db2.gz IIFKXLBWNGAXJP-UHFFFAOYSA-N 1 2 287.794 3.618 20 0 CHADLO Fc1ccccc1CNc1[nH]c2ccc(Cl)cc2[nH+]1 ZINC000082739907 1117881278 /nfs/dbraw/zinc/88/12/78/1117881278.db2.gz BYAVTUNGRAQPDQ-UHFFFAOYSA-N 1 2 275.714 3.968 20 0 CHADLO Clc1nc(Cl)c(C[NH+]2CCC3(CC3)CC2)s1 ZINC001139952891 1117909065 /nfs/dbraw/zinc/90/90/65/1117909065.db2.gz BHKZZMGIOWXKGG-UHFFFAOYSA-N 1 2 277.220 3.826 20 0 CHADLO CCC(CC)[C@@H]([NH2+]Cc1noc(C)n1)c1ccccc1 ZINC000179788322 1117912643 /nfs/dbraw/zinc/91/26/43/1117912643.db2.gz ZCJYQYGFPUEFBX-MRXNPFEDSA-N 1 2 273.380 3.645 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1ccoc1 ZINC000179919163 1117916338 /nfs/dbraw/zinc/91/63/38/1117916338.db2.gz UZPDZRCOSNWEBH-ZDUSSCGKSA-N 1 2 271.364 3.838 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)c1cccnc1 ZINC000036934886 1117941077 /nfs/dbraw/zinc/94/10/77/1117941077.db2.gz LWVBECCLSWPQCA-CYBMUJFWSA-N 1 2 255.365 3.629 20 0 CHADLO CC[N@H+](Cc1nccc(C)n1)[C@H](C)c1ccc(F)cc1 ZINC000339646381 1117946831 /nfs/dbraw/zinc/94/68/31/1117946831.db2.gz BCVMAQJVJDFVRD-CYBMUJFWSA-N 1 2 273.355 3.507 20 0 CHADLO CC[N@@H+](Cc1nccc(C)n1)[C@H](C)c1ccc(F)cc1 ZINC000339646381 1117946834 /nfs/dbraw/zinc/94/68/34/1117946834.db2.gz BCVMAQJVJDFVRD-CYBMUJFWSA-N 1 2 273.355 3.507 20 0 CHADLO Clc1ccc(C[NH2+][C@H]2CCCc3scnc32)o1 ZINC000623928767 1117955140 /nfs/dbraw/zinc/95/51/40/1117955140.db2.gz BKKFGSCGTSCPRL-VIFPVBQESA-N 1 2 268.769 3.557 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1ncn(C)n1)c1cc(C)sc1C ZINC000623965456 1117963304 /nfs/dbraw/zinc/96/33/04/1117963304.db2.gz JNKIZTXZYJSIKW-RISCZKNCSA-N 1 2 292.452 3.685 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1ncn(C)n1)c1cc(C)sc1C ZINC000623965454 1117963442 /nfs/dbraw/zinc/96/34/42/1117963442.db2.gz JNKIZTXZYJSIKW-BXUZGUMPSA-N 1 2 292.452 3.685 20 0 CHADLO c1nc2c(s1)CCC[C@@H]2[NH2+]Cc1ccc2c(n1)CCCC2 ZINC000623972011 1117964409 /nfs/dbraw/zinc/96/44/09/1117964409.db2.gz OFPLTFPBPHRLQR-HNNXBMFYSA-N 1 2 299.443 3.584 20 0 CHADLO c1cn(CCCS[C@H]2CCc3ccccc3C2)c[nH+]1 ZINC000579377081 1128746866 /nfs/dbraw/zinc/74/68/66/1128746866.db2.gz IMYHZGWXHBEWGR-INIZCTEOSA-N 1 2 272.417 3.564 20 0 CHADLO CC/C=C/CC[N@@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000339802043 1117977412 /nfs/dbraw/zinc/97/74/12/1117977412.db2.gz CHAKYXMCXTXJNA-ONEGZZNKSA-N 1 2 267.376 3.544 20 0 CHADLO CC/C=C/CC[N@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000339802043 1117977414 /nfs/dbraw/zinc/97/74/14/1117977414.db2.gz CHAKYXMCXTXJNA-ONEGZZNKSA-N 1 2 267.376 3.544 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1cccc(Cl)c1O ZINC000179575827 1128748138 /nfs/dbraw/zinc/74/81/38/1128748138.db2.gz MWZMKGLRDFWTAC-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1cccc(Cl)c1O ZINC000179575827 1128748141 /nfs/dbraw/zinc/74/81/41/1128748141.db2.gz MWZMKGLRDFWTAC-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO CC[C@H]([NH2+]CC(F)(F)CC)c1ccc(OC)c(OC)c1 ZINC000624147125 1117988988 /nfs/dbraw/zinc/98/89/88/1117988988.db2.gz UVDQCWHHEZPKAJ-LBPRGKRZSA-N 1 2 287.350 3.790 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccccc1-n1cccn1 ZINC000624147811 1117989262 /nfs/dbraw/zinc/98/92/62/1117989262.db2.gz LQZWZILICMPNEJ-GFCCVEGCSA-N 1 2 279.334 3.568 20 0 CHADLO Clc1ccc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)cc1 ZINC000510146119 1117989538 /nfs/dbraw/zinc/98/95/38/1117989538.db2.gz VNGUZMSGKPBGFJ-OAHLLOKOSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)cc1 ZINC000510146119 1117989541 /nfs/dbraw/zinc/98/95/41/1117989541.db2.gz VNGUZMSGKPBGFJ-OAHLLOKOSA-N 1 2 275.783 3.790 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1cccc(Cl)c1O ZINC000179559666 1128748300 /nfs/dbraw/zinc/74/83/00/1128748300.db2.gz YWLNTXIVXHSMGA-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1cccc(Cl)c1O ZINC000179559666 1128748303 /nfs/dbraw/zinc/74/83/03/1128748303.db2.gz YWLNTXIVXHSMGA-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO CCCCN(C)c1c(C(=O)OCC)c[nH+]c2ccccc21 ZINC000065226379 1117996399 /nfs/dbraw/zinc/99/63/99/1117996399.db2.gz LROMDMFOINKOEG-UHFFFAOYSA-N 1 2 286.375 3.648 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000510159814 1118008115 /nfs/dbraw/zinc/00/81/15/1118008115.db2.gz NFRGVVOQEXNYPD-QGZVFWFLSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000510159814 1118008120 /nfs/dbraw/zinc/00/81/20/1118008120.db2.gz NFRGVVOQEXNYPD-QGZVFWFLSA-N 1 2 299.418 3.924 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(-c3ccccc3)no2)cc1 ZINC000182311135 1118019859 /nfs/dbraw/zinc/01/98/59/1118019859.db2.gz KCFWJNHVPOUDQW-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO COc1cccc(CNc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000037486518 1118021240 /nfs/dbraw/zinc/02/12/40/1118021240.db2.gz UIUYUBJCHUDVRM-UHFFFAOYSA-N 1 2 271.364 3.529 20 0 CHADLO CC[C@@H](C)[C@@H](C)[NH2+]c1ccc(N2CCOCC2)cc1C ZINC000182612912 1118025713 /nfs/dbraw/zinc/02/57/13/1118025713.db2.gz JWZRLRUZYXFYPG-UKRRQHHQSA-N 1 2 276.424 3.678 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@]2(CCSC2)C1 ZINC000624262767 1118035254 /nfs/dbraw/zinc/03/52/54/1118035254.db2.gz WWDJZEXXYLHEIX-KRWDZBQOSA-N 1 2 284.428 3.958 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1nccn1C)c1ccc(F)cc1F ZINC000183118590 1118038459 /nfs/dbraw/zinc/03/84/59/1118038459.db2.gz RTARPYHQETUSTC-XHDPSFHLSA-N 1 2 293.361 3.890 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nccn1C)c1cc(F)ccc1F ZINC000183298635 1118042531 /nfs/dbraw/zinc/04/25/31/1118042531.db2.gz HGUQJBUQVTZGPR-IINYFYTJSA-N 1 2 279.334 3.500 20 0 CHADLO CC[C@@H](c1ccccc1)N(C)c1[nH+]cc(C)cc1OC ZINC001167128099 1118043704 /nfs/dbraw/zinc/04/37/04/1118043704.db2.gz ONLPARBNZXFVBE-HNNXBMFYSA-N 1 2 270.376 3.986 20 0 CHADLO CC[C@@H](c1ccccc1)N(C)c1[nH+]ccc(C)c1NC(C)=O ZINC001167128256 1118044216 /nfs/dbraw/zinc/04/42/16/1118044216.db2.gz QFJWXPHUJBRQAY-INIZCTEOSA-N 1 2 297.402 3.936 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183674630 1118047074 /nfs/dbraw/zinc/04/70/74/1118047074.db2.gz UVBPANJIKOFRIZ-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183674630 1118047079 /nfs/dbraw/zinc/04/70/79/1118047079.db2.gz UVBPANJIKOFRIZ-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO CCCCc1noc(C[N@@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000046088309 1118057215 /nfs/dbraw/zinc/05/72/15/1118057215.db2.gz AZJYDHOKCPTFFK-CYBMUJFWSA-N 1 2 291.420 3.811 20 0 CHADLO CCCCc1noc(C[N@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000046088309 1118057219 /nfs/dbraw/zinc/05/72/19/1118057219.db2.gz AZJYDHOKCPTFFK-CYBMUJFWSA-N 1 2 291.420 3.811 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCc2[nH]ncc21)c1ccc(OC)cc1 ZINC000368606208 1118058201 /nfs/dbraw/zinc/05/82/01/1118058201.db2.gz DCTUHHJXWBZHMV-HOTGVXAUSA-N 1 2 285.391 3.537 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](c3ccsc3)C2)c(C)o1 ZINC000093768488 1118072697 /nfs/dbraw/zinc/07/26/97/1118072697.db2.gz QPOLNBVQIAQJRT-HNNXBMFYSA-N 1 2 277.389 3.531 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](c3ccsc3)C2)c(C)o1 ZINC000093768488 1118072702 /nfs/dbraw/zinc/07/27/02/1118072702.db2.gz QPOLNBVQIAQJRT-HNNXBMFYSA-N 1 2 277.389 3.531 20 0 CHADLO CC(=O)[C@H]1CCC[N@@H+](Cc2ccc(C(C)C)nc2Cl)C1 ZINC001238730522 1118078657 /nfs/dbraw/zinc/07/86/57/1118078657.db2.gz GJGZWCSTKYNNKM-ZDUSSCGKSA-N 1 2 294.826 3.659 20 0 CHADLO CC(=O)[C@H]1CCC[N@H+](Cc2ccc(C(C)C)nc2Cl)C1 ZINC001238730522 1118078660 /nfs/dbraw/zinc/07/86/60/1118078660.db2.gz GJGZWCSTKYNNKM-ZDUSSCGKSA-N 1 2 294.826 3.659 20 0 CHADLO Cc1cc(NC2CCC(C)(O)CC2)[nH+]c2ccccc12 ZINC000705854011 1118082427 /nfs/dbraw/zinc/08/24/27/1118082427.db2.gz APJBERQTHTYINK-UHFFFAOYSA-N 1 2 270.376 3.649 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2cn3ccsc3n2)CC1 ZINC000340192378 1118108566 /nfs/dbraw/zinc/10/85/66/1118108566.db2.gz NOWCFKDJLLNJIE-UHFFFAOYSA-N 1 2 275.421 3.574 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2cn3ccsc3n2)CC1 ZINC000340192378 1118108570 /nfs/dbraw/zinc/10/85/70/1118108570.db2.gz NOWCFKDJLLNJIE-UHFFFAOYSA-N 1 2 275.421 3.574 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(O)c(C(F)(F)F)c1 ZINC001209985365 1118127435 /nfs/dbraw/zinc/12/74/35/1118127435.db2.gz YLGZTJRTQLMLLC-UHFFFAOYSA-N 1 2 284.237 3.564 20 0 CHADLO Cc1[nH]c(CN2CCc3cc(C(C)(C)C)ccc3C2)[nH+]c1C ZINC001167271270 1118135931 /nfs/dbraw/zinc/13/59/31/1118135931.db2.gz YSLCMUJYWCYYSL-UHFFFAOYSA-N 1 2 297.446 3.882 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCC[C@H](c4ccn[nH]4)C3)cc2c1 ZINC000121176724 1118137446 /nfs/dbraw/zinc/13/74/46/1118137446.db2.gz XCLCCJOYEHSSPX-LBPRGKRZSA-N 1 2 299.349 3.675 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCC[C@H](c4ccn[nH]4)C3)cc2c1 ZINC000121176724 1118137449 /nfs/dbraw/zinc/13/74/49/1118137449.db2.gz XCLCCJOYEHSSPX-LBPRGKRZSA-N 1 2 299.349 3.675 20 0 CHADLO CC[N@H+](Cc1c(C)noc1C)Cc1ccccc1Cl ZINC000042157156 1118139835 /nfs/dbraw/zinc/13/98/35/1118139835.db2.gz SXZVBKUSUQSCEX-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO CC[N@@H+](Cc1c(C)noc1C)Cc1ccccc1Cl ZINC000042157156 1118139838 /nfs/dbraw/zinc/13/98/38/1118139838.db2.gz SXZVBKUSUQSCEX-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO Cc1cccc(Nc2ccc3c(c2)[nH+]cn3C)c1F ZINC001210037860 1118140913 /nfs/dbraw/zinc/14/09/13/1118140913.db2.gz LEZKWUUEICNLDM-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO Fc1cncc(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)c1 ZINC000779158412 1128758398 /nfs/dbraw/zinc/75/83/98/1128758398.db2.gz QWKARVFLTWIKSX-INIZCTEOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cncc(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)c1 ZINC000779158412 1128758402 /nfs/dbraw/zinc/75/84/02/1128758402.db2.gz QWKARVFLTWIKSX-INIZCTEOSA-N 1 2 292.304 3.836 20 0 CHADLO CO[C@H](C)c1nc(C[NH+]2CCC(F)(C3CC3)CC2)cs1 ZINC000932630906 1118141755 /nfs/dbraw/zinc/14/17/55/1118141755.db2.gz QIHRLNWLLHXXLE-LLVKDONJSA-N 1 2 298.427 3.565 20 0 CHADLO CNc1ccc(Nc2cc(OC)ccc2-n2cccc2)c[nH+]1 ZINC001203456778 1118154443 /nfs/dbraw/zinc/15/44/43/1118154443.db2.gz WKIRTZCBTHCDCI-UHFFFAOYSA-N 1 2 294.358 3.666 20 0 CHADLO CCc1ccc(C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)cc1 ZINC000010896107 1118159703 /nfs/dbraw/zinc/15/97/03/1118159703.db2.gz KEQIAMUSKPWQBX-UHFFFAOYSA-N 1 2 279.343 3.686 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccc4c(c3)CCC4)cc2[nH+]1 ZINC000010896260 1118159925 /nfs/dbraw/zinc/15/99/25/1118159925.db2.gz KLDLGNRBQIYABK-UHFFFAOYSA-N 1 2 291.354 3.612 20 0 CHADLO C[C@H]([NH2+]Cc1occc1Br)c1ccsc1 ZINC000235517409 1118171403 /nfs/dbraw/zinc/17/14/03/1118171403.db2.gz KUSQRELIGGMTMD-QMMMGPOBSA-N 1 2 286.194 3.954 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccc(F)c2cccnc12 ZINC000024679855 1118183818 /nfs/dbraw/zinc/18/38/18/1118183818.db2.gz UJKAIHURJKCCLB-ZDUSSCGKSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccc(F)c2cccnc12 ZINC000024679855 1118183821 /nfs/dbraw/zinc/18/38/21/1118183821.db2.gz UJKAIHURJKCCLB-ZDUSSCGKSA-N 1 2 295.361 3.752 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(C)on1)c1ccccc1F ZINC000655783422 1118210928 /nfs/dbraw/zinc/21/09/28/1118210928.db2.gz IXWAAMWXIDNUCC-SMDDNHRTSA-N 1 2 262.328 3.924 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)c1cccc(Cl)c1 ZINC000039338933 1118228939 /nfs/dbraw/zinc/22/89/39/1118228939.db2.gz QDJJOYUEVRFLAI-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3nc(Cl)sc3c2)cc1N ZINC001210289986 1118236410 /nfs/dbraw/zinc/23/64/10/1118236410.db2.gz CXGHKFXTVMWRCS-UHFFFAOYSA-N 1 2 290.779 3.979 20 0 CHADLO CC1CCC(N(C)C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000042998792 1118243249 /nfs/dbraw/zinc/24/32/49/1118243249.db2.gz KTYVBZNTYVLKEG-UHFFFAOYSA-N 1 2 297.402 3.523 20 0 CHADLO CCc1nc(C[N@H+](Cc2ccccc2)C2CC2)cs1 ZINC000043643363 1118247187 /nfs/dbraw/zinc/24/71/87/1118247187.db2.gz MBIPCBRZJJKSFG-UHFFFAOYSA-N 1 2 272.417 3.870 20 0 CHADLO CCc1nc(C[N@@H+](Cc2ccccc2)C2CC2)cs1 ZINC000043643363 1118247190 /nfs/dbraw/zinc/24/71/90/1118247190.db2.gz MBIPCBRZJJKSFG-UHFFFAOYSA-N 1 2 272.417 3.870 20 0 CHADLO Cc1ccc(C(=O)Nc2ccccc2-n2cc[nH+]c2)cc1F ZINC000045860362 1118259558 /nfs/dbraw/zinc/25/95/58/1118259558.db2.gz UNULACPLZQRAIK-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cc(F)cc1F)c1cccc(O)c1 ZINC000340487668 1118293578 /nfs/dbraw/zinc/29/35/78/1118293578.db2.gz LWHFIONXHMSPGM-SECBINFHSA-N 1 2 281.277 3.660 20 0 CHADLO CC[C@H](C)[C@H](C)[NH2+]c1ccc(N2CCOC[C@@H]2C)cc1 ZINC000179945204 1128768164 /nfs/dbraw/zinc/76/81/64/1128768164.db2.gz NVIPJGZHJKFNFF-KKUMJFAQSA-N 1 2 276.424 3.758 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1ccc(-c2ccc(Cl)cc2)o1 ZINC000683722114 1118310186 /nfs/dbraw/zinc/31/01/86/1118310186.db2.gz KCYSUXACQGQHEH-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1ccc(-c2ccc(Cl)cc2)o1 ZINC000683722114 1118310188 /nfs/dbraw/zinc/31/01/88/1118310188.db2.gz KCYSUXACQGQHEH-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO Cc1cc(C)cc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000047514859 1118315593 /nfs/dbraw/zinc/31/55/93/1118315593.db2.gz BDQFOXXNUNZQES-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[NH+]1CCC2(CCC2)CC1 ZINC000671729060 1118315718 /nfs/dbraw/zinc/31/57/18/1118315718.db2.gz KKPUXJZXKAKIQC-UHFFFAOYSA-N 1 2 289.423 3.883 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCC[C@@H]1F)c1nc(C(F)(F)F)cs1 ZINC000340522640 1118315771 /nfs/dbraw/zinc/31/57/71/1118315771.db2.gz JGBUEGMDAUTBOU-BIIVOSGPSA-N 1 2 282.306 3.703 20 0 CHADLO Cc1ccsc1C[N@H+](C)Cc1ccn(-c2ccccc2)n1 ZINC000063517516 1118317283 /nfs/dbraw/zinc/31/72/83/1118317283.db2.gz IEQGWIWIKMDGGS-UHFFFAOYSA-N 1 2 297.427 3.874 20 0 CHADLO Cc1ccsc1C[N@@H+](C)Cc1ccn(-c2ccccc2)n1 ZINC000063517516 1118317288 /nfs/dbraw/zinc/31/72/88/1118317288.db2.gz IEQGWIWIKMDGGS-UHFFFAOYSA-N 1 2 297.427 3.874 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)c(C)[nH+]1 ZINC001125404887 1118329587 /nfs/dbraw/zinc/32/95/87/1118329587.db2.gz WAOWJDJHWPPBNQ-QKDCVEJESA-N 1 2 272.392 3.772 20 0 CHADLO Clc1ccccc1C[NH2+]Cc1nc2c(s1)CCCC2 ZINC000049894085 1118364174 /nfs/dbraw/zinc/36/41/74/1118364174.db2.gz UVAXWFOKIVVXKF-UHFFFAOYSA-N 1 2 292.835 3.965 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@]2(C)C[C@H]3C[C@H]3C2)c(C)[nH+]1 ZINC000626568845 1128775214 /nfs/dbraw/zinc/77/52/14/1128775214.db2.gz MQWZEXGGJKGNFL-XOIINTDNSA-N 1 2 287.407 3.565 20 0 CHADLO Cc1cc(NC(=O)/C=C\c2ccnc3ccccc23)cc[nH+]1 ZINC000255442998 1118429457 /nfs/dbraw/zinc/42/94/57/1118429457.db2.gz RLFMAGAOMXBLOW-SREVYHEPSA-N 1 2 289.338 3.590 20 0 CHADLO Clc1ccccc1CNc1cc2cc[nH]c2c[nH+]1 ZINC000683893309 1118431556 /nfs/dbraw/zinc/43/15/56/1118431556.db2.gz JAYDGPHLYWGCPC-UHFFFAOYSA-N 1 2 257.724 3.828 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)[C@H](C)c2ccccc2F)o1 ZINC000683898221 1118434555 /nfs/dbraw/zinc/43/45/55/1118434555.db2.gz HROKZKDUSNNEJS-LLVKDONJSA-N 1 2 262.328 3.624 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)[C@H](C)c2ccccc2F)o1 ZINC000683898221 1118434554 /nfs/dbraw/zinc/43/45/54/1118434554.db2.gz HROKZKDUSNNEJS-LLVKDONJSA-N 1 2 262.328 3.624 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@H](C)C(C)(C)C)c(C)[nH+]1 ZINC001670648531 1118449522 /nfs/dbraw/zinc/44/95/22/1118449522.db2.gz JTGKESNUEYZBBN-NSHDSACASA-N 1 2 277.412 3.811 20 0 CHADLO Cc1c(CO)cccc1Nc1[nH+]cccc1C(C)C ZINC001211166756 1118474242 /nfs/dbraw/zinc/47/42/42/1118474242.db2.gz ZLMJPCICRCKWGP-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO Clc1ccncc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001211208583 1118477476 /nfs/dbraw/zinc/47/74/76/1118477476.db2.gz CWPBBWCQVMPLKU-UHFFFAOYSA-N 1 2 270.723 3.664 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1ccncc1O ZINC001140276602 1118477884 /nfs/dbraw/zinc/47/78/84/1118477884.db2.gz HLQUFBUCGTWWTE-NVXWUHKLSA-N 1 2 292.423 3.550 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1ccncc1O ZINC001140276602 1118477885 /nfs/dbraw/zinc/47/78/85/1118477885.db2.gz HLQUFBUCGTWWTE-NVXWUHKLSA-N 1 2 292.423 3.550 20 0 CHADLO Clc1cccc(-c2nc(C[NH+]3CCSCC3)co2)c1 ZINC000047992984 1118492964 /nfs/dbraw/zinc/49/29/64/1118492964.db2.gz JDDSEXIAKONNGB-UHFFFAOYSA-N 1 2 294.807 3.544 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(Cl)nc2Cl)c[nH+]1 ZINC001211274700 1118495347 /nfs/dbraw/zinc/49/53/47/1118495347.db2.gz PGENOUOTVTZZLR-UHFFFAOYSA-N 1 2 297.189 3.983 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc3cccnc3c2)c(C)[nH+]1 ZINC001125473845 1118503794 /nfs/dbraw/zinc/50/37/94/1118503794.db2.gz OPEFUXKNAZVSCQ-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO COc1ccc2[nH+]c(NCc3ccc(C)nc3)c(C)cc2c1 ZINC000091605895 1118507993 /nfs/dbraw/zinc/50/79/93/1118507993.db2.gz SZQORXFFNOOURY-UHFFFAOYSA-N 1 2 293.370 3.867 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cccc(CO)c1F ZINC001211433309 1118510771 /nfs/dbraw/zinc/51/07/71/1118510771.db2.gz VZOOPXMXIHVVLI-UHFFFAOYSA-N 1 2 288.366 3.964 20 0 CHADLO Cc1cc(C)c(Nc2ccc(F)c3c2OCCC3=O)c[nH+]1 ZINC001213521020 1118522977 /nfs/dbraw/zinc/52/29/77/1118522977.db2.gz ORNIKYJEPJVOAQ-UHFFFAOYSA-N 1 2 286.306 3.546 20 0 CHADLO Cc1c[nH+]cc(Nc2cnn(Cc3ccccc3)c2)c1C ZINC001213522528 1118523747 /nfs/dbraw/zinc/52/37/47/1118523747.db2.gz BVACBHJYUVSMPB-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc(Br)n2)c1C ZINC001213523251 1118526101 /nfs/dbraw/zinc/52/61/01/1118526101.db2.gz NZSLYDYQCNBFAO-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1nc2sccc2c(Nc2c[nH+]cc(C)c2C)n1 ZINC001213523386 1118526277 /nfs/dbraw/zinc/52/62/77/1118526277.db2.gz QUJBUIMYZURYRD-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(OCC3CC3)nc2)c1C ZINC001213528366 1118538958 /nfs/dbraw/zinc/53/89/58/1118538958.db2.gz CLFDYCIVCPBACL-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO Cc1cc(C)c(CNC(=O)CC2CCC(F)(F)CC2)c[nH+]1 ZINC000627684933 1118545778 /nfs/dbraw/zinc/54/57/78/1118545778.db2.gz DGVTZTSWFOZCIG-UHFFFAOYSA-N 1 2 296.361 3.530 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cc(-c2ccccc2)no1 ZINC000066566007 1118550118 /nfs/dbraw/zinc/55/01/18/1118550118.db2.gz LUTSDMCKPZKXBN-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cc(-c2ccccc2)no1 ZINC000066566007 1118550121 /nfs/dbraw/zinc/55/01/21/1118550121.db2.gz LUTSDMCKPZKXBN-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CC(=O)c1cc(F)ccc1Nc1c[nH+]cc(C)c1C ZINC001213532781 1118550890 /nfs/dbraw/zinc/55/08/90/1118550890.db2.gz UYBJFFJYHGUAJT-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CCOC(=O)Cc1ccc(Nc2c[nH+]cc(C)c2C)cc1 ZINC001213532996 1118552928 /nfs/dbraw/zinc/55/29/28/1118552928.db2.gz ZZHHREKWSQFXII-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO CCC[C@H](C)CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000340976791 1118566834 /nfs/dbraw/zinc/56/68/34/1118566834.db2.gz RUWQRVHSONWKRZ-JTQLQIEISA-N 1 2 259.353 3.636 20 0 CHADLO F[C@@H]1CC[C@@H](Nc2[nH+]cccc2OCc2ccccc2)C1 ZINC000340984729 1118569768 /nfs/dbraw/zinc/56/97/68/1118569768.db2.gz XGPCSYJPLNLAAN-HUUCEWRRSA-N 1 2 286.350 3.963 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(C#N)cc2Cl)C[C@H](CC)O1 ZINC000398514835 1118570210 /nfs/dbraw/zinc/57/02/10/1118570210.db2.gz JWMIXDAEBROHCI-GASCZTMLSA-N 1 2 292.810 3.601 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(C#N)cc2Cl)C[C@H](CC)O1 ZINC000398514835 1118570214 /nfs/dbraw/zinc/57/02/14/1118570214.db2.gz JWMIXDAEBROHCI-GASCZTMLSA-N 1 2 292.810 3.601 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)cc1F ZINC000348666647 1118587239 /nfs/dbraw/zinc/58/72/39/1118587239.db2.gz BLZWHTNADFALLN-INIZCTEOSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1ccc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)cc1F ZINC000348666647 1118587240 /nfs/dbraw/zinc/58/72/40/1118587240.db2.gz BLZWHTNADFALLN-INIZCTEOSA-N 1 2 273.355 3.584 20 0 CHADLO COc1ccccc1C[NH2+]Cc1cc(-c2ccccc2)on1 ZINC000129193639 1118607320 /nfs/dbraw/zinc/60/73/20/1118607320.db2.gz XWFWKPJUHCFRNI-UHFFFAOYSA-N 1 2 294.354 3.640 20 0 CHADLO CCN(C[C@H]1CCOC1)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000090137999 1118614629 /nfs/dbraw/zinc/61/46/29/1118614629.db2.gz WBQMWBHRFSBJJF-CQSZACIVSA-N 1 2 291.439 3.586 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@@H](C)c1ccc(Cl)cc1 ZINC000073618762 1118614655 /nfs/dbraw/zinc/61/46/55/1118614655.db2.gz NTLDKHURVSVAOG-JTQLQIEISA-N 1 2 293.750 3.570 20 0 CHADLO COc1ccnc(C[NH2+][C@@H](C)c2ccccc2Cl)c1F ZINC000656690491 1118638294 /nfs/dbraw/zinc/63/82/94/1118638294.db2.gz VYPQVDSKMLVITE-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO Cc1cc(C[NH+]2CC(C(F)F)C2)c(OC(F)F)cc1C ZINC000656689892 1118639190 /nfs/dbraw/zinc/63/91/90/1118639190.db2.gz CKLCPKCADLHKTJ-UHFFFAOYSA-N 1 2 291.288 3.602 20 0 CHADLO FC(F)C1C[NH+](Cc2ccc(Oc3ccccc3)o2)C1 ZINC000656690043 1118639270 /nfs/dbraw/zinc/63/92/70/1118639270.db2.gz GEAKTOUQDOQTHK-UHFFFAOYSA-N 1 2 279.286 3.769 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2cc3c(cc(C)cc3C)[nH]2)n1 ZINC000341261311 1118643783 /nfs/dbraw/zinc/64/37/83/1118643783.db2.gz BONAFZDIDXJSDT-UHFFFAOYSA-N 1 2 298.390 3.501 20 0 CHADLO C[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)C[C@H](C)O1 ZINC000341270830 1118647900 /nfs/dbraw/zinc/64/79/00/1118647900.db2.gz DLYCLUXCBWOXOI-QWRGUYRKSA-N 1 2 276.767 3.502 20 0 CHADLO CC(C)CCc1nnc(NCc2c[nH+]cn2C(C)C)s1 ZINC000656776238 1118655130 /nfs/dbraw/zinc/65/51/30/1118655130.db2.gz KESHJVDLRIVXGF-UHFFFAOYSA-N 1 2 293.440 3.516 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cncc4c3CCCC4)n2c1 ZINC000656776481 1118655210 /nfs/dbraw/zinc/65/52/10/1118655210.db2.gz PCWOFIKSGYCVIQ-UHFFFAOYSA-N 1 2 292.386 3.529 20 0 CHADLO Brc1cscc1C[NH+](C1CC1)C1CC1 ZINC000625841327 1118674576 /nfs/dbraw/zinc/67/45/76/1118674576.db2.gz KKKYPMRBQFISGW-UHFFFAOYSA-N 1 2 272.211 3.637 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000078899815 1118681484 /nfs/dbraw/zinc/68/14/84/1118681484.db2.gz DBBKFNQWXVPIDR-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000078899815 1118681485 /nfs/dbraw/zinc/68/14/85/1118681485.db2.gz DBBKFNQWXVPIDR-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO CC(C)C[C@@H](C[N@@H+]1C[C@H](O)CC(F)(F)C1)c1ccccc1 ZINC000684405909 1118682627 /nfs/dbraw/zinc/68/26/27/1118682627.db2.gz QKXSEIVXPISVHN-JKSUJKDBSA-N 1 2 297.389 3.518 20 0 CHADLO CC(C)C[C@@H](C[N@H+]1C[C@H](O)CC(F)(F)C1)c1ccccc1 ZINC000684405909 1118682628 /nfs/dbraw/zinc/68/26/28/1118682628.db2.gz QKXSEIVXPISVHN-JKSUJKDBSA-N 1 2 297.389 3.518 20 0 CHADLO Cc1cc(N2CCc3ccc(Cl)cc3C2)nc(C2CC2)[nH+]1 ZINC000341390204 1118686773 /nfs/dbraw/zinc/68/67/73/1118686773.db2.gz JYOYKYFVEWQYIW-UHFFFAOYSA-N 1 2 299.805 3.879 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=Cc3ccccc3F)cc2[nH+]1 ZINC000051224505 1118696400 /nfs/dbraw/zinc/69/64/00/1118696400.db2.gz UQICRTKWIDKNAT-TWGQIWQCSA-N 1 2 295.317 3.662 20 0 CHADLO C[C@H](Nc1ccc(Cn2cc[nH+]c2)cc1)c1ccc(F)cn1 ZINC001167483546 1118701978 /nfs/dbraw/zinc/70/19/78/1118701978.db2.gz RZGFFPNMSFAIRD-ZDUSSCGKSA-N 1 2 296.349 3.639 20 0 CHADLO c1ccc([C@@H](Nc2cc(N3CCCC3)nc[nH+]2)C2CC2)cc1 ZINC000341423506 1118702805 /nfs/dbraw/zinc/70/28/05/1118702805.db2.gz WLTNJEOZDCQIQF-GOSISDBHSA-N 1 2 294.402 3.640 20 0 CHADLO c1ccc([C@@H](Nc2cc(N3CCCC3)[nH+]cn2)C2CC2)cc1 ZINC000341423506 1118702808 /nfs/dbraw/zinc/70/28/08/1118702808.db2.gz WLTNJEOZDCQIQF-GOSISDBHSA-N 1 2 294.402 3.640 20 0 CHADLO Cc1nn(C)c(C[N@H+](C)[C@H]2CCc3ccccc32)c1Cl ZINC000891558246 1118709927 /nfs/dbraw/zinc/70/99/27/1118709927.db2.gz CARSCTDMFDRKAL-AWEZNQCLSA-N 1 2 289.810 3.501 20 0 CHADLO Cc1nn(C)c(C[N@@H+](C)[C@H]2CCc3ccccc32)c1Cl ZINC000891558246 1118709931 /nfs/dbraw/zinc/70/99/31/1118709931.db2.gz CARSCTDMFDRKAL-AWEZNQCLSA-N 1 2 289.810 3.501 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1ccnn1C1CCC1 ZINC000891590787 1118713959 /nfs/dbraw/zinc/71/39/59/1118713959.db2.gz AUJTVEQJKYIAPX-ZDUSSCGKSA-N 1 2 287.382 3.940 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1ccnn1C1CCC1 ZINC000891590787 1118713963 /nfs/dbraw/zinc/71/39/63/1118713963.db2.gz AUJTVEQJKYIAPX-ZDUSSCGKSA-N 1 2 287.382 3.940 20 0 CHADLO Cc1cc(N[C@@H]2CCSc3ccccc32)nc(C2CC2)[nH+]1 ZINC000341492556 1118716514 /nfs/dbraw/zinc/71/65/14/1118716514.db2.gz DRTDDEOJJWIKFM-CQSZACIVSA-N 1 2 297.427 3.733 20 0 CHADLO Cc1cc(NCCCSc2ccccc2)nc(C2CC2)[nH+]1 ZINC000341514859 1118717168 /nfs/dbraw/zinc/71/71/68/1118717168.db2.gz WLVSEJPCCMOSBJ-UHFFFAOYSA-N 1 2 299.443 3.679 20 0 CHADLO Cc1cc(N2CCC[C@H]2c2cccnc2)nc(C2CCC2)[nH+]1 ZINC000891611350 1118721292 /nfs/dbraw/zinc/72/12/92/1118721292.db2.gz LMPXUAPGOPYQND-INIZCTEOSA-N 1 2 294.402 3.789 20 0 CHADLO CC(C)[N@H+](Cc1nccn1C1CC1)Cc1cccc(F)c1 ZINC000891619922 1118723548 /nfs/dbraw/zinc/72/35/48/1118723548.db2.gz YKMLJSCVIDKYPP-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO CC(C)[N@@H+](Cc1nccn1C1CC1)Cc1cccc(F)c1 ZINC000891619922 1118723551 /nfs/dbraw/zinc/72/35/51/1118723551.db2.gz YKMLJSCVIDKYPP-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2ccc(Cl)c3c[nH]nc32)c1 ZINC001216053943 1118725008 /nfs/dbraw/zinc/72/50/08/1118725008.db2.gz ABGZQOQKTJKUGM-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2nccc3c2CCCC3)no1 ZINC000891648591 1118730088 /nfs/dbraw/zinc/73/00/88/1118730088.db2.gz QMRSVWLJWQIKMA-GOSISDBHSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2nccc3c2CCCC3)no1 ZINC000891648591 1118730091 /nfs/dbraw/zinc/73/00/91/1118730091.db2.gz QMRSVWLJWQIKMA-GOSISDBHSA-N 1 2 297.402 3.594 20 0 CHADLO COc1cc(Nc2cc(C)c(O)c(Cl)c2)cc(C)[nH+]1 ZINC001216087032 1118751894 /nfs/dbraw/zinc/75/18/94/1118751894.db2.gz KZVRZXPBEQRWDN-UHFFFAOYSA-N 1 2 278.739 3.810 20 0 CHADLO CCC[C@@H]1[C@@H](C)CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000933697169 1118774686 /nfs/dbraw/zinc/77/46/86/1118774686.db2.gz OKDVLAOITCLRPI-BLLLJJGKSA-N 1 2 285.391 3.604 20 0 CHADLO Clc1oc2ccccc2c1C[N@@H+]1CCO[C@@H]2CCC[C@@H]21 ZINC000510674546 1118785493 /nfs/dbraw/zinc/78/54/93/1118785493.db2.gz JXALBJKDQDNLDT-DZGCQCFKSA-N 1 2 291.778 3.840 20 0 CHADLO Clc1oc2ccccc2c1C[N@H+]1CCO[C@@H]2CCC[C@@H]21 ZINC000510674546 1118785495 /nfs/dbraw/zinc/78/54/95/1118785495.db2.gz JXALBJKDQDNLDT-DZGCQCFKSA-N 1 2 291.778 3.840 20 0 CHADLO C[C@H](F)CC[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000510814025 1118824786 /nfs/dbraw/zinc/82/47/86/1118824786.db2.gz WNSKOGNCDBZPOF-HUBLWGQQSA-N 1 2 285.790 3.850 20 0 CHADLO C[C@H](F)CC[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000510814025 1118824789 /nfs/dbraw/zinc/82/47/89/1118824789.db2.gz WNSKOGNCDBZPOF-HUBLWGQQSA-N 1 2 285.790 3.850 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC000426392481 1118831642 /nfs/dbraw/zinc/83/16/42/1118831642.db2.gz ANPMTXXWESPXPM-RVDMUPIBSA-N 1 2 298.434 3.568 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC000426392481 1118831647 /nfs/dbraw/zinc/83/16/47/1118831647.db2.gz ANPMTXXWESPXPM-RVDMUPIBSA-N 1 2 298.434 3.568 20 0 CHADLO COc1cc2c(cc1OC)[C@@H](Nc1ccc(C)[nH+]c1C)CC2 ZINC000684555940 1118832505 /nfs/dbraw/zinc/83/25/05/1118832505.db2.gz AEMDHIQIVWBELY-INIZCTEOSA-N 1 2 298.386 3.815 20 0 CHADLO Clc1cnc(C[NH2+]Cc2cnc3ccccc3c2)s1 ZINC000349631491 1118862101 /nfs/dbraw/zinc/86/21/01/1118862101.db2.gz OLSZYUFEGDVVRD-UHFFFAOYSA-N 1 2 289.791 3.635 20 0 CHADLO Cc1cc(C[NH2+]Cc2c(Cl)oc3ccccc32)on1 ZINC000133190907 1118862151 /nfs/dbraw/zinc/86/21/51/1118862151.db2.gz UGJFSXGJNWSFTB-UHFFFAOYSA-N 1 2 276.723 3.672 20 0 CHADLO Cc1cn2cc(NC(=O)C3(C)CCCCCC3)ccc2[nH+]1 ZINC000510973028 1118875468 /nfs/dbraw/zinc/87/54/68/1118875468.db2.gz AGMMCCVYNXKZDO-UHFFFAOYSA-N 1 2 285.391 3.942 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccnc2ccccc12 ZINC000535775903 1118883787 /nfs/dbraw/zinc/88/37/87/1118883787.db2.gz VLLDXUWPAUYOMC-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccnc2ccccc12 ZINC000535775903 1118883795 /nfs/dbraw/zinc/88/37/95/1118883795.db2.gz VLLDXUWPAUYOMC-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+]Cc1ncc(Cl)s1 ZINC000349655014 1118932738 /nfs/dbraw/zinc/93/27/38/1118932738.db2.gz LHPFSWUFSLSSCK-UHFFFAOYSA-N 1 2 296.823 3.874 20 0 CHADLO Cc1cc(C)nc(C[N@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)c1 ZINC001167504484 1118944688 /nfs/dbraw/zinc/94/46/88/1118944688.db2.gz LRQSWDLONPKXNV-VQIMIIECSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cc(C)nc(C[N@@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)c1 ZINC001167504484 1118944690 /nfs/dbraw/zinc/94/46/90/1118944690.db2.gz LRQSWDLONPKXNV-VQIMIIECSA-N 1 2 296.414 3.660 20 0 CHADLO Oc1cccc(C[NH2+][C@H](c2ccco2)c2ccccc2)c1 ZINC000041635584 1118949967 /nfs/dbraw/zinc/94/99/67/1118949967.db2.gz OFVGUCCSCITTTD-SFHVURJKSA-N 1 2 279.339 3.864 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(Cl)ccc1Cl)c1cncs1 ZINC000934195799 1118963001 /nfs/dbraw/zinc/96/30/01/1118963001.db2.gz RDKAWDLSTRZBDM-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](c2ccccc2F)C2CCCC2)n1 ZINC000342271817 1118964651 /nfs/dbraw/zinc/96/46/51/1118964651.db2.gz YLLPNZPTYOGRRR-SFHVURJKSA-N 1 2 299.393 3.945 20 0 CHADLO COc1ccc2cc(C[N@H+](C)Cc3nc(C)c[nH]3)ccc2c1 ZINC000628122031 1128813461 /nfs/dbraw/zinc/81/34/61/1128813461.db2.gz GVPUXWDOFXKRQO-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO COc1ccc2cc(C[N@@H+](C)Cc3nc(C)c[nH]3)ccc2c1 ZINC000628122031 1128813465 /nfs/dbraw/zinc/81/34/65/1128813465.db2.gz GVPUXWDOFXKRQO-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO Cc1cc(F)ncc1C[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000892133028 1118980882 /nfs/dbraw/zinc/98/08/82/1118980882.db2.gz DXZPPZULLKVWJK-HNNXBMFYSA-N 1 2 274.314 3.615 20 0 CHADLO Cc1cc(F)ncc1C[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000892133028 1118980886 /nfs/dbraw/zinc/98/08/86/1118980886.db2.gz DXZPPZULLKVWJK-HNNXBMFYSA-N 1 2 274.314 3.615 20 0 CHADLO CCc1oc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)cc1C ZINC000119699870 1119003002 /nfs/dbraw/zinc/00/30/02/1119003002.db2.gz GEVQYHDQYTYWEX-UHFFFAOYSA-N 1 2 295.342 3.588 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2CCC[C@H](C)[C@@H]2C)[nH+]1 ZINC000348728920 1119005082 /nfs/dbraw/zinc/00/50/82/1119005082.db2.gz OIWSYRPWDYNJPK-OUJBWJOFSA-N 1 2 258.369 3.503 20 0 CHADLO Cc1c[nH]c(C[NH2+]C2(c3ccc(Cl)c(Cl)c3)CC2)n1 ZINC000657342161 1119012174 /nfs/dbraw/zinc/01/21/74/1119012174.db2.gz IIKVXLPVSBCQJD-UHFFFAOYSA-N 1 2 296.201 3.804 20 0 CHADLO Cc1c[nH]c(C[NH2+]C2(c3cccc(C(F)(F)F)c3)CC2)n1 ZINC000657345699 1119015666 /nfs/dbraw/zinc/01/56/66/1119015666.db2.gz PHNSDLQORNWYAV-UHFFFAOYSA-N 1 2 295.308 3.516 20 0 CHADLO Cc1[nH]c(CN(Cc2ccccc2F)C(C)C)[nH+]c1C ZINC000628135899 1128816867 /nfs/dbraw/zinc/81/68/67/1128816867.db2.gz LHGFICFCPOBNQP-UHFFFAOYSA-N 1 2 275.371 3.576 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cc(Cl)cc(Cl)c2)o1 ZINC000628146164 1128818120 /nfs/dbraw/zinc/81/81/20/1128818120.db2.gz GMUYTJPVINXIPY-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cc(Cl)cc(Cl)c2)o1 ZINC000628146164 1128818123 /nfs/dbraw/zinc/81/81/23/1128818123.db2.gz GMUYTJPVINXIPY-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO CC(C)CC[C@@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)C(C)(C)C ZINC000582424154 1119078423 /nfs/dbraw/zinc/07/84/23/1119078423.db2.gz XMCBRTVRCDPYGO-HUUCEWRRSA-N 1 2 293.455 3.801 20 0 CHADLO CCn1c(C)cc(C(=O)C[N@H+](C)Cc2cccs2)c1C ZINC000048776890 1119082581 /nfs/dbraw/zinc/08/25/81/1119082581.db2.gz FDUVXWSDWMBXJJ-UHFFFAOYSA-N 1 2 290.432 3.501 20 0 CHADLO CCn1c(C)cc(C(=O)C[N@@H+](C)Cc2cccs2)c1C ZINC000048776890 1119082584 /nfs/dbraw/zinc/08/25/84/1119082584.db2.gz FDUVXWSDWMBXJJ-UHFFFAOYSA-N 1 2 290.432 3.501 20 0 CHADLO Cc1cccc(O[C@@H](C)C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000097040134 1119098098 /nfs/dbraw/zinc/09/80/98/1119098098.db2.gz DVXTYKOBMVDGKG-HNNXBMFYSA-N 1 2 298.386 3.721 20 0 CHADLO C[C@H]([NH2+]Cc1csc(C2CC2)n1)c1cccc(O)c1 ZINC000113336410 1119109701 /nfs/dbraw/zinc/10/97/01/1119109701.db2.gz FZYWZZLKQUXLGV-JTQLQIEISA-N 1 2 274.389 3.577 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccccc1OCOC ZINC001211549214 1119115660 /nfs/dbraw/zinc/11/56/60/1119115660.db2.gz HQPCRNSCJVJCHO-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO COCc1cnc(C[N@@H+]2CCC[C@@H]2c2cccs2)s1 ZINC000342671612 1119120540 /nfs/dbraw/zinc/12/05/40/1119120540.db2.gz AUQDOWOVWYIORT-GFCCVEGCSA-N 1 2 294.445 3.688 20 0 CHADLO COCc1cnc(C[N@H+]2CCC[C@@H]2c2cccs2)s1 ZINC000342671612 1119120542 /nfs/dbraw/zinc/12/05/42/1119120542.db2.gz AUQDOWOVWYIORT-GFCCVEGCSA-N 1 2 294.445 3.688 20 0 CHADLO Cc1[nH]c(CNc2ccccc2C(C)(F)F)[nH+]c1C ZINC000657479116 1119120698 /nfs/dbraw/zinc/12/06/98/1119120698.db2.gz INHXHONFIDWUTB-UHFFFAOYSA-N 1 2 265.307 3.750 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@H](C)c1cc2ccccc2o1 ZINC000342697451 1119130018 /nfs/dbraw/zinc/13/00/18/1119130018.db2.gz CLDPVIZXNMUVGA-LLVKDONJSA-N 1 2 299.326 3.663 20 0 CHADLO Cc1cn2cc(NC(=O)C3(C)CCC(C)CC3)ccc2[nH+]1 ZINC001125614610 1119150720 /nfs/dbraw/zinc/15/07/20/1119150720.db2.gz ULOUDQVOZOIXNK-UHFFFAOYSA-N 1 2 285.391 3.798 20 0 CHADLO Cc1[nH]c(CNc2ccc3c(c2)CCCC3)[nH+]c1C ZINC000563439070 1119155652 /nfs/dbraw/zinc/15/56/52/1119155652.db2.gz FAUGWNWPMAUZQX-UHFFFAOYSA-N 1 2 255.365 3.517 20 0 CHADLO CC[C@@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000117156260 1119160356 /nfs/dbraw/zinc/16/03/56/1119160356.db2.gz YIZPJQPEEVLANH-OAHLLOKOSA-N 1 2 297.402 3.525 20 0 CHADLO CCc1oc2ccccc2c1CN(C)Cc1[nH+]cc(C)n1C ZINC000342790760 1119169031 /nfs/dbraw/zinc/16/90/31/1119169031.db2.gz BGGYTNCYMHJYEP-UHFFFAOYSA-N 1 2 297.402 3.669 20 0 CHADLO Cc1cc(Br)ccc1COc1cc[nH+]cc1 ZINC000431480120 1119180476 /nfs/dbraw/zinc/18/04/76/1119180476.db2.gz AVSBQUOTJWERFS-UHFFFAOYSA-N 1 2 278.149 3.732 20 0 CHADLO CC[C@@]1(C)CCC[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000075999339 1119181556 /nfs/dbraw/zinc/18/15/56/1119181556.db2.gz VAOVXGGHFWVWFJ-SFHVURJKSA-N 1 2 299.418 3.673 20 0 CHADLO CC[C@@]1(C)CCC[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000075999339 1119181559 /nfs/dbraw/zinc/18/15/59/1119181559.db2.gz VAOVXGGHFWVWFJ-SFHVURJKSA-N 1 2 299.418 3.673 20 0 CHADLO COc1cccc(F)c1CNc1cc(C)c2[nH]ccc2[nH+]1 ZINC001167607952 1119187956 /nfs/dbraw/zinc/18/79/56/1119187956.db2.gz AELSJURGKWXOCX-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nc(-c3ccncc3)cs2)o1 ZINC000076895413 1119188668 /nfs/dbraw/zinc/18/86/68/1119188668.db2.gz MAWXAHZGWDBBDS-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2ccc(C(C)(C)C)cc2)o1 ZINC000077675991 1119195970 /nfs/dbraw/zinc/19/59/70/1119195970.db2.gz WDASVTLGMKTMNW-GFCCVEGCSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)o1 ZINC000077675991 1119195972 /nfs/dbraw/zinc/19/59/72/1119195972.db2.gz WDASVTLGMKTMNW-GFCCVEGCSA-N 1 2 287.407 3.869 20 0 CHADLO CC[NH+](CC)[C@H](CN[C@H](C)c1ccco1)c1ccco1 ZINC000119101376 1119204891 /nfs/dbraw/zinc/20/48/91/1119204891.db2.gz FYXPIYSJBZMJQH-ZIAGYGMSSA-N 1 2 276.380 3.606 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1cnc(C2CC2)o1)C1CC1 ZINC000628154365 1119209191 /nfs/dbraw/zinc/20/91/91/1119209191.db2.gz UTAANFIWACHBGT-LLVKDONJSA-N 1 2 272.348 3.871 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1cnc(C2CC2)o1)C1CC1 ZINC000628154365 1119209195 /nfs/dbraw/zinc/20/91/95/1119209195.db2.gz UTAANFIWACHBGT-LLVKDONJSA-N 1 2 272.348 3.871 20 0 CHADLO C[C@@H]1CN(c2cccc[nH+]2)CC[C@@H]1c1ccccc1 ZINC000078410757 1119221842 /nfs/dbraw/zinc/22/18/42/1119221842.db2.gz FCJUULUQWNVEFI-ZBFHGGJFSA-N 1 2 252.361 3.712 20 0 CHADLO CCCCC[C@@H](C)NC(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000078644939 1119242239 /nfs/dbraw/zinc/24/22/39/1119242239.db2.gz HMFNSSPEPQQOLE-OAHLLOKOSA-N 1 2 299.418 3.630 20 0 CHADLO COCc1nc(C[NH2+][C@@H](C)c2ccc(Cl)cc2)cs1 ZINC000342920663 1119247624 /nfs/dbraw/zinc/24/76/24/1119247624.db2.gz ZXTCTMYDXNVMGL-JTQLQIEISA-N 1 2 296.823 3.794 20 0 CHADLO Cc1cc(C)c(Nc2ccc(-c3ncc[nH]3)cc2)c[nH+]1 ZINC001213086053 1119250479 /nfs/dbraw/zinc/25/04/79/1119250479.db2.gz FQPQJLCQUCBQEN-UHFFFAOYSA-N 1 2 264.332 3.832 20 0 CHADLO CCn1nc(C[NH2+][C@@H](C)c2c(C)noc2C)c2ccccc21 ZINC000317686708 1119251746 /nfs/dbraw/zinc/25/17/46/1119251746.db2.gz IRRJMFXLNZMHEL-NSHDSACASA-N 1 2 298.390 3.512 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCc3c2cc(F)cc3F)cs1 ZINC000121110923 1119256035 /nfs/dbraw/zinc/25/60/35/1119256035.db2.gz JMVLOERQJNKTPZ-CQSZACIVSA-N 1 2 280.343 3.507 20 0 CHADLO C[C@@]1(CNc2ccc(-n3cc[nH+]c3)cc2)CCCS1 ZINC000628192362 1119272855 /nfs/dbraw/zinc/27/28/55/1119272855.db2.gz VDCHPGIKZOLBQN-HNNXBMFYSA-N 1 2 273.405 3.570 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C)s1)c1cc(F)ccc1F ZINC000122899093 1119288611 /nfs/dbraw/zinc/28/86/11/1119288611.db2.gz PSMFMZOXVBRZLT-CYBMUJFWSA-N 1 2 282.359 3.971 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc2c(c1)COC2 ZINC000672622499 1119296799 /nfs/dbraw/zinc/29/67/99/1119296799.db2.gz ZKJNTMHBAIDLIS-QMTHXVAHSA-N 1 2 267.319 3.555 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(Cl)c(Cl)c2)no1 ZINC000044412112 1119323849 /nfs/dbraw/zinc/32/38/49/1119323849.db2.gz DSSMTJCPBMGHKI-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1nc2ccccc2s1 ZINC000092332053 1119327713 /nfs/dbraw/zinc/32/77/13/1119327713.db2.gz SIBHSFSLCRFHML-VIFPVBQESA-N 1 2 287.388 3.752 20 0 CHADLO Oc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(F)c1F ZINC001213088089 1119345752 /nfs/dbraw/zinc/34/57/52/1119345752.db2.gz OURQMRAURWHBDY-UHFFFAOYSA-N 1 2 287.269 3.804 20 0 CHADLO COc1cc(C)[nH+]c(CSc2cc(F)ccc2F)c1 ZINC000536010604 1119351458 /nfs/dbraw/zinc/35/14/58/1119351458.db2.gz CQSHHBFBZIYJDL-UHFFFAOYSA-N 1 2 281.327 3.969 20 0 CHADLO Cc1cc(Nc2cc(OC(F)(F)F)cc[nH+]2)ccc1CO ZINC001211581241 1119358590 /nfs/dbraw/zinc/35/85/90/1119358590.db2.gz HBNDURYSZNZHLH-UHFFFAOYSA-N 1 2 298.264 3.525 20 0 CHADLO Cn1cc2c(cccc2Nc2ccc(-c3[nH]cc[nH+]3)cc2)n1 ZINC001213088968 1119372832 /nfs/dbraw/zinc/37/28/32/1119372832.db2.gz MYOBESAAWKGFCW-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO COCCC1(C)C[NH+](Cc2csc(C(F)(F)F)c2)C1 ZINC000628307940 1128844598 /nfs/dbraw/zinc/84/45/98/1128844598.db2.gz LTCUQDJZWLWQKP-UHFFFAOYSA-N 1 2 293.354 3.625 20 0 CHADLO Cc1coc(-c2ccc(C)c(NCc3[nH]c(C)c(C)[nH+]3)c2)n1 ZINC000583882989 1119428877 /nfs/dbraw/zinc/42/88/77/1119428877.db2.gz BCASRMJFKDHTSS-UHFFFAOYSA-N 1 2 296.374 3.910 20 0 CHADLO Cc1[nH]c(CN2CCC[C@@H]2c2cccc(F)c2)[nH+]c1C ZINC000628135249 1119429853 /nfs/dbraw/zinc/42/98/53/1119429853.db2.gz QLHYJJQBKQWUJY-OAHLLOKOSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2cccc(F)c2)[nH]c1C ZINC000628135249 1119429854 /nfs/dbraw/zinc/42/98/54/1119429854.db2.gz QLHYJJQBKQWUJY-OAHLLOKOSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2cccc(F)c2)[nH]c1C ZINC000628135249 1119429855 /nfs/dbraw/zinc/42/98/55/1119429855.db2.gz QLHYJJQBKQWUJY-OAHLLOKOSA-N 1 2 273.355 3.503 20 0 CHADLO CC(C)CC[C@@H]1CCCC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000158145010 1119433609 /nfs/dbraw/zinc/43/36/09/1119433609.db2.gz LQUWWBQQEUCRSL-AWEZNQCLSA-N 1 2 277.412 3.738 20 0 CHADLO CC(C)CC[C@@H]1CCCC[N@H+]1Cc1noc(C2CC2)n1 ZINC000158145010 1119433614 /nfs/dbraw/zinc/43/36/14/1119433614.db2.gz LQUWWBQQEUCRSL-AWEZNQCLSA-N 1 2 277.412 3.738 20 0 CHADLO CCSc1cccc(C(=O)Nc2cccc3[nH+]ccn32)c1 ZINC000343516625 1119439940 /nfs/dbraw/zinc/43/99/40/1119439940.db2.gz LRFGQTGVFZPYMD-UHFFFAOYSA-N 1 2 297.383 3.699 20 0 CHADLO Cc1nc(C[N@H+](C)C/C=C\c2ccc(F)cc2F)cs1 ZINC000349974491 1119458699 /nfs/dbraw/zinc/45/86/99/1119458699.db2.gz XBEMOXJRMIRQFX-ARJAWSKDSA-N 1 2 294.370 3.875 20 0 CHADLO Cc1nc(C[N@@H+](C)C/C=C\c2ccc(F)cc2F)cs1 ZINC000349974491 1119458701 /nfs/dbraw/zinc/45/87/01/1119458701.db2.gz XBEMOXJRMIRQFX-ARJAWSKDSA-N 1 2 294.370 3.875 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1nc(C2CC2)cs1 ZINC000343626366 1119474243 /nfs/dbraw/zinc/47/42/43/1119474243.db2.gz ZNOVEHBQHBTPJJ-HNNXBMFYSA-N 1 2 287.432 3.696 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1nc(C2CC2)cs1 ZINC000343626366 1119474245 /nfs/dbraw/zinc/47/42/45/1119474245.db2.gz ZNOVEHBQHBTPJJ-HNNXBMFYSA-N 1 2 287.432 3.696 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCCCC[C@H]2c2ccco2)on1 ZINC000584091656 1119475006 /nfs/dbraw/zinc/47/50/06/1119475006.db2.gz FDKCVMOTBYIKNP-HNNXBMFYSA-N 1 2 288.347 3.588 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCCCC[C@H]2c2ccco2)on1 ZINC000584091656 1119475008 /nfs/dbraw/zinc/47/50/08/1119475008.db2.gz FDKCVMOTBYIKNP-HNNXBMFYSA-N 1 2 288.347 3.588 20 0 CHADLO Cn1cc(Cl)c(C[N@@H+]2CCCC[C@@H]2c2ccccc2)n1 ZINC000420924488 1119475610 /nfs/dbraw/zinc/47/56/10/1119475610.db2.gz SXJQQWZZIROTSX-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cc(Cl)c(C[N@H+]2CCCC[C@@H]2c2ccccc2)n1 ZINC000420924488 1119475611 /nfs/dbraw/zinc/47/56/11/1119475611.db2.gz SXJQQWZZIROTSX-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO CC1(CNc2ccc(-n3cc[nH+]c3)c(Cl)c2)CC1 ZINC000574893058 1119490247 /nfs/dbraw/zinc/49/02/47/1119490247.db2.gz PZJZEETYGPIEES-UHFFFAOYSA-N 1 2 261.756 3.738 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2F)[N@H+](Cc2nccs2)C1 ZINC000135104560 1119494234 /nfs/dbraw/zinc/49/42/34/1119494234.db2.gz YERPPCYXHRTXRQ-FZMZJTMJSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2F)[N@@H+](Cc2nccs2)C1 ZINC000135104560 1119494235 /nfs/dbraw/zinc/49/42/35/1119494235.db2.gz YERPPCYXHRTXRQ-FZMZJTMJSA-N 1 2 276.380 3.865 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CCCCC[C@@H]2C)no1 ZINC000083173405 1119524634 /nfs/dbraw/zinc/52/46/34/1119524634.db2.gz HFOWPTSCGXHMOR-HNNXBMFYSA-N 1 2 299.418 3.733 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CCCCC[C@@H]2C)no1 ZINC000083173405 1119524636 /nfs/dbraw/zinc/52/46/36/1119524636.db2.gz HFOWPTSCGXHMOR-HNNXBMFYSA-N 1 2 299.418 3.733 20 0 CHADLO C[C@H](SCCn1cc[nH+]c1)c1ccc(OC(F)F)cc1 ZINC000090201246 1119543667 /nfs/dbraw/zinc/54/36/67/1119543667.db2.gz AETOMVGJYKEPRO-NSHDSACASA-N 1 2 298.358 3.979 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(F)c(F)cc2F)C[C@H](C)C1(F)F ZINC000628390727 1128853279 /nfs/dbraw/zinc/85/32/79/1128853279.db2.gz NMFWMFWRFNZMAW-DTORHVGOSA-N 1 2 293.279 3.827 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)c(F)cc2F)C[C@H](C)C1(F)F ZINC000628390727 1128853286 /nfs/dbraw/zinc/85/32/86/1128853286.db2.gz NMFWMFWRFNZMAW-DTORHVGOSA-N 1 2 293.279 3.827 20 0 CHADLO Cc1nc(N2C[C@H](c3ccccc3)C3(CCC3)C2)cc[nH+]1 ZINC000413539572 1119543901 /nfs/dbraw/zinc/54/39/01/1119543901.db2.gz VKUGEBAPWDLCKP-MRXNPFEDSA-N 1 2 279.387 3.559 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2ccc(Cl)cn2)C2CC2)s1 ZINC000584437146 1119551075 /nfs/dbraw/zinc/55/10/75/1119551075.db2.gz UPUYAWNALMGYLP-ZDUSSCGKSA-N 1 2 293.823 3.741 20 0 CHADLO c1ccc([C@@H]2CCCC[N@H+]2Cc2nnc(C3CC3)o2)cc1 ZINC000584442935 1119552035 /nfs/dbraw/zinc/55/20/35/1119552035.db2.gz PJDZDRFNMPCWNV-HNNXBMFYSA-N 1 2 283.375 3.674 20 0 CHADLO c1ccc([C@@H]2CCCC[N@@H+]2Cc2nnc(C3CC3)o2)cc1 ZINC000584442935 1119552038 /nfs/dbraw/zinc/55/20/38/1119552038.db2.gz PJDZDRFNMPCWNV-HNNXBMFYSA-N 1 2 283.375 3.674 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccc(F)cn1 ZINC000092746787 1119566800 /nfs/dbraw/zinc/56/68/00/1119566800.db2.gz XSUCLQLWGCDOLU-JTQLQIEISA-N 1 2 293.411 3.826 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1cccc(F)c1Cl ZINC000093189251 1119570481 /nfs/dbraw/zinc/57/04/81/1119570481.db2.gz BQDKOGQZMRNAGO-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1cccc(F)c1Cl ZINC000093189251 1119570484 /nfs/dbraw/zinc/57/04/84/1119570484.db2.gz BQDKOGQZMRNAGO-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+]Cc1cccc3ccccc31)CCC2 ZINC000353489429 1128855028 /nfs/dbraw/zinc/85/50/28/1128855028.db2.gz BLCYSCKIBPUGTL-KRWDZBQOSA-N 1 2 277.371 3.730 20 0 CHADLO Cn1cccc1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000093686319 1119571867 /nfs/dbraw/zinc/57/18/67/1119571867.db2.gz BMXOAICQNSSIEP-HNNXBMFYSA-N 1 2 260.768 3.626 20 0 CHADLO Cc1ccoc1C[N@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000093769020 1119572068 /nfs/dbraw/zinc/57/20/68/1119572068.db2.gz CLAXFMBQKARDLW-DOMZBBRYSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1ccoc1C[N@@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000093769020 1119572071 /nfs/dbraw/zinc/57/20/71/1119572071.db2.gz CLAXFMBQKARDLW-DOMZBBRYSA-N 1 2 277.389 3.612 20 0 CHADLO c1nc(C2CC2)c(C[N@H+](Cc2ccccn2)C2CC2)s1 ZINC000349276948 1119592076 /nfs/dbraw/zinc/59/20/76/1119592076.db2.gz KZTJRTDTMPJTDX-UHFFFAOYSA-N 1 2 285.416 3.580 20 0 CHADLO c1nc(C2CC2)c(C[N@@H+](Cc2ccccn2)C2CC2)s1 ZINC000349276948 1119592077 /nfs/dbraw/zinc/59/20/77/1119592077.db2.gz KZTJRTDTMPJTDX-UHFFFAOYSA-N 1 2 285.416 3.580 20 0 CHADLO FC1(F)CC[NH+](Cc2cscc2Br)CC1 ZINC000685429879 1119606873 /nfs/dbraw/zinc/60/68/73/1119606873.db2.gz JJGGTUWNUHTAMZ-UHFFFAOYSA-N 1 2 296.180 3.742 20 0 CHADLO C[C@@H]1CO[C@@H](C(F)(F)F)C[N@@H+]1Cc1ccccc1C1CC1 ZINC000685440998 1119607684 /nfs/dbraw/zinc/60/76/84/1119607684.db2.gz QTBXTHZFQGKZMG-IAQYHMDHSA-N 1 2 299.336 3.716 20 0 CHADLO C[C@@H]1CO[C@@H](C(F)(F)F)C[N@H+]1Cc1ccccc1C1CC1 ZINC000685440998 1119607686 /nfs/dbraw/zinc/60/76/86/1119607686.db2.gz QTBXTHZFQGKZMG-IAQYHMDHSA-N 1 2 299.336 3.716 20 0 CHADLO COc1ccc(-c2cc(C[NH2+][C@H](C)c3ccco3)on2)cc1 ZINC000685512301 1119615348 /nfs/dbraw/zinc/61/53/48/1119615348.db2.gz COKVYGIMNYBAPV-GFCCVEGCSA-N 1 2 298.342 3.794 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Cl)cc2F)CCC1(F)F ZINC000685591314 1119635857 /nfs/dbraw/zinc/63/58/57/1119635857.db2.gz FAHRYYIZGOMUNK-VIFPVBQESA-N 1 2 277.717 3.956 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)cc2F)CCC1(F)F ZINC000685591314 1119635859 /nfs/dbraw/zinc/63/58/59/1119635859.db2.gz FAHRYYIZGOMUNK-VIFPVBQESA-N 1 2 277.717 3.956 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nc(C3CC3)no2)C(C)C)s1 ZINC000144895883 1119642009 /nfs/dbraw/zinc/64/20/09/1119642009.db2.gz MVFXELMMHORJID-UHFFFAOYSA-N 1 2 291.420 3.728 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nc(C3CC3)no2)C(C)C)s1 ZINC000144895883 1119642010 /nfs/dbraw/zinc/64/20/10/1119642010.db2.gz MVFXELMMHORJID-UHFFFAOYSA-N 1 2 291.420 3.728 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1cc(C)on1)c1ccccn1 ZINC000685646846 1119643773 /nfs/dbraw/zinc/64/37/73/1119643773.db2.gz SUKLZMHSLPBRHZ-TZMCWYRMSA-N 1 2 259.353 3.570 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000658043081 1119671312 /nfs/dbraw/zinc/67/13/12/1119671312.db2.gz GIVJQKKUUUCBQN-ZDUSSCGKSA-N 1 2 298.386 3.726 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc(C)cc1OC)C2 ZINC000628462980 1128863757 /nfs/dbraw/zinc/86/37/57/1128863757.db2.gz OSOGMQWEECTTLS-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc(C)cc1OC)C2 ZINC000628462980 1128863762 /nfs/dbraw/zinc/86/37/62/1128863762.db2.gz OSOGMQWEECTTLS-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@@H]1C[C@@]1(F)c1ccccc1 ZINC000926615108 1119715959 /nfs/dbraw/zinc/71/59/59/1119715959.db2.gz MZJAIYWKIWJNRD-DRZCJDIDSA-N 1 2 274.339 3.579 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1ncc(Cl)s1 ZINC000225303244 1119753320 /nfs/dbraw/zinc/75/33/20/1119753320.db2.gz DIINWWTYMYTPMB-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1nc(C)c(C[NH2+]Cc2c(Cl)cccc2Cl)o1 ZINC000311768161 1119755876 /nfs/dbraw/zinc/75/58/76/1119755876.db2.gz MJFCHMYELGGRIA-UHFFFAOYSA-N 1 2 285.174 3.888 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@@H](C)c1ccc(F)cc1F ZINC000924544989 1119788872 /nfs/dbraw/zinc/78/88/72/1119788872.db2.gz MGKXUTWAIQEAFY-XPTSAGLGSA-N 1 2 292.329 3.989 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1ccc(Cl)nc1 ZINC000780386071 1119795195 /nfs/dbraw/zinc/79/51/95/1119795195.db2.gz UFELFEYQJSEDCX-KOLCDFICSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1ccc(Cl)nc1 ZINC000780386071 1119795199 /nfs/dbraw/zinc/79/51/99/1119795199.db2.gz UFELFEYQJSEDCX-KOLCDFICSA-N 1 2 292.732 3.898 20 0 CHADLO CCOC(=O)c1c[nH+]c2ccc(C)cc2c1N1CCCCC1 ZINC000005651769 1119805330 /nfs/dbraw/zinc/80/53/30/1119805330.db2.gz SZZQGQCQIOQNCB-UHFFFAOYSA-N 1 2 298.386 3.710 20 0 CHADLO Cc1cc(OCc2cn(C(C)C)nn2)c2cccc(C)c2[nH+]1 ZINC000570345980 1119823654 /nfs/dbraw/zinc/82/36/54/1119823654.db2.gz FRPZOUMBBHKPBD-UHFFFAOYSA-N 1 2 296.374 3.603 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccc1Cl)c1cc2n(n1)CCC2 ZINC000414047120 1119864855 /nfs/dbraw/zinc/86/48/55/1119864855.db2.gz BMKVJEOABZOLHJ-VXGBXAGGSA-N 1 2 289.810 3.895 20 0 CHADLO C[C@H](c1nc(CSc2ccc(Cl)cc2)no1)[NH+](C)C ZINC000923783478 1119867379 /nfs/dbraw/zinc/86/73/79/1119867379.db2.gz JTIKWEFZWQYCMY-SECBINFHSA-N 1 2 297.811 3.638 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2n(n1)CCC2)c1ccc(F)cc1F ZINC000414053878 1119867716 /nfs/dbraw/zinc/86/77/16/1119867716.db2.gz MKOSPFDOPXTBDI-MNOVXSKESA-N 1 2 291.345 3.519 20 0 CHADLO Cc1c[nH+]c(CCSc2ccc(O)cc2)c(C)c1 ZINC000401178803 1119894338 /nfs/dbraw/zinc/89/43/38/1119894338.db2.gz SMPLIKYKCDTPPY-UHFFFAOYSA-N 1 2 259.374 3.739 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1ccn(C)n1)c1ccc(F)cc1F ZINC000414117949 1119905512 /nfs/dbraw/zinc/90/55/12/1119905512.db2.gz TXYSNPGJTLWJBZ-BZNIZROVSA-N 1 2 293.361 3.890 20 0 CHADLO C[C@@H]([NH2+]Cc1ccsc1Br)c1ccccn1 ZINC000282334123 1119911614 /nfs/dbraw/zinc/91/16/14/1119911614.db2.gz GASFLGUWVGJPTI-SECBINFHSA-N 1 2 297.221 3.756 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(OC)cc1C)C(F)F ZINC000414149871 1119918351 /nfs/dbraw/zinc/91/83/51/1119918351.db2.gz VEQDYRUFTXHQIU-ZWNOBZJWSA-N 1 2 257.324 3.698 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C(F)F)c2ccccc12)c1ncco1 ZINC000926766013 1119977704 /nfs/dbraw/zinc/97/77/04/1119977704.db2.gz OBLXTLHDYHGJDH-SNVBAGLBSA-N 1 2 291.301 3.875 20 0 CHADLO CC(C)n1nccc1C[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000373493055 1119992379 /nfs/dbraw/zinc/99/23/79/1119992379.db2.gz HAELAZXSIHHFAI-SFHVURJKSA-N 1 2 295.386 3.936 20 0 CHADLO Cc1ccc([S@@](=O)Cc2ccc(C)[nH+]c2C)c(C)c1 ZINC000187061283 1120010159 /nfs/dbraw/zinc/01/01/59/1120010159.db2.gz CUMDHDWMCYPGDA-IBGZPJMESA-N 1 2 273.401 3.623 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2ccc(F)cc2C)c(C)[nH+]1 ZINC000187201456 1120014387 /nfs/dbraw/zinc/01/43/87/1120014387.db2.gz APZRTGAZCZFSPK-HXUWFJFHSA-N 1 2 291.391 3.595 20 0 CHADLO Cc1occc1C[N@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000414394155 1120017494 /nfs/dbraw/zinc/01/74/94/1120017494.db2.gz FEFGUPWZEKZLGH-IAQYHMDHSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1occc1C[N@@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000414394155 1120017500 /nfs/dbraw/zinc/01/75/00/1120017500.db2.gz FEFGUPWZEKZLGH-IAQYHMDHSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@H]3C[C@@H]3C3CC3)cc2)[nH+]c1C ZINC000921654465 1120054777 /nfs/dbraw/zinc/05/47/77/1120054777.db2.gz FOMALWGLCDPBOM-CVEARBPZSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1nc(C)c(CNc2cc(C)[nH+]c(C3CCC3)n2)s1 ZINC000892677437 1120058343 /nfs/dbraw/zinc/05/83/43/1120058343.db2.gz CQOXGKHZUSHWOQ-UHFFFAOYSA-N 1 2 288.420 3.738 20 0 CHADLO CCC[C@H](C[N@@H+]1CCCc2[nH]ncc2C1)c1ccccc1 ZINC000668840803 1120063144 /nfs/dbraw/zinc/06/31/44/1120063144.db2.gz JEKJBEZITWBJQM-MRXNPFEDSA-N 1 2 283.419 3.742 20 0 CHADLO CCC[C@H](C[N@H+]1CCCc2[nH]ncc2C1)c1ccccc1 ZINC000668840803 1120063149 /nfs/dbraw/zinc/06/31/49/1120063149.db2.gz JEKJBEZITWBJQM-MRXNPFEDSA-N 1 2 283.419 3.742 20 0 CHADLO CC(C)c1ccc(CC[N@@H+]2CCCc3[nH]ncc3C2)cc1 ZINC000668841118 1120063532 /nfs/dbraw/zinc/06/35/32/1120063532.db2.gz LMQNLGOPGKZSMJ-UHFFFAOYSA-N 1 2 283.419 3.524 20 0 CHADLO CC(C)c1ccc(CC[N@H+]2CCCc3[nH]ncc3C2)cc1 ZINC000668841118 1120063536 /nfs/dbraw/zinc/06/35/36/1120063536.db2.gz LMQNLGOPGKZSMJ-UHFFFAOYSA-N 1 2 283.419 3.524 20 0 CHADLO Cc1cc(Br)cc(C)c1Nc1ccc(N)[nH+]c1 ZINC000088166762 1120068172 /nfs/dbraw/zinc/06/81/72/1120068172.db2.gz FRPUQCDVKPLRLO-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(Cl)cc2Cl)nn1C ZINC000282278728 1120075829 /nfs/dbraw/zinc/07/58/29/1120075829.db2.gz RQAXGDVPIRDSTE-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO Cc1[nH]c2ccccc2c1C(=O)C[N@@H+](C)Cc1ccsc1 ZINC000061002074 1120079455 /nfs/dbraw/zinc/07/94/55/1120079455.db2.gz GYNVTYRPNYTARH-UHFFFAOYSA-N 1 2 298.411 3.853 20 0 CHADLO Cc1[nH]c2ccccc2c1C(=O)C[N@H+](C)Cc1ccsc1 ZINC000061002074 1120079461 /nfs/dbraw/zinc/07/94/61/1120079461.db2.gz GYNVTYRPNYTARH-UHFFFAOYSA-N 1 2 298.411 3.853 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1ccc(Cl)s1 ZINC000903333714 1120084421 /nfs/dbraw/zinc/08/44/21/1120084421.db2.gz SZMNGOASNUMRGY-NOZJJQNGSA-N 1 2 293.823 3.993 20 0 CHADLO Cc1cccc([C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C(C)C)c1 ZINC000628969959 1128889314 /nfs/dbraw/zinc/88/93/14/1128889314.db2.gz XVICUMRKHUAZEN-MAUKXSAKSA-N 1 2 299.418 3.656 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2noc(C3CCCCC3)n2)[C@@H]1C ZINC000179007977 1120089207 /nfs/dbraw/zinc/08/92/07/1120089207.db2.gz HFKLTBZDXHYCME-CHWSQXEVSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2noc(C3CCCCC3)n2)[C@@H]1C ZINC000179007977 1120089210 /nfs/dbraw/zinc/08/92/10/1120089210.db2.gz HFKLTBZDXHYCME-CHWSQXEVSA-N 1 2 277.412 3.738 20 0 CHADLO CC(C)(C)C(C)(C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000431834535 1120090248 /nfs/dbraw/zinc/09/02/48/1120090248.db2.gz MVBYUBPHRLZPTO-UHFFFAOYSA-N 1 2 285.391 3.883 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2cc(F)ccc2o1)c1cccc(O)c1 ZINC000181518870 1120105340 /nfs/dbraw/zinc/10/53/40/1120105340.db2.gz CZGLHQWMAJNLNX-SNVBAGLBSA-N 1 2 286.306 3.523 20 0 CHADLO Clc1ccc2c(c1)[C@@H]([NH2+]Cc1cocn1)CCS2 ZINC000182074507 1120114399 /nfs/dbraw/zinc/11/43/99/1120114399.db2.gz VRQCIKPOWHRPKR-LBPRGKRZSA-N 1 2 280.780 3.655 20 0 CHADLO Cc1cc(N2CCC(C(F)(F)F)CC2)nc(C2CCC2)[nH+]1 ZINC000892724662 1120125890 /nfs/dbraw/zinc/12/58/90/1120125890.db2.gz YSBCLSMETPEWKO-UHFFFAOYSA-N 1 2 299.340 3.831 20 0 CHADLO CCSc1ccc(C[NH2+][C@H](C)c2ncco2)cc1 ZINC000926838797 1120126963 /nfs/dbraw/zinc/12/69/63/1120126963.db2.gz VALXLRRMUKTRFA-LLVKDONJSA-N 1 2 262.378 3.637 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1Cl)c1nccn1C ZINC000182993664 1120127814 /nfs/dbraw/zinc/12/78/14/1120127814.db2.gz IUQDUMCFIPAQRZ-VHSXEESVSA-N 1 2 281.762 3.624 20 0 CHADLO CC[C@H](OCC[N@H+](C)Cc1cc(C)on1)c1ccccc1 ZINC000649486575 1128892359 /nfs/dbraw/zinc/89/23/59/1128892359.db2.gz RRGVDOXWTORKSZ-KRWDZBQOSA-N 1 2 288.391 3.583 20 0 CHADLO CC[C@H](OCC[N@@H+](C)Cc1cc(C)on1)c1ccccc1 ZINC000649486575 1128892363 /nfs/dbraw/zinc/89/23/63/1128892363.db2.gz RRGVDOXWTORKSZ-KRWDZBQOSA-N 1 2 288.391 3.583 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C(C)C)cc2)co1 ZINC000659833198 1120136085 /nfs/dbraw/zinc/13/60/85/1120136085.db2.gz HCMSQUXEUHGWEP-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C(C)C)cc2)co1 ZINC000659833198 1120136087 /nfs/dbraw/zinc/13/60/87/1120136087.db2.gz HCMSQUXEUHGWEP-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO c1cc(C[NH2+]Cc2cccc(OCc3ccccc3)c2)no1 ZINC000394484370 1120141532 /nfs/dbraw/zinc/14/15/32/1120141532.db2.gz TUYCUEQBZJNPFN-UHFFFAOYSA-N 1 2 294.354 3.543 20 0 CHADLO Cc1nc(C)c([C@@H](C)Nc2ccc([NH+](C)C)cc2)s1 ZINC000111360358 1120144936 /nfs/dbraw/zinc/14/49/36/1120144936.db2.gz NRMBYCAEBQFQGX-LLVKDONJSA-N 1 2 275.421 3.999 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@@H]1c2ccccc2CC[C@H]1F ZINC000926862345 1120160757 /nfs/dbraw/zinc/16/07/57/1120160757.db2.gz UEAUSMKYFYVRBW-UDTVLSCZSA-N 1 2 288.366 3.968 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1cc(F)c(O)c(F)c1 ZINC001140988230 1120163007 /nfs/dbraw/zinc/16/30/07/1120163007.db2.gz SVUBCRQGXRDSKL-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1cc(F)c(O)c(F)c1 ZINC001140988230 1120163011 /nfs/dbraw/zinc/16/30/11/1120163011.db2.gz SVUBCRQGXRDSKL-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@@H](C)c1ccc(Cl)s1 ZINC000924610650 1120163903 /nfs/dbraw/zinc/16/39/03/1120163903.db2.gz SHHOJQZNKHXFTO-BQBZGAKWSA-N 1 2 271.773 3.505 20 0 CHADLO COc1ccnc([C@H](C)[NH2+][C@@H](C)c2cc(F)ccc2F)c1 ZINC000924612690 1120171666 /nfs/dbraw/zinc/17/16/66/1120171666.db2.gz JHNSCZLZGGVSLG-QWRGUYRKSA-N 1 2 292.329 3.780 20 0 CHADLO c1csc(C[N@H+](Cc2noc3c2CCCC3)C2CC2)c1 ZINC000108852150 1120172950 /nfs/dbraw/zinc/17/29/50/1120172950.db2.gz IXFREMGWYVRXHX-UHFFFAOYSA-N 1 2 288.416 3.780 20 0 CHADLO c1csc(C[N@@H+](Cc2noc3c2CCCC3)C2CC2)c1 ZINC000108852150 1120172951 /nfs/dbraw/zinc/17/29/51/1120172951.db2.gz IXFREMGWYVRXHX-UHFFFAOYSA-N 1 2 288.416 3.780 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cc(O)cc(Cl)c2)cs1 ZINC000892977072 1120185862 /nfs/dbraw/zinc/18/58/62/1120185862.db2.gz IDVIXFGUNVSUBD-SECBINFHSA-N 1 2 296.823 3.915 20 0 CHADLO C[Si](C)(C)c1ccc[nH+]c1N[C@@H]1CCCc2cccnc21 ZINC001168656684 1120190904 /nfs/dbraw/zinc/19/09/04/1120190904.db2.gz RTPCYUZGTHSVJY-CQSZACIVSA-N 1 2 297.478 3.511 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@H+]1CCSC[C@@H]1C ZINC000172595731 1120192763 /nfs/dbraw/zinc/19/27/63/1120192763.db2.gz QMYKMZHYXJNJMI-JTQLQIEISA-N 1 2 294.445 3.649 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@@H+]1CCSC[C@@H]1C ZINC000172595731 1120192766 /nfs/dbraw/zinc/19/27/66/1120192766.db2.gz QMYKMZHYXJNJMI-JTQLQIEISA-N 1 2 294.445 3.649 20 0 CHADLO Cc1cc(N[C@H]2CCCc3cccnc32)[nH+]c2cc[nH]c21 ZINC001168660243 1120194368 /nfs/dbraw/zinc/19/43/68/1120194368.db2.gz UGLBLDJETHPGNP-ZDUSSCGKSA-N 1 2 278.359 3.756 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(OC)c1F)c1nccs1 ZINC000165860198 1120204173 /nfs/dbraw/zinc/20/41/73/1120204173.db2.gz KEEOXPGVFVMURN-LLVKDONJSA-N 1 2 280.368 3.532 20 0 CHADLO C[C@@H](CCC1CC1)[NH2+]c1ccc(N2CCOC[C@@H]2C)cc1 ZINC000186489963 1120214801 /nfs/dbraw/zinc/21/48/01/1120214801.db2.gz COCGAWJRNMXJCN-GJZGRUSLSA-N 1 2 288.435 3.902 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H]2COc3ccc(Cl)cc32)o1 ZINC000893118934 1120228352 /nfs/dbraw/zinc/22/83/52/1120228352.db2.gz LEHUWFFQCLHUSM-CYBMUJFWSA-N 1 2 293.750 3.555 20 0 CHADLO C[C@H](CNc1cc2cc[nH]c2c[nH+]1)c1ccccc1 ZINC000672142566 1120233091 /nfs/dbraw/zinc/23/30/91/1120233091.db2.gz FKOYWNKTVOKVHY-GFCCVEGCSA-N 1 2 251.333 3.779 20 0 CHADLO C[C@H](Nc1cccc(Cc2ccncc2)[nH+]1)C(C)(C)C ZINC001168683966 1120242370 /nfs/dbraw/zinc/24/23/70/1120242370.db2.gz YNNVVUHDYJAJBA-ZDUSSCGKSA-N 1 2 269.392 3.914 20 0 CHADLO C[C@H](Nc1ccc(Cn2cc[nH+]c2)cc1)C(C)(C)C ZINC001168684400 1120244608 /nfs/dbraw/zinc/24/46/08/1120244608.db2.gz GCJOTHJKVBSEMM-ZDUSSCGKSA-N 1 2 257.381 3.778 20 0 CHADLO Clc1ccc(CNc2cc3cc[nH]c3c[nH+]2)s1 ZINC000672161057 1120247054 /nfs/dbraw/zinc/24/70/54/1120247054.db2.gz JZEDIAAWVBWLSF-UHFFFAOYSA-N 1 2 263.753 3.890 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1ccc([C@H](C)C(=O)OC)c[nH+]1 ZINC001168689473 1120255760 /nfs/dbraw/zinc/25/57/60/1120255760.db2.gz HYZJJGORGCUQRH-AVGNSLFASA-N 1 2 278.396 3.595 20 0 CHADLO Cc1c[nH+]c(Nc2cc(F)c(O)c(Cl)c2)c(C)c1 ZINC001214482853 1120255794 /nfs/dbraw/zinc/25/57/94/1120255794.db2.gz RMELHKMSBUIZRN-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO Cc1nc([C@@H]([NH2+][C@@H](C)C(C)C)c2ccc(Cl)cc2)no1 ZINC000179893255 1120257444 /nfs/dbraw/zinc/25/74/44/1120257444.db2.gz DOAMEIASJHNVKB-HZMBPMFUSA-N 1 2 293.798 3.755 20 0 CHADLO Clc1cc2c(c(CNc3cc4cc[nH]c4c[nH+]3)c1)OCC2 ZINC000672250909 1120267331 /nfs/dbraw/zinc/26/73/31/1120267331.db2.gz YLRFCAAGAKOLGH-UHFFFAOYSA-N 1 2 299.761 3.763 20 0 CHADLO CCc1cc(OCCOCC2CC2)c2ccccc2[nH+]1 ZINC000542947574 1120273615 /nfs/dbraw/zinc/27/36/15/1120273615.db2.gz FXNFNNNVSXITJB-UHFFFAOYSA-N 1 2 271.360 3.603 20 0 CHADLO O=C(CC1=CCCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000106738985 1120275426 /nfs/dbraw/zinc/27/54/26/1120275426.db2.gz QWPHYNWNQMMZMP-UHFFFAOYSA-N 1 2 281.359 3.701 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1[nH+]cc(OC)c2cc[nH]c21 ZINC001168694936 1120277431 /nfs/dbraw/zinc/27/74/31/1120277431.db2.gz NWEBSTQZKPUMNM-MNOVXSKESA-N 1 2 261.369 3.808 20 0 CHADLO CCN(CC)c1ccc(N[C@@H]2CCCc3cccnc32)c[nH+]1 ZINC000387732145 1120287375 /nfs/dbraw/zinc/28/73/75/1120287375.db2.gz ODEUYYLWVLQKCN-MRXNPFEDSA-N 1 2 296.418 3.812 20 0 CHADLO Cc1cn2cc(NC(=O)c3cc4ccccc4o3)ccc2[nH+]1 ZINC000339117368 1120288958 /nfs/dbraw/zinc/28/89/58/1120288958.db2.gz GRRMASHOTDEFTB-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO Cc1cc(NC(=O)CCc2ccc(Cl)s2)cc[nH+]1 ZINC000120340072 1120295403 /nfs/dbraw/zinc/29/54/03/1120295403.db2.gz HRDHOKWNQCSDLP-UHFFFAOYSA-N 1 2 280.780 3.676 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccc(Cl)cn2)C2CC2)o1 ZINC000679212064 1120296163 /nfs/dbraw/zinc/29/61/63/1120296163.db2.gz AOCBRABNNLHOFD-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccc(Cl)cn2)C2CC2)o1 ZINC000679212064 1120296165 /nfs/dbraw/zinc/29/61/65/1120296165.db2.gz AOCBRABNNLHOFD-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO CCOc1cccc2cc([C@H](C)[NH2+]Cc3cocn3)oc21 ZINC000182060704 1120313232 /nfs/dbraw/zinc/31/32/32/1120313232.db2.gz ZJADLOVQVOIRTB-NSHDSACASA-N 1 2 286.331 3.670 20 0 CHADLO c1cc(-c2nc(C[N@@H+]3CCc4ccccc4C3)cs2)co1 ZINC000108355489 1120313901 /nfs/dbraw/zinc/31/39/01/1120313901.db2.gz JEFCNTBRYOEWQW-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO c1cc(-c2nc(C[N@H+]3CCc4ccccc4C3)cs2)co1 ZINC000108355489 1120313905 /nfs/dbraw/zinc/31/39/05/1120313905.db2.gz JEFCNTBRYOEWQW-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO CC(C)=CCC[N@@H+](C)Cc1nc([C@H](C)OCC(C)C)no1 ZINC000659976271 1120317727 /nfs/dbraw/zinc/31/77/27/1120317727.db2.gz YQPQOIFRBPRZDK-AWEZNQCLSA-N 1 2 295.427 3.591 20 0 CHADLO CC(C)=CCC[N@H+](C)Cc1nc([C@H](C)OCC(C)C)no1 ZINC000659976271 1120317729 /nfs/dbraw/zinc/31/77/29/1120317729.db2.gz YQPQOIFRBPRZDK-AWEZNQCLSA-N 1 2 295.427 3.591 20 0 CHADLO CC[C@H]1C[C@H](C)C[N@@H+]1Cc1ncsc1Br ZINC000659975587 1120319804 /nfs/dbraw/zinc/31/98/04/1120319804.db2.gz XYRLSLRXIFCZRI-IUCAKERBSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1C[C@H](C)C[N@H+]1Cc1ncsc1Br ZINC000659975587 1120319805 /nfs/dbraw/zinc/31/98/05/1120319805.db2.gz XYRLSLRXIFCZRI-IUCAKERBSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C)o1)c1cccc(Cl)c1 ZINC000182595922 1120323156 /nfs/dbraw/zinc/32/31/56/1120323156.db2.gz CIFILQRPFJTEQE-CYBMUJFWSA-N 1 2 264.756 3.877 20 0 CHADLO Fc1cc(C(F)(F)F)c(F)cc1C[N@@H+]1CC=CCC1 ZINC000672553097 1120324309 /nfs/dbraw/zinc/32/43/09/1120324309.db2.gz QQCQYJSBWUXCHP-UHFFFAOYSA-N 1 2 277.236 3.746 20 0 CHADLO Fc1cc(C(F)(F)F)c(F)cc1C[N@H+]1CC=CCC1 ZINC000672553097 1120324306 /nfs/dbraw/zinc/32/43/06/1120324306.db2.gz QQCQYJSBWUXCHP-UHFFFAOYSA-N 1 2 277.236 3.746 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H]1CCCC1(F)F)c1cccnc1 ZINC000672622189 1120336344 /nfs/dbraw/zinc/33/63/44/1120336344.db2.gz BNYCTRHXJBGRDD-QWHCGFSZSA-N 1 2 254.324 3.556 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+][C@@H]1CSCCc2ccccc21 ZINC000672622666 1120337489 /nfs/dbraw/zinc/33/74/89/1120337489.db2.gz PNXCQNLDZMHHCW-KGLIPLIRSA-N 1 2 283.387 3.794 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+][C@@H]1CCCNc2ccccc21 ZINC000672622894 1120337836 /nfs/dbraw/zinc/33/78/36/1120337836.db2.gz XETIPFMTZSIFNS-KGLIPLIRSA-N 1 2 266.335 3.711 20 0 CHADLO CCOc1cc(CNc2ccc([NH2+]C)cc2)ccc1OC ZINC000629388939 1128910086 /nfs/dbraw/zinc/91/00/86/1128910086.db2.gz FPESCRQZIOTCBM-UHFFFAOYSA-N 1 2 286.375 3.748 20 0 CHADLO Cc1cc(-c2ccc(C(F)(F)F)nc2)c2[nH+]ccn2c1 ZINC001240268784 1120355610 /nfs/dbraw/zinc/35/56/10/1120355610.db2.gz OGBHVTYLELBFFN-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2ccc3ncccc3c2)n1 ZINC000922077428 1120357183 /nfs/dbraw/zinc/35/71/83/1120357183.db2.gz VIVGBVNBNGSMSS-UHFFFAOYSA-N 1 2 295.386 3.810 20 0 CHADLO Cc1[nH]ncc1C[NH2+]C1(c2ccccc2)CCCCC1 ZINC000113958439 1120362520 /nfs/dbraw/zinc/36/25/20/1120362520.db2.gz LRSKLNQNDWPSKO-UHFFFAOYSA-N 1 2 269.392 3.667 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC23CCCCC3)c(Cl)n1 ZINC001141133327 1120378712 /nfs/dbraw/zinc/37/87/12/1120378712.db2.gz OWRAOLKGWGNUDI-UHFFFAOYSA-N 1 2 286.206 3.692 20 0 CHADLO Clc1cnc(C[N@H+]2CCC23CCCCC3)c(Cl)n1 ZINC001141133327 1120378718 /nfs/dbraw/zinc/37/87/18/1120378718.db2.gz OWRAOLKGWGNUDI-UHFFFAOYSA-N 1 2 286.206 3.692 20 0 CHADLO Cc1cc(N[C@H](C)c2ccccc2Cl)nc(C2CC2)[nH+]1 ZINC000338800253 1120386207 /nfs/dbraw/zinc/38/62/07/1120386207.db2.gz BRUSQBDYQAJCCJ-LLVKDONJSA-N 1 2 287.794 3.911 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1ccc(F)c(Cl)c1F ZINC001143615298 1120390406 /nfs/dbraw/zinc/39/04/06/1120390406.db2.gz BBMOCFQRLCYSEF-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1ccc(F)c(Cl)c1F ZINC001143615298 1120390409 /nfs/dbraw/zinc/39/04/09/1120390409.db2.gz BBMOCFQRLCYSEF-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1c(Cl)ccc(C[NH2+][C@@H]2CCn3ccnc32)c1Cl ZINC000922152077 1120390989 /nfs/dbraw/zinc/39/09/89/1120390989.db2.gz XLPOYWWEYPISMW-GFCCVEGCSA-N 1 2 296.201 3.733 20 0 CHADLO CC1(C)C[C@@H](Nc2cccc[nH+]2)c2cc(F)ccc2O1 ZINC000651416097 1120392690 /nfs/dbraw/zinc/39/26/90/1120392690.db2.gz XHTCKMGJBQICRV-CYBMUJFWSA-N 1 2 272.323 3.935 20 0 CHADLO Cc1cc(Cl)c(C(=O)Nc2cccc3[nH+]ccn32)cc1C ZINC000511597428 1120392741 /nfs/dbraw/zinc/39/27/41/1120392741.db2.gz JMILCLPTJSRKTO-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2Cc3ccccc3[C@@H]2C)cc1 ZINC000543061523 1120395125 /nfs/dbraw/zinc/39/51/25/1120395125.db2.gz YTHLIXJRLZGJOG-AWEZNQCLSA-N 1 2 280.371 3.510 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2Cc3ccccc3[C@@H]2C)cc1 ZINC000543061523 1120395129 /nfs/dbraw/zinc/39/51/29/1120395129.db2.gz YTHLIXJRLZGJOG-AWEZNQCLSA-N 1 2 280.371 3.510 20 0 CHADLO Fc1cccc2c1CCC[C@H]2[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000453237911 1120399496 /nfs/dbraw/zinc/39/94/96/1120399496.db2.gz PHWLCBJMBHGEKS-CVEARBPZSA-N 1 2 285.366 3.593 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H](C)c2cccnc2Cl)n1 ZINC000162337918 1120401056 /nfs/dbraw/zinc/40/10/56/1120401056.db2.gz ZUSYLJSJXLYJAK-VHSXEESVSA-N 1 2 281.812 3.912 20 0 CHADLO Fc1cc(Cl)cc(C[N@H+]2CCC[C@H](OC(F)F)C2)c1 ZINC000681630479 1120404321 /nfs/dbraw/zinc/40/43/21/1120404321.db2.gz UCMUWYCWMBGVBX-LBPRGKRZSA-N 1 2 293.716 3.683 20 0 CHADLO Fc1cc(Cl)cc(C[N@@H+]2CCC[C@H](OC(F)F)C2)c1 ZINC000681630479 1120404323 /nfs/dbraw/zinc/40/43/23/1120404323.db2.gz UCMUWYCWMBGVBX-LBPRGKRZSA-N 1 2 293.716 3.683 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1c(Cl)cnn1C ZINC000682154751 1120409741 /nfs/dbraw/zinc/40/97/41/1120409741.db2.gz AKGISGSBJSUWKA-HNNXBMFYSA-N 1 2 289.810 3.583 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1c(Cl)cnn1C ZINC000682154751 1120409744 /nfs/dbraw/zinc/40/97/44/1120409744.db2.gz AKGISGSBJSUWKA-HNNXBMFYSA-N 1 2 289.810 3.583 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccnc(C(F)(F)F)c1 ZINC001240339649 1120426799 /nfs/dbraw/zinc/42/67/99/1120426799.db2.gz BDJZAXBRKUPPMR-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO CCC[C@H]([NH2+]Cc1coc(C)n1)c1ccc(F)cc1F ZINC000660203404 1120441178 /nfs/dbraw/zinc/44/11/78/1120441178.db2.gz YSHRPVRNTABTDL-HNNXBMFYSA-N 1 2 280.318 3.892 20 0 CHADLO CCc1noc(C[N@@H+](CC)[C@@H](C)c2cc3ccccc3o2)n1 ZINC000067462418 1120442126 /nfs/dbraw/zinc/44/21/26/1120442126.db2.gz XCIMDVLGIZXMSF-LBPRGKRZSA-N 1 2 299.374 3.961 20 0 CHADLO CCc1noc(C[N@H+](CC)[C@@H](C)c2cc3ccccc3o2)n1 ZINC000067462418 1120442129 /nfs/dbraw/zinc/44/21/29/1120442129.db2.gz XCIMDVLGIZXMSF-LBPRGKRZSA-N 1 2 299.374 3.961 20 0 CHADLO Fc1ccc2c[nH+]c(NC3(C4CCOCC4)CC3)cc2c1 ZINC001167744528 1120461760 /nfs/dbraw/zinc/46/17/60/1120461760.db2.gz YLEMPKQCZNGHFX-UHFFFAOYSA-N 1 2 286.350 3.745 20 0 CHADLO COc1ccc(C(F)(F)F)cc1C[NH+]1CC(C)(C)C1 ZINC001141200401 1120472402 /nfs/dbraw/zinc/47/24/02/1120472402.db2.gz RFWFOYJGYCCASU-UHFFFAOYSA-N 1 2 273.298 3.556 20 0 CHADLO C[C@@H](OC(=O)[C@@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000182001397 1128918775 /nfs/dbraw/zinc/91/87/75/1128918775.db2.gz BSICPMFNPOANGM-RHSMWYFYSA-N 1 2 283.371 3.594 20 0 CHADLO COc1cccc(C[NH2+][C@@H](C)c2ncc(C)s2)c1Cl ZINC000660269515 1120476296 /nfs/dbraw/zinc/47/62/96/1120476296.db2.gz NWTMUDQOAONDCJ-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)n(C)n1 ZINC000417715080 1120478106 /nfs/dbraw/zinc/47/81/06/1120478106.db2.gz SGGSVCBCYXMQJY-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2ccccc2Cl)n(C)n1 ZINC000417715080 1120478109 /nfs/dbraw/zinc/47/81/09/1120478109.db2.gz SGGSVCBCYXMQJY-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H]2CCc3ccc(F)cc32)s1 ZINC000358291975 1120478083 /nfs/dbraw/zinc/47/80/83/1120478083.db2.gz VLEPJUMAEWOBDX-ZDUSSCGKSA-N 1 2 291.395 3.578 20 0 CHADLO CCn1c[nH+]cc1CN1CC[C@H]1c1ccc(Cl)cc1 ZINC000417805516 1120482031 /nfs/dbraw/zinc/48/20/31/1120482031.db2.gz DUODOWZCGISQOH-HNNXBMFYSA-N 1 2 275.783 3.503 20 0 CHADLO CCn1c[nH+]cc1CN1CC[C@@H]1c1ccc(Cl)cc1 ZINC000417805517 1120482123 /nfs/dbraw/zinc/48/21/23/1120482123.db2.gz DUODOWZCGISQOH-OAHLLOKOSA-N 1 2 275.783 3.503 20 0 CHADLO CCc1ncc(C[N@H+](C)[C@@H](C)c2ccccc2F)o1 ZINC000929285686 1120483499 /nfs/dbraw/zinc/48/34/99/1120483499.db2.gz NOWBWFYNFHJVPW-NSHDSACASA-N 1 2 262.328 3.569 20 0 CHADLO CCc1ncc(C[N@@H+](C)[C@@H](C)c2ccccc2F)o1 ZINC000929285686 1120483503 /nfs/dbraw/zinc/48/35/03/1120483503.db2.gz NOWBWFYNFHJVPW-NSHDSACASA-N 1 2 262.328 3.569 20 0 CHADLO CC(C)(CC(=O)Nc1ccccc1-n1cc[nH+]c1)C1CC1 ZINC000338838018 1120489643 /nfs/dbraw/zinc/48/96/43/1120489643.db2.gz VREOWSFODPYRLU-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1ncc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)o1 ZINC000930964490 1120490978 /nfs/dbraw/zinc/49/09/78/1120490978.db2.gz DCYFVMJJZKISIP-OAHLLOKOSA-N 1 2 272.348 3.693 20 0 CHADLO Cc1ncc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)o1 ZINC000930964490 1120490980 /nfs/dbraw/zinc/49/09/80/1120490980.db2.gz DCYFVMJJZKISIP-OAHLLOKOSA-N 1 2 272.348 3.693 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2occc2c1 ZINC000922293810 1120501769 /nfs/dbraw/zinc/50/17/69/1120501769.db2.gz DEGINTIIXVZQAM-UHFFFAOYSA-N 1 2 255.321 3.822 20 0 CHADLO CCc1cnc(C[N@@H+]2Cc3ccccc3C(C)(C)C2)s1 ZINC000543398252 1120508860 /nfs/dbraw/zinc/50/88/60/1120508860.db2.gz QTZHFDCBCHHENB-UHFFFAOYSA-N 1 2 286.444 3.999 20 0 CHADLO CCc1cnc(C[N@H+]2Cc3ccccc3C(C)(C)C2)s1 ZINC000543398252 1120508864 /nfs/dbraw/zinc/50/88/64/1120508864.db2.gz QTZHFDCBCHHENB-UHFFFAOYSA-N 1 2 286.444 3.999 20 0 CHADLO Cc1cc(NCc2c(Cl)n[nH]c2C2CC2)c[nH+]c1C ZINC000922333990 1120518112 /nfs/dbraw/zinc/51/81/12/1120518112.db2.gz BIKPRFQGMMSZJF-UHFFFAOYSA-N 1 2 276.771 3.564 20 0 CHADLO CC(C)C[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1cccs1 ZINC000543485732 1120533490 /nfs/dbraw/zinc/53/34/90/1120533490.db2.gz ATVHKSPKGNMUAH-LBPRGKRZSA-N 1 2 291.420 3.886 20 0 CHADLO OCc1c(Cl)ccc(-c2[nH+]ccc3[nH]ccc32)c1F ZINC001222327626 1120537831 /nfs/dbraw/zinc/53/78/31/1120537831.db2.gz LAFNERZCWHPFJI-UHFFFAOYSA-N 1 2 276.698 3.515 20 0 CHADLO Fc1cccc2c1CC[C@H]2[NH2+][C@H]1CCCC1(F)F ZINC000398002428 1120548961 /nfs/dbraw/zinc/54/89/61/1120548961.db2.gz FPJHZMRNGWFKMT-OLZOCXBDSA-N 1 2 255.283 3.590 20 0 CHADLO FC(F)(F)c1cccc(C[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000398015226 1120555633 /nfs/dbraw/zinc/55/56/33/1120555633.db2.gz VXUHXCASYWATLD-LLVKDONJSA-N 1 2 279.252 3.983 20 0 CHADLO Fc1ccc2oc(C[NH2+][C@H](c3ncccn3)C3CC3)cc2c1 ZINC000922581934 1120555929 /nfs/dbraw/zinc/55/59/29/1120555929.db2.gz YEARPWOMJHQCSE-INIZCTEOSA-N 1 2 297.333 3.603 20 0 CHADLO Cc1cc2cc(NCc3ccc4oc(C)nc4c3)[nH+]cc2[nH]1 ZINC001167757855 1120565987 /nfs/dbraw/zinc/56/59/87/1120565987.db2.gz XQJHEAVUBUTAOV-UHFFFAOYSA-N 1 2 292.342 3.933 20 0 CHADLO CCc1noc(C[N@@H+]2CCCC[C@@H]2c2ccc(C)cc2)n1 ZINC000124552330 1120573194 /nfs/dbraw/zinc/57/31/94/1120573194.db2.gz HTSJORBYGXXEQA-OAHLLOKOSA-N 1 2 285.391 3.668 20 0 CHADLO CCc1noc(C[N@H+]2CCCC[C@@H]2c2ccc(C)cc2)n1 ZINC000124552330 1120573196 /nfs/dbraw/zinc/57/31/96/1120573196.db2.gz HTSJORBYGXXEQA-OAHLLOKOSA-N 1 2 285.391 3.668 20 0 CHADLO CC[C@H](CNc1cc(COC)cc[nH+]1)c1ccccc1 ZINC000652068498 1120578169 /nfs/dbraw/zinc/57/81/69/1120578169.db2.gz FVJMYSVCXLYKQI-OAHLLOKOSA-N 1 2 270.376 3.834 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@@H]1c1ccc(-c2ccsc2)cc1 ZINC000629691525 1128925850 /nfs/dbraw/zinc/92/58/50/1128925850.db2.gz XHIRWTHNSMHVMR-MRXNPFEDSA-N 1 2 271.385 3.751 20 0 CHADLO C[N@H+]1CCC(=O)C[C@@H]1c1ccc(-c2ccsc2)cc1 ZINC000629691525 1128925852 /nfs/dbraw/zinc/92/58/52/1128925852.db2.gz XHIRWTHNSMHVMR-MRXNPFEDSA-N 1 2 271.385 3.751 20 0 CHADLO Cc1ccsc1[C@H](Cc1ccccc1)[NH2+]Cc1ccn[nH]1 ZINC000124711584 1120581514 /nfs/dbraw/zinc/58/15/14/1120581514.db2.gz UNBOQKMOMBOSBT-INIZCTEOSA-N 1 2 297.427 3.853 20 0 CHADLO CSc1ccc(C[NH2+]Cc2nc3c(s2)CCCC3)o1 ZINC000922694580 1120582734 /nfs/dbraw/zinc/58/27/34/1120582734.db2.gz BJFMVRMTLIDDPL-UHFFFAOYSA-N 1 2 294.445 3.627 20 0 CHADLO COCCCCCOc1cc(C)[nH+]c2ccc(OC)cc21 ZINC000509001660 1120583791 /nfs/dbraw/zinc/58/37/91/1120583791.db2.gz XCPSFRWCWLKUHP-UHFFFAOYSA-N 1 2 289.375 3.747 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc(C)ccc2C)c(C)o1 ZINC000660413494 1120587488 /nfs/dbraw/zinc/58/74/88/1120587488.db2.gz GUGWKUYATZTVIB-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc(C)ccc2C)c(C)o1 ZINC000660413494 1120587494 /nfs/dbraw/zinc/58/74/94/1120587494.db2.gz GUGWKUYATZTVIB-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Clc1cc(NC[C@@H]2CCC=CO2)ccc1-n1cc[nH+]c1 ZINC000194146289 1120593846 /nfs/dbraw/zinc/59/38/46/1120593846.db2.gz HLOCDDCGOVDKLD-ZDUSSCGKSA-N 1 2 289.766 3.630 20 0 CHADLO Cc1nc2ccc(C[NH2+][C@H]3CCCC3(F)F)cc2s1 ZINC000673618510 1120599449 /nfs/dbraw/zinc/59/94/49/1120599449.db2.gz LUEKWWUOACBEGK-ZDUSSCGKSA-N 1 2 282.359 3.882 20 0 CHADLO Fc1ccc(/C=C\C[NH2+][C@H]2CCCC2(F)F)c(F)c1 ZINC000673617911 1120601311 /nfs/dbraw/zinc/60/13/11/1120601311.db2.gz MUNUONPKQPYFGO-ZRMMWKCHSA-N 1 2 273.273 3.755 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nc(C)no1)c1cccc(Cl)c1 ZINC000673627915 1120624507 /nfs/dbraw/zinc/62/45/07/1120624507.db2.gz XODAUXFFEVCOFA-RNCFNFMXSA-N 1 2 279.771 3.833 20 0 CHADLO CCC[C@@H](C)CC(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000109716848 1120628234 /nfs/dbraw/zinc/62/82/34/1120628234.db2.gz ZKAWJIHGWRUCFB-GFCCVEGCSA-N 1 2 289.354 3.776 20 0 CHADLO CC(C)(C)c1cccc(C[N@@H+]2CCOC[C@@H]2CC(F)F)c1 ZINC000660545906 1120654891 /nfs/dbraw/zinc/65/48/91/1120654891.db2.gz BIOUYDAMAMLEDI-HNNXBMFYSA-N 1 2 297.389 3.840 20 0 CHADLO CC(C)(C)c1cccc(C[N@H+]2CCOC[C@@H]2CC(F)F)c1 ZINC000660545906 1120654894 /nfs/dbraw/zinc/65/48/94/1120654894.db2.gz BIOUYDAMAMLEDI-HNNXBMFYSA-N 1 2 297.389 3.840 20 0 CHADLO CCC(C)(C)CC[N@@H+]1CCOC[C@H]1c1nc(C)cs1 ZINC000432164690 1120660235 /nfs/dbraw/zinc/66/02/35/1120660235.db2.gz FOIGDTIWDCZUSW-ZDUSSCGKSA-N 1 2 282.453 3.651 20 0 CHADLO CCC(C)(C)CC[N@H+]1CCOC[C@H]1c1nc(C)cs1 ZINC000432164690 1120660237 /nfs/dbraw/zinc/66/02/37/1120660237.db2.gz FOIGDTIWDCZUSW-ZDUSSCGKSA-N 1 2 282.453 3.651 20 0 CHADLO Cc1coc(-c2ccc([C@H]3CC(=O)CC[N@H+]3C)cc2)c1 ZINC000629735988 1128931702 /nfs/dbraw/zinc/93/17/02/1128931702.db2.gz KWJVXDCEUUZQSK-MRXNPFEDSA-N 1 2 269.344 3.591 20 0 CHADLO Cc1coc(-c2ccc([C@H]3CC(=O)CC[N@@H+]3C)cc2)c1 ZINC000629735988 1128931706 /nfs/dbraw/zinc/93/17/06/1128931706.db2.gz KWJVXDCEUUZQSK-MRXNPFEDSA-N 1 2 269.344 3.591 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)N1CCn2c[nH+]cc2C1 ZINC000660576995 1120664821 /nfs/dbraw/zinc/66/48/21/1120664821.db2.gz DCLACIHUYWTCFZ-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1ccc2c(c1)CCCO2 ZINC000657939953 1120679041 /nfs/dbraw/zinc/67/90/41/1120679041.db2.gz FXGGMVYYQNEUPR-GFCCVEGCSA-N 1 2 254.333 3.580 20 0 CHADLO Cc1nn(-c2cccc(C)c2)cc1C[N@H+](C)Cc1ccoc1 ZINC000651623370 1120685379 /nfs/dbraw/zinc/68/53/79/1120685379.db2.gz BRBNMAVOMNRERD-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1nn(-c2cccc(C)c2)cc1C[N@@H+](C)Cc1ccoc1 ZINC000651623370 1120685382 /nfs/dbraw/zinc/68/53/82/1120685382.db2.gz BRBNMAVOMNRERD-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO CC(C)C[C@@H](C)C[N@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660767029 1120685456 /nfs/dbraw/zinc/68/54/56/1120685456.db2.gz JHJUDKDUMZSSCM-GDBMZVCRSA-N 1 2 286.423 3.508 20 0 CHADLO CC(C)C[C@@H](C)C[N@@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660767029 1120685457 /nfs/dbraw/zinc/68/54/57/1120685457.db2.gz JHJUDKDUMZSSCM-GDBMZVCRSA-N 1 2 286.423 3.508 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C[N@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660768691 1120685479 /nfs/dbraw/zinc/68/54/79/1120685479.db2.gz UBIBXKOIWGLDHC-DJIMGWMZSA-N 1 2 298.434 3.652 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C[N@@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660768691 1120685481 /nfs/dbraw/zinc/68/54/81/1120685481.db2.gz UBIBXKOIWGLDHC-DJIMGWMZSA-N 1 2 298.434 3.652 20 0 CHADLO FC(F)(F)c1cc(C[NH2+]Cc2ccon2)ccc1Cl ZINC000673885624 1120687902 /nfs/dbraw/zinc/68/79/02/1120687902.db2.gz JDMLCAGOTQUZKR-UHFFFAOYSA-N 1 2 290.672 3.637 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2cccc(Cl)c2)s1 ZINC000273241620 1120693468 /nfs/dbraw/zinc/69/34/68/1120693468.db2.gz XLCDDRXLQGTJSY-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO CCC1(CC)CCC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625536229 1120699721 /nfs/dbraw/zinc/69/97/21/1120699721.db2.gz KQTRSYIXUZHFIB-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO CCC1(CC)CCC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625536229 1120699723 /nfs/dbraw/zinc/69/97/23/1120699723.db2.gz KQTRSYIXUZHFIB-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO Clc1cnc(C[N@@H+]2C[C@@H]3CCCC[C@@H]32)c(Cl)c1 ZINC000660933318 1120705519 /nfs/dbraw/zinc/70/55/19/1120705519.db2.gz OVTHJIBVHZXBKC-ZANVPECISA-N 1 2 271.191 3.763 20 0 CHADLO Clc1cnc(C[N@H+]2C[C@@H]3CCCC[C@@H]32)c(Cl)c1 ZINC000660933318 1120705522 /nfs/dbraw/zinc/70/55/22/1120705522.db2.gz OVTHJIBVHZXBKC-ZANVPECISA-N 1 2 271.191 3.763 20 0 CHADLO CC(C)(C)[N@@H+](Cc1ccsc1)Cc1nnc(C2CC2)o1 ZINC000661137258 1120726724 /nfs/dbraw/zinc/72/67/24/1120726724.db2.gz MVMYXDSWYCPCGZ-UHFFFAOYSA-N 1 2 291.420 3.809 20 0 CHADLO CC(C)(C)[N@H+](Cc1ccsc1)Cc1nnc(C2CC2)o1 ZINC000661137258 1120726730 /nfs/dbraw/zinc/72/67/30/1120726730.db2.gz MVMYXDSWYCPCGZ-UHFFFAOYSA-N 1 2 291.420 3.809 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169591 1120731293 /nfs/dbraw/zinc/73/12/93/1120731293.db2.gz MWBBVVMDEYDMAC-MEDUHNTESA-N 1 2 281.346 3.527 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169591 1120731298 /nfs/dbraw/zinc/73/12/98/1120731298.db2.gz MWBBVVMDEYDMAC-MEDUHNTESA-N 1 2 281.346 3.527 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccccn2)c(Cl)c1 ZINC000651760654 1120736890 /nfs/dbraw/zinc/73/68/90/1120736890.db2.gz KUJKMNHYMVAIGK-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccccn2)c(Cl)c1 ZINC000651760654 1120736895 /nfs/dbraw/zinc/73/68/95/1120736895.db2.gz KUJKMNHYMVAIGK-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO CCCOc1ccc(Nc2[nH+]c(C)ccc2O)cc1F ZINC001211665866 1120750108 /nfs/dbraw/zinc/75/01/08/1120750108.db2.gz IMSGAUZHSBNOND-UHFFFAOYSA-N 1 2 276.311 3.767 20 0 CHADLO Cc1nnsc1C[N@H+]1CCc2ccc(C(C)C)cc2C1 ZINC000661376173 1120757783 /nfs/dbraw/zinc/75/77/83/1120757783.db2.gz WKJOKJLATUCSQL-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO Cc1nnsc1C[N@@H+]1CCc2ccc(C(C)C)cc2C1 ZINC000661376173 1120757788 /nfs/dbraw/zinc/75/77/88/1120757788.db2.gz WKJOKJLATUCSQL-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](c2ccccc2F)C(C)C)[nH]1 ZINC000661485026 1120770028 /nfs/dbraw/zinc/77/00/28/1120770028.db2.gz NYMYUNVILMFBDJ-XHDPSFHLSA-N 1 2 290.386 3.554 20 0 CHADLO CCCn1cc[nH+]c1CCCN(C)C(=O)C(C)(C)CCC ZINC000651890190 1120770302 /nfs/dbraw/zinc/77/03/02/1120770302.db2.gz RKZHMRCLPPFGPW-UHFFFAOYSA-N 1 2 293.455 3.510 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2ccccc2F)C(C)C)o1 ZINC000661488107 1120771201 /nfs/dbraw/zinc/77/12/01/1120771201.db2.gz SPGCNVNJPYMZCR-OAHLLOKOSA-N 1 2 262.328 3.609 20 0 CHADLO FC1(F)CCC[N@H+](C[C@@H]2C[C@@H]2c2ccccc2)C1 ZINC000651895787 1120772380 /nfs/dbraw/zinc/77/23/80/1120772380.db2.gz XCVLZGQDOAEOHM-UONOGXRCSA-N 1 2 251.320 3.521 20 0 CHADLO FC1(F)CCC[N@@H+](C[C@@H]2C[C@@H]2c2ccccc2)C1 ZINC000651895787 1120772383 /nfs/dbraw/zinc/77/23/83/1120772383.db2.gz XCVLZGQDOAEOHM-UONOGXRCSA-N 1 2 251.320 3.521 20 0 CHADLO CC/C=C/CC[N@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000661538716 1120776694 /nfs/dbraw/zinc/77/66/94/1120776694.db2.gz ZQRJPEKSTVHCTA-PCAWENJQSA-N 1 2 299.340 3.989 20 0 CHADLO CC/C=C/CC[N@@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000661538716 1120776692 /nfs/dbraw/zinc/77/66/92/1120776692.db2.gz ZQRJPEKSTVHCTA-PCAWENJQSA-N 1 2 299.340 3.989 20 0 CHADLO C[N@H+](Cc1csc(C2CCCC2)n1)CC1=CCCOC1 ZINC000661654087 1120790422 /nfs/dbraw/zinc/79/04/22/1120790422.db2.gz FINIKLFNXZFCTC-UHFFFAOYSA-N 1 2 292.448 3.579 20 0 CHADLO C[N@@H+](Cc1csc(C2CCCC2)n1)CC1=CCCOC1 ZINC000661654087 1120790425 /nfs/dbraw/zinc/79/04/25/1120790425.db2.gz FINIKLFNXZFCTC-UHFFFAOYSA-N 1 2 292.448 3.579 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+](Cc3ncc(Cl)s3)C2)c1 ZINC000473132833 1120794193 /nfs/dbraw/zinc/79/41/93/1120794193.db2.gz OEVYKXIZAPKOIY-NSHDSACASA-N 1 2 296.798 3.925 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+](Cc3ncc(Cl)s3)C2)c1 ZINC000473132833 1120794195 /nfs/dbraw/zinc/79/41/95/1120794195.db2.gz OEVYKXIZAPKOIY-NSHDSACASA-N 1 2 296.798 3.925 20 0 CHADLO Fc1cccc([C@@H]2COCC[N@@H+]2C[C@@H]2CCC(F)(F)C2)c1 ZINC000661736037 1120804973 /nfs/dbraw/zinc/80/49/73/1120804973.db2.gz JOQSCGLGVRKOLA-DOMZBBRYSA-N 1 2 299.336 3.634 20 0 CHADLO Fc1cccc([C@@H]2COCC[N@H+]2C[C@@H]2CCC(F)(F)C2)c1 ZINC000661736037 1120804976 /nfs/dbraw/zinc/80/49/76/1120804976.db2.gz JOQSCGLGVRKOLA-DOMZBBRYSA-N 1 2 299.336 3.634 20 0 CHADLO CC[C@@H](C[N@@H+]1CCO[C@H](c2cccc(Cl)c2)[C@H]1C)OC ZINC000661798165 1120816258 /nfs/dbraw/zinc/81/62/58/1120816258.db2.gz LHHJQIZGRFXBHG-KCXAZCMYSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@@H](C[N@H+]1CCO[C@H](c2cccc(Cl)c2)[C@H]1C)OC ZINC000661798165 1120816260 /nfs/dbraw/zinc/81/62/60/1120816260.db2.gz LHHJQIZGRFXBHG-KCXAZCMYSA-N 1 2 297.826 3.527 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCc3ccc(C)cc32)c1 ZINC000652330866 1120827838 /nfs/dbraw/zinc/82/78/38/1120827838.db2.gz RAQRFALNQGKWAJ-MRXNPFEDSA-N 1 2 268.360 3.636 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cc(F)ccc2F)c1 ZINC000652330549 1120828265 /nfs/dbraw/zinc/82/82/65/1120828265.db2.gz JADVGQMIIPCDKW-JTQLQIEISA-N 1 2 278.302 3.679 20 0 CHADLO Clc1ccc(C[NH2+]Cc2ccc(Br)o2)o1 ZINC000308886248 1120862358 /nfs/dbraw/zinc/86/23/58/1120862358.db2.gz TYQYGCHAPBZKRZ-UHFFFAOYSA-N 1 2 290.544 3.578 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)sc2Cl)C[C@@]1(C)CO ZINC000662142981 1120884834 /nfs/dbraw/zinc/88/48/34/1120884834.db2.gz MCTIXCRFRKMILI-PELKAZGASA-N 1 2 294.247 3.505 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)sc2Cl)C[C@@]1(C)CO ZINC000662142981 1120884838 /nfs/dbraw/zinc/88/48/38/1120884838.db2.gz MCTIXCRFRKMILI-PELKAZGASA-N 1 2 294.247 3.505 20 0 CHADLO CCCn1nccc1CNc1[nH+]c2ccccc2n1C1CC1 ZINC000663255108 1120901175 /nfs/dbraw/zinc/90/11/75/1120901175.db2.gz ATHYVVPMSIFRBG-UHFFFAOYSA-N 1 2 295.390 3.590 20 0 CHADLO c1nc(C[NH+]2CCC3(C=Cc4ccccc4O3)CC2)cs1 ZINC000662215142 1120903128 /nfs/dbraw/zinc/90/31/28/1120903128.db2.gz RHXFVIRINVUSLA-UHFFFAOYSA-N 1 2 298.411 3.584 20 0 CHADLO COCc1cc[nH+]c(NCc2cccc(C(C)C)c2)c1 ZINC000663258207 1120903476 /nfs/dbraw/zinc/90/34/76/1120903476.db2.gz BDHJTEMIFZBCLF-UHFFFAOYSA-N 1 2 270.376 3.964 20 0 CHADLO Cc1cccc([C@H](C)[N@@H+]2CCO[C@H](CC(F)(F)F)C2)c1 ZINC000662230574 1120906765 /nfs/dbraw/zinc/90/67/65/1120906765.db2.gz BPWIUWDSFLGFSK-GXTWGEPZSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1cccc([C@H](C)[N@H+]2CCO[C@H](CC(F)(F)F)C2)c1 ZINC000662230574 1120906769 /nfs/dbraw/zinc/90/67/69/1120906769.db2.gz BPWIUWDSFLGFSK-GXTWGEPZSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1cc(Cl)cc(Cl)c1C[NH2+]c1cccc(=O)n1C ZINC001167796212 1120918007 /nfs/dbraw/zinc/91/80/07/1120918007.db2.gz QSMPHEKFYBLANO-UHFFFAOYSA-N 1 2 297.185 3.613 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCC[C@H](C(F)(F)F)C1 ZINC000663322554 1120925004 /nfs/dbraw/zinc/92/50/04/1120925004.db2.gz XBOCLDCATKLUCX-JTQLQIEISA-N 1 2 295.308 3.596 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1CCCCO1 ZINC000063106044 1120926930 /nfs/dbraw/zinc/92/69/30/1120926930.db2.gz QUZNFEMCQHEPAO-AWEZNQCLSA-N 1 2 256.349 3.524 20 0 CHADLO CC(C)[C@@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC000078608901 1128951055 /nfs/dbraw/zinc/95/10/55/1128951055.db2.gz WZMGSHCXHZTEHW-AEFFLSMTSA-N 1 2 288.435 3.902 20 0 CHADLO CC(C)[C@@H]1C[C@H]([NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC000078608901 1128951059 /nfs/dbraw/zinc/95/10/59/1128951059.db2.gz WZMGSHCXHZTEHW-AEFFLSMTSA-N 1 2 288.435 3.902 20 0 CHADLO COc1ccc(CCC[N@@H+]2CC[C@@H](C)C(F)(F)C2)cc1 ZINC001207572000 1120980225 /nfs/dbraw/zinc/98/02/25/1120980225.db2.gz DWXGUTGTYPFFPC-CYBMUJFWSA-N 1 2 283.362 3.605 20 0 CHADLO COc1ccc(CCC[N@H+]2CC[C@@H](C)C(F)(F)C2)cc1 ZINC001207572000 1120980228 /nfs/dbraw/zinc/98/02/28/1120980228.db2.gz DWXGUTGTYPFFPC-CYBMUJFWSA-N 1 2 283.362 3.605 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1cnc2ccccn12 ZINC000157411521 1120991921 /nfs/dbraw/zinc/99/19/21/1120991921.db2.gz OTQKYPRPLAGDET-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1cnc2ccccn12 ZINC000157411521 1120991922 /nfs/dbraw/zinc/99/19/22/1120991922.db2.gz OTQKYPRPLAGDET-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)O[C@@H]3CC[C@H](C)C[C@@H]3C)ccn12 ZINC001126302339 1121015239 /nfs/dbraw/zinc/01/52/39/1121015239.db2.gz BPFIKHMPXCEHPO-SLEUVZQESA-N 1 2 286.375 3.624 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(C(F)(F)F)cc2)[nH+]1 ZINC001174769159 1121024612 /nfs/dbraw/zinc/02/46/12/1121024612.db2.gz FLHDOCOOLCIVAE-UHFFFAOYSA-N 1 2 268.238 3.858 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(F)(F)F)cc1 ZINC001174772257 1121027216 /nfs/dbraw/zinc/02/72/16/1121027216.db2.gz XXHCQEDCLUAXHT-UHFFFAOYSA-N 1 2 268.238 3.853 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000604976557 1121032339 /nfs/dbraw/zinc/03/23/39/1121032339.db2.gz GUHUQTYIMZXRLI-HZPDHXFCSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1cc(Nc2ccc(Oc3cc[nH+]c(C)c3)cc2)n(C)n1 ZINC001174829273 1121049113 /nfs/dbraw/zinc/04/91/13/1121049113.db2.gz OBZSHFLSOCCFGB-UHFFFAOYSA-N 1 2 294.358 3.968 20 0 CHADLO CC1(C)CCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000344031514 1121060326 /nfs/dbraw/zinc/06/03/26/1121060326.db2.gz XJWOEUHBCPVGFK-HNNXBMFYSA-N 1 2 297.402 3.571 20 0 CHADLO c1cn2cccc(Nc3cccc4cccnc43)c2[nH+]1 ZINC001174856463 1121066811 /nfs/dbraw/zinc/06/68/11/1121066811.db2.gz KHHDAFMXXPXLMT-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO COc1cc(Nc2cccc3cccnc32)cc(C)[nH+]1 ZINC001174858863 1121069082 /nfs/dbraw/zinc/06/90/82/1121069082.db2.gz PPVMWZXWXOTLJV-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Cl/C=C(\Cl)C[NH+]1CCC(OC2CCCC2)CC1 ZINC000763517203 1128960351 /nfs/dbraw/zinc/96/03/51/1128960351.db2.gz DRQSBMNWPYZFSN-LUAWRHEFSA-N 1 2 278.223 3.729 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[NH+]1CCSCC1 ZINC000050070725 1121081347 /nfs/dbraw/zinc/08/13/47/1121081347.db2.gz LRNOMZFZTCBWCU-SECBINFHSA-N 1 2 259.777 3.589 20 0 CHADLO C[C@@H](CC(=O)N1c2ccccc2C(C)(C)[C@@H]1C)n1cc[nH+]c1 ZINC000619018525 1128961396 /nfs/dbraw/zinc/96/13/96/1128961396.db2.gz ARKRJXLVKQHSTE-KBPBESRZSA-N 1 2 297.402 3.547 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2Cc3ccc(O)cc3C2)cc1 ZINC000625726146 1121088047 /nfs/dbraw/zinc/08/80/47/1121088047.db2.gz DMPBHTDCQGFGLB-UHFFFAOYSA-N 1 2 283.371 3.695 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2Cc3ccc(O)cc3C2)cc1 ZINC000625726146 1121088054 /nfs/dbraw/zinc/08/80/54/1121088054.db2.gz DMPBHTDCQGFGLB-UHFFFAOYSA-N 1 2 283.371 3.695 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(COC)cc1 ZINC001174919572 1121094400 /nfs/dbraw/zinc/09/44/00/1121094400.db2.gz JHNFUWIEUKAPOF-UHFFFAOYSA-N 1 2 256.349 3.842 20 0 CHADLO CC(C)CCN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CC1 ZINC000171189131 1121100706 /nfs/dbraw/zinc/10/07/06/1121100706.db2.gz VJKGNKALJUWVJM-UHFFFAOYSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1N ZINC001174933297 1121102377 /nfs/dbraw/zinc/10/23/77/1121102377.db2.gz NJFYMERFAZBWTR-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO CSc1cc(Nc2cccc(N(C)C)c2)cc[nH+]1 ZINC001174964481 1121114927 /nfs/dbraw/zinc/11/49/27/1121114927.db2.gz GJNTYNQWZILPFN-UHFFFAOYSA-N 1 2 259.378 3.613 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1ccc(F)c(F)c1F ZINC001174965984 1121116143 /nfs/dbraw/zinc/11/61/43/1121116143.db2.gz FSUZZEZPFVULIE-UHFFFAOYSA-N 1 2 277.249 3.734 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1cccc(OC(F)F)c1)C2 ZINC000625727304 1121119988 /nfs/dbraw/zinc/11/99/88/1121119988.db2.gz VOEVWZXVORKFEZ-UHFFFAOYSA-N 1 2 291.297 3.509 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1cccc(OC(F)F)c1)C2 ZINC000625727304 1121119993 /nfs/dbraw/zinc/11/99/93/1121119993.db2.gz VOEVWZXVORKFEZ-UHFFFAOYSA-N 1 2 291.297 3.509 20 0 CHADLO CN(Cc1c[nH+]cn1C)c1ccccc1-c1ccccc1 ZINC000674370104 1121125250 /nfs/dbraw/zinc/12/52/50/1121125250.db2.gz NJOWVSAEHUSWMF-UHFFFAOYSA-N 1 2 277.371 3.724 20 0 CHADLO C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000674386238 1121126469 /nfs/dbraw/zinc/12/64/69/1121126469.db2.gz KZZSYUVSPHXINQ-UMVBOHGHSA-N 1 2 298.390 3.519 20 0 CHADLO c1ccc(CCCNc2cc(N3CCCCC3)nc[nH+]2)cc1 ZINC000244254364 1121132861 /nfs/dbraw/zinc/13/28/61/1121132861.db2.gz WIXHMQLCPVLUCK-UHFFFAOYSA-N 1 2 296.418 3.512 20 0 CHADLO c1ccc(CCCNc2cc(N3CCCCC3)[nH+]cn2)cc1 ZINC000244254364 1121132862 /nfs/dbraw/zinc/13/28/62/1121132862.db2.gz WIXHMQLCPVLUCK-UHFFFAOYSA-N 1 2 296.418 3.512 20 0 CHADLO COc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)ccc1C ZINC001175015665 1121134683 /nfs/dbraw/zinc/13/46/83/1121134683.db2.gz JPQCXBVHUQYUCV-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N3CCOCC3)[nH+]c2)cc1C ZINC001174980124 1121140372 /nfs/dbraw/zinc/14/03/72/1121140372.db2.gz UNAXPLBSSOTLLE-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO CC[N@H+](CC1CC1)Cn1nc(-c2cccs2)oc1=S ZINC000261463301 1121141070 /nfs/dbraw/zinc/14/10/70/1121141070.db2.gz MTFAHGPPWSKPHG-UHFFFAOYSA-N 1 2 295.433 3.623 20 0 CHADLO CC[N@@H+](CC1CC1)Cn1nc(-c2cccs2)oc1=S ZINC000261463301 1121141072 /nfs/dbraw/zinc/14/10/72/1121141072.db2.gz MTFAHGPPWSKPHG-UHFFFAOYSA-N 1 2 295.433 3.623 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+]1Cc2ccc(O)cc2C1 ZINC000625726874 1121142133 /nfs/dbraw/zinc/14/21/33/1121142133.db2.gz OPUYISHUUFPXGH-NSHDSACASA-N 1 2 257.308 3.608 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+]1Cc2ccc(O)cc2C1 ZINC000625726874 1121142136 /nfs/dbraw/zinc/14/21/36/1121142136.db2.gz OPUYISHUUFPXGH-NSHDSACASA-N 1 2 257.308 3.608 20 0 CHADLO CCCCc1ccc([N@H+]2C(=N)C=C(Cl)C=C2N)cc1 ZINC001175036364 1121153910 /nfs/dbraw/zinc/15/39/10/1121153910.db2.gz ZJRHEJMMQDSFPF-UHFFFAOYSA-N 1 2 275.783 3.535 20 0 CHADLO CCCCc1ccc([N@@H+]2C(=N)C=C(Cl)C=C2N)cc1 ZINC001175036364 1121153914 /nfs/dbraw/zinc/15/39/14/1121153914.db2.gz ZJRHEJMMQDSFPF-UHFFFAOYSA-N 1 2 275.783 3.535 20 0 CHADLO FC[C@@H]([NH2+]Cc1cnc(C2CC2)s1)c1ccc(F)cc1 ZINC000631283118 1121159740 /nfs/dbraw/zinc/15/97/40/1121159740.db2.gz IJOUFVWZKJGNMN-CQSZACIVSA-N 1 2 294.370 3.960 20 0 CHADLO CCOc1cc(F)c(Nc2ccn3cc[nH+]c3c2)cc1F ZINC001175054957 1121163499 /nfs/dbraw/zinc/16/34/99/1121163499.db2.gz USLZHMNPPXHDFV-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO COc1cc(Nc2ccccc2-n2cc[nH+]c2)cc(OC)c1 ZINC001175108751 1121167852 /nfs/dbraw/zinc/16/78/52/1121167852.db2.gz VFOSIKKOSOORCU-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO COc1cc(C)c(Nc2cccc3[nH+]ccn32)cc1C ZINC001175111900 1121170156 /nfs/dbraw/zinc/17/01/56/1121170156.db2.gz GVAJUDHHDRWECI-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO Clc1ccc(C[NH2+]Cc2cnc(-c3ccccc3)[nH]2)o1 ZINC000674718574 1121172874 /nfs/dbraw/zinc/17/28/74/1121172874.db2.gz UPQDEGGOABDDKA-UHFFFAOYSA-N 1 2 287.750 3.613 20 0 CHADLO Cc1csc([C@H](C)Nc2ccc([NH+](C)C)cc2C)n1 ZINC000701913036 1121174299 /nfs/dbraw/zinc/17/42/99/1121174299.db2.gz LQYSLSKYKZXJNA-LBPRGKRZSA-N 1 2 275.421 3.999 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(F)(F)F)ccc1F ZINC001175075803 1121174408 /nfs/dbraw/zinc/17/44/08/1121174408.db2.gz IEINGDJTHXFXKK-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO COc1cc(Nc2cc(F)c(F)cc2F)[nH+]cc1C ZINC001175085997 1121178418 /nfs/dbraw/zinc/17/84/18/1121178418.db2.gz ZYJZGLUYXCRMPN-UHFFFAOYSA-N 1 2 268.238 3.560 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OCC)c(F)c1F ZINC001175150702 1121185707 /nfs/dbraw/zinc/18/57/07/1121185707.db2.gz OADPMGLXLYHFBQ-UHFFFAOYSA-N 1 2 294.301 3.901 20 0 CHADLO CCCc1nc(C[N@@H+]2C[C@@H](C)CC[C@@H]2c2ccccc2)no1 ZINC000512197966 1121188832 /nfs/dbraw/zinc/18/88/32/1121188832.db2.gz RVDUOPDUAUEHHA-GOEBONIOSA-N 1 2 299.418 3.995 20 0 CHADLO CCCc1nc(C[N@H+]2C[C@@H](C)CC[C@@H]2c2ccccc2)no1 ZINC000512197966 1121188835 /nfs/dbraw/zinc/18/88/35/1121188835.db2.gz RVDUOPDUAUEHHA-GOEBONIOSA-N 1 2 299.418 3.995 20 0 CHADLO CC[C@H](NC(=O)c1c(C)cc(C)[nH+]c1C)C1CCCC1 ZINC001128865717 1121192050 /nfs/dbraw/zinc/19/20/50/1121192050.db2.gz ATHMFENOUOHMCY-HNNXBMFYSA-N 1 2 274.408 3.705 20 0 CHADLO CC1(C)C[C@H](Nc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC000070354406 1128968884 /nfs/dbraw/zinc/96/88/84/1128968884.db2.gz JTZMDHCBCMTTBB-OAHLLOKOSA-N 1 2 274.408 3.656 20 0 CHADLO CC1(C)C[C@H]([NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC000070354406 1128968885 /nfs/dbraw/zinc/96/88/85/1128968885.db2.gz JTZMDHCBCMTTBB-OAHLLOKOSA-N 1 2 274.408 3.656 20 0 CHADLO CCOC(=O)Cc1cccc(Nc2cccc(C3CC3)[nH+]2)c1 ZINC001212525056 1121198655 /nfs/dbraw/zinc/19/86/55/1121198655.db2.gz OZJZCYWCKKHBPG-UHFFFAOYSA-N 1 2 296.370 3.808 20 0 CHADLO Fc1cc(F)c(Nc2ccc[nH+]c2N2CCCC2)c(F)c1 ZINC001175143670 1121200478 /nfs/dbraw/zinc/20/04/78/1121200478.db2.gz FFZVITZAEQTVFR-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1ccccn1 ZINC000067183041 1121204480 /nfs/dbraw/zinc/20/44/80/1121204480.db2.gz JWTBGPGIHAAQOS-LLVKDONJSA-N 1 2 275.421 3.686 20 0 CHADLO Nc1ccc(Nc2ccc(Br)c(Cl)c2)c[nH+]1 ZINC000232190289 1121256564 /nfs/dbraw/zinc/25/65/64/1121256564.db2.gz HULAVBGZYKAGCH-UHFFFAOYSA-N 1 2 298.571 3.823 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(F)c(C)c2)c[nH+]1 ZINC001175252416 1121223013 /nfs/dbraw/zinc/22/30/13/1121223013.db2.gz FLRGPNWYXRSBEW-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)cc1F ZINC001175230442 1121233894 /nfs/dbraw/zinc/23/38/94/1121233894.db2.gz BLFSUDNTCAPCRK-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CCOc1c(F)cccc1Nc1cccn2cc[nH+]c12 ZINC001212534203 1121249869 /nfs/dbraw/zinc/24/98/69/1121249869.db2.gz FMGMZNCBSAFKKI-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CSc1cccc(Nc2[nH+]cccc2N(C)C)c1 ZINC001175288909 1121255609 /nfs/dbraw/zinc/25/56/09/1121255609.db2.gz RULVSPULMQTZRI-UHFFFAOYSA-N 1 2 259.378 3.613 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+][C@H](C)c2nnc(C)o2)cc1 ZINC000112543503 1121256106 /nfs/dbraw/zinc/25/61/06/1121256106.db2.gz MKNBEIXBBRRDAL-WDEREUQCSA-N 1 2 291.420 3.902 20 0 CHADLO Cc1cc(CNc2ccc([NH+]3CCCC3)cc2)ccn1 ZINC000703509097 1121258246 /nfs/dbraw/zinc/25/82/46/1121258246.db2.gz ULWMQGLCDXCCMZ-UHFFFAOYSA-N 1 2 267.376 3.602 20 0 CHADLO CCOc1cc(Nc2[nH+]cccc2C)ccc1OC ZINC001212538937 1121268221 /nfs/dbraw/zinc/26/82/21/1121268221.db2.gz SRRJHZHUZVTRSB-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CCOc1cc(Nc2cccc(CC)[nH+]2)ccc1OC ZINC001212540522 1121270686 /nfs/dbraw/zinc/27/06/86/1121270686.db2.gz HNDVWPWWSBBEFG-UHFFFAOYSA-N 1 2 272.348 3.795 20 0 CHADLO CC(C)Oc1c(F)cccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175343244 1121272033 /nfs/dbraw/zinc/27/20/33/1121272033.db2.gz BVKQOAILYGEONP-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO CN(C)c1ccc(Nc2cccc(Br)c2)c[nH+]1 ZINC001175343032 1121272359 /nfs/dbraw/zinc/27/23/59/1121272359.db2.gz DLAXEZAQVJFTFL-UHFFFAOYSA-N 1 2 292.180 3.654 20 0 CHADLO CN(C)c1ccc(Nc2ccc(-c3cccnc3)cc2)c[nH+]1 ZINC001175345101 1121273514 /nfs/dbraw/zinc/27/35/14/1121273514.db2.gz KQBPVZUBALMSLQ-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CN(C)c1ccc(Nc2ccc(Cl)c(Cl)c2O)c[nH+]1 ZINC001175346326 1121273783 /nfs/dbraw/zinc/27/37/83/1121273783.db2.gz SWCXLPNUEJKGMM-UHFFFAOYSA-N 1 2 298.173 3.904 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+]Cc2ccccc2Cl)o1 ZINC000174477430 1121287390 /nfs/dbraw/zinc/28/73/90/1121287390.db2.gz DLMJRDOQAHFUDW-OAHLLOKOSA-N 1 2 293.794 3.973 20 0 CHADLO CN(C)c1ccc(Nc2cccnc2C2CCC2)c[nH+]1 ZINC001175340721 1121290521 /nfs/dbraw/zinc/29/05/21/1121290521.db2.gz CUZIAKBRYZYWBU-UHFFFAOYSA-N 1 2 268.364 3.554 20 0 CHADLO CNc1cccc(C)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175352750 1121293783 /nfs/dbraw/zinc/29/37/83/1121293783.db2.gz OSIGQLYBIUYHOY-UHFFFAOYSA-N 1 2 282.391 3.776 20 0 CHADLO CC(C)(O)c1ccccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175351688 1121294278 /nfs/dbraw/zinc/29/42/78/1121294278.db2.gz LGVZYMLYTZSMQC-UHFFFAOYSA-N 1 2 297.402 3.653 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnc3cccnc3c2)cc1 ZINC001175363104 1121297582 /nfs/dbraw/zinc/29/75/82/1121297582.db2.gz MFMPDVFJZBWYAZ-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnc3cccnc3c2)cc1 ZINC001175363104 1121297587 /nfs/dbraw/zinc/29/75/87/1121297587.db2.gz MFMPDVFJZBWYAZ-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3ncccc3c2)[nH+]1 ZINC001175373651 1121301855 /nfs/dbraw/zinc/30/18/55/1121301855.db2.gz CGSMOZWJZRESAA-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1ccc(NCc2ccc(C(F)F)nc2)c(C)[nH+]1 ZINC000630952832 1128976706 /nfs/dbraw/zinc/97/67/06/1128976706.db2.gz OBBKXZZJGACJJC-UHFFFAOYSA-N 1 2 263.291 3.643 20 0 CHADLO Fc1c(F)c(F)c(Nc2cccn3cc[nH+]c23)c(F)c1F ZINC001175382784 1121307539 /nfs/dbraw/zinc/30/75/39/1121307539.db2.gz FSRGJODEKIVADG-UHFFFAOYSA-N 1 2 299.202 3.773 20 0 CHADLO C[N@@H+](Cc1csc(Cl)c1Cl)C[C@H]1CCCO1 ZINC000432746064 1121313814 /nfs/dbraw/zinc/31/38/14/1121313814.db2.gz ANCBEZCDZJBJHT-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO C[N@H+](Cc1csc(Cl)c1Cl)C[C@H]1CCCO1 ZINC000432746064 1121313824 /nfs/dbraw/zinc/31/38/24/1121313824.db2.gz ANCBEZCDZJBJHT-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO Cn1ncc2c1cccc2Nc1cccc(-n2cc[nH+]c2)c1 ZINC001175434683 1121322698 /nfs/dbraw/zinc/32/26/98/1121322698.db2.gz GCQXEISLAVGXNT-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cn1ncc2cccc(Nc3ccccc3-n3cc[nH+]c3)c21 ZINC001175442669 1121328136 /nfs/dbraw/zinc/32/81/36/1121328136.db2.gz SHCQFYRQQWXFNN-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc3cn(C)nc32)cc1 ZINC001175466575 1121330546 /nfs/dbraw/zinc/33/05/46/1121330546.db2.gz URDSDNCJHLALTJ-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc3cn(C)nc32)cc1 ZINC001175466575 1121330556 /nfs/dbraw/zinc/33/05/56/1121330556.db2.gz URDSDNCJHLALTJ-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc([S@](C)=O)cc2)cc1 ZINC001175481537 1121338641 /nfs/dbraw/zinc/33/86/41/1121338641.db2.gz GRFHPLDLALBMGK-FQEVSTJZSA-N 1 2 288.416 3.624 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc([S@](C)=O)cc2)cc1 ZINC001175481537 1121338648 /nfs/dbraw/zinc/33/86/48/1121338648.db2.gz GRFHPLDLALBMGK-FQEVSTJZSA-N 1 2 288.416 3.624 20 0 CHADLO Fc1cnccc1C[N@@H+]1CCC[C@@](F)(c2ccccc2)C1 ZINC001175491066 1121342126 /nfs/dbraw/zinc/34/21/26/1121342126.db2.gz IXQCMTXYZAMNOR-KRWDZBQOSA-N 1 2 288.341 3.682 20 0 CHADLO Fc1cnccc1C[N@H+]1CCC[C@@](F)(c2ccccc2)C1 ZINC001175491066 1121342136 /nfs/dbraw/zinc/34/21/36/1121342136.db2.gz IXQCMTXYZAMNOR-KRWDZBQOSA-N 1 2 288.341 3.682 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1C/C(Cl)=C\Cl ZINC000763540971 1128979055 /nfs/dbraw/zinc/97/90/55/1128979055.db2.gz XZGPOCUOKFWGQB-MJWAUXSNSA-N 1 2 256.176 3.925 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1C/C(Cl)=C\Cl ZINC000763540971 1128979058 /nfs/dbraw/zinc/97/90/58/1128979058.db2.gz XZGPOCUOKFWGQB-MJWAUXSNSA-N 1 2 256.176 3.925 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(C(C)C)nc2C)s1 ZINC000339087227 1121346417 /nfs/dbraw/zinc/34/64/17/1121346417.db2.gz ACISCMPRKYGOGZ-NSHDSACASA-N 1 2 290.436 3.524 20 0 CHADLO COCCCCN(C)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000419011568 1121365136 /nfs/dbraw/zinc/36/51/36/1121365136.db2.gz AUNAJCYLVWXKIZ-UHFFFAOYSA-N 1 2 279.428 3.586 20 0 CHADLO Cc1cc(C)c(Nc2c3c[nH]nc3ccc2C)c[nH+]1 ZINC001175524829 1121366561 /nfs/dbraw/zinc/36/65/61/1121366561.db2.gz YHSXAUFMQZLBEE-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1cc(NC=Cc2ccc(Cl)cc2)nc(C)[nH+]1 ZINC001175592979 1121376946 /nfs/dbraw/zinc/37/69/46/1121376946.db2.gz AEWXYRLYPTUEHN-BQYQJAHWSA-N 1 2 259.740 3.830 20 0 CHADLO CCCOc1cccc(Nc2c[nH+]ccc2OC)c1 ZINC001175598038 1121378984 /nfs/dbraw/zinc/37/89/84/1121378984.db2.gz DXYCOZYOOAZWHM-UHFFFAOYSA-N 1 2 258.321 3.623 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(C(=O)NCC)cc2)c1 ZINC001175628683 1121401308 /nfs/dbraw/zinc/40/13/08/1121401308.db2.gz GHZNWFRKMKZQHC-UHFFFAOYSA-N 1 2 283.375 3.527 20 0 CHADLO c1[nH]nc2c1cccc2Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001175636849 1121403809 /nfs/dbraw/zinc/40/38/09/1121403809.db2.gz HKOGTHZSDOUBCT-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO c1[nH]nc2c1cccc2Nc1cccc2cc[nH+]cc21 ZINC001175639640 1121405213 /nfs/dbraw/zinc/40/52/13/1121405213.db2.gz SUVHEOPCPUVPAO-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO Cc1cc2ccccc2nc1N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000676079168 1121405317 /nfs/dbraw/zinc/40/53/17/1121405317.db2.gz FLCLGPBFZUWOIK-INIZCTEOSA-N 1 2 292.386 3.581 20 0 CHADLO Cc1n[nH]cc1[C@H](C)[NH2+][C@@H](c1ccccc1)c1ccccn1 ZINC000676159531 1121411057 /nfs/dbraw/zinc/41/10/57/1121411057.db2.gz DQDRXMARKAXERP-UGSOOPFHSA-N 1 2 292.386 3.553 20 0 CHADLO Cn1cc2ccc(Nc3cccc(-n4cc[nH+]c4)c3)cc2n1 ZINC001175612235 1121411457 /nfs/dbraw/zinc/41/14/57/1121411457.db2.gz NBGHJYWIUNIURL-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cn1cc2cc(Nc3cccc(-n4cc[nH+]c4)c3)ccc2n1 ZINC001175620195 1121415081 /nfs/dbraw/zinc/41/50/81/1121415081.db2.gz YWFLPRJTPKBHRO-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1[nH]c(CN[C@@H](c2cccc(Cl)c2)C(F)F)[nH+]c1C ZINC001175661676 1121426170 /nfs/dbraw/zinc/42/61/70/1121426170.db2.gz QIULHVGAGFRWSN-ZDUSSCGKSA-N 1 2 299.752 3.776 20 0 CHADLO Cc1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)cc1F ZINC001175713019 1121435371 /nfs/dbraw/zinc/43/53/71/1121435371.db2.gz XZDZZBCWAOWLKC-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO COc1cc(C)ccc1C[NH2+][C@@H](C)c1nc(C)sc1C ZINC000676493155 1121436407 /nfs/dbraw/zinc/43/64/07/1121436407.db2.gz SUHXYZJTZQUEQO-NSHDSACASA-N 1 2 290.432 3.928 20 0 CHADLO CCCc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)cc1 ZINC001175718228 1121436759 /nfs/dbraw/zinc/43/67/59/1121436759.db2.gz JGVWDJYNRDCNBQ-UHFFFAOYSA-N 1 2 265.360 3.869 20 0 CHADLO CO[C@]1(C(F)(F)F)CC[N@H+](Cc2sccc2Cl)C1 ZINC001175724706 1121440339 /nfs/dbraw/zinc/44/03/39/1121440339.db2.gz MYPZBAYTVREIRN-SNVBAGLBSA-N 1 2 299.745 3.555 20 0 CHADLO CO[C@]1(C(F)(F)F)CC[N@@H+](Cc2sccc2Cl)C1 ZINC001175724706 1121440344 /nfs/dbraw/zinc/44/03/44/1121440344.db2.gz MYPZBAYTVREIRN-SNVBAGLBSA-N 1 2 299.745 3.555 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(Cl)cc2F)nc1 ZINC000676558127 1121443144 /nfs/dbraw/zinc/44/31/44/1121443144.db2.gz HSNZRALXDIUUCH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(Cl)cc2F)nc1 ZINC000676558127 1121443148 /nfs/dbraw/zinc/44/31/48/1121443148.db2.gz HSNZRALXDIUUCH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1n[nH]c(C[N@@H+](C)[C@H](C)c2ccccc2Cl)c1C ZINC000893931868 1121443486 /nfs/dbraw/zinc/44/34/86/1121443486.db2.gz UJYVYZPVTAGFBV-GFCCVEGCSA-N 1 2 277.799 3.873 20 0 CHADLO Cc1n[nH]c(C[N@H+](C)[C@H](C)c2ccccc2Cl)c1C ZINC000893931868 1121443488 /nfs/dbraw/zinc/44/34/88/1121443488.db2.gz UJYVYZPVTAGFBV-GFCCVEGCSA-N 1 2 277.799 3.873 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+](C)[C@H](C)c2cccs2)n1 ZINC000893946783 1121444618 /nfs/dbraw/zinc/44/46/18/1121444618.db2.gz QJCBNBQBRTUHQO-LLVKDONJSA-N 1 2 263.410 3.788 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+](C)[C@H](C)c2cccs2)n1 ZINC000893946783 1121444621 /nfs/dbraw/zinc/44/46/21/1121444621.db2.gz QJCBNBQBRTUHQO-LLVKDONJSA-N 1 2 263.410 3.788 20 0 CHADLO CC[N@H+](Cc1nc(C(C)C)c[nH]1)[C@@H](C)c1cccc(O)c1 ZINC000893962877 1121445458 /nfs/dbraw/zinc/44/54/58/1121445458.db2.gz UWKIROSAPOORBI-ZDUSSCGKSA-N 1 2 287.407 3.822 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)C)c[nH]1)[C@@H](C)c1cccc(O)c1 ZINC000893962877 1121445463 /nfs/dbraw/zinc/44/54/63/1121445463.db2.gz UWKIROSAPOORBI-ZDUSSCGKSA-N 1 2 287.407 3.822 20 0 CHADLO CCc1cc(N2CC(C)(C)[C@@H]2c2ccncc2)nc(CC)[nH+]1 ZINC000893971960 1121448161 /nfs/dbraw/zinc/44/81/61/1121448161.db2.gz WYKMTPCVOSQLOX-KRWDZBQOSA-N 1 2 296.418 3.584 20 0 CHADLO CSC[C@H]1CCCN(c2cc(C)[nH+]c(C3CCC3)n2)C1 ZINC000893964825 1121448340 /nfs/dbraw/zinc/44/83/40/1121448340.db2.gz FDKNHZKOCMAHKG-ZDUSSCGKSA-N 1 2 291.464 3.632 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cccnc2C2CC2)c[nH+]1 ZINC001175711206 1121449795 /nfs/dbraw/zinc/44/97/95/1121449795.db2.gz RZCOZOCHDTZOPZ-UHFFFAOYSA-N 1 2 294.280 3.699 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@@H+]1Cc1nc(C(C)C)c[nH]1 ZINC000893995717 1121450575 /nfs/dbraw/zinc/45/05/75/1121450575.db2.gz OAPYNAXRXOLGDY-MRXNPFEDSA-N 1 2 299.418 3.879 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@H+]1Cc1nc(C(C)C)c[nH]1 ZINC000893995717 1121450577 /nfs/dbraw/zinc/45/05/77/1121450577.db2.gz OAPYNAXRXOLGDY-MRXNPFEDSA-N 1 2 299.418 3.879 20 0 CHADLO COc1cccc(SCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000071843139 1121453187 /nfs/dbraw/zinc/45/31/87/1121453187.db2.gz IWICLRKDFFYAAY-UHFFFAOYSA-N 1 2 284.384 3.944 20 0 CHADLO c1cn(Cc2cccc(Nc3cnn(C4CCC4)c3)c2)c[nH+]1 ZINC001175757862 1121455174 /nfs/dbraw/zinc/45/51/74/1121455174.db2.gz YDJRRDSTQZZEFH-UHFFFAOYSA-N 1 2 293.374 3.597 20 0 CHADLO Cc1ccnc(N)c1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000894060529 1121462836 /nfs/dbraw/zinc/46/28/36/1121462836.db2.gz ZVHMFXONIOGTEM-HNNXBMFYSA-N 1 2 287.794 3.573 20 0 CHADLO Cc1ccnc(N)c1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000894060529 1121462841 /nfs/dbraw/zinc/46/28/41/1121462841.db2.gz ZVHMFXONIOGTEM-HNNXBMFYSA-N 1 2 287.794 3.573 20 0 CHADLO c1cn2ccc(Nc3cnn(C4CCCCC4)c3)cc2[nH+]1 ZINC001175877684 1121475080 /nfs/dbraw/zinc/47/50/80/1121475080.db2.gz KMDDMHZIGCUABV-UHFFFAOYSA-N 1 2 281.363 3.780 20 0 CHADLO COc1cccc(Nc2[nH+]c3ccccc3n2C)c1Cl ZINC001175894398 1121478639 /nfs/dbraw/zinc/47/86/39/1121478639.db2.gz QYNCGAIUANODMO-UHFFFAOYSA-N 1 2 287.750 3.979 20 0 CHADLO Cc1[nH]c(CNc2cccc(COC(C)(C)C)c2)[nH+]c1C ZINC000922915527 1121488397 /nfs/dbraw/zinc/48/83/97/1121488397.db2.gz VODGNSHHGXZDAP-UHFFFAOYSA-N 1 2 287.407 3.954 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](C)c2cncc(F)c2)c(F)c1 ZINC000922946151 1121494167 /nfs/dbraw/zinc/49/41/67/1121494167.db2.gz AZOJMOBWXZPEAO-SNVBAGLBSA-N 1 2 280.293 3.658 20 0 CHADLO CCCCOC1C[NH+](Cc2ccc(C(F)(F)F)cc2)C1 ZINC001204164482 1121496043 /nfs/dbraw/zinc/49/60/43/1121496043.db2.gz MCRLQUSDONWVSH-UHFFFAOYSA-N 1 2 287.325 3.706 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N2CCCC2)cc(C)c1N ZINC001175932460 1121499992 /nfs/dbraw/zinc/49/99/92/1121499992.db2.gz MTNUXBZGYYUFCC-UHFFFAOYSA-N 1 2 282.391 3.624 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)cc(C)c1N ZINC001175933453 1121501889 /nfs/dbraw/zinc/50/18/89/1121501889.db2.gz YYAMLIWPMBCRDN-UHFFFAOYSA-N 1 2 282.391 3.624 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000246697304 1121508789 /nfs/dbraw/zinc/50/87/89/1121508789.db2.gz ZXHBUKYXSWQWGP-IOASZLSFSA-N 1 2 288.416 3.666 20 0 CHADLO C[C@H](c1ccccn1)[N@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000246697304 1121508797 /nfs/dbraw/zinc/50/87/97/1121508797.db2.gz ZXHBUKYXSWQWGP-IOASZLSFSA-N 1 2 288.416 3.666 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CCC[C@@H](OC)C2)c1 ZINC000619427965 1128990672 /nfs/dbraw/zinc/99/06/72/1128990672.db2.gz NTDRXCBJXRJLCV-UONOGXRCSA-N 1 2 280.437 3.704 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2csc3ccccc23)[nH]1 ZINC000411165021 1121528522 /nfs/dbraw/zinc/52/85/22/1121528522.db2.gz JLMQTQUFWNNBDF-JTQLQIEISA-N 1 2 286.404 3.521 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2csc3ccccc23)[nH]1 ZINC000411165021 1121528526 /nfs/dbraw/zinc/52/85/26/1121528526.db2.gz JLMQTQUFWNNBDF-JTQLQIEISA-N 1 2 286.404 3.521 20 0 CHADLO FC(F)[C@H]([NH2+]CCCO[C@H]1CCCCO1)c1ccccc1 ZINC000433049330 1121534376 /nfs/dbraw/zinc/53/43/76/1121534376.db2.gz SRFFYEPABQPQHH-LSDHHAIUSA-N 1 2 299.361 3.516 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(C)c(F)c2F)[nH+]1 ZINC001176091264 1121536230 /nfs/dbraw/zinc/53/62/30/1121536230.db2.gz JYIDNMNJPJHWEO-UHFFFAOYSA-N 1 2 273.286 3.973 20 0 CHADLO Cc1cn2cccc(Nc3ccc(C)c(F)c3F)c2[nH+]1 ZINC001176093459 1121538535 /nfs/dbraw/zinc/53/85/35/1121538535.db2.gz NHPPIFMDZBSXKC-UHFFFAOYSA-N 1 2 273.286 3.973 20 0 CHADLO Cc1cc2cc(C[NH2+][C@@H](C)c3ccon3)oc2cc1C ZINC000543638493 1121544858 /nfs/dbraw/zinc/54/48/58/1121544858.db2.gz ZCELTKHVZCUHPE-LBPRGKRZSA-N 1 2 270.332 3.888 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Br)c(F)c1 ZINC001176120558 1121556231 /nfs/dbraw/zinc/55/62/31/1121556231.db2.gz DXIICIWQCZDSBV-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO COc1cc[nH+]cc1Nc1sc(C)nc1C(F)(F)F ZINC001176117067 1121556897 /nfs/dbraw/zinc/55/68/97/1121556897.db2.gz PYLLDKYZQFBZFY-UHFFFAOYSA-N 1 2 289.282 3.618 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1OC(F)(F)F ZINC001176122030 1121558452 /nfs/dbraw/zinc/55/84/52/1121558452.db2.gz ZXTUZJIOVUIUSO-UHFFFAOYSA-N 1 2 284.237 3.732 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(Cl)c1F ZINC001176121150 1121558617 /nfs/dbraw/zinc/55/86/17/1121558617.db2.gz QBZDZMUMMOHTQZ-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)c(F)cc1F ZINC001176122793 1121558729 /nfs/dbraw/zinc/55/87/29/1121558729.db2.gz IJNUYRZZZSAQJT-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2cccc(N)c21 ZINC001176122579 1121558745 /nfs/dbraw/zinc/55/87/45/1121558745.db2.gz BXEVFTJQSWPSHR-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)c(F)cc1Cl ZINC001176122792 1121558768 /nfs/dbraw/zinc/55/87/68/1121558768.db2.gz IJHGZCMAVBZXQF-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(C)=O)c(Cl)c1 ZINC001176122970 1121558855 /nfs/dbraw/zinc/55/88/55/1121558855.db2.gz DULUOPNHAGEMAK-UHFFFAOYSA-N 1 2 276.723 3.690 20 0 CHADLO CCOc1ccc(OCC)c(Nc2c[nH+]ccc2OC)c1 ZINC001176121793 1121559082 /nfs/dbraw/zinc/55/90/82/1121559082.db2.gz PYTDJXCONPFJIO-UHFFFAOYSA-N 1 2 288.347 3.631 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1OCC1CC1 ZINC001176124612 1121560562 /nfs/dbraw/zinc/56/05/62/1121560562.db2.gz RZBXPDRRLAROBO-UHFFFAOYSA-N 1 2 270.332 3.623 20 0 CHADLO FC(F)(F)c1nn(CCC2CCC2)c2cc[nH+]cc21 ZINC000663995986 1121564222 /nfs/dbraw/zinc/56/42/22/1121564222.db2.gz URLZQOQXPDNYFM-UHFFFAOYSA-N 1 2 269.270 3.640 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ncoc2C(C)C)cc1 ZINC000092793339 1121573002 /nfs/dbraw/zinc/57/30/02/1121573002.db2.gz ITLUHDQZURTFPQ-UHFFFAOYSA-N 1 2 288.391 3.829 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ncoc2C(C)C)cc1 ZINC000092793339 1121573009 /nfs/dbraw/zinc/57/30/09/1121573009.db2.gz ITLUHDQZURTFPQ-UHFFFAOYSA-N 1 2 288.391 3.829 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CCc2c(O)cccc2C1 ZINC000626028539 1121584047 /nfs/dbraw/zinc/58/40/47/1121584047.db2.gz NHEWMFQJFOANFU-GFCCVEGCSA-N 1 2 294.354 3.653 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CCc2c(O)cccc2C1 ZINC000626028539 1121584051 /nfs/dbraw/zinc/58/40/51/1121584051.db2.gz NHEWMFQJFOANFU-GFCCVEGCSA-N 1 2 294.354 3.653 20 0 CHADLO C[C@@H](CN(C)C(=O)C[C@@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000628981389 1121586075 /nfs/dbraw/zinc/58/60/75/1121586075.db2.gz NUYKUGJMLRSSQK-LSDHHAIUSA-N 1 2 291.439 3.509 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1ccc(Cl)nc1 ZINC000711897156 1121586354 /nfs/dbraw/zinc/58/63/54/1121586354.db2.gz XPFHNDHTOYSMOO-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1ccc(Cl)nc1 ZINC000711897156 1121586359 /nfs/dbraw/zinc/58/63/59/1121586359.db2.gz XPFHNDHTOYSMOO-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1nn(C(C)C)cc1C[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000631284769 1128996385 /nfs/dbraw/zinc/99/63/85/1128996385.db2.gz UETYGJPHKUYIPB-INIZCTEOSA-N 1 2 293.361 3.712 20 0 CHADLO Clc1ccc2[nH+]ccc(Nc3ccc4nonc4c3)c2c1 ZINC001176321061 1121607633 /nfs/dbraw/zinc/60/76/33/1121607633.db2.gz MVHSOCIFIYYOEH-UHFFFAOYSA-N 1 2 296.717 3.590 20 0 CHADLO CC[C@H](C[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C)OC ZINC000419259974 1121608237 /nfs/dbraw/zinc/60/82/37/1121608237.db2.gz PURCOYZBPQUEHT-APHBMKBZSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C)OC ZINC000419259974 1121608239 /nfs/dbraw/zinc/60/82/39/1121608239.db2.gz PURCOYZBPQUEHT-APHBMKBZSA-N 1 2 297.826 3.527 20 0 CHADLO Cc1nc(C)c(COc2ccc3c(c2)[nH+]c(C)n3C)s1 ZINC000664053885 1121609300 /nfs/dbraw/zinc/60/93/00/1121609300.db2.gz XMAFDNXAASMCSJ-UHFFFAOYSA-N 1 2 287.388 3.534 20 0 CHADLO COC(=O)c1cc([C@@H](C)[N@@H+]2Cc3ccc(C)cc3C2)oc1C ZINC000433194913 1121613627 /nfs/dbraw/zinc/61/36/27/1121613627.db2.gz ZYKDHXQQWVCASK-GFCCVEGCSA-N 1 2 299.370 3.760 20 0 CHADLO COC(=O)c1cc([C@@H](C)[N@H+]2Cc3ccc(C)cc3C2)oc1C ZINC000433194913 1121613629 /nfs/dbraw/zinc/61/36/29/1121613629.db2.gz ZYKDHXQQWVCASK-GFCCVEGCSA-N 1 2 299.370 3.760 20 0 CHADLO c1cn(-c2cccc(NCc3scnc3C3CC3)c2)c[nH+]1 ZINC000487268078 1121617118 /nfs/dbraw/zinc/61/71/18/1121617118.db2.gz RAMDQOAIKJDDFY-UHFFFAOYSA-N 1 2 296.399 3.818 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc2c(c1)CC(=O)N2 ZINC001176429348 1121619908 /nfs/dbraw/zinc/61/99/08/1121619908.db2.gz VHQPFNWAMPNXKQ-UHFFFAOYSA-N 1 2 281.359 3.752 20 0 CHADLO C[C@@H](CNc1cc2ccccc2c[nH+]1)CC(F)F ZINC000631323553 1128998317 /nfs/dbraw/zinc/99/83/17/1128998317.db2.gz DOUMSCDOMLDAGP-SNVBAGLBSA-N 1 2 250.292 3.938 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)[nH]1 ZINC000411812842 1121627586 /nfs/dbraw/zinc/62/75/86/1121627586.db2.gz AFLGKPGKSOHUOC-CZUORRHYSA-N 1 2 296.418 3.791 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)[nH]1 ZINC000411812842 1121627588 /nfs/dbraw/zinc/62/75/88/1121627588.db2.gz AFLGKPGKSOHUOC-CZUORRHYSA-N 1 2 296.418 3.791 20 0 CHADLO Cc1cc(N2CC(C)(CC(F)(F)F)C2)nc(C2CCC2)[nH+]1 ZINC000894135962 1121629756 /nfs/dbraw/zinc/62/97/56/1121629756.db2.gz YUONJCINBKXGEV-UHFFFAOYSA-N 1 2 299.340 3.831 20 0 CHADLO Cc1cc([C@@H]2CCCC[N@@H+]2Cc2ccc3c(c2)CCC3)n[nH]1 ZINC001176485955 1121637121 /nfs/dbraw/zinc/63/71/21/1121637121.db2.gz QPDISWOEMURJGD-IBGZPJMESA-N 1 2 295.430 3.934 20 0 CHADLO Cc1cc([C@@H]2CCCC[N@H+]2Cc2ccc3c(c2)CCC3)n[nH]1 ZINC001176485955 1121637124 /nfs/dbraw/zinc/63/71/24/1121637124.db2.gz QPDISWOEMURJGD-IBGZPJMESA-N 1 2 295.430 3.934 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc3c[nH]nc3c2)CCCCC1 ZINC000512903999 1121640148 /nfs/dbraw/zinc/64/01/48/1121640148.db2.gz KZNNMTGKMLFXQR-UHFFFAOYSA-N 1 2 279.334 3.621 20 0 CHADLO CC(C)(C)OC(=O)c1cccc(CNc2cccc[nH+]2)c1 ZINC000611414623 1121646485 /nfs/dbraw/zinc/64/64/85/1121646485.db2.gz BTTYBCHGQPLABL-UHFFFAOYSA-N 1 2 284.359 3.649 20 0 CHADLO COc1cc(C)[nH+]c(CSCCCOC(C)(C)C)c1 ZINC000611469863 1121651206 /nfs/dbraw/zinc/65/12/06/1121651206.db2.gz PWODZPKSHHIODV-UHFFFAOYSA-N 1 2 283.437 3.837 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC[C@H](F)C(F)(F)CC2)[nH+]1 ZINC001163779741 1121658806 /nfs/dbraw/zinc/65/88/06/1121658806.db2.gz HLSMINSUWZHZPM-NSHDSACASA-N 1 2 292.732 3.925 20 0 CHADLO CSCCCNc1cc(C)[nH+]c(-c2ccccc2)n1 ZINC001163869398 1121660297 /nfs/dbraw/zinc/66/02/97/1121660297.db2.gz SVKUWIAAPFPFCZ-UHFFFAOYSA-N 1 2 273.405 3.617 20 0 CHADLO CCOc1cc(Nc2c(C)cc[nH+]c2CC)c(F)cn1 ZINC001176603088 1121669204 /nfs/dbraw/zinc/66/92/04/1121669204.db2.gz KHLSDVUURSMRTD-UHFFFAOYSA-N 1 2 275.327 3.629 20 0 CHADLO CCn1nc(C)c(CN(C)c2[nH+]ccc3ccccc32)c1C ZINC000631416719 1129001336 /nfs/dbraw/zinc/00/13/36/1129001336.db2.gz ZZKDRJRHILFGKB-UHFFFAOYSA-N 1 2 294.402 3.704 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)cc(F)c(O)c1F ZINC001176669146 1121671519 /nfs/dbraw/zinc/67/15/19/1121671519.db2.gz DXPSMCMAFCZXQI-UHFFFAOYSA-N 1 2 282.265 3.819 20 0 CHADLO Clc1ccc(N2CC[C@H](CNc3cccc[nH+]3)C2)cc1 ZINC000253491529 1121672453 /nfs/dbraw/zinc/67/24/53/1121672453.db2.gz MYPKEERGDNNTGH-CYBMUJFWSA-N 1 2 287.794 3.673 20 0 CHADLO Cc1cn2cccc(Nc3c(F)cc(F)c(O)c3F)c2[nH+]1 ZINC001176663227 1121678723 /nfs/dbraw/zinc/67/87/23/1121678723.db2.gz DAZMUQUSVFDKKT-UHFFFAOYSA-N 1 2 293.248 3.509 20 0 CHADLO c1c[nH+]c(N2CCOCC2)c(Nc2cccc(C3CC3)c2)c1 ZINC001176724126 1121679915 /nfs/dbraw/zinc/67/99/15/1121679915.db2.gz DNDRBVKPAQLLRZ-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCC[C@@H]2c2ccccc2)o1 ZINC000273865661 1121680389 /nfs/dbraw/zinc/68/03/89/1121680389.db2.gz OZDITIUOSGFNBR-OAHLLOKOSA-N 1 2 285.391 3.920 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCC[C@@H]2c2ccccc2)o1 ZINC000273865661 1121680393 /nfs/dbraw/zinc/68/03/93/1121680393.db2.gz OZDITIUOSGFNBR-OAHLLOKOSA-N 1 2 285.391 3.920 20 0 CHADLO CC(C)[N@H+](Cc1ccccn1)Cc1cc(F)ccc1F ZINC000052218549 1121680480 /nfs/dbraw/zinc/68/04/80/1121680480.db2.gz CQVWTVCICSYFKA-UHFFFAOYSA-N 1 2 276.330 3.770 20 0 CHADLO CC(C)[N@@H+](Cc1ccccn1)Cc1cc(F)ccc1F ZINC000052218549 1121680484 /nfs/dbraw/zinc/68/04/84/1121680484.db2.gz CQVWTVCICSYFKA-UHFFFAOYSA-N 1 2 276.330 3.770 20 0 CHADLO c1nc2ccc(Nc3ccc[nH+]c3N3CCCC3)cc2o1 ZINC001176749000 1121686648 /nfs/dbraw/zinc/68/66/48/1121686648.db2.gz NNPTXRYXMNRXDC-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO CCc1ccc2c(c1)CCN2Cc1[nH+]cc(C)c(OC)c1C ZINC001176865431 1121699214 /nfs/dbraw/zinc/69/92/14/1121699214.db2.gz VXQFZMJTOHFUSF-UHFFFAOYSA-N 1 2 296.414 3.832 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(C3CC3)cc2)o1 ZINC000419363985 1121700194 /nfs/dbraw/zinc/70/01/94/1121700194.db2.gz WHTCPYNWGKIJRF-LBPRGKRZSA-N 1 2 256.349 3.711 20 0 CHADLO CCOC(=O)[C@H](C)[N@H+](C)Cc1ccc(C2CCCC2)cc1 ZINC001176857333 1121700301 /nfs/dbraw/zinc/70/03/01/1121700301.db2.gz WNZSQNYSGRQCLX-AWEZNQCLSA-N 1 2 289.419 3.728 20 0 CHADLO CCOC(=O)[C@H](C)[N@@H+](C)Cc1ccc(C2CCCC2)cc1 ZINC001176857333 1121700305 /nfs/dbraw/zinc/70/03/05/1121700305.db2.gz WNZSQNYSGRQCLX-AWEZNQCLSA-N 1 2 289.419 3.728 20 0 CHADLO CCCCCOc1ccc(C[NH2+]Cc2cocn2)cc1 ZINC000397921803 1121701586 /nfs/dbraw/zinc/70/15/86/1121701586.db2.gz HYTJZFBLDOHSEZ-UHFFFAOYSA-N 1 2 274.364 3.533 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccccc2F)n1)c1ccccn1 ZINC001176902444 1121702372 /nfs/dbraw/zinc/70/23/72/1121702372.db2.gz LHEIYICSSNPKIZ-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000397996517 1121703773 /nfs/dbraw/zinc/70/37/73/1121703773.db2.gz SRQORQRUFCAQQK-STQMWFEESA-N 1 2 284.306 3.823 20 0 CHADLO CC(=CC(=O)Nc1cc[nH+]c(C)c1)c1ccccc1Cl ZINC000727482989 1121721762 /nfs/dbraw/zinc/72/17/62/1121721762.db2.gz AOUNOEDRYJNDPQ-PKNBQFBNSA-N 1 2 286.762 3.507 20 0 CHADLO c1cc2ccc(CNc3ccc(-n4cc[nH+]c4)cc3)cc2[nH]1 ZINC001177387724 1121728656 /nfs/dbraw/zinc/72/86/56/1121728656.db2.gz ZLEZYDJWQIEHPH-UHFFFAOYSA-N 1 2 288.354 3.966 20 0 CHADLO CC[C@H](C)c1ccc(NC(=O)CN(C)c2cccc[nH+]2)cc1 ZINC001177409715 1121728679 /nfs/dbraw/zinc/72/86/79/1121728679.db2.gz YZODIDOFHZMMJX-AWEZNQCLSA-N 1 2 297.402 3.670 20 0 CHADLO O=C(CCCCc1cccs1)Nc1cccc2[nH+]ccn21 ZINC001177484330 1121732502 /nfs/dbraw/zinc/73/25/02/1121732502.db2.gz UFNGTJCQCCXNGM-UHFFFAOYSA-N 1 2 299.399 3.747 20 0 CHADLO Clc1csc(CNc2c[nH+]cc3c2CCCC3)n1 ZINC000877620504 1121735351 /nfs/dbraw/zinc/73/53/51/1121735351.db2.gz JFCKAVIWIIEDLY-UHFFFAOYSA-N 1 2 279.796 3.682 20 0 CHADLO Cn1ccnc1C[NH2+]Cc1ccccc1Oc1ccccc1 ZINC001177558548 1121738589 /nfs/dbraw/zinc/73/85/89/1121738589.db2.gz YSOHABYUFAKMAT-UHFFFAOYSA-N 1 2 293.370 3.502 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@H+](Cc2noc(C3CC3)n2)C1 ZINC000285622098 1121763039 /nfs/dbraw/zinc/76/30/39/1121763039.db2.gz KJZQKNQPXZXSTA-IUODEOHRSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@@H+](Cc2noc(C3CC3)n2)C1 ZINC000285622098 1121763044 /nfs/dbraw/zinc/76/30/44/1121763044.db2.gz KJZQKNQPXZXSTA-IUODEOHRSA-N 1 2 283.375 3.530 20 0 CHADLO CC[C@@H](C)Oc1cc(C)ccc1C[NH2+][C@@H](C)c1ncc[nH]1 ZINC000286167738 1121765366 /nfs/dbraw/zinc/76/53/66/1121765366.db2.gz QFRUGRNNFFIWCX-KGLIPLIRSA-N 1 2 287.407 3.746 20 0 CHADLO COc1ccc[nH+]c1N[C@H](C)CCc1ccccc1 ZINC000169141866 1121774164 /nfs/dbraw/zinc/77/41/64/1121774164.db2.gz TYKHANONCVDHLX-CYBMUJFWSA-N 1 2 256.349 3.523 20 0 CHADLO Cc1ccc([C@H](CC(F)(F)F)[NH2+]Cc2ncc[nH]2)cc1 ZINC001178426042 1121787786 /nfs/dbraw/zinc/78/77/86/1121787786.db2.gz QAQVHQREMKFVLL-LBPRGKRZSA-N 1 2 283.297 3.501 20 0 CHADLO S=c1oc2ccccc2n1C[NH+]1Cc2ccccc2C1 ZINC000729982962 1121788862 /nfs/dbraw/zinc/78/88/62/1121788862.db2.gz FLAHBSFQOWUGLX-UHFFFAOYSA-N 1 2 282.368 3.937 20 0 CHADLO COC1(C[C@H](C)[NH2+]c2ccc3c(c2)CCCN3C)CCC1 ZINC000760015457 1121819730 /nfs/dbraw/zinc/81/97/30/1121819730.db2.gz KYIWSSOYNWMDEL-AWEZNQCLSA-N 1 2 288.435 3.829 20 0 CHADLO COC1(C[C@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)CCC1 ZINC000760015457 1121819737 /nfs/dbraw/zinc/81/97/37/1121819737.db2.gz KYIWSSOYNWMDEL-AWEZNQCLSA-N 1 2 288.435 3.829 20 0 CHADLO COC1(C[C@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)CCC1 ZINC000760015457 1121819750 /nfs/dbraw/zinc/81/97/50/1121819750.db2.gz KYIWSSOYNWMDEL-AWEZNQCLSA-N 1 2 288.435 3.829 20 0 CHADLO CC[C@](C)([NH2+]Cc1n[nH]c2ccccc21)c1ccccc1 ZINC000730817108 1121828625 /nfs/dbraw/zinc/82/86/25/1121828625.db2.gz HMHUVEBZPVTXOH-SFHVURJKSA-N 1 2 279.387 3.978 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1ccc(Cl)cc1 ZINC000731029349 1121843300 /nfs/dbraw/zinc/84/33/00/1121843300.db2.gz SSELUZAQVGZJMA-UHFFFAOYSA-N 1 2 280.780 3.643 20 0 CHADLO Oc1cc(Cl)cc(C[NH2+][C@H](CF)c2ccc(F)cc2)c1 ZINC001179401405 1121874329 /nfs/dbraw/zinc/87/43/29/1121874329.db2.gz DAGFEXXGOZJXDV-OAHLLOKOSA-N 1 2 297.732 3.985 20 0 CHADLO Cc1ccc2[nH+]c(NCc3ccc4c(c3)C[C@H](C)O4)[nH]c2c1 ZINC001179443247 1121877275 /nfs/dbraw/zinc/87/72/75/1121877275.db2.gz MONCFOQEHMWQES-LBPRGKRZSA-N 1 2 293.370 3.807 20 0 CHADLO CCNc1ccccc1CNc1c[nH+]c(C)c(C)c1 ZINC001179453268 1121879731 /nfs/dbraw/zinc/87/97/31/1121879731.db2.gz HEADIUOFIJEASF-UHFFFAOYSA-N 1 2 255.365 3.742 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+][C@]2(C)CCCc3ccccc32)o1 ZINC000731667377 1121880525 /nfs/dbraw/zinc/88/05/25/1121880525.db2.gz YDHYYAFRYAYOIW-GOSISDBHSA-N 1 2 299.418 3.708 20 0 CHADLO Cc1cc(F)ncc1CNc1[nH]c2ccc(Cl)cc2[nH+]1 ZINC001179515882 1121884228 /nfs/dbraw/zinc/88/42/28/1121884228.db2.gz JORFHXKNICLHAP-UHFFFAOYSA-N 1 2 290.729 3.671 20 0 CHADLO C[C@@H]1CCOCC[N@@H+]1Cc1cccc(Cl)c1Cl ZINC000773837063 1121918850 /nfs/dbraw/zinc/91/88/50/1121918850.db2.gz XYBVYQUKPUJANQ-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1CCOCC[N@H+]1Cc1cccc(Cl)c1Cl ZINC000773837063 1121918851 /nfs/dbraw/zinc/91/88/51/1121918851.db2.gz XYBVYQUKPUJANQ-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1COC[C@@H](c2ccccc2)[N@@H+]1Cc1ccccc1F ZINC000501024332 1121942907 /nfs/dbraw/zinc/94/29/07/1121942907.db2.gz MMRZRJBUNTWAAP-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1COC[C@@H](c2ccccc2)[N@H+]1Cc1ccccc1F ZINC000501024332 1121942911 /nfs/dbraw/zinc/94/29/11/1121942911.db2.gz MMRZRJBUNTWAAP-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H](c1ccc(F)c(Cl)c1)[N@@H+]1CC[C@](C)(F)C1 ZINC000501398130 1121973737 /nfs/dbraw/zinc/97/37/37/1121973737.db2.gz ZFFSWGOLJYFYKJ-ZANVPECISA-N 1 2 259.727 3.974 20 0 CHADLO C[C@@H](c1ccc(F)c(Cl)c1)[N@H+]1CC[C@](C)(F)C1 ZINC000501398130 1121973743 /nfs/dbraw/zinc/97/37/43/1121973743.db2.gz ZFFSWGOLJYFYKJ-ZANVPECISA-N 1 2 259.727 3.974 20 0 CHADLO CC(C)c1cnc(C[NH+]2CCC(OC3CCCC3)CC2)o1 ZINC000733309482 1121973657 /nfs/dbraw/zinc/97/36/57/1121973657.db2.gz QLIZFOOWQWFIDN-UHFFFAOYSA-N 1 2 292.423 3.722 20 0 CHADLO CC(C)c1cnc(C[N@H+](Cc2ccccc2)C2CC2)o1 ZINC000733308332 1121974472 /nfs/dbraw/zinc/97/44/72/1121974472.db2.gz XFTXTTZDKCKVTH-UHFFFAOYSA-N 1 2 270.376 3.963 20 0 CHADLO CC(C)c1cnc(C[N@@H+](Cc2ccccc2)C2CC2)o1 ZINC000733308332 1121974479 /nfs/dbraw/zinc/97/44/79/1121974479.db2.gz XFTXTTZDKCKVTH-UHFFFAOYSA-N 1 2 270.376 3.963 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC=C(c3ccc(O)cc3)CC2)o1 ZINC000733307488 1121974864 /nfs/dbraw/zinc/97/48/64/1121974864.db2.gz MLIUOENCHFHJRL-UHFFFAOYSA-N 1 2 298.386 3.793 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC=C(c3ccc(O)cc3)CC2)o1 ZINC000733307488 1121974870 /nfs/dbraw/zinc/97/48/70/1121974870.db2.gz MLIUOENCHFHJRL-UHFFFAOYSA-N 1 2 298.386 3.793 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@@H+]1CC[C@@](C)(F)C1 ZINC000501412422 1121976731 /nfs/dbraw/zinc/97/67/31/1121976731.db2.gz UMFNSDRLRZEOJN-MLGOLLRUSA-N 1 2 274.339 3.837 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@H+]1CC[C@@](C)(F)C1 ZINC000501412422 1121976741 /nfs/dbraw/zinc/97/67/41/1121976741.db2.gz UMFNSDRLRZEOJN-MLGOLLRUSA-N 1 2 274.339 3.837 20 0 CHADLO Cc1ccccc1OCC[N@@H+](C)Cc1nc(Cl)cs1 ZINC001180445878 1121987865 /nfs/dbraw/zinc/98/78/65/1121987865.db2.gz QDRPRWBVYRWRAY-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1ccccc1OCC[N@H+](C)Cc1nc(Cl)cs1 ZINC001180445878 1121987871 /nfs/dbraw/zinc/98/78/71/1121987871.db2.gz QDRPRWBVYRWRAY-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Fc1ccc(CCNc2c[nH+]c3c(c2)CCCC3)c(F)c1 ZINC001180835716 1122017924 /nfs/dbraw/zinc/01/79/24/1122017924.db2.gz MMVGZMCEDRBLEU-UHFFFAOYSA-N 1 2 288.341 3.893 20 0 CHADLO Clc1ccnc(Cl)c1C[N@@H+]1CCCC2(CC2)C1 ZINC001181111185 1122034913 /nfs/dbraw/zinc/03/49/13/1122034913.db2.gz ABLVTUSZBVXFCC-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO Clc1ccnc(Cl)c1C[N@H+]1CCCC2(CC2)C1 ZINC001181111185 1122034920 /nfs/dbraw/zinc/03/49/20/1122034920.db2.gz ABLVTUSZBVXFCC-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@H+](Cc2nc3ccccc3[nH]2)C1 ZINC000420938367 1122046653 /nfs/dbraw/zinc/04/66/53/1122046653.db2.gz ASDGBGQXPCAWPA-LLVKDONJSA-N 1 2 297.324 3.727 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@@H+](Cc2nc3ccccc3[nH]2)C1 ZINC000420938367 1122046661 /nfs/dbraw/zinc/04/66/61/1122046661.db2.gz ASDGBGQXPCAWPA-LLVKDONJSA-N 1 2 297.324 3.727 20 0 CHADLO Cc1cnc(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)nc1 ZINC000421016267 1122063183 /nfs/dbraw/zinc/06/31/83/1122063183.db2.gz UJJRWZJHGXEABV-CYBMUJFWSA-N 1 2 275.421 3.818 20 0 CHADLO Cc1cnc(C[N@H+](C(C)C)[C@H](C)c2ccsc2)nc1 ZINC000421016267 1122063190 /nfs/dbraw/zinc/06/31/90/1122063190.db2.gz UJJRWZJHGXEABV-CYBMUJFWSA-N 1 2 275.421 3.818 20 0 CHADLO CCc1nnc(C[N@H+](C(C)C)[C@H](C)c2ccsc2)o1 ZINC000421017971 1122065484 /nfs/dbraw/zinc/06/54/84/1122065484.db2.gz VWULAIMNKJLSER-LLVKDONJSA-N 1 2 279.409 3.665 20 0 CHADLO CCc1nnc(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)o1 ZINC000421017971 1122065494 /nfs/dbraw/zinc/06/54/94/1122065494.db2.gz VWULAIMNKJLSER-LLVKDONJSA-N 1 2 279.409 3.665 20 0 CHADLO Cc1ccc(Nc2ccc(Br)nc2)c(C)[nH+]1 ZINC001203368005 1122074464 /nfs/dbraw/zinc/07/44/64/1122074464.db2.gz SINNTBUXWMRONA-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO C[C@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1ccoc1 ZINC000631794903 1129029922 /nfs/dbraw/zinc/02/99/22/1129029922.db2.gz AYVAPRXFFUAHPE-HZMBPMFUSA-N 1 2 251.276 3.780 20 0 CHADLO COc1cc(Nc2cc(Cl)c(O)cc2F)cc(C)[nH+]1 ZINC001250023065 1122085882 /nfs/dbraw/zinc/08/58/82/1122085882.db2.gz AXMJTAIFLOCMIA-UHFFFAOYSA-N 1 2 282.702 3.640 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C(C)C)[C@H](C)c1ccsc1 ZINC000421372102 1122104278 /nfs/dbraw/zinc/10/42/78/1122104278.db2.gz LZSSGYHCDAKLMO-GFCCVEGCSA-N 1 2 263.410 3.751 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C(C)C)[C@H](C)c1ccsc1 ZINC000421372102 1122104282 /nfs/dbraw/zinc/10/42/82/1122104282.db2.gz LZSSGYHCDAKLMO-GFCCVEGCSA-N 1 2 263.410 3.751 20 0 CHADLO Cc1c(Cl)c(C[NH2+]Cc2ccc(C3CC3)cc2)nn1C ZINC000421405980 1122114660 /nfs/dbraw/zinc/11/46/60/1122114660.db2.gz ZIJJORLAKSCXGO-UHFFFAOYSA-N 1 2 289.810 3.549 20 0 CHADLO Cc1ccc(Nc2ccnn2-c2ccccc2F)c(C)[nH+]1 ZINC001203370316 1122120455 /nfs/dbraw/zinc/12/04/55/1122120455.db2.gz ZVINEJVAXCXMBQ-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO CC[C@@H](C)C[N@@H+]1CCOC[C@@H]1c1nc2ccccc2s1 ZINC000502805540 1122148878 /nfs/dbraw/zinc/14/88/78/1122148878.db2.gz APGLMBWMEOQEDJ-TZMCWYRMSA-N 1 2 290.432 3.716 20 0 CHADLO CC[C@@H](C)C[N@H+]1CCOC[C@@H]1c1nc2ccccc2s1 ZINC000502805540 1122148880 /nfs/dbraw/zinc/14/88/80/1122148880.db2.gz APGLMBWMEOQEDJ-TZMCWYRMSA-N 1 2 290.432 3.716 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1CCCOCc1ccccc1 ZINC000502856736 1122152532 /nfs/dbraw/zinc/15/25/32/1122152532.db2.gz AINWDCYXMHFBMW-HNNXBMFYSA-N 1 2 283.362 3.713 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1CCCOCc1ccccc1 ZINC000502856736 1122152537 /nfs/dbraw/zinc/15/25/37/1122152537.db2.gz AINWDCYXMHFBMW-HNNXBMFYSA-N 1 2 283.362 3.713 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@](F)(c3ccccc3C)C2)o1 ZINC000502967196 1122160200 /nfs/dbraw/zinc/16/02/00/1122160200.db2.gz BAVVYEAFMTYMPQ-RHSMWYFYSA-N 1 2 288.366 3.923 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CC[C@](F)(c3ccccc3C)C2)o1 ZINC000502967196 1122160203 /nfs/dbraw/zinc/16/02/03/1122160203.db2.gz BAVVYEAFMTYMPQ-RHSMWYFYSA-N 1 2 288.366 3.923 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(CC(C)C)no1)c1ccccc1 ZINC000271733037 1122172286 /nfs/dbraw/zinc/17/22/86/1122172286.db2.gz YVJNWTFTBNPVJS-AWEZNQCLSA-N 1 2 273.380 3.509 20 0 CHADLO Cc1ccncc1[C@H](C)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000272097836 1122190360 /nfs/dbraw/zinc/19/03/60/1122190360.db2.gz HGDBCNFHAIDMLY-AWEZNQCLSA-N 1 2 281.403 3.946 20 0 CHADLO Cc1ccncc1[C@H](C)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000272097836 1122190362 /nfs/dbraw/zinc/19/03/62/1122190362.db2.gz HGDBCNFHAIDMLY-AWEZNQCLSA-N 1 2 281.403 3.946 20 0 CHADLO Cc1ccncc1[C@@H](C)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000272264516 1122196362 /nfs/dbraw/zinc/19/63/62/1122196362.db2.gz MKYFLERWRFSNIZ-CQSZACIVSA-N 1 2 282.391 3.558 20 0 CHADLO Cc1cc(N[C@@H](C)c2cscn2)ccc1[NH+](C)C ZINC000272330611 1122197850 /nfs/dbraw/zinc/19/78/50/1122197850.db2.gz CPHHSEYMIXLHOZ-NSHDSACASA-N 1 2 261.394 3.691 20 0 CHADLO CC(C)Cn1ncnc1C[N@H+]([C@@H](C)c1ccccc1)C1CC1 ZINC000272346976 1122198395 /nfs/dbraw/zinc/19/83/95/1122198395.db2.gz PWVXLLGMRXJSSS-HNNXBMFYSA-N 1 2 298.434 3.660 20 0 CHADLO CC(C)Cn1ncnc1C[N@@H+]([C@@H](C)c1ccccc1)C1CC1 ZINC000272346976 1122198400 /nfs/dbraw/zinc/19/84/00/1122198400.db2.gz PWVXLLGMRXJSSS-HNNXBMFYSA-N 1 2 298.434 3.660 20 0 CHADLO CO[C@@H](C)[C@@H](C)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000272331898 1122198964 /nfs/dbraw/zinc/19/89/64/1122198964.db2.gz CTPKQPFQTHRIKY-KGLIPLIRSA-N 1 2 299.418 3.722 20 0 CHADLO CCC1(C(=O)N[C@H](C)c2ccc(-n3cc[nH+]c3)cc2)CCC1 ZINC001183018332 1122201484 /nfs/dbraw/zinc/20/14/84/1122201484.db2.gz KOWNLAJREZIWQL-CQSZACIVSA-N 1 2 297.402 3.630 20 0 CHADLO CC1(C)CC[C@@H]([NH2+]c2ccc(N3CCC(O)CC3)cc2)C1 ZINC000273414926 1122231214 /nfs/dbraw/zinc/23/12/14/1122231214.db2.gz WUWSSAODKMMBBM-OAHLLOKOSA-N 1 2 288.435 3.638 20 0 CHADLO C[N@H+](Cc1ccc(CSC(F)F)o1)Cc1ccccn1 ZINC000273455998 1122233755 /nfs/dbraw/zinc/23/37/55/1122233755.db2.gz ZYFBXVYRGYIRMM-UHFFFAOYSA-N 1 2 298.358 3.762 20 0 CHADLO C[N@@H+](Cc1ccc(CSC(F)F)o1)Cc1ccccn1 ZINC000273455998 1122233760 /nfs/dbraw/zinc/23/37/60/1122233760.db2.gz ZYFBXVYRGYIRMM-UHFFFAOYSA-N 1 2 298.358 3.762 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1csc(CO)c1 ZINC001205290971 1122261537 /nfs/dbraw/zinc/26/15/37/1122261537.db2.gz VMOXBXUQDASKAW-UHFFFAOYSA-N 1 2 288.416 3.601 20 0 CHADLO CO[C@@H]1CCC[C@@H]([NH2+][C@H](c2ccccc2)C(F)F)C1 ZINC001184462225 1122277962 /nfs/dbraw/zinc/27/79/62/1122277962.db2.gz IFHUUDGXTDHJJV-MGPQQGTHSA-N 1 2 269.335 3.540 20 0 CHADLO Cc1cc(NCc2cccs2)nc(C2CCC2)[nH+]1 ZINC001184516327 1122283628 /nfs/dbraw/zinc/28/36/28/1122283628.db2.gz QFAFIOVCGLKISH-UHFFFAOYSA-N 1 2 259.378 3.726 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)C(F)F)[C@@H](C)c1ccccc1 ZINC000183336180 1129045264 /nfs/dbraw/zinc/04/52/64/1129045264.db2.gz YUFHYPJGXASVEV-NXEZZACHSA-N 1 2 263.278 3.669 20 0 CHADLO Cc1ncoc1C[NH2+][C@@H](C)c1ccc(F)cc1Cl ZINC000894288711 1122304337 /nfs/dbraw/zinc/30/43/37/1122304337.db2.gz COJHXEYHUICIRJ-QMMMGPOBSA-N 1 2 268.719 3.626 20 0 CHADLO CNc1cccc(C)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001185043335 1122313035 /nfs/dbraw/zinc/31/30/35/1122313035.db2.gz LLXSCWSAYPJFIO-UHFFFAOYSA-N 1 2 282.391 3.776 20 0 CHADLO CCc1ccc(N)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001185044615 1122313883 /nfs/dbraw/zinc/31/38/83/1122313883.db2.gz WNLJOLZWGHVMKW-UHFFFAOYSA-N 1 2 282.391 3.570 20 0 CHADLO CC[C@H](C)[C@H](C)Nc1nc2ccccc2n2c[nH+]cc12 ZINC001185440225 1122341149 /nfs/dbraw/zinc/34/11/49/1122341149.db2.gz YTLXZIVAWISZIT-RYUDHWBXSA-N 1 2 268.364 3.729 20 0 CHADLO COc1cc(CNc2ccc([NH+](C)C)c(C)c2)ccc1C ZINC000774930766 1122362235 /nfs/dbraw/zinc/36/22/35/1122362235.db2.gz VJSBAJLIKRUIOX-UHFFFAOYSA-N 1 2 284.403 3.990 20 0 CHADLO CCc1cc(N(C)Cc2cc(C)ccc2F)nc(CC)[nH+]1 ZINC001186295015 1122382382 /nfs/dbraw/zinc/38/23/82/1122382382.db2.gz NXKYUQSRUDASSQ-UHFFFAOYSA-N 1 2 287.382 3.685 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(F)c3n[nH]cc32)c1 ZINC001205349979 1122387326 /nfs/dbraw/zinc/38/73/26/1122387326.db2.gz WWPGQNFDNHXZMX-UHFFFAOYSA-N 1 2 283.306 3.731 20 0 CHADLO CSCC1CCC(Nc2cc(C)[nH+]c(C3CC3)n2)CC1 ZINC001186550370 1122398883 /nfs/dbraw/zinc/39/88/83/1122398883.db2.gz KCRVMQMRRMMPPQ-UHFFFAOYSA-N 1 2 291.464 3.996 20 0 CHADLO C[C@H]1CC(C)(C)CN1c1nc2ccccc2n2c[nH+]cc12 ZINC001186720694 1122406636 /nfs/dbraw/zinc/40/66/36/1122406636.db2.gz MYSAOZQXLXSXEB-LBPRGKRZSA-N 1 2 280.375 3.507 20 0 CHADLO Cc1ccc(CC2CN(c3cc(C)[nH+]c(C4CC4)n3)C2)cc1 ZINC001187064057 1122419060 /nfs/dbraw/zinc/41/90/60/1122419060.db2.gz PLIUHIOJXGGGDY-UHFFFAOYSA-N 1 2 293.414 3.650 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@@H+]1Cc1ccccc1C(F)(F)F ZINC000505639867 1122447053 /nfs/dbraw/zinc/44/70/53/1122447053.db2.gz RFINCWQMWSOAMJ-YPMHNXCESA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@H+]1Cc1ccccc1C(F)(F)F ZINC000505639867 1122447054 /nfs/dbraw/zinc/44/70/54/1122447054.db2.gz RFINCWQMWSOAMJ-YPMHNXCESA-N 1 2 287.325 3.705 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3ccc(C)c(F)c3)ccc2[nH+]1 ZINC001187956238 1122448257 /nfs/dbraw/zinc/44/82/57/1122448257.db2.gz KDFTVELVNXDGOG-UHFFFAOYSA-N 1 2 298.321 3.734 20 0 CHADLO CC(C)(C)[C@H](NC(=O)CCCn1cc[nH+]c1)c1ccccc1 ZINC000620410139 1129055674 /nfs/dbraw/zinc/05/56/74/1129055674.db2.gz ZYSLCXQFUHEDJF-QGZVFWFLSA-N 1 2 299.418 3.567 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCn3cccc3[C@@H]2C)o1 ZINC000505694277 1122465628 /nfs/dbraw/zinc/46/56/28/1122465628.db2.gz LGIKAHQEKJEGOG-VNHYZAJKSA-N 1 2 270.376 3.781 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+]2CCn3cccc3[C@@H]2C)o1 ZINC000505694277 1122465631 /nfs/dbraw/zinc/46/56/31/1122465631.db2.gz LGIKAHQEKJEGOG-VNHYZAJKSA-N 1 2 270.376 3.781 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC000628132777 1122481525 /nfs/dbraw/zinc/48/15/25/1122481525.db2.gz OGGCSKQBLBQERH-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC000628132777 1122481526 /nfs/dbraw/zinc/48/15/26/1122481526.db2.gz OGGCSKQBLBQERH-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO CCOc1cc(C[NH+]2CC3(C2)CCCC3)c(Cl)cn1 ZINC001250304576 1122483009 /nfs/dbraw/zinc/48/30/09/1122483009.db2.gz GOHDBBMJCIIWCT-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCC(=O)CC12CCC2 ZINC000515310048 1122490376 /nfs/dbraw/zinc/49/03/76/1122490376.db2.gz LOWZTTXWUNROTN-UHFFFAOYSA-N 1 2 287.403 3.561 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCC(=O)CC12CCC2 ZINC000515310048 1122490379 /nfs/dbraw/zinc/49/03/79/1122490379.db2.gz LOWZTTXWUNROTN-UHFFFAOYSA-N 1 2 287.403 3.561 20 0 CHADLO c1cn(-c2ccccc2Nc2ccc3cncnc3c2)c[nH+]1 ZINC001203707577 1122507957 /nfs/dbraw/zinc/50/79/57/1122507957.db2.gz KREWWMFMOJZNAI-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO Fc1ccc([C@@H]2CSCC[N@@H+]2Cc2ccco2)cc1 ZINC001203285570 1122511986 /nfs/dbraw/zinc/51/19/86/1122511986.db2.gz OFRLZBGRPONPSF-HNNXBMFYSA-N 1 2 277.364 3.709 20 0 CHADLO Fc1ccc([C@@H]2CSCC[N@H+]2Cc2ccco2)cc1 ZINC001203285570 1122511987 /nfs/dbraw/zinc/51/19/87/1122511987.db2.gz OFRLZBGRPONPSF-HNNXBMFYSA-N 1 2 277.364 3.709 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1nc2ccccc2n1C ZINC000193202776 1129060235 /nfs/dbraw/zinc/06/02/35/1129060235.db2.gz BDWUERBZTAYLEQ-ZDUSSCGKSA-N 1 2 294.402 3.812 20 0 CHADLO CC1=CCC[N@H+](Cc2cc(Br)ccc2F)C1 ZINC000436265352 1122529564 /nfs/dbraw/zinc/52/95/64/1122529564.db2.gz RTVDUDNQEYHMEB-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CCC[N@@H+](Cc2cc(Br)ccc2F)C1 ZINC000436265352 1122529567 /nfs/dbraw/zinc/52/95/67/1122529567.db2.gz RTVDUDNQEYHMEB-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C(C)C)no1)c1ccccc1 ZINC000775388384 1122539699 /nfs/dbraw/zinc/53/96/99/1122539699.db2.gz QVOLABIMKRDQJH-MRXNPFEDSA-N 1 2 273.380 3.608 20 0 CHADLO CCCCC[C@@H]1CCCCN1C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000620566623 1129064868 /nfs/dbraw/zinc/06/48/68/1129064868.db2.gz LZVZWYDDYLTHRK-GOEBONIOSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCC[C@@H]1CCCCN1C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000620566623 1129064871 /nfs/dbraw/zinc/06/48/71/1129064871.db2.gz LZVZWYDDYLTHRK-GOEBONIOSA-N 1 2 291.439 3.550 20 0 CHADLO CC(C)c1ccc2c(c1)[C@@H]([NH2+][C@@H](C)c1ncn(C)n1)CCC2 ZINC000437054296 1122609836 /nfs/dbraw/zinc/60/98/36/1122609836.db2.gz BGDZXIVRHDKITR-GUYCJALGSA-N 1 2 298.434 3.667 20 0 CHADLO C[C@H]1CC[C@H](NC(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC001191815813 1122614571 /nfs/dbraw/zinc/61/45/71/1122614571.db2.gz NMAHSCFKEMINGI-HDJSIYSDSA-N 1 2 298.390 3.573 20 0 CHADLO c1ccc(N2CCC3(C=Cc4ccccc4O3)CC2)[nH+]c1 ZINC000664626203 1122628236 /nfs/dbraw/zinc/62/82/36/1122628236.db2.gz PKQVJSLAGCQOQM-UHFFFAOYSA-N 1 2 278.355 3.526 20 0 CHADLO COc1cc(Br)ccc1C[N@@H+]1CCC=C(C)C1 ZINC000437342429 1122629564 /nfs/dbraw/zinc/62/95/64/1122629564.db2.gz IHVOKNUWLSEGNU-UHFFFAOYSA-N 1 2 296.208 3.610 20 0 CHADLO COc1cc(Br)ccc1C[N@H+]1CCC=C(C)C1 ZINC000437342429 1122629566 /nfs/dbraw/zinc/62/95/66/1122629566.db2.gz IHVOKNUWLSEGNU-UHFFFAOYSA-N 1 2 296.208 3.610 20 0 CHADLO CCc1cnc(C[NH2+][C@H](CC)c2ccc(C)c(F)c2)o1 ZINC001192566872 1122650970 /nfs/dbraw/zinc/65/09/70/1122650970.db2.gz VDEQHEIESQJWMM-OAHLLOKOSA-N 1 2 276.355 3.925 20 0 CHADLO CC(C)COc1ccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000437983765 1122670044 /nfs/dbraw/zinc/67/00/44/1122670044.db2.gz FWCZGPPTQIDUSP-QGZVFWFLSA-N 1 2 299.418 3.782 20 0 CHADLO CC(C)COc1ccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000437983765 1122670047 /nfs/dbraw/zinc/67/00/47/1122670047.db2.gz FWCZGPPTQIDUSP-QGZVFWFLSA-N 1 2 299.418 3.782 20 0 CHADLO C[N@H+](Cc1noc(C2CCCCC2)n1)CC(C)(C)C ZINC000438065157 1122673503 /nfs/dbraw/zinc/67/35/03/1122673503.db2.gz WCLQWIOHOXIXJV-UHFFFAOYSA-N 1 2 265.401 3.595 20 0 CHADLO C[N@@H+](Cc1noc(C2CCCCC2)n1)CC(C)(C)C ZINC000438065157 1122673507 /nfs/dbraw/zinc/67/35/07/1122673507.db2.gz WCLQWIOHOXIXJV-UHFFFAOYSA-N 1 2 265.401 3.595 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@@H+]1CCCC[C@@H](C)C1 ZINC001193516798 1122696183 /nfs/dbraw/zinc/69/61/83/1122696183.db2.gz HQYHAOKZUHMBDM-DOMZBBRYSA-N 1 2 295.810 3.676 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@H+]1CCCC[C@@H](C)C1 ZINC001193516798 1122696185 /nfs/dbraw/zinc/69/61/85/1122696185.db2.gz HQYHAOKZUHMBDM-DOMZBBRYSA-N 1 2 295.810 3.676 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)[C@H](C)[C@H](C)O1 ZINC000438371708 1122696921 /nfs/dbraw/zinc/69/69/21/1122696921.db2.gz BLEGMWBBROOPFQ-UPJWGTAASA-N 1 2 294.464 3.798 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)[C@H](C)[C@H](C)O1 ZINC000438371708 1122696924 /nfs/dbraw/zinc/69/69/24/1122696924.db2.gz BLEGMWBBROOPFQ-UPJWGTAASA-N 1 2 294.464 3.798 20 0 CHADLO Fc1ccc(/C=C\C[N@@H+]2CCO[C@@H](c3ccccc3)C2)cc1 ZINC000506340948 1122720266 /nfs/dbraw/zinc/72/02/66/1122720266.db2.gz OFFBZTUDELDKMM-PHWHVTFISA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc(/C=C\C[N@H+]2CCO[C@@H](c3ccccc3)C2)cc1 ZINC000506340948 1122720272 /nfs/dbraw/zinc/72/02/72/1122720272.db2.gz OFFBZTUDELDKMM-PHWHVTFISA-N 1 2 297.373 3.912 20 0 CHADLO C[C@H]1COCC[N@@H+]1Cc1ccccc1Oc1ccccc1 ZINC000506386157 1122729533 /nfs/dbraw/zinc/72/95/33/1122729533.db2.gz HHAPUTBTQIXJDR-HNNXBMFYSA-N 1 2 283.371 3.700 20 0 CHADLO C[C@H]1COCC[N@H+]1Cc1ccccc1Oc1ccccc1 ZINC000506386157 1122729535 /nfs/dbraw/zinc/72/95/35/1122729535.db2.gz HHAPUTBTQIXJDR-HNNXBMFYSA-N 1 2 283.371 3.700 20 0 CHADLO CSCc1cc(F)ccc1C[NH2+][C@H](C)c1cc(C)on1 ZINC000438841214 1122731516 /nfs/dbraw/zinc/73/15/16/1122731516.db2.gz ZBEHTDKLRSPVHJ-LLVKDONJSA-N 1 2 294.395 3.836 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](c3ccccc3)C[C@@H]2C)no1 ZINC000439332818 1122773043 /nfs/dbraw/zinc/77/30/43/1122773043.db2.gz SFTDMIKPRHPELV-GOEBONIOSA-N 1 2 299.418 3.961 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](c3ccccc3)C[C@@H]2C)no1 ZINC000439332818 1122773047 /nfs/dbraw/zinc/77/30/47/1122773047.db2.gz SFTDMIKPRHPELV-GOEBONIOSA-N 1 2 299.418 3.961 20 0 CHADLO CCCc1csc(C[N@@H+]2CCCC[C@@H]2c2cn[nH]c2)n1 ZINC000426413510 1122786877 /nfs/dbraw/zinc/78/68/77/1122786877.db2.gz XDYRXSODGDBDCW-CQSZACIVSA-N 1 2 290.436 3.546 20 0 CHADLO CCCc1csc(C[N@H+]2CCCC[C@@H]2c2cn[nH]c2)n1 ZINC000426413510 1122786881 /nfs/dbraw/zinc/78/68/81/1122786881.db2.gz XDYRXSODGDBDCW-CQSZACIVSA-N 1 2 290.436 3.546 20 0 CHADLO FC1(F)CSC2(C[NH+](Cc3cccc(Cl)c3)C2)C1 ZINC001203800768 1122797182 /nfs/dbraw/zinc/79/71/82/1122797182.db2.gz CDIURBPYIORUNX-UHFFFAOYSA-N 1 2 289.778 3.667 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2c(F)cccc2SC)o1 ZINC000894388553 1122806714 /nfs/dbraw/zinc/80/67/14/1122806714.db2.gz FTIGUUDSHCSZKE-UHFFFAOYSA-N 1 2 295.379 3.829 20 0 CHADLO Cc1ccc([C@@H](NCC[N@H+]2CCC[C@@H]2C)C2CCCC2)o1 ZINC000775634654 1122839849 /nfs/dbraw/zinc/83/98/49/1122839849.db2.gz YHTGIFMAPOYHIE-KSSFIOAISA-N 1 2 290.451 3.893 20 0 CHADLO Cc1ccc([C@@H](NCC[N@@H+]2CCC[C@@H]2C)C2CCCC2)o1 ZINC000775634654 1122839855 /nfs/dbraw/zinc/83/98/55/1122839855.db2.gz YHTGIFMAPOYHIE-KSSFIOAISA-N 1 2 290.451 3.893 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CCC[C@H]2c2ccncc2)n1 ZINC000894449085 1122854473 /nfs/dbraw/zinc/85/44/73/1122854473.db2.gz JOESYCSWMCIPNU-AWEZNQCLSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CCC[C@H]2c2ccncc2)n1 ZINC000894449085 1122854482 /nfs/dbraw/zinc/85/44/82/1122854482.db2.gz JOESYCSWMCIPNU-AWEZNQCLSA-N 1 2 285.391 3.704 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCCc3occc3C2)c1 ZINC000894527312 1122867745 /nfs/dbraw/zinc/86/77/45/1122867745.db2.gz MQYWAGPVWHEZCV-UHFFFAOYSA-N 1 2 276.767 3.585 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCCc3occc3C2)c1 ZINC000894527312 1122867751 /nfs/dbraw/zinc/86/77/51/1122867751.db2.gz MQYWAGPVWHEZCV-UHFFFAOYSA-N 1 2 276.767 3.585 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000679679334 1122869362 /nfs/dbraw/zinc/86/93/62/1122869362.db2.gz BCUSHUHBKPEWER-NXEZZACHSA-N 1 2 291.288 3.729 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000679679334 1122869367 /nfs/dbraw/zinc/86/93/67/1122869367.db2.gz BCUSHUHBKPEWER-NXEZZACHSA-N 1 2 291.288 3.729 20 0 CHADLO Cc1cc(NC[C@]2(C)CC2(F)F)nc(C2CCC2)[nH+]1 ZINC000894557410 1122872491 /nfs/dbraw/zinc/87/24/91/1122872491.db2.gz DKOUVONKYCVKAJ-ZDUSSCGKSA-N 1 2 267.323 3.510 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2c(Cl)cncc2Cl)C1 ZINC000880239195 1122899713 /nfs/dbraw/zinc/89/97/13/1122899713.db2.gz XENTUTZCSSAJMM-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2c(Cl)cncc2Cl)C1 ZINC000880239195 1122899717 /nfs/dbraw/zinc/89/97/17/1122899717.db2.gz XENTUTZCSSAJMM-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO Cc1cc(C)c(C[NH2+][C@@H]2CCCc3[nH]ncc32)c(C)c1 ZINC000336631628 1122904187 /nfs/dbraw/zinc/90/41/87/1122904187.db2.gz BONUHHQIJJSOCY-MRXNPFEDSA-N 1 2 269.392 3.502 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccns2)nc(C2CCC2)[nH+]1 ZINC000894815534 1122907686 /nfs/dbraw/zinc/90/76/86/1122907686.db2.gz OKFHFZLNVZNKEL-JTQLQIEISA-N 1 2 274.393 3.682 20 0 CHADLO Oc1ccc2cc(-c3cccn4cc[nH+]c34)ccc2c1 ZINC001205765203 1122914112 /nfs/dbraw/zinc/91/41/12/1122914112.db2.gz RDTAFGUBCMVGNZ-UHFFFAOYSA-N 1 2 260.296 3.860 20 0 CHADLO C[N@H+](Cc1c(F)ccc(O)c1F)[C@@H]1CCc2ccccc21 ZINC000507093311 1122923599 /nfs/dbraw/zinc/92/35/99/1122923599.db2.gz KGNWIHGDZSUMQN-OAHLLOKOSA-N 1 2 289.325 3.790 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(O)c1F)[C@@H]1CCc2ccccc21 ZINC000507093311 1122923602 /nfs/dbraw/zinc/92/36/02/1122923602.db2.gz KGNWIHGDZSUMQN-OAHLLOKOSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+]1CCOc2cc(F)ccc2C1 ZINC001196605044 1122926057 /nfs/dbraw/zinc/92/60/57/1122926057.db2.gz KVFIIEITTWKEQR-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+]1CCOc2cc(F)ccc2C1 ZINC001196605044 1122926061 /nfs/dbraw/zinc/92/60/61/1122926061.db2.gz KVFIIEITTWKEQR-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1coc(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)c1 ZINC000894835362 1122947306 /nfs/dbraw/zinc/94/73/06/1122947306.db2.gz STBXOUQUGLQLIE-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1coc(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)c1 ZINC000894835362 1122947309 /nfs/dbraw/zinc/94/73/09/1122947309.db2.gz STBXOUQUGLQLIE-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc3[nH+]ccn32)c(Cl)c1 ZINC000427357024 1122962358 /nfs/dbraw/zinc/96/23/58/1122962358.db2.gz KQCXVWOIHAKJHV-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO CC(C)[C@H](C)[N@H+](CC[C@H]1CCOC1)c1ccccc1 ZINC001197719707 1122967823 /nfs/dbraw/zinc/96/78/23/1122967823.db2.gz LGICLYFIRWCAFJ-HOTGVXAUSA-N 1 2 261.409 3.964 20 0 CHADLO CC(C)[C@H](C)[N@@H+](CC[C@H]1CCOC1)c1ccccc1 ZINC001197719707 1122967825 /nfs/dbraw/zinc/96/78/25/1122967825.db2.gz LGICLYFIRWCAFJ-HOTGVXAUSA-N 1 2 261.409 3.964 20 0 CHADLO Cc1ccc(NC(=S)Nc2ccc3[nH+]ccn3c2)c(C)c1 ZINC001197959268 1122975974 /nfs/dbraw/zinc/97/59/74/1122975974.db2.gz CWZWGCOBMIATNK-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO Fc1ccc(Oc2ccnc(C[NH2+]Cc3ccco3)c2)cc1 ZINC000342980635 1122983006 /nfs/dbraw/zinc/98/30/06/1122983006.db2.gz AKMYFXVPHDWEQX-UHFFFAOYSA-N 1 2 298.317 3.896 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CCC[N@@H+]1Cc1ccc(Cl)nc1 ZINC000775866208 1122996415 /nfs/dbraw/zinc/99/64/15/1122996415.db2.gz BQNNXTXYMVMEEJ-ONGXEEELSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CCC[N@H+]1Cc1ccc(Cl)nc1 ZINC000775866208 1122996420 /nfs/dbraw/zinc/99/64/20/1122996420.db2.gz BQNNXTXYMVMEEJ-ONGXEEELSA-N 1 2 292.732 3.898 20 0 CHADLO CCc1cc(NC(=S)Nc2ccc(C(C)C)cc2)cc[nH+]1 ZINC001198594937 1123000609 /nfs/dbraw/zinc/00/06/09/1123000609.db2.gz WWQBKRARYRIURB-UHFFFAOYSA-N 1 2 299.443 3.998 20 0 CHADLO O=C(/C=C/C1CCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000843763409 1123005386 /nfs/dbraw/zinc/00/53/86/1123005386.db2.gz IZTYOACOPZARSC-MDZDMXLPSA-N 1 2 281.359 3.557 20 0 CHADLO COC(=O)[C@]1([NH2+]CC2CC=CC2)CC[C@@H](C)c2ccccc21 ZINC001198718701 1123009106 /nfs/dbraw/zinc/00/91/06/1123009106.db2.gz LYQIMIKXEOMTKQ-KUHUBIRLSA-N 1 2 299.414 3.508 20 0 CHADLO COc1cccc2c(N(C)[C@H](C)CCSC)cc[nH+]c12 ZINC000440656380 1123025177 /nfs/dbraw/zinc/02/51/77/1123025177.db2.gz CHHQMNGQMUVMAP-GFCCVEGCSA-N 1 2 290.432 3.821 20 0 CHADLO Cc1cc(CNc2cccc(Cn3cccn3)c2)cc(C)[nH+]1 ZINC001199457802 1123030422 /nfs/dbraw/zinc/03/04/22/1123030422.db2.gz ODPCOGQIRLAVAW-UHFFFAOYSA-N 1 2 292.386 3.555 20 0 CHADLO CC[C@H](F)C[N@H+](C)Cc1ccccc1Br ZINC000440715044 1123033647 /nfs/dbraw/zinc/03/36/47/1123033647.db2.gz PSWUGTIVAFIAOK-NSHDSACASA-N 1 2 274.177 3.629 20 0 CHADLO CC[C@H](F)C[N@@H+](C)Cc1ccccc1Br ZINC000440715044 1123033652 /nfs/dbraw/zinc/03/36/52/1123033652.db2.gz PSWUGTIVAFIAOK-NSHDSACASA-N 1 2 274.177 3.629 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCc2cccc(Br)c2C1 ZINC000440786544 1123040540 /nfs/dbraw/zinc/04/05/40/1123040540.db2.gz SIHYWJDIKGAJEZ-LLVKDONJSA-N 1 2 286.188 3.555 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCc2cccc(Br)c2C1 ZINC000440786544 1123040544 /nfs/dbraw/zinc/04/05/44/1123040544.db2.gz SIHYWJDIKGAJEZ-LLVKDONJSA-N 1 2 286.188 3.555 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)cc2Cl)on1 ZINC000925094566 1123046725 /nfs/dbraw/zinc/04/67/25/1123046725.db2.gz PACVZVFKVCJEKJ-DTWKUNHWSA-N 1 2 298.745 3.888 20 0 CHADLO Nc1ccc(Nc2ccc3sc(Cl)nc3c2)c[nH+]1 ZINC001201286122 1123081435 /nfs/dbraw/zinc/08/14/35/1123081435.db2.gz WPKMQLUOUUFYLP-UHFFFAOYSA-N 1 2 276.752 3.671 20 0 CHADLO Nc1ccc2c(c1)Cc1cc(Nc3ccc(N)[nH+]c3)ccc1-2 ZINC001201287200 1123082119 /nfs/dbraw/zinc/08/21/19/1123082119.db2.gz YTWMQKDAALLIKJ-UHFFFAOYSA-N 1 2 288.354 3.561 20 0 CHADLO Cc1c(F)ccc(Nc2ccc(N)[nH+]c2)c1Cl ZINC001201291980 1123083802 /nfs/dbraw/zinc/08/38/02/1123083802.db2.gz RTOUIULWSWWCSA-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)n(-c2cccc(Cl)c2)n1 ZINC001201272769 1123084049 /nfs/dbraw/zinc/08/40/49/1123084049.db2.gz UTUPZCGJMOGGKH-UHFFFAOYSA-N 1 2 299.765 3.555 20 0 CHADLO Nc1ccc(Nc2ccc(Cl)c3cnccc23)c[nH+]1 ZINC001201292481 1123084096 /nfs/dbraw/zinc/08/40/96/1123084096.db2.gz OOCZKGBLGOQEPS-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO CC(=O)c1ccc(C(F)(F)F)cc1Nc1ccc(N)[nH+]c1 ZINC001201291784 1123084418 /nfs/dbraw/zinc/08/44/18/1123084418.db2.gz CIADJWSFTXWZSJ-UHFFFAOYSA-N 1 2 295.264 3.629 20 0 CHADLO CC(C)n1nc(-c2ccccc2)cc1Nc1ccc(N)[nH+]c1 ZINC001201278769 1123084754 /nfs/dbraw/zinc/08/47/54/1123084754.db2.gz FUVYZFQCHDWWDH-UHFFFAOYSA-N 1 2 293.374 3.852 20 0 CHADLO Nc1ccc(Nc2cc(F)c(OC3CCC3)c(F)c2)c[nH+]1 ZINC001201295179 1123084819 /nfs/dbraw/zinc/08/48/19/1123084819.db2.gz LPNGDWYDRMAHTA-UHFFFAOYSA-N 1 2 291.301 3.617 20 0 CHADLO Nc1ccc(Nc2cc(-c3ccccc3Cl)no2)c[nH+]1 ZINC001201276233 1123085440 /nfs/dbraw/zinc/08/54/40/1123085440.db2.gz QIMZWKZFJIJSSQ-UHFFFAOYSA-N 1 2 286.722 3.716 20 0 CHADLO Cc1n[nH]c(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2F)c1C ZINC000894940798 1123091450 /nfs/dbraw/zinc/09/14/50/1123091450.db2.gz JXDZCXQHRKDJJR-INIZCTEOSA-N 1 2 291.345 3.642 20 0 CHADLO Cc1n[nH]c(C[N@H+]2CCC[C@H]2c2ccc(F)cc2F)c1C ZINC000894940798 1123091454 /nfs/dbraw/zinc/09/14/54/1123091454.db2.gz JXDZCXQHRKDJJR-INIZCTEOSA-N 1 2 291.345 3.642 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1cc2cc(Cl)ccc2o1 ZINC000776162786 1123096773 /nfs/dbraw/zinc/09/67/73/1123096773.db2.gz LSKJKSNVNNYUHP-VIFPVBQESA-N 1 2 276.723 3.925 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnc3c(c2)ncn3C)cc1 ZINC001201488019 1123107607 /nfs/dbraw/zinc/10/76/07/1123107607.db2.gz NQAOARFBIHOFBY-UHFFFAOYSA-N 1 2 281.363 3.532 20 0 CHADLO CCOC(=O)c1ccncc1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201488459 1123107968 /nfs/dbraw/zinc/10/79/68/1123107968.db2.gz RAXJDSXJZANWGQ-UHFFFAOYSA-N 1 2 299.374 3.822 20 0 CHADLO Cc1cc(N)c2ccnc-2n1-c1ccc([NH2+]C(C)C)cc1 ZINC001201490643 1123109312 /nfs/dbraw/zinc/10/93/12/1123109312.db2.gz IGNOMQYDKOPSQH-UHFFFAOYSA-N 1 2 280.375 3.688 20 0 CHADLO Cc1nnc2ccc(Nc3ccc([NH2+]C(C)C)cc3)cn12 ZINC001201490714 1123109632 /nfs/dbraw/zinc/10/96/32/1123109632.db2.gz JWZAUVKAFCEDOF-UHFFFAOYSA-N 1 2 281.363 3.602 20 0 CHADLO CC(C)Oc1cncc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001201541229 1123116357 /nfs/dbraw/zinc/11/63/57/1123116357.db2.gz ONQMJWIFFXKDNW-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO C[C@@H]1[N@H+](Cc2cnc(C3CCCC3)s2)CCOC1(C)C ZINC000353910072 1123119654 /nfs/dbraw/zinc/11/96/54/1123119654.db2.gz FHMDUQJTIJVOLA-LBPRGKRZSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@@H]1[N@@H+](Cc2cnc(C3CCCC3)s2)CCOC1(C)C ZINC000353910072 1123119656 /nfs/dbraw/zinc/11/96/56/1123119656.db2.gz FHMDUQJTIJVOLA-LBPRGKRZSA-N 1 2 294.464 3.800 20 0 CHADLO c1csc(-c2ccnc(NCCCn3cc[nH+]c3)c2)c1 ZINC001161681996 1123123538 /nfs/dbraw/zinc/12/35/38/1123123538.db2.gz GCGWTSBYFZNJBF-UHFFFAOYSA-N 1 2 284.388 3.509 20 0 CHADLO c1cn(-c2ccc(Nc3ccc(C4CC4)nc3)cc2)c[nH+]1 ZINC001201713461 1123139192 /nfs/dbraw/zinc/13/91/92/1123139192.db2.gz VSMKDZKHNJIREB-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCS[C@H](C)[C@H]2C)cs1 ZINC000118415019 1123169266 /nfs/dbraw/zinc/16/92/66/1123169266.db2.gz ONOGBFZMQMAELF-GHMZBOCLSA-N 1 2 270.467 3.592 20 0 CHADLO C[C@@H](CCC(=O)Nc1cccc2[nH+]ccn21)c1ccccc1 ZINC000441483841 1123146696 /nfs/dbraw/zinc/14/66/96/1123146696.db2.gz WTIMRSSJHBZPBU-AWEZNQCLSA-N 1 2 293.370 3.857 20 0 CHADLO CC(C)c1nnc([C@H](C)[NH2+]Cc2cc3ccccc3o2)[nH]1 ZINC001201850522 1123156271 /nfs/dbraw/zinc/15/62/71/1123156271.db2.gz GWDKNIHMYIZOFZ-NSHDSACASA-N 1 2 284.363 3.525 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCS[C@H](C)[C@H]2C)cs1 ZINC000118415019 1123169264 /nfs/dbraw/zinc/16/92/64/1123169264.db2.gz ONOGBFZMQMAELF-GHMZBOCLSA-N 1 2 270.467 3.592 20 0 CHADLO COc1cc(C)ccc1CNc1[nH+]cccc1OC(C)C ZINC001202031347 1123172112 /nfs/dbraw/zinc/17/21/12/1123172112.db2.gz HIOLXEIPHXGJKL-UHFFFAOYSA-N 1 2 286.375 3.798 20 0 CHADLO COc1cccc2c(N[C@@H]3CCS[C@@H]3C)cc[nH+]c12 ZINC000645399162 1123173410 /nfs/dbraw/zinc/17/34/10/1123173410.db2.gz HHUBEOGOFODROK-ZYHUDNBSSA-N 1 2 274.389 3.549 20 0 CHADLO Cc1noc([C@H](C)[NH2+]C/C=C\c2c(C)cc(C)cc2C)n1 ZINC000639098492 1123177991 /nfs/dbraw/zinc/17/79/91/1123177991.db2.gz PYHNADZKULGJBV-AFNCTOJWSA-N 1 2 285.391 3.667 20 0 CHADLO Fc1ccc(F)c(C[NH2+][C@H]2CCCc3scnc32)c1 ZINC000623889558 1123179245 /nfs/dbraw/zinc/17/92/45/1123179245.db2.gz PVXSNAUZGISPBD-LBPRGKRZSA-N 1 2 280.343 3.589 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2csc(-c3ccco3)n2)[C@@H]1C ZINC000118420056 1123203066 /nfs/dbraw/zinc/20/30/66/1123203066.db2.gz IQPILFKYUZNBRY-GHMZBOCLSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2csc(-c3ccco3)n2)[C@@H]1C ZINC000118420056 1123203070 /nfs/dbraw/zinc/20/30/70/1123203070.db2.gz IQPILFKYUZNBRY-GHMZBOCLSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCSc2c(F)cccc21)c1ccon1 ZINC000349839208 1123211071 /nfs/dbraw/zinc/21/10/71/1123211071.db2.gz YTMRUHOEHHDQOS-TVQRCGJNSA-N 1 2 278.352 3.701 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CC3(CCC3)Oc3ccccc32)[nH]c1C ZINC000850186413 1123245052 /nfs/dbraw/zinc/24/50/52/1123245052.db2.gz PGBKEOSIEVZUFV-HNNXBMFYSA-N 1 2 297.402 3.563 20 0 CHADLO CCCOc1ccc(NCc2[nH]c(C)c(C)[nH+]2)c(C)c1 ZINC000850208714 1123247115 /nfs/dbraw/zinc/24/71/15/1123247115.db2.gz ZQRXEZIQUASGBD-UHFFFAOYSA-N 1 2 273.380 3.736 20 0 CHADLO CC[C@@]1(C)Oc2ccc(NCc3[nH]c(C)c(C)[nH+]3)cc2O1 ZINC000850270134 1123250825 /nfs/dbraw/zinc/25/08/25/1123250825.db2.gz SZATXIJZSKLHJV-INIZCTEOSA-N 1 2 287.363 3.536 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc3c2O[C@H](C)C3)c(C)[nH+]1 ZINC000334553346 1123251686 /nfs/dbraw/zinc/25/16/86/1123251686.db2.gz LUQOEQQPWICKCQ-GFCCVEGCSA-N 1 2 296.370 3.583 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(-c2cccs2)cc1 ZINC000850313089 1123253110 /nfs/dbraw/zinc/25/31/10/1123253110.db2.gz OAMPMBDEHMYJAB-UHFFFAOYSA-N 1 2 267.344 3.812 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnn(-c2ccccc2)c1)c1cscn1 ZINC000850511672 1123278166 /nfs/dbraw/zinc/27/81/66/1123278166.db2.gz KWVKGKRZLLAFJQ-QWHCGFSZSA-N 1 2 298.415 3.741 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335040380 1123289514 /nfs/dbraw/zinc/28/95/14/1123289514.db2.gz GZNSGVFPFIPZAO-BUXKBTBVSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335040380 1123289516 /nfs/dbraw/zinc/28/95/16/1123289516.db2.gz GZNSGVFPFIPZAO-BUXKBTBVSA-N 1 2 299.867 3.634 20 0 CHADLO CCc1nc(C[N@@H+]2CC3(CCCC3)OC(C)(C)C2)cs1 ZINC000190984996 1123313017 /nfs/dbraw/zinc/31/30/17/1123313017.db2.gz PEKVEGDZYZYSLK-UHFFFAOYSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1nc(C[N@H+]2CC3(CCCC3)OC(C)(C)C2)cs1 ZINC000190984996 1123313020 /nfs/dbraw/zinc/31/30/20/1123313020.db2.gz PEKVEGDZYZYSLK-UHFFFAOYSA-N 1 2 294.464 3.629 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C(C)(C)C)s2)CC2(CCC2)O1 ZINC000521437733 1123329842 /nfs/dbraw/zinc/32/98/42/1123329842.db2.gz CGPFPMMQLYFBRH-GFCCVEGCSA-N 1 2 294.464 3.584 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C(C)(C)C)s2)CC2(CCC2)O1 ZINC000521437733 1123329846 /nfs/dbraw/zinc/32/98/46/1123329846.db2.gz CGPFPMMQLYFBRH-GFCCVEGCSA-N 1 2 294.464 3.584 20 0 CHADLO FC(F)(F)c1cccnc1C[N@@H+]1CCC[C@H]1c1ccc[nH]1 ZINC000156602843 1129114481 /nfs/dbraw/zinc/11/44/81/1129114481.db2.gz YPMJVOOIQMSTAS-AWEZNQCLSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1cccnc1C[N@H+]1CCC[C@H]1c1ccc[nH]1 ZINC000156602843 1129114485 /nfs/dbraw/zinc/11/44/85/1129114485.db2.gz YPMJVOOIQMSTAS-AWEZNQCLSA-N 1 2 295.308 3.766 20 0 CHADLO CC[C@@H]([NH2+][C@H](COC)c1ccco1)c1ccc(F)cc1F ZINC000156644102 1129115081 /nfs/dbraw/zinc/11/50/81/1129115081.db2.gz MFECVDSSTZORIJ-HUUCEWRRSA-N 1 2 295.329 3.986 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2ccccc2SC)[nH]1 ZINC000442865896 1123343504 /nfs/dbraw/zinc/34/35/04/1123343504.db2.gz JBOFPJWIAQGXQA-QWRGUYRKSA-N 1 2 290.436 3.501 20 0 CHADLO FC(F)C1CCC(Nc2ccc(N3CCCC3)c[nH+]2)CC1 ZINC000442869481 1123344723 /nfs/dbraw/zinc/34/47/23/1123344723.db2.gz BFQNLPCTBIBYTP-UHFFFAOYSA-N 1 2 295.377 3.918 20 0 CHADLO C[C@H](CCSc1ccccc1)[N@H+](C)CC(F)F ZINC000156687862 1129115514 /nfs/dbraw/zinc/11/55/14/1129115514.db2.gz VWSCYQGHWRASSB-LLVKDONJSA-N 1 2 259.365 3.754 20 0 CHADLO C[C@H](CCSc1ccccc1)[N@@H+](C)CC(F)F ZINC000156687862 1129115517 /nfs/dbraw/zinc/11/55/17/1129115517.db2.gz VWSCYQGHWRASSB-LLVKDONJSA-N 1 2 259.365 3.754 20 0 CHADLO CCOC1(C)CCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000335347931 1123361687 /nfs/dbraw/zinc/36/16/87/1123361687.db2.gz DKKAZNDXWGOGER-UHFFFAOYSA-N 1 2 288.366 3.769 20 0 CHADLO Cc1ccccc1NC(=O)[C@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000335370577 1123366406 /nfs/dbraw/zinc/36/64/06/1123366406.db2.gz BIDUBYIDHHLAET-ZDUSSCGKSA-N 1 2 297.402 3.585 20 0 CHADLO Cc1ccccc1NC(=O)[C@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000335370577 1123366410 /nfs/dbraw/zinc/36/64/10/1123366410.db2.gz BIDUBYIDHHLAET-ZDUSSCGKSA-N 1 2 297.402 3.585 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+]2CC=C(c3ccc(C)cc3)CC2)[nH]1 ZINC000851714422 1123374079 /nfs/dbraw/zinc/37/40/79/1123374079.db2.gz RBBCTLVTXQXUGF-CQSZACIVSA-N 1 2 296.418 3.526 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+]2CC=C(c3ccc(C)cc3)CC2)[nH]1 ZINC000851714422 1123374081 /nfs/dbraw/zinc/37/40/81/1123374081.db2.gz RBBCTLVTXQXUGF-CQSZACIVSA-N 1 2 296.418 3.526 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2nsc3ccccc23)o1 ZINC000895174509 1123378060 /nfs/dbraw/zinc/37/80/60/1123378060.db2.gz GHYBPKMAXCYHHK-UHFFFAOYSA-N 1 2 288.372 3.578 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CCCc3occc32)c(C)[nH+]1 ZINC000335586423 1123379993 /nfs/dbraw/zinc/37/99/93/1123379993.db2.gz OTVXPUOGVAGWMB-CQSZACIVSA-N 1 2 299.374 3.799 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2ccccc2C)c(C)[nH+]1 ZINC000335591559 1123381166 /nfs/dbraw/zinc/38/11/66/1123381166.db2.gz XSDKWGGMDJZMEA-UHFFFAOYSA-N 1 2 269.348 3.959 20 0 CHADLO Cc1nocc1C[N@@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000335573500 1123381797 /nfs/dbraw/zinc/38/17/97/1123381797.db2.gz VIRPAAVILLSQGZ-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1nocc1C[N@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000335573500 1123381799 /nfs/dbraw/zinc/38/17/99/1123381799.db2.gz VIRPAAVILLSQGZ-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO C/C=C/c1ccc(NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000335694202 1123395961 /nfs/dbraw/zinc/39/59/61/1123395961.db2.gz UNQVEJOBUMUJKT-PMUGQKEBSA-N 1 2 281.359 3.501 20 0 CHADLO Clc1ncc(C[NH+]2CCC(c3ccco3)CC2)s1 ZINC000852324571 1123403615 /nfs/dbraw/zinc/40/36/15/1123403615.db2.gz VVNBYWIJWVILFV-UHFFFAOYSA-N 1 2 282.796 3.769 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ncc(C(C)C)o1)CC2 ZINC000852404936 1123404695 /nfs/dbraw/zinc/40/46/95/1123404695.db2.gz XCPPDZGAVWSFFE-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ncc(C(C)C)o1)CC2 ZINC000852404936 1123404697 /nfs/dbraw/zinc/40/46/97/1123404697.db2.gz XCPPDZGAVWSFFE-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398500138 1123407286 /nfs/dbraw/zinc/40/72/86/1123407286.db2.gz ZFXQJBMFLUTGMY-QWRGUYRKSA-N 1 2 261.394 3.512 20 0 CHADLO Cc1ccc(C[N@H+]2CC3(CCCC3)OC[C@@H]2C)c(Cl)n1 ZINC000852637354 1123410918 /nfs/dbraw/zinc/41/09/18/1123410918.db2.gz VQZSPATXAVEAQO-ZDUSSCGKSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1ccc(C[N@@H+]2CC3(CCCC3)OC[C@@H]2C)c(Cl)n1 ZINC000852637354 1123410920 /nfs/dbraw/zinc/41/09/20/1123410920.db2.gz VQZSPATXAVEAQO-ZDUSSCGKSA-N 1 2 294.826 3.577 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1csc(Cl)c1 ZINC000191246140 1123416009 /nfs/dbraw/zinc/41/60/09/1123416009.db2.gz QRHACZBKDFJJHF-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO CC1(C(=O)Nc2cccc(-c3[nH+]cc4n3CCCC4)c2)CC1 ZINC000335796365 1123432072 /nfs/dbraw/zinc/43/20/72/1123432072.db2.gz ZCTIDWWHYHMFGZ-UHFFFAOYSA-N 1 2 295.386 3.625 20 0 CHADLO CCc1onc(C)c1C[NH2+][C@H](c1nc(C)cs1)C1CC1 ZINC000930239504 1123446771 /nfs/dbraw/zinc/44/67/71/1123446771.db2.gz XBZVNKHMHXTJGW-AWEZNQCLSA-N 1 2 291.420 3.551 20 0 CHADLO Cc1[nH+]c2cc(OC/C(Cl)=C\Cl)ccc2n1C ZINC000853706512 1123448748 /nfs/dbraw/zinc/44/87/48/1123448748.db2.gz LMOUUNUGVDQFBC-RMKNXTFCSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1ccn2cc(C[N@@H+](C)Cc3cc(C)cc(F)c3)nc2c1 ZINC001143592898 1123479409 /nfs/dbraw/zinc/47/94/09/1123479409.db2.gz XMKSAKDPEKORSQ-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1ccn2cc(C[N@H+](C)Cc3cc(C)cc(F)c3)nc2c1 ZINC001143592898 1123479411 /nfs/dbraw/zinc/47/94/11/1123479411.db2.gz XMKSAKDPEKORSQ-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ncccc1F)c1ccc(F)cc1F ZINC000634681266 1129125286 /nfs/dbraw/zinc/12/52/86/1129125286.db2.gz MASYSQBNTIMSPL-MRXNPFEDSA-N 1 2 294.320 3.986 20 0 CHADLO C[C@H]([NH2+]Cc1cc2ccnc(Cl)c2s1)C(F)F ZINC000895725954 1123508963 /nfs/dbraw/zinc/50/89/63/1123508963.db2.gz VXKXBJOTKVTLTP-LURJTMIESA-N 1 2 276.739 3.693 20 0 CHADLO Cc1nc(N[C@@H](c2ccccn2)C2CC2)c2c([nH+]1)CCCC2 ZINC000895794094 1123514648 /nfs/dbraw/zinc/51/46/48/1123514648.db2.gz SDWKURANCQAVQO-QGZVFWFLSA-N 1 2 294.402 3.622 20 0 CHADLO O=C(c1cccc2[nH+]ccn21)N1CCCC2(CCCC2)CC1 ZINC000855364290 1123516028 /nfs/dbraw/zinc/51/60/28/1123516028.db2.gz NWEILJDQBOUXSX-UHFFFAOYSA-N 1 2 297.402 3.521 20 0 CHADLO C[N@H+](Cc1cc(-c2ccco2)on1)Cc1ccc(F)cc1 ZINC000053094685 1123525340 /nfs/dbraw/zinc/52/53/40/1123525340.db2.gz LHWUWYJJGVHDSS-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[N@@H+](Cc1cc(-c2ccco2)on1)Cc1ccc(F)cc1 ZINC000053094685 1123525342 /nfs/dbraw/zinc/52/53/42/1123525342.db2.gz LHWUWYJJGVHDSS-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[N@@H+](Cc1coc2ccc(F)cc12)Cc1nccs1 ZINC001141854672 1123530111 /nfs/dbraw/zinc/53/01/11/1123530111.db2.gz LNLXDTYNFGDCIB-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO C[N@H+](Cc1coc2ccc(F)cc12)Cc1nccs1 ZINC001141854672 1123530112 /nfs/dbraw/zinc/53/01/12/1123530112.db2.gz LNLXDTYNFGDCIB-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO CCC[C@H]1CCCC[N@@H+]1Cc1noc(Cc2ccccc2)n1 ZINC000542631033 1129128026 /nfs/dbraw/zinc/12/80/26/1129128026.db2.gz NWNVOAMCWOSCST-INIZCTEOSA-N 1 2 299.418 3.815 20 0 CHADLO CCC[C@H]1CCCC[N@H+]1Cc1noc(Cc2ccccc2)n1 ZINC000542631033 1129128030 /nfs/dbraw/zinc/12/80/30/1129128030.db2.gz NWNVOAMCWOSCST-INIZCTEOSA-N 1 2 299.418 3.815 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cnc(F)c(C)c1 ZINC000896032227 1123542591 /nfs/dbraw/zinc/54/25/91/1123542591.db2.gz YZIUJIANGBPYKO-UHFFFAOYSA-N 1 2 291.395 3.789 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@@H](C)c2nnc(C)s2)cc1 ZINC000274253804 1129130125 /nfs/dbraw/zinc/13/01/25/1129130125.db2.gz STBDCQDDOJOAOP-UWVGGRQHSA-N 1 2 293.461 3.980 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@H](C)c2nnc(C)s2)cc1 ZINC000274253802 1129130681 /nfs/dbraw/zinc/13/06/81/1129130681.db2.gz STBDCQDDOJOAOP-NXEZZACHSA-N 1 2 293.461 3.980 20 0 CHADLO CCCCc1noc(C[NH2+]C2(c3ccccc3)CCC2)n1 ZINC000064337708 1123577325 /nfs/dbraw/zinc/57/73/25/1123577325.db2.gz SVPULSDOWUPYPO-UHFFFAOYSA-N 1 2 285.391 3.581 20 0 CHADLO COc1ccc(F)c(C[NH2+][C@H](C)c2cccnc2Cl)c1 ZINC000857080125 1123584615 /nfs/dbraw/zinc/58/46/15/1123584615.db2.gz DLGDQSDHDVLLBU-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO COc1c(F)c(F)ccc1Nc1cccn2cc(C)[nH+]c12 ZINC001211887755 1123633813 /nfs/dbraw/zinc/63/38/13/1123633813.db2.gz WVMBEKSBFOXZPS-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Cn1c[nH+]cc1CNc1cccc(SC(F)(F)F)c1 ZINC000858318729 1123644308 /nfs/dbraw/zinc/64/43/08/1123644308.db2.gz QGFJEXXGVPOBAM-UHFFFAOYSA-N 1 2 287.310 3.644 20 0 CHADLO Cc1cc(N2CC[C@H](C)C[C@@H](C)C2)nc(C2CC2)[nH+]1 ZINC000443881793 1123647351 /nfs/dbraw/zinc/64/73/51/1123647351.db2.gz SSXJXQGETLFYHW-NWDGAFQWSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC001237699580 1129135827 /nfs/dbraw/zinc/13/58/27/1129135827.db2.gz XFJMFPYYGHODOB-BETUJISGSA-N 1 2 267.800 3.566 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC001237699580 1129135828 /nfs/dbraw/zinc/13/58/28/1129135828.db2.gz XFJMFPYYGHODOB-BETUJISGSA-N 1 2 267.800 3.566 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCc3cc(F)c(Cl)cc32)co1 ZINC000858424079 1123652776 /nfs/dbraw/zinc/65/27/76/1123652776.db2.gz SXUYVSJAMWNFNE-CQSZACIVSA-N 1 2 294.757 3.807 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2C[C@H](C)[C@H]2c2ccccc2)o1 ZINC000081573746 1129136268 /nfs/dbraw/zinc/13/62/68/1129136268.db2.gz FZWIJOQOLKOTRM-GUYCJALGSA-N 1 2 270.376 3.991 20 0 CHADLO CC(C)c1cnc(C[N@H+]2C[C@H](C)[C@H]2c2ccccc2)o1 ZINC000081573746 1129136270 /nfs/dbraw/zinc/13/62/70/1129136270.db2.gz FZWIJOQOLKOTRM-GUYCJALGSA-N 1 2 270.376 3.991 20 0 CHADLO Cc1cccc(CCCNc2nc(Cl)cs2)[nH+]1 ZINC000858541916 1123661658 /nfs/dbraw/zinc/66/16/58/1123661658.db2.gz PTLZGPIBMRYYFX-UHFFFAOYSA-N 1 2 267.785 3.545 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)s1 ZINC000346174072 1123669572 /nfs/dbraw/zinc/66/95/72/1123669572.db2.gz NHXPINPZAXIHBU-ZDUSSCGKSA-N 1 2 275.421 3.932 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)s1 ZINC000346174072 1123669573 /nfs/dbraw/zinc/66/95/73/1123669573.db2.gz NHXPINPZAXIHBU-ZDUSSCGKSA-N 1 2 275.421 3.932 20 0 CHADLO CCCCC[N@H+](Cn1ncsc1=S)C(C)C ZINC000116256363 1123669583 /nfs/dbraw/zinc/66/95/83/1123669583.db2.gz PBQUTTIEJLRVHT-UHFFFAOYSA-N 1 2 259.444 3.532 20 0 CHADLO CCCCC[N@@H+](Cn1ncsc1=S)C(C)C ZINC000116256363 1123669584 /nfs/dbraw/zinc/66/95/84/1123669584.db2.gz PBQUTTIEJLRVHT-UHFFFAOYSA-N 1 2 259.444 3.532 20 0 CHADLO CCn1c2ccccc2nc1[C@@H](C)Nc1cccc[nH+]1 ZINC000346216143 1123671159 /nfs/dbraw/zinc/67/11/59/1123671159.db2.gz HOARNEXQHJCXTK-GFCCVEGCSA-N 1 2 266.348 3.624 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cc(F)c(F)c1F)c1cncs1 ZINC000281156259 1123686504 /nfs/dbraw/zinc/68/65/04/1123686504.db2.gz PKNSGKJVDCDNIU-LURJTMIESA-N 1 2 290.285 3.550 20 0 CHADLO Fc1cc(C[N@@H+]2CCSC[C@H]2c2ccccc2)ccn1 ZINC000859143630 1123687201 /nfs/dbraw/zinc/68/72/01/1123687201.db2.gz WVZORSFXCMGQHD-HNNXBMFYSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1cc(C[N@H+]2CCSC[C@H]2c2ccccc2)ccn1 ZINC000859143630 1123687203 /nfs/dbraw/zinc/68/72/03/1123687203.db2.gz WVZORSFXCMGQHD-HNNXBMFYSA-N 1 2 288.391 3.511 20 0 CHADLO CC1=C(Br)C[N@H+]([C@H](C)c2ccccn2)CC1 ZINC000281266578 1123691727 /nfs/dbraw/zinc/69/17/27/1123691727.db2.gz VPQONQJGUOBDSD-LLVKDONJSA-N 1 2 281.197 3.517 20 0 CHADLO CC1=C(Br)C[N@@H+]([C@H](C)c2ccccn2)CC1 ZINC000281266578 1123691729 /nfs/dbraw/zinc/69/17/29/1123691729.db2.gz VPQONQJGUOBDSD-LLVKDONJSA-N 1 2 281.197 3.517 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000859300882 1123694011 /nfs/dbraw/zinc/69/40/11/1123694011.db2.gz FJGCQLLZSYTFPP-BBRMVZONSA-N 1 2 297.402 3.920 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000859300882 1123694013 /nfs/dbraw/zinc/69/40/13/1123694013.db2.gz FJGCQLLZSYTFPP-BBRMVZONSA-N 1 2 297.402 3.920 20 0 CHADLO O=C(/C=C/c1[nH]cc[nH+]1)c1ccc(Cl)cc1Cl ZINC000081974314 1129139356 /nfs/dbraw/zinc/13/93/56/1129139356.db2.gz BUTHUYHYRVILKB-ONEGZZNKSA-N 1 2 267.115 3.613 20 0 CHADLO Clc1nc(C[NH2+][C@H](c2ccccn2)C2CCC2)cs1 ZINC000859568283 1123701800 /nfs/dbraw/zinc/70/18/00/1123701800.db2.gz SXWRDTAOWJPKLB-ZDUSSCGKSA-N 1 2 293.823 3.823 20 0 CHADLO Clc1ccc(C[NH+](C2CC2)C2CC2)c(Cl)n1 ZINC000859626280 1123704135 /nfs/dbraw/zinc/70/41/35/1123704135.db2.gz ANBNEOCNRIVILY-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+]2CCC[C@H]2c2ccsc2)o1 ZINC000346655833 1123704715 /nfs/dbraw/zinc/70/47/15/1123704715.db2.gz OEFLOFRSZPRWKB-JQWIXIFHSA-N 1 2 277.393 3.592 20 0 CHADLO CCc1nnc([C@H](C)[N@H+]2CCC[C@H]2c2ccsc2)o1 ZINC000346655833 1123704720 /nfs/dbraw/zinc/70/47/20/1123704720.db2.gz OEFLOFRSZPRWKB-JQWIXIFHSA-N 1 2 277.393 3.592 20 0 CHADLO Cc1cc(N(C)Cc2ccc(F)cc2F)nc(C2CC2)[nH+]1 ZINC000346672216 1123705776 /nfs/dbraw/zinc/70/57/76/1123705776.db2.gz MZDCIKDEZUFJDB-UHFFFAOYSA-N 1 2 289.329 3.577 20 0 CHADLO CCC[C@H](C)CCCOC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000859729168 1123707319 /nfs/dbraw/zinc/70/73/19/1123707319.db2.gz BPHVTMQFPYDYSK-UONOGXRCSA-N 1 2 266.385 3.594 20 0 CHADLO COc1cccc2c1C[N@H+](CC[C@@H]1CC1(Cl)Cl)C2 ZINC000859939870 1123716682 /nfs/dbraw/zinc/71/66/82/1123716682.db2.gz RWOHZRGIZLXIIX-LLVKDONJSA-N 1 2 286.202 3.595 20 0 CHADLO COc1cccc2c1C[N@@H+](CC[C@@H]1CC1(Cl)Cl)C2 ZINC000859939870 1123716687 /nfs/dbraw/zinc/71/66/87/1123716687.db2.gz RWOHZRGIZLXIIX-LLVKDONJSA-N 1 2 286.202 3.595 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccns1)c1nc2ccccc2o1 ZINC000860059266 1123720663 /nfs/dbraw/zinc/72/06/63/1123720663.db2.gz XRUIBLCQRLVIDD-VHSXEESVSA-N 1 2 273.361 3.696 20 0 CHADLO CCCn1cc[nH+]c1CCCN(C)C(=O)CC(CC)CC ZINC000346966813 1123737535 /nfs/dbraw/zinc/73/75/35/1123737535.db2.gz UNKZHTUPLCZWNV-UHFFFAOYSA-N 1 2 293.455 3.510 20 0 CHADLO c1sc(C[N@@H+]2CCC[C@@H]2c2cccnc2)nc1C1CC1 ZINC000346968659 1123737907 /nfs/dbraw/zinc/73/79/07/1123737907.db2.gz LKWKQKCEOSVFDG-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO c1sc(C[N@H+]2CCC[C@@H]2c2cccnc2)nc1C1CC1 ZINC000346968659 1123737909 /nfs/dbraw/zinc/73/79/09/1123737909.db2.gz LKWKQKCEOSVFDG-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO Cc1nocc1C[NH2+][C@H]1COc2c1ccc(Cl)c2Cl ZINC000336359410 1123765342 /nfs/dbraw/zinc/76/53/42/1123765342.db2.gz VJUCMUQCHVHBQW-NSHDSACASA-N 1 2 299.157 3.513 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(C)cc2)cs1 ZINC000042623989 1123787811 /nfs/dbraw/zinc/78/78/11/1123787811.db2.gz HZKXZYFKCDKQTP-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(C)cc2)cs1 ZINC000042623989 1123787814 /nfs/dbraw/zinc/78/78/14/1123787814.db2.gz HZKXZYFKCDKQTP-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@H]2c2nc3ccccc3s2)o1 ZINC000862212527 1123792569 /nfs/dbraw/zinc/79/25/69/1123792569.db2.gz JFKXVAAOLURKMH-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@H]2c2nc3ccccc3s2)o1 ZINC000862212527 1123792570 /nfs/dbraw/zinc/79/25/70/1123792570.db2.gz JFKXVAAOLURKMH-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO COc1ccc(CC[C@@H](C)Nc2c[nH+]ccc2OC)cc1 ZINC000777254512 1123800997 /nfs/dbraw/zinc/80/09/97/1123800997.db2.gz LCZLSWQVPYSOLF-CYBMUJFWSA-N 1 2 286.375 3.532 20 0 CHADLO CC1(C(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)CCCC1 ZINC000339037176 1123809192 /nfs/dbraw/zinc/80/91/92/1123809192.db2.gz XGBRUJRAFMFPDE-UHFFFAOYSA-N 1 2 287.338 3.530 20 0 CHADLO CC(C)(C)[NH+]1CC(Oc2nc(-c3ccccc3)cs2)C1 ZINC001227690861 1123855222 /nfs/dbraw/zinc/85/52/22/1123855222.db2.gz POZAYJQVUQZEJX-UHFFFAOYSA-N 1 2 288.416 3.672 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2nc(C3CCC3)no2)c1C ZINC000639564664 1123863227 /nfs/dbraw/zinc/86/32/27/1123863227.db2.gz AZLRZWUILZPMLJ-ZDUSSCGKSA-N 1 2 285.391 3.805 20 0 CHADLO C[C@]1(c2ccccc2)CCCN(c2cccc[nH+]2)C1 ZINC000301289250 1123887635 /nfs/dbraw/zinc/88/76/35/1123887635.db2.gz PKMNPXCQPPRNMD-KRWDZBQOSA-N 1 2 252.361 3.640 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1ccc(C(F)(F)F)s1 ZINC000717911145 1129151948 /nfs/dbraw/zinc/15/19/48/1129151948.db2.gz KCXCEUDYBZKZCX-SSDOTTSWSA-N 1 2 276.283 3.606 20 0 CHADLO Nc1cc(CSc2ccc3ccccc3n2)cc[nH+]1 ZINC000193052957 1123891674 /nfs/dbraw/zinc/89/16/74/1123891674.db2.gz QDWWCQUASOZCMW-UHFFFAOYSA-N 1 2 267.357 3.504 20 0 CHADLO CCCOc1cc(C)ccc1CNc1cccc[nH+]1 ZINC000301556433 1123911780 /nfs/dbraw/zinc/91/17/80/1123911780.db2.gz ISHKQJZCEZFYSG-UHFFFAOYSA-N 1 2 256.349 3.791 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1nc(C)no1 ZINC000621280684 1129158079 /nfs/dbraw/zinc/15/80/79/1129158079.db2.gz WCQVDYNDDLOQPB-ZDUSSCGKSA-N 1 2 279.771 3.615 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1nc(C)no1 ZINC000621280684 1129158081 /nfs/dbraw/zinc/15/80/81/1129158081.db2.gz WCQVDYNDDLOQPB-ZDUSSCGKSA-N 1 2 279.771 3.615 20 0 CHADLO Cc1cc(N2CCC[C@H]3CCC[C@H]32)nc(C(C)C)[nH+]1 ZINC000301639959 1123919425 /nfs/dbraw/zinc/91/94/25/1123919425.db2.gz GEPFOAPHHGSULE-ZIAGYGMSSA-N 1 2 259.397 3.677 20 0 CHADLO CC[C@H](c1ccncc1)N(C)c1cc(C)[nH+]c(C(C)C)n1 ZINC000301670178 1123922543 /nfs/dbraw/zinc/92/25/43/1123922543.db2.gz PZZFLTDTZMOPKH-OAHLLOKOSA-N 1 2 284.407 3.891 20 0 CHADLO Cc1nc(N2C[C@@H](c3ccc(F)cc3)C[C@H]2C)c(C)c(C)[nH+]1 ZINC000301715347 1123926767 /nfs/dbraw/zinc/92/67/67/1123926767.db2.gz ZXQKPVDGZIHVFP-BZNIZROVSA-N 1 2 299.393 3.923 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@](C)(O)c1cccs1 ZINC000301731423 1123927564 /nfs/dbraw/zinc/92/75/64/1123927564.db2.gz SPSTVLREKZOULG-QGZVFWFLSA-N 1 2 298.411 3.924 20 0 CHADLO CC(C)c1cc(N(C)C[C@H]2CCCCO2)nc(C(C)C)[nH+]1 ZINC000301798241 1123934253 /nfs/dbraw/zinc/93/42/53/1123934253.db2.gz AXNYVCIMEOUXMK-CQSZACIVSA-N 1 2 291.439 3.729 20 0 CHADLO CC[C@H](C)[C@@H](O)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301867821 1123939147 /nfs/dbraw/zinc/93/91/47/1123939147.db2.gz NUTVSRXBXCEDMR-JSGCOSHPSA-N 1 2 279.428 3.542 20 0 CHADLO CCc1ccc(CNc2ccc([NH+](C)C)c(C)c2)o1 ZINC000120186545 1123941106 /nfs/dbraw/zinc/94/11/06/1123941106.db2.gz FQHANEYCYKRIMU-UHFFFAOYSA-N 1 2 258.365 3.829 20 0 CHADLO CCOc1ccc(C2=CCN(c3cccc[nH+]3)CC2)cc1 ZINC000301937555 1123944774 /nfs/dbraw/zinc/94/47/74/1123944774.db2.gz SYIIDXMTXNWHQV-UHFFFAOYSA-N 1 2 280.371 3.774 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC1C[C@@H](C)O[C@H](C)C1 ZINC000301983939 1123948201 /nfs/dbraw/zinc/94/82/01/1123948201.db2.gz YMIIPQLEUADETM-CHWSQXEVSA-N 1 2 270.376 3.911 20 0 CHADLO CCc1cc(N(Cc2ccccc2OC)C2CC2)nc(C)[nH+]1 ZINC000302061147 1123952723 /nfs/dbraw/zinc/95/27/23/1123952723.db2.gz SYGOUJYBYVPIGR-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO COc1ncccc1C[NH2+][C@@H](C)c1nc2ccccc2s1 ZINC000037650438 1129155935 /nfs/dbraw/zinc/15/59/35/1129155935.db2.gz AWTFEZDJIAPWTE-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO Fc1ccc(CC2CCN(c3cccc[nH+]3)CC2)cc1F ZINC000302229334 1123963096 /nfs/dbraw/zinc/96/30/96/1123963096.db2.gz WDRCOQHKDXEEOS-UHFFFAOYSA-N 1 2 288.341 3.819 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1CCC(C)(C)O1 ZINC000302454988 1123969640 /nfs/dbraw/zinc/96/96/40/1123969640.db2.gz SXBSNOFTSTUVRZ-AWEZNQCLSA-N 1 2 270.376 3.913 20 0 CHADLO COc1cccc2c(NC[C@@]3(C)CCCS3)cc[nH+]c12 ZINC000302524611 1123970996 /nfs/dbraw/zinc/97/09/96/1123970996.db2.gz JSPGPYQCOBZLEI-MRXNPFEDSA-N 1 2 288.416 3.941 20 0 CHADLO COc1cccc2c(NC[C@@H]3CCC(C)(C)O3)cc[nH+]c12 ZINC000302573081 1123973012 /nfs/dbraw/zinc/97/30/12/1123973012.db2.gz ZORXNCPMYBSVGK-LBPRGKRZSA-N 1 2 286.375 3.613 20 0 CHADLO COc1cccc2c(NCc3scnc3C)cc[nH+]c12 ZINC000302561690 1123973156 /nfs/dbraw/zinc/97/31/56/1123973156.db2.gz UQKSNIKPCWLFNF-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO Clc1ccccc1O[C@H]1CCCN(c2cccc[nH+]2)C1 ZINC000302656509 1123976791 /nfs/dbraw/zinc/97/67/91/1123976791.db2.gz KVXCNIGZSDLXMU-ZDUSSCGKSA-N 1 2 288.778 3.783 20 0 CHADLO Cc1cc(NC[C@@](C)(O)C2CCCCC2)nc(C(C)C)[nH+]1 ZINC000302713843 1123979484 /nfs/dbraw/zinc/97/94/84/1123979484.db2.gz LQFARODNCINTSG-QGZVFWFLSA-N 1 2 291.439 3.652 20 0 CHADLO Fc1cccc2cc(C[NH2+][C@@H]3CCCC3(F)F)cnc12 ZINC000865568976 1123996371 /nfs/dbraw/zinc/99/63/71/1123996371.db2.gz GHEBLPFNKRFAIW-CYBMUJFWSA-N 1 2 280.293 3.651 20 0 CHADLO COCc1nc(C[NH2+]Cc2cccc(Cl)c2C)cs1 ZINC000865573528 1123996381 /nfs/dbraw/zinc/99/63/81/1123996381.db2.gz JSAOCMXINOARLS-UHFFFAOYSA-N 1 2 296.823 3.541 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1cncc(Cl)c1 ZINC000621275592 1129159934 /nfs/dbraw/zinc/15/99/34/1129159934.db2.gz ACLPELHJNDXMQT-KOLCDFICSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1cncc(Cl)c1 ZINC000621275592 1129159936 /nfs/dbraw/zinc/15/99/36/1129159936.db2.gz ACLPELHJNDXMQT-KOLCDFICSA-N 1 2 292.732 3.898 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@H]3CSC[C@@H]3C2)c1 ZINC000866202256 1124037886 /nfs/dbraw/zinc/03/78/86/1124037886.db2.gz TYCZZLMQXGSWII-STQMWFEESA-N 1 2 288.391 3.563 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)cc1 ZINC000866217689 1124038400 /nfs/dbraw/zinc/03/84/00/1124038400.db2.gz OKEKHTDECCJMBF-DOTOQJQBSA-N 1 2 271.335 3.556 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)ccc1F ZINC000866220156 1124038514 /nfs/dbraw/zinc/03/85/14/1124038514.db2.gz CAWOTKMTIKRMQF-NVXWUHKLSA-N 1 2 289.325 3.696 20 0 CHADLO Cc1ccsc1C[NH2+][C@H]1c2ccccc2OC[C@@H]1F ZINC000866242995 1124040565 /nfs/dbraw/zinc/04/05/65/1124040565.db2.gz VEOIHTLGXSPVJW-WFASDCNBSA-N 1 2 277.364 3.618 20 0 CHADLO C[N@@H+](Cc1nc(C2CCCCC2)cs1)C[C@H]1CCCO1 ZINC000444583294 1124044985 /nfs/dbraw/zinc/04/49/85/1124044985.db2.gz NERDPHAZBLXUDW-CQSZACIVSA-N 1 2 294.464 3.802 20 0 CHADLO C[N@H+](Cc1nc(C2CCCCC2)cs1)C[C@H]1CCCO1 ZINC000444583294 1124044989 /nfs/dbraw/zinc/04/49/89/1124044989.db2.gz NERDPHAZBLXUDW-CQSZACIVSA-N 1 2 294.464 3.802 20 0 CHADLO CC(C)[C@H]1CCC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000444764072 1124060820 /nfs/dbraw/zinc/06/08/20/1124060820.db2.gz AQQZBNHJWIJVJZ-HNNXBMFYSA-N 1 2 291.439 3.985 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000444764072 1124060823 /nfs/dbraw/zinc/06/08/23/1124060823.db2.gz AQQZBNHJWIJVJZ-HNNXBMFYSA-N 1 2 291.439 3.985 20 0 CHADLO CCc1cnc(C[NH2+][C@@H]2CCc3c2nccc3Cl)s1 ZINC000925163933 1124072595 /nfs/dbraw/zinc/07/25/95/1124072595.db2.gz LSMCGUJQHZAGML-GFCCVEGCSA-N 1 2 293.823 3.531 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1noc2cc(F)ccc12 ZINC000866611413 1124088671 /nfs/dbraw/zinc/08/86/71/1124088671.db2.gz UNDZSJBETJRTCR-NSHDSACASA-N 1 2 299.349 3.775 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1noc2cc(F)ccc12 ZINC000866611412 1124088717 /nfs/dbraw/zinc/08/87/17/1124088717.db2.gz UNDZSJBETJRTCR-LLVKDONJSA-N 1 2 299.349 3.775 20 0 CHADLO Cc1ccc(SCc2c[nH+]cn2Cc2ccccc2)nc1 ZINC000445591891 1124092188 /nfs/dbraw/zinc/09/21/88/1124092188.db2.gz JEVMZFUNMDLQSR-UHFFFAOYSA-N 1 2 295.411 3.927 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cncc(Cl)c1)CC2 ZINC000621315436 1129166643 /nfs/dbraw/zinc/16/66/43/1129166643.db2.gz IULMVQFRCOAANT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cncc(Cl)c1)CC2 ZINC000621315436 1129166645 /nfs/dbraw/zinc/16/66/45/1129166645.db2.gz IULMVQFRCOAANT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(CSCCn2cc[nH+]c2)c(Cl)c1 ZINC000446707293 1124118216 /nfs/dbraw/zinc/11/82/16/1124118216.db2.gz QXNYRLKWBVSORR-UHFFFAOYSA-N 1 2 266.797 3.778 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2nnc(C(C)C)[nH]2)c1 ZINC000428453384 1124123314 /nfs/dbraw/zinc/12/33/14/1124123314.db2.gz AKVMSWLOPVGADQ-HNNXBMFYSA-N 1 2 284.407 3.574 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)c1 ZINC000428453384 1124123316 /nfs/dbraw/zinc/12/33/16/1124123316.db2.gz AKVMSWLOPVGADQ-HNNXBMFYSA-N 1 2 284.407 3.574 20 0 CHADLO CCCc1nc(C)c(C[N@H+](C)Cc2ccccc2F)o1 ZINC000428467939 1124132022 /nfs/dbraw/zinc/13/20/22/1124132022.db2.gz LLDOZKDSZLCHRP-UHFFFAOYSA-N 1 2 276.355 3.707 20 0 CHADLO CCCc1nc(C)c(C[N@@H+](C)Cc2ccccc2F)o1 ZINC000428467939 1124132023 /nfs/dbraw/zinc/13/20/23/1124132023.db2.gz LLDOZKDSZLCHRP-UHFFFAOYSA-N 1 2 276.355 3.707 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CC[N@@H+]2C2CCCC2)c(Cl)c1 ZINC000635068948 1129171811 /nfs/dbraw/zinc/17/18/11/1129171811.db2.gz NJSAVVGVTRLCQI-HNNXBMFYSA-N 1 2 292.810 3.604 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CC[N@H+]2C2CCCC2)c(Cl)c1 ZINC000635068948 1129171814 /nfs/dbraw/zinc/17/18/14/1129171814.db2.gz NJSAVVGVTRLCQI-HNNXBMFYSA-N 1 2 292.810 3.604 20 0 CHADLO CC(C)C[C@H](C(=O)Nc1cccc(Cl)c1)n1cc[nH+]c1 ZINC000635060365 1129172327 /nfs/dbraw/zinc/17/23/27/1129172327.db2.gz FWVYFSBCNFHNDF-CQSZACIVSA-N 1 2 291.782 3.762 20 0 CHADLO CC(C)(C)c1cn(Cc2ccc(F)c(F)c2)c[nH+]1 ZINC001118985764 1131244929 /nfs/dbraw/zinc/24/49/29/1131244929.db2.gz DJQADFAKBNNEHA-UHFFFAOYSA-N 1 2 250.292 3.507 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2coc(C3CC3)n2)c(C)o1 ZINC001119248762 1131248224 /nfs/dbraw/zinc/24/82/24/1131248224.db2.gz PJJKULZHULRRLD-SNVBAGLBSA-N 1 2 260.337 3.613 20 0 CHADLO c1cn(Cc2ccc(-c3ccc(C4CC4)cc3)nc2)c[nH+]1 ZINC001238831810 1131252518 /nfs/dbraw/zinc/25/25/18/1131252518.db2.gz OTHMLWPDHXKNNN-UHFFFAOYSA-N 1 2 275.355 3.871 20 0 CHADLO CC[C@H]1c2ccccc2CN1c1cc(N2CCCC2)[nH+]cn1 ZINC000897354027 1124470543 /nfs/dbraw/zinc/47/05/43/1124470543.db2.gz IBBGMKXZBOOVKG-INIZCTEOSA-N 1 2 294.402 3.548 20 0 CHADLO CC[C@H]1c2ccccc2CN1c1cc(N2CCCC2)nc[nH+]1 ZINC000897354027 1124470545 /nfs/dbraw/zinc/47/05/45/1124470545.db2.gz IBBGMKXZBOOVKG-INIZCTEOSA-N 1 2 294.402 3.548 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2C[C@H](C)O[C@H](C)[C@@H]2C)c1 ZINC000428491005 1124501163 /nfs/dbraw/zinc/50/11/63/1124501163.db2.gz RJOUIBZBDGYVKT-RWMBFGLXSA-N 1 2 299.361 3.594 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2C[C@H](C)O[C@H](C)[C@@H]2C)c1 ZINC000428491005 1124501166 /nfs/dbraw/zinc/50/11/66/1124501166.db2.gz RJOUIBZBDGYVKT-RWMBFGLXSA-N 1 2 299.361 3.594 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc2nc(C)ccc2c1 ZINC000526113101 1124508991 /nfs/dbraw/zinc/50/89/91/1124508991.db2.gz IRNKTEJOXPWTJZ-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO COc1ccc[nH+]c1N[C@H]1CC[C@@H](c2ccccc2)C1 ZINC000526113047 1124509345 /nfs/dbraw/zinc/50/93/45/1124509345.db2.gz ANNDIANTGWQSDE-CABCVRRESA-N 1 2 268.360 3.838 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(-c2ccco2)cc1F)c1cnccn1 ZINC000447797873 1124524775 /nfs/dbraw/zinc/52/47/75/1124524775.db2.gz OQBSSTFCTPFOOX-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1cnc(C(F)(F)F)s1 ZINC000447796882 1124524830 /nfs/dbraw/zinc/52/48/30/1124524830.db2.gz YWMBHCNHQNWQCO-UHFFFAOYSA-N 1 2 292.351 3.855 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1cc(F)ccc1Cl ZINC000527074843 1124548378 /nfs/dbraw/zinc/54/83/78/1124548378.db2.gz PGSSBOVZNWSBCP-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1cc(F)ccc1Cl ZINC000527074843 1124548382 /nfs/dbraw/zinc/54/83/82/1124548382.db2.gz PGSSBOVZNWSBCP-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO Cn1ncc(CNc2ccc([NH+]3CCCC3)cc2)c1C1CC1 ZINC001120020870 1131270423 /nfs/dbraw/zinc/27/04/23/1131270423.db2.gz VJLXBEQRURBWTC-UHFFFAOYSA-N 1 2 296.418 3.510 20 0 CHADLO C[C@@H](O)[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000449017149 1124630359 /nfs/dbraw/zinc/63/03/59/1124630359.db2.gz JGKOAAIZJVHUGG-SCZZXKLOSA-N 1 2 294.247 3.790 20 0 CHADLO C[C@@H](O)[C@@H]1CCCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000449017149 1124630361 /nfs/dbraw/zinc/63/03/61/1124630361.db2.gz JGKOAAIZJVHUGG-SCZZXKLOSA-N 1 2 294.247 3.790 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+](C)Cc1ccncc1F ZINC000449007462 1124631971 /nfs/dbraw/zinc/63/19/71/1124631971.db2.gz DGLZYVBFPALMAR-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+](C)Cc1ccncc1F ZINC000449007462 1124631973 /nfs/dbraw/zinc/63/19/73/1124631973.db2.gz DGLZYVBFPALMAR-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccnn2C2CCCC2)n1 ZINC000449116373 1124650634 /nfs/dbraw/zinc/65/06/34/1124650634.db2.gz LADNPXRTNVHRFM-LBPRGKRZSA-N 1 2 290.436 3.614 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1ncccc1Cl ZINC000528434408 1124665462 /nfs/dbraw/zinc/66/54/62/1124665462.db2.gz ZZUDHBCPVHBKOP-NSHDSACASA-N 1 2 276.767 3.594 20 0 CHADLO Cc1cc(N[C@H]2CCOC3(CCCC3)C2)ccc1[NH+](C)C ZINC000872059954 1124670028 /nfs/dbraw/zinc/67/00/28/1124670028.db2.gz MBHCVNABZGUURD-INIZCTEOSA-N 1 2 288.435 3.965 20 0 CHADLO Cc1cc([NH2+][C@H]2CCOC3(CCCC3)C2)ccc1N(C)C ZINC000872059954 1124670029 /nfs/dbraw/zinc/67/00/29/1124670029.db2.gz MBHCVNABZGUURD-INIZCTEOSA-N 1 2 288.435 3.965 20 0 CHADLO COc1cc(C)ccc1C[NH2+]Cc1nc(C2CC2)cs1 ZINC000449195737 1124670879 /nfs/dbraw/zinc/67/08/79/1124670879.db2.gz VOQIZYVHOLFLCR-UHFFFAOYSA-N 1 2 288.416 3.627 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2ncccc2Cl)C1 ZINC000528486272 1124679132 /nfs/dbraw/zinc/67/91/32/1124679132.db2.gz FOQYJEGERNJREA-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2ncccc2Cl)C1 ZINC000528486272 1124679136 /nfs/dbraw/zinc/67/91/36/1124679136.db2.gz FOQYJEGERNJREA-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO COCc1nc(C[NH2+][C@H](C)c2ccc(C)c(F)c2)cs1 ZINC000449372129 1124705260 /nfs/dbraw/zinc/70/52/60/1124705260.db2.gz COMZRPCKGRNRNR-LLVKDONJSA-N 1 2 294.395 3.588 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(OCC(F)(F)F)cc1)C(F)F ZINC000449358385 1124709809 /nfs/dbraw/zinc/70/98/09/1124709809.db2.gz FVAIOELQFMMJCX-LLVKDONJSA-N 1 2 297.267 3.761 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(F)c(OC)c1)C(F)F ZINC000449363673 1124711614 /nfs/dbraw/zinc/71/16/14/1124711614.db2.gz QETNSCNKZXHSEW-KCJUWKMLSA-N 1 2 261.287 3.529 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(OC(C)C)cc1)C(F)F ZINC000449363275 1124712020 /nfs/dbraw/zinc/71/20/20/1124712020.db2.gz PCACLDIPKSPZBU-CYBMUJFWSA-N 1 2 257.324 3.607 20 0 CHADLO CC[C@H]([NH2+]Cc1c(C)oc2ccccc21)C(F)F ZINC000449361920 1124712129 /nfs/dbraw/zinc/71/21/29/1124712129.db2.gz LTDZNDUZTHJEBA-LBPRGKRZSA-N 1 2 253.292 3.875 20 0 CHADLO Fc1cccc(F)c1-c1ncc(C[N@H+]2CC[C@@H](F)C2)s1 ZINC000449397476 1124713718 /nfs/dbraw/zinc/71/37/18/1124713718.db2.gz VHJZYVWVDZTHPY-SECBINFHSA-N 1 2 298.333 3.632 20 0 CHADLO Fc1cccc(F)c1-c1ncc(C[N@@H+]2CC[C@@H](F)C2)s1 ZINC000449397476 1124713727 /nfs/dbraw/zinc/71/37/27/1124713727.db2.gz VHJZYVWVDZTHPY-SECBINFHSA-N 1 2 298.333 3.632 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](C)C(C)(F)F)cc1OCC ZINC000449413839 1124720946 /nfs/dbraw/zinc/72/09/46/1124720946.db2.gz KHKKDVSZQWCZAT-NSHDSACASA-N 1 2 287.350 3.617 20 0 CHADLO F[C@@H]1CC[N@H+](Cc2ccc(Oc3ccccc3)o2)C1 ZINC000449392811 1124721874 /nfs/dbraw/zinc/72/18/74/1124721874.db2.gz LAPYPLZTIPMYJY-GFCCVEGCSA-N 1 2 261.296 3.616 20 0 CHADLO F[C@@H]1CC[N@@H+](Cc2ccc(Oc3ccccc3)o2)C1 ZINC000449392811 1124721888 /nfs/dbraw/zinc/72/18/88/1124721888.db2.gz LAPYPLZTIPMYJY-GFCCVEGCSA-N 1 2 261.296 3.616 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](C)C(C)(F)F)cc1OCC ZINC000449413838 1124722226 /nfs/dbraw/zinc/72/22/26/1124722226.db2.gz KHKKDVSZQWCZAT-LLVKDONJSA-N 1 2 287.350 3.617 20 0 CHADLO Cn1ccnc1[C@@H]1[N@H+](Cc2cccc3ccoc32)CC1(C)C ZINC000449412512 1124731656 /nfs/dbraw/zinc/73/16/56/1124731656.db2.gz FWKNENVMDBHXDT-INIZCTEOSA-N 1 2 295.386 3.749 20 0 CHADLO Cn1ccnc1[C@@H]1[N@@H+](Cc2cccc3ccoc32)CC1(C)C ZINC000449412512 1124731661 /nfs/dbraw/zinc/73/16/61/1124731661.db2.gz FWKNENVMDBHXDT-INIZCTEOSA-N 1 2 295.386 3.749 20 0 CHADLO CCCCOc1ncc(-c2cc[nH+]c(NC)c2)cc1C ZINC001238965893 1131280209 /nfs/dbraw/zinc/28/02/09/1131280209.db2.gz RCMQWZIMEVFGJD-UHFFFAOYSA-N 1 2 271.364 3.673 20 0 CHADLO CCOc1cc(C)c(-c2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC001238977745 1131283251 /nfs/dbraw/zinc/28/32/51/1131283251.db2.gz JPRCVIAEZYGELY-UHFFFAOYSA-N 1 2 293.370 3.701 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@H+](Cc1ccccn1)CC2 ZINC000528792614 1124807124 /nfs/dbraw/zinc/80/71/24/1124807124.db2.gz DZXNCOTUETWFFQ-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@@H+](Cc1ccccn1)CC2 ZINC000528792614 1124807130 /nfs/dbraw/zinc/80/71/30/1124807130.db2.gz DZXNCOTUETWFFQ-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2cccc(Cl)c2F)o1 ZINC000449613072 1124816909 /nfs/dbraw/zinc/81/69/09/1124816909.db2.gz QOSRWWABBOXSAD-UHFFFAOYSA-N 1 2 282.746 3.709 20 0 CHADLO CCc1ncc(C[NH2+]Cc2csc(Cl)c2Cl)o1 ZINC000449611178 1124818099 /nfs/dbraw/zinc/81/80/99/1124818099.db2.gz UNIJGRZEFLHDRY-UHFFFAOYSA-N 1 2 291.203 3.895 20 0 CHADLO COCc1ccc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)o1 ZINC000449638628 1124832009 /nfs/dbraw/zinc/83/20/09/1124832009.db2.gz LJXCDEIAHMHBAC-INIZCTEOSA-N 1 2 287.359 3.746 20 0 CHADLO COCc1ccc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)o1 ZINC000449638628 1124832016 /nfs/dbraw/zinc/83/20/16/1124832016.db2.gz LJXCDEIAHMHBAC-INIZCTEOSA-N 1 2 287.359 3.746 20 0 CHADLO CC(C)OC(=O)[C@H](C)[NH2+]C1CC(c2cccc(Cl)c2)C1 ZINC000449658099 1124833349 /nfs/dbraw/zinc/83/33/49/1124833349.db2.gz ACZIHLWGBHEQTP-ZOODHJKOSA-N 1 2 295.810 3.516 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cscn2)c2ccccc12 ZINC000120264640 1124909025 /nfs/dbraw/zinc/90/90/25/1124909025.db2.gz HRTIZKMHXTVCJA-UHFFFAOYSA-N 1 2 298.411 3.937 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cscn2)c2ccccc12 ZINC000120264640 1124909030 /nfs/dbraw/zinc/90/90/30/1124909030.db2.gz HRTIZKMHXTVCJA-UHFFFAOYSA-N 1 2 298.411 3.937 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2ccc(Cl)nc2)s1 ZINC000125708267 1124915702 /nfs/dbraw/zinc/91/57/02/1124915702.db2.gz NRQFBTSXMYVFHJ-UHFFFAOYSA-N 1 2 295.839 3.947 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2ccc(Cl)nc2)s1 ZINC000125708267 1124915707 /nfs/dbraw/zinc/91/57/07/1124915707.db2.gz NRQFBTSXMYVFHJ-UHFFFAOYSA-N 1 2 295.839 3.947 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000120519016 1124947456 /nfs/dbraw/zinc/94/74/56/1124947456.db2.gz YMXCDJLWCFUDDA-ZDUSSCGKSA-N 1 2 268.360 3.749 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1nc(Cl)cs1 ZINC000876546237 1124958326 /nfs/dbraw/zinc/95/83/26/1124958326.db2.gz UIHJMXCRKCPLAK-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1nc(Cl)cs1 ZINC000876546237 1124958330 /nfs/dbraw/zinc/95/83/30/1124958330.db2.gz UIHJMXCRKCPLAK-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(Cl)c2C)no1 ZINC000876598391 1124963618 /nfs/dbraw/zinc/96/36/18/1124963618.db2.gz VVABKTBNNDBKGH-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(Cl)c2C)no1 ZINC000876598391 1124963623 /nfs/dbraw/zinc/96/36/23/1124963623.db2.gz VVABKTBNNDBKGH-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO O=C(c1cccc(-c2cccc3[nH+]ccn32)c1)C(F)(F)F ZINC001239385677 1131322044 /nfs/dbraw/zinc/32/20/44/1131322044.db2.gz HZSNZXCEWWLKTK-UHFFFAOYSA-N 1 2 290.244 3.746 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+]CC1CCSCC1 ZINC000876820775 1124980749 /nfs/dbraw/zinc/98/07/49/1124980749.db2.gz UQFDTYYYXSEVGL-UHFFFAOYSA-N 1 2 276.449 3.842 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1NCC1CCSCC1 ZINC000876820775 1124980755 /nfs/dbraw/zinc/98/07/55/1124980755.db2.gz UQFDTYYYXSEVGL-UHFFFAOYSA-N 1 2 276.449 3.842 20 0 CHADLO c1cc(N2CCCCC2)ccc1[NH2+]CCC[C@@H]1CCO1 ZINC000876819105 1124981560 /nfs/dbraw/zinc/98/15/60/1124981560.db2.gz AGQSAOJENJXPMB-QGZVFWFLSA-N 1 2 274.408 3.658 20 0 CHADLO Cc1cc(NCc2cccc(Cl)c2N)ccc1[NH+](C)C ZINC000876844689 1124985438 /nfs/dbraw/zinc/98/54/38/1124985438.db2.gz GTKIALSMRMKTII-UHFFFAOYSA-N 1 2 289.810 3.909 20 0 CHADLO C[N@H+](Cc1ccsc1C(F)(F)F)Cc1ccccn1 ZINC000529544192 1124987681 /nfs/dbraw/zinc/98/76/81/1124987681.db2.gz UYJXUXRVJMMBAL-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1ccsc1C(F)(F)F)Cc1ccccn1 ZINC000529544192 1124987686 /nfs/dbraw/zinc/98/76/86/1124987686.db2.gz UYJXUXRVJMMBAL-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO Nc1ccc(Cl)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001159876290 1131323616 /nfs/dbraw/zinc/32/36/16/1131323616.db2.gz ODDODEYRAPIGAM-UHFFFAOYSA-N 1 2 258.712 3.542 20 0 CHADLO Clc1csc(C[NH2+][C@@H]2CCCc3occc32)n1 ZINC000876928902 1124991716 /nfs/dbraw/zinc/99/17/16/1124991716.db2.gz CYPUIIFXXMGRTC-SECBINFHSA-N 1 2 268.769 3.557 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1nc(Cl)cs1)CCO2 ZINC000877008547 1124996634 /nfs/dbraw/zinc/99/66/34/1124996634.db2.gz SYADNYSPCPPUGF-SNVBAGLBSA-N 1 2 298.770 3.549 20 0 CHADLO CCN(C)c1ccccc1C[NH2+]Cc1nc(Cl)cs1 ZINC000877012869 1124998048 /nfs/dbraw/zinc/99/80/48/1124998048.db2.gz GVBAQSYGQLUOCV-UHFFFAOYSA-N 1 2 295.839 3.542 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc3c(ccnc3Cl)o2)C[C@H]1F ZINC000877166031 1125017341 /nfs/dbraw/zinc/01/73/41/1125017341.db2.gz VBBCFVQIRSMCHR-BXKDBHETSA-N 1 2 282.746 3.661 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc3c(ccnc3Cl)o2)C[C@H]1F ZINC000877166031 1125017348 /nfs/dbraw/zinc/01/73/48/1125017348.db2.gz VBBCFVQIRSMCHR-BXKDBHETSA-N 1 2 282.746 3.661 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2nc3ccccc3o2)C1 ZINC000302737813 1125026085 /nfs/dbraw/zinc/02/60/85/1125026085.db2.gz GYRVJIJSNOXTFX-SNVBAGLBSA-N 1 2 284.281 3.602 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2nc3ccccc3o2)C1 ZINC000302737813 1125026094 /nfs/dbraw/zinc/02/60/94/1125026094.db2.gz GYRVJIJSNOXTFX-SNVBAGLBSA-N 1 2 284.281 3.602 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(-c3cccs3)no2)cc1 ZINC000302734805 1125026259 /nfs/dbraw/zinc/02/62/59/1125026259.db2.gz BONBEYPZNYJJFY-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(-c3cccs3)no2)cc1 ZINC000302734805 1125026268 /nfs/dbraw/zinc/02/62/68/1125026268.db2.gz BONBEYPZNYJJFY-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000121048032 1125034113 /nfs/dbraw/zinc/03/41/13/1125034113.db2.gz MBHNZSLXMQMKOH-LRDDRELGSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000121048032 1125034120 /nfs/dbraw/zinc/03/41/20/1125034120.db2.gz MBHNZSLXMQMKOH-LRDDRELGSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000121048151 1125034442 /nfs/dbraw/zinc/03/44/42/1125034442.db2.gz MBHNZSLXMQMKOH-WBMJQRKESA-N 1 2 275.323 3.553 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000121048151 1125034450 /nfs/dbraw/zinc/03/44/50/1125034450.db2.gz MBHNZSLXMQMKOH-WBMJQRKESA-N 1 2 275.323 3.553 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2nc(Cl)cs2)c2ccccc2O1 ZINC000877561668 1125044387 /nfs/dbraw/zinc/04/43/87/1125044387.db2.gz JIKGAMDGXGCKGM-MWLCHTKSSA-N 1 2 294.807 3.798 20 0 CHADLO Fc1cc2[nH+]ccc(N3CC[C@H](F)C3)c2cc1Cl ZINC000450605968 1125065261 /nfs/dbraw/zinc/06/52/61/1125065261.db2.gz RTPCURZVNJWQPZ-QMMMGPOBSA-N 1 2 268.694 3.576 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3ccc(Cl)c(C)c3C2)o1 ZINC000877774599 1125081072 /nfs/dbraw/zinc/08/10/72/1125081072.db2.gz LCGHOQUQLVFAFI-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3ccc(Cl)c(C)c3C2)o1 ZINC000877774599 1125081077 /nfs/dbraw/zinc/08/10/77/1125081077.db2.gz LCGHOQUQLVFAFI-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)Nc3ccc(C)cc3C)ccn12 ZINC001124224633 1131331635 /nfs/dbraw/zinc/33/16/35/1131331635.db2.gz ZRCWTUMUDHZXGZ-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO FC(F)(F)[C@H]1CC[N@H+](Cc2cc(Cl)cs2)CCO1 ZINC000877821721 1125087796 /nfs/dbraw/zinc/08/77/96/1125087796.db2.gz ZXHRRZRLUCYILU-SNVBAGLBSA-N 1 2 299.745 3.555 20 0 CHADLO FC(F)(F)[C@H]1CC[N@@H+](Cc2cc(Cl)cs2)CCO1 ZINC000877821721 1125087804 /nfs/dbraw/zinc/08/78/04/1125087804.db2.gz ZXHRRZRLUCYILU-SNVBAGLBSA-N 1 2 299.745 3.555 20 0 CHADLO Clc1ncsc1C[N@@H+]1CCCc2sccc2C1 ZINC000878084105 1125118357 /nfs/dbraw/zinc/11/83/57/1125118357.db2.gz AEEVJEZAUICMFD-UHFFFAOYSA-N 1 2 284.837 3.806 20 0 CHADLO Clc1ncsc1C[N@H+]1CCCc2sccc2C1 ZINC000878084105 1125118362 /nfs/dbraw/zinc/11/83/62/1125118362.db2.gz AEEVJEZAUICMFD-UHFFFAOYSA-N 1 2 284.837 3.806 20 0 CHADLO Cc1conc1C[N@H+]1CCS[C@H](C)[C@@H]1c1ccccc1 ZINC000878116797 1125120684 /nfs/dbraw/zinc/12/06/84/1125120684.db2.gz WEUXOMHFOVVGTN-CZUORRHYSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1conc1C[N@@H+]1CCS[C@H](C)[C@@H]1c1ccccc1 ZINC000878116797 1125120685 /nfs/dbraw/zinc/12/06/85/1125120685.db2.gz WEUXOMHFOVVGTN-CZUORRHYSA-N 1 2 288.416 3.662 20 0 CHADLO c1ccc(C2([NH2+]Cc3nc4c(o3)CCCC4)CCC2)cc1 ZINC000878205460 1125131350 /nfs/dbraw/zinc/13/13/50/1125131350.db2.gz GABQYURBJOGWRP-UHFFFAOYSA-N 1 2 282.387 3.722 20 0 CHADLO c1ccc(NCc2ccccc2OCc2cccnc2)[nH+]c1 ZINC000121576463 1125134965 /nfs/dbraw/zinc/13/49/65/1125134965.db2.gz KADYHOJRKJUJLK-UHFFFAOYSA-N 1 2 291.354 3.668 20 0 CHADLO CC[C@@](C)([NH2+]Cc1scnc1Cl)c1nccs1 ZINC000878253435 1125135269 /nfs/dbraw/zinc/13/52/69/1125135269.db2.gz CMVLTNOFSUIHTQ-LLVKDONJSA-N 1 2 287.841 3.668 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1nc(C(C)(C)C)co1 ZINC001307505039 1125135853 /nfs/dbraw/zinc/13/58/53/1125135853.db2.gz FNDPQWSTABIACG-ZDUSSCGKSA-N 1 2 284.403 3.919 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1nc(C(C)(C)C)co1 ZINC001307505039 1125135860 /nfs/dbraw/zinc/13/58/60/1125135860.db2.gz FNDPQWSTABIACG-ZDUSSCGKSA-N 1 2 284.403 3.919 20 0 CHADLO Clc1ncsc1C[N@@H+]1CCC[C@H]1c1cccnc1 ZINC000878308552 1125138825 /nfs/dbraw/zinc/13/88/25/1125138825.db2.gz FTPYQMVVHBETAG-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO c1ccc2c(c1)[nH]c1c2CC[N@@H+](C[C@@H]2CCC3(CCC3)O2)C1 ZINC000878306248 1125138086 /nfs/dbraw/zinc/13/80/86/1125138086.db2.gz XWWZOPXIFCOWGE-AWEZNQCLSA-N 1 2 296.414 3.628 20 0 CHADLO c1ccc2c(c1)[nH]c1c2CC[N@H+](C[C@@H]2CCC3(CCC3)O2)C1 ZINC000878306248 1125138088 /nfs/dbraw/zinc/13/80/88/1125138088.db2.gz XWWZOPXIFCOWGE-AWEZNQCLSA-N 1 2 296.414 3.628 20 0 CHADLO Clc1ncsc1C[N@H+]1CCC[C@H]1c1cccnc1 ZINC000878308552 1125138829 /nfs/dbraw/zinc/13/88/29/1125138829.db2.gz FTPYQMVVHBETAG-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+]1CC[C@H]1CCCC1(F)F ZINC000878321689 1125141928 /nfs/dbraw/zinc/14/19/28/1125141928.db2.gz STTGMBQMJUZZGJ-ZJUUUORDSA-N 1 2 253.283 3.541 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+]1CC[C@H]1CCCC1(F)F ZINC000878321689 1125141931 /nfs/dbraw/zinc/14/19/31/1125141931.db2.gz STTGMBQMJUZZGJ-ZJUUUORDSA-N 1 2 253.283 3.541 20 0 CHADLO CCOc1ccc(C2=CC[N@H+](Cc3nocc3C)CC2)cc1 ZINC000878335196 1125141959 /nfs/dbraw/zinc/14/19/59/1125141959.db2.gz IZZBERNLQDLAKK-UHFFFAOYSA-N 1 2 298.386 3.671 20 0 CHADLO CCOc1ccc(C2=CC[N@@H+](Cc3nocc3C)CC2)cc1 ZINC000878335196 1125141961 /nfs/dbraw/zinc/14/19/61/1125141961.db2.gz IZZBERNLQDLAKK-UHFFFAOYSA-N 1 2 298.386 3.671 20 0 CHADLO CC1(C)CCC(NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000303819328 1125145750 /nfs/dbraw/zinc/14/57/50/1125145750.db2.gz DNUXSKOHGDILAA-UHFFFAOYSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc3c(o2)CCCC3)ccc1F ZINC000878372694 1125146431 /nfs/dbraw/zinc/14/64/31/1125146431.db2.gz MTYHKXOYCNSHNQ-GFCCVEGCSA-N 1 2 288.366 3.852 20 0 CHADLO Cc1conc1C[NH+]1CCC(c2cccc(F)c2F)CC1 ZINC000878445274 1125154680 /nfs/dbraw/zinc/15/46/80/1125154680.db2.gz WXVVMFWTMXDGEJ-UHFFFAOYSA-N 1 2 292.329 3.641 20 0 CHADLO C[C@H](C[NH2+][C@@H](c1nnc[nH]1)c1ccccc1)CC(C)(C)C ZINC000878484410 1125156214 /nfs/dbraw/zinc/15/62/14/1125156214.db2.gz XPSWZQICQVUPPS-DZGCQCFKSA-N 1 2 286.423 3.556 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nocc1C ZINC000878509593 1125157965 /nfs/dbraw/zinc/15/79/65/1125157965.db2.gz RJEQQUVLLKHCPQ-UKTHLTGXSA-N 1 2 256.349 3.518 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nocc1C ZINC000878509593 1125157969 /nfs/dbraw/zinc/15/79/69/1125157969.db2.gz RJEQQUVLLKHCPQ-UKTHLTGXSA-N 1 2 256.349 3.518 20 0 CHADLO Clc1ccc(OCc2cccnc2)c(Cn2cc[nH+]c2)c1 ZINC000121851145 1125158217 /nfs/dbraw/zinc/15/82/17/1125158217.db2.gz STPZEHRMMCUJJQ-UHFFFAOYSA-N 1 2 299.761 3.559 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2nc3c(o2)CCCC3)c1 ZINC000878511095 1125158370 /nfs/dbraw/zinc/15/83/70/1125158370.db2.gz VNCHRMJDQSTRHA-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2nc3c(o2)CCCC3)c1 ZINC000878511095 1125158373 /nfs/dbraw/zinc/15/83/73/1125158373.db2.gz VNCHRMJDQSTRHA-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO c1ccc([C@H]2CCC[C@@H](C[N@@H+]3Cc4cccnc4C3)O2)cc1 ZINC000878548775 1125160955 /nfs/dbraw/zinc/16/09/55/1125160955.db2.gz SPFNAAYCOGESCY-PKOBYXMFSA-N 1 2 294.398 3.708 20 0 CHADLO c1ccc([C@H]2CCC[C@@H](C[N@H+]3Cc4cccnc4C3)O2)cc1 ZINC000878548775 1125160957 /nfs/dbraw/zinc/16/09/57/1125160957.db2.gz SPFNAAYCOGESCY-PKOBYXMFSA-N 1 2 294.398 3.708 20 0 CHADLO CC/C=C(\F)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC001136460645 1131335757 /nfs/dbraw/zinc/33/57/57/1131335757.db2.gz JMWYCWWSVUWMHT-BASWHVEKSA-N 1 2 293.729 3.728 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(F)cc1 ZINC001239478120 1131336213 /nfs/dbraw/zinc/33/62/13/1131336213.db2.gz UFWQVPASTWEMNB-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO CC1(C)C[C@@]1([NH2+]Cc1nc2c(o1)CCCC2)c1ccccc1 ZINC000879007982 1125189630 /nfs/dbraw/zinc/18/96/30/1125189630.db2.gz MFDGBVNMMNXFEP-LJQANCHMSA-N 1 2 296.414 3.968 20 0 CHADLO CC1(F)CC[NH+](Cc2nc(Cl)ccc2Cl)CC1 ZINC000879382841 1125211795 /nfs/dbraw/zinc/21/17/95/1125211795.db2.gz RKIFBQTVLZESRA-UHFFFAOYSA-N 1 2 277.170 3.712 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)c1ccccc1 ZINC000122991551 1125216757 /nfs/dbraw/zinc/21/67/57/1125216757.db2.gz WEQRFGMWCIEAFF-CQSZACIVSA-N 1 2 291.354 3.615 20 0 CHADLO C[C@H]1CCN(c2cc[nH+]c3c(Br)cccc23)C1 ZINC000531441941 1125219775 /nfs/dbraw/zinc/21/97/75/1125219775.db2.gz ICEQWMUOWXMVQO-JTQLQIEISA-N 1 2 291.192 3.844 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)nc2)c1 ZINC000879747843 1125229689 /nfs/dbraw/zinc/22/96/89/1125229689.db2.gz RRVXXOSVZNTVDK-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)nc2)c1 ZINC000879747843 1125229692 /nfs/dbraw/zinc/22/96/92/1125229692.db2.gz RRVXXOSVZNTVDK-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@H+](C/C(Cl)=C\Cl)C1 ZINC000880002791 1125242946 /nfs/dbraw/zinc/24/29/46/1125242946.db2.gz QOGMBOSOSPAQNG-IPWDFOCMSA-N 1 2 262.102 3.580 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@@H+](C/C(Cl)=C\Cl)C1 ZINC000880002791 1125242951 /nfs/dbraw/zinc/24/29/51/1125242951.db2.gz QOGMBOSOSPAQNG-IPWDFOCMSA-N 1 2 262.102 3.580 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@H+](C/C(Cl)=C/Cl)C1 ZINC000880002792 1125243471 /nfs/dbraw/zinc/24/34/71/1125243471.db2.gz QOGMBOSOSPAQNG-NZGHECTNSA-N 1 2 262.102 3.580 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@@H+](C/C(Cl)=C/Cl)C1 ZINC000880002792 1125243474 /nfs/dbraw/zinc/24/34/74/1125243474.db2.gz QOGMBOSOSPAQNG-NZGHECTNSA-N 1 2 262.102 3.580 20 0 CHADLO CC(C)(C)c1cccc(C[NH2+]C2(c3ncccn3)CCC2)c1 ZINC000880368213 1125268512 /nfs/dbraw/zinc/26/85/12/1125268512.db2.gz SHPQIOVBICYCBE-UHFFFAOYSA-N 1 2 295.430 3.943 20 0 CHADLO FC(F)[C@@H]([NH2+]C[C@@H]1CCCOC1)c1cccc(Cl)c1 ZINC000880537105 1125279653 /nfs/dbraw/zinc/27/96/53/1125279653.db2.gz KWKNGYQCJLEXLC-GWCFXTLKSA-N 1 2 289.753 3.662 20 0 CHADLO CO[C@@H](C[N@H+](C)[C@H](CCc1ccccc1)C(F)F)C1CC1 ZINC000626136859 1125300304 /nfs/dbraw/zinc/30/03/04/1125300304.db2.gz LENPRICZWUCHQU-CVEARBPZSA-N 1 2 297.389 3.610 20 0 CHADLO CO[C@@H](C[N@@H+](C)[C@H](CCc1ccccc1)C(F)F)C1CC1 ZINC000626136859 1125300309 /nfs/dbraw/zinc/30/03/09/1125300309.db2.gz LENPRICZWUCHQU-CVEARBPZSA-N 1 2 297.389 3.610 20 0 CHADLO C[C@@H](Cc1cccc(F)c1)Nc1ccc([NH+](C)C)cc1 ZINC000128760737 1125303344 /nfs/dbraw/zinc/30/33/44/1125303344.db2.gz TXTZNIKXQWSVQW-ZDUSSCGKSA-N 1 2 272.367 3.935 20 0 CHADLO C[C@@H](Cc1cccc(F)c1)[NH2+]c1ccc(N(C)C)cc1 ZINC000128760737 1125303349 /nfs/dbraw/zinc/30/33/49/1125303349.db2.gz TXTZNIKXQWSVQW-ZDUSSCGKSA-N 1 2 272.367 3.935 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nccn1-c1ccccc1 ZINC000191187428 1125321636 /nfs/dbraw/zinc/32/16/36/1125321636.db2.gz HPDKLDVIRFPDAL-UHFFFAOYSA-N 1 2 297.427 3.956 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nccn1-c1ccccc1 ZINC000191187428 1125321641 /nfs/dbraw/zinc/32/16/41/1125321641.db2.gz HPDKLDVIRFPDAL-UHFFFAOYSA-N 1 2 297.427 3.956 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)C(C)(C)C2)cs1 ZINC000191659200 1125326312 /nfs/dbraw/zinc/32/63/12/1125326312.db2.gz VSYFJRSPECFEPM-LLVKDONJSA-N 1 2 292.370 3.862 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C(F)(F)F)C(C)(C)C2)cs1 ZINC000191659200 1125326316 /nfs/dbraw/zinc/32/63/16/1125326316.db2.gz VSYFJRSPECFEPM-LLVKDONJSA-N 1 2 292.370 3.862 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](Cc1ncccn1)C1CC1 ZINC000192088408 1125330769 /nfs/dbraw/zinc/33/07/69/1125330769.db2.gz ODSILYWTFQPFSF-GFCCVEGCSA-N 1 2 287.794 3.856 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](Cc1ncccn1)C1CC1 ZINC000192088408 1125330773 /nfs/dbraw/zinc/33/07/73/1125330773.db2.gz ODSILYWTFQPFSF-GFCCVEGCSA-N 1 2 287.794 3.856 20 0 CHADLO CCOc1ccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000193181769 1125338028 /nfs/dbraw/zinc/33/80/28/1125338028.db2.gz LUWNCPPZNPZJTL-UHFFFAOYSA-N 1 2 284.359 3.658 20 0 CHADLO CCc1cccc2c1OCC[C@@H]2[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000882986483 1125365730 /nfs/dbraw/zinc/36/57/30/1125365730.db2.gz ZNSZXFROPMCXHN-HNNXBMFYSA-N 1 2 299.418 3.709 20 0 CHADLO C/C=C\C[C@H]1CCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000626163464 1125377007 /nfs/dbraw/zinc/37/70/07/1125377007.db2.gz KTCBOMZDECYQGJ-QUCGXOGASA-N 1 2 270.829 3.975 20 0 CHADLO C/C=C\C[C@H]1CCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000626163464 1125377011 /nfs/dbraw/zinc/37/70/11/1125377011.db2.gz KTCBOMZDECYQGJ-QUCGXOGASA-N 1 2 270.829 3.975 20 0 CHADLO Clc1ccc2[nH]c(NCc3cnc(C4CC4)o3)[nH+]c2c1 ZINC000883204094 1125377338 /nfs/dbraw/zinc/37/73/38/1125377338.db2.gz SCRDZYRAOMOHLA-UHFFFAOYSA-N 1 2 288.738 3.694 20 0 CHADLO Clc1ccc2[nH+]c(NCc3cnc(C4CC4)o3)[nH]c2c1 ZINC000883204094 1125377340 /nfs/dbraw/zinc/37/73/40/1125377340.db2.gz SCRDZYRAOMOHLA-UHFFFAOYSA-N 1 2 288.738 3.694 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C)s1)c1sccc1Cl ZINC000883216971 1125379074 /nfs/dbraw/zinc/37/90/74/1125379074.db2.gz YZZQTVAKFAMISQ-VIFPVBQESA-N 1 2 287.841 3.802 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000883267045 1125389032 /nfs/dbraw/zinc/38/90/32/1125389032.db2.gz RIGYDTKSHVNXCF-BLLLJJGKSA-N 1 2 297.402 3.565 20 0 CHADLO CC(C)Oc1cc(Cl)ccc1C[NH2+][C@@H](C)c1ncc[nH]1 ZINC000883266550 1125389520 /nfs/dbraw/zinc/38/95/20/1125389520.db2.gz CVGKODVMAPGETE-NSHDSACASA-N 1 2 293.798 3.701 20 0 CHADLO COc1ccc([C@H](C)[NH2+]C2(c3ncccn3)CCC2)c(C)c1 ZINC000883311658 1125393499 /nfs/dbraw/zinc/39/34/99/1125393499.db2.gz IGOOTHQGBCHABT-AWEZNQCLSA-N 1 2 297.402 3.524 20 0 CHADLO C[C@@H]([NH2+]C1(c2ncccn2)CCC1)c1ccc(Cl)s1 ZINC000883313778 1125393693 /nfs/dbraw/zinc/39/36/93/1125393693.db2.gz MGWRFMFOELHONR-SNVBAGLBSA-N 1 2 293.823 3.922 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]C1(c3ncccn3)CCC1)CCC2 ZINC000883312840 1125393721 /nfs/dbraw/zinc/39/37/21/1125393721.db2.gz NZRIBILLKVGYDD-MRXNPFEDSA-N 1 2 297.377 3.662 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+]C2(c3ncccn3)CCC2)cc1 ZINC000883313318 1125393755 /nfs/dbraw/zinc/39/37/55/1125393755.db2.gz AQUKGMRDCPBIJJ-CQSZACIVSA-N 1 2 297.402 3.605 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@@H]1F)c1ccoc1 ZINC000883376239 1125404392 /nfs/dbraw/zinc/40/43/92/1125404392.db2.gz GSYRETOKDUJREM-KNXALSJPSA-N 1 2 259.324 3.956 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+]Cc1cccc2c1OCO2 ZINC000883383870 1125407413 /nfs/dbraw/zinc/40/74/13/1125407413.db2.gz ICUIWIQEZMMGPH-NVXWUHKLSA-N 1 2 299.345 3.531 20 0 CHADLO Cc1ncc(C[NH2+][C@H]2c3ccccc3CC[C@@H]2F)s1 ZINC000883384027 1125407520 /nfs/dbraw/zinc/40/75/20/1125407520.db2.gz KLFXPBOAHLVUDO-GJZGRUSLSA-N 1 2 276.380 3.567 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc2nc(C)ccc21 ZINC001212796759 1125425702 /nfs/dbraw/zinc/42/57/02/1125425702.db2.gz BBEXZXRNPZWXEE-UHFFFAOYSA-N 1 2 288.354 3.773 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CC[C@H](C(F)(F)F)[C@@H]2C)n1 ZINC000348248767 1125431416 /nfs/dbraw/zinc/43/14/16/1125431416.db2.gz PZQNKMKQQWRVPW-GUBZILKMSA-N 1 2 291.317 3.527 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CC[C@H](C(F)(F)F)[C@@H]2C)n1 ZINC000348248767 1125431418 /nfs/dbraw/zinc/43/14/18/1125431418.db2.gz PZQNKMKQQWRVPW-GUBZILKMSA-N 1 2 291.317 3.527 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@H](C)c1ccc(Cl)s1 ZINC000348264504 1125449551 /nfs/dbraw/zinc/44/95/51/1125449551.db2.gz XUCNSAWQXMIRAB-MRVPVSSYSA-N 1 2 299.779 3.632 20 0 CHADLO CCn1cc(C[N@H+](CC)[C@H](C)c2cc3ccccc3o2)nn1 ZINC000883998712 1125455056 /nfs/dbraw/zinc/45/50/56/1125455056.db2.gz PABKUMICBSTFDD-CYBMUJFWSA-N 1 2 298.390 3.627 20 0 CHADLO CCn1cc(C[N@@H+](CC)[C@H](C)c2cc3ccccc3o2)nn1 ZINC000883998712 1125455057 /nfs/dbraw/zinc/45/50/57/1125455057.db2.gz PABKUMICBSTFDD-CYBMUJFWSA-N 1 2 298.390 3.627 20 0 CHADLO C[N@H+](Cc1nc2ccccc2o1)Cc1ccc(F)c(F)c1 ZINC000044438330 1125455167 /nfs/dbraw/zinc/45/51/67/1125455167.db2.gz OEMLOVAKYZUHFR-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2o1)Cc1ccc(F)c(F)c1 ZINC000044438330 1125455171 /nfs/dbraw/zinc/45/51/71/1125455171.db2.gz OEMLOVAKYZUHFR-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO CC(C)(C)C(=O)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000044567613 1125455431 /nfs/dbraw/zinc/45/54/31/1125455431.db2.gz HYRGSSNMLYLSNE-UHFFFAOYSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)C[C@@H](C(=O)N1CCC[C@H]1CC(C)C)n1cc[nH+]c1 ZINC000635461783 1129182842 /nfs/dbraw/zinc/18/28/42/1129182842.db2.gz VUCSJLWQKHZLBM-HOTGVXAUSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1cccc(C)c1NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000635625912 1129187222 /nfs/dbraw/zinc/18/72/22/1129187222.db2.gz XTFNLDDIGQUERW-HNNXBMFYSA-N 1 2 285.391 3.726 20 0 CHADLO CC(C)C[C@H](C(=O)Nc1ccc(C2CC2)cc1)n1cc[nH+]c1 ZINC000635762924 1129190633 /nfs/dbraw/zinc/19/06/33/1129190633.db2.gz CTGNSXQFAIHOGZ-QGZVFWFLSA-N 1 2 297.402 3.986 20 0 CHADLO CNc1cc(-c2cccc(C(F)(F)F)c2)cc[nH+]1 ZINC001239515867 1131357272 /nfs/dbraw/zinc/35/72/72/1131357272.db2.gz PVVDXZDHGUTAAK-UHFFFAOYSA-N 1 2 252.239 3.809 20 0 CHADLO CCCC[C@H](C(=O)N1CCC(c2c[nH]c[nH+]2)CC1)C(C)C ZINC000621904148 1129205272 /nfs/dbraw/zinc/20/52/72/1129205272.db2.gz CVFZNXZBKDIWFO-HNNXBMFYSA-N 1 2 291.439 3.578 20 0 CHADLO CCCC[C@H](C(=O)N1CCC(c2c[nH+]c[nH]2)CC1)C(C)C ZINC000621904148 1129205276 /nfs/dbraw/zinc/20/52/76/1129205276.db2.gz CVFZNXZBKDIWFO-HNNXBMFYSA-N 1 2 291.439 3.578 20 0 CHADLO CCCC[C@@H](C(=O)N1CCC(c2c[nH]c[nH+]2)CC1)C(C)C ZINC000621904149 1129205759 /nfs/dbraw/zinc/20/57/59/1129205759.db2.gz CVFZNXZBKDIWFO-OAHLLOKOSA-N 1 2 291.439 3.578 20 0 CHADLO CCCC[C@@H](C(=O)N1CCC(c2c[nH+]c[nH]2)CC1)C(C)C ZINC000621904149 1129205763 /nfs/dbraw/zinc/20/57/63/1129205763.db2.gz CVFZNXZBKDIWFO-OAHLLOKOSA-N 1 2 291.439 3.578 20 0 CHADLO CC(C)[N@H+](Cc1ccns1)Cc1ccccc1F ZINC000637912398 1129237198 /nfs/dbraw/zinc/23/71/98/1129237198.db2.gz VSDATHKFXVBITL-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO CC(C)[N@@H+](Cc1ccns1)Cc1ccccc1F ZINC000637912398 1129237202 /nfs/dbraw/zinc/23/72/02/1129237202.db2.gz VSDATHKFXVBITL-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)[C@@H]1CC1(F)F ZINC000638399755 1129256664 /nfs/dbraw/zinc/25/66/64/1129256664.db2.gz SPEWBCSOYQRWJX-AAEUAGOBSA-N 1 2 281.350 3.528 20 0 CHADLO CCCC(C)(C)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000638525542 1129261454 /nfs/dbraw/zinc/26/14/54/1129261454.db2.gz RMAXLXGZZDFCAR-ZDUSSCGKSA-N 1 2 264.417 3.594 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@@H](C)c1nnc(C)s1 ZINC000282603271 1129273592 /nfs/dbraw/zinc/27/35/92/1129273592.db2.gz YGINNOXOIVAQNP-UWVGGRQHSA-N 1 2 293.461 3.980 20 0 CHADLO c1c(CNc2ccc(N3CCCCC3)[nH+]c2)onc1C1CC1 ZINC000638888466 1129273821 /nfs/dbraw/zinc/27/38/21/1129273821.db2.gz IIYBVSPERMMHQZ-UHFFFAOYSA-N 1 2 298.390 3.549 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cnccc3C2)cc1Cl ZINC001231418341 1129281957 /nfs/dbraw/zinc/28/19/57/1129281957.db2.gz RFYZHIWLFSJFKJ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cnccc3C2)cc1Cl ZINC001231418341 1129281959 /nfs/dbraw/zinc/28/19/59/1129281959.db2.gz RFYZHIWLFSJFKJ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO O=C(/C=C\Sc1ccccc1)Nc1ccc2[nH+]ccn2c1 ZINC000733968423 1129289915 /nfs/dbraw/zinc/28/99/15/1129289915.db2.gz VAXGWTKQMKDVAY-FLIBITNWSA-N 1 2 295.367 3.579 20 0 CHADLO Cc1ncc([C@H](C)[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)c(C)n1 ZINC000639236001 1129295849 /nfs/dbraw/zinc/29/58/49/1129295849.db2.gz WLLQIUMWMARWRT-GUYCJALGSA-N 1 2 297.402 3.975 20 0 CHADLO Cc1ncc([C@H](C)[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)c(C)n1 ZINC000639236001 1129295852 /nfs/dbraw/zinc/29/58/52/1129295852.db2.gz WLLQIUMWMARWRT-GUYCJALGSA-N 1 2 297.402 3.975 20 0 CHADLO CC([NH2+][C@H](c1ncn[nH]1)C1CCCCC1)(C1CC1)C1CC1 ZINC000639329314 1129303209 /nfs/dbraw/zinc/30/32/09/1129303209.db2.gz XOOODQSCEIMBHZ-HNNXBMFYSA-N 1 2 288.439 3.594 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2C[C@@H](C(C)C)c3ccccc32)o1 ZINC000639353060 1129305535 /nfs/dbraw/zinc/30/55/35/1129305535.db2.gz VRIDUYGWFDSAME-HOTGVXAUSA-N 1 2 270.376 3.957 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CCC2)no1)c1ccc(Cl)cc1 ZINC000639520764 1129320399 /nfs/dbraw/zinc/32/03/99/1129320399.db2.gz ZMPXQONPYSZWHU-JTQLQIEISA-N 1 2 291.782 3.841 20 0 CHADLO Cc1ccc(OC[C@@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000734835380 1129324336 /nfs/dbraw/zinc/32/43/36/1129324336.db2.gz UUTHHSJMMDTYJU-CQSZACIVSA-N 1 2 297.402 3.850 20 0 CHADLO CCC(F)(F)C[NH+]1CCC2(CC1)OCCc1ccccc12 ZINC000639667847 1129329191 /nfs/dbraw/zinc/32/91/91/1129329191.db2.gz DIOMFDPWRWXSLV-UHFFFAOYSA-N 1 2 295.373 3.596 20 0 CHADLO Cc1cc(NCc2ncc(Cl)s2)nc(C(C)C)[nH+]1 ZINC000719431457 1129333439 /nfs/dbraw/zinc/33/34/39/1129333439.db2.gz TYCYOKIYHCQWDL-UHFFFAOYSA-N 1 2 282.800 3.630 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+](C)Cc2ccns2)c1 ZINC000639806851 1129334891 /nfs/dbraw/zinc/33/48/91/1129334891.db2.gz HIIYWNBSQJIDHV-UHFFFAOYSA-N 1 2 298.358 3.685 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+](C)Cc2ccns2)c1 ZINC000639806851 1129334896 /nfs/dbraw/zinc/33/48/96/1129334896.db2.gz HIIYWNBSQJIDHV-UHFFFAOYSA-N 1 2 298.358 3.685 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC[C@H]2c2ncccn2)cc1 ZINC000639840056 1129335957 /nfs/dbraw/zinc/33/59/57/1129335957.db2.gz LWGUEBSZSOGVMR-HNNXBMFYSA-N 1 2 285.416 3.536 20 0 CHADLO CSc1ccc(C[N@H+]2CCC[C@H]2c2ncccn2)cc1 ZINC000639840056 1129335962 /nfs/dbraw/zinc/33/59/62/1129335962.db2.gz LWGUEBSZSOGVMR-HNNXBMFYSA-N 1 2 285.416 3.536 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC000639864414 1129336906 /nfs/dbraw/zinc/33/69/06/1129336906.db2.gz CPQVYFNHVPAFPL-QGZVFWFLSA-N 1 2 296.418 3.641 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC000639864414 1129336910 /nfs/dbraw/zinc/33/69/10/1129336910.db2.gz CPQVYFNHVPAFPL-QGZVFWFLSA-N 1 2 296.418 3.641 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCC[C@H]2c2ncccn2)c1 ZINC000639868540 1129337538 /nfs/dbraw/zinc/33/75/38/1129337538.db2.gz MMVHFMNFUPWSAI-AWEZNQCLSA-N 1 2 289.329 3.751 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCC[C@H]2c2ncccn2)c1 ZINC000639868540 1129337541 /nfs/dbraw/zinc/33/75/41/1129337541.db2.gz MMVHFMNFUPWSAI-AWEZNQCLSA-N 1 2 289.329 3.751 20 0 CHADLO Cc1ncsc1C[N@@H+]1CC(C)(C)[C@@H]1c1ccco1 ZINC000639929861 1129340279 /nfs/dbraw/zinc/34/02/79/1129340279.db2.gz RAYXWDRMICAAOQ-ZDUSSCGKSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1ccc(F)c(C[NH2+]Cc2nc3c(s2)CCCC3)c1 ZINC000719530203 1129343675 /nfs/dbraw/zinc/34/36/75/1129343675.db2.gz IEXBRXLLBARDDV-UHFFFAOYSA-N 1 2 290.407 3.759 20 0 CHADLO CN(C)c1ccccc1C[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000640152385 1129351022 /nfs/dbraw/zinc/35/10/22/1129351022.db2.gz CSZZAGFXXKYJKS-INIZCTEOSA-N 1 2 290.357 3.692 20 0 CHADLO Cc1cc(-c2cn(C)c3ccccc23)c2[nH+]ccn2c1 ZINC001239535110 1131370615 /nfs/dbraw/zinc/37/06/15/1131370615.db2.gz HTZCVZBSSSLRFX-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO C[C@H]1c2ccc(F)cc2CC[N@H+]1Cc1ccnc(Cl)c1 ZINC000738340390 1129392255 /nfs/dbraw/zinc/39/22/55/1129392255.db2.gz LMVDEZONJMXOCV-NSHDSACASA-N 1 2 290.769 3.993 20 0 CHADLO C[C@H]1c2ccc(F)cc2CC[N@@H+]1Cc1ccnc(Cl)c1 ZINC000738340390 1129392261 /nfs/dbraw/zinc/39/22/61/1129392261.db2.gz LMVDEZONJMXOCV-NSHDSACASA-N 1 2 290.769 3.993 20 0 CHADLO C[N@H+](Cc1nc(C(C)(C)C)no1)[C@@H]1CCCc2ccccc21 ZINC000641979146 1129399219 /nfs/dbraw/zinc/39/92/19/1129399219.db2.gz PWMREMCLXLZWPS-OAHLLOKOSA-N 1 2 299.418 3.877 20 0 CHADLO C[N@@H+](Cc1nc(C(C)(C)C)no1)[C@@H]1CCCc2ccccc21 ZINC000641979146 1129399222 /nfs/dbraw/zinc/39/92/22/1129399222.db2.gz PWMREMCLXLZWPS-OAHLLOKOSA-N 1 2 299.418 3.877 20 0 CHADLO CCCCCC[C@H](C)NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000738949425 1129400613 /nfs/dbraw/zinc/40/06/13/1129400613.db2.gz NBGGJXPTZSQZBU-ZDUSSCGKSA-N 1 2 288.395 3.815 20 0 CHADLO Oc1ccc(C[N@@H+](Cc2ccoc2)Cc2ccco2)cc1 ZINC000093015586 1129414490 /nfs/dbraw/zinc/41/44/90/1129414490.db2.gz MDRDWSCFGTXWIB-UHFFFAOYSA-N 1 2 283.327 3.781 20 0 CHADLO Oc1ccc(C[N@H+](Cc2ccoc2)Cc2ccco2)cc1 ZINC000093015586 1129414491 /nfs/dbraw/zinc/41/44/91/1129414491.db2.gz MDRDWSCFGTXWIB-UHFFFAOYSA-N 1 2 283.327 3.781 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+](Cn2nc(-c3ccccc3)oc2=S)C1 ZINC000740860505 1129420799 /nfs/dbraw/zinc/42/07/99/1129420799.db2.gz PYFLYAPKKUZUIS-NEPJUHHUSA-N 1 2 289.404 3.560 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+](Cn2nc(-c3ccccc3)oc2=S)C1 ZINC000740860505 1129420800 /nfs/dbraw/zinc/42/08/00/1129420800.db2.gz PYFLYAPKKUZUIS-NEPJUHHUSA-N 1 2 289.404 3.560 20 0 CHADLO COc1ccccc1-c1ccccc1Cn1cc[nH+]c1 ZINC001239540747 1131375038 /nfs/dbraw/zinc/37/50/38/1131375038.db2.gz QOPSKJCMLLRSFP-UHFFFAOYSA-N 1 2 264.328 3.607 20 0 CHADLO CCc1nn(C)cc1C[N@@H+](CC)Cc1ccccc1Cl ZINC000179693243 1129431855 /nfs/dbraw/zinc/43/18/55/1129431855.db2.gz CYVRHSJSGSKHCG-UHFFFAOYSA-N 1 2 291.826 3.658 20 0 CHADLO CCc1nn(C)cc1C[N@H+](CC)Cc1ccccc1Cl ZINC000179693243 1129431856 /nfs/dbraw/zinc/43/18/56/1129431856.db2.gz CYVRHSJSGSKHCG-UHFFFAOYSA-N 1 2 291.826 3.658 20 0 CHADLO Fc1cccc(C[NH+]2CC(c3ccccc3F)C2)c1F ZINC000643355215 1129439875 /nfs/dbraw/zinc/43/98/75/1129439875.db2.gz DAAYGFOVYKENHA-UHFFFAOYSA-N 1 2 277.289 3.703 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)C1CCCCCCC1 ZINC000741564834 1129442067 /nfs/dbraw/zinc/44/20/67/1129442067.db2.gz XXMBLRWAWYTLBU-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Cc1n[nH]cc1[C@H](C)[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000180020891 1129444946 /nfs/dbraw/zinc/44/49/46/1129444946.db2.gz SWKAWMWGPICUSV-SJCJKPOMSA-N 1 2 281.359 3.751 20 0 CHADLO CCCOC(=O)[C@H](C)[N@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000741778580 1129451896 /nfs/dbraw/zinc/45/18/96/1129451896.db2.gz HHNAGJTUXZYWID-NEPJUHHUSA-N 1 2 283.799 3.675 20 0 CHADLO CCCOC(=O)[C@H](C)[N@@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000741778580 1129451900 /nfs/dbraw/zinc/45/19/00/1129451900.db2.gz HHNAGJTUXZYWID-NEPJUHHUSA-N 1 2 283.799 3.675 20 0 CHADLO CCc1cc(C[NH2+][C@@H](C)c2ccc(OC)cc2Cl)on1 ZINC000643883338 1129453461 /nfs/dbraw/zinc/45/34/61/1129453461.db2.gz VUHRHQOAOFFXSR-JTQLQIEISA-N 1 2 294.782 3.750 20 0 CHADLO CCC1(C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CCC1 ZINC000644536746 1129468645 /nfs/dbraw/zinc/46/86/45/1129468645.db2.gz OXUJZHXPNVDWLX-CQSZACIVSA-N 1 2 276.428 3.596 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1ncc(C)o1 ZINC000742349030 1129472063 /nfs/dbraw/zinc/47/20/63/1129472063.db2.gz RKAMXEMHPFBPES-UHFFFAOYSA-N 1 2 284.363 3.593 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](c1ccccc1)C1CCC1 ZINC000180431090 1129475503 /nfs/dbraw/zinc/47/55/03/1129475503.db2.gz QYLGQVGJVPQEKH-YVEFUNNKSA-N 1 2 269.392 3.910 20 0 CHADLO FC(F)(F)c1ccccc1-c1cc(-n2ccnc2)cc[nH+]1 ZINC001239543648 1131377379 /nfs/dbraw/zinc/37/73/79/1131377379.db2.gz CKWJXOVZVGLZDQ-UHFFFAOYSA-N 1 2 289.260 3.953 20 0 CHADLO CCCCCC[C@@](C)(CC)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000742543843 1129486305 /nfs/dbraw/zinc/48/63/05/1129486305.db2.gz KPAZJVLGDFVXFQ-DOTOQJQBSA-N 1 2 293.455 3.775 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCC[C@@H](c2ccn[nH]2)C1 ZINC000645077716 1129487130 /nfs/dbraw/zinc/48/71/30/1129487130.db2.gz CEDXTLSNTPGQGZ-MRXNPFEDSA-N 1 2 298.434 3.616 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCC[C@@H](c2ccn[nH]2)C1 ZINC000645077716 1129487132 /nfs/dbraw/zinc/48/71/32/1129487132.db2.gz CEDXTLSNTPGQGZ-MRXNPFEDSA-N 1 2 298.434 3.616 20 0 CHADLO Oc1cccc(C[NH2+][C@H]2CSc3ccccc32)c1Cl ZINC000645156529 1129489468 /nfs/dbraw/zinc/48/94/68/1129489468.db2.gz IKTSQZOEGAVRKY-LBPRGKRZSA-N 1 2 291.803 3.982 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](C)c1cccc(C)c1C ZINC000180551701 1129490516 /nfs/dbraw/zinc/49/05/16/1129490516.db2.gz IPXJOCXLXIVZBF-STQMWFEESA-N 1 2 257.381 3.747 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)ccc2OC(F)F)[C@H]1C ZINC000645215773 1129491533 /nfs/dbraw/zinc/49/15/33/1129491533.db2.gz BQWCRYPEZZCXDM-BDAKNGLRSA-N 1 2 275.726 3.782 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)ccc2OC(F)F)[C@H]1C ZINC000645215773 1129491534 /nfs/dbraw/zinc/49/15/34/1129491534.db2.gz BQWCRYPEZZCXDM-BDAKNGLRSA-N 1 2 275.726 3.782 20 0 CHADLO CCCCCC[C@@](C)(CC)C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000742677819 1129493867 /nfs/dbraw/zinc/49/38/67/1129493867.db2.gz OTSHVQVSPASAQZ-QGZVFWFLSA-N 1 2 291.439 3.653 20 0 CHADLO COc1cccc2c(N[C@@H]3CCS[C@H]3C)cc[nH+]c12 ZINC000645399157 1129496526 /nfs/dbraw/zinc/49/65/26/1129496526.db2.gz HHUBEOGOFODROK-CMPLNLGQSA-N 1 2 274.389 3.549 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H]1c2cccc(F)c2CC[C@@H]1C ZINC000180727211 1129508387 /nfs/dbraw/zinc/50/83/87/1129508387.db2.gz MOKBIAUAFDKNCC-DINDLPBHSA-N 1 2 287.382 3.831 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ncc(C3CC3)o2)CC2CC2)cn1 ZINC000646019939 1129517401 /nfs/dbraw/zinc/51/74/01/1129517401.db2.gz SWXSRRJCAPDGII-UHFFFAOYSA-N 1 2 297.402 3.668 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ncc(C3CC3)o2)CC2CC2)cn1 ZINC000646019939 1129517404 /nfs/dbraw/zinc/51/74/04/1129517404.db2.gz SWXSRRJCAPDGII-UHFFFAOYSA-N 1 2 297.402 3.668 20 0 CHADLO Cc1nc(C[NH2+]C2(c3cccc(F)c3)CCC2)oc1C ZINC000646004137 1129517526 /nfs/dbraw/zinc/51/75/26/1129517526.db2.gz DFIVOEDILJFMLB-UHFFFAOYSA-N 1 2 274.339 3.600 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(C)cc3)CCC2)oc1C ZINC000646131235 1129525470 /nfs/dbraw/zinc/52/54/70/1129525470.db2.gz GKMWPKIVEZEALU-UHFFFAOYSA-N 1 2 270.376 3.769 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H]1CC(C)(C)c2ccccc21 ZINC000180969917 1129526978 /nfs/dbraw/zinc/52/69/78/1129526978.db2.gz SYIYDESPUMJODK-BZNIZROVSA-N 1 2 269.392 3.791 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H]1c2ccccc2CC[C@@H]1C ZINC000181033793 1129531419 /nfs/dbraw/zinc/53/14/19/1129531419.db2.gz UBPPIIIMRIFVOG-PRXAMGSTSA-N 1 2 269.392 3.692 20 0 CHADLO Cc1ccc2c(c1)CCCN2Cc1c[nH+]cn1C(C)C ZINC000822470033 1131382439 /nfs/dbraw/zinc/38/24/39/1131382439.db2.gz GXRKYAZFTQXJRI-UHFFFAOYSA-N 1 2 269.392 3.725 20 0 CHADLO CC[N@H+](Cc1cscn1)Cc1ccc(C)c(C)c1 ZINC001137597938 1131382679 /nfs/dbraw/zinc/38/26/79/1131382679.db2.gz XYCRPHBJMKJIBD-UHFFFAOYSA-N 1 2 260.406 3.782 20 0 CHADLO CC[N@@H+](Cc1cscn1)Cc1ccc(C)c(C)c1 ZINC001137597938 1131382683 /nfs/dbraw/zinc/38/26/83/1131382683.db2.gz XYCRPHBJMKJIBD-UHFFFAOYSA-N 1 2 260.406 3.782 20 0 CHADLO CC[C@H]([NH2+]Cc1ccoc1)c1nc(C(F)(F)F)cs1 ZINC000744447829 1129577831 /nfs/dbraw/zinc/57/78/31/1129577831.db2.gz CMMGJRABBMZHSU-VIFPVBQESA-N 1 2 290.310 3.996 20 0 CHADLO Cc1ncc(C[NH2+]Cc2coc(-c3ccc(C)cc3)n2)s1 ZINC000744447742 1129578056 /nfs/dbraw/zinc/57/80/56/1129578056.db2.gz WHFPFDVHNUSREQ-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1coc(C)n1 ZINC000647958841 1129588594 /nfs/dbraw/zinc/58/85/94/1129588594.db2.gz GYNHLRZTDUYHCR-CYBMUJFWSA-N 1 2 262.378 3.554 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1coc(C)n1 ZINC000647958841 1129588596 /nfs/dbraw/zinc/58/85/96/1129588596.db2.gz GYNHLRZTDUYHCR-CYBMUJFWSA-N 1 2 262.378 3.554 20 0 CHADLO COc1ccc(C[NH+]2CC(C)(CC(F)F)C2)c(Cl)c1 ZINC000648058666 1129593944 /nfs/dbraw/zinc/59/39/44/1129593944.db2.gz XIZCNUANHXIWKT-UHFFFAOYSA-N 1 2 289.753 3.826 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2ccccc2OC(F)F)C1 ZINC000648058419 1129595003 /nfs/dbraw/zinc/59/50/03/1129595003.db2.gz JMOJUIGBGXRVHG-UHFFFAOYSA-N 1 2 291.288 3.765 20 0 CHADLO Cc1cc(C[NH2+][C@H]2COc3cc(F)cc(F)c32)cs1 ZINC000648515679 1129609829 /nfs/dbraw/zinc/60/98/29/1129609829.db2.gz MIXPLUOILJEDIX-LBPRGKRZSA-N 1 2 281.327 3.558 20 0 CHADLO Clc1oc2ccccc2c1C[NH2+][C@@H]1CCn2ccnc21 ZINC000648629180 1129616341 /nfs/dbraw/zinc/61/63/41/1129616341.db2.gz JKYJTPXOXLFONO-GFCCVEGCSA-N 1 2 287.750 3.517 20 0 CHADLO c1cn2cccc(-c3ccc4scnc4c3)c2[nH+]1 ZINC001241867070 1129625229 /nfs/dbraw/zinc/62/52/29/1129625229.db2.gz NFFXTCRXTAWXLX-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2nc3ccccc3nc2C)s1 ZINC000185033630 1129632013 /nfs/dbraw/zinc/63/20/13/1129632013.db2.gz ZYMWCSFXCBHGGF-LBPRGKRZSA-N 1 2 298.415 3.554 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccc(Br)cc1 ZINC000379440052 1129636733 /nfs/dbraw/zinc/63/67/33/1129636733.db2.gz JKWCLCRPXBDNFR-LLVKDONJSA-N 1 2 290.151 3.727 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H](c3ccn[nH]3)C2)cc1Cl ZINC000193767694 1129645584 /nfs/dbraw/zinc/64/55/84/1129645584.db2.gz PNVVLFNRMMZRIZ-CQSZACIVSA-N 1 2 289.810 3.751 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)cc1Cl ZINC000193767694 1129645586 /nfs/dbraw/zinc/64/55/86/1129645586.db2.gz PNVVLFNRMMZRIZ-CQSZACIVSA-N 1 2 289.810 3.751 20 0 CHADLO C[C@@H]([N@H+](C)Cn1nc(C2CC2)sc1=S)C(C)(C)C ZINC000746374152 1129651976 /nfs/dbraw/zinc/65/19/76/1129651976.db2.gz JKMQMLUIVSRAAQ-SECBINFHSA-N 1 2 285.482 3.875 20 0 CHADLO C[C@@H]([N@@H+](C)Cn1nc(C2CC2)sc1=S)C(C)(C)C ZINC000746374152 1129651979 /nfs/dbraw/zinc/65/19/79/1129651979.db2.gz JKMQMLUIVSRAAQ-SECBINFHSA-N 1 2 285.482 3.875 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2cc3n(n2)CCCC3)c1 ZINC000649492414 1129653173 /nfs/dbraw/zinc/65/31/73/1129653173.db2.gz UJJRHPVVXORYMA-SFHVURJKSA-N 1 2 299.393 3.696 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2cc3n(n2)CCCC3)c1 ZINC000649492414 1129653176 /nfs/dbraw/zinc/65/31/76/1129653176.db2.gz UJJRHPVVXORYMA-SFHVURJKSA-N 1 2 299.393 3.696 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)c(C)[nH+]1 ZINC000649566499 1129658301 /nfs/dbraw/zinc/65/83/01/1129658301.db2.gz MOZZQFCEAQTDGN-QLFBSQMISA-N 1 2 270.376 3.548 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccc(Cl)c(F)c2)s1 ZINC000391827581 1129659088 /nfs/dbraw/zinc/65/90/88/1129659088.db2.gz CVPOBYYNPYPCMW-QMMMGPOBSA-N 1 2 299.802 3.744 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3cccc(Cl)c3)nn2)c1C ZINC001242144837 1129661433 /nfs/dbraw/zinc/66/14/33/1129661433.db2.gz NHEYOBNWBUIMIV-UHFFFAOYSA-N 1 2 284.750 3.600 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[NH+]1CC(C)(C)OC(C)(C)C1 ZINC001459954971 1129664872 /nfs/dbraw/zinc/66/48/72/1129664872.db2.gz ABECRVQFDCGSAI-LLVKDONJSA-N 1 2 283.362 3.915 20 0 CHADLO Clc1ccccc1C1([NH2+]Cc2cscn2)CCC1 ZINC000649642907 1129665380 /nfs/dbraw/zinc/66/53/80/1129665380.db2.gz SAOVPXLSHGOYER-UHFFFAOYSA-N 1 2 278.808 3.966 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nc(CC(C)C)no2)CCC1 ZINC000649645908 1129665885 /nfs/dbraw/zinc/66/58/85/1129665885.db2.gz MMISSMWFUCBOMR-UHFFFAOYSA-N 1 2 299.418 3.746 20 0 CHADLO C[C@@H](CSc1ccccc1)[N@H+](C)Cc1cscn1 ZINC000649664916 1129668963 /nfs/dbraw/zinc/66/89/63/1129668963.db2.gz WAFRXRUXPLBASK-LBPRGKRZSA-N 1 2 278.446 3.756 20 0 CHADLO C[C@@H](CSc1ccccc1)[N@@H+](C)Cc1cscn1 ZINC000649664916 1129668964 /nfs/dbraw/zinc/66/89/64/1129668964.db2.gz WAFRXRUXPLBASK-LBPRGKRZSA-N 1 2 278.446 3.756 20 0 CHADLO Cc1ccc(C(C)(C)Nc2ccc(N(C)C)c[nH+]2)cc1 ZINC001168807678 1129674047 /nfs/dbraw/zinc/67/40/47/1129674047.db2.gz OUMBGGCBZDNRBZ-UHFFFAOYSA-N 1 2 269.392 3.803 20 0 CHADLO Fc1c[nH]c2cccc(NCCc3cn4ccccc4[nH+]3)c12 ZINC001169009134 1129689132 /nfs/dbraw/zinc/68/91/32/1129689132.db2.gz LZQFRLUMOCEBQI-UHFFFAOYSA-N 1 2 294.333 3.609 20 0 CHADLO CC(C)(C)c1ccc(NCCc2cn3ccccc3[nH+]2)cn1 ZINC001169008883 1129689378 /nfs/dbraw/zinc/68/93/78/1129689378.db2.gz JIBVLEKVTHYANP-UHFFFAOYSA-N 1 2 294.402 3.681 20 0 CHADLO CCc1ccc(F)c(NCCc2cn3ccccc3[nH+]2)c1 ZINC001169008428 1129689394 /nfs/dbraw/zinc/68/93/94/1129689394.db2.gz DQBUHSMQBYQPGG-UHFFFAOYSA-N 1 2 283.350 3.690 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N[C@H]3CCC[C@@H](O)C3)c2)cc1 ZINC001169247625 1129712624 /nfs/dbraw/zinc/71/26/24/1129712624.db2.gz WWLBNFXQLCNMDL-DLBZAZTESA-N 1 2 282.387 3.772 20 0 CHADLO C[C@H]1C[C@@H](Nc2ccn3cc(N)[nH+]c3c2)c2ccccc21 ZINC001169372614 1129722184 /nfs/dbraw/zinc/72/21/84/1129722184.db2.gz GCLSUUGXPUQNTB-XHDPSFHLSA-N 1 2 278.359 3.577 20 0 CHADLO CNc1ccc(N[C@H]2C[C@@H](C)c3ccccc32)c[nH+]1 ZINC001169378110 1129722634 /nfs/dbraw/zinc/72/26/34/1129722634.db2.gz RUWCAJRJOFMABT-ABAIWWIYSA-N 1 2 253.349 3.784 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1nc3c(o1)CCCC3)CC2 ZINC001169420671 1129725870 /nfs/dbraw/zinc/72/58/70/1129725870.db2.gz TWYVKIWOUZIDQA-UHFFFAOYSA-N 1 2 296.414 3.729 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1nc3c(o1)CCCC3)CC2 ZINC001169420671 1129725872 /nfs/dbraw/zinc/72/58/72/1129725872.db2.gz TWYVKIWOUZIDQA-UHFFFAOYSA-N 1 2 296.414 3.729 20 0 CHADLO CCCC(C)(C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000651044047 1129727848 /nfs/dbraw/zinc/72/78/48/1129727848.db2.gz ZJLDCIBCCMXGTC-UHFFFAOYSA-N 1 2 259.353 3.636 20 0 CHADLO CC(C)(C)C[N@H+](C[C@@H]1C[C@]12CCOC2)c1ccccc1 ZINC001169481014 1129728667 /nfs/dbraw/zinc/72/86/67/1129728667.db2.gz ABZBNGBUXZJHRY-YJBOKZPZSA-N 1 2 273.420 3.966 20 0 CHADLO CC(C)(C)C[N@@H+](C[C@@H]1C[C@]12CCOC2)c1ccccc1 ZINC001169481014 1129728669 /nfs/dbraw/zinc/72/86/69/1129728669.db2.gz ABZBNGBUXZJHRY-YJBOKZPZSA-N 1 2 273.420 3.966 20 0 CHADLO Fc1cc(SCCCn2cc[nH+]c2)ccc1Cl ZINC000651202704 1129732398 /nfs/dbraw/zinc/73/23/98/1129732398.db2.gz OVUJUAYLCAVYEE-UHFFFAOYSA-N 1 2 270.760 3.858 20 0 CHADLO Cc1cc(NC[C@H](C)c2ccc(O)cc2)ccc1[NH+](C)C ZINC001169549455 1129735434 /nfs/dbraw/zinc/73/54/34/1129735434.db2.gz ZZJKNOHRBYQWDZ-AWEZNQCLSA-N 1 2 284.403 3.982 20 0 CHADLO Cc1cc([NH2+]C[C@H](C)c2ccc(O)cc2)ccc1N(C)C ZINC001169549455 1129735436 /nfs/dbraw/zinc/73/54/36/1129735436.db2.gz ZZJKNOHRBYQWDZ-AWEZNQCLSA-N 1 2 284.403 3.982 20 0 CHADLO CSc1cc(Nc2ccc(Cl)c(O)c2)cc[nH+]1 ZINC001212591360 1129737296 /nfs/dbraw/zinc/73/72/96/1129737296.db2.gz IJKJAWBYLHVPKN-UHFFFAOYSA-N 1 2 266.753 3.906 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cnccc1C(F)(F)F ZINC001242279429 1129753041 /nfs/dbraw/zinc/75/30/41/1129753041.db2.gz MNWUJNDSVQJWCV-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCc3c(OC)cccc32)c1 ZINC000652330553 1129763159 /nfs/dbraw/zinc/76/31/59/1129763159.db2.gz JCUKPPOQXAXPRJ-INIZCTEOSA-N 1 2 298.386 3.726 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cc(F)cc(F)c2)c1 ZINC000652330305 1129763176 /nfs/dbraw/zinc/76/31/76/1129763176.db2.gz CBIOWYQQGWLMQI-JTQLQIEISA-N 1 2 278.302 3.679 20 0 CHADLO CCOc1ccc([C@H](C)Nc2cc(COC)cc[nH+]2)cc1 ZINC000652330870 1129763539 /nfs/dbraw/zinc/76/35/39/1129763539.db2.gz RBSZFEDLQGHCIZ-ZDUSSCGKSA-N 1 2 286.375 3.800 20 0 CHADLO CCc1ccc([C@H](C)Nc2cc(COC)cc[nH+]2)cc1 ZINC000652329841 1129763570 /nfs/dbraw/zinc/76/35/70/1129763570.db2.gz KWAMFDKCDFFSTK-ZDUSSCGKSA-N 1 2 270.376 3.964 20 0 CHADLO CCC[C@H](Nc1cc(COC)cc[nH+]1)c1cccnc1 ZINC000652330570 1129763703 /nfs/dbraw/zinc/76/37/03/1129763703.db2.gz JQEQAPMEJAOGQZ-HNNXBMFYSA-N 1 2 271.364 3.576 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)on1 ZINC000653474650 1129780525 /nfs/dbraw/zinc/78/05/25/1129780525.db2.gz YJFXYCABWYJQKR-NSHDSACASA-N 1 2 298.342 3.816 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)on1 ZINC000653474650 1129780528 /nfs/dbraw/zinc/78/05/28/1129780528.db2.gz YJFXYCABWYJQKR-NSHDSACASA-N 1 2 298.342 3.816 20 0 CHADLO Cc1nsc(C)c1C[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000653564830 1129784639 /nfs/dbraw/zinc/78/46/39/1129784639.db2.gz NOUXGNTYHWMRJQ-HNNXBMFYSA-N 1 2 276.380 3.846 20 0 CHADLO Cc1nsc(C)c1C[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000653564830 1129784641 /nfs/dbraw/zinc/78/46/41/1129784641.db2.gz NOUXGNTYHWMRJQ-HNNXBMFYSA-N 1 2 276.380 3.846 20 0 CHADLO Cc1cc(NC(=O)[C@@]23C[C@@H]2CCCC3)ccc1-n1cc[nH+]c1 ZINC001136625635 1131397815 /nfs/dbraw/zinc/39/78/15/1131397815.db2.gz QRLQFGNYDVGEPZ-KSSFIOAISA-N 1 2 295.386 3.700 20 0 CHADLO Cc1cccc(C[N@@H+]2CCn3cccc3[C@@H]2C)c1F ZINC001137679081 1131398043 /nfs/dbraw/zinc/39/80/43/1131398043.db2.gz VQTKYUAYRIBCHS-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cccc(C[N@H+]2CCn3cccc3[C@@H]2C)c1F ZINC001137679081 1131398045 /nfs/dbraw/zinc/39/80/45/1131398045.db2.gz VQTKYUAYRIBCHS-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO CO[C@@H](C[NH+]1Cc2cc(F)c(F)cc2C1)c1ccccc1 ZINC000653831128 1129797481 /nfs/dbraw/zinc/79/74/81/1129797481.db2.gz VJOYVBTUANHNPP-KRWDZBQOSA-N 1 2 289.325 3.668 20 0 CHADLO C[C@H]([NH2+]CCC1=CCCCCC1)C(=O)OC(C)(C)C ZINC000767576005 1129798926 /nfs/dbraw/zinc/79/89/26/1129798926.db2.gz NMVGCBZIHJTNAN-ZDUSSCGKSA-N 1 2 267.413 3.587 20 0 CHADLO Cc1ccc(CSCc2cc[nH+]c(N(C)C)c2)cc1 ZINC000767867148 1129816599 /nfs/dbraw/zinc/81/65/99/1129816599.db2.gz AQJWPLWFUGJCPI-UHFFFAOYSA-N 1 2 272.417 3.889 20 0 CHADLO CCc1cnccc1[C@@H](C)[NH2+]Cc1csc(Cl)n1 ZINC000828775128 1131399417 /nfs/dbraw/zinc/39/94/17/1131399417.db2.gz RKEFBSMZQSEFGD-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO C/C(=C\C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)(C)C ZINC000742549209 1129823474 /nfs/dbraw/zinc/82/34/74/1129823474.db2.gz YOWCKYDUQUQVRI-JLHYYAGUSA-N 1 2 283.375 3.803 20 0 CHADLO Fc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1F ZINC001239586041 1131399855 /nfs/dbraw/zinc/39/98/55/1131399855.db2.gz NYEINESHQOEDCS-UHFFFAOYSA-N 1 2 274.245 3.957 20 0 CHADLO CC(C)=C[C@H]1[C@H](C(=O)NCc2c[nH+]c(C)cc2C)C1(C)C ZINC000654382958 1129825977 /nfs/dbraw/zinc/82/59/77/1129825977.db2.gz PYKKNXFNJLLIBV-JKSUJKDBSA-N 1 2 286.419 3.553 20 0 CHADLO Fc1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1F ZINC001239584837 1131399997 /nfs/dbraw/zinc/39/99/97/1131399997.db2.gz WOEKYRSEGDWOKX-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO C[C@H]1C[N@H+](Cc2coc(C3CC3)n2)Cc2ccccc21 ZINC000768194540 1129842927 /nfs/dbraw/zinc/84/29/27/1129842927.db2.gz AHDMZXLAPXKICS-LBPRGKRZSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@H]1C[N@@H+](Cc2coc(C3CC3)n2)Cc2ccccc21 ZINC000768194540 1129842931 /nfs/dbraw/zinc/84/29/31/1129842931.db2.gz AHDMZXLAPXKICS-LBPRGKRZSA-N 1 2 268.360 3.671 20 0 CHADLO CC[N@@H+](Cc1coc(C2CC2)n1)Cc1cccs1 ZINC000768196387 1129843148 /nfs/dbraw/zinc/84/31/48/1129843148.db2.gz BYVDWOBLNKTSAN-UHFFFAOYSA-N 1 2 262.378 3.636 20 0 CHADLO CC[N@H+](Cc1coc(C2CC2)n1)Cc1cccs1 ZINC000768196387 1129843154 /nfs/dbraw/zinc/84/31/54/1129843154.db2.gz BYVDWOBLNKTSAN-UHFFFAOYSA-N 1 2 262.378 3.636 20 0 CHADLO CCCNc1cc(C)[nH+]c(/C=C/c2ccccc2)n1 ZINC001239587443 1131401595 /nfs/dbraw/zinc/40/15/95/1131401595.db2.gz KFZRPTMHJYCXSW-MDZDMXLPSA-N 1 2 253.349 3.777 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1coc(C2CC2)n1 ZINC000768417497 1129857997 /nfs/dbraw/zinc/85/79/97/1129857997.db2.gz QRLBLVBKDKIBCW-JTQLQIEISA-N 1 2 262.378 3.807 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1coc(C2CC2)n1 ZINC000768417497 1129858004 /nfs/dbraw/zinc/85/80/04/1129858004.db2.gz QRLBLVBKDKIBCW-JTQLQIEISA-N 1 2 262.378 3.807 20 0 CHADLO CCSCc1cc[nH+]c(NC2CC(C(F)F)C2)c1 ZINC000768705852 1129869817 /nfs/dbraw/zinc/86/98/17/1129869817.db2.gz CQZOSCGEJDJZGA-UHFFFAOYSA-N 1 2 272.364 3.790 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2c(C)cccc2F)cs1 ZINC000772865050 1131405114 /nfs/dbraw/zinc/40/51/14/1131405114.db2.gz RGDDKEAZDJLAHF-JTQLQIEISA-N 1 2 264.369 3.750 20 0 CHADLO CC(C)SCCSc1[nH+]cc2ccccn21 ZINC000800831285 1129892242 /nfs/dbraw/zinc/89/22/42/1129892242.db2.gz LLYUXPLPYSEXDR-UHFFFAOYSA-N 1 2 252.408 3.568 20 0 CHADLO COc1ccc([NH2+][C@H]2CC[C@H]2C2CCC2)c(OC)c1 ZINC000800977269 1129901711 /nfs/dbraw/zinc/90/17/11/1129901711.db2.gz YEHQXQRHWZIZPZ-KBPBESRZSA-N 1 2 261.365 3.694 20 0 CHADLO Clc1ccc2[nH]cc(C[N@@H+]3CCCCC34COC4)c2c1 ZINC001137712429 1131406516 /nfs/dbraw/zinc/40/65/16/1131406516.db2.gz BWXUQANVLZCQKP-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1ccc2[nH]cc(C[N@H+]3CCCCC34COC4)c2c1 ZINC001137712429 1131406518 /nfs/dbraw/zinc/40/65/18/1131406518.db2.gz BWXUQANVLZCQKP-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@H](F)c1ccccc1 ZINC000769566524 1129918127 /nfs/dbraw/zinc/91/81/27/1129918127.db2.gz VEMWHCOEHIKWDR-OAHLLOKOSA-N 1 2 295.317 3.726 20 0 CHADLO C[N@H+](C/C(Cl)=C\Cl)[C@@H]1CCc2ccccc21 ZINC000746499143 1129933071 /nfs/dbraw/zinc/93/30/71/1129933071.db2.gz GAFNGPKYLVEKJO-RUNBWSAHSA-N 1 2 256.176 3.925 20 0 CHADLO C[N@@H+](C/C(Cl)=C\Cl)[C@@H]1CCc2ccccc21 ZINC000746499143 1129933074 /nfs/dbraw/zinc/93/30/74/1129933074.db2.gz GAFNGPKYLVEKJO-RUNBWSAHSA-N 1 2 256.176 3.925 20 0 CHADLO C[N@H+](C/C(Cl)=C/Cl)[C@@H]1CCc2ccccc21 ZINC000746499142 1129933356 /nfs/dbraw/zinc/93/33/56/1129933356.db2.gz GAFNGPKYLVEKJO-BIRHUZIMSA-N 1 2 256.176 3.925 20 0 CHADLO C[N@@H+](C/C(Cl)=C/Cl)[C@@H]1CCc2ccccc21 ZINC000746499142 1129933359 /nfs/dbraw/zinc/93/33/59/1129933359.db2.gz GAFNGPKYLVEKJO-BIRHUZIMSA-N 1 2 256.176 3.925 20 0 CHADLO Cc1nc(/C=C/c2[nH]c(C)c(C)[nH+]2)sc1Br ZINC000901518805 1129933434 /nfs/dbraw/zinc/93/34/34/1129933434.db2.gz ZBONYDORUCGTKP-SNAWJCMRSA-N 1 2 298.209 3.724 20 0 CHADLO FC1=CCC[N@H+](Cc2ccccc2N2CCCCC2)C1 ZINC000770667377 1129954241 /nfs/dbraw/zinc/95/42/41/1129954241.db2.gz QLCYTVYGEHUBOC-UHFFFAOYSA-N 1 2 274.383 3.736 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccccc2N2CCCCC2)C1 ZINC000770667377 1129954242 /nfs/dbraw/zinc/95/42/42/1129954242.db2.gz QLCYTVYGEHUBOC-UHFFFAOYSA-N 1 2 274.383 3.736 20 0 CHADLO CCCC(=CC(=O)Nc1ccc2[nH+]ccn2c1)CCC ZINC000770733100 1129957634 /nfs/dbraw/zinc/95/76/34/1129957634.db2.gz JRJXNUMYTJXWQN-UHFFFAOYSA-N 1 2 271.364 3.799 20 0 CHADLO CCc1ccc([C@H]2COCC[N@@H+]2CCSC(C)(C)C)o1 ZINC000801922775 1129959495 /nfs/dbraw/zinc/95/94/95/1129959495.db2.gz OHSAGRCLJUODAQ-CQSZACIVSA-N 1 2 297.464 3.747 20 0 CHADLO CCc1ccc([C@H]2COCC[N@H+]2CCSC(C)(C)C)o1 ZINC000801922775 1129959497 /nfs/dbraw/zinc/95/94/97/1129959497.db2.gz OHSAGRCLJUODAQ-CQSZACIVSA-N 1 2 297.464 3.747 20 0 CHADLO CC(C)C[C@H]1CC[N@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000746379524 1129972748 /nfs/dbraw/zinc/97/27/48/1129972748.db2.gz LRIZMCHROCEUEJ-LLVKDONJSA-N 1 2 297.493 3.877 20 0 CHADLO CC(C)C[C@H]1CC[N@@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000746379524 1129972750 /nfs/dbraw/zinc/97/27/50/1129972750.db2.gz LRIZMCHROCEUEJ-LLVKDONJSA-N 1 2 297.493 3.877 20 0 CHADLO Cc1cc(NCc2cnc(Cl)c(F)c2)nc(C(C)C)[nH+]1 ZINC000902185204 1129986732 /nfs/dbraw/zinc/98/67/32/1129986732.db2.gz GZODNUGKRKZTGT-UHFFFAOYSA-N 1 2 294.761 3.708 20 0 CHADLO CC[N@H+](Cc1c(C)nn(C)c1Cl)Cc1ccc(F)cc1 ZINC001137750386 1131412468 /nfs/dbraw/zinc/41/24/68/1131412468.db2.gz YXWLJIBSGFUNLT-UHFFFAOYSA-N 1 2 295.789 3.543 20 0 CHADLO CC[N@@H+](Cc1c(C)nn(C)c1Cl)Cc1ccc(F)cc1 ZINC001137750386 1131412470 /nfs/dbraw/zinc/41/24/70/1131412470.db2.gz YXWLJIBSGFUNLT-UHFFFAOYSA-N 1 2 295.789 3.543 20 0 CHADLO CN(C)c1cc(CSC[C@H]2C[C@@H]3[C@H](C2)C3(F)F)cc[nH+]1 ZINC000902372359 1130003824 /nfs/dbraw/zinc/00/38/24/1130003824.db2.gz YVMIXDWNSKHQJV-CLLJXQQHSA-N 1 2 298.402 3.672 20 0 CHADLO CC(C)c1ccc(-c2cncc(C[NH+]3CCOCC3)c2)cc1 ZINC001239626393 1130020113 /nfs/dbraw/zinc/02/01/13/1130020113.db2.gz AEFYNGVLDLOBEL-UHFFFAOYSA-N 1 2 296.414 3.704 20 0 CHADLO C[C@@H](CCO)[N@H+](C/C(Cl)=C\Cl)Cc1ccccc1 ZINC000772389197 1130020300 /nfs/dbraw/zinc/02/03/00/1130020300.db2.gz QGGSCYBKBDXPCD-IGVUGNCQSA-N 1 2 288.218 3.579 20 0 CHADLO C[C@@H](CCO)[N@@H+](C/C(Cl)=C\Cl)Cc1ccccc1 ZINC000772389197 1130020306 /nfs/dbraw/zinc/02/03/06/1130020306.db2.gz QGGSCYBKBDXPCD-IGVUGNCQSA-N 1 2 288.218 3.579 20 0 CHADLO CNc1ccccc1C[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000902634293 1130033524 /nfs/dbraw/zinc/03/35/24/1130033524.db2.gz FFHDYESATJACDW-INIZCTEOSA-N 1 2 276.330 3.668 20 0 CHADLO CC[C@H]1c2ccccc2C[N@H+]1Cn1cc(C)cnc1=S ZINC000902666217 1130036344 /nfs/dbraw/zinc/03/63/44/1130036344.db2.gz MSDNMWRKJFOMFQ-HNNXBMFYSA-N 1 2 285.416 3.845 20 0 CHADLO CC[C@H]1c2ccccc2C[N@@H+]1Cn1cc(C)cnc1=S ZINC000902666217 1130036349 /nfs/dbraw/zinc/03/63/49/1130036349.db2.gz MSDNMWRKJFOMFQ-HNNXBMFYSA-N 1 2 285.416 3.845 20 0 CHADLO COc1ccc(C(C)C)cc1-c1c[nH+]c(N)c(C)c1 ZINC001239660398 1130038496 /nfs/dbraw/zinc/03/84/96/1130038496.db2.gz JWVWLURQTGSVPM-UHFFFAOYSA-N 1 2 256.349 3.771 20 0 CHADLO COc1cccc(OC)c1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001239671094 1130044924 /nfs/dbraw/zinc/04/49/24/1130044924.db2.gz NJVBYSMBNWLMAJ-UHFFFAOYSA-N 1 2 280.327 3.557 20 0 CHADLO COc1cccc(OC)c1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001239675051 1130047178 /nfs/dbraw/zinc/04/71/78/1130047178.db2.gz WPYTXWSMVHNBIK-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO Clc1ccc(OC[C@H]2CCCN2c2cccc[nH+]2)cc1 ZINC000785732288 1130051611 /nfs/dbraw/zinc/05/16/11/1130051611.db2.gz FFISZBZJFPDABF-CQSZACIVSA-N 1 2 288.778 3.783 20 0 CHADLO CCc1c2ccccc2oc1[C@H](C)[NH2+][C@@H](C)c1cn(C)nn1 ZINC000902783239 1130057330 /nfs/dbraw/zinc/05/73/30/1130057330.db2.gz BLUKALCTGJSXGF-RYUDHWBXSA-N 1 2 298.390 3.536 20 0 CHADLO NC(=[NH+]OCc1cccc(Cl)c1F)c1ccccc1 ZINC000786114806 1130078416 /nfs/dbraw/zinc/07/84/16/1130078416.db2.gz UCVQYLHSOQRQBW-UHFFFAOYSA-N 1 2 278.714 3.526 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@H]1CC[C@@H]2[C@H]3OCC[C@H]3[C@@H]21 ZINC000902899094 1130080738 /nfs/dbraw/zinc/08/07/38/1130080738.db2.gz CJDIGDWVEGAOMX-LTFXXXRZSA-N 1 2 298.430 3.512 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+][C@H]1CC[C@@H]2[C@H]3OCC[C@H]3[C@@H]21 ZINC000902899094 1130080744 /nfs/dbraw/zinc/08/07/44/1130080744.db2.gz CJDIGDWVEGAOMX-LTFXXXRZSA-N 1 2 298.430 3.512 20 0 CHADLO Cc1cc(F)ccc1-c1cc[nH+]c(N2CCCC2)c1 ZINC001239739941 1130082496 /nfs/dbraw/zinc/08/24/96/1130082496.db2.gz FULQKQBTGVXPLL-UHFFFAOYSA-N 1 2 256.324 3.796 20 0 CHADLO COc1cccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)c1F ZINC001239751616 1130091923 /nfs/dbraw/zinc/09/19/23/1130091923.db2.gz KWEHWCKQHMRWKV-UHFFFAOYSA-N 1 2 295.304 3.841 20 0 CHADLO CC(=O)Nc1ccccc1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001239782071 1130105792 /nfs/dbraw/zinc/10/57/92/1130105792.db2.gz KPSNVTFUIBJGAW-UHFFFAOYSA-N 1 2 291.354 3.713 20 0 CHADLO Cc1cc(-c2cnc3ccccc3c2)c2[nH+]ccn2c1 ZINC001239793104 1130110384 /nfs/dbraw/zinc/11/03/84/1130110384.db2.gz GDJUBFAVNCQVCD-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COc1ccc(Cl)c2c1CCC[C@H]2[NH2+]Cc1ccon1 ZINC000903104954 1130113399 /nfs/dbraw/zinc/11/33/99/1130113399.db2.gz NGPLUHBTQBXPOU-CYBMUJFWSA-N 1 2 292.766 3.504 20 0 CHADLO C[C@@H]1COCCN1c1ccc([NH2+][C@H]2CCC[C@@H]3C[C@@H]32)cc1 ZINC000903146649 1130119402 /nfs/dbraw/zinc/11/94/02/1130119402.db2.gz JBRPOTPQSMARFA-KNCCTNLNSA-N 1 2 286.419 3.512 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc(C)cc1C ZINC001239811931 1130119761 /nfs/dbraw/zinc/11/97/61/1130119761.db2.gz IIYHPAIHLRHEEE-UHFFFAOYSA-N 1 2 252.317 3.855 20 0 CHADLO COc1cccc(-c2ccc(Cn3cc[nH+]c3)cc2)c1OC ZINC001239817020 1130121836 /nfs/dbraw/zinc/12/18/36/1130121836.db2.gz PBGMJQIMIKLOQV-UHFFFAOYSA-N 1 2 294.354 3.616 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2nccc3c2CCCC3)n1 ZINC000903182015 1130124694 /nfs/dbraw/zinc/12/46/94/1130124694.db2.gz LNSFGAXRECGGSS-GFCCVEGCSA-N 1 2 287.432 3.576 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000787164411 1130135069 /nfs/dbraw/zinc/13/50/69/1130135069.db2.gz RXIXZUSFTMPXMU-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC000787164411 1130135072 /nfs/dbraw/zinc/13/50/72/1130135072.db2.gz RXIXZUSFTMPXMU-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO COc1c(Cl)cccc1C[NH2+][C@H](C)c1nc(C)cs1 ZINC000903248051 1130136639 /nfs/dbraw/zinc/13/66/39/1130136639.db2.gz ZZMPTBODPCNWFN-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCCC[C@@H]2c2cccn2C)o1 ZINC000746681310 1130150288 /nfs/dbraw/zinc/15/02/88/1130150288.db2.gz XYKXPGXLZPIJKS-OAHLLOKOSA-N 1 2 287.407 3.864 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCCC[C@@H]2c2cccn2C)o1 ZINC000746681310 1130150319 /nfs/dbraw/zinc/15/03/19/1130150319.db2.gz XYKXPGXLZPIJKS-OAHLLOKOSA-N 1 2 287.407 3.864 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(C(=O)NCC(C)C)cc1 ZINC001239914269 1130152222 /nfs/dbraw/zinc/15/22/22/1130152222.db2.gz VWOKMYBJWJUMGT-UHFFFAOYSA-N 1 2 282.387 3.751 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1cccnc1 ZINC000903313693 1130152875 /nfs/dbraw/zinc/15/28/75/1130152875.db2.gz WWGHUTNUZNASME-AWEZNQCLSA-N 1 2 287.407 3.998 20 0 CHADLO CC[C@@H](C)[C@@H](C(=O)OCc1cc[nH+]c(N)c1)c1ccccc1 ZINC000787562803 1130154671 /nfs/dbraw/zinc/15/46/71/1130154671.db2.gz JYLYNTBOHIDHKY-CXAGYDPISA-N 1 2 298.386 3.537 20 0 CHADLO Cc1cn2c(cccc2-c2ccc3c(ccn3C)c2)[nH+]1 ZINC001239940817 1130162172 /nfs/dbraw/zinc/16/21/72/1130162172.db2.gz PTFDIFPAZDVDEQ-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO Cc1cc(-c2cncc3ccccc32)c2[nH+]ccn2c1 ZINC001239947618 1130166309 /nfs/dbraw/zinc/16/63/09/1130166309.db2.gz SURGZDQTOQHBQM-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COCc1ccccc1-c1cc(F)c(C[NH+](C)C)c(F)c1 ZINC001239955476 1130170620 /nfs/dbraw/zinc/17/06/20/1130170620.db2.gz RGQLHYRCXVTSDS-UHFFFAOYSA-N 1 2 291.341 3.840 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+][C@@H](c1ccncc1)C1CC1 ZINC000903430463 1130176363 /nfs/dbraw/zinc/17/63/63/1130176363.db2.gz XGEVUDMWOMERQN-NXHRZFHOSA-N 1 2 298.361 3.594 20 0 CHADLO FC1(CNc2[nH+]ccc3cc(Cl)ccc32)CCOCC1 ZINC001157351241 1130184032 /nfs/dbraw/zinc/18/40/32/1130184032.db2.gz ZQYBBTJNEWRPFN-UHFFFAOYSA-N 1 2 294.757 3.819 20 0 CHADLO Cc1cc(N(C)C)nc(-c2ccc(N3CCCCC3)cc2)[nH+]1 ZINC001240006907 1130190313 /nfs/dbraw/zinc/19/03/13/1130190313.db2.gz WKTMTQIAEPGPFG-UHFFFAOYSA-N 1 2 296.418 3.508 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC001240020358 1130195301 /nfs/dbraw/zinc/19/53/01/1130195301.db2.gz AVKHIEZUORYCOO-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+]C3CC(C)(C)C3)cc2)CCO1 ZINC000788597026 1130196635 /nfs/dbraw/zinc/19/66/35/1130196635.db2.gz XARWADGSHMKXFT-CYBMUJFWSA-N 1 2 274.408 3.512 20 0 CHADLO CCc1cnc(C[NH2+][C@@H]2CCc3ccc(Cl)nc32)s1 ZINC000788615642 1130197704 /nfs/dbraw/zinc/19/77/04/1130197704.db2.gz WEUABQZUVYCHCK-LLVKDONJSA-N 1 2 293.823 3.531 20 0 CHADLO CCc1cnc(C[NH2+][C@H]2CCc3ccc(Cl)nc32)s1 ZINC000788615643 1130198418 /nfs/dbraw/zinc/19/84/18/1130198418.db2.gz WEUABQZUVYCHCK-NSHDSACASA-N 1 2 293.823 3.531 20 0 CHADLO Fc1cc(Br)cc(C[NH+]2CC3(C2)CCCC3)c1 ZINC001139172529 1130223502 /nfs/dbraw/zinc/22/35/02/1130223502.db2.gz PNQYXJQCMCKKIJ-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO COc1ccc2c(C)cc(-c3ccc4[nH+]ccn4c3)nc2c1 ZINC001240213827 1130233308 /nfs/dbraw/zinc/23/33/08/1130233308.db2.gz HPEXGGBPNOHGDK-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO Cc1ccc2c(ccnc2-c2ccc3[nH+]ccn3c2)c1 ZINC001240214241 1130234007 /nfs/dbraw/zinc/23/40/07/1130234007.db2.gz MFVZRVPZORGKBB-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCCOc1ccc(F)cc1-c1ccc2[nH+]ccn2c1 ZINC001240218293 1130236092 /nfs/dbraw/zinc/23/60/92/1130236092.db2.gz AHNDVRTZAGDKRK-UHFFFAOYSA-N 1 2 270.307 3.929 20 0 CHADLO Fc1cccc2cc(-c3ccc4[nH+]ccn4c3)cnc12 ZINC001240218995 1130237125 /nfs/dbraw/zinc/23/71/25/1130237125.db2.gz TYGFWFFENOCWOL-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CCOc1ccc(-c2ccc3[nH+]ccn3c2)cc1C ZINC001240219127 1130237384 /nfs/dbraw/zinc/23/73/84/1130237384.db2.gz ASRKZAWZSLSFOX-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO Fc1cccc2ccnc(-c3ccc4[nH+]ccn4c3)c12 ZINC001240218847 1130237505 /nfs/dbraw/zinc/23/75/05/1130237505.db2.gz MCGIASZFUONODZ-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Fc1cc2cc[nH]c2cc1-c1ccc2[nH+]ccn2c1 ZINC001240219512 1130237758 /nfs/dbraw/zinc/23/77/58/1130237758.db2.gz LDVLIHZJBNKHED-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO CCc1cc(-c2ccc3[nH+]ccn3c2)ccc1OC ZINC001240220475 1130238183 /nfs/dbraw/zinc/23/81/83/1130238183.db2.gz GMXPRQGEDDHLDZ-UHFFFAOYSA-N 1 2 252.317 3.572 20 0 CHADLO c1cn2cc(-c3ccnc(OCC4CCC4)c3)ccc2[nH+]1 ZINC001240221557 1130239407 /nfs/dbraw/zinc/23/94/07/1130239407.db2.gz LVKRNXMICNDTDM-UHFFFAOYSA-N 1 2 279.343 3.575 20 0 CHADLO CC1(C)CC(=O)Nc2cccc(-c3ccc4[nH+]ccn4c3)c21 ZINC001240222017 1130239614 /nfs/dbraw/zinc/23/96/14/1130239614.db2.gz UIDPQGYQYUSOHP-UHFFFAOYSA-N 1 2 291.354 3.621 20 0 CHADLO COc1c(C)cc(C)cc1-c1ccc2[nH+]ccn2c1 ZINC001240221565 1130240023 /nfs/dbraw/zinc/24/00/23/1130240023.db2.gz MOOGBYHZRTWKST-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2cccc3n[nH]cc32)cc1 ZINC001240314000 1130262821 /nfs/dbraw/zinc/26/28/21/1130262821.db2.gz FBHSZSQNLAUGJK-UHFFFAOYSA-N 1 2 274.327 3.630 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2ccncc2)c1Cl ZINC001137287351 1130291592 /nfs/dbraw/zinc/29/15/92/1130291592.db2.gz HLHDLVXCZYZHFR-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2ccncc2)c1Cl ZINC001137287351 1130291595 /nfs/dbraw/zinc/29/15/95/1130291595.db2.gz HLHDLVXCZYZHFR-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc2cc(-c3cc[nH+]c(N4CCCCC4)c3)cnc2[nH]1 ZINC001240481656 1130322521 /nfs/dbraw/zinc/32/25/21/1130322521.db2.gz CXPTZLIZWHFVMW-UHFFFAOYSA-N 1 2 292.386 3.924 20 0 CHADLO CSc1nc2sccc2c(-c2ccn3cc[nH+]c3c2)n1 ZINC001240496084 1130328408 /nfs/dbraw/zinc/32/84/08/1130328408.db2.gz GPXHTIXHTVJCJB-UHFFFAOYSA-N 1 2 298.396 3.728 20 0 CHADLO Cc1cccc2c1ccnc2-c1ccn2cc[nH+]c2c1 ZINC001240496145 1130328432 /nfs/dbraw/zinc/32/84/32/1130328432.db2.gz HJIUAJXUTCBAMZ-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC[N@H+](CCCO)Cc1c(Cl)ccc(Cl)c1Cl ZINC000678278927 1130389777 /nfs/dbraw/zinc/38/97/77/1130389777.db2.gz GYMMFSHLZZGVQL-UHFFFAOYSA-N 1 2 296.625 3.851 20 0 CHADLO Cc1ccc2nc(-c3ccn4cc[nH+]c4c3)ccc2c1 ZINC001240496265 1130328547 /nfs/dbraw/zinc/32/85/47/1130328547.db2.gz KKUPVHZNZAYJRB-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cc2ccccc2nc1-c1ccn2cc[nH+]c2c1 ZINC001240496688 1130329250 /nfs/dbraw/zinc/32/92/50/1130329250.db2.gz QHLYKWJJCRFJJC-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cccnc2OCC)cc1 ZINC001240497931 1130329288 /nfs/dbraw/zinc/32/92/88/1130329288.db2.gz BJGVRUZPHLJDSG-UHFFFAOYSA-N 1 2 270.332 3.509 20 0 CHADLO Cc1sccc1-c1ccccc1Cn1cc[nH+]c1 ZINC001240501355 1130331820 /nfs/dbraw/zinc/33/18/20/1130331820.db2.gz FHDOEARTINXAEF-UHFFFAOYSA-N 1 2 254.358 3.968 20 0 CHADLO COc1cc2ccnc(-c3ccn4cc[nH+]c4c3)c2cc1F ZINC001240504078 1130332526 /nfs/dbraw/zinc/33/25/26/1130332526.db2.gz XQUSWSJKZBSGAU-UHFFFAOYSA-N 1 2 293.301 3.697 20 0 CHADLO c1csc(-c2cncc(-c3ccn4cc[nH+]c4c3)n2)c1 ZINC001240503133 1130333313 /nfs/dbraw/zinc/33/33/13/1130333313.db2.gz HAMRJFZAEGCMED-UHFFFAOYSA-N 1 2 278.340 3.520 20 0 CHADLO CC[C@@H](C)c1nc2[nH]ccc2c(-c2ccn3cc[nH+]c3c2)n1 ZINC001240503160 1130333471 /nfs/dbraw/zinc/33/34/71/1130333471.db2.gz IFUVOWLHSYMCNB-LLVKDONJSA-N 1 2 291.358 3.738 20 0 CHADLO c1cn2ccc(-c3ccc(C4=CCOCC4)cc3)cc2[nH+]1 ZINC001240502968 1130333541 /nfs/dbraw/zinc/33/35/41/1130333541.db2.gz COCQHPHQXVGCPO-UHFFFAOYSA-N 1 2 276.339 3.805 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)ccc1OC(F)F ZINC001240511279 1130335237 /nfs/dbraw/zinc/33/52/37/1130335237.db2.gz CROHABWRSYFMKJ-UHFFFAOYSA-N 1 2 274.270 3.911 20 0 CHADLO Fc1ccc(OC(F)F)c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240511558 1130335425 /nfs/dbraw/zinc/33/54/25/1130335425.db2.gz HFAWPYSXUBLGCI-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO CCc1cc(NC(C)=O)cc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240511041 1130335501 /nfs/dbraw/zinc/33/55/01/1130335501.db2.gz ZVGKLESXKICQMV-UHFFFAOYSA-N 1 2 279.343 3.522 20 0 CHADLO Fc1cc(-c2ccn3cc[nH+]c3c2)cc2[nH]ccc21 ZINC001240510617 1130336023 /nfs/dbraw/zinc/33/60/23/1130336023.db2.gz OTCJPNFFMLVCFC-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO COc1c(C)cc(C)cc1-c1ccn2cc[nH+]c2c1 ZINC001240518006 1130341064 /nfs/dbraw/zinc/34/10/64/1130341064.db2.gz KSIDZJDACRYDCH-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CNc1cc(-c2ccc(OC(C)C)cc2C)cc[nH+]1 ZINC001243280410 1130352391 /nfs/dbraw/zinc/35/23/91/1130352391.db2.gz MVDSCIBSABIHNP-UHFFFAOYSA-N 1 2 256.349 3.886 20 0 CHADLO c1cc(-c2cccc(-c3cc[nH+]c(N4CCCC4)c3)c2)n[nH]1 ZINC001240562284 1130359775 /nfs/dbraw/zinc/35/97/75/1130359775.db2.gz XIXPPLOAQBMNQL-UHFFFAOYSA-N 1 2 290.370 3.739 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3[nH]c4ccc(C)cc4c3C2)o1 ZINC000678171972 1130367152 /nfs/dbraw/zinc/36/71/52/1130367152.db2.gz QUHGZRZFYOEXBK-UHFFFAOYSA-N 1 2 280.371 3.936 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3[nH]c4ccc(C)cc4c3C2)o1 ZINC000678171972 1130367157 /nfs/dbraw/zinc/36/71/57/1130367157.db2.gz QUHGZRZFYOEXBK-UHFFFAOYSA-N 1 2 280.371 3.936 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)C[C@H](C)C1CC1 ZINC000791352991 1130367298 /nfs/dbraw/zinc/36/72/98/1130367298.db2.gz WAVIWBBAOFAING-AWEZNQCLSA-N 1 2 298.386 3.660 20 0 CHADLO Cc1cc(-c2ccc(N3CCCCC3)nc2)c2[nH+]ccn2c1 ZINC001240585543 1130372946 /nfs/dbraw/zinc/37/29/46/1130372946.db2.gz LZZRJNCBFUMUQL-UHFFFAOYSA-N 1 2 292.386 3.695 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)[C@@H]1C[C@H]1C(C)C ZINC000791444975 1130374318 /nfs/dbraw/zinc/37/43/18/1130374318.db2.gz KTXHEQKXBLLLKP-DLBZAZTESA-N 1 2 298.386 3.516 20 0 CHADLO CCC[N@H+](CC(F)F)C[C@@H]1CCOc2ccccc21 ZINC000678222944 1130375047 /nfs/dbraw/zinc/37/50/47/1130375047.db2.gz YYSVGYSFVNPAIK-LBPRGKRZSA-N 1 2 269.335 3.530 20 0 CHADLO CCC[N@@H+](CC(F)F)C[C@@H]1CCOc2ccccc21 ZINC000678222944 1130375052 /nfs/dbraw/zinc/37/50/52/1130375052.db2.gz YYSVGYSFVNPAIK-LBPRGKRZSA-N 1 2 269.335 3.530 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(N2CCCC2)cc1 ZINC001240590861 1130375033 /nfs/dbraw/zinc/37/50/33/1130375033.db2.gz DVOFQPYYGAYSAC-UHFFFAOYSA-N 1 2 293.370 3.610 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cc(C)cc(F)c1 ZINC001240594220 1130378410 /nfs/dbraw/zinc/37/84/10/1130378410.db2.gz LHOJTNBVXNTGLR-UHFFFAOYSA-N 1 2 256.280 3.686 20 0 CHADLO Cc1ncc(CNc2[nH+]ccc3cc(Cl)ccc32)cn1 ZINC001157595801 1130379437 /nfs/dbraw/zinc/37/94/37/1130379437.db2.gz MYCDEKRMUHWGEK-UHFFFAOYSA-N 1 2 284.750 3.599 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccccc1C1CC1 ZINC000678273938 1130386029 /nfs/dbraw/zinc/38/60/29/1130386029.db2.gz OWNHTVMOAMXZMW-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccccc1C1CC1 ZINC000678273938 1130386031 /nfs/dbraw/zinc/38/60/31/1130386031.db2.gz OWNHTVMOAMXZMW-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO COc1ccccc1C[N@@H+]1C[C@H](C)OC[C@@H]1c1ccccc1 ZINC000678280650 1130389259 /nfs/dbraw/zinc/38/92/59/1130389259.db2.gz XFZQPRYCWLOURQ-MAUKXSAKSA-N 1 2 297.398 3.657 20 0 CHADLO COc1ccccc1C[N@H+]1C[C@H](C)OC[C@@H]1c1ccccc1 ZINC000678280650 1130389263 /nfs/dbraw/zinc/38/92/63/1130389263.db2.gz XFZQPRYCWLOURQ-MAUKXSAKSA-N 1 2 297.398 3.657 20 0 CHADLO CC[N@@H+](CCCO)Cc1c(Cl)ccc(Cl)c1Cl ZINC000678278927 1130389781 /nfs/dbraw/zinc/38/97/81/1130389781.db2.gz GYMMFSHLZZGVQL-UHFFFAOYSA-N 1 2 296.625 3.851 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2C2CC2)C[C@@H](C(F)(F)F)O1 ZINC000678281688 1130390209 /nfs/dbraw/zinc/39/02/09/1130390209.db2.gz SRNAWGCGBTWJDU-NHYWBVRUSA-N 1 2 299.336 3.716 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2C2CC2)C[C@@H](C(F)(F)F)O1 ZINC000678281688 1130390216 /nfs/dbraw/zinc/39/02/16/1130390216.db2.gz SRNAWGCGBTWJDU-NHYWBVRUSA-N 1 2 299.336 3.716 20 0 CHADLO CCOc1cccc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)n1 ZINC000678283747 1130390840 /nfs/dbraw/zinc/39/08/40/1130390840.db2.gz QWWBQDFQMYOITH-INIZCTEOSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1cccc(C[N@H+]2CC[C@H]2c2cccc(F)c2)n1 ZINC000678283747 1130390847 /nfs/dbraw/zinc/39/08/47/1130390847.db2.gz QWWBQDFQMYOITH-INIZCTEOSA-N 1 2 286.350 3.566 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2C2CC2)C[C@H](C(F)(F)F)O1 ZINC000678281689 1130391236 /nfs/dbraw/zinc/39/12/36/1130391236.db2.gz SRNAWGCGBTWJDU-XHDPSFHLSA-N 1 2 299.336 3.716 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2C2CC2)C[C@H](C(F)(F)F)O1 ZINC000678281689 1130391241 /nfs/dbraw/zinc/39/12/41/1130391241.db2.gz SRNAWGCGBTWJDU-XHDPSFHLSA-N 1 2 299.336 3.716 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2cn3cccc(F)c3n2)CC1 ZINC000678342514 1130401765 /nfs/dbraw/zinc/40/17/65/1130401765.db2.gz VKAWXXMXLHCZFX-UHFFFAOYSA-N 1 2 287.382 3.652 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2cn3cccc(F)c3n2)CC1 ZINC000678342514 1130401769 /nfs/dbraw/zinc/40/17/69/1130401769.db2.gz VKAWXXMXLHCZFX-UHFFFAOYSA-N 1 2 287.382 3.652 20 0 CHADLO COc1cc(-c2cc3[nH]ccc3c[nH+]2)cc(F)c1F ZINC001240658873 1130402179 /nfs/dbraw/zinc/40/21/79/1130402179.db2.gz PIICXIOKDKCYOX-UHFFFAOYSA-N 1 2 260.243 3.517 20 0 CHADLO COc1cc(-c2ccc(-n3cc[nH+]c3)cc2)cc(F)c1F ZINC001240662040 1130408348 /nfs/dbraw/zinc/40/83/48/1130408348.db2.gz XMLRMYFXLLTXCT-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO CCCCCC[C@@H](C)CC(=O)OCc1cc[nH+]c(N)c1 ZINC000791837963 1130412584 /nfs/dbraw/zinc/41/25/84/1130412584.db2.gz GMLRCKLIKVDGAZ-CYBMUJFWSA-N 1 2 278.396 3.704 20 0 CHADLO C[C@H]1C[C@@H](CC(=O)OCc2cc[nH+]c(N)c2)CC(C)(C)C1 ZINC000791837709 1130412647 /nfs/dbraw/zinc/41/26/47/1130412647.db2.gz DAOKBOKCSWNZMJ-JSGCOSHPSA-N 1 2 290.407 3.560 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1nc(C)sc1C)c1ccccc1 ZINC000678587865 1130431997 /nfs/dbraw/zinc/43/19/97/1130431997.db2.gz FLGNMWZTVDBAQM-IAQYHMDHSA-N 1 2 290.432 3.798 20 0 CHADLO Fc1c[nH+]ccc1N1CCC(c2ccccc2)CC1 ZINC000678788858 1130455511 /nfs/dbraw/zinc/45/55/11/1130455511.db2.gz OMIXJVOGSLXZPA-UHFFFAOYSA-N 1 2 256.324 3.605 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cncc(C(F)(F)F)c1 ZINC001240791846 1130474961 /nfs/dbraw/zinc/47/49/61/1130474961.db2.gz KVLQODRJPGVCOG-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Fc1ccccc1[C@@H]([NH2+]Cc1csnn1)C1CCCC1 ZINC000678938597 1130475321 /nfs/dbraw/zinc/47/53/21/1130475321.db2.gz DHGMPTDLJZYQPF-HNNXBMFYSA-N 1 2 291.395 3.698 20 0 CHADLO Fc1c(Cl)cccc1C[NH+]1CCC(F)(F)CC1 ZINC000793361069 1130544581 /nfs/dbraw/zinc/54/45/81/1130544581.db2.gz CLTYWSCEYKKGPB-UHFFFAOYSA-N 1 2 263.690 3.710 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1nc2c(s1)CCCC2 ZINC000678957602 1130478229 /nfs/dbraw/zinc/47/82/29/1130478229.db2.gz UQPPSDYAFBVUQY-NSHDSACASA-N 1 2 276.405 3.808 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1nc2c(s1)CCCC2 ZINC000678957602 1130478235 /nfs/dbraw/zinc/47/82/35/1130478235.db2.gz UQPPSDYAFBVUQY-NSHDSACASA-N 1 2 276.405 3.808 20 0 CHADLO CCSc1ccccc1[C@@H](C)[NH2+]Cc1cocn1 ZINC000679048248 1130487646 /nfs/dbraw/zinc/48/76/46/1130487646.db2.gz HNDUBENEQAFGCS-LLVKDONJSA-N 1 2 262.378 3.637 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@@H](C)[C@@H](F)C2)c(Cl)c1 ZINC000679218676 1130502696 /nfs/dbraw/zinc/50/26/96/1130502696.db2.gz AGRSLFWKEYVAAS-YGRLFVJLSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@@H](C)[C@@H](F)C2)c(Cl)c1 ZINC000679218676 1130502701 /nfs/dbraw/zinc/50/27/01/1130502701.db2.gz AGRSLFWKEYVAAS-YGRLFVJLSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@H](C)[C@H](F)C2)cc1Cl ZINC000679225262 1130504787 /nfs/dbraw/zinc/50/47/87/1130504787.db2.gz PNRLKTFMPOWOLF-GXFFZTMASA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@H](C)[C@H](F)C2)cc1Cl ZINC000679225262 1130504790 /nfs/dbraw/zinc/50/47/90/1130504790.db2.gz PNRLKTFMPOWOLF-GXFFZTMASA-N 1 2 271.763 3.529 20 0 CHADLO Nc1[nH+]cccc1-c1ccc(F)c(C(F)(F)F)c1F ZINC001243475916 1130508442 /nfs/dbraw/zinc/50/84/42/1130508442.db2.gz MSTBVOABRMRPHE-UHFFFAOYSA-N 1 2 274.192 3.628 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2cc(Cl)ccc2N)c1 ZINC001243486985 1130510765 /nfs/dbraw/zinc/51/07/65/1130510765.db2.gz QPBRNHYIHKSFDR-UHFFFAOYSA-N 1 2 283.762 3.990 20 0 CHADLO Cc1ccc(CCC[NH2+]c2ccc3c(c2)CCCN3C)cn1 ZINC000793052051 1130514361 /nfs/dbraw/zinc/51/43/61/1130514361.db2.gz UAVIFEZXELEODG-UHFFFAOYSA-N 1 2 295.430 3.817 20 0 CHADLO Clc1cccc(Cl)c1OCC[N@H+]1CC=CCC1 ZINC000679324079 1130514733 /nfs/dbraw/zinc/51/47/33/1130514733.db2.gz OKGLWMBTDSXVHV-UHFFFAOYSA-N 1 2 272.175 3.634 20 0 CHADLO Clc1cccc(Cl)c1OCC[N@@H+]1CC=CCC1 ZINC000679324079 1130514735 /nfs/dbraw/zinc/51/47/35/1130514735.db2.gz OKGLWMBTDSXVHV-UHFFFAOYSA-N 1 2 272.175 3.634 20 0 CHADLO Clc1ccc(C[N@H+]2CC=CCC2)c(Br)c1 ZINC000679322926 1130514890 /nfs/dbraw/zinc/51/48/90/1130514890.db2.gz DLHLJRQFGXKRMY-UHFFFAOYSA-N 1 2 286.600 3.864 20 0 CHADLO Clc1ccc(C[N@@H+]2CC=CCC2)c(Br)c1 ZINC000679322926 1130514893 /nfs/dbraw/zinc/51/48/93/1130514893.db2.gz DLHLJRQFGXKRMY-UHFFFAOYSA-N 1 2 286.600 3.864 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)[nH]1 ZINC001137372334 1130523854 /nfs/dbraw/zinc/52/38/54/1130523854.db2.gz QRCCCFFTVZHYRX-WFASDCNBSA-N 1 2 270.367 3.584 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)[nH]1 ZINC001137372334 1130523860 /nfs/dbraw/zinc/52/38/60/1130523860.db2.gz QRCCCFFTVZHYRX-WFASDCNBSA-N 1 2 270.367 3.584 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cccc2ncccc21 ZINC001240938092 1130525072 /nfs/dbraw/zinc/52/50/72/1130525072.db2.gz KZDRFVPEZWDDCT-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC(C)OC(=O)c1cccc(-c2cccn3cc[nH+]c23)c1 ZINC001240948675 1130529379 /nfs/dbraw/zinc/52/93/79/1130529379.db2.gz ZSTFLYZFGCKFOF-UHFFFAOYSA-N 1 2 280.327 3.567 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000679541117 1130537122 /nfs/dbraw/zinc/53/71/22/1130537122.db2.gz IHDRUDVQDNJWJQ-MWLCHTKSSA-N 1 2 288.313 3.715 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1ncc(C2CC2)o1 ZINC000679541117 1130537126 /nfs/dbraw/zinc/53/71/26/1130537126.db2.gz IHDRUDVQDNJWJQ-MWLCHTKSSA-N 1 2 288.313 3.715 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC000679542166 1130537224 /nfs/dbraw/zinc/53/72/24/1130537224.db2.gz NNIYEVRURCXBQS-NWDGAFQWSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1C[C@H](C(F)(F)F)CC[C@@H]1C ZINC000679542166 1130537227 /nfs/dbraw/zinc/53/72/27/1130537227.db2.gz NNIYEVRURCXBQS-NWDGAFQWSA-N 1 2 289.345 3.627 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1ccc(Cl)cn1 ZINC000679545628 1130537261 /nfs/dbraw/zinc/53/72/61/1130537261.db2.gz LHQIWVIMXPNWBA-ZJUUUORDSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1ccc(Cl)cn1 ZINC000679545628 1130537266 /nfs/dbraw/zinc/53/72/66/1130537266.db2.gz LHQIWVIMXPNWBA-ZJUUUORDSA-N 1 2 292.732 3.898 20 0 CHADLO FC1(F)CC[NH+](Cc2ccsc2Cl)CC1 ZINC000793360492 1130544932 /nfs/dbraw/zinc/54/49/32/1130544932.db2.gz XJLYIMOCIFSHRR-UHFFFAOYSA-N 1 2 251.729 3.633 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)[C@@H](F)CC1CCCCC1 ZINC000793491274 1130559762 /nfs/dbraw/zinc/55/97/62/1130559762.db2.gz JYVQLBDHEHSFDO-AWEZNQCLSA-N 1 2 289.354 3.581 20 0 CHADLO Cc1cn2c(n1)C[N@H+]([C@@H](C)c1c(C)cc(C)cc1C)CC2 ZINC000679869417 1130560755 /nfs/dbraw/zinc/56/07/55/1130560755.db2.gz XFXVDUOYLQJDLQ-INIZCTEOSA-N 1 2 283.419 3.694 20 0 CHADLO Cc1cn2c(n1)C[N@@H+]([C@@H](C)c1c(C)cc(C)cc1C)CC2 ZINC000679869417 1130560759 /nfs/dbraw/zinc/56/07/59/1130560759.db2.gz XFXVDUOYLQJDLQ-INIZCTEOSA-N 1 2 283.419 3.694 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2CCCc2ccsc2)no1 ZINC000679924517 1130561738 /nfs/dbraw/zinc/56/17/38/1130561738.db2.gz IRFMQJMQBSNOGT-CQSZACIVSA-N 1 2 291.420 3.599 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2CCCc2ccsc2)no1 ZINC000679924517 1130561739 /nfs/dbraw/zinc/56/17/39/1130561739.db2.gz IRFMQJMQBSNOGT-CQSZACIVSA-N 1 2 291.420 3.599 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1ncc(Cl)cc1Cl ZINC000679944829 1130563664 /nfs/dbraw/zinc/56/36/64/1130563664.db2.gz QUZNNXBZYGYGBF-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO COc1c(C)cc(-c2cc[nH+]c(N3CCCC3)c2)cc1C ZINC001241017207 1130569243 /nfs/dbraw/zinc/56/92/43/1130569243.db2.gz UBNKCFUWQXOMOO-UHFFFAOYSA-N 1 2 282.387 3.974 20 0 CHADLO CCOc1cc(F)cc(-c2cn3cc[nH+]c3cc2C)c1 ZINC001241025155 1130571513 /nfs/dbraw/zinc/57/15/13/1130571513.db2.gz SUVSHWFOWLOHJR-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO Nc1cc(COC(=O)c2ccc(C3=CCCC3)cc2)cc[nH+]1 ZINC000811257767 1130597652 /nfs/dbraw/zinc/59/76/52/1130597652.db2.gz FTSGEMLAVWUXML-UHFFFAOYSA-N 1 2 294.354 3.588 20 0 CHADLO Cc1cnc(=S)n(C[N@H+]2CC=C(C(C)(C)C)CC2)c1 ZINC000758358561 1130607492 /nfs/dbraw/zinc/60/74/92/1130607492.db2.gz PUYRLEYIZCUSOT-UHFFFAOYSA-N 1 2 277.437 3.557 20 0 CHADLO Cc1cnc(=S)n(C[N@@H+]2CC=C(C(C)(C)C)CC2)c1 ZINC000758358561 1130607495 /nfs/dbraw/zinc/60/74/95/1130607495.db2.gz PUYRLEYIZCUSOT-UHFFFAOYSA-N 1 2 277.437 3.557 20 0 CHADLO Clc1cc2c(cn1)CN(c1[nH+]ccc3ccccc31)C2 ZINC001158753655 1130639197 /nfs/dbraw/zinc/63/91/97/1130639197.db2.gz JOPARNRRWHMFSZ-UHFFFAOYSA-N 1 2 281.746 3.803 20 0 CHADLO FCC[N@@H+]1CCC[C@@H]1c1ccc(Br)cc1 ZINC000759328235 1130651306 /nfs/dbraw/zinc/65/13/06/1130651306.db2.gz OMDIECFBPFLTRG-GFCCVEGCSA-N 1 2 272.161 3.556 20 0 CHADLO FCC[N@H+]1CCC[C@@H]1c1ccc(Br)cc1 ZINC000759328235 1130651308 /nfs/dbraw/zinc/65/13/08/1130651308.db2.gz OMDIECFBPFLTRG-GFCCVEGCSA-N 1 2 272.161 3.556 20 0 CHADLO CCOC(=[NH2+])c1ccc(OC2Cc3ccccc3C2)cc1 ZINC001234584631 1130658683 /nfs/dbraw/zinc/65/86/83/1130658683.db2.gz HKJCCGHBYTXKAP-UHFFFAOYSA-N 1 2 281.355 3.595 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H]2CCCC[C@@H]2F)cc1 ZINC001234588397 1130658995 /nfs/dbraw/zinc/65/89/95/1130658995.db2.gz NOUXBJYOLSQROI-KBPBESRZSA-N 1 2 265.328 3.708 20 0 CHADLO Cn1c[nH+]cc1COC(=O)c1ccc(C2CCCCC2)cc1 ZINC000747780045 1130681574 /nfs/dbraw/zinc/68/15/74/1130681574.db2.gz BBYDLDSOSUJWAA-UHFFFAOYSA-N 1 2 298.386 3.825 20 0 CHADLO CCCCC[C@](C)(CC)C(=O)NC[C@@H](C)Cn1cc[nH+]c1 ZINC000780778298 1130691832 /nfs/dbraw/zinc/69/18/32/1130691832.db2.gz XEPPRIKUYUSPEW-WBVHZDCISA-N 1 2 293.455 3.632 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1coc(C2CC2)n1 ZINC000780793633 1130693030 /nfs/dbraw/zinc/69/30/30/1130693030.db2.gz UKWYHFGTCFPZOU-MWLCHTKSSA-N 1 2 288.313 3.715 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1coc(C2CC2)n1 ZINC000780793633 1130693032 /nfs/dbraw/zinc/69/30/32/1130693032.db2.gz UKWYHFGTCFPZOU-MWLCHTKSSA-N 1 2 288.313 3.715 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1cccc(N3CCCC3)c1)C2 ZINC001235096835 1130710489 /nfs/dbraw/zinc/71/04/89/1130710489.db2.gz LQIOLYYDPSITLL-UHFFFAOYSA-N 1 2 293.414 3.506 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1cccc(N3CCCC3)c1)C2 ZINC001235096835 1130710492 /nfs/dbraw/zinc/71/04/92/1130710492.db2.gz LQIOLYYDPSITLL-UHFFFAOYSA-N 1 2 293.414 3.506 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cccc(N3CCCC3)c1)C2 ZINC001235097277 1130711105 /nfs/dbraw/zinc/71/11/05/1130711105.db2.gz UYYSXRDDYWWHDR-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cccc(N3CCCC3)c1)C2 ZINC001235097277 1130711109 /nfs/dbraw/zinc/71/11/09/1130711109.db2.gz UYYSXRDDYWWHDR-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO Cc1cc(Cl)c(C[N@H+]2C[C@H]3CC[C@@H](C2)C3=O)c(Cl)c1 ZINC001235168058 1130716361 /nfs/dbraw/zinc/71/63/61/1130716361.db2.gz JKSYXQIFIMJFEO-PHIMTYICSA-N 1 2 298.213 3.713 20 0 CHADLO Cc1cc(Cl)c(C[N@@H+]2C[C@H]3CC[C@@H](C2)C3=O)c(Cl)c1 ZINC001235168058 1130716365 /nfs/dbraw/zinc/71/63/65/1130716365.db2.gz JKSYXQIFIMJFEO-PHIMTYICSA-N 1 2 298.213 3.713 20 0 CHADLO CCc1cccc(C[N@H+](C)Cc2cccc(F)c2F)n1 ZINC001235262841 1130729285 /nfs/dbraw/zinc/72/92/85/1130729285.db2.gz GDZXDAXATMFVEL-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO CCc1cccc(C[N@@H+](C)Cc2cccc(F)c2F)n1 ZINC001235262841 1130729290 /nfs/dbraw/zinc/72/92/90/1130729290.db2.gz GDZXDAXATMFVEL-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO Cc1cnc(F)c(C[N@H+](C)C/C=C/c2ccccc2)c1 ZINC001235341084 1130734370 /nfs/dbraw/zinc/73/43/70/1130734370.db2.gz YTTZLRNAYAWREZ-RMKNXTFCSA-N 1 2 270.351 3.674 20 0 CHADLO Cc1cnc(F)c(C[N@@H+](C)C/C=C/c2ccccc2)c1 ZINC001235341084 1130734376 /nfs/dbraw/zinc/73/43/76/1130734376.db2.gz YTTZLRNAYAWREZ-RMKNXTFCSA-N 1 2 270.351 3.674 20 0 CHADLO CNc1cc(-c2ccc(N(C)C)cc2C(F)(F)F)cc[nH+]1 ZINC001244973332 1130741790 /nfs/dbraw/zinc/74/17/90/1130741790.db2.gz MRSLKKLPGOMOTM-UHFFFAOYSA-N 1 2 295.308 3.875 20 0 CHADLO Cc1cc(OC(C)C)ncc1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC001235428778 1130741913 /nfs/dbraw/zinc/74/19/13/1130741913.db2.gz MKUUYRGUPMNKCH-HNNXBMFYSA-N 1 2 299.418 3.556 20 0 CHADLO Cc1cc(OC(C)C)ncc1C[N@H+]1CCn2cccc2[C@@H]1C ZINC001235428778 1130741914 /nfs/dbraw/zinc/74/19/14/1130741914.db2.gz MKUUYRGUPMNKCH-HNNXBMFYSA-N 1 2 299.418 3.556 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1cc(C)cc(Cl)c1)CC2 ZINC001235529549 1130752295 /nfs/dbraw/zinc/75/22/95/1130752295.db2.gz MZRXILKLSPNWNU-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1cc(C)cc(Cl)c1)CC2 ZINC001235529549 1130752298 /nfs/dbraw/zinc/75/22/98/1130752298.db2.gz MZRXILKLSPNWNU-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC[C@H]2c2ccccc2)c1Cl ZINC001235589207 1130757417 /nfs/dbraw/zinc/75/74/17/1130757417.db2.gz SMTDKDGHDFBHHZ-HNNXBMFYSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccnc(C[N@H+]2CC[C@H]2c2ccccc2)c1Cl ZINC001235589207 1130757420 /nfs/dbraw/zinc/75/74/20/1130757420.db2.gz SMTDKDGHDFBHHZ-HNNXBMFYSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2n[nH]c3ccccc32)s1 ZINC000694040199 1130784047 /nfs/dbraw/zinc/78/40/47/1130784047.db2.gz ZAYVRGHSMKPYPC-NSHDSACASA-N 1 2 271.389 3.784 20 0 CHADLO Fc1cc(C[N@H+]2CCCC[C@H](F)C2)ccc1Cl ZINC001137649916 1130790367 /nfs/dbraw/zinc/79/03/67/1130790367.db2.gz SSJNINGHTVDSIV-NSHDSACASA-N 1 2 259.727 3.803 20 0 CHADLO Fc1cc(C[N@@H+]2CCCC[C@H](F)C2)ccc1Cl ZINC001137649916 1130790372 /nfs/dbraw/zinc/79/03/72/1130790372.db2.gz SSJNINGHTVDSIV-NSHDSACASA-N 1 2 259.727 3.803 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)[C@H]1C ZINC000813871735 1130792701 /nfs/dbraw/zinc/79/27/01/1130792701.db2.gz SCVFBYWJRLRHBP-AZSHCRGASA-N 1 2 288.435 3.757 20 0 CHADLO C[C@H]1CC[C@H]1[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000783278690 1130810796 /nfs/dbraw/zinc/81/07/96/1130810796.db2.gz BMUJMXNMPBUIKV-SZOQZIPDSA-N 1 2 274.408 3.511 20 0 CHADLO Cc1cc([NH2+][C@H]2CC[C@@H]2C)ccc1N1CCSCC1 ZINC000783281226 1130810827 /nfs/dbraw/zinc/81/08/27/1130810827.db2.gz OXAIBNMAJZTKJP-WFASDCNBSA-N 1 2 276.449 3.759 20 0 CHADLO Nc1[nH+]cccc1/C=C/c1ccc(C(F)(F)F)cc1 ZINC001235981050 1130823000 /nfs/dbraw/zinc/82/30/00/1130823000.db2.gz USRGLXUXOWXJOJ-ZZXKWVIFSA-N 1 2 264.250 3.853 20 0 CHADLO CC(C)CCCCCC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000747908089 1130834698 /nfs/dbraw/zinc/83/46/98/1130834698.db2.gz UTVQKEXVDABIFD-INIZCTEOSA-N 1 2 291.439 3.653 20 0 CHADLO CN(C)c1cc(-c2ccc(-c3ccncc3)cc2)cc[nH+]1 ZINC001236038490 1130834816 /nfs/dbraw/zinc/83/48/16/1130834816.db2.gz JIGAQOYFZQDFKC-UHFFFAOYSA-N 1 2 275.355 3.877 20 0 CHADLO c1cc(-c2ccc(-c3c[nH+]c4c(c3)CCCN4)cc2)ccn1 ZINC001236042290 1130836285 /nfs/dbraw/zinc/83/62/85/1130836285.db2.gz OIJNLSPQUYUMLA-UHFFFAOYSA-N 1 2 287.366 3.811 20 0 CHADLO COc1cc2cc[nH+]c(N3C4CCC3CC4)c2cc1F ZINC001159083398 1130845734 /nfs/dbraw/zinc/84/57/34/1130845734.db2.gz QXKFPABYDPRNHI-UHFFFAOYSA-N 1 2 272.323 3.514 20 0 CHADLO C[C@@H]([NH2+]C[C@H](c1ccccc1)C1CC1)C(=O)OC(C)(C)C ZINC000814248438 1130855950 /nfs/dbraw/zinc/85/59/50/1130855950.db2.gz VFXQONKTNVZEKL-CZUORRHYSA-N 1 2 289.419 3.500 20 0 CHADLO Clc1ccc(-c2ccc(Cn3cc[nH+]c3)cn2)cc1 ZINC001236090409 1130856514 /nfs/dbraw/zinc/85/65/14/1130856514.db2.gz LMNIMDKOBJRLAV-UHFFFAOYSA-N 1 2 269.735 3.647 20 0 CHADLO C[N@H+](C[C@H]1CC=CCC1)Cn1nc(C2CC2)sc1=S ZINC000784011290 1130867886 /nfs/dbraw/zinc/86/78/86/1130867886.db2.gz PFWWCKAZGKVVPV-NSHDSACASA-N 1 2 295.477 3.797 20 0 CHADLO C[N@@H+](C[C@H]1CC=CCC1)Cn1nc(C2CC2)sc1=S ZINC000784011290 1130867893 /nfs/dbraw/zinc/86/78/93/1130867893.db2.gz PFWWCKAZGKVVPV-NSHDSACASA-N 1 2 295.477 3.797 20 0 CHADLO CCCCOc1cc(-c2ccc(N)[nH+]c2)ccc1F ZINC001236315187 1130913646 /nfs/dbraw/zinc/91/36/46/1130913646.db2.gz DZYSLYLTRQRZLR-UHFFFAOYSA-N 1 2 260.312 3.649 20 0 CHADLO COc1nc(C(C)C)ccc1-c1cccc2[nH+]ccn21 ZINC001236444747 1130943426 /nfs/dbraw/zinc/94/34/26/1130943426.db2.gz QYXNBMYGNMGOPF-UHFFFAOYSA-N 1 2 267.332 3.528 20 0 CHADLO COc1nc(C(C)C)ccc1-c1c[nH+]c(N(C)C)cc1C ZINC001236445018 1130943522 /nfs/dbraw/zinc/94/35/22/1130943522.db2.gz VKCWDQDKSFYLIP-UHFFFAOYSA-N 1 2 285.391 3.650 20 0 CHADLO Fc1cc(F)c(C[NH2+]Cc2cnc(C3CC3)s2)c(F)c1 ZINC000815152438 1130961976 /nfs/dbraw/zinc/96/19/76/1130961976.db2.gz SUXIOLKEMHXRCK-UHFFFAOYSA-N 1 2 298.333 3.728 20 0 CHADLO CCOc1ccccc1C[NH2+]Cc1c(F)cc(F)cc1F ZINC000815152676 1130962142 /nfs/dbraw/zinc/96/21/42/1130962142.db2.gz YCVAFDQEQYKFIX-UHFFFAOYSA-N 1 2 295.304 3.792 20 0 CHADLO CC(C)Oc1cncc(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001236520226 1130962607 /nfs/dbraw/zinc/96/26/07/1130962607.db2.gz RTHFSWXKAOQPGY-UHFFFAOYSA-N 1 2 293.370 3.781 20 0 CHADLO CCOc1ccc(C)c(F)c1-c1cccc2[nH+]ccn21 ZINC001236537679 1130968171 /nfs/dbraw/zinc/96/81/71/1130968171.db2.gz OZMJRAQXUCLNJA-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO CSc1nc(NC2CC(C)(C)OC(C)(C)C2)cc(C)[nH+]1 ZINC001159312773 1130973926 /nfs/dbraw/zinc/97/39/26/1130973926.db2.gz MTXZGDLMPMEMRS-UHFFFAOYSA-N 1 2 295.452 3.655 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCCC[C@@](C)(F)C2)n1 ZINC001236641951 1130986873 /nfs/dbraw/zinc/98/68/73/1130986873.db2.gz ZRUFQQGUXFZDGG-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCCC[C@@](C)(F)C2)n1 ZINC001236641951 1130986877 /nfs/dbraw/zinc/98/68/77/1130986877.db2.gz ZRUFQQGUXFZDGG-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO C[N@H+](Cc1ccno1)Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236652796 1130989779 /nfs/dbraw/zinc/98/97/79/1130989779.db2.gz CFTXKYUBJRUYJF-UHFFFAOYSA-N 1 2 289.137 3.753 20 0 CHADLO C[N@@H+](Cc1ccno1)Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236652796 1130989783 /nfs/dbraw/zinc/98/97/83/1130989783.db2.gz CFTXKYUBJRUYJF-UHFFFAOYSA-N 1 2 289.137 3.753 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1Cc1cc(C)oc1C ZINC000797604850 1130997019 /nfs/dbraw/zinc/99/70/19/1130997019.db2.gz JNMWOMKFMFQDQX-MRXNPFEDSA-N 1 2 287.407 3.840 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1Cc1cc(C)oc1C ZINC000797604850 1130997022 /nfs/dbraw/zinc/99/70/22/1130997022.db2.gz JNMWOMKFMFQDQX-MRXNPFEDSA-N 1 2 287.407 3.840 20 0 CHADLO CC1=C(Br)C[N@H+](Cc2ccsc2)CC1 ZINC000797604389 1130997350 /nfs/dbraw/zinc/99/73/50/1130997350.db2.gz FEYJXWLJXVBBJO-UHFFFAOYSA-N 1 2 272.211 3.623 20 0 CHADLO CC1=C(Br)C[N@@H+](Cc2ccsc2)CC1 ZINC000797604389 1130997356 /nfs/dbraw/zinc/99/73/56/1130997356.db2.gz FEYJXWLJXVBBJO-UHFFFAOYSA-N 1 2 272.211 3.623 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)c1Cl ZINC001236707900 1130997430 /nfs/dbraw/zinc/99/74/30/1130997430.db2.gz JUSYGHKQLJITLP-PELKAZGASA-N 1 2 259.727 3.577 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)c1Cl ZINC001236707900 1130997434 /nfs/dbraw/zinc/99/74/34/1130997434.db2.gz JUSYGHKQLJITLP-PELKAZGASA-N 1 2 259.727 3.577 20 0 CHADLO F[C@@H]1C[N@H+](Cc2cccc3occc32)CCC1(F)F ZINC001236735453 1131000507 /nfs/dbraw/zinc/00/05/07/1131000507.db2.gz QWFLPQWKNXZPRF-CYBMUJFWSA-N 1 2 269.266 3.612 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2cccc3occc32)CCC1(F)F ZINC001236735453 1131000514 /nfs/dbraw/zinc/00/05/14/1131000514.db2.gz QWFLPQWKNXZPRF-CYBMUJFWSA-N 1 2 269.266 3.612 20 0 CHADLO Cc1cccc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)c1Cl ZINC001236851500 1131022320 /nfs/dbraw/zinc/02/23/20/1131022320.db2.gz LIHGOEFRDZRQHD-GXTWGEPZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cccc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)c1Cl ZINC001236851500 1131022326 /nfs/dbraw/zinc/02/23/26/1131022326.db2.gz LIHGOEFRDZRQHD-GXTWGEPZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(-c2ccc(OC(F)(F)F)cc2C)c[nH+]c1N ZINC001245539224 1131027968 /nfs/dbraw/zinc/02/79/68/1131027968.db2.gz VBYYYSAJNHTVHU-UHFFFAOYSA-N 1 2 282.265 3.846 20 0 CHADLO C/C(=C\C(=O)Nc1ccn2cc[nH+]c2c1)c1cccc(F)c1 ZINC000798261335 1131031822 /nfs/dbraw/zinc/03/18/22/1131031822.db2.gz ANKXEAWRPYFSMW-FMIVXFBMSA-N 1 2 295.317 3.515 20 0 CHADLO C/C(=C/C(=O)Nc1ccn2cc[nH+]c2c1)c1ccc(F)cc1 ZINC000798262818 1131032196 /nfs/dbraw/zinc/03/21/96/1131032196.db2.gz PMFRTSADMKCYPW-BENRWUELSA-N 1 2 295.317 3.515 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1ccc3cccccc1-3)C2 ZINC001237185867 1131066217 /nfs/dbraw/zinc/06/62/17/1131066217.db2.gz SIGNXIZZYGNRLO-UHFFFAOYSA-N 1 2 278.355 3.646 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1ccc3cccccc1-3)C2 ZINC001237185867 1131066224 /nfs/dbraw/zinc/06/62/24/1131066224.db2.gz SIGNXIZZYGNRLO-UHFFFAOYSA-N 1 2 278.355 3.646 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+](C)Cc1cnc(Cl)cn1 ZINC000799107096 1131069247 /nfs/dbraw/zinc/06/92/47/1131069247.db2.gz AMZPAFARKWSYBM-SECBINFHSA-N 1 2 297.736 3.601 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+](C)Cc1cnc(Cl)cn1 ZINC000799107096 1131069252 /nfs/dbraw/zinc/06/92/52/1131069252.db2.gz AMZPAFARKWSYBM-SECBINFHSA-N 1 2 297.736 3.601 20 0 CHADLO FC(F)(F)c1cnccc1C[N@@H+]1CCc2ccccc2C1 ZINC001237287343 1131078584 /nfs/dbraw/zinc/07/85/84/1131078584.db2.gz HKLDZOKCNSISCG-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cnccc1C[N@H+]1CCc2ccccc2C1 ZINC001237287343 1131078590 /nfs/dbraw/zinc/07/85/90/1131078590.db2.gz HKLDZOKCNSISCG-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+]1CCC=C(Br)C1 ZINC000799326208 1131079850 /nfs/dbraw/zinc/07/98/50/1131079850.db2.gz DTHKIGVEPAFVDY-SNVBAGLBSA-N 1 2 284.172 3.871 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+]1CCC=C(Br)C1 ZINC000799326208 1131079856 /nfs/dbraw/zinc/07/98/56/1131079856.db2.gz DTHKIGVEPAFVDY-SNVBAGLBSA-N 1 2 284.172 3.871 20 0 CHADLO COc1cccc2c(N[C@H](C)CCSC)cc[nH+]c12 ZINC000799320741 1131080522 /nfs/dbraw/zinc/08/05/22/1131080522.db2.gz IQTHYYHBXGLGHL-LLVKDONJSA-N 1 2 276.405 3.797 20 0 CHADLO FCc1ccc(C[N@@H+]2CCC=C(Br)C2)cc1 ZINC000799328748 1131080590 /nfs/dbraw/zinc/08/05/90/1131080590.db2.gz SUVNXFOEJFKRDI-UHFFFAOYSA-N 1 2 284.172 3.641 20 0 CHADLO FCc1ccc(C[N@H+]2CCC=C(Br)C2)cc1 ZINC000799328748 1131080597 /nfs/dbraw/zinc/08/05/97/1131080597.db2.gz SUVNXFOEJFKRDI-UHFFFAOYSA-N 1 2 284.172 3.641 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(Cl)c(F)c2)Cc2ccccc21 ZINC001237397633 1131093551 /nfs/dbraw/zinc/09/35/51/1131093551.db2.gz KKKRRAXBNJKUPD-LLVKDONJSA-N 1 2 290.769 3.993 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(Cl)c(F)c2)Cc2ccccc21 ZINC001237397633 1131093557 /nfs/dbraw/zinc/09/35/57/1131093557.db2.gz KKKRRAXBNJKUPD-LLVKDONJSA-N 1 2 290.769 3.993 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@@H+]1Cc1cc2ccccn2n1 ZINC001237445324 1131099693 /nfs/dbraw/zinc/09/96/93/1131099693.db2.gz GOARABAVRVYILB-SFHVURJKSA-N 1 2 295.361 3.811 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@H+]1Cc1cc2ccccn2n1 ZINC001237445324 1131099699 /nfs/dbraw/zinc/09/96/99/1131099699.db2.gz GOARABAVRVYILB-SFHVURJKSA-N 1 2 295.361 3.811 20 0 CHADLO COCC[N@H+](Cc1c(Cl)cc(F)cc1Cl)C(C)C ZINC001237596428 1131117274 /nfs/dbraw/zinc/11/72/74/1131117274.db2.gz LDWORHYVFDIAIR-UHFFFAOYSA-N 1 2 294.197 3.989 20 0 CHADLO COCC[N@@H+](Cc1c(Cl)cc(F)cc1Cl)C(C)C ZINC001237596428 1131117278 /nfs/dbraw/zinc/11/72/78/1131117278.db2.gz LDWORHYVFDIAIR-UHFFFAOYSA-N 1 2 294.197 3.989 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1ccn3nccc3c1)C2 ZINC001237538566 1131112019 /nfs/dbraw/zinc/11/20/19/1131112019.db2.gz BHWIWHMJGOFLQD-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1ccn3nccc3c1)C2 ZINC001237538566 1131112023 /nfs/dbraw/zinc/11/20/23/1131112023.db2.gz BHWIWHMJGOFLQD-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO COC1CC[NH+](Cc2c(Cl)cc(F)cc2Cl)CC1 ZINC001237592865 1131117622 /nfs/dbraw/zinc/11/76/22/1131117622.db2.gz KIFTUPLSOHTEQT-UHFFFAOYSA-N 1 2 292.181 3.743 20 0 CHADLO CCn1cncc1C[N@@H+]1CCC[C@H]1c1ccc(Cl)s1 ZINC001237590653 1131117663 /nfs/dbraw/zinc/11/76/63/1131117663.db2.gz RSZYHXGNKCPVCW-LBPRGKRZSA-N 1 2 295.839 3.955 20 0 CHADLO CCn1cncc1C[N@H+]1CCC[C@H]1c1ccc(Cl)s1 ZINC001237590653 1131117666 /nfs/dbraw/zinc/11/76/66/1131117666.db2.gz RSZYHXGNKCPVCW-LBPRGKRZSA-N 1 2 295.839 3.955 20 0 CHADLO CC[N@H+](Cc1nc(C)co1)Cc1cccc(Cl)c1 ZINC001237644095 1131123591 /nfs/dbraw/zinc/12/35/91/1131123591.db2.gz ZHXKBWJJNWCJEB-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1nc(C)co1)Cc1cccc(Cl)c1 ZINC001237644095 1131123594 /nfs/dbraw/zinc/12/35/94/1131123594.db2.gz ZHXKBWJJNWCJEB-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO Cc1coc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)cc2)n1 ZINC001237645694 1131123913 /nfs/dbraw/zinc/12/39/13/1131123913.db2.gz QGELEQHEXOTXSA-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1coc(C[N@H+]2CCC[C@H]2c2ccc(Cl)cc2)n1 ZINC001237645694 1131123915 /nfs/dbraw/zinc/12/39/15/1131123915.db2.gz QGELEQHEXOTXSA-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO CCCCCCNC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000816839668 1131128929 /nfs/dbraw/zinc/12/89/29/1131128929.db2.gz MOCGSLUZSYZHPJ-INIZCTEOSA-N 1 2 299.418 3.628 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC001237733872 1131135043 /nfs/dbraw/zinc/13/50/43/1131135043.db2.gz WKMPSEARUVRDIN-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC001237733872 1131135046 /nfs/dbraw/zinc/13/50/46/1131135046.db2.gz WKMPSEARUVRDIN-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CCc1cc(C[N@@H+]2CCCC(=O)[C@H](C)C2)ccc1Cl ZINC001237739370 1131136182 /nfs/dbraw/zinc/13/61/82/1131136182.db2.gz WCJDVBZSRISCEI-GFCCVEGCSA-N 1 2 279.811 3.703 20 0 CHADLO CCc1cc(C[N@H+]2CCCC(=O)[C@H](C)C2)ccc1Cl ZINC001237739370 1131136185 /nfs/dbraw/zinc/13/61/85/1131136185.db2.gz WCJDVBZSRISCEI-GFCCVEGCSA-N 1 2 279.811 3.703 20 0 CHADLO Cc1cccc(F)c1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001116249091 1131137072 /nfs/dbraw/zinc/13/70/72/1131137072.db2.gz VXEWDCBEHJDACK-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237772722 1131139436 /nfs/dbraw/zinc/13/94/36/1131139436.db2.gz PSXVRQXFYYXGFC-CYBMUJFWSA-N 1 2 294.757 3.929 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237772722 1131139439 /nfs/dbraw/zinc/13/94/39/1131139439.db2.gz PSXVRQXFYYXGFC-CYBMUJFWSA-N 1 2 294.757 3.929 20 0 CHADLO C[C@@H](c1nc([C@@H]2CCC(C)(C)c3ccccc32)no1)[NH+](C)C ZINC000817093183 1131142527 /nfs/dbraw/zinc/14/25/27/1131142527.db2.gz PVUZVCHTCZOUNJ-GXTWGEPZSA-N 1 2 299.418 3.896 20 0 CHADLO C[N@H+](Cc1csc(Cl)n1)C1CC(OC(C)(C)C)C1 ZINC000817288307 1131152071 /nfs/dbraw/zinc/15/20/71/1131152071.db2.gz WXCBWVXNLQPTPN-UHFFFAOYSA-N 1 2 288.844 3.574 20 0 CHADLO C[N@@H+](Cc1csc(Cl)n1)C1CC(OC(C)(C)C)C1 ZINC000817288307 1131152072 /nfs/dbraw/zinc/15/20/72/1131152072.db2.gz WXCBWVXNLQPTPN-UHFFFAOYSA-N 1 2 288.844 3.574 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)c(Cl)c1)CC1CCCC1 ZINC001237996726 1131159467 /nfs/dbraw/zinc/15/94/67/1131159467.db2.gz ICUYIOPGDYQQKV-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)c(Cl)c1)CC1CCCC1 ZINC001237996726 1131159470 /nfs/dbraw/zinc/15/94/70/1131159470.db2.gz ICUYIOPGDYQQKV-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237994548 1131159504 /nfs/dbraw/zinc/15/95/04/1131159504.db2.gz FBGXVXMCNLWVIY-VHSXEESVSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237994548 1131159507 /nfs/dbraw/zinc/15/95/07/1131159507.db2.gz FBGXVXMCNLWVIY-VHSXEESVSA-N 1 2 271.763 3.805 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[NH+]1CCC2(CC2)CC1 ZINC001237998912 1131159958 /nfs/dbraw/zinc/15/99/58/1131159958.db2.gz ZJVRLVORFNEZMI-UHFFFAOYSA-N 1 2 275.783 3.592 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc(F)cc2Cl)cc1 ZINC001238022629 1131161940 /nfs/dbraw/zinc/16/19/40/1131161940.db2.gz GUXKMTZAEMPKOP-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc(F)cc2Cl)cc1 ZINC001238022629 1131161941 /nfs/dbraw/zinc/16/19/41/1131161941.db2.gz GUXKMTZAEMPKOP-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1cc(F)cc(Br)c1F ZINC001238077275 1131168045 /nfs/dbraw/zinc/16/80/45/1131168045.db2.gz WCXZCYFKKSISQF-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1cc(F)cc(Br)c1F ZINC001238077275 1131168046 /nfs/dbraw/zinc/16/80/46/1131168046.db2.gz WCXZCYFKKSISQF-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC(=O)CC2)cc(C)c1Cl ZINC001238090553 1131169432 /nfs/dbraw/zinc/16/94/32/1131169432.db2.gz PBBXFABTWVFEOI-UHFFFAOYSA-N 1 2 265.784 3.512 20 0 CHADLO Cc1cc(C[N@H+]2CCCC(=O)CC2)cc(C)c1Cl ZINC001238090553 1131169434 /nfs/dbraw/zinc/16/94/34/1131169434.db2.gz PBBXFABTWVFEOI-UHFFFAOYSA-N 1 2 265.784 3.512 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116927942 1131170460 /nfs/dbraw/zinc/17/04/60/1131170460.db2.gz FTNGWAZFCCAHET-ZJUUUORDSA-N 1 2 259.802 3.573 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116927942 1131170462 /nfs/dbraw/zinc/17/04/62/1131170462.db2.gz FTNGWAZFCCAHET-ZJUUUORDSA-N 1 2 259.802 3.573 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)OC[C@H]2C)cc(C)c1Cl ZINC001238097310 1131170963 /nfs/dbraw/zinc/17/09/63/1131170963.db2.gz RIZWWWSMMOPMDZ-CHWSQXEVSA-N 1 2 267.800 3.566 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)OC[C@H]2C)cc(C)c1Cl ZINC001238097310 1131170965 /nfs/dbraw/zinc/17/09/65/1131170965.db2.gz RIZWWWSMMOPMDZ-CHWSQXEVSA-N 1 2 267.800 3.566 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CCc2cccnc2CC1 ZINC000817579743 1131171991 /nfs/dbraw/zinc/17/19/91/1131171991.db2.gz DFNBAJHHFUUSAF-MRXNPFEDSA-N 1 2 295.430 3.816 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccncc1Cl ZINC000817580428 1131172071 /nfs/dbraw/zinc/17/20/71/1131172071.db2.gz JBSDYZGTCROPDK-LLVKDONJSA-N 1 2 275.783 3.974 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Cl)c(O)cc2F)[C@H](C)C1 ZINC001238150898 1131173876 /nfs/dbraw/zinc/17/38/76/1131173876.db2.gz DFDCLZVUHBHTSH-NXEZZACHSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Cl)c(O)cc2F)[C@H](C)C1 ZINC001238150898 1131173881 /nfs/dbraw/zinc/17/38/81/1131173881.db2.gz DFDCLZVUHBHTSH-NXEZZACHSA-N 1 2 271.763 3.805 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238150454 1131174677 /nfs/dbraw/zinc/17/46/77/1131174677.db2.gz VBWIGZYEOYPCSX-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238150454 1131174679 /nfs/dbraw/zinc/17/46/79/1131174679.db2.gz VBWIGZYEOYPCSX-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cccc(-c3cccs3)n2)C1 ZINC001238213353 1131182354 /nfs/dbraw/zinc/18/23/54/1131182354.db2.gz LOOKRTUEIUSSJS-LBPRGKRZSA-N 1 2 276.380 3.744 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cccc(-c3cccs3)n2)C1 ZINC001238213353 1131182357 /nfs/dbraw/zinc/18/23/57/1131182357.db2.gz LOOKRTUEIUSSJS-LBPRGKRZSA-N 1 2 276.380 3.744 20 0 CHADLO C[N@H+](Cc1c(Cl)cncc1Br)C(C)(C)C ZINC001238389873 1131194473 /nfs/dbraw/zinc/19/44/73/1131194473.db2.gz DZGKWHXRDYQIMS-UHFFFAOYSA-N 1 2 291.620 3.728 20 0 CHADLO C[N@@H+](Cc1c(Cl)cncc1Br)C(C)(C)C ZINC001238389873 1131194474 /nfs/dbraw/zinc/19/44/74/1131194474.db2.gz DZGKWHXRDYQIMS-UHFFFAOYSA-N 1 2 291.620 3.728 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCCC[C@H](F)C2)c(F)c1 ZINC001238443749 1131199887 /nfs/dbraw/zinc/19/98/87/1131199887.db2.gz GJARBDTTZXHDDP-AWEZNQCLSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCCC[C@H](F)C2)c(F)c1 ZINC001238443749 1131199890 /nfs/dbraw/zinc/19/98/90/1131199890.db2.gz GJARBDTTZXHDDP-AWEZNQCLSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCCC[C@@H](F)C2)c(F)c1 ZINC001238443750 1131199963 /nfs/dbraw/zinc/19/99/63/1131199963.db2.gz GJARBDTTZXHDDP-CQSZACIVSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCCC[C@@H](F)C2)c(F)c1 ZINC001238443750 1131199965 /nfs/dbraw/zinc/19/99/65/1131199965.db2.gz GJARBDTTZXHDDP-CQSZACIVSA-N 1 2 283.362 3.937 20 0 CHADLO Cc1cc(N2CCC[C@@H]2[C@@H]2CCC[C@H]2O)[nH+]c2ccccc12 ZINC001117801157 1131201483 /nfs/dbraw/zinc/20/14/83/1131201483.db2.gz FGNBULXCQGRHPO-CGTJXYLNSA-N 1 2 296.414 3.673 20 0 CHADLO COc1ccccc1C1([NH2+][C@H]2C[C@H](C)n3ccnc32)CCC1 ZINC001117831848 1131204129 /nfs/dbraw/zinc/20/41/29/1131204129.db2.gz WWVCNHYWEFCOLK-ZFWWWQNUSA-N 1 2 297.402 3.567 20 0 CHADLO CC[N@H+](C)Cc1c(F)ccc(OCc2ccccc2)c1F ZINC001238480950 1131207262 /nfs/dbraw/zinc/20/72/62/1131207262.db2.gz MNZAOBNDDLZPNX-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](C)Cc1c(F)ccc(OCc2ccccc2)c1F ZINC001238480950 1131207264 /nfs/dbraw/zinc/20/72/64/1131207264.db2.gz MNZAOBNDDLZPNX-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CO[C@H]1C[C@H]2C[C@H](Nc3ccc([NH+](C)C)cc3C)C[C@H]2C1 ZINC000818330299 1131208037 /nfs/dbraw/zinc/20/80/37/1131208037.db2.gz BJPRUQKQAJDODY-CYGXGOLOSA-N 1 2 288.435 3.677 20 0 CHADLO CO[C@H]1C[C@H]2C[C@H]([NH2+]c3ccc(N(C)C)cc3C)C[C@H]2C1 ZINC000818330299 1131208041 /nfs/dbraw/zinc/20/80/41/1131208041.db2.gz BJPRUQKQAJDODY-CYGXGOLOSA-N 1 2 288.435 3.677 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(F)c(C3CC3)c2)CCC1=O ZINC001238497558 1131209069 /nfs/dbraw/zinc/20/90/69/1131209069.db2.gz FESNGLVOAGSASZ-LBPRGKRZSA-N 1 2 275.367 3.504 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(F)c(C3CC3)c2)CCC1=O ZINC001238497558 1131209073 /nfs/dbraw/zinc/20/90/73/1131209073.db2.gz FESNGLVOAGSASZ-LBPRGKRZSA-N 1 2 275.367 3.504 20 0 CHADLO CCCCOc1ncc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)cc1C ZINC001238497670 1131209302 /nfs/dbraw/zinc/20/93/02/1131209302.db2.gz RAQUMFDKVSSUQG-CZUORRHYSA-N 1 2 294.414 3.749 20 0 CHADLO CCCCOc1ncc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)cc1C ZINC001238497670 1131209304 /nfs/dbraw/zinc/20/93/04/1131209304.db2.gz RAQUMFDKVSSUQG-CZUORRHYSA-N 1 2 294.414 3.749 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2ncccn2)cc1C1CC1 ZINC001238510228 1131211354 /nfs/dbraw/zinc/21/13/54/1131211354.db2.gz YLIBPRWKASJRLK-KRWDZBQOSA-N 1 2 297.377 3.830 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2ncccn2)cc1C1CC1 ZINC001238510228 1131211361 /nfs/dbraw/zinc/21/13/61/1131211361.db2.gz YLIBPRWKASJRLK-KRWDZBQOSA-N 1 2 297.377 3.830 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3occc3C2)cc1C1CC1 ZINC001238517277 1131212386 /nfs/dbraw/zinc/21/23/86/1131212386.db2.gz SHRRCIMKINHHLQ-UHFFFAOYSA-N 1 2 271.335 3.854 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3occc3C2)cc1C1CC1 ZINC001238517277 1131212389 /nfs/dbraw/zinc/21/23/89/1131212389.db2.gz SHRRCIMKINHHLQ-UHFFFAOYSA-N 1 2 271.335 3.854 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(F)c(-c3ccccc3)c2)CCC1=O ZINC001238560447 1131216487 /nfs/dbraw/zinc/21/64/87/1131216487.db2.gz IMWSEVUKXYJYLJ-AWEZNQCLSA-N 1 2 297.373 3.904 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(F)c(-c3ccccc3)c2)CCC1=O ZINC001238560447 1131216490 /nfs/dbraw/zinc/21/64/90/1131216490.db2.gz IMWSEVUKXYJYLJ-AWEZNQCLSA-N 1 2 297.373 3.904 20 0 CHADLO CCOC1C[NH+](Cc2ccc(F)c(-c3ccccc3)c2)C1 ZINC001238560415 1131216959 /nfs/dbraw/zinc/21/69/59/1131216959.db2.gz IDMNSLIMXHJXSK-UHFFFAOYSA-N 1 2 285.362 3.713 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001238672502 1131229205 /nfs/dbraw/zinc/22/92/05/1131229205.db2.gz RSCZYAWCGOYNKX-SKDRFNHKSA-N 1 2 273.804 3.994 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001238672502 1131229211 /nfs/dbraw/zinc/22/92/11/1131229211.db2.gz RSCZYAWCGOYNKX-SKDRFNHKSA-N 1 2 273.804 3.994 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CC[C@@H](F)C1 ZINC001238671210 1131229671 /nfs/dbraw/zinc/22/96/71/1131229671.db2.gz JXVMGYWDLLLODW-LLVKDONJSA-N 1 2 259.777 3.606 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CC[C@@H](F)C1 ZINC001238671210 1131229678 /nfs/dbraw/zinc/22/96/78/1131229678.db2.gz JXVMGYWDLLLODW-LLVKDONJSA-N 1 2 259.777 3.606 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(F)ccc(Cl)c2F)[C@H](C)C1 ZINC001137885443 1131429057 /nfs/dbraw/zinc/42/90/57/1131429057.db2.gz QOMXDWHQBXDYCK-ZJUUUORDSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(F)ccc(Cl)c2F)[C@H](C)C1 ZINC001137885443 1131429060 /nfs/dbraw/zinc/42/90/60/1131429060.db2.gz QOMXDWHQBXDYCK-ZJUUUORDSA-N 1 2 289.753 3.618 20 0 CHADLO Fc1c(Cl)cc(C(F)(F)F)cc1C[N@@H+]1C[C@@H]2C[C@@H]2C1 ZINC001137986573 1131447001 /nfs/dbraw/zinc/44/70/01/1131447001.db2.gz KBOMSCKRYUTVGT-OCAPTIKFSA-N 1 2 293.691 3.950 20 0 CHADLO Fc1c(Cl)cc(C(F)(F)F)cc1C[N@H+]1C[C@@H]2C[C@@H]2C1 ZINC001137986573 1131447004 /nfs/dbraw/zinc/44/70/04/1131447004.db2.gz KBOMSCKRYUTVGT-OCAPTIKFSA-N 1 2 293.691 3.950 20 0 CHADLO Fc1c(C[NH+]2CCC(F)CC2)cccc1C(F)(F)F ZINC001138006712 1131449949 /nfs/dbraw/zinc/44/99/49/1131449949.db2.gz GWULACNYSCHAPM-UHFFFAOYSA-N 1 2 279.252 3.778 20 0 CHADLO CC[N@H+](CCn1cccn1)Cc1c(Cl)ccc(C)c1F ZINC001138034725 1131456203 /nfs/dbraw/zinc/45/62/03/1131456203.db2.gz QYQZBFZXDXQOLW-UHFFFAOYSA-N 1 2 295.789 3.506 20 0 CHADLO CC[N@@H+](CCn1cccn1)Cc1c(Cl)ccc(C)c1F ZINC001138034725 1131456205 /nfs/dbraw/zinc/45/62/05/1131456205.db2.gz QYQZBFZXDXQOLW-UHFFFAOYSA-N 1 2 295.789 3.506 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CCC3(CCC3)C2)c1F ZINC001138235639 1131480532 /nfs/dbraw/zinc/48/05/32/1131480532.db2.gz DVLPTTFEKNBJFL-UHFFFAOYSA-N 1 2 273.273 3.619 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CCC3(CCC3)C2)c1F ZINC001138235639 1131480536 /nfs/dbraw/zinc/48/05/36/1131480536.db2.gz DVLPTTFEKNBJFL-UHFFFAOYSA-N 1 2 273.273 3.619 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@@H+]1Cc1c(F)c(F)cc(F)c1F ZINC001138234295 1131480598 /nfs/dbraw/zinc/48/05/98/1131480598.db2.gz MJFFTGAHXZSCDQ-DTWKUNHWSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@H+]1Cc1c(F)c(F)cc(F)c1F ZINC001138234295 1131480600 /nfs/dbraw/zinc/48/06/00/1131480600.db2.gz MJFFTGAHXZSCDQ-DTWKUNHWSA-N 1 2 275.289 3.863 20 0 CHADLO COc1c(F)ccc(C[N@@H+]2CC[C@@H]2c2ccccc2)c1F ZINC001143322065 1131496093 /nfs/dbraw/zinc/49/60/93/1131496093.db2.gz SZHZORMWUVFQCM-OAHLLOKOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1c(F)ccc(C[N@H+]2CC[C@@H]2c2ccccc2)c1F ZINC001143322065 1131496095 /nfs/dbraw/zinc/49/60/95/1131496095.db2.gz SZHZORMWUVFQCM-OAHLLOKOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cccc(F)c1C[N@H+](C)Cc1ccsc1 ZINC001138385377 1131497373 /nfs/dbraw/zinc/49/73/73/1131497373.db2.gz OQXCYIIPAHJHBE-UHFFFAOYSA-N 1 2 265.353 3.528 20 0 CHADLO COc1cccc(F)c1C[N@@H+](C)Cc1ccsc1 ZINC001138385377 1131497374 /nfs/dbraw/zinc/49/73/74/1131497374.db2.gz OQXCYIIPAHJHBE-UHFFFAOYSA-N 1 2 265.353 3.528 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CC[C@@](C)(F)[C@H](F)C3)cc2c1 ZINC001138419066 1131502926 /nfs/dbraw/zinc/50/29/26/1131502926.db2.gz LLWNFEJTPOIGQA-HZPDHXFCSA-N 1 2 278.346 3.748 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CC[C@@](C)(F)[C@H](F)C3)cc2c1 ZINC001138419066 1131502929 /nfs/dbraw/zinc/50/29/29/1131502929.db2.gz LLWNFEJTPOIGQA-HZPDHXFCSA-N 1 2 278.346 3.748 20 0 CHADLO FC(F)(F)c1c[nH]c(C[NH+]2CCCCCCCC2)n1 ZINC001137018861 1131506237 /nfs/dbraw/zinc/50/62/37/1131506237.db2.gz IJYTZXQXSBAQJJ-UHFFFAOYSA-N 1 2 275.318 3.585 20 0 CHADLO C[N@H+](Cc1nc(C(F)(F)F)c[nH]1)[C@@H]1CCc2ccccc21 ZINC001137022148 1131507283 /nfs/dbraw/zinc/50/72/83/1131507283.db2.gz SMTGMTLQJCYFCI-GFCCVEGCSA-N 1 2 295.308 3.548 20 0 CHADLO C[N@@H+](Cc1nc(C(F)(F)F)c[nH]1)[C@@H]1CCc2ccccc21 ZINC001137022148 1131507285 /nfs/dbraw/zinc/50/72/85/1131507285.db2.gz SMTGMTLQJCYFCI-GFCCVEGCSA-N 1 2 295.308 3.548 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CCCC[C@H](F)C1 ZINC001138480352 1131511854 /nfs/dbraw/zinc/51/18/54/1131511854.db2.gz PXPHAJCGTUCRFW-ZDUSSCGKSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CCCC[C@H](F)C1 ZINC001138480352 1131511858 /nfs/dbraw/zinc/51/18/58/1131511858.db2.gz PXPHAJCGTUCRFW-ZDUSSCGKSA-N 1 2 271.763 3.673 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2ccc(F)c(Cl)c2F)[C@@H](C)C1 ZINC001143628998 1131519608 /nfs/dbraw/zinc/51/96/08/1131519608.db2.gz NYHWSGARLIDPRJ-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2ccc(F)c(Cl)c2F)[C@@H](C)C1 ZINC001143628998 1131519612 /nfs/dbraw/zinc/51/96/12/1131519612.db2.gz NYHWSGARLIDPRJ-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO CCOc1c(F)cc(C[NH+]2CC3(CCC3)C2)cc1Cl ZINC001143739262 1131528742 /nfs/dbraw/zinc/52/87/42/1131528742.db2.gz OEFXZVUAZKUWJW-UHFFFAOYSA-N 1 2 283.774 3.864 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc(OC(F)(F)F)cc1F ZINC001143781374 1131533175 /nfs/dbraw/zinc/53/31/75/1131533175.db2.gz PRNWJTOVGUYDNY-SCZZXKLOSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc(OC(F)(F)F)cc1F ZINC001143781374 1131533179 /nfs/dbraw/zinc/53/31/79/1131533179.db2.gz PRNWJTOVGUYDNY-SCZZXKLOSA-N 1 2 295.251 3.657 20 0 CHADLO COC(=O)c1cccc([C@H](C)Nc2c[nH+]c(C)c(C)c2)c1 ZINC001171107944 1131535101 /nfs/dbraw/zinc/53/51/01/1131535101.db2.gz UMVQWHZFXXGTFY-ZDUSSCGKSA-N 1 2 284.359 3.658 20 0 CHADLO C[C@@]1(O)CC[N@H+](Cc2c(Cl)cc(Cl)cc2Cl)C1 ZINC001143797928 1131535342 /nfs/dbraw/zinc/53/53/42/1131535342.db2.gz XLWVBRWWYABAQE-GFCCVEGCSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@@]1(O)CC[N@@H+](Cc2c(Cl)cc(Cl)cc2Cl)C1 ZINC001143797928 1131535347 /nfs/dbraw/zinc/53/53/47/1131535347.db2.gz XLWVBRWWYABAQE-GFCCVEGCSA-N 1 2 294.609 3.604 20 0 CHADLO OC[C@@H]1CCC[N@@H+]1Cc1c(Cl)cc(Cl)cc1Cl ZINC001143797447 1131535366 /nfs/dbraw/zinc/53/53/66/1131535366.db2.gz KIBXAXYOSNZIRG-VIFPVBQESA-N 1 2 294.609 3.604 20 0 CHADLO OC[C@@H]1CCC[N@H+]1Cc1c(Cl)cc(Cl)cc1Cl ZINC001143797447 1131535371 /nfs/dbraw/zinc/53/53/71/1131535371.db2.gz KIBXAXYOSNZIRG-VIFPVBQESA-N 1 2 294.609 3.604 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1c(Cl)[nH]c2ccccc21 ZINC001138689281 1131538531 /nfs/dbraw/zinc/53/85/31/1131538531.db2.gz QBAPWCQPMDWAHB-HNNXBMFYSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1c(Cl)[nH]c2ccccc21 ZINC001138689281 1131538533 /nfs/dbraw/zinc/53/85/33/1131538533.db2.gz QBAPWCQPMDWAHB-HNNXBMFYSA-N 1 2 290.794 3.765 20 0 CHADLO Cc1cc(OC(=O)C[C@H](C)n2cc[nH+]c2)ccc1C(C)C ZINC000844271270 1131544560 /nfs/dbraw/zinc/54/45/60/1131544560.db2.gz FUVXFQNRZFWRRQ-AWEZNQCLSA-N 1 2 286.375 3.872 20 0 CHADLO C[C@@H](CC(=O)Oc1ccc(C(C)(C)C)cc1)n1cc[nH+]c1 ZINC000844274076 1131545727 /nfs/dbraw/zinc/54/57/27/1131545727.db2.gz MYHIPQSCFRAEQC-ZDUSSCGKSA-N 1 2 286.375 3.737 20 0 CHADLO CCCCc1ccc(C[N@@H+]2CCC(=O)[C@H](C)C2)s1 ZINC001138753328 1131545805 /nfs/dbraw/zinc/54/58/05/1131545805.db2.gz OPPZYOVPDKCCBL-GFCCVEGCSA-N 1 2 265.422 3.502 20 0 CHADLO CCCCc1ccc(C[N@H+]2CCC(=O)[C@H](C)C2)s1 ZINC001138753328 1131545807 /nfs/dbraw/zinc/54/58/07/1131545807.db2.gz OPPZYOVPDKCCBL-GFCCVEGCSA-N 1 2 265.422 3.502 20 0 CHADLO Cc1cc(Cl)cc(C)c1OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000844284271 1131547378 /nfs/dbraw/zinc/54/73/78/1131547378.db2.gz QWOPJHHJOVSFNF-LBPRGKRZSA-N 1 2 292.766 3.710 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc3occc3c1)C2 ZINC001138824563 1131553850 /nfs/dbraw/zinc/55/38/50/1131553850.db2.gz DURZXYOWHFATDG-UHFFFAOYSA-N 1 2 279.339 3.957 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc3occc3c1)C2 ZINC001138824563 1131553854 /nfs/dbraw/zinc/55/38/54/1131553854.db2.gz DURZXYOWHFATDG-UHFFFAOYSA-N 1 2 279.339 3.957 20 0 CHADLO Cc1c(C[N@@H+]2CCOC(C)(C)C2)cccc1C(F)(F)F ZINC001143917761 1131554090 /nfs/dbraw/zinc/55/40/90/1131554090.db2.gz OWUPFDLQMJNHFD-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1c(C[N@H+]2CCOC(C)(C)C2)cccc1C(F)(F)F ZINC001143917761 1131554094 /nfs/dbraw/zinc/55/40/94/1131554094.db2.gz OWUPFDLQMJNHFD-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO COc1ccc(C[NH+]2CC3(C2)CCCCC3)c(F)c1F ZINC001143915099 1131554367 /nfs/dbraw/zinc/55/43/67/1131554367.db2.gz UQTAAWKLVRCPIM-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCCC23CC3)ccc1-n1cc[nH+]c1 ZINC001143939300 1131555994 /nfs/dbraw/zinc/55/59/94/1131555994.db2.gz DCVKSVBEOLLCRU-HNNXBMFYSA-N 1 2 295.386 3.700 20 0 CHADLO CC1(F)CC[NH+](Cc2c(Cl)ccc(F)c2F)CC1 ZINC001143945810 1131557856 /nfs/dbraw/zinc/55/78/56/1131557856.db2.gz JCGDEIGBVUXDBD-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@H+](C)Cc1cncs1 ZINC001139011684 1131572007 /nfs/dbraw/zinc/57/20/07/1131572007.db2.gz SJIXHAGFRQIIQT-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@@H+](C)Cc1cncs1 ZINC001139011684 1131572009 /nfs/dbraw/zinc/57/20/09/1131572009.db2.gz SJIXHAGFRQIIQT-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Cc1cc(Nc2ccc(N(C)C3CCCCC3)[nH+]c2)n(C)n1 ZINC001212613191 1131575790 /nfs/dbraw/zinc/57/57/90/1131575790.db2.gz HSWSBYSEJAOVPN-UHFFFAOYSA-N 1 2 299.422 3.636 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139051492 1131575858 /nfs/dbraw/zinc/57/58/58/1131575858.db2.gz QOMXZTFVBRTZSG-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139051492 1131575861 /nfs/dbraw/zinc/57/58/61/1131575861.db2.gz QOMXZTFVBRTZSG-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1c(F)cc(Cl)cc1F ZINC001139047564 1131576093 /nfs/dbraw/zinc/57/60/93/1131576093.db2.gz MEKYPQKSJOHVAC-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1c(F)cc(Cl)cc1F ZINC001139047564 1131576097 /nfs/dbraw/zinc/57/60/97/1131576097.db2.gz MEKYPQKSJOHVAC-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[NH+]1CCC2(CC2)CC1 ZINC001139049341 1131576252 /nfs/dbraw/zinc/57/62/52/1131576252.db2.gz FNCSDLHLOHOUMC-UHFFFAOYSA-N 1 2 271.738 3.994 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1CCCC12CC2 ZINC001139047590 1131576320 /nfs/dbraw/zinc/57/63/20/1131576320.db2.gz NDRQISAFYJWBGF-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1CCCC12CC2 ZINC001139047590 1131576322 /nfs/dbraw/zinc/57/63/22/1131576322.db2.gz NDRQISAFYJWBGF-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO CCn1ccc2ccc(C[N@@H+]3Cc4cccnc4C3)cc21 ZINC001139119564 1131582900 /nfs/dbraw/zinc/58/29/00/1131582900.db2.gz UOXRWKYYWSHNEO-UHFFFAOYSA-N 1 2 277.371 3.572 20 0 CHADLO CCn1ccc2ccc(C[N@H+]3Cc4cccnc4C3)cc21 ZINC001139119564 1131582904 /nfs/dbraw/zinc/58/29/04/1131582904.db2.gz UOXRWKYYWSHNEO-UHFFFAOYSA-N 1 2 277.371 3.572 20 0 CHADLO CCn1ccc2ccc(C[N@@H+]3CCC(F)(F)[C@@H](F)C3)cc21 ZINC001139119483 1131583409 /nfs/dbraw/zinc/58/34/09/1131583409.db2.gz RJEVIUZYZQJBLM-HNNXBMFYSA-N 1 2 296.336 3.840 20 0 CHADLO CCn1ccc2ccc(C[N@H+]3CCC(F)(F)[C@@H](F)C3)cc21 ZINC001139119483 1131583411 /nfs/dbraw/zinc/58/34/11/1131583411.db2.gz RJEVIUZYZQJBLM-HNNXBMFYSA-N 1 2 296.336 3.840 20 0 CHADLO CCn1ccc2c1cccc2C[N@@H+]1Cc2cccnc2C1 ZINC001139151892 1131586508 /nfs/dbraw/zinc/58/65/08/1131586508.db2.gz LITRGCZZYCIYBQ-UHFFFAOYSA-N 1 2 277.371 3.572 20 0 CHADLO CCn1ccc2c1cccc2C[N@H+]1Cc2cccnc2C1 ZINC001139151892 1131586511 /nfs/dbraw/zinc/58/65/11/1131586511.db2.gz LITRGCZZYCIYBQ-UHFFFAOYSA-N 1 2 277.371 3.572 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144125105 1131588543 /nfs/dbraw/zinc/58/85/43/1131588543.db2.gz DQGYKCOBDRDECH-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144125105 1131588546 /nfs/dbraw/zinc/58/85/46/1131588546.db2.gz DQGYKCOBDRDECH-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144129355 1131590857 /nfs/dbraw/zinc/59/08/57/1131590857.db2.gz FFJIVCZGLOHXBN-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144129355 1131590859 /nfs/dbraw/zinc/59/08/59/1131590859.db2.gz FFJIVCZGLOHXBN-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@H]1CC[N@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144129833 1131590919 /nfs/dbraw/zinc/59/09/19/1131590919.db2.gz SFPZMJHOJXEPLV-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@H]1CC[N@@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144129833 1131590922 /nfs/dbraw/zinc/59/09/22/1131590922.db2.gz SFPZMJHOJXEPLV-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCCCc1nc(Cl)c(C[N@@H+]2CC[C@](C)(CF)C2)[nH]1 ZINC001139176720 1131591212 /nfs/dbraw/zinc/59/12/12/1131591212.db2.gz UXFUJGPPHBCXRC-CQSZACIVSA-N 1 2 287.810 3.587 20 0 CHADLO CCCCc1nc(Cl)c(C[N@H+]2CC[C@](C)(CF)C2)[nH]1 ZINC001139176720 1131591214 /nfs/dbraw/zinc/59/12/14/1131591214.db2.gz UXFUJGPPHBCXRC-CQSZACIVSA-N 1 2 287.810 3.587 20 0 CHADLO CCCCc1nc(Cl)c(C[NH+]2CCC(C)(F)CC2)[nH]1 ZINC001139175673 1131591951 /nfs/dbraw/zinc/59/19/51/1131591951.db2.gz DKBXZONVWGDZNA-UHFFFAOYSA-N 1 2 287.810 3.730 20 0 CHADLO C[C@@H]1CC(=O)CC[N@@H+]1Cc1ccc(-c2ccccc2)s1 ZINC001139412642 1131607611 /nfs/dbraw/zinc/60/76/11/1131607611.db2.gz MWYUPOFUNCZBMC-CYBMUJFWSA-N 1 2 285.412 3.969 20 0 CHADLO C[C@@H]1CC(=O)CC[N@H+]1Cc1ccc(-c2ccccc2)s1 ZINC001139412642 1131607614 /nfs/dbraw/zinc/60/76/14/1131607614.db2.gz MWYUPOFUNCZBMC-CYBMUJFWSA-N 1 2 285.412 3.969 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cc(Cl)ccn1)C2 ZINC001139566847 1131616526 /nfs/dbraw/zinc/61/65/26/1131616526.db2.gz VDGISOGZPCJUQU-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cc(Cl)ccn1)C2 ZINC001139566847 1131616527 /nfs/dbraw/zinc/61/65/27/1131616527.db2.gz VDGISOGZPCJUQU-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Clc1ccnc(C[N@@H+]2CCCC[C@H]2c2cccnc2)c1 ZINC001139562967 1131617056 /nfs/dbraw/zinc/61/70/56/1131617056.db2.gz UNWMGBAIVWQZMM-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1ccnc(C[N@H+]2CCCC[C@H]2c2cccnc2)c1 ZINC001139562967 1131617059 /nfs/dbraw/zinc/61/70/59/1131617059.db2.gz UNWMGBAIVWQZMM-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(C)cnc2Cl)c1 ZINC001139590051 1131618544 /nfs/dbraw/zinc/61/85/44/1131618544.db2.gz VVUSMYGMZFBEEK-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(C)cnc2Cl)c1 ZINC001139590051 1131618545 /nfs/dbraw/zinc/61/85/45/1131618545.db2.gz VVUSMYGMZFBEEK-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO C[C@@H](CC(=O)OCc1ccc(C(F)F)cc1)n1cc[nH+]c1 ZINC000845285616 1131624197 /nfs/dbraw/zinc/62/41/97/1131624197.db2.gz RLJCFWQJBZPYBL-NSHDSACASA-N 1 2 294.301 3.515 20 0 CHADLO C[C@H](COC(=O)C[C@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000845284725 1131624440 /nfs/dbraw/zinc/62/44/40/1131624440.db2.gz DLFVQNRCNLUSLJ-KGLIPLIRSA-N 1 2 278.396 3.594 20 0 CHADLO C[C@H](CC(=O)OC[C@@H](C)C1CCCCC1)n1cc[nH+]c1 ZINC000845284727 1131624563 /nfs/dbraw/zinc/62/45/63/1131624563.db2.gz DLFVQNRCNLUSLJ-ZIAGYGMSSA-N 1 2 278.396 3.594 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)cc1Br ZINC001139728689 1131633029 /nfs/dbraw/zinc/63/30/29/1131633029.db2.gz FMBLYZPBWYQMIL-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)cc1Br ZINC001139728689 1131633031 /nfs/dbraw/zinc/63/30/31/1131633031.db2.gz FMBLYZPBWYQMIL-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1ccc(C(C)C)cc1OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845439676 1131634126 /nfs/dbraw/zinc/63/41/26/1131634126.db2.gz AJEQXLXCXQKGJC-CQSZACIVSA-N 1 2 286.375 3.872 20 0 CHADLO CC[C@H](C)c1ccc(OC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000845440168 1131634159 /nfs/dbraw/zinc/63/41/59/1131634159.db2.gz IJTRQUYVYRWHQR-UONOGXRCSA-N 1 2 286.375 3.953 20 0 CHADLO Cc1ccc(C(C)C)cc1OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845439675 1131634548 /nfs/dbraw/zinc/63/45/48/1131634548.db2.gz AJEQXLXCXQKGJC-AWEZNQCLSA-N 1 2 286.375 3.872 20 0 CHADLO Cc1ccc(C(C)C)c(OC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000845441475 1131635216 /nfs/dbraw/zinc/63/52/16/1131635216.db2.gz SHZHQLQCRQWILD-AWEZNQCLSA-N 1 2 286.375 3.872 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC001139887951 1131646534 /nfs/dbraw/zinc/64/65/34/1131646534.db2.gz FVIDLBBJAXWQSR-NWDGAFQWSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC001139887951 1131646536 /nfs/dbraw/zinc/64/65/36/1131646536.db2.gz FVIDLBBJAXWQSR-NWDGAFQWSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2c(F)cc(O)cc2F)c1 ZINC001144542442 1131653356 /nfs/dbraw/zinc/65/33/56/1131653356.db2.gz XWIWLEDKGJAPNL-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2c(F)cc(O)cc2F)c1 ZINC001144542442 1131653357 /nfs/dbraw/zinc/65/33/57/1131653357.db2.gz XWIWLEDKGJAPNL-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2cnc(Cl)nc2)cc1 ZINC001139987994 1131653994 /nfs/dbraw/zinc/65/39/94/1131653994.db2.gz LIOOJDMSPWDNPF-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2cnc(Cl)nc2)cc1 ZINC001139987994 1131653997 /nfs/dbraw/zinc/65/39/97/1131653997.db2.gz LIOOJDMSPWDNPF-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cc3ccccc3cn2)no1 ZINC001139996097 1131654446 /nfs/dbraw/zinc/65/44/46/1131654446.db2.gz XJEXDIFWBWSZAH-SFHVURJKSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cc3ccccc3cn2)no1 ZINC001139996097 1131654449 /nfs/dbraw/zinc/65/44/49/1131654449.db2.gz XJEXDIFWBWSZAH-SFHVURJKSA-N 1 2 293.370 3.868 20 0 CHADLO F[C@@H]1C[N@H+](Cc2cc3ccccc3cn2)CCCC1(F)F ZINC001139996068 1131654686 /nfs/dbraw/zinc/65/46/86/1131654686.db2.gz WOVISVVZWNRSQI-OAHLLOKOSA-N 1 2 294.320 3.804 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2cc3ccccc3cn2)CCCC1(F)F ZINC001139996068 1131654689 /nfs/dbraw/zinc/65/46/89/1131654689.db2.gz WOVISVVZWNRSQI-OAHLLOKOSA-N 1 2 294.320 3.804 20 0 CHADLO Clc1ncc(C[N@@H+]2CCC[C@@H]2c2cccs2)cn1 ZINC001139990756 1131654946 /nfs/dbraw/zinc/65/49/46/1131654946.db2.gz VJRTXGHJRHOIIU-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ncc(C[N@H+]2CCC[C@@H]2c2cccs2)cn1 ZINC001139990756 1131654947 /nfs/dbraw/zinc/65/49/47/1131654947.db2.gz VJRTXGHJRHOIIU-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cc3ccccc3cn2)C[C@@H]1F ZINC001139994547 1131655167 /nfs/dbraw/zinc/65/51/67/1131655167.db2.gz AMYNGSYHCACVSL-JKSUJKDBSA-N 1 2 276.330 3.507 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cc3ccccc3cn2)C[C@@H]1F ZINC001139994547 1131655171 /nfs/dbraw/zinc/65/51/71/1131655171.db2.gz AMYNGSYHCACVSL-JKSUJKDBSA-N 1 2 276.330 3.507 20 0 CHADLO Fc1cc2[nH+]ccc(N3C[C@H]4[C@@H](C3)C4(F)F)c2cc1Cl ZINC000845754542 1131655457 /nfs/dbraw/zinc/65/54/57/1131655457.db2.gz BKGWBRKMTQUSGW-DTORHVGOSA-N 1 2 298.695 3.729 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1c(F)cc(O)cc1F ZINC001144561617 1131658916 /nfs/dbraw/zinc/65/89/16/1131658916.db2.gz KDUFRJOERIRVTR-UHFFFAOYSA-N 1 2 283.343 3.754 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1c(F)cc(O)cc1F ZINC001144561617 1131658920 /nfs/dbraw/zinc/65/89/20/1131658920.db2.gz KDUFRJOERIRVTR-UHFFFAOYSA-N 1 2 283.343 3.754 20 0 CHADLO FC(F)(F)c1ccnc(C[NH+]2CC(c3ccccc3)C2)c1 ZINC001140108727 1131663814 /nfs/dbraw/zinc/66/38/14/1131663814.db2.gz LTTYWRISOIPPHF-UHFFFAOYSA-N 1 2 292.304 3.700 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(C(F)(F)F)ccn2)CCC1(F)F ZINC001140104500 1131663939 /nfs/dbraw/zinc/66/39/39/1131663939.db2.gz QLYRSXYTZQLXHJ-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(C(F)(F)F)ccn2)CCC1(F)F ZINC001140104500 1131663944 /nfs/dbraw/zinc/66/39/44/1131663944.db2.gz QLYRSXYTZQLXHJ-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO CC[N@H+](Cc1ccc(F)cc1)Cc1cc(F)c(O)cc1F ZINC001144657811 1131675657 /nfs/dbraw/zinc/67/56/57/1131675657.db2.gz JAAXPTOPTBTNJS-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cc1)Cc1cc(F)c(O)cc1F ZINC001144657811 1131675658 /nfs/dbraw/zinc/67/56/58/1131675658.db2.gz JAAXPTOPTBTNJS-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CCCC1CC[NH+](Cc2cc(F)c(O)cc2F)CC1 ZINC001144667138 1131676534 /nfs/dbraw/zinc/67/65/34/1131676534.db2.gz UOGISNMDEFSBCU-UHFFFAOYSA-N 1 2 269.335 3.683 20 0 CHADLO Fc1cc(Cl)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@H]3F)cc1F ZINC001144726867 1131692087 /nfs/dbraw/zinc/69/20/87/1131692087.db2.gz PCEYVJRVRDUZCW-ATZCPNFKSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(Cl)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@H]3F)cc1F ZINC001144726867 1131692089 /nfs/dbraw/zinc/69/20/89/1131692089.db2.gz PCEYVJRVRDUZCW-ATZCPNFKSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(Cl)c(C[NH+]2CC3(C2)CCCC3)cc1F ZINC001144721949 1131694995 /nfs/dbraw/zinc/69/49/95/1131694995.db2.gz IQDKLWUCLHKCAX-UHFFFAOYSA-N 1 2 271.738 3.994 20 0 CHADLO Cc1ccc2[nH]nc(C[N@@H+](C)Cc3ccccc3)c2c1 ZINC001140327404 1131697565 /nfs/dbraw/zinc/69/75/65/1131697565.db2.gz AFBBZIJCGVYIRC-UHFFFAOYSA-N 1 2 265.360 3.503 20 0 CHADLO Cc1ccc2[nH]nc(C[N@H+](C)Cc3ccccc3)c2c1 ZINC001140327404 1131697568 /nfs/dbraw/zinc/69/75/68/1131697568.db2.gz AFBBZIJCGVYIRC-UHFFFAOYSA-N 1 2 265.360 3.503 20 0 CHADLO Cc1ccc2[nH]nc(C[N@@H+](C)Cc3cccc(C)c3)c2c1 ZINC001140328014 1131698019 /nfs/dbraw/zinc/69/80/19/1131698019.db2.gz PRVFXTWADAJMHQ-UHFFFAOYSA-N 1 2 279.387 3.812 20 0 CHADLO Cc1ccc2[nH]nc(C[N@H+](C)Cc3cccc(C)c3)c2c1 ZINC001140328014 1131698021 /nfs/dbraw/zinc/69/80/21/1131698021.db2.gz PRVFXTWADAJMHQ-UHFFFAOYSA-N 1 2 279.387 3.812 20 0 CHADLO COc1c(F)ccc(F)c1C[N@H+](C)Cc1ccccc1 ZINC001144745378 1131700522 /nfs/dbraw/zinc/70/05/22/1131700522.db2.gz ZEWHZVCBTVPLNB-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1c(F)ccc(F)c1C[N@@H+](C)Cc1ccccc1 ZINC001144745378 1131700525 /nfs/dbraw/zinc/70/05/25/1131700525.db2.gz ZEWHZVCBTVPLNB-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1c(F)ccc(F)c1C[N@H+](C)Cc1ccccc1C ZINC001144754021 1131702081 /nfs/dbraw/zinc/70/20/81/1131702081.db2.gz AOOAKWDQMCRDLU-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1c(F)ccc(F)c1C[N@@H+](C)Cc1ccccc1C ZINC001144754021 1131702084 /nfs/dbraw/zinc/70/20/84/1131702084.db2.gz AOOAKWDQMCRDLU-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CCCCOC1C[NH+](Cc2ccc(-c3ccccn3)cc2)C1 ZINC001140378957 1131708976 /nfs/dbraw/zinc/70/89/76/1131708976.db2.gz HPXPOTFZZSHWQZ-UHFFFAOYSA-N 1 2 296.414 3.750 20 0 CHADLO CCc1nc(C[NH2+][C@H](CC)c2cccc(F)c2)co1 ZINC000834897406 1131721998 /nfs/dbraw/zinc/72/19/98/1131721998.db2.gz GDZGLVHNEXKMSQ-CQSZACIVSA-N 1 2 262.328 3.617 20 0 CHADLO Clc1cccc2[nH]cc(C[N@@H+]3CCn4cccc4C3)c21 ZINC001140436951 1131737288 /nfs/dbraw/zinc/73/72/88/1131737288.db2.gz WSOOFEZPNSTVSX-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Clc1cccc2[nH]cc(C[N@H+]3CCn4cccc4C3)c21 ZINC001140436951 1131737293 /nfs/dbraw/zinc/73/72/93/1131737293.db2.gz WSOOFEZPNSTVSX-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO C/C(=C\c1ccco1)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000846797450 1131741192 /nfs/dbraw/zinc/74/11/92/1131741192.db2.gz UFRAFFKXKMPKSM-PKNBQFBNSA-N 1 2 270.332 3.642 20 0 CHADLO Cc1ccc(Cl)nc1C[N@@H+]1CCOC2(CCCCC2)C1 ZINC001140447492 1131741753 /nfs/dbraw/zinc/74/17/53/1131741753.db2.gz ZPCSCYOUVVFFGE-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Cc1ccc(Cl)nc1C[N@H+]1CCOC2(CCCCC2)C1 ZINC001140447492 1131741755 /nfs/dbraw/zinc/74/17/55/1131741755.db2.gz ZPCSCYOUVVFFGE-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Fc1ccc(F)c([C@H]2CCC[N@@H+]2Cc2ccccn2)c1 ZINC001204061797 1131753713 /nfs/dbraw/zinc/75/37/13/1131753713.db2.gz PDQJEPVZXGGENI-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(F)c([C@H]2CCC[N@H+]2Cc2ccccn2)c1 ZINC001204061797 1131753720 /nfs/dbraw/zinc/75/37/20/1131753720.db2.gz PDQJEPVZXGGENI-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO CC(=O)C1CC[NH+](Cc2cc(Cl)cc(Cl)c2)CC1 ZINC000846943769 1131762554 /nfs/dbraw/zinc/76/25/54/1131762554.db2.gz MWSSCVZABWMWJE-UHFFFAOYSA-N 1 2 286.202 3.794 20 0 CHADLO COc1cccc(/C=C\c2ccccc2OC2C[NH+](C)C2)c1 ZINC001228022498 1131791030 /nfs/dbraw/zinc/79/10/30/1131791030.db2.gz XRJUHEPSJNAPIU-KHPPLWFESA-N 1 2 295.382 3.558 20 0 CHADLO Clc1cc(C[N@@H+]2CCCC3(COC3)C2)c(Cl)s1 ZINC001140586847 1131811167 /nfs/dbraw/zinc/81/11/67/1131811167.db2.gz ZVCWZVHSVLXPTB-UHFFFAOYSA-N 1 2 292.231 3.667 20 0 CHADLO Clc1cc(C[N@H+]2CCCC3(COC3)C2)c(Cl)s1 ZINC001140586847 1131811169 /nfs/dbraw/zinc/81/11/69/1131811169.db2.gz ZVCWZVHSVLXPTB-UHFFFAOYSA-N 1 2 292.231 3.667 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cc(Cl)ncc1F ZINC001140603543 1131822474 /nfs/dbraw/zinc/82/24/74/1131822474.db2.gz SCGBQKFGMKJALK-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cc(Cl)ncc1F ZINC001140603543 1131822482 /nfs/dbraw/zinc/82/24/82/1131822482.db2.gz SCGBQKFGMKJALK-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(Cl)ncc2F)cc1 ZINC001140607891 1131824451 /nfs/dbraw/zinc/82/44/51/1131824451.db2.gz ZQAKOXOJGMCPBO-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(Cl)ncc2F)cc1 ZINC001140607891 1131824454 /nfs/dbraw/zinc/82/44/54/1131824454.db2.gz ZQAKOXOJGMCPBO-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC1(C)CCC[C@H]1OC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835391888 1131831504 /nfs/dbraw/zinc/83/15/04/1131831504.db2.gz KYSHMSXPVLXNKO-MRXNPFEDSA-N 1 2 298.386 3.667 20 0 CHADLO CSc1ccc(CO[NH+]=C(N)c2ccccc2C)cc1 ZINC000835546151 1131858354 /nfs/dbraw/zinc/85/83/54/1131858354.db2.gz ARMTYXBASWSFDR-UHFFFAOYSA-N 1 2 286.400 3.764 20 0 CHADLO Cc1[nH]c2ccc(O[C@H]3CCCc4cccnc43)cc2[nH+]1 ZINC001228326535 1131859819 /nfs/dbraw/zinc/85/98/19/1131859819.db2.gz ISUPVWNXXHSVOO-INIZCTEOSA-N 1 2 279.343 3.723 20 0 CHADLO CCCC(=O)c1ccc(OC2C[NH+](C(C)(C)C)C2)cc1 ZINC001228462395 1131888648 /nfs/dbraw/zinc/88/86/48/1131888648.db2.gz DZPGGTCQPFQPLK-UHFFFAOYSA-N 1 2 275.392 3.531 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(Cl)ncc3ccccc32)C[C@@H]1F ZINC001140721546 1131893206 /nfs/dbraw/zinc/89/32/06/1131893206.db2.gz SRKONFBILLYNCM-YGRLFVJLSA-N 1 2 278.758 3.678 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(Cl)ncc3ccccc32)C[C@@H]1F ZINC001140721546 1131893216 /nfs/dbraw/zinc/89/32/16/1131893216.db2.gz SRKONFBILLYNCM-YGRLFVJLSA-N 1 2 278.758 3.678 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cc(Cl)ccc1N)C2 ZINC001140716382 1131894646 /nfs/dbraw/zinc/89/46/46/1131894646.db2.gz MEOMOXZIXCHCCU-UHFFFAOYSA-N 1 2 272.779 3.746 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cc(Cl)ccc1N)C2 ZINC001140716382 1131894655 /nfs/dbraw/zinc/89/46/55/1131894655.db2.gz MEOMOXZIXCHCCU-UHFFFAOYSA-N 1 2 272.779 3.746 20 0 CHADLO CC(C)c1cc(Oc2cccc(-n3cc[nH+]c3)c2)ncn1 ZINC000348176491 1131900791 /nfs/dbraw/zinc/90/07/91/1131900791.db2.gz SYRAGMZNPNKQPC-UHFFFAOYSA-N 1 2 280.331 3.578 20 0 CHADLO Cn1cnc2ccc(C[N@@H+]3Cc4cccc(Cl)c4C3)cc21 ZINC001140740331 1131904748 /nfs/dbraw/zinc/90/47/48/1131904748.db2.gz HJJANRODIPFEMV-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1cnc2ccc(C[N@H+]3Cc4cccc(Cl)c4C3)cc21 ZINC001140740331 1131904751 /nfs/dbraw/zinc/90/47/51/1131904751.db2.gz HJJANRODIPFEMV-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO F[C@H]1C[N@H+](Cc2coc3ccccc23)CCC1(F)F ZINC001140782334 1131915886 /nfs/dbraw/zinc/91/58/86/1131915886.db2.gz ABTZLITUVBDBLJ-ZDUSSCGKSA-N 1 2 269.266 3.612 20 0 CHADLO F[C@H]1C[N@@H+](Cc2coc3ccccc23)CCC1(F)F ZINC001140782334 1131915890 /nfs/dbraw/zinc/91/58/90/1131915890.db2.gz ABTZLITUVBDBLJ-ZDUSSCGKSA-N 1 2 269.266 3.612 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2cn[nH]c21)c1nc2ccccc2s1 ZINC000773065767 1131933029 /nfs/dbraw/zinc/93/30/29/1131933029.db2.gz QYHFGFIPDKLAAF-GWCFXTLKSA-N 1 2 298.415 3.748 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2c[nH]nc21)c1nc2ccccc2s1 ZINC000773065767 1131933036 /nfs/dbraw/zinc/93/30/36/1131933036.db2.gz QYHFGFIPDKLAAF-GWCFXTLKSA-N 1 2 298.415 3.748 20 0 CHADLO c1cc2c(cccc2CNc2ccc3c([nH+]2)CCOC3)s1 ZINC001171377362 1131936108 /nfs/dbraw/zinc/93/61/08/1131936108.db2.gz INRYRGNGJLUXNK-UHFFFAOYSA-N 1 2 296.395 3.981 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(C)nc2)c(Cl)c1 ZINC001140822651 1131937068 /nfs/dbraw/zinc/93/70/68/1131937068.db2.gz CLITZILDHQHDTD-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(C)nc2)c(Cl)c1 ZINC001140822651 1131937075 /nfs/dbraw/zinc/93/70/75/1131937075.db2.gz CLITZILDHQHDTD-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cc(C[NH+]2CCC(CCCc3ccccc3)CC2)n[nH]1 ZINC001203070446 1131943310 /nfs/dbraw/zinc/94/33/10/1131943310.db2.gz UFCRZJBVWJPZGY-UHFFFAOYSA-N 1 2 297.446 3.953 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)Cc2cccc(F)c2F)c1C ZINC001140864338 1131952301 /nfs/dbraw/zinc/95/23/01/1131952301.db2.gz OCABDRKXQLRLRY-UHFFFAOYSA-N 1 2 264.319 3.542 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)Cc2cccc(F)c2F)c1C ZINC001140864338 1131952304 /nfs/dbraw/zinc/95/23/04/1131952304.db2.gz OCABDRKXQLRLRY-UHFFFAOYSA-N 1 2 264.319 3.542 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(F)c(O)c(F)c2)Cc2ccccc21 ZINC001140987721 1131979616 /nfs/dbraw/zinc/97/96/16/1131979616.db2.gz AUGHBVOSZDVTNN-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(F)c(O)c(F)c2)Cc2ccccc21 ZINC001140987721 1131979620 /nfs/dbraw/zinc/97/96/20/1131979620.db2.gz AUGHBVOSZDVTNN-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO Cc1cccc(C[N@@H+]2C[C@@H](F)C[C@H]2C)c1Br ZINC001141089521 1132008581 /nfs/dbraw/zinc/00/85/81/1132008581.db2.gz WDKXJOCWXAYUGN-PWSUYJOCSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2ccns2)c(C)s1 ZINC000716033327 1132001868 /nfs/dbraw/zinc/00/18/68/1132001868.db2.gz KMPFQILYYADYMQ-JGVFFNPUSA-N 1 2 267.423 3.628 20 0 CHADLO CCCCc1ncc(C[N@@H+]2CCc3ccccc3[C@H]2C)[nH]1 ZINC001141067482 1132002533 /nfs/dbraw/zinc/00/25/33/1132002533.db2.gz DOVFTEWMJUHHJZ-CQSZACIVSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1ncc(C[N@H+]2CCc3ccccc3[C@H]2C)[nH]1 ZINC001141067482 1132002538 /nfs/dbraw/zinc/00/25/38/1132002538.db2.gz DOVFTEWMJUHHJZ-CQSZACIVSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCc3ccccc3[C@H]2C)c[nH]1 ZINC001141067482 1132002545 /nfs/dbraw/zinc/00/25/45/1132002545.db2.gz DOVFTEWMJUHHJZ-CQSZACIVSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1nc(C[N@H+]2CCc3ccccc3[C@H]2C)c[nH]1 ZINC001141067482 1132002553 /nfs/dbraw/zinc/00/25/53/1132002553.db2.gz DOVFTEWMJUHHJZ-CQSZACIVSA-N 1 2 283.419 3.872 20 0 CHADLO COc1cc(Nc2ccc(-c3nnc(C)o3)cc2)cc(C)[nH+]1 ZINC001203091357 1132005394 /nfs/dbraw/zinc/00/53/94/1132005394.db2.gz GRHVIRSFHSHYNT-UHFFFAOYSA-N 1 2 296.330 3.501 20 0 CHADLO Cc1cccc(C[N@H+]2C[C@@H](F)C[C@H]2C)c1Br ZINC001141089521 1132008575 /nfs/dbraw/zinc/00/85/75/1132008575.db2.gz WDKXJOCWXAYUGN-PWSUYJOCSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(Cl)nc2)c(F)c1 ZINC001141195995 1132043069 /nfs/dbraw/zinc/04/30/69/1132043069.db2.gz ITYOAJZNRMLRJK-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(Cl)nc2)c(F)c1 ZINC001141195995 1132043073 /nfs/dbraw/zinc/04/30/73/1132043073.db2.gz ITYOAJZNRMLRJK-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(C)(C)OC(=O)C[N@@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC001171718647 1132084613 /nfs/dbraw/zinc/08/46/13/1132084613.db2.gz IUEIRSVWDAGWNR-QGZVFWFLSA-N 1 2 299.414 3.721 20 0 CHADLO CC(C)(C)OC(=O)C[N@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC001171718647 1132084620 /nfs/dbraw/zinc/08/46/20/1132084620.db2.gz IUEIRSVWDAGWNR-QGZVFWFLSA-N 1 2 299.414 3.721 20 0 CHADLO COc1cc(Cl)ncc1C[NH+]1CC2(C1)CCCCC2 ZINC001141345015 1132095144 /nfs/dbraw/zinc/09/51/44/1132095144.db2.gz XOHSVFPLBNYKHG-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO FC1(F)CCC[N@@H+]([C@H]2CCCc3ccccc32)C1 ZINC001171734355 1132097557 /nfs/dbraw/zinc/09/75/57/1132097557.db2.gz GIFAGKUHAKTFLF-AWEZNQCLSA-N 1 2 251.320 3.795 20 0 CHADLO FC1(F)CCC[N@H+]([C@H]2CCCc3ccccc32)C1 ZINC001171734355 1132097560 /nfs/dbraw/zinc/09/75/60/1132097560.db2.gz GIFAGKUHAKTFLF-AWEZNQCLSA-N 1 2 251.320 3.795 20 0 CHADLO C[C@@H](COCc1ccccc1)C[N@@H+]1CCCC(F)(F)C1 ZINC001171735384 1132098616 /nfs/dbraw/zinc/09/86/16/1132098616.db2.gz SCWZDOYKEACZQL-CQSZACIVSA-N 1 2 283.362 3.570 20 0 CHADLO C[C@@H](COCc1ccccc1)C[N@H+]1CCCC(F)(F)C1 ZINC001171735384 1132098622 /nfs/dbraw/zinc/09/86/22/1132098622.db2.gz SCWZDOYKEACZQL-CQSZACIVSA-N 1 2 283.362 3.570 20 0 CHADLO c1cc2n(n1)CC[N@@H+](Cc1cccc(-c3ccsc3)c1)C2 ZINC001141386326 1132109631 /nfs/dbraw/zinc/10/96/31/1132109631.db2.gz DISQFCNEXITBPI-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO c1cc2n(n1)CC[N@H+](Cc1cccc(-c3ccsc3)c1)C2 ZINC001141386326 1132109635 /nfs/dbraw/zinc/10/96/35/1132109635.db2.gz DISQFCNEXITBPI-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccccc1OCCOC ZINC001212638214 1132120365 /nfs/dbraw/zinc/12/03/65/1132120365.db2.gz FHXUDYICNFMFJA-UHFFFAOYSA-N 1 2 286.375 3.721 20 0 CHADLO c1cn(-c2ccc(O[C@@H](c3cccnc3)C3CC3)cc2)c[nH+]1 ZINC001229954098 1132135041 /nfs/dbraw/zinc/13/50/41/1132135041.db2.gz IRPXYNVRXWEVIL-GOSISDBHSA-N 1 2 291.354 3.797 20 0 CHADLO C[C@H](Oc1ccc(-n2cc[nH+]c2)cc1)c1cccnc1F ZINC001229954641 1132136533 /nfs/dbraw/zinc/13/65/33/1132136533.db2.gz SIGNBKSUHKHQCL-LBPRGKRZSA-N 1 2 283.306 3.546 20 0 CHADLO F[C@@H]1CCCC[C@H]1Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229954692 1132136577 /nfs/dbraw/zinc/13/65/77/1132136577.db2.gz URGCWVDDFGYUPY-HUUCEWRRSA-N 1 2 260.312 3.532 20 0 CHADLO F[C@H]1CCCC[C@H]1Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229954693 1132136948 /nfs/dbraw/zinc/13/69/48/1132136948.db2.gz URGCWVDDFGYUPY-LSDHHAIUSA-N 1 2 260.312 3.532 20 0 CHADLO CC1(C)CC[N@@H+]1Cc1c(F)ccc(C2OCCO2)c1Cl ZINC000838842246 1132150321 /nfs/dbraw/zinc/15/03/21/1132150321.db2.gz IMVKDAUPEQJBAJ-UHFFFAOYSA-N 1 2 299.773 3.509 20 0 CHADLO CC1(C)CC[N@H+]1Cc1c(F)ccc(C2OCCO2)c1Cl ZINC000838842246 1132150323 /nfs/dbraw/zinc/15/03/23/1132150323.db2.gz IMVKDAUPEQJBAJ-UHFFFAOYSA-N 1 2 299.773 3.509 20 0 CHADLO c1cc(CNc2ccc([NH+]3CCCC3)cc2)nc2c1CCC2 ZINC000838863019 1132152984 /nfs/dbraw/zinc/15/29/84/1132152984.db2.gz PPYIZTXTFWXROP-UHFFFAOYSA-N 1 2 293.414 3.783 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ccc(C)nc2Cl)o1 ZINC000838928604 1132159675 /nfs/dbraw/zinc/15/96/75/1132159675.db2.gz PTDMVDBWENQPKH-NSHDSACASA-N 1 2 264.756 3.796 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ccc(C)nc2Cl)o1 ZINC000838928603 1132159686 /nfs/dbraw/zinc/15/96/86/1132159686.db2.gz PTDMVDBWENQPKH-LLVKDONJSA-N 1 2 264.756 3.796 20 0 CHADLO Cc1nc([C@@H]2COCC[N@H+]2[C@@H]2CC[C@H](C)[C@H](C)C2)cs1 ZINC001172373309 1132168814 /nfs/dbraw/zinc/16/88/14/1132168814.db2.gz LQROXTZVKZULMS-DMEJVMROSA-N 1 2 294.464 3.650 20 0 CHADLO Cc1nc([C@@H]2COCC[N@@H+]2[C@@H]2CC[C@H](C)[C@H](C)C2)cs1 ZINC001172373309 1132168817 /nfs/dbraw/zinc/16/88/17/1132168817.db2.gz LQROXTZVKZULMS-DMEJVMROSA-N 1 2 294.464 3.650 20 0 CHADLO CCOCC[C@H](C)[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001172426956 1132205381 /nfs/dbraw/zinc/20/53/81/1132205381.db2.gz KAEKCOJWXGHTPI-XJKSGUPXSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCC[C@H](C)[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001172426956 1132205386 /nfs/dbraw/zinc/20/53/86/1132205386.db2.gz KAEKCOJWXGHTPI-XJKSGUPXSA-N 1 2 297.826 3.528 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cccc(C(=O)C(F)(F)F)c1 ZINC000839469585 1132216030 /nfs/dbraw/zinc/21/60/30/1132216030.db2.gz BULXNKRGMLWUIE-UHFFFAOYSA-N 1 2 297.276 3.657 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cccc(C(=O)C(F)(F)F)c1 ZINC000839469585 1132216036 /nfs/dbraw/zinc/21/60/36/1132216036.db2.gz BULXNKRGMLWUIE-UHFFFAOYSA-N 1 2 297.276 3.657 20 0 CHADLO C[C@@H](Oc1cc2c(cc1O)C=[NH+]CC2)c1cccc(F)c1 ZINC001230920802 1132227175 /nfs/dbraw/zinc/22/71/75/1132227175.db2.gz URUVHZMXKDYRQF-LLVKDONJSA-N 1 2 285.318 3.646 20 0 CHADLO COc1cccc([C@H](C)Oc2cc3c(cc2O)C=[NH+]CC3)c1 ZINC001230918807 1132228352 /nfs/dbraw/zinc/22/83/52/1132228352.db2.gz AIYYVJDGPPKWSA-LBPRGKRZSA-N 1 2 297.354 3.516 20 0 CHADLO CC(C)OC(=O)[C@H](C)[NH2+][C@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172531838 1132245191 /nfs/dbraw/zinc/24/51/91/1132245191.db2.gz BHIFMFOKQZPFPB-UAGQMJEPSA-N 1 2 289.419 3.643 20 0 CHADLO CC1(C)CC(=O)CC[C@H]1Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231082002 1132252870 /nfs/dbraw/zinc/25/28/70/1132252870.db2.gz QDIVCSYKLYOCKB-OAHLLOKOSA-N 1 2 284.359 3.603 20 0 CHADLO C[C@@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1ccccn1 ZINC001231082980 1132253166 /nfs/dbraw/zinc/25/31/66/1132253166.db2.gz YUPIQAIITNFFSY-CYBMUJFWSA-N 1 2 279.343 3.622 20 0 CHADLO Cc1ccc([C@@H](C)Oc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001231080350 1132254047 /nfs/dbraw/zinc/25/40/47/1132254047.db2.gz CDIATBAFPUOZHT-CYBMUJFWSA-N 1 2 279.343 3.920 20 0 CHADLO CC(C)c1ccccc1Nc1ccc(C[NH+]2CC(O)C2)cc1 ZINC001212668037 1132279384 /nfs/dbraw/zinc/27/93/84/1132279384.db2.gz DKQRGUXQTAASKK-UHFFFAOYSA-N 1 2 296.414 3.730 20 0 CHADLO Cc1c(F)ccc(C[NH+]2CC3(C2)CC(F)(F)C3)c1F ZINC001231473836 1132295996 /nfs/dbraw/zinc/29/59/96/1132295996.db2.gz NISOAGNDFSTTAK-UHFFFAOYSA-N 1 2 273.273 3.504 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3nc(Cl)ccc3C2)s1 ZINC001231501025 1132306964 /nfs/dbraw/zinc/30/69/64/1132306964.db2.gz ARKPBBZUPPEOFH-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3nc(Cl)ccc3C2)s1 ZINC001231501025 1132306972 /nfs/dbraw/zinc/30/69/72/1132306972.db2.gz ARKPBBZUPPEOFH-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CCc1ccc(C[N@@H+]2Cc3ccc(F)cc3C2)s1 ZINC001231502441 1132307901 /nfs/dbraw/zinc/30/79/01/1132307901.db2.gz GUJMANLJAFIRLF-UHFFFAOYSA-N 1 2 261.365 3.965 20 0 CHADLO CCc1ccc(C[N@H+]2Cc3ccc(F)cc3C2)s1 ZINC001231502441 1132307903 /nfs/dbraw/zinc/30/79/03/1132307903.db2.gz GUJMANLJAFIRLF-UHFFFAOYSA-N 1 2 261.365 3.965 20 0 CHADLO CC(C)OC(=O)CC[C@@H](C)[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC001172772089 1132313977 /nfs/dbraw/zinc/31/39/77/1132313977.db2.gz BKCLSMSGLVIBNQ-GFCCVEGCSA-N 1 2 295.810 3.776 20 0 CHADLO CC(C)OC(=O)CC[C@@H](C)[N@H+]1Cc2ccc(Cl)cc2C1 ZINC001172772089 1132313980 /nfs/dbraw/zinc/31/39/80/1132313980.db2.gz BKCLSMSGLVIBNQ-GFCCVEGCSA-N 1 2 295.810 3.776 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2cc(Cl)cc(Cl)c2Cl)C1 ZINC001231598360 1132323176 /nfs/dbraw/zinc/32/31/76/1132323176.db2.gz PCVANBWLUSSPOZ-JTQLQIEISA-N 1 2 294.609 3.868 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2cc(Cl)cc(Cl)c2Cl)C1 ZINC001231598360 1132323184 /nfs/dbraw/zinc/32/31/84/1132323184.db2.gz PCVANBWLUSSPOZ-JTQLQIEISA-N 1 2 294.609 3.868 20 0 CHADLO Oc1cc(Cl)c(C[N@@H+]2CCC[C@H]3C[C@H]32)c(Cl)c1 ZINC001142118367 1132328283 /nfs/dbraw/zinc/32/82/83/1132328283.db2.gz IPUWIAWZIZMZIF-ISVAXAHUSA-N 1 2 272.175 3.683 20 0 CHADLO Oc1cc(Cl)c(C[N@H+]2CCC[C@H]3C[C@H]32)c(Cl)c1 ZINC001142118367 1132328291 /nfs/dbraw/zinc/32/82/91/1132328291.db2.gz IPUWIAWZIZMZIF-ISVAXAHUSA-N 1 2 272.175 3.683 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1[C@H](C)Cc1c(F)cccc1Cl ZINC001172855504 1132341923 /nfs/dbraw/zinc/34/19/23/1132341923.db2.gz FNXHEXHAUGUUAL-BDJLRTHQSA-N 1 2 297.801 3.854 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1[C@H](C)Cc1c(F)cccc1Cl ZINC001172855504 1132341931 /nfs/dbraw/zinc/34/19/31/1132341931.db2.gz FNXHEXHAUGUUAL-BDJLRTHQSA-N 1 2 297.801 3.854 20 0 CHADLO CC(C)Oc1ccc(C[NH+]2CC=CC2)cc1Br ZINC001231723783 1132345800 /nfs/dbraw/zinc/34/58/00/1132345800.db2.gz PPWBWEJBCXIKGE-UHFFFAOYSA-N 1 2 296.208 3.608 20 0 CHADLO Cc1cc(F)ncc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212693179 1132362869 /nfs/dbraw/zinc/36/28/69/1132362869.db2.gz HKDHOLQQZKYVQT-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO c1ccc(-c2ccccc2C[N@@H+]2Cc3cncnc3C2)cc1 ZINC001142331130 1132371576 /nfs/dbraw/zinc/37/15/76/1132371576.db2.gz KCPRDQFCIKBOFJ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(-c2ccccc2C[N@H+]2Cc3cncnc3C2)cc1 ZINC001142331130 1132371583 /nfs/dbraw/zinc/37/15/83/1132371583.db2.gz KCPRDQFCIKBOFJ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)Cc2cccc(F)c2F)s1 ZINC001206185732 1132378201 /nfs/dbraw/zinc/37/82/01/1132378201.db2.gz REKXMLDVYGYWLM-UHFFFAOYSA-N 1 2 282.359 3.670 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)Cc2cccc(F)c2F)s1 ZINC001206185732 1132378207 /nfs/dbraw/zinc/37/82/07/1132378207.db2.gz REKXMLDVYGYWLM-UHFFFAOYSA-N 1 2 282.359 3.670 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc2c(c1)OC1(CCCC1)O2 ZINC000841868643 1132399964 /nfs/dbraw/zinc/39/99/64/1132399964.db2.gz HTEAVTQEHCMVHR-UHFFFAOYSA-N 1 2 299.374 3.557 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2ncccc2C(F)(F)F)C1 ZINC001231961412 1132404023 /nfs/dbraw/zinc/40/40/23/1132404023.db2.gz DNSWGJIRBRTNAP-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2ncccc2C(F)(F)F)C1 ZINC001231961412 1132404028 /nfs/dbraw/zinc/40/40/28/1132404028.db2.gz DNSWGJIRBRTNAP-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO CC(C)[NH+](Cc1c(F)cncc1Br)C(C)C ZINC001232124822 1132426144 /nfs/dbraw/zinc/42/61/44/1132426144.db2.gz IOYFROOVQZOXJH-UHFFFAOYSA-N 1 2 289.192 3.602 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1cncc(O)c1 ZINC001232047114 1132415292 /nfs/dbraw/zinc/41/52/92/1132415292.db2.gz QOKDODKQWMAQKX-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1cncc(O)c1 ZINC001232047114 1132415296 /nfs/dbraw/zinc/41/52/96/1132415296.db2.gz QOKDODKQWMAQKX-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cccc(C(F)(F)F)n2)C1 ZINC001232069280 1132417566 /nfs/dbraw/zinc/41/75/66/1132417566.db2.gz MKQDHPJZAGEGSN-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cccc(C(F)(F)F)n2)C1 ZINC001232069280 1132417569 /nfs/dbraw/zinc/41/75/69/1132417569.db2.gz MKQDHPJZAGEGSN-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO FC(F)[C@H]1CCC[N@H+](Cc2cccc(C(F)(F)F)n2)C1 ZINC001232071833 1132419516 /nfs/dbraw/zinc/41/95/16/1132419516.db2.gz QXJWWLGVXPLZOH-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+](Cc2cccc(C(F)(F)F)n2)C1 ZINC001232071833 1132419519 /nfs/dbraw/zinc/41/95/19/1132419519.db2.gz QXJWWLGVXPLZOH-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO CCc1ccc(C[C@H](C)[N@H+]2Cc3nc(C)sc3C2)cc1 ZINC001173249648 1132420537 /nfs/dbraw/zinc/42/05/37/1132420537.db2.gz YSPFBZWZFAPSHX-LBPRGKRZSA-N 1 2 286.444 3.961 20 0 CHADLO CCc1ccc(C[C@H](C)[N@@H+]2Cc3nc(C)sc3C2)cc1 ZINC001173249648 1132420540 /nfs/dbraw/zinc/42/05/40/1132420540.db2.gz YSPFBZWZFAPSHX-LBPRGKRZSA-N 1 2 286.444 3.961 20 0 CHADLO CCCO[C@H]1CC[N@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232100931 1132423038 /nfs/dbraw/zinc/42/30/38/1132423038.db2.gz BYSAUOCQLHBTOM-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCCC[C@@H]([NH2+][C@@H](C)Cc1ccc(CC)cc1)C(=O)OC ZINC001173237585 1132440364 /nfs/dbraw/zinc/44/03/64/1132440364.db2.gz CCSWPNZXTDGEQS-WMLDXEAASA-N 1 2 291.435 3.501 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2CCc3ccccc3C2)ccn1 ZINC001232235587 1132440518 /nfs/dbraw/zinc/44/05/18/1132440518.db2.gz SGEYTRMJDOEYJN-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2CCc3ccccc3C2)ccn1 ZINC001232235587 1132440521 /nfs/dbraw/zinc/44/05/21/1132440521.db2.gz SGEYTRMJDOEYJN-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC1(F)CC[NH+](Cc2csc(Cl)c2)CC1 ZINC001142759980 1132442453 /nfs/dbraw/zinc/44/24/53/1132442453.db2.gz CZXVBAARTUWYEB-UHFFFAOYSA-N 1 2 251.729 3.633 20 0 CHADLO FC1(F)CC2(C[NH+](Cc3cc(Cl)cs3)C2)C1 ZINC001232276698 1132447495 /nfs/dbraw/zinc/44/74/95/1132447495.db2.gz GNMWCBGBJPREOS-UHFFFAOYSA-N 1 2 263.740 3.633 20 0 CHADLO Clc1csc(C[N@@H+]2CCC[C@@H]2c2cnccn2)c1 ZINC001232281743 1132449446 /nfs/dbraw/zinc/44/94/46/1132449446.db2.gz PKMGYEMEEZUKPC-CYBMUJFWSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1csc(C[N@H+]2CCC[C@@H]2c2cnccn2)c1 ZINC001232281743 1132449448 /nfs/dbraw/zinc/44/94/48/1132449448.db2.gz PKMGYEMEEZUKPC-CYBMUJFWSA-N 1 2 279.796 3.529 20 0 CHADLO Cc1nc(Br)ccc1C[NH+]1CC2(C1)CCCC2 ZINC001232319307 1132454281 /nfs/dbraw/zinc/45/42/81/1132454281.db2.gz BATLCVWXEDFTSX-UHFFFAOYSA-N 1 2 295.224 3.529 20 0 CHADLO CCc1csc(C[N@H+](C)C/C=C/c2ccccc2)n1 ZINC001232475537 1132475221 /nfs/dbraw/zinc/47/52/21/1132475221.db2.gz OPAWPHRXKWSRHQ-JXMROGBWSA-N 1 2 272.417 3.851 20 0 CHADLO CCc1csc(C[N@@H+](C)C/C=C/c2ccccc2)n1 ZINC001232475537 1132475225 /nfs/dbraw/zinc/47/52/25/1132475225.db2.gz OPAWPHRXKWSRHQ-JXMROGBWSA-N 1 2 272.417 3.851 20 0 CHADLO CCSC[C@@H](C)[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001173316816 1132477371 /nfs/dbraw/zinc/47/73/71/1132477371.db2.gz YTPBOHMUPOIAHZ-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO CCSC[C@@H](C)[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001173316816 1132477375 /nfs/dbraw/zinc/47/73/75/1132477375.db2.gz YTPBOHMUPOIAHZ-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2ccc3ccsc3c2)n1 ZINC001232613791 1132492686 /nfs/dbraw/zinc/49/26/86/1132492686.db2.gz BRKYWVCRKIMWHU-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2ccc3ccsc3c2)n1 ZINC001232613791 1132492690 /nfs/dbraw/zinc/49/26/90/1132492690.db2.gz BRKYWVCRKIMWHU-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO CC[NH2+]Cc1noc([C@@H](C)Sc2ccc(Cl)cc2)n1 ZINC000843143591 1132496121 /nfs/dbraw/zinc/49/61/21/1132496121.db2.gz VVKDCXQUISGSEB-SECBINFHSA-N 1 2 297.811 3.686 20 0 CHADLO COc1cccc(C)c1C[N@H+](C)Cc1cnc(Cl)s1 ZINC001232620752 1132497455 /nfs/dbraw/zinc/49/74/55/1132497455.db2.gz SALGLSAWPURFBM-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1cccc(C)c1C[N@@H+](C)Cc1cnc(Cl)s1 ZINC001232620752 1132497459 /nfs/dbraw/zinc/49/74/59/1132497459.db2.gz SALGLSAWPURFBM-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO CC1(C)C[N@H+](Cc2cc3ccc(Cl)cc3[nH]2)CCC1=O ZINC001232732286 1132509586 /nfs/dbraw/zinc/50/95/86/1132509586.db2.gz QSSSWFLNZZEGRD-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc3ccc(Cl)cc3[nH]2)CCC1=O ZINC001232732286 1132509591 /nfs/dbraw/zinc/50/95/91/1132509591.db2.gz QSSSWFLNZZEGRD-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cccc3ncsc31)C2 ZINC001232735409 1132511866 /nfs/dbraw/zinc/51/18/66/1132511866.db2.gz LSJMYRMGXYGCDG-UHFFFAOYSA-N 1 2 284.359 3.951 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cccc3ncsc31)C2 ZINC001232735409 1132511870 /nfs/dbraw/zinc/51/18/70/1132511870.db2.gz LSJMYRMGXYGCDG-UHFFFAOYSA-N 1 2 284.359 3.951 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cc2ccc(Cl)cc2[nH]1 ZINC001232733270 1132512679 /nfs/dbraw/zinc/51/26/79/1132512679.db2.gz GQUIPEVPCYNOEK-MRXNPFEDSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cc2ccc(Cl)cc2[nH]1 ZINC001232733270 1132512684 /nfs/dbraw/zinc/51/26/84/1132512684.db2.gz GQUIPEVPCYNOEK-MRXNPFEDSA-N 1 2 290.794 3.765 20 0 CHADLO COc1cc(Nc2ccc(SC)cc2)cc(C)[nH+]1 ZINC001173814530 1132519506 /nfs/dbraw/zinc/51/95/06/1132519506.db2.gz SRZYOJGCTAUSDZ-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+]1CCc2cc(Cl)nnc2C1 ZINC000843417716 1132529551 /nfs/dbraw/zinc/52/95/51/1132529551.db2.gz AWYRGFVWCBUIOK-LCYFTJDESA-N 1 2 299.805 3.592 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+]1CCc2cc(Cl)nnc2C1 ZINC000843417716 1132529556 /nfs/dbraw/zinc/52/95/56/1132529556.db2.gz AWYRGFVWCBUIOK-LCYFTJDESA-N 1 2 299.805 3.592 20 0 CHADLO Cc1cn2c(cccc2-c2cc(OC(C)C)ncc2F)[nH+]1 ZINC001206630856 1132540963 /nfs/dbraw/zinc/54/09/63/1132540963.db2.gz WCAUGXZIGYSHBJ-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(F)c(O)c2F)cc1 ZINC001232935065 1132544675 /nfs/dbraw/zinc/54/46/75/1132544675.db2.gz FKOUGUQWUQCXGG-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(F)c(O)c2F)cc1 ZINC001232935065 1132544678 /nfs/dbraw/zinc/54/46/78/1132544678.db2.gz FKOUGUQWUQCXGG-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1)Cc1ccc(F)c(O)c1F ZINC001232935463 1132545148 /nfs/dbraw/zinc/54/51/48/1132545148.db2.gz KXGROOGXHVQZLF-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1)Cc1ccc(F)c(O)c1F ZINC001232935463 1132545152 /nfs/dbraw/zinc/54/51/52/1132545152.db2.gz KXGROOGXHVQZLF-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@H+](Cc1cnc(Cl)s1)Cc1ccc2ncoc2c1 ZINC001232937937 1132545310 /nfs/dbraw/zinc/54/53/10/1132545310.db2.gz KWIIBYVFKDWTBH-UHFFFAOYSA-N 1 2 293.779 3.570 20 0 CHADLO C[N@@H+](Cc1cnc(Cl)s1)Cc1ccc2ncoc2c1 ZINC001232937937 1132545314 /nfs/dbraw/zinc/54/53/14/1132545314.db2.gz KWIIBYVFKDWTBH-UHFFFAOYSA-N 1 2 293.779 3.570 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N3CCOCC3)[nH+]c2)c(C)c1 ZINC001173842524 1132545919 /nfs/dbraw/zinc/54/59/19/1132545919.db2.gz DPRXSEBNPXTLQR-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccc(C2CC2)cc1 ZINC001232985262 1132555953 /nfs/dbraw/zinc/55/59/53/1132555953.db2.gz AUAWJGFBDAEJDM-ZDUSSCGKSA-N 1 2 289.419 3.726 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccc(C2CC2)cc1 ZINC001232985262 1132555957 /nfs/dbraw/zinc/55/59/57/1132555957.db2.gz AUAWJGFBDAEJDM-ZDUSSCGKSA-N 1 2 289.419 3.726 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1F)Cc1cc(F)cnc1C ZINC001232992364 1132557653 /nfs/dbraw/zinc/55/76/53/1132557653.db2.gz JXKMLVJMIQUQIJ-UHFFFAOYSA-N 1 2 294.320 3.829 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1F)Cc1cc(F)cnc1C ZINC001232992364 1132557656 /nfs/dbraw/zinc/55/76/56/1132557656.db2.gz JXKMLVJMIQUQIJ-UHFFFAOYSA-N 1 2 294.320 3.829 20 0 CHADLO Oc1cccc(C[N@@H+]2CCCC[C@H]2c2cccnc2)c1F ZINC001233052714 1132567034 /nfs/dbraw/zinc/56/70/34/1132567034.db2.gz UJFNRQFRKNYPJZ-HNNXBMFYSA-N 1 2 286.350 3.654 20 0 CHADLO Oc1cccc(C[N@H+]2CCCC[C@H]2c2cccnc2)c1F ZINC001233052714 1132567035 /nfs/dbraw/zinc/56/70/35/1132567035.db2.gz UJFNRQFRKNYPJZ-HNNXBMFYSA-N 1 2 286.350 3.654 20 0 CHADLO Fc1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1F ZINC001173864811 1132567278 /nfs/dbraw/zinc/56/72/78/1132567278.db2.gz YDDHCDOHKZHOTP-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO C[C@H]1C[N@@H+](Cc2n[nH]c3cc(F)ccc32)CCC[C@@H]1C ZINC001233119836 1132572278 /nfs/dbraw/zinc/57/22/78/1132572278.db2.gz PTXVMNIYBYBHTN-RYUDHWBXSA-N 1 2 275.371 3.570 20 0 CHADLO C[C@H]1C[N@H+](Cc2n[nH]c3cc(F)ccc32)CCC[C@@H]1C ZINC001233119836 1132572281 /nfs/dbraw/zinc/57/22/81/1132572281.db2.gz PTXVMNIYBYBHTN-RYUDHWBXSA-N 1 2 275.371 3.570 20 0 CHADLO CC(C)Oc1ncc(Cl)cc1C[NH+]1CC2(C1)CCCC2 ZINC001233169249 1132576082 /nfs/dbraw/zinc/57/60/82/1132576082.db2.gz ZDBTWUGMZIHWCW-UHFFFAOYSA-N 1 2 294.826 3.898 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1ccc(Cl)c(F)c1F ZINC001233206691 1132580678 /nfs/dbraw/zinc/58/06/78/1132580678.db2.gz CDUOPRRAUWEPTD-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1ccc(Cl)c(F)c1F ZINC001233206691 1132580681 /nfs/dbraw/zinc/58/06/81/1132580681.db2.gz CDUOPRRAUWEPTD-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO Cc1ccc(-n2ccc3c2cc(Cl)cc3N)c(C)[nH+]1 ZINC001203375810 1132581154 /nfs/dbraw/zinc/58/11/54/1132581154.db2.gz CBQIMQREJJLKPO-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO Fc1c(Cl)ccc(C[N@@H+]2CC[C@]3(CC3(F)F)C2)c1F ZINC001233211529 1132581307 /nfs/dbraw/zinc/58/13/07/1132581307.db2.gz YBGDAHIWWWGYFU-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1c(Cl)ccc(C[N@H+]2CC[C@]3(CC3(F)F)C2)c1F ZINC001233211529 1132581313 /nfs/dbraw/zinc/58/13/13/1132581313.db2.gz YBGDAHIWWWGYFU-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO CC(=O)c1ccc(F)cc1Nc1ccc(C)[nH+]c1C ZINC001203375738 1132582264 /nfs/dbraw/zinc/58/22/64/1132582264.db2.gz AYATVKHBMJJRFK-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CSc1cc(Nc2cccc(NC(C)=O)c2)cc[nH+]1 ZINC001173834550 1132588981 /nfs/dbraw/zinc/58/89/81/1132588981.db2.gz YOAKGIOHDMEHTI-UHFFFAOYSA-N 1 2 273.361 3.506 20 0 CHADLO Cc1ccc(Cl)cc1C[N@H+](C)CC(=O)c1ccccc1 ZINC001233250561 1132589168 /nfs/dbraw/zinc/58/91/68/1132589168.db2.gz PPDDOLXDGSNSAA-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO Cc1ccc(Cl)cc1C[N@@H+](C)CC(=O)c1ccccc1 ZINC001233250561 1132589172 /nfs/dbraw/zinc/58/91/72/1132589172.db2.gz PPDDOLXDGSNSAA-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3c(Cl)cccc3C2)sn1 ZINC001233312611 1132598271 /nfs/dbraw/zinc/59/82/71/1132598271.db2.gz AMODPKPBUFEIBB-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@H+]2CCc3c(Cl)cccc3C2)sn1 ZINC001233312611 1132598276 /nfs/dbraw/zinc/59/82/76/1132598276.db2.gz AMODPKPBUFEIBB-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC(=O)c1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001173899567 1132603905 /nfs/dbraw/zinc/60/39/05/1132603905.db2.gz PVAMPTXXSOWUBE-UHFFFAOYSA-N 1 2 277.327 3.819 20 0 CHADLO Fc1cc(F)cc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001173913007 1132608523 /nfs/dbraw/zinc/60/85/23/1132608523.db2.gz RCVQUQJPQISTHX-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cc3cccnc3s1)C2 ZINC001233348854 1132608759 /nfs/dbraw/zinc/60/87/59/1132608759.db2.gz SBVMNYCXPPVBGV-UHFFFAOYSA-N 1 2 284.359 3.951 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cc3cccnc3s1)C2 ZINC001233348854 1132608762 /nfs/dbraw/zinc/60/87/62/1132608762.db2.gz SBVMNYCXPPVBGV-UHFFFAOYSA-N 1 2 284.359 3.951 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCOC[C@@H]1C(C)C ZINC001233352657 1132609737 /nfs/dbraw/zinc/60/97/37/1132609737.db2.gz NWVQSGJZLFWUQL-CQSZACIVSA-N 1 2 299.867 3.919 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCOC[C@@H]1C(C)C ZINC001233352657 1132609741 /nfs/dbraw/zinc/60/97/41/1132609741.db2.gz NWVQSGJZLFWUQL-CQSZACIVSA-N 1 2 299.867 3.919 20 0 CHADLO Fc1cc(F)cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001173915029 1132610092 /nfs/dbraw/zinc/61/00/92/1132610092.db2.gz YPGQTWWZUJYTBU-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO Cc1cn(C(C)(C)C(=O)NCC[C@@H](C)CC(C)(C)C)c[nH+]1 ZINC001154338658 1132613043 /nfs/dbraw/zinc/61/30/43/1132613043.db2.gz DGXJWDGAZIUGPX-CYBMUJFWSA-N 1 2 293.455 3.505 20 0 CHADLO COc1ccc(OC)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001173919690 1132614045 /nfs/dbraw/zinc/61/40/45/1132614045.db2.gz JURGZPZXKFEVQV-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO Clc1cccn2cc(C[NH+]3CC(c4ccccc4)C3)nc12 ZINC001233423193 1132620084 /nfs/dbraw/zinc/62/00/84/1132620084.db2.gz MFOFTAZTMMUZCR-UHFFFAOYSA-N 1 2 297.789 3.587 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3c(c2)OCCO3)cc1 ZINC001173878550 1132621082 /nfs/dbraw/zinc/62/10/82/1132621082.db2.gz RXNGKELRRSBFAU-UHFFFAOYSA-N 1 2 284.359 3.658 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3c(c2)OCCO3)cc1 ZINC001173878550 1132621085 /nfs/dbraw/zinc/62/10/85/1132621085.db2.gz RXNGKELRRSBFAU-UHFFFAOYSA-N 1 2 284.359 3.658 20 0 CHADLO Cc1cc(NCc2ccc3c(ccn3C)c2)nc(C2CC2)[nH+]1 ZINC001154806844 1132625237 /nfs/dbraw/zinc/62/52/37/1132625237.db2.gz XQRRXLGHUBJOTJ-UHFFFAOYSA-N 1 2 292.386 3.766 20 0 CHADLO COc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1OC ZINC001173887047 1132626985 /nfs/dbraw/zinc/62/69/85/1132626985.db2.gz WLYGMMJATGILPE-UHFFFAOYSA-N 1 2 270.332 3.720 20 0 CHADLO COc1ccc(F)cc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001173956447 1132630939 /nfs/dbraw/zinc/63/09/39/1132630939.db2.gz NFGINMCYRYLFNO-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO COC(=O)CCCCCCNc1[nH+]cc(SC)cc1C ZINC001155008018 1132635392 /nfs/dbraw/zinc/63/53/92/1132635392.db2.gz IZJRDFIYCNDCHL-UHFFFAOYSA-N 1 2 296.436 3.647 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(F)cc2C)[nH+]1 ZINC001173966420 1132636498 /nfs/dbraw/zinc/63/64/98/1132636498.db2.gz DQYWUOKNPQZGLB-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1cc(F)ccc1Nc1[nH+]cccc1N1CCCC1 ZINC001173968269 1132638312 /nfs/dbraw/zinc/63/83/12/1132638312.db2.gz MPFUFKBJXOYGSN-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1NC[C@@H]1CCCC[C@H]1C ZINC001155075868 1132640584 /nfs/dbraw/zinc/64/05/84/1132640584.db2.gz AOAWITIWOCQEOY-KGLIPLIRSA-N 1 2 292.423 3.920 20 0 CHADLO CCc1cc2c(nc(Cl)nc2NC(=[NH2+])C(C)(C)C)[nH]1 ZINC001155180367 1132646829 /nfs/dbraw/zinc/64/68/29/1132646829.db2.gz UGHKLTNWNIWKAF-UHFFFAOYSA-N 1 2 279.775 3.609 20 0 CHADLO CC(=O)Nc1ccccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001173994734 1132668022 /nfs/dbraw/zinc/66/80/22/1132668022.db2.gz RZUGFXYIRCUGJV-UHFFFAOYSA-N 1 2 292.342 3.574 20 0 CHADLO COc1c[nH+]c(NCc2cc(C)cc(C)c2)c(C)c1 ZINC001155898148 1132669148 /nfs/dbraw/zinc/66/91/48/1132669148.db2.gz IEQJZXAUELKKCA-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO CC(C)(Cc1ccc(F)cc1)Nc1cc(N)cc(Cl)[nH+]1 ZINC001155961332 1132672206 /nfs/dbraw/zinc/67/22/06/1132672206.db2.gz CTKAOTHWIPDPAC-UHFFFAOYSA-N 1 2 293.773 3.890 20 0 CHADLO c1cn(-c2ccc(Nc3ccc4c(c3)CCO4)cc2)c[nH+]1 ZINC001174010389 1132676763 /nfs/dbraw/zinc/67/67/63/1132676763.db2.gz HFUSGTCYSDLLOW-UHFFFAOYSA-N 1 2 277.327 3.551 20 0 CHADLO COCc1ccc(Nc2ccc(C)[nH+]c2C)cc1F ZINC001203377052 1132692391 /nfs/dbraw/zinc/69/23/91/1132692391.db2.gz ZYGZJAQSGGLLRF-UHFFFAOYSA-N 1 2 260.312 3.728 20 0 CHADLO COc1ccc(Nc2ccccc2-n2cc[nH+]c2)c(C)c1 ZINC001174021998 1132695878 /nfs/dbraw/zinc/69/58/78/1132695878.db2.gz LFOXYFBAKSOFNK-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO C[C@H](CCO)Nc1cc(SCc2ccccc2)cc[nH+]1 ZINC001156452351 1132698232 /nfs/dbraw/zinc/69/82/32/1132698232.db2.gz RYPSBOJQJUUVFI-CYBMUJFWSA-N 1 2 288.416 3.557 20 0 CHADLO CCCCCC[C@@](C)(CC)C(=O)NCc1c[nH+]c(CC)[nH]1 ZINC001156538606 1132703503 /nfs/dbraw/zinc/70/35/03/1132703503.db2.gz YBWNQFMAODYQQR-QGZVFWFLSA-N 1 2 293.455 3.975 20 0 CHADLO CCCCCC[C@@](C)(CC)C(=O)NCc1c[nH]c(CC)[nH+]1 ZINC001156538606 1132703507 /nfs/dbraw/zinc/70/35/07/1132703507.db2.gz YBWNQFMAODYQQR-QGZVFWFLSA-N 1 2 293.455 3.975 20 0 CHADLO COc1cccc(F)c1CNc1cc(SC)cc[nH+]1 ZINC001156744832 1132708467 /nfs/dbraw/zinc/70/84/67/1132708467.db2.gz YCWUHXCUHQFCLD-UHFFFAOYSA-N 1 2 278.352 3.563 20 0 CHADLO Cc1ccc2c(c1)cc(Cl)nc2NCc1cc[nH+]c(N)c1 ZINC001156757139 1132708506 /nfs/dbraw/zinc/70/85/06/1132708506.db2.gz OCGRMERBAYMTID-UHFFFAOYSA-N 1 2 298.777 3.786 20 0 CHADLO Cc1nc(N2CC3CC(C3)C2)cc(C2CCCCC2)[nH+]1 ZINC001156901852 1132713971 /nfs/dbraw/zinc/71/39/71/1132713971.db2.gz CHXARMFPHBWEAZ-UHFFFAOYSA-N 1 2 271.408 3.679 20 0 CHADLO Cn1ccc2cc(Nc3ccc(N4CCCC4)[nH+]c3)ccc21 ZINC001174097150 1132714549 /nfs/dbraw/zinc/71/45/49/1132714549.db2.gz HVAZMFAEPJJHFG-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO COC(=O)[C@H]1CC[C@H](Nc2cc(C)c3ccccc3[nH+]2)CC1 ZINC001157035115 1132718426 /nfs/dbraw/zinc/71/84/26/1132718426.db2.gz FHCBVNNHQSHHJW-HDJSIYSDSA-N 1 2 298.386 3.687 20 0 CHADLO COc1ccc2[nH+]c(N(C)Cc3cncs3)cc(C)c2c1 ZINC001157084947 1132721408 /nfs/dbraw/zinc/72/14/08/1132721408.db2.gz MVRAGUFTUYMPBY-UHFFFAOYSA-N 1 2 299.399 3.645 20 0 CHADLO COc1cncc(Nc2ccc3c(C)cc[nH+]c3c2)c1 ZINC001174091418 1132736335 /nfs/dbraw/zinc/73/63/35/1132736335.db2.gz RIRVGYWVUPTVLX-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO CCc1cccc(Nc2ccc(C(=O)NC(C)(C)C)cc2)[nH+]1 ZINC001174126443 1132737236 /nfs/dbraw/zinc/73/72/36/1132737236.db2.gz GWVNGTQVPIXQSN-UHFFFAOYSA-N 1 2 297.402 3.916 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(C(=O)NC(C)(C)C)cc2)c1 ZINC001174125114 1132737432 /nfs/dbraw/zinc/73/74/32/1132737432.db2.gz POZWDXUGXLKZQQ-UHFFFAOYSA-N 1 2 283.375 3.662 20 0 CHADLO C=Cc1ccc(CNc2[nH+]cc(OC)cc2C)cc1 ZINC001157777768 1132743362 /nfs/dbraw/zinc/74/33/62/1132743362.db2.gz IMHHXJDIJMMCAY-UHFFFAOYSA-N 1 2 254.333 3.654 20 0 CHADLO CNC(=O)c1cccc(Nc2c(C)cc[nH+]c2C(C)C)c1 ZINC001174140302 1132744550 /nfs/dbraw/zinc/74/45/50/1132744550.db2.gz RCPLYHKHETXHOX-UHFFFAOYSA-N 1 2 283.375 3.617 20 0 CHADLO CSCc1cc[nH+]c(N[C@H]2CCNc3ccccc32)c1 ZINC001157840793 1132748165 /nfs/dbraw/zinc/74/81/65/1132748165.db2.gz XDDHMRUFFZJDQT-HNNXBMFYSA-N 1 2 285.416 3.913 20 0 CHADLO CCOC(=O)CCc1cccc(Nc2ccc(C)[nH+]c2C)c1 ZINC001203380091 1132749717 /nfs/dbraw/zinc/74/97/17/1132749717.db2.gz HLABCLLLRYYTIE-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO Cc1cc2c(cccc2N)n1-c1ccc(C)[nH+]c1C ZINC001203380150 1132750089 /nfs/dbraw/zinc/75/00/89/1132750089.db2.gz JIBMNSMZBJJXRZ-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO COc1ccc(Nc2cccn3cc[nH+]c23)cc1Cl ZINC001174151974 1132750554 /nfs/dbraw/zinc/75/05/54/1132750554.db2.gz UMALHAMUVPBJIY-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO CCCCNC(=O)c1ccc(Nc2[nH+]cccc2C)cc1 ZINC001174121871 1132752811 /nfs/dbraw/zinc/75/28/11/1132752811.db2.gz QYWVCKRWECFCCN-UHFFFAOYSA-N 1 2 283.375 3.664 20 0 CHADLO Cc1cc(C)c(Nc2cccc(C(=O)NC(C)C)c2)c[nH+]1 ZINC001174184290 1132753920 /nfs/dbraw/zinc/75/39/20/1132753920.db2.gz LDYFLPPULKUWNA-UHFFFAOYSA-N 1 2 283.375 3.580 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(C(=O)NC(C)C)c2)c1 ZINC001174185586 1132754892 /nfs/dbraw/zinc/75/48/92/1132754892.db2.gz HTYOYQBVHSMKEE-UHFFFAOYSA-N 1 2 297.402 3.916 20 0 CHADLO COc1ccc(F)c(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001174209869 1132758183 /nfs/dbraw/zinc/75/81/83/1132758183.db2.gz XDUXFKZTQOTTEJ-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO CCSc1cc[nH+]c(NCc2cn3cccc(C)c3n2)c1 ZINC001158158795 1132764789 /nfs/dbraw/zinc/76/47/89/1132764789.db2.gz LFFSLNFKOIOMIL-UHFFFAOYSA-N 1 2 298.415 3.762 20 0 CHADLO CSCc1ccc(N[C@H]2CCCC(F)(F)C2)[nH+]c1 ZINC001158338257 1132771546 /nfs/dbraw/zinc/77/15/46/1132771546.db2.gz LTACFFOALLSITM-NSHDSACASA-N 1 2 272.364 3.934 20 0 CHADLO CCOc1ccc[nH+]c1NCCc1coc2ccccc12 ZINC001158662517 1132788964 /nfs/dbraw/zinc/78/89/64/1132788964.db2.gz ZTFGOYVEPAXOEF-UHFFFAOYSA-N 1 2 282.343 3.881 20 0 CHADLO O[C@@H]1c2ccccc2CC[C@H]1Nc1cc2ccccc2c[nH+]1 ZINC001158676391 1132789453 /nfs/dbraw/zinc/78/94/53/1132789453.db2.gz VDYYTXPOMAVSSF-IEBWSBKVSA-N 1 2 290.366 3.695 20 0 CHADLO Clc1cc2c(cn1)CN(c1cc3ccccc3c[nH+]1)C2 ZINC001158753845 1132791417 /nfs/dbraw/zinc/79/14/17/1132791417.db2.gz LYFNJRPLYWWKEG-UHFFFAOYSA-N 1 2 281.746 3.803 20 0 CHADLO CSc1cc[nH+]c(N[C@@H]2C[C@H]2c2ccccc2)c1 ZINC001158840546 1132796397 /nfs/dbraw/zinc/79/63/97/1132796397.db2.gz URQOJKQXAJFNAM-UONOGXRCSA-N 1 2 256.374 3.772 20 0 CHADLO Clc1cc(Nc2cccc3n[nH]cc32)cn2cc[nH+]c12 ZINC001174342415 1132800412 /nfs/dbraw/zinc/80/04/12/1132800412.db2.gz AKWVJBXYOJPNGP-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO Clc1cc(Nc2cccc3[nH]ncc32)cn2cc[nH+]c12 ZINC001174342415 1132800418 /nfs/dbraw/zinc/80/04/18/1132800418.db2.gz AKWVJBXYOJPNGP-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO c1cc2cc(Nc3[nH+]cccc3N3CCCCC3)cnc2[nH]1 ZINC001174395675 1132810213 /nfs/dbraw/zinc/81/02/13/1132810213.db2.gz AWHFUDAKBGSWFA-UHFFFAOYSA-N 1 2 293.374 3.643 20 0 CHADLO c1cc2cc(Nc3cccc(Cn4cc[nH+]c4)c3)cnc2[nH]1 ZINC001174397460 1132812870 /nfs/dbraw/zinc/81/28/70/1132812870.db2.gz AZEZGQHMJJRAOC-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO c1cc2cc(Nc3ccc(N4CCCCC4)[nH+]c3)cnc2[nH]1 ZINC001174396456 1132812954 /nfs/dbraw/zinc/81/29/54/1132812954.db2.gz ZRTYSBQKBCIDJJ-UHFFFAOYSA-N 1 2 293.374 3.643 20 0 CHADLO CNc1ccc(Nc2ccc3c(c2)CCC(C)(C)O3)c[nH+]1 ZINC001159253915 1132820118 /nfs/dbraw/zinc/82/01/18/1132820118.db2.gz KPJZINGCENDRDM-UHFFFAOYSA-N 1 2 283.375 3.971 20 0 CHADLO CCOc1ccc(Nc2ccc(NC)[nH+]c2)c(C)c1C ZINC001159254449 1132820159 /nfs/dbraw/zinc/82/01/59/1132820159.db2.gz XIVVZHQKYKPFTF-UHFFFAOYSA-N 1 2 271.364 3.882 20 0 CHADLO c1cn2cccc(Nc3ccc4ncsc4c3)c2[nH+]1 ZINC001174364223 1132820443 /nfs/dbraw/zinc/82/04/43/1132820443.db2.gz STGXDYLQPIJRDS-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO CCOc1cc(Nc2c(Cl)cccc2CO)cc(C)[nH+]1 ZINC001159253715 1132820452 /nfs/dbraw/zinc/82/04/52/1132820452.db2.gz GLGSXJZUPOIJNA-UHFFFAOYSA-N 1 2 292.766 3.678 20 0 CHADLO c1cn2ccc(Nc3ccc4ncsc4c3)cc2[nH+]1 ZINC001174364766 1132822624 /nfs/dbraw/zinc/82/26/24/1132822624.db2.gz NFKWBNSHGAZRHO-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)ccc1OC(C)C ZINC001159280750 1132823699 /nfs/dbraw/zinc/82/36/99/1132823699.db2.gz CFYXZHHOJJWYJB-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO Cc1ccc(Nc2ccc(-c3cnn(C)c3)cc2)[nH+]c1 ZINC001174375964 1132825860 /nfs/dbraw/zinc/82/58/60/1132825860.db2.gz GBBMNHJPBKSGTO-UHFFFAOYSA-N 1 2 264.332 3.534 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cc(C4CC4)nn3C)ccc21 ZINC001174380344 1132828874 /nfs/dbraw/zinc/82/88/74/1132828874.db2.gz BEAOOUONJKRBKJ-UHFFFAOYSA-N 1 2 295.390 3.972 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C(C)C)no1 ZINC001174381326 1132829527 /nfs/dbraw/zinc/82/95/27/1132829527.db2.gz WBQNQDWDCKOBGA-UHFFFAOYSA-N 1 2 256.309 3.657 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)c(F)ccc1OC ZINC001174424045 1132841517 /nfs/dbraw/zinc/84/15/17/1132841517.db2.gz ATVGWQYZTJSTQP-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)NCC2 ZINC001159432378 1132844499 /nfs/dbraw/zinc/84/44/99/1132844499.db2.gz ZRLFUVMUSOGIPF-UHFFFAOYSA-N 1 2 265.360 3.979 20 0 CHADLO c1cc2c(nccc2Nc2ccc[nH+]c2N2CCCCC2)[nH]1 ZINC001174408223 1132846658 /nfs/dbraw/zinc/84/66/58/1132846658.db2.gz GQSPFDXFBHUHGD-UHFFFAOYSA-N 1 2 293.374 3.643 20 0 CHADLO Clc1cc(Nc2cc[nH+]c3[nH]ccc32)cc(Cl)n1 ZINC001174409588 1132847748 /nfs/dbraw/zinc/84/77/48/1132847748.db2.gz TVHNQTXRCWKDFU-UHFFFAOYSA-N 1 2 279.130 3.960 20 0 CHADLO COc1ccc(Nc2cc[nH+]c3[nH]ccc32)c(C)c1 ZINC001174411131 1132849312 /nfs/dbraw/zinc/84/93/12/1132849312.db2.gz KTMBPYSDDFZXTC-UHFFFAOYSA-N 1 2 253.305 3.575 20 0 CHADLO Nc1cc(Nc2cc[nH+]c3[nH]ccc32)cc(C(F)(F)F)c1 ZINC001174411328 1132849609 /nfs/dbraw/zinc/84/96/09/1132849609.db2.gz VLSGCTQXIFCMFL-UHFFFAOYSA-N 1 2 292.264 3.859 20 0 CHADLO COc1ccc(C)c(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174411138 1132850092 /nfs/dbraw/zinc/85/00/92/1132850092.db2.gz LDPKAQJQNJBMLK-UHFFFAOYSA-N 1 2 253.305 3.575 20 0 CHADLO CC1(c2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2)COC1 ZINC001174413562 1132852192 /nfs/dbraw/zinc/85/21/92/1132852192.db2.gz ZKAKNKXSTIJNQR-UHFFFAOYSA-N 1 2 279.343 3.546 20 0 CHADLO Cc1cc(NCc2nccc(Cl)n2)[nH+]c2ccccc12 ZINC001159477396 1132852357 /nfs/dbraw/zinc/85/23/57/1132852357.db2.gz YCZGZRJVJPJVFM-UHFFFAOYSA-N 1 2 284.750 3.599 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(N)cc2F)cc1 ZINC001174484110 1132856782 /nfs/dbraw/zinc/85/67/82/1132856782.db2.gz XHVGHPNSOKOPJP-UHFFFAOYSA-N 1 2 259.328 3.608 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(N)cc2F)cc1 ZINC001174484110 1132856790 /nfs/dbraw/zinc/85/67/90/1132856790.db2.gz XHVGHPNSOKOPJP-UHFFFAOYSA-N 1 2 259.328 3.608 20 0 CHADLO c1cn2c(cccc2Nc2cccc(N3CCCC3)c2)[nH+]1 ZINC001174485500 1132857832 /nfs/dbraw/zinc/85/78/32/1132857832.db2.gz LKOYPLRQBHAOTK-UHFFFAOYSA-N 1 2 278.359 3.678 20 0 CHADLO Cc1sccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001174459611 1132870910 /nfs/dbraw/zinc/87/09/10/1132870910.db2.gz BROIHLHWPUQWQV-UHFFFAOYSA-N 1 2 255.346 3.986 20 0 CHADLO Nc1cccc(Cl)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001159619788 1132875815 /nfs/dbraw/zinc/87/58/15/1132875815.db2.gz CGQZAUUAZQOYKC-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO COc1cc(Nc2ccc(N3CCCC3)cc2)cc(C)[nH+]1 ZINC001174526669 1132888402 /nfs/dbraw/zinc/88/84/02/1132888402.db2.gz URBDJNUXIMUDJG-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO Cc1cccc(Nc2cccc(-c3cc[nH]n3)c2)[nH+]1 ZINC001174506588 1132904858 /nfs/dbraw/zinc/90/48/58/1132904858.db2.gz RVSCWRORSVKGOB-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3ccn(C)c3c2)[nH+]1 ZINC001174513171 1132907324 /nfs/dbraw/zinc/90/73/24/1132907324.db2.gz COQMQMKPMHCRDP-UHFFFAOYSA-N 1 2 276.343 3.878 20 0 CHADLO CNc1ccc(Nc2cc(Cl)c(C)cc2F)c[nH+]1 ZINC001159826089 1132912916 /nfs/dbraw/zinc/91/29/16/1132912916.db2.gz KQEZPFORUMDPAX-UHFFFAOYSA-N 1 2 265.719 3.968 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(N2CCCCC2)nc1 ZINC001174522295 1132913123 /nfs/dbraw/zinc/91/31/23/1132913123.db2.gz WXPXIWWSSCIKML-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO COc1nc(C)ccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174523735 1132913273 /nfs/dbraw/zinc/91/32/73/1132913273.db2.gz YLDQALKAZAOIDP-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO CCOC(=O)[C@H]1CCC[C@@H]1Nc1ccc(C(C)(C)C)c[nH+]1 ZINC001159835869 1132914773 /nfs/dbraw/zinc/91/47/73/1132914773.db2.gz WHDWUXNWIDIJFP-KBPBESRZSA-N 1 2 290.407 3.523 20 0 CHADLO CN(C)c1ccc(Nc2ccc3c(c2)NCCC3(C)C)c[nH+]1 ZINC001160321381 1132962046 /nfs/dbraw/zinc/96/20/46/1132962046.db2.gz AILZWPOEEAGIMA-UHFFFAOYSA-N 1 2 296.418 3.984 20 0 CHADLO c1cn(-c2cccc(Nc3ccc4c(c3)CCCO4)c2)c[nH+]1 ZINC001174720810 1132964675 /nfs/dbraw/zinc/96/46/75/1132964675.db2.gz OGJTXZCGUOQARK-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H](CC(C)C)c1ccccn1 ZINC001160485407 1132971984 /nfs/dbraw/zinc/97/19/84/1132971984.db2.gz BWPPUXQDSCAWDU-HNNXBMFYSA-N 1 2 285.391 3.993 20 0 CHADLO CC[C@H](C)C1CCC(Nc2[nH+]ccc(C)c2C(N)=O)CC1 ZINC001160668402 1132977701 /nfs/dbraw/zinc/97/77/01/1132977701.db2.gz VYJRBHKMTBUDOL-XGNXJENSSA-N 1 2 289.423 3.506 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3ccnc(C(C)C)n3)c[nH+]c12 ZINC001160686892 1132978416 /nfs/dbraw/zinc/97/84/16/1132978416.db2.gz QWRXSKNPQDOARX-ZDUSSCGKSA-N 1 2 295.390 3.729 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](C)c2cnc3c(C)cccn23)c1 ZINC001160686696 1132978432 /nfs/dbraw/zinc/97/84/32/1132978432.db2.gz MZIUTBXSHQOPSU-LBPRGKRZSA-N 1 2 298.415 3.933 20 0 CHADLO COc1cc(C)c(CNc2nc(C)[nH+]c3c2CCC3)cc1C ZINC001160774998 1132981208 /nfs/dbraw/zinc/98/12/08/1132981208.db2.gz DINJDIAKEBLSHG-UHFFFAOYSA-N 1 2 297.402 3.511 20 0 CHADLO Cc1noc2ccc(CNc3[nH+]ccc(F)c3C)cc12 ZINC001160865418 1132985367 /nfs/dbraw/zinc/98/53/67/1132985367.db2.gz YAKRYENMASOIGF-UHFFFAOYSA-N 1 2 271.295 3.591 20 0 CHADLO Cc1nc(Cl)nc(Cl)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213027204 1132986648 /nfs/dbraw/zinc/98/66/48/1132986648.db2.gz OKKYLSDRUQHGFZ-UHFFFAOYSA-N 1 2 294.145 3.712 20 0 CHADLO c1[nH]c2c(cccc2Nc2ccc3cccnc3c2)[nH+]1 ZINC001213030784 1132994371 /nfs/dbraw/zinc/99/43/71/1132994371.db2.gz QXRSSSJLKGGBPX-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO COc1cc(Nc2cccc3[nH+]c[nH]c32)ccc1Cl ZINC001213031700 1132997078 /nfs/dbraw/zinc/99/70/78/1132997078.db2.gz NEEREORINBVBAL-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO c1cnn(Cc2cccc(Nc3cccc4[nH+]c[nH]c43)c2)c1 ZINC001213032219 1132999485 /nfs/dbraw/zinc/99/94/85/1132999485.db2.gz YNGUZNNSNWWUJA-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO CC(C)(C)c1ccc(N[C@H]2CCCc3nccnc32)[nH+]c1 ZINC001161411614 1133000045 /nfs/dbraw/zinc/00/00/45/1133000045.db2.gz ZCPVKVHWSCMDCN-AWEZNQCLSA-N 1 2 282.391 3.659 20 0 CHADLO COc1ccc2cc(Nc3cccc4[nH+]c[nH]c43)ccc2n1 ZINC001213032397 1133000579 /nfs/dbraw/zinc/00/05/79/1133000579.db2.gz CZECKNMLJNAMFI-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO Cc1cc(=O)c2ccc(Nc3cccc4[nH+]c[nH]c43)cc2o1 ZINC001213033349 1133003548 /nfs/dbraw/zinc/00/35/48/1133003548.db2.gz AYANZQIRPJMREA-UHFFFAOYSA-N 1 2 291.310 3.721 20 0 CHADLO COC(=O)[C@H](C)c1ccc(Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213033416 1133005741 /nfs/dbraw/zinc/00/57/41/1133005741.db2.gz FJHCOVXECMLYBM-LLVKDONJSA-N 1 2 295.342 3.583 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cc(Cl)cc(Cl)n1 ZINC001161562935 1133006572 /nfs/dbraw/zinc/00/65/72/1133006572.db2.gz IGUWZCAQNTWMCW-UHFFFAOYSA-N 1 2 285.178 3.778 20 0 CHADLO Cc1cc2ccccc2c(NCCCn2cc[nH+]c2)c1 ZINC001161689283 1133016835 /nfs/dbraw/zinc/01/68/35/1133016835.db2.gz MRYOUIRRECBDGL-UHFFFAOYSA-N 1 2 265.360 3.847 20 0 CHADLO Fc1ncc(-c2ccccc2)cc1NCCCn1cc[nH+]c1 ZINC001161684722 1133016859 /nfs/dbraw/zinc/01/68/59/1133016859.db2.gz KYRPDNDDTLLIBL-UHFFFAOYSA-N 1 2 296.349 3.586 20 0 CHADLO COc1ccc2cc(NCc3ccc(C)o3)[nH+]cc2c1 ZINC001161765334 1133026217 /nfs/dbraw/zinc/02/62/17/1133026217.db2.gz NFUZPGSRXSRFCI-UHFFFAOYSA-N 1 2 268.316 3.757 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCCOC(C)C)c1 ZINC001161795488 1133028935 /nfs/dbraw/zinc/02/89/35/1133028935.db2.gz AJBQTZNUBPBJQO-UHFFFAOYSA-N 1 2 264.413 3.678 20 0 CHADLO FC(F)CNc1ccc2[nH]c(-c3ccccc3)[nH+]c2c1 ZINC001161817281 1133031467 /nfs/dbraw/zinc/03/14/67/1133031467.db2.gz IWQMZHZEBGIFFH-UHFFFAOYSA-N 1 2 273.286 3.907 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@H](c1ccnc(F)c1)C1CC1 ZINC001161844373 1133035298 /nfs/dbraw/zinc/03/52/98/1133035298.db2.gz CGFWAWSIFKJXHZ-AWEZNQCLSA-N 1 2 275.302 3.626 20 0 CHADLO COc1cc[nH+]cc1N[C@@H](c1ccccc1)C1CC1 ZINC001161957893 1133042608 /nfs/dbraw/zinc/04/26/08/1133042608.db2.gz FGQINFXNPILPPD-INIZCTEOSA-N 1 2 254.333 3.653 20 0 CHADLO CCCc1cc(NCc2cnc(C)s2)nc(CCC)[nH+]1 ZINC001162156730 1133055982 /nfs/dbraw/zinc/05/59/82/1133055982.db2.gz WUUVDRRMJBRIOA-UHFFFAOYSA-N 1 2 290.436 3.759 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NC3CC(F)C3)c2)cc1 ZINC001162209159 1133059754 /nfs/dbraw/zinc/05/97/54/1133059754.db2.gz DDEODAIWKLSFDB-UHFFFAOYSA-N 1 2 256.324 3.969 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NC[C@H](O)c3ccco3)c2)cc1 ZINC001162252722 1133064808 /nfs/dbraw/zinc/06/48/08/1133064808.db2.gz UEWSGQXHPDPDTG-INIZCTEOSA-N 1 2 294.354 3.796 20 0 CHADLO CN(CC1CCCC1)c1nc2ccccc2n2c[nH+]cc12 ZINC001162284457 1133066076 /nfs/dbraw/zinc/06/60/76/1133066076.db2.gz DCKJRBQHVVCTNW-UHFFFAOYSA-N 1 2 280.375 3.509 20 0 CHADLO Cc1cc(N[C@H]2CC[C@](C)(O)CC2)[nH+]cc1C(F)(F)F ZINC001162355044 1133071176 /nfs/dbraw/zinc/07/11/76/1133071176.db2.gz WBLORMQBNLYICH-MJHDQNEOSA-N 1 2 288.313 3.514 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N[C@H]2C[C@@]23CCCOC3)c1 ZINC001162369961 1133073069 /nfs/dbraw/zinc/07/30/69/1133073069.db2.gz GSGVVQWACUWWSN-GOEBONIOSA-N 1 2 288.778 3.869 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N[C@@H]2C[C@@]23CCCOC3)c1 ZINC001162369960 1133073202 /nfs/dbraw/zinc/07/32/02/1133073202.db2.gz GSGVVQWACUWWSN-GDBMZVCRSA-N 1 2 288.778 3.869 20 0 CHADLO COC(=O)CCCCCCNc1ccc([NH+](C)C)c(C)c1 ZINC001162434402 1133077395 /nfs/dbraw/zinc/07/73/95/1133077395.db2.gz QAKSMHBQTCJIHR-UHFFFAOYSA-N 1 2 292.423 3.596 20 0 CHADLO Cc1nc2c(ccn2C)c(NC[C@@H]2CCCC[C@@H]2C)[nH+]1 ZINC001162524115 1133087547 /nfs/dbraw/zinc/08/75/47/1133087547.db2.gz KJFBPCYCANYBJX-AAEUAGOBSA-N 1 2 272.396 3.515 20 0 CHADLO Cc1cc([NH2+]CCc2ccc(C(F)(F)F)nc2)ccc1N ZINC001162615721 1133094558 /nfs/dbraw/zinc/09/45/58/1133094558.db2.gz KCQGCCDUDQUDGN-UHFFFAOYSA-N 1 2 295.308 3.646 20 0 CHADLO Cn1c[nH+]c2ccc(NC(C)(C)C(=O)c3ccccc3)cc21 ZINC001162634382 1133097099 /nfs/dbraw/zinc/09/70/99/1133097099.db2.gz FLGHNBZYYAEEIB-UHFFFAOYSA-N 1 2 293.370 3.647 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C)nc(C2CCC2)n1 ZINC001162638521 1133098186 /nfs/dbraw/zinc/09/81/86/1133098186.db2.gz SBIDRJGAYMABDM-UHFFFAOYSA-N 1 2 293.374 3.683 20 0 CHADLO COc1ccc2cc(NCc3ccncc3C)[nH+]cc2c1 ZINC001162715664 1133105800 /nfs/dbraw/zinc/10/58/00/1133105800.db2.gz KZYKWJUXGHWVOO-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@H]1CCc2sccc21 ZINC001162766948 1133110906 /nfs/dbraw/zinc/11/09/06/1133110906.db2.gz XVNNADLJGGYDJW-NSHDSACASA-N 1 2 260.362 3.560 20 0 CHADLO Cc1cc(N2CCC[C@@H](C(C)C)C2)nc(C2CCC2)[nH+]1 ZINC001163076507 1133129434 /nfs/dbraw/zinc/12/94/34/1133129434.db2.gz SQLUCJDVKICXDS-OAHLLOKOSA-N 1 2 273.424 3.925 20 0 CHADLO CSc1cc[nH+]c(N[C@@H]2CCc3c2cccc3O)c1 ZINC001163194450 1133134873 /nfs/dbraw/zinc/13/48/73/1133134873.db2.gz CPIXSILBDFKUKD-CYBMUJFWSA-N 1 2 272.373 3.609 20 0 CHADLO CCSc1cc[nH+]c(N[C@@H]2CCc3c2cccc3O)c1 ZINC001163195552 1133135784 /nfs/dbraw/zinc/13/57/84/1133135784.db2.gz RRBUHZUEONWOBH-CQSZACIVSA-N 1 2 286.400 3.999 20 0 CHADLO Cc1cc(NC2(c3ccc(N)cc3)CC2)nc(C(C)C)[nH+]1 ZINC001163200696 1133135796 /nfs/dbraw/zinc/13/57/96/1133135796.db2.gz MAMHETQNSGHGOP-UHFFFAOYSA-N 1 2 282.391 3.592 20 0 CHADLO Cc1cc(Cl)c(C)c(N[C@H](C)c2ccno2)[nH+]1 ZINC001163213194 1133136671 /nfs/dbraw/zinc/13/66/71/1133136671.db2.gz KYIZVKFLBMMHRE-SECBINFHSA-N 1 2 251.717 3.513 20 0 CHADLO CCc1cc(N2CCC=C(c3ccccc3)C2)nc(CC)[nH+]1 ZINC001163265023 1133139816 /nfs/dbraw/zinc/13/98/16/1133139816.db2.gz CYHMOYHZSSGZME-UHFFFAOYSA-N 1 2 293.414 3.895 20 0 CHADLO CCCN(CCOC)c1[nH+]ccc2cc(Cl)ccc21 ZINC001163642420 1133164034 /nfs/dbraw/zinc/16/40/34/1133164034.db2.gz PLNGODWBCOWEOG-UHFFFAOYSA-N 1 2 278.783 3.751 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NCCCC1CCC1 ZINC001163743947 1133171280 /nfs/dbraw/zinc/17/12/80/1133171280.db2.gz IFRPQQOJWHHWJG-UHFFFAOYSA-N 1 2 287.411 3.574 20 0 CHADLO CC1([NH2+]c2ccc3c(c2)C2(CN3)CCOCC2)CCC1 ZINC001164033191 1133194389 /nfs/dbraw/zinc/19/43/89/1133194389.db2.gz XHJRVWHMYLUWKY-UHFFFAOYSA-N 1 2 272.392 3.515 20 0 CHADLO CNc1ccc(CNc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001164154157 1133202852 /nfs/dbraw/zinc/20/28/52/1133202852.db2.gz RBDSETLTIFRDRG-UHFFFAOYSA-N 1 2 292.386 3.585 20 0 CHADLO C[C@@H]1CC[N@@H+](C(C)(C)c2ccnc(Cl)c2)C[C@H]1F ZINC001164178902 1133203102 /nfs/dbraw/zinc/20/31/02/1133203102.db2.gz LJJFNSIHEYGOGI-ZYHUDNBSSA-N 1 2 270.779 3.650 20 0 CHADLO C[C@@H]1CC[N@H+](C(C)(C)c2ccnc(Cl)c2)C[C@H]1F ZINC001164178902 1133203107 /nfs/dbraw/zinc/20/31/07/1133203107.db2.gz LJJFNSIHEYGOGI-ZYHUDNBSSA-N 1 2 270.779 3.650 20 0 CHADLO FC[C@@]1(C(F)(F)F)CCN(c2cc3ccccc3c[nH+]2)C1 ZINC001164266411 1133211067 /nfs/dbraw/zinc/21/10/67/1133211067.db2.gz QXJXTMAIPADDSB-AWEZNQCLSA-N 1 2 298.283 3.963 20 0 CHADLO CC1(C)CN(c2cc(-c3ccccc3)cc[nH+]2)[C@](C)(CO)C1 ZINC001164355765 1133216486 /nfs/dbraw/zinc/21/64/86/1133216486.db2.gz BPMUJAXGMZEJRD-IBGZPJMESA-N 1 2 296.414 3.736 20 0 CHADLO CN(CCSc1[nH+]cc2ccccn21)c1ccccc1 ZINC001164551742 1133222140 /nfs/dbraw/zinc/22/21/40/1133222140.db2.gz NNKSFOSOKFLJJH-UHFFFAOYSA-N 1 2 283.400 3.563 20 0 CHADLO CC(C)c1ccc(N2CC(F)(F)C[C@@]2(C)CF)[nH+]c1 ZINC001164563464 1133223502 /nfs/dbraw/zinc/22/35/02/1133223502.db2.gz OWDNNJAXVDTKBG-ZDUSSCGKSA-N 1 2 272.314 3.779 20 0 CHADLO CCc1ccc(C[N@@H+]2CCC[C@@H]2c2nccs2)o1 ZINC001204394392 1133228095 /nfs/dbraw/zinc/22/80/95/1133228095.db2.gz CSIDEMMUSLMFAD-CYBMUJFWSA-N 1 2 262.378 3.636 20 0 CHADLO CCc1ccc(C[N@H+]2CCC[C@@H]2c2nccs2)o1 ZINC001204394392 1133228100 /nfs/dbraw/zinc/22/81/00/1133228100.db2.gz CSIDEMMUSLMFAD-CYBMUJFWSA-N 1 2 262.378 3.636 20 0 CHADLO CCc1ccc(C[N@@H+]2CCN(Cc3ccccc3)[C@@H](C)C2)o1 ZINC001204395621 1133231919 /nfs/dbraw/zinc/23/19/19/1133231919.db2.gz VQGDENNSAZFUMQ-INIZCTEOSA-N 1 2 298.430 3.548 20 0 CHADLO CCc1ccc(C[N@H+]2CCN(Cc3ccccc3)[C@@H](C)C2)o1 ZINC001204395621 1133231925 /nfs/dbraw/zinc/23/19/25/1133231925.db2.gz VQGDENNSAZFUMQ-INIZCTEOSA-N 1 2 298.430 3.548 20 0 CHADLO FC(F)(F)c1c[nH]c2[nH+]ccc(NC[C@H]3CCCCO3)c12 ZINC001165239152 1133232967 /nfs/dbraw/zinc/23/29/67/1133232967.db2.gz BEQWKZIZIKSFMA-SECBINFHSA-N 1 2 299.296 3.563 20 0 CHADLO COc1ccc(C)[nH+]c1N1CCC2(CCCC2)CC1 ZINC001165323684 1133240506 /nfs/dbraw/zinc/24/05/06/1133240506.db2.gz WWYOCUNTQBXHKQ-UHFFFAOYSA-N 1 2 260.381 3.559 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCC(C)(C)OC)c1 ZINC001165859088 1133253388 /nfs/dbraw/zinc/25/33/88/1133253388.db2.gz RTHDCWSRYDHMFY-UHFFFAOYSA-N 1 2 264.413 3.678 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CC[C@@H](c2ccncc2)C1 ZINC001166570191 1133271167 /nfs/dbraw/zinc/27/11/67/1133271167.db2.gz WGLVVWWDKSXSOD-MRXNPFEDSA-N 1 2 275.355 3.624 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC[C@H](c3ccncc3)C2)[nH+]1 ZINC001166569196 1133271888 /nfs/dbraw/zinc/27/18/88/1133271888.db2.gz QSYMGFFHCAEFSD-AWEZNQCLSA-N 1 2 287.794 3.741 20 0 CHADLO Cc1nc(N2CCn3cccc3C2)cc(C2CCCCC2)[nH+]1 ZINC001166602344 1133274655 /nfs/dbraw/zinc/27/46/55/1133274655.db2.gz RUELYQKREHCTSA-UHFFFAOYSA-N 1 2 296.418 3.654 20 0 CHADLO CCSc1cc[nH+]c(N(CC2CC2)C(C)C)c1 ZINC001166620227 1133278223 /nfs/dbraw/zinc/27/82/23/1133278223.db2.gz VAKUKTWGQBOGTO-UHFFFAOYSA-N 1 2 250.411 3.818 20 0 CHADLO CC(C)c1ccc(N2CCC(c3ccccn3)CC2)[nH+]c1 ZINC001166669050 1133280542 /nfs/dbraw/zinc/28/05/42/1133280542.db2.gz LYOFFMLWWZGLKZ-UHFFFAOYSA-N 1 2 281.403 3.984 20 0 CHADLO Cc1nc(N(C)CCc2cccs2)cc(C(C)C)[nH+]1 ZINC001166678707 1133281428 /nfs/dbraw/zinc/28/14/28/1133281428.db2.gz WNODOJDWPZBDKO-UHFFFAOYSA-N 1 2 275.421 3.649 20 0 CHADLO Cc1cc(N2CCC[C@@H](C(F)F)C2)nc(C(C)(C)C)[nH+]1 ZINC001166774159 1133286099 /nfs/dbraw/zinc/28/60/99/1133286099.db2.gz IAYLBURRFQOHSO-LLVKDONJSA-N 1 2 283.366 3.564 20 0 CHADLO c1ccn(-c2ccc(NCCc3ccsc3)[nH+]c2)c1 ZINC001166791622 1133287375 /nfs/dbraw/zinc/28/73/75/1133287375.db2.gz NLRSRPLQDMOECR-UHFFFAOYSA-N 1 2 269.373 3.588 20 0 CHADLO CCc1cn(Cc2c(Cl)ccc3cccnc32)c[nH+]1 ZINC001166821635 1133289817 /nfs/dbraw/zinc/28/98/17/1133289817.db2.gz AZWKNWCEJVEKEM-UHFFFAOYSA-N 1 2 271.751 3.695 20 0 CHADLO CCSc1cc[nH+]c(N(C)Cc2ccsc2)c1 ZINC001166828699 1133290055 /nfs/dbraw/zinc/29/00/55/1133290055.db2.gz HJNAKCDXEWOIFE-UHFFFAOYSA-N 1 2 264.419 3.892 20 0 CHADLO COc1ccc(CCN(C)c2cc3ccccc3c[nH+]2)cc1 ZINC001166824485 1133291075 /nfs/dbraw/zinc/29/10/75/1133291075.db2.gz FFSFRGKXNUBXPM-UHFFFAOYSA-N 1 2 292.382 3.922 20 0 CHADLO Cc1cccc(CCN(C)c2cc(C)[nH+]c(C3CC3)n2)c1 ZINC001166824951 1133291577 /nfs/dbraw/zinc/29/15/77/1133291577.db2.gz MXEJHZNBQJSWIE-UHFFFAOYSA-N 1 2 281.403 3.650 20 0 CHADLO Cc1cc[nH+]c(Nc2cccc(C(=O)C(F)(F)F)c2)c1 ZINC001203333942 1133316360 /nfs/dbraw/zinc/31/63/60/1133316360.db2.gz MDNOPAGNDMLFIA-UHFFFAOYSA-N 1 2 280.249 3.879 20 0 CHADLO Cc1ccc(Nc2cccnc2-c2ccccn2)c(C)[nH+]1 ZINC001203369854 1133319854 /nfs/dbraw/zinc/31/98/54/1133319854.db2.gz UINABZSJHOANRY-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1ccc(Nc2cnc3c(C(C)(C)C)cnn3c2)c(C)[nH+]1 ZINC001203369859 1133319903 /nfs/dbraw/zinc/31/99/03/1133319903.db2.gz UKEVQDZPNXGMJP-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO Cc1cn(-c2ccc(Nc3ccc(C)[nH+]c3C)cc2)cn1 ZINC001203374125 1133320140 /nfs/dbraw/zinc/32/01/40/1133320140.db2.gz UVBMZFVTFYPOKE-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1ccc(Nc2cc(-c3ccncn3)cs2)c(C)[nH+]1 ZINC001203370591 1133320167 /nfs/dbraw/zinc/32/01/67/1133320167.db2.gz ONLBLINJWCLACR-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO Cc1ccc(Nc2ccnc(Br)c2C)c(C)[nH+]1 ZINC001203369308 1133320282 /nfs/dbraw/zinc/32/02/82/1133320282.db2.gz ONLGABFPFVCTNX-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CNc1ccc(Nc2ccc(-c3ccccc3)cn2)c[nH+]1 ZINC001203448340 1133329423 /nfs/dbraw/zinc/32/94/23/1133329423.db2.gz YAXVIMHKCHFBES-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO CNc1ccc(Nc2cc3cccc(F)c3nc2C)c[nH+]1 ZINC001203452481 1133329890 /nfs/dbraw/zinc/32/98/90/1133329890.db2.gz NENRINMCUURXRR-UHFFFAOYSA-N 1 2 282.322 3.863 20 0 CHADLO Cc1ccc2[nH+]c(NCc3ccccc3N(C)C)[nH]c2c1 ZINC001203470740 1133330704 /nfs/dbraw/zinc/33/07/04/1133330704.db2.gz IHABJLYWFFXPNN-UHFFFAOYSA-N 1 2 280.375 3.549 20 0 CHADLO Cc1cc(NC/C=C/c2ccc(F)cc2)c[nH+]c1C ZINC001203486252 1133332706 /nfs/dbraw/zinc/33/27/06/1133332706.db2.gz XWMXLRDMCPBOHK-ONEGZZNKSA-N 1 2 256.324 3.963 20 0 CHADLO Cc1cc(Nc2cc(C)c[nH+]c2C)n(-c2ccccc2)n1 ZINC001203653082 1133348582 /nfs/dbraw/zinc/34/85/82/1133348582.db2.gz GEMWVLNWJYGLEV-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(O)c(Br)c2)c1 ZINC001203663007 1133349248 /nfs/dbraw/zinc/34/92/48/1133349248.db2.gz DEHFMNUJSAHNIK-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)C(=O)CC3)c1 ZINC001203660076 1133348995 /nfs/dbraw/zinc/34/89/95/1133348995.db2.gz AVKMQZCVWYKVMI-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)OC(C)(C)C(=O)N3)c1 ZINC001203663062 1133349030 /nfs/dbraw/zinc/34/90/30/1133349030.db2.gz HJERGRDVRCVUDR-UHFFFAOYSA-N 1 2 297.358 3.552 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(C)nc(Br)c2)c1 ZINC001203656614 1133349688 /nfs/dbraw/zinc/34/96/88/1133349688.db2.gz XLRZENIRCRPCBE-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COc1cc(OC)c(F)c(Nc2cc(C)c[nH+]c2C)c1F ZINC001203660105 1133350074 /nfs/dbraw/zinc/35/00/74/1133350074.db2.gz CGRDMIRDRQMHOM-UHFFFAOYSA-N 1 2 294.301 3.737 20 0 CHADLO Cc1nc(-c2ccc(Nc3cc(C)c[nH+]c3C)cc2)no1 ZINC001203663457 1133350608 /nfs/dbraw/zinc/35/06/08/1133350608.db2.gz KMJVCGGUWGOOHX-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO COc1c(F)ccc(Nc2cc(C)c[nH+]c2C)c1F ZINC001203663772 1133351149 /nfs/dbraw/zinc/35/11/49/1133351149.db2.gz RDXRUUFBOPTXFZ-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(C(=O)NC3CC3)ccc2C)c1 ZINC001203667094 1133351582 /nfs/dbraw/zinc/35/15/82/1133351582.db2.gz GNNSWWHCXUVFQB-UHFFFAOYSA-N 1 2 295.386 3.643 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(F)c(F)c(N)c(F)c2F)c1 ZINC001203663317 1133352019 /nfs/dbraw/zinc/35/20/19/1133352019.db2.gz HSZYZNYYSXXTAX-UHFFFAOYSA-N 1 2 285.244 3.581 20 0 CHADLO COc1ccc2cc(Nc3cc(C)c[nH+]c3C)ccc2n1 ZINC001203664120 1133352090 /nfs/dbraw/zinc/35/20/90/1133352090.db2.gz ZCMIHZZRDXJXTD-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+]1CCc2occc2C1 ZINC001203693829 1133354490 /nfs/dbraw/zinc/35/44/90/1133354490.db2.gz NANMLXGFAMOBEJ-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+]1CCc2occc2C1 ZINC001203693829 1133354494 /nfs/dbraw/zinc/35/44/94/1133354494.db2.gz NANMLXGFAMOBEJ-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO CCn1ncc(Nc2ccc([NH+]3CCCCC3)cc2)c1C ZINC001203713328 1133357231 /nfs/dbraw/zinc/35/72/31/1133357231.db2.gz XUNWPZPWHDXRKF-UHFFFAOYSA-N 1 2 284.407 3.945 20 0 CHADLO c1ccc(Nc2ccc([NH+]3CCCCC3)cc2)nc1 ZINC001203712585 1133357322 /nfs/dbraw/zinc/35/73/22/1133357322.db2.gz KXVBRWGTSYOTDN-UHFFFAOYSA-N 1 2 253.349 3.816 20 0 CHADLO Cc1ncnc(C)c1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203717426 1133358561 /nfs/dbraw/zinc/35/85/61/1133358561.db2.gz CADVVSJCAXPMTH-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Cn1ncc(Cl)c1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203717827 1133358905 /nfs/dbraw/zinc/35/89/05/1133358905.db2.gz MSMURCJTNKZPSQ-UHFFFAOYSA-N 1 2 290.798 3.807 20 0 CHADLO COc1ncc(Nc2ccc([NH+]3CCCCC3)cc2)c(C)n1 ZINC001203718190 1133359152 /nfs/dbraw/zinc/35/91/52/1133359152.db2.gz VFISLBCIYRFHCZ-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@H+](Cc1ccc[nH]1)CC2 ZINC001204011093 1133399837 /nfs/dbraw/zinc/39/98/37/1133399837.db2.gz IXULKGFTAREGJX-UHFFFAOYSA-N 1 2 280.293 3.592 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@@H+](Cc1ccc[nH]1)CC2 ZINC001204011093 1133399841 /nfs/dbraw/zinc/39/98/41/1133399841.db2.gz IXULKGFTAREGJX-UHFFFAOYSA-N 1 2 280.293 3.592 20 0 CHADLO O=C1CC[NH+](Cc2ccc(-c3ccccc3)cc2)CC1 ZINC001204135234 1133409430 /nfs/dbraw/zinc/40/94/30/1133409430.db2.gz YQRCWHWWYGMMLX-UHFFFAOYSA-N 1 2 265.356 3.519 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1c(F)cccc1F)CC2 ZINC001204202738 1133417432 /nfs/dbraw/zinc/41/74/32/1133417432.db2.gz LYIABSCCUBTZSM-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1c(F)cccc1F)CC2 ZINC001204202738 1133417435 /nfs/dbraw/zinc/41/74/35/1133417435.db2.gz LYIABSCCUBTZSM-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Fc1cccc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)c1F ZINC001204201295 1133418262 /nfs/dbraw/zinc/41/82/62/1133418262.db2.gz VFMXACKJWAXOJD-INIZCTEOSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1cccc(C[N@H+]2CCOC[C@H]2C2CCCCC2)c1F ZINC001204201295 1133418264 /nfs/dbraw/zinc/41/82/64/1133418264.db2.gz VFMXACKJWAXOJD-INIZCTEOSA-N 1 2 295.373 3.746 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001204207801 1133419410 /nfs/dbraw/zinc/41/94/10/1133419410.db2.gz LMKYPQLXHIEBJA-AWEZNQCLSA-N 1 2 257.299 3.679 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001204207801 1133419411 /nfs/dbraw/zinc/41/94/11/1133419411.db2.gz LMKYPQLXHIEBJA-AWEZNQCLSA-N 1 2 257.299 3.679 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1ccc(F)c(F)c1)C2 ZINC001204224403 1133420801 /nfs/dbraw/zinc/42/08/01/1133420801.db2.gz XNOKIWVIRBVOPJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1ccc(F)c(F)c1)C2 ZINC001204224403 1133420804 /nfs/dbraw/zinc/42/08/04/1133420804.db2.gz XNOKIWVIRBVOPJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[N@@H+]1CCC[C@H]2C[C@H]21 ZINC001204478250 1133452263 /nfs/dbraw/zinc/45/22/63/1133452263.db2.gz XFPUEHJIVGFVNS-TVQRCGJNSA-N 1 2 273.273 3.829 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[N@H+]1CCC[C@H]2C[C@H]21 ZINC001204478250 1133452266 /nfs/dbraw/zinc/45/22/66/1133452266.db2.gz XFPUEHJIVGFVNS-TVQRCGJNSA-N 1 2 273.273 3.829 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc3ccccc3n2)C[C@H]1F ZINC001204500047 1133455113 /nfs/dbraw/zinc/45/51/13/1133455113.db2.gz SIGOHVDJSSGEMC-CVEARBPZSA-N 1 2 276.330 3.507 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc3ccccc3n2)C[C@H]1F ZINC001204500047 1133455119 /nfs/dbraw/zinc/45/51/19/1133455119.db2.gz SIGOHVDJSSGEMC-CVEARBPZSA-N 1 2 276.330 3.507 20 0 CHADLO c1csc(C[N@H+](Cc2ccccn2)C2CCCCC2)n1 ZINC001204548634 1133461165 /nfs/dbraw/zinc/46/11/65/1133461165.db2.gz WNSBLRXILNIHKG-UHFFFAOYSA-N 1 2 287.432 3.873 20 0 CHADLO c1csc(C[N@@H+](Cc2ccccn2)C2CCCCC2)n1 ZINC001204548634 1133461169 /nfs/dbraw/zinc/46/11/69/1133461169.db2.gz WNSBLRXILNIHKG-UHFFFAOYSA-N 1 2 287.432 3.873 20 0 CHADLO C[N@H+](Cc1cc2ccccc2o1)Cc1ncccc1F ZINC001204542343 1133461306 /nfs/dbraw/zinc/46/13/06/1133461306.db2.gz CYAYTBMISWOGHK-UHFFFAOYSA-N 1 2 270.307 3.599 20 0 CHADLO C[N@@H+](Cc1cc2ccccc2o1)Cc1ncccc1F ZINC001204542343 1133461310 /nfs/dbraw/zinc/46/13/10/1133461310.db2.gz CYAYTBMISWOGHK-UHFFFAOYSA-N 1 2 270.307 3.599 20 0 CHADLO COCOc1cc(C)c(-c2cc[nH+]c(C)c2C)c(C)c1 ZINC001204598453 1133465430 /nfs/dbraw/zinc/46/54/30/1133465430.db2.gz IXCVNBKLCTUIPI-UHFFFAOYSA-N 1 2 271.360 3.965 20 0 CHADLO Cc1[nH+]c[nH]c1CN1CCc2cc(Cl)cc(Cl)c2C1 ZINC001204603312 1133467127 /nfs/dbraw/zinc/46/71/27/1133467127.db2.gz GUFGQKFLMMZZSZ-UHFFFAOYSA-N 1 2 296.201 3.583 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)NC1(C2CCCCC2)CC1 ZINC001204648041 1133471441 /nfs/dbraw/zinc/47/14/41/1133471441.db2.gz FILJFBNBEMZRJE-UHFFFAOYSA-N 1 2 298.390 3.569 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(Cc2ccccc2)cc1 ZINC001204712507 1133478863 /nfs/dbraw/zinc/47/88/63/1133478863.db2.gz QMWVEQPONWNTQJ-UHFFFAOYSA-N 1 2 275.355 3.998 20 0 CHADLO COc1c(Cl)cc(-c2c[nH+]c(N)c(C)c2)cc1Cl ZINC001204830646 1133490505 /nfs/dbraw/zinc/49/05/05/1133490505.db2.gz WBJZALYGQVKDHA-UHFFFAOYSA-N 1 2 283.158 3.955 20 0 CHADLO CSc1cc(Nc2ccc3c(c2)c(C)nn3C)cc[nH+]1 ZINC001204858868 1133492772 /nfs/dbraw/zinc/49/27/72/1133492772.db2.gz SLMAKUUOHSJELQ-UHFFFAOYSA-N 1 2 284.388 3.742 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H](F)C2)ccc1C(F)(F)F ZINC001204879508 1133495126 /nfs/dbraw/zinc/49/51/26/1133495126.db2.gz IBIMMCFDZOKRJN-JTQLQIEISA-N 1 2 279.252 3.778 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H](F)C2)ccc1C(F)(F)F ZINC001204879508 1133495121 /nfs/dbraw/zinc/49/51/21/1133495121.db2.gz IBIMMCFDZOKRJN-JTQLQIEISA-N 1 2 279.252 3.778 20 0 CHADLO Clc1cncc(Nc2ccn3cc[nH+]c3c2)c1Cl ZINC001204966850 1133509464 /nfs/dbraw/zinc/50/94/64/1133509464.db2.gz ZVXUILGJODKWCJ-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Cc1c(C)c(-c2ccccc2Cn2cc[nH+]c2)ccc1CO ZINC001204976126 1133512046 /nfs/dbraw/zinc/51/20/46/1133512046.db2.gz IMECLMSQANCHOF-UHFFFAOYSA-N 1 2 292.382 3.708 20 0 CHADLO CCCOc1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001204977623 1133512129 /nfs/dbraw/zinc/51/21/29/1133512129.db2.gz HTBBYHSOLCVQJN-UHFFFAOYSA-N 1 2 267.332 3.867 20 0 CHADLO Cc1cc(Nc2ccn3cc[nH+]c3c2)cc(C)c1F ZINC001204983323 1133512543 /nfs/dbraw/zinc/51/25/43/1133512543.db2.gz RPTMBKQXUKMPSC-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO c1cn2ccc(Nc3cccc4cc[nH+]cc43)cc2n1 ZINC001204983318 1133512780 /nfs/dbraw/zinc/51/27/80/1133512780.db2.gz RMTSKTZNENSYHH-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO c1ccn(-c2ccccc2Nc2ccn3cc[nH+]c3c2)c1 ZINC001204982703 1133512790 /nfs/dbraw/zinc/51/27/90/1133512790.db2.gz FZNXSLUDLMBDOL-UHFFFAOYSA-N 1 2 274.327 3.869 20 0 CHADLO c1cn2ccc(Nc3ccc4sncc4c3)cc2[nH+]1 ZINC001204990656 1133514061 /nfs/dbraw/zinc/51/40/61/1133514061.db2.gz DHFUDVNXWALKLB-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO c1cn2ccc(Nc3ccc(-c4ccncn4)cc3)cc2[nH+]1 ZINC001204991293 1133514463 /nfs/dbraw/zinc/51/44/63/1133514463.db2.gz OAYNPLJCZJMBQV-UHFFFAOYSA-N 1 2 287.326 3.535 20 0 CHADLO Clc1cc2ncoc2cc1Nc1ccn2cc[nH+]c2c1 ZINC001204998145 1133515399 /nfs/dbraw/zinc/51/53/99/1133515399.db2.gz VOYWNNZNMFOANK-UHFFFAOYSA-N 1 2 284.706 3.873 20 0 CHADLO Fc1cncc2cccc(Nc3ccn4cc[nH+]c4c3)c12 ZINC001204998144 1133515529 /nfs/dbraw/zinc/51/55/29/1133515529.db2.gz VOOBDNJHCKJNPO-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cnc(Cl)s2)ccc1F ZINC001205162348 1133539102 /nfs/dbraw/zinc/53/91/02/1133539102.db2.gz QCLCMFAAYVTRIA-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cnc(Cl)s2)ccc1F ZINC001205162348 1133539105 /nfs/dbraw/zinc/53/91/05/1133539105.db2.gz QCLCMFAAYVTRIA-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2nccs2)cc1F ZINC001205243513 1133548968 /nfs/dbraw/zinc/54/89/68/1133548968.db2.gz HKIAAYVUOFZDOH-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2nccs2)cc1F ZINC001205243513 1133548974 /nfs/dbraw/zinc/54/89/74/1133548974.db2.gz HKIAAYVUOFZDOH-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Fc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)c2c[nH]nc21 ZINC001205348553 1133566695 /nfs/dbraw/zinc/56/66/95/1133566695.db2.gz BHYCWOMCHCGCSO-UHFFFAOYSA-N 1 2 292.317 3.614 20 0 CHADLO FC(F)(F)c1ccc(C[NH+]2Cc3ccccc3C2)cn1 ZINC001205358819 1133568795 /nfs/dbraw/zinc/56/87/95/1133568795.db2.gz IGYQKZDZXHXSBV-UHFFFAOYSA-N 1 2 278.277 3.616 20 0 CHADLO CCCc1ccc(C[N@H+]([C@@H](C)C(=O)OCC)C2CC2)s1 ZINC001205426765 1133576125 /nfs/dbraw/zinc/57/61/25/1133576125.db2.gz NRNRBUQSWGGZKS-LBPRGKRZSA-N 1 2 295.448 3.617 20 0 CHADLO CCCc1ccc(C[N@@H+]([C@@H](C)C(=O)OCC)C2CC2)s1 ZINC001205426765 1133576131 /nfs/dbraw/zinc/57/61/31/1133576131.db2.gz NRNRBUQSWGGZKS-LBPRGKRZSA-N 1 2 295.448 3.617 20 0 CHADLO CC(C)C[C@@H](C)CNc1[nH+]cccc1OC(C)C ZINC001205430935 1133577222 /nfs/dbraw/zinc/57/72/22/1133577222.db2.gz DDKPNIUOTURUTG-CYBMUJFWSA-N 1 2 250.386 3.963 20 0 CHADLO Clc1cncc(C[N@@H+]2CCCC3(CC3)C2)c1Cl ZINC001205493293 1133582830 /nfs/dbraw/zinc/58/28/30/1133582830.db2.gz SMWCWDYSYSUOMA-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO Clc1cncc(C[N@H+]2CCCC3(CC3)C2)c1Cl ZINC001205493293 1133582833 /nfs/dbraw/zinc/58/28/33/1133582833.db2.gz SMWCWDYSYSUOMA-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO C[C@H]1CSC[C@@H]1[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC001205735047 1133607248 /nfs/dbraw/zinc/60/72/48/1133607248.db2.gz FZNOKVPGCCGWSV-CABZTGNLSA-N 1 2 291.794 3.773 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cc(F)ccc1SC ZINC001205983745 1133638319 /nfs/dbraw/zinc/63/83/19/1133638319.db2.gz PHFSAHIIRBOSHO-UHFFFAOYSA-N 1 2 288.347 3.871 20 0 CHADLO Cc1cn2c(cccc2Nc2cnc3[nH]cc(C)c3c2)[nH+]1 ZINC001206017237 1133642110 /nfs/dbraw/zinc/64/21/10/1133642110.db2.gz XECKFOCYNLNVFD-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1c[nH]c2ncc(Nc3ccc(N4CCCC4)[nH+]c3)cc12 ZINC001206032755 1133644937 /nfs/dbraw/zinc/64/49/37/1133644937.db2.gz BKEKSBUSUVJBMA-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1c[nH]c2ncc(Nc3[nH+]cccc3N3CCCC3)cc12 ZINC001206033542 1133645368 /nfs/dbraw/zinc/64/53/68/1133645368.db2.gz SMFNEXLQTJWVHV-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO CNc1cc(-c2cc(F)cc(N3CCCC3)c2)cc[nH+]1 ZINC001206129843 1133661196 /nfs/dbraw/zinc/66/11/96/1133661196.db2.gz JTOGSSCUXOFCDO-UHFFFAOYSA-N 1 2 271.339 3.530 20 0 CHADLO Cc1cn2cccc(Nc3cc(F)cc(F)c3F)c2[nH+]1 ZINC001206383729 1133696360 /nfs/dbraw/zinc/69/63/60/1133696360.db2.gz YYVOONMNWQHDSP-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO COc1cc(Nc2cc(F)cc(F)c2F)[nH+]cc1C ZINC001206395139 1133697084 /nfs/dbraw/zinc/69/70/84/1133697084.db2.gz ASQOMTZPQIFXBB-UHFFFAOYSA-N 1 2 268.238 3.560 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)Cc1ccc(C)cc1)C(=O)OC(C)(C)C ZINC001206400170 1133698441 /nfs/dbraw/zinc/69/84/41/1133698441.db2.gz GMIKLJOSERISAX-HOCLYGCPSA-N 1 2 291.435 3.636 20 0 CHADLO CC(C)Oc1cc(-c2cc3[nH]ccc3c[nH+]2)c(F)cn1 ZINC001206603878 1133727241 /nfs/dbraw/zinc/72/72/41/1133727241.db2.gz BYLRYJKLEKZYTD-UHFFFAOYSA-N 1 2 271.295 3.551 20 0 CHADLO c1[nH+]cc(N[C@@H]2CC[C@@H]3COCC[C@@H]3C2)c2c1CCCC2 ZINC001206637241 1133730158 /nfs/dbraw/zinc/73/01/58/1133730158.db2.gz XTLYSGWLAOVKEK-FVQBIDKESA-N 1 2 286.419 3.578 20 0 CHADLO C[C@@H]1CC[C@@H](Nc2c[nH+]cc3c2CCCC3)CS1 ZINC001206637009 1133730595 /nfs/dbraw/zinc/73/05/95/1133730595.db2.gz UMTNJIZWAMMSGU-DGCLKSJQSA-N 1 2 262.422 3.656 20 0 CHADLO CC[C@H](c1ccccc1)N(C)Cc1[nH+]cc2ccccn21 ZINC001207056963 1133762667 /nfs/dbraw/zinc/76/26/67/1133762667.db2.gz HUAIUYZULVKPRA-QGZVFWFLSA-N 1 2 279.387 3.917 20 0 CHADLO CC(C)CN(Cc1[nH+]cc2ccccn21)Cc1ccccc1 ZINC001207049665 1133762990 /nfs/dbraw/zinc/76/29/90/1133762990.db2.gz ZQQJFZBUJYDSPT-UHFFFAOYSA-N 1 2 293.414 3.993 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1ncc(F)cc1F ZINC001207099252 1133767153 /nfs/dbraw/zinc/76/71/53/1133767153.db2.gz OQIKZRQAVJBNDK-KRWDZBQOSA-N 1 2 288.341 3.869 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1ncc(F)cc1F ZINC001207099252 1133767158 /nfs/dbraw/zinc/76/71/58/1133767158.db2.gz OQIKZRQAVJBNDK-KRWDZBQOSA-N 1 2 288.341 3.869 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1cccc(C(F)F)c1 ZINC001207132437 1133769952 /nfs/dbraw/zinc/76/99/52/1133769952.db2.gz WZRDODRYZYMWIW-UHFFFAOYSA-N 1 2 289.325 3.939 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1cccc(C(F)F)c1 ZINC001207132437 1133769957 /nfs/dbraw/zinc/76/99/57/1133769957.db2.gz WZRDODRYZYMWIW-UHFFFAOYSA-N 1 2 289.325 3.939 20 0 CHADLO C[N@H+](CC(F)F)C[C@H]1C[C@@H]1c1ccc(Cl)cc1 ZINC001207181848 1133775353 /nfs/dbraw/zinc/77/53/53/1133775353.db2.gz AXPDKWLBVPNJTL-ZYHUDNBSSA-N 1 2 259.727 3.640 20 0 CHADLO C[N@@H+](CC(F)F)C[C@H]1C[C@@H]1c1ccc(Cl)cc1 ZINC001207181848 1133775358 /nfs/dbraw/zinc/77/53/58/1133775358.db2.gz AXPDKWLBVPNJTL-ZYHUDNBSSA-N 1 2 259.727 3.640 20 0 CHADLO FC(F)[C@@H]([NH2+][C@H]1CCCOCC1)c1cccc(Cl)c1 ZINC001207552561 1133818178 /nfs/dbraw/zinc/81/81/78/1133818178.db2.gz AEEYNSWFJPRSFQ-STQMWFEESA-N 1 2 289.753 3.805 20 0 CHADLO FC(F)[C@@H]([NH2+][C@@H]1CCCOCC1)c1cccc(Cl)c1 ZINC001207552558 1133818375 /nfs/dbraw/zinc/81/83/75/1133818375.db2.gz AEEYNSWFJPRSFQ-OLZOCXBDSA-N 1 2 289.753 3.805 20 0 CHADLO C[C@@H](C[N@@H+]1CCCC(=O)[C@@H](F)C1)c1cccc2ccccc21 ZINC001208705671 1133933310 /nfs/dbraw/zinc/93/33/10/1133933310.db2.gz JPOZOVKWDRUMLT-KSSFIOAISA-N 1 2 299.389 3.946 20 0 CHADLO C[C@@H](C[N@H+]1CCCC(=O)[C@@H](F)C1)c1cccc2ccccc21 ZINC001208705671 1133933312 /nfs/dbraw/zinc/93/33/12/1133933312.db2.gz JPOZOVKWDRUMLT-KSSFIOAISA-N 1 2 299.389 3.946 20 0 CHADLO FC1(F)CC[N@H+](CC2(c3ccccc3)CCCC2)C1 ZINC001208772407 1133942402 /nfs/dbraw/zinc/94/24/02/1133942402.db2.gz XHOMPKJQXYISFS-UHFFFAOYSA-N 1 2 265.347 3.839 20 0 CHADLO FC1(F)CC[N@@H+](CC2(c3ccccc3)CCCC2)C1 ZINC001208772407 1133942405 /nfs/dbraw/zinc/94/24/05/1133942405.db2.gz XHOMPKJQXYISFS-UHFFFAOYSA-N 1 2 265.347 3.839 20 0 CHADLO FC1(C2CC2)C[NH+](CC2(c3ccccc3)CCCC2)C1 ZINC001208772029 1133943307 /nfs/dbraw/zinc/94/33/07/1133943307.db2.gz NRIKOSANFSGENL-UHFFFAOYSA-N 1 2 273.395 3.932 20 0 CHADLO CCc1cccc(NC2=CCN(c3ccccc3)CC2)[nH+]1 ZINC001209040696 1133970629 /nfs/dbraw/zinc/97/06/29/1133970629.db2.gz BDPQKCMEDGCITG-UHFFFAOYSA-N 1 2 279.387 3.850 20 0 CHADLO CCOC(C)(C)C[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001209076565 1133972903 /nfs/dbraw/zinc/97/29/03/1133972903.db2.gz OGPFYBROBBKYLN-HNNXBMFYSA-N 1 2 297.826 3.528 20 0 CHADLO CCOC(C)(C)C[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001209076565 1133972905 /nfs/dbraw/zinc/97/29/05/1133972905.db2.gz OGPFYBROBBKYLN-HNNXBMFYSA-N 1 2 297.826 3.528 20 0 CHADLO C[C@@H]1C[N@H+](CCc2ccccc2C(F)(F)F)CCCC1=O ZINC001209280583 1134000393 /nfs/dbraw/zinc/00/03/93/1134000393.db2.gz UAUXQFZVDZJWEM-GFCCVEGCSA-N 1 2 299.336 3.549 20 0 CHADLO C[C@@H]1C[N@@H+](CCc2ccccc2C(F)(F)F)CCCC1=O ZINC001209280583 1134000396 /nfs/dbraw/zinc/00/03/96/1134000396.db2.gz UAUXQFZVDZJWEM-GFCCVEGCSA-N 1 2 299.336 3.549 20 0 CHADLO COC(C)(C)CC[N@@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001209409608 1134012615 /nfs/dbraw/zinc/01/26/15/1134012615.db2.gz PQXLTDXEPAEFRB-OAHLLOKOSA-N 1 2 297.389 3.926 20 0 CHADLO COC(C)(C)CC[N@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001209409608 1134012620 /nfs/dbraw/zinc/01/26/20/1134012620.db2.gz PQXLTDXEPAEFRB-OAHLLOKOSA-N 1 2 297.389 3.926 20 0 CHADLO O=C1CCC2(CC1)CC[NH+](Cc1cnc(Cl)s1)CC2 ZINC001209472615 1134025943 /nfs/dbraw/zinc/02/59/43/1134025943.db2.gz MZSFANOXAOSFGH-UHFFFAOYSA-N 1 2 298.839 3.522 20 0 CHADLO FC(F)(F)Oc1cccc(Cl)c1C[N@@H+]1C[C@@H]2C[C@@H]2C1 ZINC001209520682 1134035329 /nfs/dbraw/zinc/03/53/29/1134035329.db2.gz CFIYPIPEIFTEHA-DTORHVGOSA-N 1 2 291.700 3.690 20 0 CHADLO FC(F)(F)Oc1cccc(Cl)c1C[N@H+]1C[C@@H]2C[C@@H]2C1 ZINC001209520682 1134035333 /nfs/dbraw/zinc/03/53/33/1134035333.db2.gz CFIYPIPEIFTEHA-DTORHVGOSA-N 1 2 291.700 3.690 20 0 CHADLO Clc1cnc2[nH]cc(C[NH+]3Cc4ccccc4C3)c2c1 ZINC001209592360 1134041653 /nfs/dbraw/zinc/04/16/53/1134041653.db2.gz IQXJQOFRXKMZII-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1c[nH]c2cc(F)ccc12 ZINC001209694378 1134051948 /nfs/dbraw/zinc/05/19/48/1134051948.db2.gz NKSOUTBOSJWOEF-LBPRGKRZSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1c[nH]c2cc(F)ccc12 ZINC001209694378 1134051955 /nfs/dbraw/zinc/05/19/55/1134051955.db2.gz NKSOUTBOSJWOEF-LBPRGKRZSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@H]1C[N@H+](Cc2[nH]nc3ccc(Cl)cc32)CCC1(F)F ZINC001209750226 1134058588 /nfs/dbraw/zinc/05/85/88/1134058588.db2.gz GKGNJXFDBSFAPA-VIFPVBQESA-N 1 2 299.752 3.693 20 0 CHADLO C[C@H]1C[N@@H+](Cc2[nH]nc3ccc(Cl)cc32)CCC1(F)F ZINC001209750226 1134058595 /nfs/dbraw/zinc/05/85/95/1134058595.db2.gz GKGNJXFDBSFAPA-VIFPVBQESA-N 1 2 299.752 3.693 20 0 CHADLO Clc1ccc2[nH]nc(C[NH+]3CC(c4ccccc4)C3)c2c1 ZINC001209758014 1134060627 /nfs/dbraw/zinc/06/06/27/1134060627.db2.gz FEBUCKRSHFBDEK-UHFFFAOYSA-N 1 2 297.789 3.816 20 0 CHADLO c1cc(Nc2ccc3c(c2)NCCO3)[nH+]c(C2CCC2)c1 ZINC001209795748 1134065400 /nfs/dbraw/zinc/06/54/00/1134065400.db2.gz YDUKYMRWVUMTKS-UHFFFAOYSA-N 1 2 281.359 3.897 20 0 CHADLO COc1ccc(C)c(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001209840683 1134077249 /nfs/dbraw/zinc/07/72/49/1134077249.db2.gz OKJTVFZOZKZHGQ-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO COc1cc(Nc2cccc([C@@H](C)OC)c2)cc(C)[nH+]1 ZINC001209951448 1134109104 /nfs/dbraw/zinc/10/91/04/1134109104.db2.gz UXPKXWWDWSTHCM-GFCCVEGCSA-N 1 2 272.348 3.850 20 0 CHADLO Cc1cc(Nc2cc(OC(F)(F)F)cc[nH+]2)ccc1O ZINC001209976433 1134114482 /nfs/dbraw/zinc/11/44/82/1134114482.db2.gz MZUNURUXRSMJOY-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(O)c(C(F)(F)F)c1 ZINC001209984758 1134116840 /nfs/dbraw/zinc/11/68/40/1134116840.db2.gz UXAAOPUMGYEXFD-UHFFFAOYSA-N 1 2 284.237 3.558 20 0 CHADLO CN(C)c1ccccc1Nc1cccc(C2CC2)[nH+]1 ZINC001209992410 1134118176 /nfs/dbraw/zinc/11/81/76/1134118176.db2.gz WQNWCUUZWABKBI-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO Cc1cc(Nc2ccccc2C2=NCCO2)ccc1[NH+](C)C ZINC001210043237 1134131878 /nfs/dbraw/zinc/13/18/78/1134131878.db2.gz BNNRZINCPKTKSZ-UHFFFAOYSA-N 1 2 295.386 3.581 20 0 CHADLO Cc1cc(Nc2cccc3c2C(=O)CC3)ccc1[NH+](C)C ZINC001210042970 1134132153 /nfs/dbraw/zinc/13/21/53/1134132153.db2.gz GMSAVMUDIPPGSY-UHFFFAOYSA-N 1 2 280.371 3.934 20 0 CHADLO COc1cc(Nc2ccc([NH+](C)C)c(C)c2)cnc1Cl ZINC001210042108 1134132196 /nfs/dbraw/zinc/13/21/96/1134132196.db2.gz CRGWUKNAQQDKGM-UHFFFAOYSA-N 1 2 291.782 3.862 20 0 CHADLO Clc1ccc2nc(Nc3ccc4c[nH+]ccc4c3)cn2n1 ZINC001210044756 1134133010 /nfs/dbraw/zinc/13/30/10/1134133010.db2.gz DVPGIWJFBGXHDN-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO CCc1ccc[nH+]c1Nc1cc2c[nH]nc2cc1C ZINC001210100601 1134145817 /nfs/dbraw/zinc/14/58/17/1134145817.db2.gz UAUSKYLFKLOONR-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CCN(C)c1ccc(Nc2cccc3cc[nH]c32)c[nH+]1 ZINC001210211630 1134167478 /nfs/dbraw/zinc/16/74/78/1134167478.db2.gz KMYVAQQJIHZYEP-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO FC(F)(F)Cn1nccc1Nc1cccc2cc[nH+]cc21 ZINC001210225832 1134172870 /nfs/dbraw/zinc/17/28/70/1134172870.db2.gz KZVVGPKBGXFQGL-UHFFFAOYSA-N 1 2 292.264 3.737 20 0 CHADLO OCc1ccc(Nc2cccc3cc[nH+]cc32)c(F)c1 ZINC001210230664 1134173869 /nfs/dbraw/zinc/17/38/69/1134173869.db2.gz KMDRNGXDMXSWQR-UHFFFAOYSA-N 1 2 268.291 3.610 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1CO ZINC001210246773 1134177613 /nfs/dbraw/zinc/17/76/13/1134177613.db2.gz ZGTJOEVHXCBYHJ-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1CO ZINC001210243938 1134177628 /nfs/dbraw/zinc/17/76/28/1134177628.db2.gz HJYCHRKHICRCII-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO COc1ccc(Nc2c[nH+]c(C)c(N)c2)c(C(F)(F)F)c1 ZINC001210288083 1134186400 /nfs/dbraw/zinc/18/64/00/1134186400.db2.gz KHZGABKBMXHCQG-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(OC(F)(F)F)c2)cc1N ZINC001210288626 1134186648 /nfs/dbraw/zinc/18/66/48/1134186648.db2.gz QSHOXOAMQPJUEE-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO Cc1cc(F)c(Nc2c[nH+]c(C)c(N)c2)cc1Cl ZINC001210289505 1134186955 /nfs/dbraw/zinc/18/69/55/1134186955.db2.gz OLYKIIZSAPKNRJ-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2C(=O)OC(C)(C)C)cc1N ZINC001210291694 1134187567 /nfs/dbraw/zinc/18/75/67/1134187567.db2.gz QPBZULISSQWTRE-UHFFFAOYSA-N 1 2 299.374 3.671 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(OC(F)F)cc1 ZINC001210326334 1134193982 /nfs/dbraw/zinc/19/39/82/1134193982.db2.gz NUXURMPLMWPEMM-UHFFFAOYSA-N 1 2 264.275 3.989 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(-c2nccn2C)cc1 ZINC001210429961 1134212419 /nfs/dbraw/zinc/21/24/19/1134212419.db2.gz IMCKAJKHUFXWND-UHFFFAOYSA-N 1 2 294.358 3.624 20 0 CHADLO Cc1ccc(Nc2ccc(-c3nccn3C)cc2)c(C)[nH+]1 ZINC001210430576 1134213104 /nfs/dbraw/zinc/21/31/04/1134213104.db2.gz PPRLLEGGWXJAID-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO C[C@H](O)c1cccc(Nc2[nH+]cccc2N2CCCCC2)c1 ZINC001210508154 1134233892 /nfs/dbraw/zinc/23/38/92/1134233892.db2.gz KENUYDMMXYMKHB-AWEZNQCLSA-N 1 2 297.402 3.869 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cccc2c1OCO2 ZINC001210557400 1134247703 /nfs/dbraw/zinc/24/77/03/1134247703.db2.gz HJSNPJJCLGQBMM-UHFFFAOYSA-N 1 2 270.332 3.986 20 0 CHADLO CC(C)(O)c1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001210618572 1134262200 /nfs/dbraw/zinc/26/22/00/1134262200.db2.gz INYWTPACMLOKPO-UHFFFAOYSA-N 1 2 293.370 3.843 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccnc2OC(F)F)cc1 ZINC001210628725 1134264316 /nfs/dbraw/zinc/26/43/16/1134264316.db2.gz LGFXYIKBUYPMAN-UHFFFAOYSA-N 1 2 293.317 3.883 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccnc2OC(F)F)cc1 ZINC001210628725 1134264323 /nfs/dbraw/zinc/26/43/23/1134264323.db2.gz LGFXYIKBUYPMAN-UHFFFAOYSA-N 1 2 293.317 3.883 20 0 CHADLO Cc1ccc(CO)cc1Nc1cccc(C(C)C)[nH+]1 ZINC001210683088 1134274251 /nfs/dbraw/zinc/27/42/51/1134274251.db2.gz VXHKZKJCHGUGAD-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO CCc1cc(OC)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001210713855 1134279924 /nfs/dbraw/zinc/27/99/24/1134279924.db2.gz YXYFWJVAEBEKMC-UHFFFAOYSA-N 1 2 267.332 3.649 20 0 CHADLO COc1cc(Nc2c(Cl)nc(C)nc2Cl)cc(C)[nH+]1 ZINC001210775674 1134293022 /nfs/dbraw/zinc/29/30/22/1134293022.db2.gz ZBESQJMLAQQWDZ-UHFFFAOYSA-N 1 2 299.161 3.547 20 0 CHADLO COc1cc(Nc2cccc3c(C)ccnc23)cc(C)[nH+]1 ZINC001210780758 1134294950 /nfs/dbraw/zinc/29/49/50/1134294950.db2.gz QBDXSLUURFUYAL-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cc(Nc2ccnn2-c2ccccc2C)cc(C)[nH+]1 ZINC001210779416 1134295181 /nfs/dbraw/zinc/29/51/81/1134295181.db2.gz PCBTZDKJDIZLRP-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COc1cc(Nc2cccc(C(C)=O)c2C)cc(C)[nH+]1 ZINC001210785058 1134295642 /nfs/dbraw/zinc/29/56/42/1134295642.db2.gz XZUQVWNMFWWTOC-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COc1cc(Nc2ccc(SC)c(OC)c2)cc(C)[nH+]1 ZINC001210780666 1134295684 /nfs/dbraw/zinc/29/56/84/1134295684.db2.gz ZNGYWOCLOHKDRB-UHFFFAOYSA-N 1 2 290.388 3.873 20 0 CHADLO COc1cc(Nc2cccc3ncc(F)cc32)cc(C)[nH+]1 ZINC001210780121 1134296080 /nfs/dbraw/zinc/29/60/80/1134296080.db2.gz CCHIXDHEOHRKNN-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO c1cn2cccc(Nc3ccc4ccccc4n3)c2[nH+]1 ZINC001210813998 1134303108 /nfs/dbraw/zinc/30/31/08/1134303108.db2.gz WWTKJDVCOWLLBQ-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(O)cc(C(F)(F)F)c1 ZINC001210823195 1134304976 /nfs/dbraw/zinc/30/49/76/1134304976.db2.gz VQSHEZNEHOHTSJ-UHFFFAOYSA-N 1 2 284.237 3.558 20 0 CHADLO c1cn2c(cccc2Nc2ccccc2OC2CC2)[nH+]1 ZINC001210835434 1134307359 /nfs/dbraw/zinc/30/73/59/1134307359.db2.gz QMMZBKOBPCYXDZ-UHFFFAOYSA-N 1 2 265.316 3.619 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(OC3CC3)c2)c[nH+]1 ZINC001210860951 1134316722 /nfs/dbraw/zinc/31/67/22/1134316722.db2.gz JGQQGQJSFPKNLT-UHFFFAOYSA-N 1 2 283.375 3.823 20 0 CHADLO Nc1c(F)cc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1F ZINC001210906517 1134324735 /nfs/dbraw/zinc/32/47/35/1134324735.db2.gz QFECHAPZTYVGLR-UHFFFAOYSA-N 1 2 294.692 3.592 20 0 CHADLO Cc1ccc(Nc2ccc(Br)cc2)[nH+]c1 ZINC001211011629 1134349660 /nfs/dbraw/zinc/34/96/60/1134349660.db2.gz YSUDYZFHMPZFBE-UHFFFAOYSA-N 1 2 263.138 3.896 20 0 CHADLO Cc1cccc(Nc2cc(O)cc(OC(F)(F)F)c2)[nH+]1 ZINC001211121292 1134375164 /nfs/dbraw/zinc/37/51/64/1134375164.db2.gz XPMOIFHFZPZABD-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnccc1Br ZINC001211232365 1134394954 /nfs/dbraw/zinc/39/49/54/1134394954.db2.gz SDOVZAVRVJPLBP-UHFFFAOYSA-N 1 2 292.180 3.854 20 0 CHADLO COc1cccc(Nc2ccc3c(C)cc[nH+]c3c2)n1 ZINC001211303047 1134406269 /nfs/dbraw/zinc/40/62/69/1134406269.db2.gz WJQOFHYQCZNJDR-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Cc1ccc2c(Nc3cccc(CO)c3F)cccc2[nH+]1 ZINC001211442102 1134417670 /nfs/dbraw/zinc/41/76/70/1134417670.db2.gz DMFQQGLAGYBWFM-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1ccc2[nH]c(C)[nH+]c2c1 ZINC001213073078 1134420747 /nfs/dbraw/zinc/42/07/47/1134420747.db2.gz CMGLYKKFVXMOBT-UHFFFAOYSA-N 1 2 276.343 3.706 20 0 CHADLO Cc1cc(N)c(F)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213091067 1134425756 /nfs/dbraw/zinc/42/57/56/1134425756.db2.gz UVRXKEIJUWLPMG-UHFFFAOYSA-N 1 2 282.322 3.850 20 0 CHADLO Nc1cccc2c1CCCN2c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213091143 1134426122 /nfs/dbraw/zinc/42/61/22/1134426122.db2.gz YIBUMHCLUJOWKN-UHFFFAOYSA-N 1 2 290.370 3.743 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2Nc1ccc2c(c1)NCC2 ZINC001213143838 1134430435 /nfs/dbraw/zinc/43/04/35/1134430435.db2.gz MRJOWWKLTLNQKR-UHFFFAOYSA-N 1 2 261.328 3.946 20 0 CHADLO Cc1c[nH+]cc(Nc2nc(C)c(C)nc2Cl)c1C ZINC001213527541 1134460699 /nfs/dbraw/zinc/46/06/99/1134460699.db2.gz RNMOKNKEIDAPEH-UHFFFAOYSA-N 1 2 262.744 3.502 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1noc2cnccc21 ZINC001213495199 1134453571 /nfs/dbraw/zinc/45/35/71/1134453571.db2.gz VRKINIWMWRYWIS-UHFFFAOYSA-N 1 2 266.304 3.547 20 0 CHADLO CCOC(=O)c1ccc(Nc2cc(C3CC3)c[nH+]c2C)cn1 ZINC001213494875 1134453755 /nfs/dbraw/zinc/45/37/55/1134453755.db2.gz KLWFHPCZHCPEEL-UHFFFAOYSA-N 1 2 297.358 3.583 20 0 CHADLO Cc1ccc(CO)cc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213497346 1134455002 /nfs/dbraw/zinc/45/50/02/1134455002.db2.gz ORJBWYLXTVVFKX-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)C(=O)OC2 ZINC001213497637 1134455280 /nfs/dbraw/zinc/45/52/80/1134455280.db2.gz ZHLZKHQDEPQCQD-UHFFFAOYSA-N 1 2 280.327 3.681 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2cnncc21 ZINC001213499358 1134455443 /nfs/dbraw/zinc/45/54/43/1134455443.db2.gz HRWCEPGJXJSTKV-UHFFFAOYSA-N 1 2 276.343 3.954 20 0 CHADLO COC(=O)c1cc(Nc2cc(C3CC3)c[nH+]c2C)ccc1O ZINC001213499564 1134455512 /nfs/dbraw/zinc/45/55/12/1134455512.db2.gz PKDZCHKBTRJAQM-UHFFFAOYSA-N 1 2 298.342 3.503 20 0 CHADLO COc1cc(O)ccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213501388 1134456599 /nfs/dbraw/zinc/45/65/99/1134456599.db2.gz WGHKGOQFLOCGOL-UHFFFAOYSA-N 1 2 270.332 3.725 20 0 CHADLO CC(=O)c1cccc(O)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213501427 1134456653 /nfs/dbraw/zinc/45/66/53/1134456653.db2.gz XYJREUVPOPOFGM-UHFFFAOYSA-N 1 2 282.343 3.919 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)NC(=O)NC2 ZINC001213503381 1134456857 /nfs/dbraw/zinc/45/68/57/1134456857.db2.gz CCRNAITYIUGEKO-UHFFFAOYSA-N 1 2 294.358 3.646 20 0 CHADLO Cc1cc(C)c(Nc2snnc2-c2ccccc2)c[nH+]1 ZINC001213505981 1134457541 /nfs/dbraw/zinc/45/75/41/1134457541.db2.gz XHQLMEUBHKOIRL-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO Cc1cc(C)c(Nc2nc(C(F)(F)F)cs2)c[nH+]1 ZINC001213503795 1134457716 /nfs/dbraw/zinc/45/77/16/1134457716.db2.gz YKRFPEBYCCRCPQ-UHFFFAOYSA-N 1 2 273.283 3.917 20 0 CHADLO CNc1ccnc(Cl)c1Nc1c[nH+]c(C)cc1C ZINC001213506019 1134458071 /nfs/dbraw/zinc/45/80/71/1134458071.db2.gz YTRFYYDTAPKEBI-UHFFFAOYSA-N 1 2 262.744 3.532 20 0 CHADLO Cc1cc(C)c(Nc2cc(OC(F)(F)F)ccn2)c[nH+]1 ZINC001213513587 1134458687 /nfs/dbraw/zinc/45/86/87/1134458687.db2.gz XPAPSTRQNZVIEQ-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1cc(C)c(Nc2cccc3c2cc[nH]c3=O)c[nH+]1 ZINC001213515689 1134458715 /nfs/dbraw/zinc/45/87/15/1134458715.db2.gz DPQUKKRCTMXVIL-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1cc(C)c(Nc2cccc3c2C(=O)CC3)c[nH+]1 ZINC001213518479 1134459202 /nfs/dbraw/zinc/45/92/02/1134459202.db2.gz QHFLFWLPIKMPDK-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)CC(C)(C)NC3=O)c[nH+]1 ZINC001213520961 1134459489 /nfs/dbraw/zinc/45/94/89/1134459489.db2.gz MNYRIBOTZIKCOW-UHFFFAOYSA-N 1 2 295.386 3.507 20 0 CHADLO Cc1ccnc(Br)c1Nc1c[nH+]cc(C)c1C ZINC001213525230 1134460181 /nfs/dbraw/zinc/46/01/81/1134460181.db2.gz PCSZKOATIQRVCP-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COc1ccc(F)c(F)c1Nc1c[nH+]cc(C)c1C ZINC001213532341 1134461132 /nfs/dbraw/zinc/46/11/32/1134461132.db2.gz CMQUCPZDSZWZMU-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1c[nH+]cc(Nc2ccccc2C(C)(C)O)c1C ZINC001213531211 1134461265 /nfs/dbraw/zinc/46/12/65/1134461265.db2.gz WLWQLXPTDQERDL-UHFFFAOYSA-N 1 2 256.349 3.669 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(N3CCCC3=O)cc2)c1C ZINC001213529216 1134461937 /nfs/dbraw/zinc/46/19/37/1134461937.db2.gz YSZJKXYQBITSDM-UHFFFAOYSA-N 1 2 281.359 3.569 20 0 CHADLO COC(=O)CCCc1ccc(Nc2c[nH+]cc(C)c2C)cc1 ZINC001213532897 1134461985 /nfs/dbraw/zinc/46/19/85/1134461985.db2.gz VSAAGSUTTIWHMH-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3c2C(=O)CCC3)c1C ZINC001213534093 1134463036 /nfs/dbraw/zinc/46/30/36/1134463036.db2.gz MCZSYALLHOJOLZ-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO CC(=O)c1cc(Nc2c[nH+]cc(C)c2C)cc(C(C)=O)c1 ZINC001213534338 1134463228 /nfs/dbraw/zinc/46/32/28/1134463228.db2.gz XLUVAMHJZDTGGR-UHFFFAOYSA-N 1 2 282.343 3.847 20 0 CHADLO CCOc1c(F)cccc1Nc1c[nH+]cc(C)c1C ZINC001213534231 1134463234 /nfs/dbraw/zinc/46/32/34/1134463234.db2.gz SHVGAOPWOPPFTR-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO COC(=O)c1ccc(Nc2c[nH+]cc(C)c2C)c(F)c1F ZINC001213534060 1134463289 /nfs/dbraw/zinc/46/32/89/1134463289.db2.gz KNSLSGPZASHMKX-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccccc3N3CCCC3)ccc21 ZINC001213611199 1134469127 /nfs/dbraw/zinc/46/91/27/1134469127.db2.gz WJKCEGLZNLVFJE-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO Nc1cc(Cl)ccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001213652820 1134473786 /nfs/dbraw/zinc/47/37/86/1134473786.db2.gz MOUQLGYYGDYPCB-UHFFFAOYSA-N 1 2 284.750 3.852 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2C(=O)O[C@H](C)CC(C)C)[nH+]c1C ZINC001213709256 1134478921 /nfs/dbraw/zinc/47/89/21/1134478921.db2.gz LXLKUDGPHFJBGY-BXUZGUMPSA-N 1 2 293.411 3.735 20 0 CHADLO COC(=O)Cc1cccc(Nc2c(C)cc[nH+]c2C(C)C)c1 ZINC001213712047 1134479414 /nfs/dbraw/zinc/47/94/14/1134479414.db2.gz BGQXQKXTPMQCHW-UHFFFAOYSA-N 1 2 298.386 3.973 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2C(=O)O[C@H](C)CC(C)C)[nH+]c1C ZINC001213709258 1134479472 /nfs/dbraw/zinc/47/94/72/1134479472.db2.gz LXLKUDGPHFJBGY-RISCZKNCSA-N 1 2 293.411 3.735 20 0 CHADLO CCc1cccc(Nc2ccc3c(C)nn(C)c3c2)[nH+]1 ZINC001213755037 1134480023 /nfs/dbraw/zinc/48/00/23/1134480023.db2.gz IWSISTPDWJHSDP-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO Cc1cc2cc(Nc3[nH+]cc(O)cc3C)ccc2[nH]1 ZINC001213784774 1134483374 /nfs/dbraw/zinc/48/33/74/1134483374.db2.gz DDWSGFAKBAGVNC-UHFFFAOYSA-N 1 2 253.305 3.629 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)cc(C)c1Cl ZINC001214073783 1134508199 /nfs/dbraw/zinc/50/81/99/1134508199.db2.gz YOVPHFQXZOBOCB-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO COCOc1ccc(C)cc1Nc1cc[nH+]c(SC)c1 ZINC001214239524 1134524507 /nfs/dbraw/zinc/52/45/07/1134524507.db2.gz GAMCSCZOOUYZLD-UHFFFAOYSA-N 1 2 290.388 3.838 20 0 CHADLO COc1cc(Nc2cc(F)c(O)c(Cl)c2)cc(C)[nH+]1 ZINC001214484314 1134543081 /nfs/dbraw/zinc/54/30/81/1134543081.db2.gz HQIMQJVWEZXVGY-UHFFFAOYSA-N 1 2 282.702 3.640 20 0 CHADLO COc1ccc(C)c(F)c1Nc1c[nH+]c(C)cc1C ZINC001214625001 1134558324 /nfs/dbraw/zinc/55/83/24/1134558324.db2.gz SCGFSMPLIYTDJN-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO Cc1cc(C)c(Nc2ccc(CO)c(C(F)(F)F)c2)c[nH+]1 ZINC001214677806 1134564596 /nfs/dbraw/zinc/56/45/96/1134564596.db2.gz WARHICKUMGPLOQ-UHFFFAOYSA-N 1 2 296.292 3.953 20 0 CHADLO CCOc1ncc(Nc2cc[nH+]c(SC)c2)cc1C ZINC001214933136 1134582963 /nfs/dbraw/zinc/58/29/63/1134582963.db2.gz LLMXIUBODLYXGM-UHFFFAOYSA-N 1 2 275.377 3.649 20 0 CHADLO Cc1cc(CO)cc(C)c1Nc1ccccc1-n1cc[nH+]c1 ZINC001214954304 1134586176 /nfs/dbraw/zinc/58/61/76/1134586176.db2.gz BMAFRNPMKCXOFY-UHFFFAOYSA-N 1 2 293.370 3.725 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)cc1 ZINC000401617295 1134618129 /nfs/dbraw/zinc/61/81/29/1134618129.db2.gz RZWKJPZDQJVKQU-BLLLJJGKSA-N 1 2 285.391 3.537 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(F)c(F)c1Cl ZINC001215403026 1134624186 /nfs/dbraw/zinc/62/41/86/1134624186.db2.gz LSMPINYTNMJKBR-UHFFFAOYSA-N 1 2 293.704 3.848 20 0 CHADLO COc1c(F)c(C)ccc1Nc1cccn2cc(C)[nH+]c12 ZINC001215599497 1134650585 /nfs/dbraw/zinc/65/05/85/1134650585.db2.gz IUXYAJODADGGSD-UHFFFAOYSA-N 1 2 285.322 3.842 20 0 CHADLO CCc1cccc(Nc2cc(C)c(F)c(OC)c2)[nH+]1 ZINC001215701721 1134661683 /nfs/dbraw/zinc/66/16/83/1134661683.db2.gz OAIOKFOCZNBBKG-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO COc1cc(C)cc(F)c1Nc1cccn2cc(C)[nH+]c12 ZINC001215705929 1134663273 /nfs/dbraw/zinc/66/32/73/1134663273.db2.gz MXLOXGZJUDYXFU-UHFFFAOYSA-N 1 2 285.322 3.842 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccnc(OC(C)C)c1 ZINC001215765273 1134679256 /nfs/dbraw/zinc/67/92/56/1134679256.db2.gz QVGRXYBTCWUKII-UHFFFAOYSA-N 1 2 271.364 3.878 20 0 CHADLO Cc1cn2c(cccc2Nc2ccnc(OC(C)C)c2)[nH+]1 ZINC001215764349 1134679411 /nfs/dbraw/zinc/67/94/11/1134679411.db2.gz FKVFWOGBKNJFDF-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO Cc1cc[nH+]c(Nc2cnc(OC(C)C)cc2C)c1 ZINC001215770093 1134681946 /nfs/dbraw/zinc/68/19/46/1134681946.db2.gz UVLCPVNAVCUTOU-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO CSc1cc(Nc2cccn3cc[nH+]c23)ccc1F ZINC001215794183 1134688530 /nfs/dbraw/zinc/68/85/30/1134688530.db2.gz KBHFKCDCUGTTKO-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO COc1cnc(F)cc1Nc1ccc2c(C)cc[nH+]c2c1 ZINC001215808703 1134692676 /nfs/dbraw/zinc/69/26/76/1134692676.db2.gz ROEIUFMQVCLBCI-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO COc1cc(SC)c(Nc2ccc(C)[nH+]c2C)cn1 ZINC001215809743 1134693065 /nfs/dbraw/zinc/69/30/65/1134693065.db2.gz TVYBMKRWTOCJKU-UHFFFAOYSA-N 1 2 275.377 3.568 20 0 CHADLO Clc1cc2n[nH]cc2c(Nc2cccn3cc[nH+]c23)c1 ZINC001215857641 1134703864 /nfs/dbraw/zinc/70/38/64/1134703864.db2.gz UUVUQYNYMMJHKX-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO COc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)cc1SC ZINC001215936046 1134724686 /nfs/dbraw/zinc/72/46/86/1134724686.db2.gz SMOAZYLWCYLPRJ-UHFFFAOYSA-N 1 2 299.399 3.647 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N)c(OC(F)(F)F)c2)c[nH+]1 ZINC001216030861 1134753959 /nfs/dbraw/zinc/75/39/59/1134753959.db2.gz NJHNKFUAGUGMQO-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(N)c(CC)c2)c1 ZINC001216036883 1134754613 /nfs/dbraw/zinc/75/46/13/1134754613.db2.gz BHJLSHRCGYDVFV-UHFFFAOYSA-N 1 2 255.365 3.922 20 0 CHADLO CCc1cc(Nc2c(C)cc[nH+]c2CC)ccc1N ZINC001216037019 1134755131 /nfs/dbraw/zinc/75/51/31/1134755131.db2.gz FLNLXSTWBJKTTC-UHFFFAOYSA-N 1 2 255.365 3.841 20 0 CHADLO Nc1ccc(Nc2cccn3cc[nH+]c23)cc1C(F)(F)F ZINC001216048678 1134761215 /nfs/dbraw/zinc/76/12/15/1134761215.db2.gz DXINGDSCJOPFRV-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO Cc1cc(Nc2cccc(Cn3cc[nH+]c3)c2)cc(O)c1F ZINC001216080919 1134770580 /nfs/dbraw/zinc/77/05/80/1134770580.db2.gz PBHCMCTXJIXXMK-UHFFFAOYSA-N 1 2 297.333 3.828 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(CO)c(C)c1Cl ZINC001216326385 1134855976 /nfs/dbraw/zinc/85/59/76/1134855976.db2.gz DKCJVXVAOXVGKG-UHFFFAOYSA-N 1 2 292.766 3.678 20 0 CHADLO Cc1c(F)ccc(F)c1Nc1[nH+]c2ccccc2n1C ZINC001216343312 1134863385 /nfs/dbraw/zinc/86/33/85/1134863385.db2.gz WEWAINYVUFTAFH-UHFFFAOYSA-N 1 2 273.286 3.904 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(F)c(OC)c1OC ZINC001216390133 1134879927 /nfs/dbraw/zinc/87/99/27/1134879927.db2.gz VEGKTCFTMOVWQJ-UHFFFAOYSA-N 1 2 290.338 3.852 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(O)cc2Cl)[nH+]1 ZINC001216423627 1134891135 /nfs/dbraw/zinc/89/11/35/1134891135.db2.gz KTFVUPCQAJRMNI-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO Oc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c(Cl)c1 ZINC001216427719 1134892970 /nfs/dbraw/zinc/89/29/70/1134892970.db2.gz LDVJOXJJZUMISB-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(Cl)c2N)c[nH+]1 ZINC001216525818 1134914151 /nfs/dbraw/zinc/91/41/51/1134914151.db2.gz BEZYCWYYYSNVTN-UHFFFAOYSA-N 1 2 276.771 3.517 20 0 CHADLO Nc1c(Cl)cccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001216528087 1134915781 /nfs/dbraw/zinc/91/57/81/1134915781.db2.gz PLKNUSBBXLSALW-UHFFFAOYSA-N 1 2 284.750 3.852 20 0 CHADLO CCCOc1ccc(Nc2ccc(C)[nH+]c2C)cn1 ZINC001216584443 1134922239 /nfs/dbraw/zinc/92/22/39/1134922239.db2.gz RFUNFKNIWYKBTC-UHFFFAOYSA-N 1 2 257.337 3.626 20 0 CHADLO CCCOc1ccc(Nc2cccn3cc(C)[nH+]c23)cn1 ZINC001216584049 1134922591 /nfs/dbraw/zinc/92/25/91/1134922591.db2.gz HYZSJFVBBVBYRX-UHFFFAOYSA-N 1 2 282.347 3.570 20 0 CHADLO c1cn2c(cccc2Nc2ccnc(OCC3CCC3)c2)[nH+]1 ZINC001216592671 1134927447 /nfs/dbraw/zinc/92/74/47/1134927447.db2.gz CDSHFDCHCDEDES-UHFFFAOYSA-N 1 2 294.358 3.652 20 0 CHADLO CCOc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)ccn1 ZINC001216623658 1134933651 /nfs/dbraw/zinc/93/36/51/1134933651.db2.gz NAKHTRXFGKNJNF-UHFFFAOYSA-N 1 2 288.738 3.525 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+]1CCC=C(Br)C1 ZINC000404231426 1134943158 /nfs/dbraw/zinc/94/31/58/1134943158.db2.gz PGGPEHJZWFXEHM-UHFFFAOYSA-N 1 2 284.172 3.619 20 0 CHADLO Cc1cc(F)ccc1C[N@H+]1CCC=C(Br)C1 ZINC000404231426 1134943165 /nfs/dbraw/zinc/94/31/65/1134943165.db2.gz PGGPEHJZWFXEHM-UHFFFAOYSA-N 1 2 284.172 3.619 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2c(F)cccc2F)sn1 ZINC000404461371 1134946405 /nfs/dbraw/zinc/94/64/05/1134946405.db2.gz OPQHHBBHZWBWPB-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO CSC1CCN(c2cc(C)c3ccccc3[nH+]2)CC1 ZINC001329740619 1134958598 /nfs/dbraw/zinc/95/85/98/1134958598.db2.gz MYUBBUZBMVRRKF-UHFFFAOYSA-N 1 2 272.417 3.875 20 0 CHADLO FC1(F)C[NH2+]CC[C@@H]1Oc1cccc(-c2ccccc2)c1 ZINC001218005813 1135041528 /nfs/dbraw/zinc/04/15/28/1135041528.db2.gz HDDONMDLNHDCBG-INIZCTEOSA-N 1 2 289.325 3.730 20 0 CHADLO Cc1ccc(C(C)(C)C)c(O[C@H]2C[NH2+]CC(F)(F)C2)c1 ZINC001218275839 1135078317 /nfs/dbraw/zinc/07/83/17/1135078317.db2.gz ZLSCOBRKGCHAFB-GFCCVEGCSA-N 1 2 283.362 3.669 20 0 CHADLO Cc1cccc(C(C)(C)C)c1O[C@H]1C[NH2+]CC(F)(F)C1 ZINC001218275016 1135078349 /nfs/dbraw/zinc/07/83/49/1135078349.db2.gz UHLZHDFDLLEFDE-GFCCVEGCSA-N 1 2 283.362 3.669 20 0 CHADLO Cc1ccc(C)c2c1CCC[C@@H]2Oc1ccc[nH+]c1N ZINC001218304090 1135082614 /nfs/dbraw/zinc/08/26/14/1135082614.db2.gz GAQOHHGZNNBOHB-AWEZNQCLSA-N 1 2 268.360 3.737 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]CC=C(Cl)Cl ZINC000407138267 1135098687 /nfs/dbraw/zinc/09/86/87/1135098687.db2.gz UZPUPNYIZUBHKF-QMMMGPOBSA-N 1 2 278.154 3.804 20 0 CHADLO Cc1cc(N[C@@H]2CCCSC2)[nH+]c2ccccc12 ZINC000310769397 1135127306 /nfs/dbraw/zinc/12/73/06/1135127306.db2.gz MFYZLWLYUYKECZ-GFCCVEGCSA-N 1 2 258.390 3.851 20 0 CHADLO CCCCCCNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000315477443 1135154659 /nfs/dbraw/zinc/15/46/59/1135154659.db2.gz VOTUIGTZMZIHQI-UHFFFAOYSA-N 1 2 295.390 3.808 20 0 CHADLO Cc1cc(C)c(CNC(=O)N[C@H](C)CCCC(C)C)c[nH+]1 ZINC001220554280 1135155431 /nfs/dbraw/zinc/15/54/31/1135155431.db2.gz DBFSRSOTZTZEQZ-CQSZACIVSA-N 1 2 291.439 3.712 20 0 CHADLO Nc1nc2ccccc2nc1Nc1cccc2cc[nH+]cc21 ZINC000318237612 1135178636 /nfs/dbraw/zinc/17/86/36/1135178636.db2.gz ILRPPLLYIZULLT-UHFFFAOYSA-N 1 2 287.326 3.504 20 0 CHADLO Cn1ccc2c1cc[nH+]c2-c1ccc(Cl)c(CO)c1F ZINC001222331656 1135227228 /nfs/dbraw/zinc/22/72/28/1135227228.db2.gz VGZUWQOJDSEKMU-UHFFFAOYSA-N 1 2 290.725 3.525 20 0 CHADLO Cc1cc(CO[C@H](C(C)C)C(F)(F)F)cc(C)[nH+]1 ZINC001223421924 1135343836 /nfs/dbraw/zinc/34/38/36/1135343836.db2.gz RZMDQXDVRFTSSE-GFCCVEGCSA-N 1 2 261.287 3.802 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](C)c1ccccc1OC ZINC001224963221 1135496864 /nfs/dbraw/zinc/49/68/64/1135496864.db2.gz JTIIDNJZEHYTDW-ZDUSSCGKSA-N 1 2 279.380 3.957 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](C)c1cccc(OC)c1 ZINC001224963525 1135497063 /nfs/dbraw/zinc/49/70/63/1135497063.db2.gz OHZIPTFJEOXCGL-ZDUSSCGKSA-N 1 2 279.380 3.957 20 0 CHADLO CCOC(=[NH2+])CCCCOCc1ccc(OC(C)C)nc1 ZINC001224964986 1135497236 /nfs/dbraw/zinc/49/72/36/1135497236.db2.gz FPKRAIYUNAQGKH-UHFFFAOYSA-N 1 2 294.395 3.569 20 0 CHADLO COc1ccc(OC[C@@H](C)Oc2c(C)cc[nH+]c2C)cc1 ZINC001227261391 1135811022 /nfs/dbraw/zinc/81/10/22/1135811022.db2.gz AGMGQQLGTFDAFM-CYBMUJFWSA-N 1 2 287.359 3.553 20 0 CHADLO Cc1cc[nH+]c(C)c1OC[C@@H](C)Oc1ccccc1 ZINC001227264613 1135813139 /nfs/dbraw/zinc/81/31/39/1135813139.db2.gz OZICXRMWFBROQA-CYBMUJFWSA-N 1 2 257.333 3.545 20 0 CHADLO Cc1ccc(NCc2ccc(Cl)cc2F)c[nH+]1 ZINC000083383927 178387204 /nfs/dbraw/zinc/38/72/04/178387204.db2.gz HALUANQXIHSGQS-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO Cc1ccc(NCc2cc(Cl)ccc2F)c[nH+]1 ZINC000083383931 178387518 /nfs/dbraw/zinc/38/75/18/178387518.db2.gz XBWXTIPKUFWBIN-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CC[C@@H](CNc1cccc[nH+]1)Oc1ccccc1C ZINC000301551510 529497553 /nfs/dbraw/zinc/49/75/53/529497553.db2.gz MKYHOKKLQIWVAD-AWEZNQCLSA-N 1 2 256.349 3.660 20 0 CHADLO CCc1c(NCc2[nH+]ccn2CC)cnn1C(CC)CC ZINC000347406385 529498285 /nfs/dbraw/zinc/49/82/85/529498285.db2.gz BXFVFTDWTKIXQX-UHFFFAOYSA-N 1 2 289.427 3.635 20 0 CHADLO CCn1cc[nH+]c1CNc1ccc(F)c(C2CC2)c1 ZINC000352613363 529580836 /nfs/dbraw/zinc/58/08/36/529580836.db2.gz VEYDUXBQLSJBJY-UHFFFAOYSA-N 1 2 259.328 3.532 20 0 CHADLO CC[C@@H](NC(=O)C[C@H](CC)c1ccccc1)c1[nH]cc[nH+]1 ZINC000347945760 529600486 /nfs/dbraw/zinc/60/04/86/529600486.db2.gz DSUITZKUFNJXQI-DZGCQCFKSA-N 1 2 285.391 3.561 20 0 CHADLO CC[C@@H](NC(=O)[C@@H](C)c1cc2ccccc2o1)c1[nH]cc[nH+]1 ZINC000347957614 529653622 /nfs/dbraw/zinc/65/36/22/529653622.db2.gz LYHYGUCQGUVQEX-WCQYABFASA-N 1 2 297.358 3.527 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ccc(F)cc2F)cn1 ZINC000347670309 529636628 /nfs/dbraw/zinc/63/66/28/529636628.db2.gz KFRIMLHLGAFCPG-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ccc(F)cc2F)cn1 ZINC000347670309 529636629 /nfs/dbraw/zinc/63/66/29/529636629.db2.gz KFRIMLHLGAFCPG-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C2CC2)n1)c1ccc(OC)cc1 ZINC000112963686 529679971 /nfs/dbraw/zinc/67/99/71/529679971.db2.gz GTKSBXAWAHSBSS-HNNXBMFYSA-N 1 2 283.375 3.926 20 0 CHADLO CCc1ccc([C@H]2CCC[N@@H+]2[C@H](C)c2nnc(CC)o2)o1 ZINC000331375111 529727375 /nfs/dbraw/zinc/72/73/75/529727375.db2.gz CWCCODFAFAYLQB-DGCLKSJQSA-N 1 2 289.379 3.686 20 0 CHADLO CCc1ccc([C@H]2CCC[N@H+]2[C@H](C)c2nnc(CC)o2)o1 ZINC000331375111 529727376 /nfs/dbraw/zinc/72/73/76/529727376.db2.gz CWCCODFAFAYLQB-DGCLKSJQSA-N 1 2 289.379 3.686 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cccc(C)c2C)cs1 ZINC000352765763 529735445 /nfs/dbraw/zinc/73/54/45/529735445.db2.gz RWMVOVHFUIMTPS-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cccc(C)c2C)cs1 ZINC000352765763 529735446 /nfs/dbraw/zinc/73/54/46/529735446.db2.gz RWMVOVHFUIMTPS-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(CC)nc2)cs1 ZINC000352785448 529745242 /nfs/dbraw/zinc/74/52/42/529745242.db2.gz GMIXQXFLRPXNHK-LLVKDONJSA-N 1 2 275.421 3.514 20 0 CHADLO C[N@H+](C/C=C/c1ccc(F)c(F)c1)Cc1nccs1 ZINC000347877011 529820079 /nfs/dbraw/zinc/82/00/79/529820079.db2.gz XUNLUKPTGBEYQH-NSCUHMNNSA-N 1 2 280.343 3.567 20 0 CHADLO C[N@@H+](C/C=C/c1ccc(F)c(F)c1)Cc1nccs1 ZINC000347877011 529820080 /nfs/dbraw/zinc/82/00/80/529820080.db2.gz XUNLUKPTGBEYQH-NSCUHMNNSA-N 1 2 280.343 3.567 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cc(Cl)ccc1F ZINC000352649720 530013166 /nfs/dbraw/zinc/01/31/66/530013166.db2.gz NQLXRKVKWVITDY-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cc(Cl)ccc1F ZINC000352649720 530013167 /nfs/dbraw/zinc/01/31/67/530013167.db2.gz NQLXRKVKWVITDY-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@H+](Cc1csc(C2CCCCC2)n1)[C@H]1CCCOC1 ZINC000352188262 530019342 /nfs/dbraw/zinc/01/93/42/530019342.db2.gz XRTYEEALYKLDOX-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO C[N@@H+](Cc1csc(C2CCCCC2)n1)[C@H]1CCCOC1 ZINC000352188262 530019344 /nfs/dbraw/zinc/01/93/44/530019344.db2.gz XRTYEEALYKLDOX-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(OCc2ccccc2)c1 ZINC000103191980 185815460 /nfs/dbraw/zinc/81/54/60/185815460.db2.gz RXCOPOLIZAMCCT-UHFFFAOYSA-N 1 2 264.328 3.666 20 0 CHADLO Cc1cc(NC(=O)COc2ccc(C(C)(C)C)cc2)cc[nH+]1 ZINC000010163235 541306998 /nfs/dbraw/zinc/30/69/98/541306998.db2.gz QIGJPEMTAIZGKH-UHFFFAOYSA-N 1 2 298.386 3.705 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000574148916 334995698 /nfs/dbraw/zinc/99/56/98/334995698.db2.gz FMNRCMNSVSOIGV-CFVMTHIKSA-N 1 2 295.386 3.760 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]CCCC1CCOCC1)C(F)(F)F ZINC000574241956 335003566 /nfs/dbraw/zinc/00/35/66/335003566.db2.gz RFFJOOPGTPWVSW-GFCCVEGCSA-N 1 2 281.362 3.760 20 0 CHADLO Cc1cc(NC2(C3(C)CC3)CC2)nc(-c2cccnc2)[nH+]1 ZINC000574519822 335026285 /nfs/dbraw/zinc/02/62/85/335026285.db2.gz NJZGKXJEASDDOX-UHFFFAOYSA-N 1 2 280.375 3.592 20 0 CHADLO CCCCCN(C(=O)c1ccn2c(C)c[nH+]c2c1)C(C)C ZINC000574529218 335027510 /nfs/dbraw/zinc/02/75/10/335027510.db2.gz SXCLGMSTDQRJQN-UHFFFAOYSA-N 1 2 287.407 3.684 20 0 CHADLO CSc1ccc(C[NH2+]Cc2nnc(C(C)C)s2)s1 ZINC000352820367 532957620 /nfs/dbraw/zinc/95/76/20/532957620.db2.gz ABUGHSBURHQBKG-UHFFFAOYSA-N 1 2 299.490 3.735 20 0 CHADLO COc1ccccc1[C@H]1CCC[C@@H](C)[N@@H+]1Cc1ncccn1 ZINC000352201397 533025148 /nfs/dbraw/zinc/02/51/48/533025148.db2.gz FOXVNVFRBNMHFH-GDBMZVCRSA-N 1 2 297.402 3.601 20 0 CHADLO COc1ccccc1[C@H]1CCC[C@@H](C)[N@H+]1Cc1ncccn1 ZINC000352201397 533025150 /nfs/dbraw/zinc/02/51/50/533025150.db2.gz FOXVNVFRBNMHFH-GDBMZVCRSA-N 1 2 297.402 3.601 20 0 CHADLO CSc1cccc(N[C@@H](C)c2[nH+]ccn2C)c1C ZINC000347327817 533028457 /nfs/dbraw/zinc/02/84/57/533028457.db2.gz DAGDTELEYZXJBC-NSHDSACASA-N 1 2 261.394 3.624 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1cccc(Cl)c1Cl ZINC000335939394 533091238 /nfs/dbraw/zinc/09/12/38/533091238.db2.gz JITUJVQBPSSOOD-UHFFFAOYSA-N 1 2 297.141 3.649 20 0 CHADLO COc1cc2c(c(C[NH+]3Cc4ccccc4C3)c1)O[C@H](C)C2 ZINC000353396490 533148795 /nfs/dbraw/zinc/14/87/95/533148795.db2.gz TWQJINKOVPDMHG-CYBMUJFWSA-N 1 2 295.382 3.534 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)c1ccncc1 ZINC000036934883 260052147 /nfs/dbraw/zinc/05/21/47/260052147.db2.gz RJEVYAYHUJKBMU-ZDUSSCGKSA-N 1 2 255.365 3.629 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cccc(Cl)c1F ZINC000179670864 260062448 /nfs/dbraw/zinc/06/24/48/260062448.db2.gz ALBVNGWXSOACEN-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cccc(Cl)c1F ZINC000179670864 260062450 /nfs/dbraw/zinc/06/24/50/260062450.db2.gz ALBVNGWXSOACEN-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO CO[C@H]1CC[C@@H](Nc2cc(C)[nH+]c3c(F)cccc23)C1 ZINC000302770946 533261589 /nfs/dbraw/zinc/26/15/89/533261589.db2.gz MWYBQASVIOOOSW-NEPJUHHUSA-N 1 2 274.339 3.662 20 0 CHADLO CSC1(C[N@@H+]2CCO[C@@H](c3ccccc3Cl)C2)CC1 ZINC000347819012 533481654 /nfs/dbraw/zinc/48/16/54/533481654.db2.gz PYQAUESOHQNYMC-CQSZACIVSA-N 1 2 297.851 3.609 20 0 CHADLO CSC1(C[N@H+]2CCO[C@@H](c3ccccc3Cl)C2)CC1 ZINC000347819012 533481660 /nfs/dbraw/zinc/48/16/60/533481660.db2.gz PYQAUESOHQNYMC-CQSZACIVSA-N 1 2 297.851 3.609 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2c(s1)CCC2)c1nccs1 ZINC000355101160 533508409 /nfs/dbraw/zinc/50/84/09/533508409.db2.gz HXMKLCBQTAUNQY-BDAKNGLRSA-N 1 2 279.434 3.500 20 0 CHADLO C[C@@H](CCC(C)(C)C)NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000353342282 533518211 /nfs/dbraw/zinc/51/82/11/533518211.db2.gz BBSWBPKPWMRCRL-LBPRGKRZSA-N 1 2 288.395 3.671 20 0 CHADLO CN(C)c1ccccc1C[N@H+](CC(F)F)CC1CCC1 ZINC000341756375 130024801 /nfs/dbraw/zinc/02/48/01/130024801.db2.gz MIHOIRPCHSUHOK-UHFFFAOYSA-N 1 2 282.378 3.620 20 0 CHADLO CN(C)c1ccccc1C[N@@H+](CC(F)F)CC1CCC1 ZINC000341756375 130024802 /nfs/dbraw/zinc/02/48/02/130024802.db2.gz MIHOIRPCHSUHOK-UHFFFAOYSA-N 1 2 282.378 3.620 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cccc(Cl)c2Cl)nc1 ZINC000341822695 130058088 /nfs/dbraw/zinc/05/80/88/130058088.db2.gz LDBRZTXLVGBFPR-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO CCn1cc[nH+]c1CN(C)Cc1cc(Cl)cc(Cl)c1 ZINC000341811690 130073044 /nfs/dbraw/zinc/07/30/44/130073044.db2.gz VKDMKSYNNBSMEU-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2nc3ccccc3[nH]2)CC1 ZINC000341984470 130178985 /nfs/dbraw/zinc/17/89/85/130178985.db2.gz VYHGTIIKMSUYDJ-UHFFFAOYSA-N 1 2 297.324 3.727 20 0 CHADLO Cc1cnc([C@@H](C)[NH+]2CCC(CC(F)(F)F)CC2)o1 ZINC000342001533 130192567 /nfs/dbraw/zinc/19/25/67/130192567.db2.gz WYVZGUWMDWCULT-SNVBAGLBSA-N 1 2 276.302 3.708 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2ccccc2OC)cc(C)[nH+]1 ZINC000342069877 130234687 /nfs/dbraw/zinc/23/46/87/130234687.db2.gz YSZDPZDYAIILNT-HNNXBMFYSA-N 1 2 297.402 3.698 20 0 CHADLO CCc1nc(Nc2ccc3[nH]ccc3c2)cc(C)[nH+]1 ZINC000342173784 130308231 /nfs/dbraw/zinc/30/82/31/130308231.db2.gz YUXXVVFYMKISCA-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CCC[C@@H]1CCCC[C@H]1CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000575127555 335070039 /nfs/dbraw/zinc/07/00/39/335070039.db2.gz LGZXRVBESXCKFG-OAGGEKHMSA-N 1 2 291.439 3.557 20 0 CHADLO C[NH+](C)c1ccc(NC/C=C/c2ccccc2)cc1 ZINC000006314119 170190638 /nfs/dbraw/zinc/19/06/38/170190638.db2.gz KRUVEIJRCVFQGX-RMKNXTFCSA-N 1 2 252.361 3.878 20 0 CHADLO CN(C)c1ccc([NH2+]C/C=C/c2ccccc2)cc1 ZINC000006314119 170190639 /nfs/dbraw/zinc/19/06/39/170190639.db2.gz KRUVEIJRCVFQGX-RMKNXTFCSA-N 1 2 252.361 3.878 20 0 CHADLO Cc1cc(C)c(NC(=O)C[NH+]2CCC(C)CC2)c(Cl)c1 ZINC000007446593 170285232 /nfs/dbraw/zinc/28/52/32/170285232.db2.gz ZETKVUPLDRXISG-UHFFFAOYSA-N 1 2 294.826 3.627 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2ccccc2s1)c1ccccn1 ZINC000020136129 171023563 /nfs/dbraw/zinc/02/35/63/171023563.db2.gz WANFPUYBWBMLFW-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO C[C@@H]([NH2+]Cc1ccco1)c1nc2ccccc2s1 ZINC000022169439 171367166 /nfs/dbraw/zinc/36/71/66/171367166.db2.gz BCUYQSHRMSZJIN-SNVBAGLBSA-N 1 2 258.346 3.740 20 0 CHADLO CCCCC[C@H]([NH2+]Cc1nc(C)no1)c1ccccc1 ZINC000038034131 174032168 /nfs/dbraw/zinc/03/21/68/174032168.db2.gz GOBQEGWBEBXCIP-HNNXBMFYSA-N 1 2 273.380 3.789 20 0 CHADLO Clc1ccccc1C[N@@H+]1CCO[C@H](c2ccccc2)C1 ZINC000071852531 176277487 /nfs/dbraw/zinc/27/74/87/176277487.db2.gz PUZIACMOATXSKB-KRWDZBQOSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1ccccc1C[N@H+]1CCO[C@H](c2ccccc2)C1 ZINC000071852531 176277490 /nfs/dbraw/zinc/27/74/90/176277490.db2.gz PUZIACMOATXSKB-KRWDZBQOSA-N 1 2 287.790 3.914 20 0 CHADLO CC(C)Oc1ccc(CNc2ccc(N(C)C)[nH+]c2)cc1 ZINC000037283822 260079603 /nfs/dbraw/zinc/07/96/03/260079603.db2.gz BJMOJHGJLBQNQJ-UHFFFAOYSA-N 1 2 285.391 3.547 20 0 CHADLO Fc1cc(F)c(C[NH+]2CCC(C(F)(F)F)CC2)cc1F ZINC000179965616 260083794 /nfs/dbraw/zinc/08/37/94/260083794.db2.gz FJTXXDLRKVZCGU-UHFFFAOYSA-N 1 2 297.242 3.878 20 0 CHADLO COCC[C@@H]([NH2+]Cc1ncc(C(C)C)s1)c1ccco1 ZINC000344354120 225040946 /nfs/dbraw/zinc/04/09/46/225040946.db2.gz KHLYMJVFMAYNMU-GFCCVEGCSA-N 1 2 294.420 3.727 20 0 CHADLO Cc1nnc(C[N@@H+]2CCCC[C@H]2c2ccc(Cl)cc2)o1 ZINC000334634529 225181458 /nfs/dbraw/zinc/18/14/58/225181458.db2.gz FDIGPUZVDLDMTB-AWEZNQCLSA-N 1 2 291.782 3.759 20 0 CHADLO Cc1nnc(C[N@H+]2CCCC[C@H]2c2ccc(Cl)cc2)o1 ZINC000334634529 225181465 /nfs/dbraw/zinc/18/14/65/225181465.db2.gz FDIGPUZVDLDMTB-AWEZNQCLSA-N 1 2 291.782 3.759 20 0 CHADLO Cc1n[nH]cc1C[NH2+]C1(c2c(Cl)cccc2Cl)CC1 ZINC000344979192 225237010 /nfs/dbraw/zinc/23/70/10/225237010.db2.gz WPJSXNIJYQWECZ-UHFFFAOYSA-N 1 2 296.201 3.804 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](C(C)(C)C)C2)c(C)[nH+]1 ZINC000334706042 225281773 /nfs/dbraw/zinc/28/17/73/225281773.db2.gz QSFXTXYRIXSJOD-CQSZACIVSA-N 1 2 289.423 3.907 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CCCC(F)(F)C2)c(C)[nH+]1 ZINC000334718889 225287707 /nfs/dbraw/zinc/28/77/07/225287707.db2.gz XENSIOFWVBCNKF-LBPRGKRZSA-N 1 2 297.349 3.706 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)o2)on1 ZINC000334815778 225307498 /nfs/dbraw/zinc/30/74/98/225307498.db2.gz GABWPZGVHRICKY-NSHDSACASA-N 1 2 266.728 3.567 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)o2)on1 ZINC000334815778 225307503 /nfs/dbraw/zinc/30/75/03/225307503.db2.gz GABWPZGVHRICKY-NSHDSACASA-N 1 2 266.728 3.567 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCSc2ccc(F)cc21)c1csnn1 ZINC000334835718 225327912 /nfs/dbraw/zinc/32/79/12/225327912.db2.gz IBXXHKPVWXQBLX-GZMMTYOYSA-N 1 2 295.408 3.565 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2C[C@@H]2c2ccccc2)c(C)[nH+]1 ZINC000334837893 225329317 /nfs/dbraw/zinc/32/93/17/225329317.db2.gz OIEVDUXXZPZRGH-CVEARBPZSA-N 1 2 280.371 3.749 20 0 CHADLO Clc1cnc(C[NH+]2CCC(c3ccncc3)CC2)s1 ZINC000335147183 225690310 /nfs/dbraw/zinc/69/03/10/225690310.db2.gz IPINBCDZUHZUKN-UHFFFAOYSA-N 1 2 293.823 3.571 20 0 CHADLO c1ccc([C@H]2CCC[C@H]2Nc2cc[nH+]c(C3CC3)n2)cc1 ZINC000347182441 226070777 /nfs/dbraw/zinc/07/07/77/226070777.db2.gz KYZCEAFIIJUPDW-HZPDHXFCSA-N 1 2 279.387 3.524 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000347351988 226124729 /nfs/dbraw/zinc/12/47/29/226124729.db2.gz LARWIQVTTNVWMZ-AWEZNQCLSA-N 1 2 268.401 3.735 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000347351988 226124734 /nfs/dbraw/zinc/12/47/34/226124734.db2.gz LARWIQVTTNVWMZ-AWEZNQCLSA-N 1 2 268.401 3.735 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nnc(C3CC3)[nH]2)cc1C ZINC000347390647 226144756 /nfs/dbraw/zinc/14/47/56/226144756.db2.gz HTDGTBCDHWDHCQ-INIZCTEOSA-N 1 2 296.418 3.636 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nnc(C3CC3)[nH]2)cc1C ZINC000347390647 226144758 /nfs/dbraw/zinc/14/47/58/226144758.db2.gz HTDGTBCDHWDHCQ-INIZCTEOSA-N 1 2 296.418 3.636 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1cccc(-n2cccn2)c1 ZINC000347675341 226219909 /nfs/dbraw/zinc/21/99/09/226219909.db2.gz OQQRYXHXAOVVJQ-UHFFFAOYSA-N 1 2 292.386 3.620 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2cc(-c3ccccc3)no2)n1 ZINC000348100440 226415282 /nfs/dbraw/zinc/41/52/82/226415282.db2.gz YZNCPIIAWSUJSA-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nccn2C(F)F)cc1 ZINC000349798176 226844137 /nfs/dbraw/zinc/84/41/37/226844137.db2.gz DYSGBWQWRVWLSQ-CQSZACIVSA-N 1 2 291.345 3.924 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nccn2C(F)F)cc1 ZINC000349798176 226844142 /nfs/dbraw/zinc/84/41/42/226844142.db2.gz DYSGBWQWRVWLSQ-CQSZACIVSA-N 1 2 291.345 3.924 20 0 CHADLO Cn1c2ccc(NC(=O)C3CC(C)(C)C3)cc2[nH+]c1C1CC1 ZINC000354521922 227020469 /nfs/dbraw/zinc/02/04/69/227020469.db2.gz QPJXNXWMBUEIQE-UHFFFAOYSA-N 1 2 297.402 3.825 20 0 CHADLO C[C@@H]1C[C@H](Nc2[nH+]cccc2OCc2ccccc2)CCO1 ZINC000354586250 227042710 /nfs/dbraw/zinc/04/27/10/227042710.db2.gz KCVLDJMWKFCONS-GDBMZVCRSA-N 1 2 298.386 3.640 20 0 CHADLO C[C@]1(CNc2[nH+]cccc2OCc2ccccc2)CCCO1 ZINC000354589999 227044787 /nfs/dbraw/zinc/04/47/87/227044787.db2.gz DHPKDKSAUKAGTR-GOSISDBHSA-N 1 2 298.386 3.642 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CC[C@H]3CCC[C@@H]32)s1 ZINC000336496265 227062421 /nfs/dbraw/zinc/06/24/21/227062421.db2.gz JCGCEALTEMIEDO-BDAKNGLRSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CC[C@H]3CCC[C@@H]32)s1 ZINC000336496265 227062424 /nfs/dbraw/zinc/06/24/24/227062424.db2.gz JCGCEALTEMIEDO-BDAKNGLRSA-N 1 2 276.327 3.536 20 0 CHADLO CCc1nc(N2CC(C)(C)[C@@H]2c2cccs2)cc(C)[nH+]1 ZINC000575211268 335081602 /nfs/dbraw/zinc/08/16/02/335081602.db2.gz JDRXCRFAYBNTQY-HNNXBMFYSA-N 1 2 287.432 3.996 20 0 CHADLO Cc1nn(C(C)C)cc1C[NH2+]C1(C(F)F)CCCCC1 ZINC000353036746 227929688 /nfs/dbraw/zinc/92/96/88/227929688.db2.gz SHSFUPJEZROFMD-UHFFFAOYSA-N 1 2 285.382 3.830 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(Cl)ccc1OC(C)C ZINC000418082005 228016742 /nfs/dbraw/zinc/01/67/42/228016742.db2.gz PGDRLVRONVRXCI-UHFFFAOYSA-N 1 2 293.798 3.956 20 0 CHADLO FC(F)(F)c1cccc(COc2cc[nH+]cc2)c1 ZINC000356094448 228118511 /nfs/dbraw/zinc/11/85/11/228118511.db2.gz LUTXUSNBHZMBML-UHFFFAOYSA-N 1 2 253.223 3.679 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccccc2SC)s1 ZINC000353548340 228118725 /nfs/dbraw/zinc/11/87/25/228118725.db2.gz MFWYGXVHDNCAPG-JTQLQIEISA-N 1 2 293.461 3.673 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccccc2OC2CCC2)no1 ZINC000353242078 228073693 /nfs/dbraw/zinc/07/36/93/228073693.db2.gz NAOZEZYXZKGJSW-CYBMUJFWSA-N 1 2 286.375 3.765 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CCC[C@H](C)CC1 ZINC000332320441 228155443 /nfs/dbraw/zinc/15/54/43/228155443.db2.gz KHNFFEGHXDHILI-SMDDNHRTSA-N 1 2 275.396 3.789 20 0 CHADLO CS[C@H](CNC(=O)Nc1c(C)cc[nH+]c1C)C(C)(C)C ZINC000351929559 228166616 /nfs/dbraw/zinc/16/66/16/228166616.db2.gz AMHFQAURSBZXKI-GFCCVEGCSA-N 1 2 295.452 3.598 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)Nc1cnn([C@@H](C)C2CC2)c1 ZINC000351954791 228168475 /nfs/dbraw/zinc/16/84/75/228168475.db2.gz BMYIBNVMWIYVFP-LBPRGKRZSA-N 1 2 299.378 3.510 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@@H](C)CCC[C@@H]1C ZINC000335963504 228171671 /nfs/dbraw/zinc/17/16/71/228171671.db2.gz KEQZLCAHLXCLPO-AAEUAGOBSA-N 1 2 275.396 3.741 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncccc2C(F)(F)F)CCC1(F)F ZINC000352029707 228176381 /nfs/dbraw/zinc/17/63/81/228176381.db2.gz WXVKDVWQBIBBEG-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncccc2C(F)(F)F)CCC1(F)F ZINC000352029707 228176382 /nfs/dbraw/zinc/17/63/82/228176382.db2.gz WXVKDVWQBIBBEG-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cnc(C(C)C)s2)s1 ZINC000352304569 228217114 /nfs/dbraw/zinc/21/71/14/228217114.db2.gz ZKDPTICVLUEFCQ-UHFFFAOYSA-N 1 2 281.450 3.663 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cnc(C(C)C)s2)s1 ZINC000352304569 228217115 /nfs/dbraw/zinc/21/71/15/228217115.db2.gz ZKDPTICVLUEFCQ-UHFFFAOYSA-N 1 2 281.450 3.663 20 0 CHADLO OC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(Cl)sc1Cl ZINC000336228340 228218233 /nfs/dbraw/zinc/21/82/33/228218233.db2.gz CRYYEOQYXQXVSF-ULKQDVFKSA-N 1 2 292.231 3.543 20 0 CHADLO OC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(Cl)sc1Cl ZINC000336228340 228218234 /nfs/dbraw/zinc/21/82/34/228218234.db2.gz CRYYEOQYXQXVSF-ULKQDVFKSA-N 1 2 292.231 3.543 20 0 CHADLO Cc1cc(C)cc(OCC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000519963611 260031828 /nfs/dbraw/zinc/03/18/28/260031828.db2.gz HNEGGTLWIIQTTG-UHFFFAOYSA-N 1 2 298.386 3.641 20 0 CHADLO Cc1ccc([C@@H](C)N[C@@H]2CC[N@H+](Cc3ccccc3)C2)o1 ZINC000036960099 260057605 /nfs/dbraw/zinc/05/76/05/260057605.db2.gz GBVWCJICLYCCII-NVXWUHKLSA-N 1 2 284.403 3.513 20 0 CHADLO Cc1ccc([C@@H](C)N[C@@H]2CC[N@@H+](Cc3ccccc3)C2)o1 ZINC000036960099 260057607 /nfs/dbraw/zinc/05/76/07/260057607.db2.gz GBVWCJICLYCCII-NVXWUHKLSA-N 1 2 284.403 3.513 20 0 CHADLO COc1ccc(C)cc1NCc1c(C)[nH+]c2ccccn21 ZINC000037200765 260074155 /nfs/dbraw/zinc/07/41/55/260074155.db2.gz PMUWDBTVHCLBNN-UHFFFAOYSA-N 1 2 281.359 3.572 20 0 CHADLO Cc1cc(NC(=O)N[C@H](c2ccccc2)C2CC2)cc[nH+]1 ZINC000179815568 260074419 /nfs/dbraw/zinc/07/44/19/260074419.db2.gz UVWMDOWGFYNRLO-MRXNPFEDSA-N 1 2 281.359 3.663 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1ccc(C(C)(C)C)cc1 ZINC000037878834 260118998 /nfs/dbraw/zinc/11/89/98/260118998.db2.gz ORDJHZDDDSASRP-GFCCVEGCSA-N 1 2 271.408 3.866 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](CC(C)C)c1ccccc1 ZINC000037890891 260119780 /nfs/dbraw/zinc/11/97/80/260119780.db2.gz DACHAZPPSIHCMS-MRXNPFEDSA-N 1 2 257.381 3.595 20 0 CHADLO CO[C@@H](C[N@@H+]1CCC[C@@H]1C(F)F)c1ccc(Cl)cc1 ZINC000513216707 260126332 /nfs/dbraw/zinc/12/63/32/260126332.db2.gz AARIJEFJCGXZNS-OLZOCXBDSA-N 1 2 289.753 3.757 20 0 CHADLO CO[C@@H](C[N@H+]1CCC[C@@H]1C(F)F)c1ccc(Cl)cc1 ZINC000513216707 260126333 /nfs/dbraw/zinc/12/63/33/260126333.db2.gz AARIJEFJCGXZNS-OLZOCXBDSA-N 1 2 289.753 3.757 20 0 CHADLO CC(C)COc1cccc(N[C@@H](C)c2[nH+]ccn2C)c1 ZINC000070445064 260157643 /nfs/dbraw/zinc/15/76/43/260157643.db2.gz SEIGYMLCXKPPQU-ZDUSSCGKSA-N 1 2 273.380 3.628 20 0 CHADLO Cc1ncsc1CNc1[nH+]c2ccccc2cc1C ZINC000071213225 260160445 /nfs/dbraw/zinc/16/04/45/260160445.db2.gz VXNONQFTKNDZMA-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccc3ccccc3n2)n1 ZINC000078004649 260167585 /nfs/dbraw/zinc/16/75/85/260167585.db2.gz ZXUFMXUYPSPEBG-GFCCVEGCSA-N 1 2 283.400 3.851 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000080265311 260179189 /nfs/dbraw/zinc/17/91/89/260179189.db2.gz ADQMPPQOBIMWKL-RYUDHWBXSA-N 1 2 276.405 3.954 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccccc2OC(F)F)n1 ZINC000080275256 260179954 /nfs/dbraw/zinc/17/99/54/260179954.db2.gz TYLZTDZQNBNCBJ-JTQLQIEISA-N 1 2 298.358 3.904 20 0 CHADLO Cc1nc(C2([NH2+]C/C=C/c3ccccc3)CCCCC2)no1 ZINC000517548753 260190902 /nfs/dbraw/zinc/19/09/02/260190902.db2.gz ACJUOLQUZIAKOU-DHZHZOJOSA-N 1 2 297.402 3.840 20 0 CHADLO CCN(CC)c1ccc(NCc2sc(C)nc2C)c[nH+]1 ZINC000088867707 260235252 /nfs/dbraw/zinc/23/52/52/260235252.db2.gz XZUCDLISVNDHMV-UHFFFAOYSA-N 1 2 290.436 3.613 20 0 CHADLO CCNc1cc[nH+]c2c(Br)ccc(F)c12 ZINC000232221570 260249277 /nfs/dbraw/zinc/24/92/77/260249277.db2.gz AZVPMJMSTUYVPO-UHFFFAOYSA-N 1 2 269.117 3.568 20 0 CHADLO Cc1[nH+]cc(CNc2ccccc2)n1-c1ccccc1 ZINC000092128627 260267544 /nfs/dbraw/zinc/26/75/44/260267544.db2.gz TWDDNAVQIRNTBK-UHFFFAOYSA-N 1 2 263.344 3.793 20 0 CHADLO c1cc2c(o1)CCC[C@H]2[NH2+]Cc1ccn(-c2ccccc2)n1 ZINC000092172539 260268014 /nfs/dbraw/zinc/26/80/14/260268014.db2.gz LPSQAPIWVVFGSX-QGZVFWFLSA-N 1 2 293.370 3.633 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+][C@@H]2CCCOC2)C(F)F)c1 ZINC000521068126 260371928 /nfs/dbraw/zinc/37/19/28/260371928.db2.gz MXTXYVICYIMEFH-UKRRQHHQSA-N 1 2 299.361 3.549 20 0 CHADLO C[C@H]1c2ccccc2CN1c1[nH+]c2cccc(F)c2n1C ZINC000409719773 260426916 /nfs/dbraw/zinc/42/69/16/260426916.db2.gz LUKVHLVQDZFULL-NSHDSACASA-N 1 2 281.334 3.794 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C)c(Br)s1)C(F)F ZINC000449358760 260444096 /nfs/dbraw/zinc/44/40/96/260444096.db2.gz GKJOCRPSFITHPX-MRVPVSSYSA-N 1 2 298.196 3.952 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CCCC2(C)C)c(C)[nH+]1 ZINC000408404763 260835159 /nfs/dbraw/zinc/83/51/59/260835159.db2.gz AGPXSTDBWKFOIB-CYBMUJFWSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2nc(-c3ccccc3)cs2)no1 ZINC000153530043 261079576 /nfs/dbraw/zinc/07/95/76/261079576.db2.gz OGIWQWAKDVWNQL-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO COC[C@@H](C)[N@H+](C)Cc1cc(Cl)sc1Cl ZINC000449014175 261095462 /nfs/dbraw/zinc/09/54/62/261095462.db2.gz GWXXKGWUUOWLJN-SSDOTTSWSA-N 1 2 268.209 3.522 20 0 CHADLO COC[C@@H](C)[N@@H+](C)Cc1cc(Cl)sc1Cl ZINC000449014175 261095464 /nfs/dbraw/zinc/09/54/64/261095464.db2.gz GWXXKGWUUOWLJN-SSDOTTSWSA-N 1 2 268.209 3.522 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1nnc(-c2ccccc2)s1 ZINC000155137443 261098766 /nfs/dbraw/zinc/09/87/66/261098766.db2.gz OHMQPZPCIWEPBC-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1nnc(-c2ccccc2)s1 ZINC000155137443 261098767 /nfs/dbraw/zinc/09/87/67/261098767.db2.gz OHMQPZPCIWEPBC-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO Cc1ccc(C)c(NC(=O)[C@H](C)[NH+]2Cc3ccccc3C2)c1 ZINC000155464348 261102201 /nfs/dbraw/zinc/10/22/01/261102201.db2.gz IXTHTPBJKWXFAJ-HNNXBMFYSA-N 1 2 294.398 3.646 20 0 CHADLO Cc1nc(N(Cc2ccccc2F)CC(C)C)cc[nH+]1 ZINC000360995923 261145023 /nfs/dbraw/zinc/14/50/23/261145023.db2.gz JTQLXXWRSRJYTE-UHFFFAOYSA-N 1 2 273.355 3.587 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cc1F)c1nccs1 ZINC000157438998 261146241 /nfs/dbraw/zinc/14/62/41/261146241.db2.gz QQFRDJWXRUUPQY-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1ncsc1CNc1c[nH+]ccc1OC(C)(C)C ZINC000158457836 261165121 /nfs/dbraw/zinc/16/51/21/261165121.db2.gz XADVDDYQRCCYSN-UHFFFAOYSA-N 1 2 277.393 3.636 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@@H](C)c2ccccn2)on1 ZINC000159227297 261181329 /nfs/dbraw/zinc/18/13/29/261181329.db2.gz LOBIUMUCMWWYEP-LBPRGKRZSA-N 1 2 273.380 3.824 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@H](C)c2ccccn2)on1 ZINC000159227429 261181522 /nfs/dbraw/zinc/18/15/22/261181522.db2.gz LOBIUMUCMWWYEP-GFCCVEGCSA-N 1 2 273.380 3.824 20 0 CHADLO Cc1cc(C)c(OCC(=O)Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC000176186854 261268549 /nfs/dbraw/zinc/26/85/49/261268549.db2.gz UGNWLLPGKMIMGZ-UHFFFAOYSA-N 1 2 298.386 3.641 20 0 CHADLO CC[N@H+](Cc1csc(C)n1)Cc1cccc(F)c1 ZINC000102675381 261270344 /nfs/dbraw/zinc/27/03/44/261270344.db2.gz GVQWIJRTNYIVSH-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1csc(C)n1)Cc1cccc(F)c1 ZINC000102675381 261270346 /nfs/dbraw/zinc/27/03/46/261270346.db2.gz GVQWIJRTNYIVSH-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1cscn1 ZINC000162373657 261279628 /nfs/dbraw/zinc/27/96/28/261279628.db2.gz KATOPZOLMWJWIF-IUCAKERBSA-N 1 2 268.332 3.833 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2ccco2)cs1 ZINC000162373931 261279764 /nfs/dbraw/zinc/27/97/64/261279764.db2.gz ZLURSWSTEDZOBC-ZJUUUORDSA-N 1 2 250.367 3.710 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2cncc(F)c2)cs1 ZINC000162389166 261280173 /nfs/dbraw/zinc/28/01/73/261280173.db2.gz ROAYMENSDKRZEP-NXEZZACHSA-N 1 2 279.384 3.651 20 0 CHADLO Cc1cccnc1C[NH2+][C@@H](c1ccccc1)c1ccccn1 ZINC000103712515 261333089 /nfs/dbraw/zinc/33/30/89/261333089.db2.gz CJUXFFKNFNKZSZ-IBGZPJMESA-N 1 2 289.382 3.664 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)o1 ZINC000179774406 261814872 /nfs/dbraw/zinc/81/48/72/261814872.db2.gz ATSIGIMGBSYHDD-CQSZACIVSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)o1 ZINC000179774406 261814873 /nfs/dbraw/zinc/81/48/73/261814873.db2.gz ATSIGIMGBSYHDD-CQSZACIVSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1nn(C)cc1[C@H](C)[NH2+][C@H](C)c1csc(C(C)C)n1 ZINC000181017020 261881376 /nfs/dbraw/zinc/88/13/76/261881376.db2.gz MWDQCEQNYOXPLX-CMPLNLGQSA-N 1 2 292.452 3.720 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1cccc(Cl)c1 ZINC000181419344 261897242 /nfs/dbraw/zinc/89/72/42/261897242.db2.gz NRBBZPZSZHUXKP-SNVBAGLBSA-N 1 2 293.798 3.871 20 0 CHADLO C[C@@H](Nc1ccccc1OCCn1cc[nH+]c1)C1CCC1 ZINC000182940416 261956964 /nfs/dbraw/zinc/95/69/64/261956964.db2.gz ALSYMJCCWKNQSX-CQSZACIVSA-N 1 2 285.391 3.563 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@H](C)CC(C)C)ccc2n1C ZINC000525724385 262006485 /nfs/dbraw/zinc/00/64/85/262006485.db2.gz LNGADPRDIPLNHU-GFCCVEGCSA-N 1 2 287.407 3.893 20 0 CHADLO Cc1cc[nH+]c(NCC2CCSCC2)c1Cl ZINC000309854642 262037004 /nfs/dbraw/zinc/03/70/04/262037004.db2.gz KBNXGQROYZOXPH-UHFFFAOYSA-N 1 2 256.802 3.599 20 0 CHADLO C[C@@H](CC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1)C(C)(C)C ZINC000108791076 262059902 /nfs/dbraw/zinc/05/99/02/262059902.db2.gz REHHLKUTXWWERW-QWHCGFSZSA-N 1 2 277.412 3.536 20 0 CHADLO Cc1[nH+]cc(CNc2ccn(C(C)C)n2)n1-c1ccccc1 ZINC000319011398 262150185 /nfs/dbraw/zinc/15/01/85/262150185.db2.gz GCPKINLRDODTAK-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO CCCC[C@H]([NH2+][C@H](C(=O)OC)c1ccsc1)C1CCC1 ZINC000499622688 262204393 /nfs/dbraw/zinc/20/43/93/262204393.db2.gz AWSMUWUFRLOILH-GJZGRUSLSA-N 1 2 295.448 3.911 20 0 CHADLO COc1cccc([C@@H](C)[N@@H+]2CC[C@H](C)C(F)(F)C2)c1 ZINC000494279721 262272549 /nfs/dbraw/zinc/27/25/49/262272549.db2.gz NMRFBVAHUJTREY-NWDGAFQWSA-N 1 2 269.335 3.733 20 0 CHADLO COc1cccc([C@@H](C)[N@H+]2CC[C@H](C)C(F)(F)C2)c1 ZINC000494279721 262272550 /nfs/dbraw/zinc/27/25/50/262272550.db2.gz NMRFBVAHUJTREY-NWDGAFQWSA-N 1 2 269.335 3.733 20 0 CHADLO CC(C)[N@H+](Cc1ncc(C(C)(C)C)o1)Cc1cccnc1 ZINC000162383425 262329249 /nfs/dbraw/zinc/32/92/49/262329249.db2.gz PHIPWMIVJMJLBS-UHFFFAOYSA-N 1 2 287.407 3.778 20 0 CHADLO CC(C)[N@@H+](Cc1ncc(C(C)(C)C)o1)Cc1cccnc1 ZINC000162383425 262329250 /nfs/dbraw/zinc/32/92/50/262329250.db2.gz PHIPWMIVJMJLBS-UHFFFAOYSA-N 1 2 287.407 3.778 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)ccc1OC)c1nccs1 ZINC000311974820 262345439 /nfs/dbraw/zinc/34/54/39/262345439.db2.gz PPXCFJXHBGNBSQ-LBPRGKRZSA-N 1 2 280.368 3.532 20 0 CHADLO CC[C@H](CC1CCCCC1)C(=O)N[C@H](CC)c1[nH]cc[nH+]1 ZINC000413707776 262778414 /nfs/dbraw/zinc/77/84/14/262778414.db2.gz CQZNHSAKBGCEHM-HUUCEWRRSA-N 1 2 291.439 3.974 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1F)c1cc2n(n1)CCC2 ZINC000414053876 262797958 /nfs/dbraw/zinc/79/79/58/262797958.db2.gz MKOSPFDOPXTBDI-GHMZBOCLSA-N 1 2 291.345 3.519 20 0 CHADLO C[C@@H](Nc1cccc([NH+]2CCCCCC2)c1)c1ccn(C)n1 ZINC000414100402 262804507 /nfs/dbraw/zinc/80/45/07/262804507.db2.gz AOLAKEZGZKJKGS-OAHLLOKOSA-N 1 2 298.434 3.974 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncnn1C)c1cccc(Cl)c1Cl ZINC000276664652 263037774 /nfs/dbraw/zinc/03/77/74/263037774.db2.gz RBWMDABFGOHBTI-BDAKNGLRSA-N 1 2 299.205 3.534 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2ccnn2C(C)C)on1 ZINC000280752110 263064100 /nfs/dbraw/zinc/06/41/00/263064100.db2.gz CTNCHFVSXLXALH-UHFFFAOYSA-N 1 2 290.411 3.645 20 0 CHADLO CC(C)[N@H+](Cc1cccnc1)Cc1cccc(O)c1Cl ZINC000353514635 263107463 /nfs/dbraw/zinc/10/74/63/263107463.db2.gz COCNKBCQIYNWFU-UHFFFAOYSA-N 1 2 290.794 3.851 20 0 CHADLO CC(C)[N@@H+](Cc1cccnc1)Cc1cccc(O)c1Cl ZINC000353514635 263107464 /nfs/dbraw/zinc/10/74/64/263107464.db2.gz COCNKBCQIYNWFU-UHFFFAOYSA-N 1 2 290.794 3.851 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)no1 ZINC000289307139 263108891 /nfs/dbraw/zinc/10/88/91/263108891.db2.gz DNYRMNRNWDPNLB-NWDGAFQWSA-N 1 2 289.379 3.577 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cc(OC)ccc2F)cs1 ZINC000293608222 263144116 /nfs/dbraw/zinc/14/41/16/263144116.db2.gz PKHTYWQIBHNEAC-SNVBAGLBSA-N 1 2 294.395 3.704 20 0 CHADLO CCCc1ncc(C[NH2+][C@H](C)c2cc(C)c(C)o2)o1 ZINC000453392636 263260354 /nfs/dbraw/zinc/26/03/54/263260354.db2.gz RHVOZQFTTDRPAV-LLVKDONJSA-N 1 2 262.353 3.688 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000187711983 263267432 /nfs/dbraw/zinc/26/74/32/263267432.db2.gz QUDHNYBNAOTOMJ-KSSFIOAISA-N 1 2 293.370 3.818 20 0 CHADLO CSc1cc(CNc2[nH+]ccc(C)c2Cl)ccn1 ZINC000342937044 263550430 /nfs/dbraw/zinc/55/04/30/263550430.db2.gz GFGMTJGAXBVEHV-UHFFFAOYSA-N 1 2 279.796 3.772 20 0 CHADLO Cc1nc([C@H]2CCCN2Cc2c[nH+]cn2C(C)C)cs1 ZINC000425337415 264014265 /nfs/dbraw/zinc/01/42/65/264014265.db2.gz MWZWOAKSKMGFDN-OAHLLOKOSA-N 1 2 290.436 3.566 20 0 CHADLO COc1ccc(Cl)cc1C(C)(C)[NH2+]Cc1cc(C)on1 ZINC000425345972 264017502 /nfs/dbraw/zinc/01/75/02/264017502.db2.gz IDVKNZDVVMQTFD-UHFFFAOYSA-N 1 2 294.782 3.670 20 0 CHADLO Fc1cccc(Cl)c1C[NH+]1CC(CC(F)F)C1 ZINC000425359471 264070050 /nfs/dbraw/zinc/07/00/50/264070050.db2.gz SOZWJGJZSJTSAF-UHFFFAOYSA-N 1 2 263.690 3.566 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CCC[C@H](C)C3)n2)c[nH+]1 ZINC000330902789 264073324 /nfs/dbraw/zinc/07/33/24/264073324.db2.gz LHOSKIZOLOMKEI-SMDDNHRTSA-N 1 2 271.364 3.658 20 0 CHADLO CC(C)n1c[nH+]cc1CN1C[C@H](C)c2ccccc21 ZINC000425358836 264140262 /nfs/dbraw/zinc/14/02/62/264140262.db2.gz RDUYLVTWIZRZSO-ZDUSSCGKSA-N 1 2 255.365 3.588 20 0 CHADLO CC1(C)C[C@@H](c2ccccc2)[N@H+](Cc2csnn2)C1 ZINC000425376948 264215905 /nfs/dbraw/zinc/21/59/05/264215905.db2.gz YWGKICSQNVCYOJ-AWEZNQCLSA-N 1 2 273.405 3.511 20 0 CHADLO CC1(C)C[C@@H](c2ccccc2)[N@@H+](Cc2csnn2)C1 ZINC000425376948 264215907 /nfs/dbraw/zinc/21/59/07/264215907.db2.gz YWGKICSQNVCYOJ-AWEZNQCLSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@H](N[C@@H]1C[N@H+](C)Cc2ccccc21)c1ccccc1F ZINC000366806014 264235270 /nfs/dbraw/zinc/23/52/70/264235270.db2.gz SAJOHXSDVWPZQL-SCLBCKFNSA-N 1 2 284.378 3.663 20 0 CHADLO C[C@H](N[C@@H]1C[N@@H+](C)Cc2ccccc21)c1ccccc1F ZINC000366806014 264235272 /nfs/dbraw/zinc/23/52/72/264235272.db2.gz SAJOHXSDVWPZQL-SCLBCKFNSA-N 1 2 284.378 3.663 20 0 CHADLO Cc1ccc(C2CC2)c(C(=O)NCc2c[nH+]c(C)cc2C)c1 ZINC000424867407 264241226 /nfs/dbraw/zinc/24/12/26/264241226.db2.gz QSSVXKRANKZAPR-UHFFFAOYSA-N 1 2 294.398 3.814 20 0 CHADLO Cc1cc(NC[C@H]2CCC[C@@H](O)C2)c2cccc(F)c2[nH+]1 ZINC000127159880 264241423 /nfs/dbraw/zinc/24/14/23/264241423.db2.gz KRQOWEYUGMXRRX-QWHCGFSZSA-N 1 2 288.366 3.645 20 0 CHADLO CCn1c2ccccc2nc1[C@@H](C)[NH2+]Cc1ccc(C)o1 ZINC000127053119 264246947 /nfs/dbraw/zinc/24/69/47/264246947.db2.gz JXPVYKFWDJUNRT-CYBMUJFWSA-N 1 2 283.375 3.808 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nc(C(F)F)no2)cc1 ZINC000425391476 264274939 /nfs/dbraw/zinc/27/49/39/264274939.db2.gz YDQORKHGEJEGKY-LBPRGKRZSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nc(C(F)F)no2)cc1 ZINC000425391476 264274941 /nfs/dbraw/zinc/27/49/41/264274941.db2.gz YDQORKHGEJEGKY-LBPRGKRZSA-N 1 2 293.317 3.653 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(Cl)cc2F)cs1 ZINC000189191774 264277715 /nfs/dbraw/zinc/27/77/15/264277715.db2.gz QTQVHXWRXIGNGQ-UHFFFAOYSA-N 1 2 284.787 3.788 20 0 CHADLO FC(F)c1noc(C[N@@H+]2CCCCC[C@H]2c2ccco2)n1 ZINC000425410601 264292825 /nfs/dbraw/zinc/29/28/25/264292825.db2.gz XNWQGXFKGIGZRU-JTQLQIEISA-N 1 2 297.305 3.718 20 0 CHADLO FC(F)c1noc(C[N@H+]2CCCCC[C@H]2c2ccco2)n1 ZINC000425410601 264292827 /nfs/dbraw/zinc/29/28/27/264292827.db2.gz XNWQGXFKGIGZRU-JTQLQIEISA-N 1 2 297.305 3.718 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+]Cc1ccc(Cl)cc1F ZINC000189360147 264293714 /nfs/dbraw/zinc/29/37/14/264293714.db2.gz CEYCDVDYQLHZCC-UHFFFAOYSA-N 1 2 295.789 3.621 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)cc1 ZINC000073984383 264301271 /nfs/dbraw/zinc/30/12/71/264301271.db2.gz GHNRVRAWUIYREM-LBPRGKRZSA-N 1 2 273.380 3.526 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2cc3ccc(C)cc3o2)[nH]1 ZINC000426015105 264309029 /nfs/dbraw/zinc/30/90/29/264309029.db2.gz FJNHLKKJYPTXPW-NEPJUHHUSA-N 1 2 298.390 3.834 20 0 CHADLO COc1ccccc1[C@H]1CC[C@@H](C)CN1c1cc[nH+]c(C)n1 ZINC000343280324 264328294 /nfs/dbraw/zinc/32/82/94/264328294.db2.gz FJEIJZWQQJJISX-CZUORRHYSA-N 1 2 297.402 3.771 20 0 CHADLO CN(c1cc[nH+]c2c(Cl)cccc12)[C@H]1CCCOC1 ZINC000343286202 264357053 /nfs/dbraw/zinc/35/70/53/264357053.db2.gz MMMGKZLAVOZFSM-NSHDSACASA-N 1 2 276.767 3.503 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[NH+]1CC(C)(C)OC(C)(C)C1 ZINC000190537875 264392566 /nfs/dbraw/zinc/39/25/66/264392566.db2.gz NKLPHPPGGFCJLJ-GFCCVEGCSA-N 1 2 294.439 3.923 20 0 CHADLO CC(C)c1noc(C[N@H+](C)[C@H]2CCCc3ccccc32)n1 ZINC000514530065 265174069 /nfs/dbraw/zinc/17/40/69/265174069.db2.gz OVBMDAFTBFOCNV-HNNXBMFYSA-N 1 2 285.391 3.702 20 0 CHADLO CC(C)c1noc(C[N@@H+](C)[C@H]2CCCc3ccccc32)n1 ZINC000514530065 265174072 /nfs/dbraw/zinc/17/40/72/265174072.db2.gz OVBMDAFTBFOCNV-HNNXBMFYSA-N 1 2 285.391 3.702 20 0 CHADLO CCc1cc(N[C@@H]2CCC[C@@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000518843367 265180129 /nfs/dbraw/zinc/18/01/29/265180129.db2.gz FZHGNNHWCAHWEW-CZUORRHYSA-N 1 2 296.418 3.514 20 0 CHADLO NC(=O)C[N@H+]1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC000335803804 265210705 /nfs/dbraw/zinc/21/07/05/265210705.db2.gz XGMPMOIRKYGIRT-UHFFFAOYSA-N 1 2 285.174 3.618 20 0 CHADLO NC(=O)C[N@@H+]1CC=C(c2ccc(Cl)c(Cl)c2)CC1 ZINC000335803804 265210706 /nfs/dbraw/zinc/21/07/06/265210706.db2.gz XGMPMOIRKYGIRT-UHFFFAOYSA-N 1 2 285.174 3.618 20 0 CHADLO CC(C)Cc1noc([C@@H](c2ccc(Cl)cc2)[NH+](C)C)n1 ZINC000518999882 265293296 /nfs/dbraw/zinc/29/32/96/265293296.db2.gz NCOLINKGBWUTCZ-CQSZACIVSA-N 1 2 293.798 3.573 20 0 CHADLO Clc1cnc(C[N@@H+]2CCS[C@H]3CCCC[C@H]32)s1 ZINC000352010854 265932242 /nfs/dbraw/zinc/93/22/42/265932242.db2.gz KUWSKTPQCMFYPN-ZJUUUORDSA-N 1 2 288.869 3.657 20 0 CHADLO Clc1cnc(C[N@H+]2CCS[C@H]3CCCC[C@H]32)s1 ZINC000352010854 265932246 /nfs/dbraw/zinc/93/22/46/265932246.db2.gz KUWSKTPQCMFYPN-ZJUUUORDSA-N 1 2 288.869 3.657 20 0 CHADLO CCCn1cc(C[N@@H+]2CCc3sc(Cl)cc3C2)cn1 ZINC000514605317 266016958 /nfs/dbraw/zinc/01/69/58/266016958.db2.gz MRBYVUIMPGWXLO-UHFFFAOYSA-N 1 2 295.839 3.566 20 0 CHADLO CCCn1cc(C[N@H+]2CCc3sc(Cl)cc3C2)cn1 ZINC000514605317 266016959 /nfs/dbraw/zinc/01/69/59/266016959.db2.gz MRBYVUIMPGWXLO-UHFFFAOYSA-N 1 2 295.839 3.566 20 0 CHADLO CC[C@@]1(C)CCCN(C(=O)c2ccc3[nH+]c(C)n(C)c3c2)C1 ZINC000356246852 266079487 /nfs/dbraw/zinc/07/94/87/266079487.db2.gz MHZJWAOIZXJANC-SFHVURJKSA-N 1 2 299.418 3.534 20 0 CHADLO CC[C@@H]1CCCC[C@H]1C(=O)Nc1ccc(C)[nH+]c1C ZINC000356374042 266104135 /nfs/dbraw/zinc/10/41/35/266104135.db2.gz GLOOCQGFGXZYPQ-ZIAGYGMSSA-N 1 2 260.381 3.853 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000356407484 266108776 /nfs/dbraw/zinc/10/87/76/266108776.db2.gz GYJNZPDZAWGLGQ-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000356407484 266108779 /nfs/dbraw/zinc/10/87/79/266108779.db2.gz GYJNZPDZAWGLGQ-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000356407484 266108784 /nfs/dbraw/zinc/10/87/84/266108784.db2.gz GYJNZPDZAWGLGQ-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000356407484 266108787 /nfs/dbraw/zinc/10/87/87/266108787.db2.gz GYJNZPDZAWGLGQ-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Fc1cc(Cl)cc(C[NH+]2CC3(CCC3(F)F)C2)c1 ZINC000356518071 266129771 /nfs/dbraw/zinc/12/97/71/266129771.db2.gz GOMZCMMQCGTWHW-UHFFFAOYSA-N 1 2 275.701 3.710 20 0 CHADLO Fc1ccc(C[NH+]2CC3(CCC3(F)F)C2)c(Cl)c1 ZINC000356534268 266132016 /nfs/dbraw/zinc/13/20/16/266132016.db2.gz WJXSNCGBHBLZFH-UHFFFAOYSA-N 1 2 275.701 3.710 20 0 CHADLO Cc1cc(N2CC[C@@H](C)C3(CCC3)C2)nc(C2CC2)[nH+]1 ZINC000356801828 266177126 /nfs/dbraw/zinc/17/71/26/266177126.db2.gz XQFSAHJZEPIJFT-GFCCVEGCSA-N 1 2 271.408 3.679 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCC(=O)N(C(C)C)C1CCC1 ZINC000356825012 266180735 /nfs/dbraw/zinc/18/07/35/266180735.db2.gz VNFCLYCHPFNZMV-UHFFFAOYSA-N 1 2 299.418 3.524 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccccc2N2CCCC2)o1 ZINC000356956276 266217912 /nfs/dbraw/zinc/21/79/12/266217912.db2.gz KPCYMKZXAJIOBC-HNNXBMFYSA-N 1 2 299.418 3.776 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccccc2N2CCCC2)o1 ZINC000356956276 266217915 /nfs/dbraw/zinc/21/79/15/266217915.db2.gz KPCYMKZXAJIOBC-HNNXBMFYSA-N 1 2 299.418 3.776 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccc(OC(F)F)cc2)o1 ZINC000356977122 266223322 /nfs/dbraw/zinc/22/33/22/266223322.db2.gz LNKBMIQPSYSRRS-NSHDSACASA-N 1 2 296.317 3.777 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccc(OC(F)F)cc2)o1 ZINC000356977122 266223325 /nfs/dbraw/zinc/22/33/25/266223325.db2.gz LNKBMIQPSYSRRS-NSHDSACASA-N 1 2 296.317 3.777 20 0 CHADLO C[N@@H+](Cc1cc(-c2ccccc2)on1)Cc1ccco1 ZINC000357005728 266230454 /nfs/dbraw/zinc/23/04/54/266230454.db2.gz BXBQWTZCMDRZKJ-UHFFFAOYSA-N 1 2 268.316 3.567 20 0 CHADLO C[N@H+](Cc1cc(-c2ccccc2)on1)Cc1ccco1 ZINC000357005728 266230458 /nfs/dbraw/zinc/23/04/58/266230458.db2.gz BXBQWTZCMDRZKJ-UHFFFAOYSA-N 1 2 268.316 3.567 20 0 CHADLO COCc1cc(N2CCCCCC2)c2cc(F)ccc2[nH+]1 ZINC000357088013 266245579 /nfs/dbraw/zinc/24/55/79/266245579.db2.gz NSXFGICSLWOPJT-UHFFFAOYSA-N 1 2 288.366 3.901 20 0 CHADLO C[C@H](CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1)C1CCCCC1 ZINC000357130204 266252877 /nfs/dbraw/zinc/25/28/77/266252877.db2.gz PWFJOIKXKOSKSH-HIFRSBDPSA-N 1 2 289.423 3.680 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CC34CCCCC4)cc2[nH+]1 ZINC000357475717 266304672 /nfs/dbraw/zinc/30/46/72/266304672.db2.gz AVWSVRDTRGUACP-CYBMUJFWSA-N 1 2 283.375 3.780 20 0 CHADLO Cc1cc(NCc2ccc(C3CC3)cc2)nc(C2CC2)[nH+]1 ZINC000357772141 266358184 /nfs/dbraw/zinc/35/81/84/266358184.db2.gz YQKCAEQXPQKWGO-UHFFFAOYSA-N 1 2 279.387 3.574 20 0 CHADLO COc1cccc(CNc2[nH+]ccc(C)c2Cl)c1 ZINC000358845393 266499586 /nfs/dbraw/zinc/49/95/86/266499586.db2.gz NUWCNQLACPGNKA-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO Cc1ccc(C[N@@H+]2[C@H](C)COC[C@H]2c2ccccc2)c(C)n1 ZINC000360115359 266684560 /nfs/dbraw/zinc/68/45/60/266684560.db2.gz MEYOVZKAWWLDCE-BEFAXECRSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1ccc(C[N@H+]2[C@H](C)COC[C@H]2c2ccccc2)c(C)n1 ZINC000360115359 266684561 /nfs/dbraw/zinc/68/45/61/266684561.db2.gz MEYOVZKAWWLDCE-BEFAXECRSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cc(N2C[C@H](C)CCC[C@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000361112625 266786743 /nfs/dbraw/zinc/78/67/43/266786743.db2.gz VDEFNCONYRHWHH-UKRRQHHQSA-N 1 2 296.418 3.862 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cc(F)ccc1Cl ZINC000361435975 266822302 /nfs/dbraw/zinc/82/23/02/266822302.db2.gz YGALDCSOAHBPAF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cc(F)ccc1Cl ZINC000361435975 266822305 /nfs/dbraw/zinc/82/23/05/266822305.db2.gz YGALDCSOAHBPAF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCC1(CC)CC[NH+](Cc2ncn(-c3ccccc3)n2)CC1 ZINC000362609374 267020083 /nfs/dbraw/zinc/02/00/83/267020083.db2.gz RDJBIPVAURRXDB-UHFFFAOYSA-N 1 2 298.434 3.670 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC000362902155 267058549 /nfs/dbraw/zinc/05/85/49/267058549.db2.gz VVRQNJYRWPVVRK-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2ccc(F)cc2)nn1C ZINC000362954422 267066192 /nfs/dbraw/zinc/06/61/92/267066192.db2.gz KRMLHQROGUCOHO-QGZVFWFLSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2ccc(F)cc2)nn1C ZINC000362954422 267066196 /nfs/dbraw/zinc/06/61/96/267066196.db2.gz KRMLHQROGUCOHO-QGZVFWFLSA-N 1 2 287.382 3.595 20 0 CHADLO CCC[C@H](C)[C@H]1CCCN1c1[nH+]c(C)nc2[nH]ccc21 ZINC000362999752 267071467 /nfs/dbraw/zinc/07/14/67/267071467.db2.gz APYUYPXUQOAGKY-SMDDNHRTSA-N 1 2 272.396 3.671 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C1CC(C)(C)C1 ZINC000367643473 267106396 /nfs/dbraw/zinc/10/63/96/267106396.db2.gz NEHNTYHSQQHVFR-UHFFFAOYSA-N 1 2 283.375 3.760 20 0 CHADLO Cc1cc(NC(=O)C[C@@H](C)c2cccc(F)c2)cc[nH+]1 ZINC000116199406 267127424 /nfs/dbraw/zinc/12/74/24/267127424.db2.gz DOHRSBHGPJWBCS-LLVKDONJSA-N 1 2 272.323 3.661 20 0 CHADLO CC(C)c1nc(N2CC(C)(C)[C@H]2c2cccnc2)cc[nH+]1 ZINC000368064227 267143864 /nfs/dbraw/zinc/14/38/64/267143864.db2.gz NAWSHFZBJVBPJY-OAHLLOKOSA-N 1 2 282.391 3.583 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2cc(F)cc(F)c21)c1ccsc1 ZINC000368324075 267162202 /nfs/dbraw/zinc/16/22/02/267162202.db2.gz MKPKANOQYSFBAD-QPUJVOFHSA-N 1 2 281.327 3.811 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCC[C@@H](C3CC3)C2)n1 ZINC000368567666 267187390 /nfs/dbraw/zinc/18/73/90/267187390.db2.gz OAPWLURIUFMIOH-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCC[C@@H](C3CC3)C2)n1 ZINC000368567666 267187392 /nfs/dbraw/zinc/18/73/92/267187392.db2.gz OAPWLURIUFMIOH-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO Cc1oncc1C[NH2+][C@@H](c1ccc(C)cc1)c1ccccn1 ZINC000369312017 267235708 /nfs/dbraw/zinc/23/57/08/267235708.db2.gz KBCFUNXQYAVUAI-SFHVURJKSA-N 1 2 293.370 3.566 20 0 CHADLO CCc1noc(C)c1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000119041898 267294396 /nfs/dbraw/zinc/29/43/96/267294396.db2.gz CAWGRXDRCBIQRB-UHFFFAOYSA-N 1 2 296.773 3.970 20 0 CHADLO CCc1noc(C)c1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000119041898 267294397 /nfs/dbraw/zinc/29/43/97/267294397.db2.gz CAWGRXDRCBIQRB-UHFFFAOYSA-N 1 2 296.773 3.970 20 0 CHADLO Cc1ccc(NC(=O)C(C)(C)c2cccs2)c(C)[nH+]1 ZINC000119271650 267314843 /nfs/dbraw/zinc/31/48/43/267314843.db2.gz JBNLKFARDXUDCI-UHFFFAOYSA-N 1 2 274.389 3.676 20 0 CHADLO Cc1ccc(N[C@H](C)c2[nH]cc[nH+]2)cc1-c1ncco1 ZINC000370589141 267329735 /nfs/dbraw/zinc/32/97/35/267329735.db2.gz OGBBUHYTSSZMBA-LLVKDONJSA-N 1 2 268.320 3.546 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(F)c(C2CC2)c1 ZINC000418129333 267358418 /nfs/dbraw/zinc/35/84/18/267358418.db2.gz BOILJGPOYNWLCM-UHFFFAOYSA-N 1 2 259.328 3.532 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2ccccc2C)[nH+]1 ZINC000371247324 267377195 /nfs/dbraw/zinc/37/71/95/267377195.db2.gz MDVUXQZFUURJPW-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO CCCCCC(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000371247404 267377332 /nfs/dbraw/zinc/37/73/32/267377332.db2.gz MUDPWYZHZXBPAS-UHFFFAOYSA-N 1 2 271.364 3.904 20 0 CHADLO CC(C)(C)C[C@H]([NH2+][C@@H]1CCC12CCOCC2)C(F)(F)F ZINC000376635997 268004543 /nfs/dbraw/zinc/00/45/43/268004543.db2.gz CLKYUEMLCIFKIT-NEPJUHHUSA-N 1 2 293.373 3.902 20 0 CHADLO CC[C@@H](CC(F)(F)F)C(=O)Nc1c(C)cc[nH+]c1C ZINC000425595494 268016536 /nfs/dbraw/zinc/01/65/36/268016536.db2.gz IADPCVMOEJMSNN-JTQLQIEISA-N 1 2 274.286 3.616 20 0 CHADLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)CC1CCCC1 ZINC000377223553 268057540 /nfs/dbraw/zinc/05/75/40/268057540.db2.gz QJQNITJFWDOZHQ-HNNXBMFYSA-N 1 2 289.423 3.537 20 0 CHADLO Cc1cc(N[C@H]2CCOc3ccc(F)cc32)nc(C2CC2)[nH+]1 ZINC000172015431 335088502 /nfs/dbraw/zinc/08/85/02/335088502.db2.gz LEQFJEVYIRKTAZ-AWEZNQCLSA-N 1 2 299.349 3.737 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000580077631 327693489 /nfs/dbraw/zinc/69/34/89/327693489.db2.gz ILXLGKHZLIZTAT-RRFJBIMHSA-N 1 2 289.423 3.778 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H](Cc1ccccc1)C(C)C ZINC000531547145 328014845 /nfs/dbraw/zinc/01/48/45/328014845.db2.gz HOACAFWAJYOFJD-QGZVFWFLSA-N 1 2 296.414 3.519 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(F)cc2)nc2ccccc12 ZINC000531706952 328027191 /nfs/dbraw/zinc/02/71/91/328027191.db2.gz PPHWLGXEBLLECE-GFCCVEGCSA-N 1 2 295.361 3.928 20 0 CHADLO Cc1cccc([C@@H]2CCCN2c2[nH+]c3ccccc3n2C)n1 ZINC000534244691 328041611 /nfs/dbraw/zinc/04/16/11/328041611.db2.gz MQEVWYDMHCIJCG-KRWDZBQOSA-N 1 2 292.386 3.618 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@H](C)c1cscn1 ZINC000534330013 328046365 /nfs/dbraw/zinc/04/63/65/328046365.db2.gz HZNCUJOTEPQLIB-ZJUUUORDSA-N 1 2 280.368 3.703 20 0 CHADLO CCn1c(C[N@@H+]2CCc3sccc3C2)nc2ccccc21 ZINC000534334472 328048627 /nfs/dbraw/zinc/04/86/27/328048627.db2.gz CRMMJHHWUBTFSP-UHFFFAOYSA-N 1 2 297.427 3.676 20 0 CHADLO CCn1c(C[N@H+]2CCc3sccc3C2)nc2ccccc21 ZINC000534334472 328048628 /nfs/dbraw/zinc/04/86/28/328048628.db2.gz CRMMJHHWUBTFSP-UHFFFAOYSA-N 1 2 297.427 3.676 20 0 CHADLO Cc1c[nH+]c(C)nc1N[C@H]1C[C@H](c2ccc(F)cc2)C1 ZINC000563424735 328065918 /nfs/dbraw/zinc/06/59/18/328065918.db2.gz MFUGXONPTPJRKW-CTYIDZIISA-N 1 2 271.339 3.591 20 0 CHADLO COc1ccnc2c(N[C@H]3C[C@@H](C)n4cc[nH+]c43)cccc12 ZINC000563510516 328070751 /nfs/dbraw/zinc/07/07/51/328070751.db2.gz JHLCOGUMJHCMFL-RISCZKNCSA-N 1 2 294.358 3.558 20 0 CHADLO Cc1[nH]c(CNc2cccc(C(F)(F)F)c2)[nH+]c1C ZINC000563638147 328080042 /nfs/dbraw/zinc/08/00/42/328080042.db2.gz SETPTZYRWQEIGE-UHFFFAOYSA-N 1 2 269.270 3.657 20 0 CHADLO Cc1cc[nH+]c(NCC(C)(C)OCC2CC2)c1Cl ZINC000413521973 328148185 /nfs/dbraw/zinc/14/81/85/328148185.db2.gz ZVSBHYHWWISHAC-UHFFFAOYSA-N 1 2 268.788 3.661 20 0 CHADLO Cc1cc2nc(N[C@@H]3C[C@@H](C)n4cc[nH+]c43)oc2c(C)c1 ZINC000571630580 328150440 /nfs/dbraw/zinc/15/04/40/328150440.db2.gz AVQIYMCLWWQKQS-DGCLKSJQSA-N 1 2 282.347 3.759 20 0 CHADLO COCC1CCC(Nc2[nH+]ccc3ccc(F)cc32)CC1 ZINC000413527811 328148620 /nfs/dbraw/zinc/14/86/20/328148620.db2.gz BVOJHLQRJMMAAA-UHFFFAOYSA-N 1 2 288.366 3.991 20 0 CHADLO CC[C@@H](NC(=O)NCc1c[nH+]c(C)cc1C)c1ccccc1 ZINC000413607875 328150221 /nfs/dbraw/zinc/15/02/21/328150221.db2.gz RVRGVDQHNVHKSJ-QGZVFWFLSA-N 1 2 297.402 3.649 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OC1CCCC1)c1ccn(C)n1 ZINC000414064485 328152732 /nfs/dbraw/zinc/15/27/32/328152732.db2.gz FVLLDDSYEMLFNV-CQSZACIVSA-N 1 2 299.418 3.592 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2ccoc2C)on1 ZINC000414362185 328155314 /nfs/dbraw/zinc/15/53/14/328155314.db2.gz UXPHQMUUMYCDJE-UHFFFAOYSA-N 1 2 262.353 3.769 20 0 CHADLO CC(C)[C@@H](NC(=O)CC1CCCCCC1)c1[nH]cc[nH+]1 ZINC000276470295 328218543 /nfs/dbraw/zinc/21/85/43/328218543.db2.gz CRAZPAHVIJYXKK-OAHLLOKOSA-N 1 2 277.412 3.584 20 0 CHADLO C[N@H+](Cc1ccccn1)[C@@]1(c2ccccc2)CCCCC1=O ZINC000276471401 328218577 /nfs/dbraw/zinc/21/85/77/328218577.db2.gz QLDQLQURWABGPB-LJQANCHMSA-N 1 2 294.398 3.552 20 0 CHADLO C[N@@H+](Cc1ccccn1)[C@@]1(c2ccccc2)CCCCC1=O ZINC000276471401 328218579 /nfs/dbraw/zinc/21/85/79/328218579.db2.gz QLDQLQURWABGPB-LJQANCHMSA-N 1 2 294.398 3.552 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(C(C)(C)C)s1)c1ccccn1 ZINC000279149112 328232590 /nfs/dbraw/zinc/23/25/90/328232590.db2.gz OUFVUUVXVTXNJV-LLVKDONJSA-N 1 2 275.421 3.686 20 0 CHADLO CCc1cnc(CNc2c(C)c[nH+]c3c(OC)cccc23)o1 ZINC000281605584 328240247 /nfs/dbraw/zinc/24/02/47/328240247.db2.gz YRSSQMBDANHBEN-UHFFFAOYSA-N 1 2 297.358 3.714 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1c(F)cccc1F ZINC000225317229 329250906 /nfs/dbraw/zinc/25/09/06/329250906.db2.gz MTZDWWPIXZMHGP-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ncc(Cl)s2)c(C)o1 ZINC000225332477 329250996 /nfs/dbraw/zinc/25/09/96/329250996.db2.gz RSXWFODEJJGHKG-MRVPVSSYSA-N 1 2 270.785 3.857 20 0 CHADLO C[N@H+](Cc1csc(Cl)n1)[C@@H]1CCc2ccccc21 ZINC000290106581 328258168 /nfs/dbraw/zinc/25/81/68/328258168.db2.gz SLHJLBCRMQDTHX-CYBMUJFWSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1csc(Cl)n1)[C@@H]1CCc2ccccc21 ZINC000290106581 328258169 /nfs/dbraw/zinc/25/81/69/328258169.db2.gz SLHJLBCRMQDTHX-CYBMUJFWSA-N 1 2 278.808 3.916 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CCCc2ccccc2)C2CCCCC2)n1 ZINC000293449473 328276156 /nfs/dbraw/zinc/27/61/56/328276156.db2.gz PHQSMJVQVPAGDY-KRWDZBQOSA-N 1 2 298.434 3.649 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000534475588 328303252 /nfs/dbraw/zinc/30/32/52/328303252.db2.gz UVZAWNOSUFWBQD-HRCADAONSA-N 1 2 286.419 3.611 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccccc2F)nc2ccccc12 ZINC000531962172 328338355 /nfs/dbraw/zinc/33/83/55/328338355.db2.gz RKWYOOMNVPKGKH-LBPRGKRZSA-N 1 2 295.361 3.928 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@@H](C)CCC[C@H]2C)c(C)[nH+]1 ZINC000152280578 328364936 /nfs/dbraw/zinc/36/49/36/328364936.db2.gz AKXIAGHIPMMNHP-WCQYABFASA-N 1 2 275.396 3.741 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@@H]2C[C@@H]2C)o1)c1cscn1 ZINC000535065299 328382420 /nfs/dbraw/zinc/38/24/20/328382420.db2.gz INKTZEIQDKZAON-JBLDHEPKSA-N 1 2 262.378 3.710 20 0 CHADLO CCn1c(C[N@H+](C)[C@@H](C)c2ccco2)nc2ccccc21 ZINC000535072407 328384066 /nfs/dbraw/zinc/38/40/66/328384066.db2.gz YQLIJIWWZPLXOS-ZDUSSCGKSA-N 1 2 283.375 3.842 20 0 CHADLO CCn1c(C[N@@H+](C)[C@@H](C)c2ccco2)nc2ccccc21 ZINC000535072407 328384067 /nfs/dbraw/zinc/38/40/67/328384067.db2.gz YQLIJIWWZPLXOS-ZDUSSCGKSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1ccc(F)c(CN(C)Cc2c[nH+]cn2C(C)C)c1 ZINC000425338051 328467959 /nfs/dbraw/zinc/46/79/59/328467959.db2.gz OKQUPMOCAYRHSC-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO CC1(C)Cc2cccc(NCc3cccc4[nH+]ccn43)c2O1 ZINC000530492599 326818348 /nfs/dbraw/zinc/81/83/48/326818348.db2.gz YHQPLOQDBWAKRU-UHFFFAOYSA-N 1 2 293.370 3.660 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ncc(C(C)(C)C)o2)c1 ZINC000533786307 326931660 /nfs/dbraw/zinc/93/16/60/326931660.db2.gz LKHWOUGODPODQY-UHFFFAOYSA-N 1 2 292.404 3.976 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3ncccc3Cl)CC2)c1 ZINC000528440414 326993899 /nfs/dbraw/zinc/99/38/99/326993899.db2.gz ZUPLJDZHSMIKHX-UHFFFAOYSA-N 1 2 276.742 3.653 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@@H]2CCCC[C@H]2C)n1 ZINC000557359389 327002715 /nfs/dbraw/zinc/00/27/15/327002715.db2.gz LXVVVXMQIZSWBD-OCCSQVGLSA-N 1 2 285.391 3.733 20 0 CHADLO COc1ccc[nH+]c1NCc1cscc1C(F)(F)F ZINC000557550355 327013007 /nfs/dbraw/zinc/01/30/07/327013007.db2.gz BYWASPYSOHXRLP-UHFFFAOYSA-N 1 2 288.294 3.783 20 0 CHADLO CC(C)c1cc(N2CCC[C@H](F)C2)nc(C(C)C)[nH+]1 ZINC000557672404 327020241 /nfs/dbraw/zinc/02/02/41/327020241.db2.gz AQYBNMLFZPKPPJ-LBPRGKRZSA-N 1 2 265.376 3.662 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(Oc2ccccc2)cc1 ZINC000090153425 327031292 /nfs/dbraw/zinc/03/12/92/327031292.db2.gz KELXIABQEUTWDV-UHFFFAOYSA-N 1 2 279.343 3.825 20 0 CHADLO Cn1c[nH+]cc1CNc1cccc(Oc2ccccc2)c1 ZINC000090167132 327031698 /nfs/dbraw/zinc/03/16/98/327031698.db2.gz WPAYDGCJPLSSHJ-UHFFFAOYSA-N 1 2 279.343 3.825 20 0 CHADLO Cc1c2cccc(Cl)c2sc1C[NH+]1CCOCC1 ZINC000506805054 332780299 /nfs/dbraw/zinc/78/02/99/332780299.db2.gz JLKNEHCSOPFFQN-UHFFFAOYSA-N 1 2 281.808 3.695 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)c1ccc(C(C)(C)C)cc1)C1CC1 ZINC000558124169 327074306 /nfs/dbraw/zinc/07/43/06/327074306.db2.gz GLHGHDBNMGAGGT-LRDDRELGSA-N 1 2 289.419 3.586 20 0 CHADLO Fc1ccccc1CCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000090802812 327100464 /nfs/dbraw/zinc/10/04/64/327100464.db2.gz XWONNKMBBFZGTI-UHFFFAOYSA-N 1 2 284.256 3.894 20 0 CHADLO Fc1ccc(CCNc2cc(C(F)(F)F)cc[nH+]2)cc1 ZINC000090802801 327100622 /nfs/dbraw/zinc/10/06/22/327100622.db2.gz MZFBCQUIGCDFOZ-UHFFFAOYSA-N 1 2 284.256 3.894 20 0 CHADLO CC(C)[C@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1 ZINC000506894899 332781888 /nfs/dbraw/zinc/78/18/88/332781888.db2.gz XCBSQUVMMILMKF-KRWDZBQOSA-N 1 2 293.370 3.713 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cc3cc(C)ccc3o2)no1 ZINC000558603559 327108467 /nfs/dbraw/zinc/10/84/67/327108467.db2.gz BMOATLLRROFYJF-GFCCVEGCSA-N 1 2 270.332 3.888 20 0 CHADLO c1c2ccccc2sc1CCNc1cccc[nH+]1 ZINC000558974087 327135996 /nfs/dbraw/zinc/13/59/96/327135996.db2.gz MPDMDWVTRYUPNV-UHFFFAOYSA-N 1 2 254.358 3.951 20 0 CHADLO CCc1nc(Nc2ccc([C@H]3CCOC3)cc2)cc(C)[nH+]1 ZINC000558981555 327136362 /nfs/dbraw/zinc/13/63/62/327136362.db2.gz CYZVSEYWYXKFCU-AWEZNQCLSA-N 1 2 283.375 3.595 20 0 CHADLO Cc1cc(F)cc2c1CN(c1[nH+]c3ccccc3n1C)CC2 ZINC000409660810 327163281 /nfs/dbraw/zinc/16/32/81/327163281.db2.gz UQBCDNCPIKYIMY-UHFFFAOYSA-N 1 2 295.361 3.584 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(-c2ccncc2)c1)C(F)F ZINC000449364020 327172583 /nfs/dbraw/zinc/17/25/83/327172583.db2.gz QWWSJJHIGOCFJZ-HNNXBMFYSA-N 1 2 276.330 3.882 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(OC(F)(F)F)c1)C(F)F ZINC000449364163 327172795 /nfs/dbraw/zinc/17/27/95/327172795.db2.gz RGAXORYKQBUJRG-SNVBAGLBSA-N 1 2 283.240 3.719 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)ccc1Cl ZINC000569484471 327184822 /nfs/dbraw/zinc/18/48/22/327184822.db2.gz HWCUIHANJWLKSF-DLBZAZTESA-N 1 2 291.822 3.792 20 0 CHADLO Cc1cc(C[N@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)ccc1Cl ZINC000569484471 327184823 /nfs/dbraw/zinc/18/48/23/327184823.db2.gz HWCUIHANJWLKSF-DLBZAZTESA-N 1 2 291.822 3.792 20 0 CHADLO C/C=C/c1ccc(NCc2cccc3[nH+]ccn32)cc1 ZINC000569526234 327193265 /nfs/dbraw/zinc/19/32/65/327193265.db2.gz XESRRYXNGWTSTC-DUXPYHPUSA-N 1 2 263.344 3.980 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1csc(-c2ccccc2)n1 ZINC000559399606 327243737 /nfs/dbraw/zinc/24/37/37/327243737.db2.gz JUVOVPJXGOVXCV-CQSZACIVSA-N 1 2 276.380 3.744 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1csc(-c2ccccc2)n1 ZINC000559399606 327243738 /nfs/dbraw/zinc/24/37/38/327243738.db2.gz JUVOVPJXGOVXCV-CQSZACIVSA-N 1 2 276.380 3.744 20 0 CHADLO CCc1ccc([C@H]2COCC[N@@H+]2C[C@@H]2CCC(F)(F)C2)o1 ZINC000559791617 327261564 /nfs/dbraw/zinc/26/15/64/327261564.db2.gz VZVMRYOWBYGLTR-TZMCWYRMSA-N 1 2 299.361 3.651 20 0 CHADLO CCc1ccc([C@H]2COCC[N@H+]2C[C@@H]2CCC(F)(F)C2)o1 ZINC000559791617 327261565 /nfs/dbraw/zinc/26/15/65/327261565.db2.gz VZVMRYOWBYGLTR-TZMCWYRMSA-N 1 2 299.361 3.651 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC[C@@]2(CCOC2)C1 ZINC000560249663 327293526 /nfs/dbraw/zinc/29/35/26/327293526.db2.gz QDORFJJTQCRIBO-GOSISDBHSA-N 1 2 282.387 3.550 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1ccsc1Cl ZINC000387530076 327300123 /nfs/dbraw/zinc/30/01/23/327300123.db2.gz MDPSVDBJVFBFPK-UHFFFAOYSA-N 1 2 277.780 3.970 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C(C)(C)CC(F)F)ccc2n1C ZINC000560746952 327341032 /nfs/dbraw/zinc/34/10/32/327341032.db2.gz DVPLWYBVESMRBN-UHFFFAOYSA-N 1 2 295.333 3.502 20 0 CHADLO O[C@@H]1CCC[C@@H](CNc2cc[nH+]c3c(Cl)cccc23)C1 ZINC000561211245 327375971 /nfs/dbraw/zinc/37/59/71/327375971.db2.gz YILULSXONAYLJT-VXGBXAGGSA-N 1 2 290.794 3.851 20 0 CHADLO CCc1nc(N2CCc3cccc(F)c3[C@H]2C)cc(C)[nH+]1 ZINC000561266294 327381569 /nfs/dbraw/zinc/38/15/69/327381569.db2.gz NOSGPKXEGMLECU-GFCCVEGCSA-N 1 2 285.366 3.610 20 0 CHADLO CC1=C[C@H](C)CN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000561319951 327385824 /nfs/dbraw/zinc/38/58/24/327385824.db2.gz LDIOSFDEKLULGX-VIFPVBQESA-N 1 2 256.271 3.503 20 0 CHADLO CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1Nc1cccc[nH+]1 ZINC000561408169 327393072 /nfs/dbraw/zinc/39/30/72/327393072.db2.gz IHEQZELCCBOMEW-BRWVUGGUSA-N 1 2 282.387 3.845 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(OC(F)F)c1)c1csnn1 ZINC000398327717 327394536 /nfs/dbraw/zinc/39/45/36/327394536.db2.gz ZKOSKWSOJYFQHY-DTWKUNHWSA-N 1 2 299.346 3.551 20 0 CHADLO Cc1[nH]c(CN[C@H](C)c2c(F)cccc2Cl)[nH+]c1C ZINC000583452128 327463209 /nfs/dbraw/zinc/46/32/09/327463209.db2.gz SZNJEQNZKSGQGZ-SNVBAGLBSA-N 1 2 281.762 3.670 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H]2CCC(C)(C)C2)n1 ZINC000562791456 327515169 /nfs/dbraw/zinc/51/51/69/327515169.db2.gz QVNUGJVHFGZTRR-ZDUSSCGKSA-N 1 2 285.391 3.852 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2cc(Cl)ccc21)c1csnn1 ZINC000336281112 327551176 /nfs/dbraw/zinc/55/11/76/327551176.db2.gz XMFMUJQXYXNWAR-UFBFGSQYSA-N 1 2 279.796 3.530 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H]2CCCC[C@H]2C)n1 ZINC000570079639 327567254 /nfs/dbraw/zinc/56/72/54/327567254.db2.gz XVJRPCBFKLSEKS-TZMCWYRMSA-N 1 2 285.391 3.852 20 0 CHADLO Cc1c[nH+]c(CCSCCC(F)(F)F)c(C)c1 ZINC000572641199 327579609 /nfs/dbraw/zinc/57/96/09/327579609.db2.gz WXGVEQDXZPPFKM-UHFFFAOYSA-N 1 2 263.328 3.927 20 0 CHADLO Cc1c2cccc(C)c2oc1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535748160 328625613 /nfs/dbraw/zinc/62/56/13/328625613.db2.gz DJAIWSLMTVNOQF-UHFFFAOYSA-N 1 2 294.354 3.736 20 0 CHADLO CC1(C)CC(C)(C)c2cc(NCc3[nH]cc[nH+]3)ccc21 ZINC000536162986 328659370 /nfs/dbraw/zinc/65/93/70/328659370.db2.gz MAADVVHRMWMETE-UHFFFAOYSA-N 1 2 269.392 3.981 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)c1cccc(Cl)c1 ZINC000103966716 328774300 /nfs/dbraw/zinc/77/43/00/328774300.db2.gz VANYWPKAUZAMDA-UHFFFAOYSA-N 1 2 297.745 3.982 20 0 CHADLO Cc1cc(NCCOC(C)(C)C)c2cccc(F)c2[nH+]1 ZINC000532463539 328780567 /nfs/dbraw/zinc/78/05/67/328780567.db2.gz MJYUWRZFFQYPTA-UHFFFAOYSA-N 1 2 276.355 3.909 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2cccc(Cl)c2Cl)nn1 ZINC000396525254 328823435 /nfs/dbraw/zinc/82/34/35/328823435.db2.gz DXNOUYUUJCPRGL-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO c1sc2ccccc2c1CCNc1cccc[nH+]1 ZINC000301119818 328826470 /nfs/dbraw/zinc/82/64/70/328826470.db2.gz ZJYRSKFCRKFQAH-UHFFFAOYSA-N 1 2 254.358 3.951 20 0 CHADLO C[C@@H](CNc1nc(N)c2ccccc2[nH+]1)Cc1cccs1 ZINC000301912424 328830064 /nfs/dbraw/zinc/83/00/64/328830064.db2.gz TWTBLVBNPXGDIB-LLVKDONJSA-N 1 2 298.415 3.564 20 0 CHADLO Cc1ccc([C@@H]2CCN(c3nc(C)[nH+]c(C)c3C)C2)cc1 ZINC000302171162 328831503 /nfs/dbraw/zinc/83/15/03/328831503.db2.gz PXNNYMVTKIPLAT-QGZVFWFLSA-N 1 2 281.403 3.704 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCSc2ccccc21)C(C)(F)F ZINC000389473565 328848627 /nfs/dbraw/zinc/84/86/27/328848627.db2.gz VPMPJCKBLXWMDV-KOLCDFICSA-N 1 2 257.349 3.857 20 0 CHADLO CC(C)c1nc(N2CCC[C@@]3(CC=CCC3)C2)cc[nH+]1 ZINC000358228207 328881215 /nfs/dbraw/zinc/88/12/15/328881215.db2.gz VKXFWZTUZXEZBD-KRWDZBQOSA-N 1 2 271.408 3.927 20 0 CHADLO C[C@@H]1C[C@@H](C(C)(C)C)CCN1C(=O)c1ccc2[nH+]ccn2c1 ZINC000360706409 328910329 /nfs/dbraw/zinc/91/03/29/328910329.db2.gz XWPXHSUEFMBBQP-HIFRSBDPSA-N 1 2 299.418 3.621 20 0 CHADLO Cc1cc(OCc2ccc(F)cn2)c2ccccc2[nH+]1 ZINC000362749426 328929755 /nfs/dbraw/zinc/92/97/55/328929755.db2.gz YHIAGGMTQJYNNA-UHFFFAOYSA-N 1 2 268.291 3.656 20 0 CHADLO Cc1cc(NC(=O)C(C)(C)Cc2ccccc2)cc[nH+]1 ZINC000117800961 328943590 /nfs/dbraw/zinc/94/35/90/328943590.db2.gz ONWKJWODZQCYCB-UHFFFAOYSA-N 1 2 268.360 3.597 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCCc3occc3C2)cs1 ZINC000377488320 329016475 /nfs/dbraw/zinc/01/64/75/329016475.db2.gz GXONKYPHIILUMF-UHFFFAOYSA-N 1 2 276.405 3.808 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCCc3occc3C2)cs1 ZINC000377488320 329016477 /nfs/dbraw/zinc/01/64/77/329016477.db2.gz GXONKYPHIILUMF-UHFFFAOYSA-N 1 2 276.405 3.808 20 0 CHADLO Fc1ccc(/C=C/C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)cc1F ZINC000377629971 329021860 /nfs/dbraw/zinc/02/18/60/329021860.db2.gz UGHDLOVWSCYTJH-BQTXGFLSSA-N 1 2 289.329 3.538 20 0 CHADLO Fc1ccc(/C=C/C[N@H+]2CCC[C@@H]2c2ncc[nH]2)cc1F ZINC000377629971 329021861 /nfs/dbraw/zinc/02/18/61/329021861.db2.gz UGHDLOVWSCYTJH-BQTXGFLSSA-N 1 2 289.329 3.538 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)nc2)[C@H]1c1cccs1 ZINC000377921084 329034870 /nfs/dbraw/zinc/03/48/70/329034870.db2.gz SLTDXKAKYQTAAD-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)nc2)[C@H]1c1cccs1 ZINC000377921084 329034872 /nfs/dbraw/zinc/03/48/72/329034872.db2.gz SLTDXKAKYQTAAD-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000378147386 329041464 /nfs/dbraw/zinc/04/14/64/329041464.db2.gz MQWXKLQDGRUXNC-SFHVURJKSA-N 1 2 294.402 3.927 20 0 CHADLO CCc1ccc([C@H](C)N[C@@H]2C[N@H+](C)Cc3ccccc32)o1 ZINC000360053348 329070779 /nfs/dbraw/zinc/07/07/79/329070779.db2.gz DDGTYGBSPXMUPB-SUMWQHHRSA-N 1 2 284.403 3.679 20 0 CHADLO CCc1ccc([C@H](C)N[C@@H]2C[N@@H+](C)Cc3ccccc32)o1 ZINC000360053348 329070780 /nfs/dbraw/zinc/07/07/80/329070780.db2.gz DDGTYGBSPXMUPB-SUMWQHHRSA-N 1 2 284.403 3.679 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCc2sc(Br)cc21 ZINC000393950686 329097891 /nfs/dbraw/zinc/09/78/91/329097891.db2.gz XBHIIDYTUWRVKP-SSDOTTSWSA-N 1 2 296.180 3.743 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCS[C@H](C(C)C)C2)cs1 ZINC000174338480 329157698 /nfs/dbraw/zinc/15/76/98/329157698.db2.gz VEMMDLHHAHQAST-ZDUSSCGKSA-N 1 2 284.494 3.840 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCS[C@H](C(C)C)C2)cs1 ZINC000174338480 329157700 /nfs/dbraw/zinc/15/77/00/329157700.db2.gz VEMMDLHHAHQAST-ZDUSSCGKSA-N 1 2 284.494 3.840 20 0 CHADLO C[N@H+](Cc1nc2c(s1)CCCC2)Cc1ccccc1F ZINC000175542092 329169502 /nfs/dbraw/zinc/16/95/02/329169502.db2.gz BQJSSRZEWRQZCB-UHFFFAOYSA-N 1 2 290.407 3.793 20 0 CHADLO C[N@@H+](Cc1nc2c(s1)CCCC2)Cc1ccccc1F ZINC000175542092 329169503 /nfs/dbraw/zinc/16/95/03/329169503.db2.gz BQJSSRZEWRQZCB-UHFFFAOYSA-N 1 2 290.407 3.793 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nc3ccccc3n2C)c(C)s1 ZINC000177191322 329181679 /nfs/dbraw/zinc/18/16/79/329181679.db2.gz AVZCEJUUQZNREM-UHFFFAOYSA-N 1 2 299.443 3.884 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nc3ccccc3n2C)c(C)s1 ZINC000177191322 329181681 /nfs/dbraw/zinc/18/16/81/329181681.db2.gz AVZCEJUUQZNREM-UHFFFAOYSA-N 1 2 299.443 3.884 20 0 CHADLO Cc1cccc(N(C)Cc2c[nH+]c3ccc(C)cn23)c1 ZINC000508659331 332846436 /nfs/dbraw/zinc/84/64/36/332846436.db2.gz XAGHPVYNJHJQRI-UHFFFAOYSA-N 1 2 265.360 3.588 20 0 CHADLO Cc1nc(C[N@@H+]2CCOC3(C2)CCCCCC3)sc1C ZINC000178414216 329199887 /nfs/dbraw/zinc/19/98/87/329199887.db2.gz KHNNBSFCMXRDEM-UHFFFAOYSA-N 1 2 294.464 3.685 20 0 CHADLO Cc1nc(C[N@H+]2CCOC3(C2)CCCCCC3)sc1C ZINC000178414216 329199889 /nfs/dbraw/zinc/19/98/89/329199889.db2.gz KHNNBSFCMXRDEM-UHFFFAOYSA-N 1 2 294.464 3.685 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000179562352 329209888 /nfs/dbraw/zinc/20/98/88/329209888.db2.gz SGMTUVGLVLHXLT-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1cc(C[N@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000179562352 329209889 /nfs/dbraw/zinc/20/98/89/329209889.db2.gz SGMTUVGLVLHXLT-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+](C)Cc1nnc(C2CC2)[nH]1 ZINC000180410742 329216033 /nfs/dbraw/zinc/21/60/33/329216033.db2.gz YXVQKNPXLRRUMA-SNVBAGLBSA-N 1 2 290.798 3.529 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+](C)Cc1nnc(C2CC2)[nH]1 ZINC000180410742 329216034 /nfs/dbraw/zinc/21/60/34/329216034.db2.gz YXVQKNPXLRRUMA-SNVBAGLBSA-N 1 2 290.798 3.529 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OC1CCC1)c1nccs1 ZINC000180973141 329224521 /nfs/dbraw/zinc/22/45/21/329224521.db2.gz UCYAVSZSEAEVDP-GFCCVEGCSA-N 1 2 288.416 3.925 20 0 CHADLO Cc1ccc(C[C@@H](C)C(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000181317133 329231341 /nfs/dbraw/zinc/23/13/41/329231341.db2.gz VSXBPSDWLVLHEB-CYBMUJFWSA-N 1 2 282.387 3.824 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2cccs2)on1)c1cccnc1 ZINC000181360050 329232316 /nfs/dbraw/zinc/23/23/16/329232316.db2.gz PXKRCSIXQCCRBC-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(-c2ccccc2F)o1)c1ccccn1 ZINC000181789035 329237333 /nfs/dbraw/zinc/23/73/33/329237333.db2.gz FAYHEHWOBNXDKT-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)cc1Cl)c1ccccn1 ZINC000181804755 329237454 /nfs/dbraw/zinc/23/74/54/329237454.db2.gz RQHKMPTXULIJHP-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO C[N@H+](Cc1cnc(C2CCCC2)s1)Cc1cccnc1 ZINC000182033966 329238893 /nfs/dbraw/zinc/23/88/93/329238893.db2.gz ZRODCPAXFDUFKI-UHFFFAOYSA-N 1 2 287.432 3.828 20 0 CHADLO C[N@@H+](Cc1cnc(C2CCCC2)s1)Cc1cccnc1 ZINC000182033966 329238894 /nfs/dbraw/zinc/23/88/94/329238894.db2.gz ZRODCPAXFDUFKI-UHFFFAOYSA-N 1 2 287.432 3.828 20 0 CHADLO CCC(CC)C[NH2+][C@H](c1noc(C)n1)c1ccccc1F ZINC000182241905 329241024 /nfs/dbraw/zinc/24/10/24/329241024.db2.gz MHUTWAISXIDJAJ-HNNXBMFYSA-N 1 2 291.370 3.632 20 0 CHADLO CCC[C@H](C)C[NH2+][C@H](c1noc(C)n1)c1ccccc1F ZINC000182244371 329241366 /nfs/dbraw/zinc/24/13/66/329241366.db2.gz YXLIDKCDVUVNSG-NHYWBVRUSA-N 1 2 291.370 3.632 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccc(F)cc2)n1)c1cccnc1 ZINC000182888189 329245602 /nfs/dbraw/zinc/24/56/02/329245602.db2.gz KNPKILQGMSURFB-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@H]2c2cccn2C)s1 ZINC000182957768 329246036 /nfs/dbraw/zinc/24/60/36/329246036.db2.gz SNIBIKLUJXGFBL-AWEZNQCLSA-N 1 2 289.448 3.942 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@H]2c2cccn2C)s1 ZINC000182957768 329246037 /nfs/dbraw/zinc/24/60/37/329246037.db2.gz SNIBIKLUJXGFBL-AWEZNQCLSA-N 1 2 289.448 3.942 20 0 CHADLO CCC(=O)c1ccc(OCc2ccc(C)[nH+]c2C)cc1 ZINC000185238605 329269157 /nfs/dbraw/zinc/26/91/57/329269157.db2.gz VTDOPBLJVRDHAQ-UHFFFAOYSA-N 1 2 269.344 3.870 20 0 CHADLO c1cn(CCCSc2ccc3c(c2)CCC3)c[nH+]1 ZINC000185466727 329273949 /nfs/dbraw/zinc/27/39/49/329273949.db2.gz JPNRBVOBUOOYSS-UHFFFAOYSA-N 1 2 258.390 3.554 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(CCC2CCCC2)n1 ZINC000582887444 329285696 /nfs/dbraw/zinc/28/56/96/329285696.db2.gz DYENUDWREAESFR-UHFFFAOYSA-N 1 2 285.391 3.681 20 0 CHADLO CC(C)c1cccc2c1OCCC[C@H]2[NH2+]Cc1ncccn1 ZINC000582914383 329288139 /nfs/dbraw/zinc/28/81/39/329288139.db2.gz AFMFUQHFBITFFU-MRXNPFEDSA-N 1 2 297.402 3.604 20 0 CHADLO COC(=O)[C@H]([NH2+]C[C@H]1CC(C)=C[C@H](C)C1)c1cccs1 ZINC000582995521 329294571 /nfs/dbraw/zinc/29/45/71/329294571.db2.gz AZGMTZROKCXMAP-NJZAAPMLSA-N 1 2 293.432 3.544 20 0 CHADLO C[C@H](CCC1CCCCC1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000582968426 329295714 /nfs/dbraw/zinc/29/57/14/329295714.db2.gz QRSIWIVURSUXIR-CABCVRRESA-N 1 2 291.439 3.699 20 0 CHADLO CC(C)[C@@]1(C)C[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000185800589 329332110 /nfs/dbraw/zinc/33/21/10/329332110.db2.gz OAHDLXJMLNPAOO-WMLDXEAASA-N 1 2 283.375 3.697 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(C(C)C)s1 ZINC000185885860 329334513 /nfs/dbraw/zinc/33/45/13/329334513.db2.gz ZLXXDWZYKAHADM-UHFFFAOYSA-N 1 2 260.362 3.827 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccco1 ZINC000186655974 329346972 /nfs/dbraw/zinc/34/69/72/329346972.db2.gz MXVYZKXUIVHVCN-SJKOYZFVSA-N 1 2 299.349 3.592 20 0 CHADLO Cn1cc[nH+]c1CSCCCCc1ccccc1 ZINC000186727596 329348227 /nfs/dbraw/zinc/34/82/27/329348227.db2.gz UYHZNMFGQRUQOJ-UHFFFAOYSA-N 1 2 260.406 3.676 20 0 CHADLO CCCc1cccc(C[N@H+](C)Cc2cc(C)on2)c1 ZINC000186903958 329350028 /nfs/dbraw/zinc/35/00/28/329350028.db2.gz NYBAPJKMNMTLRV-UHFFFAOYSA-N 1 2 258.365 3.568 20 0 CHADLO CCCc1cccc(C[N@@H+](C)Cc2cc(C)on2)c1 ZINC000186903958 329350029 /nfs/dbraw/zinc/35/00/29/329350029.db2.gz NYBAPJKMNMTLRV-UHFFFAOYSA-N 1 2 258.365 3.568 20 0 CHADLO CCCc1cccc(C[N@H+](C)Cc2nccs2)c1 ZINC000187007463 329351357 /nfs/dbraw/zinc/35/13/57/329351357.db2.gz JOLVLGSKUWPJBE-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO CCCc1cccc(C[N@@H+](C)Cc2nccs2)c1 ZINC000187007463 329351358 /nfs/dbraw/zinc/35/13/58/329351358.db2.gz JOLVLGSKUWPJBE-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO Cc1ccc(C[S@@](=O)CC2CCCCC2)c(C)[nH+]1 ZINC000187070395 329351994 /nfs/dbraw/zinc/35/19/94/329351994.db2.gz YSLCSRMJTHGZSL-SFHVURJKSA-N 1 2 265.422 3.527 20 0 CHADLO Cc1ccc(C)c(C[S@](=O)Cc2ccc(C)[nH+]c2C)c1 ZINC000187072955 329352261 /nfs/dbraw/zinc/35/22/61/329352261.db2.gz XNOAWFRNTQNBCL-HXUWFJFHSA-N 1 2 287.428 3.764 20 0 CHADLO COc1cc(F)cc(C[NH+]2CC(C)(CC(F)(F)F)C2)c1 ZINC000459642583 329353833 /nfs/dbraw/zinc/35/38/33/329353833.db2.gz SQICBAURXCDBAF-UHFFFAOYSA-N 1 2 291.288 3.609 20 0 CHADLO Cc1ccc(C[S@@](=O)[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000187159009 329354187 /nfs/dbraw/zinc/35/41/87/329354187.db2.gz HFIRKWNVSYYQTG-IFXJQAMLSA-N 1 2 273.401 3.708 20 0 CHADLO Cc1ccc(CSc2nc3ccccc3n2C)c(C)[nH+]1 ZINC000187310689 329355181 /nfs/dbraw/zinc/35/51/81/329355181.db2.gz WQKZLLXTLTZOPC-UHFFFAOYSA-N 1 2 283.400 3.877 20 0 CHADLO Fc1ccc(C[NH2+][C@@H](c2ccco2)c2ccccc2)cn1 ZINC000188973151 329374530 /nfs/dbraw/zinc/37/45/30/329374530.db2.gz WNMPTYPGOLFYMH-QGZVFWFLSA-N 1 2 282.318 3.693 20 0 CHADLO Fc1ccc([C@@H]2C[N@H+](Cc3ccsc3)CCO2)c(F)c1 ZINC000190136208 329392556 /nfs/dbraw/zinc/39/25/56/329392556.db2.gz KISHFZSWENAVMU-HNNXBMFYSA-N 1 2 295.354 3.600 20 0 CHADLO Fc1ccc([C@@H]2C[N@@H+](Cc3ccsc3)CCO2)c(F)c1 ZINC000190136208 329392557 /nfs/dbraw/zinc/39/25/57/329392557.db2.gz KISHFZSWENAVMU-HNNXBMFYSA-N 1 2 295.354 3.600 20 0 CHADLO Fc1ccccc1[C@H]([NH2+]Cc1ncccn1)C1CCCC1 ZINC000192161490 329429890 /nfs/dbraw/zinc/42/98/90/329429890.db2.gz DXKRYVBWMTWPIY-QGZVFWFLSA-N 1 2 285.366 3.637 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CCCC2)s1)c1csnn1 ZINC000583309668 329446674 /nfs/dbraw/zinc/44/66/74/329446674.db2.gz RWAJKFURHHHBJV-VIFPVBQESA-N 1 2 294.449 3.503 20 0 CHADLO CCOc1cc(NCc2cn3ccccc3[nH+]2)ccc1C ZINC000509131124 332859649 /nfs/dbraw/zinc/85/96/49/332859649.db2.gz DSKGIURMGOMZCZ-UHFFFAOYSA-N 1 2 281.359 3.654 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1sccc1C)C(=O)OC(C)(C)C ZINC000584504956 329503946 /nfs/dbraw/zinc/50/39/46/329503946.db2.gz FSWJKVMHJGYJPZ-VXGBXAGGSA-N 1 2 283.437 3.827 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1ccco1)c1nnc2ccccn21 ZINC000129273955 329616254 /nfs/dbraw/zinc/61/62/54/329616254.db2.gz ADMWVLIEGJTQRR-OLZOCXBDSA-N 1 2 284.363 3.514 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CCCc3sccc32)cc[nH+]1 ZINC000132582175 329647490 /nfs/dbraw/zinc/64/74/90/329647490.db2.gz VCQWCGBKQGYACI-ZDUSSCGKSA-N 1 2 272.373 3.510 20 0 CHADLO CC1(C)CCCC[C@@H]1C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000193956290 329684302 /nfs/dbraw/zinc/68/43/02/329684302.db2.gz FKIQEPFMIITXCP-KGLIPLIRSA-N 1 2 289.423 3.680 20 0 CHADLO F[C@@]1(c2cccc(Cl)c2)CCN(c2cccc[nH+]2)C1 ZINC000509526745 332872745 /nfs/dbraw/zinc/87/27/45/332872745.db2.gz LUDWKBABPCFXTI-HNNXBMFYSA-N 1 2 276.742 3.810 20 0 CHADLO COC1(C[C@@H](C)Nc2c[nH+]c3c(c2)CCCC3)CCC1 ZINC000429418014 329709929 /nfs/dbraw/zinc/70/99/29/329709929.db2.gz MGGPQPVLGAFTAV-CYBMUJFWSA-N 1 2 274.408 3.720 20 0 CHADLO CC(C)(C)CNC(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000509549991 332873503 /nfs/dbraw/zinc/87/35/03/332873503.db2.gz UVURZFMYKFWFFM-UHFFFAOYSA-N 1 2 284.359 3.650 20 0 CHADLO C(=C\c1ccccc1)\CCSCCn1cc[nH+]c1 ZINC000419581889 329741369 /nfs/dbraw/zinc/74/13/69/329741369.db2.gz JTQXOIXPYGBFOI-YWEYNIOJSA-N 1 2 258.390 3.720 20 0 CHADLO C(=C/c1ccccc1)\CCSCCn1cc[nH+]c1 ZINC000419581888 329741398 /nfs/dbraw/zinc/74/13/98/329741398.db2.gz JTQXOIXPYGBFOI-XBXARRHUSA-N 1 2 258.390 3.720 20 0 CHADLO CC[C@@H]1CCC[C@@H]1c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420512899 329773176 /nfs/dbraw/zinc/77/31/76/329773176.db2.gz SPOGBUSITMKIHT-OCCSQVGLSA-N 1 2 271.364 3.658 20 0 CHADLO CCC[C@@](C)(CC)c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420512563 329773286 /nfs/dbraw/zinc/77/32/86/329773286.db2.gz SBYALVHEUHVMJU-MRXNPFEDSA-N 1 2 273.380 3.832 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(C(C)(C)C)cn2)c(C)[nH+]1 ZINC000421532551 329837738 /nfs/dbraw/zinc/83/77/38/329837738.db2.gz YOCMQMWFDINECX-UHFFFAOYSA-N 1 2 283.375 3.643 20 0 CHADLO Cc1cc(CNC(=O)c2ccc3c(c2)CCCC3)cc(C)[nH+]1 ZINC000421553173 329845496 /nfs/dbraw/zinc/84/54/96/329845496.db2.gz DGORBLJVKPZONF-UHFFFAOYSA-N 1 2 294.398 3.507 20 0 CHADLO CCCC(C)(C)CC(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421574393 329848323 /nfs/dbraw/zinc/84/83/23/329848323.db2.gz FUUZQCFQUCEQPS-UHFFFAOYSA-N 1 2 262.397 3.531 20 0 CHADLO Cc1cc(CNC(=O)C2(CC(C)C)CCC2)cc(C)[nH+]1 ZINC000421574940 329848466 /nfs/dbraw/zinc/84/84/66/329848466.db2.gz ICDQUTRRBYPMMJ-UHFFFAOYSA-N 1 2 274.408 3.531 20 0 CHADLO CCCC1CCC(N(C)C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000571885468 329928098 /nfs/dbraw/zinc/92/80/98/329928098.db2.gz OFGDNAMNHQWOCQ-QQFBHYJXSA-N 1 2 291.439 3.652 20 0 CHADLO Cn1cc[nH+]c1[C@H](Nc1ccc(Cl)cn1)c1ccccc1 ZINC000572214701 329955795 /nfs/dbraw/zinc/95/57/95/329955795.db2.gz LDJWIZJMGUFUKC-OAHLLOKOSA-N 1 2 298.777 3.670 20 0 CHADLO COCc1ccccc1/C=C(\C)CNc1cccc[nH+]1 ZINC000510041685 332889051 /nfs/dbraw/zinc/88/90/51/332889051.db2.gz KSNXZTIVLDYABH-SDNWHVSQSA-N 1 2 268.360 3.743 20 0 CHADLO COc1ccc(C)cc1N[C@@H]1CCc2ccc[nH+]c2CC1 ZINC000421845023 330094800 /nfs/dbraw/zinc/09/48/00/330094800.db2.gz VNMLVNLWARIYOL-OAHLLOKOSA-N 1 2 282.387 3.758 20 0 CHADLO CC[C@@H](C)n1ncc(N[C@@H]2CCc3ccc[nH+]c3CC2)c1C ZINC000421846208 330094970 /nfs/dbraw/zinc/09/49/70/330094970.db2.gz YYCIYBURZZDQDS-CZUORRHYSA-N 1 2 298.434 3.917 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)cs1)c1ccncc1Cl ZINC000421846965 330095164 /nfs/dbraw/zinc/09/51/64/330095164.db2.gz VLVKWGGNJHZKHL-VIFPVBQESA-N 1 2 293.823 3.920 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2c(F)cccc2F)n1 ZINC000080271914 330116180 /nfs/dbraw/zinc/11/61/80/330116180.db2.gz NWDSSIBGFZCOGM-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO CCC[C@H]1CCCCN1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000422196847 330129915 /nfs/dbraw/zinc/12/99/15/330129915.db2.gz ANWYMDSVUJSBFJ-INIZCTEOSA-N 1 2 289.423 3.563 20 0 CHADLO CC[C@H]1CCCCCN1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000422217575 330132947 /nfs/dbraw/zinc/13/29/47/330132947.db2.gz WUICRTCEDOKWJA-INIZCTEOSA-N 1 2 289.423 3.563 20 0 CHADLO COc1ccc2c(c1)C[C@@H]([NH2+]c1ccc3c(c1)CCN3)CC2 ZINC000423218339 330178941 /nfs/dbraw/zinc/17/89/41/330178941.db2.gz RQWPXHQVOASTLT-INIZCTEOSA-N 1 2 294.398 3.633 20 0 CHADLO COc1ccc2c(c1)C[C@@H](Nc1ccc3c(c1)CC[NH2+]3)CC2 ZINC000423218339 330178942 /nfs/dbraw/zinc/17/89/42/330178942.db2.gz RQWPXHQVOASTLT-INIZCTEOSA-N 1 2 294.398 3.633 20 0 CHADLO Oc1cccc2c1CCC[C@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423217804 330179094 /nfs/dbraw/zinc/17/90/94/330179094.db2.gz QLZDPQNTCYDTBQ-QGZVFWFLSA-N 1 2 280.371 3.850 20 0 CHADLO CC[C@]1(C)CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000081320053 330190896 /nfs/dbraw/zinc/19/08/96/330190896.db2.gz GEZVWNQWAGRYIB-GOSISDBHSA-N 1 2 297.402 3.525 20 0 CHADLO FC1(CNc2ccc(-c3[nH+]cc4n3CCCC4)cc2)CC1 ZINC000527221720 330237831 /nfs/dbraw/zinc/23/78/31/330237831.db2.gz WJWGBXDKHKTFGR-UHFFFAOYSA-N 1 2 285.366 3.800 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(F)cc2Cl)ccn1 ZINC000527535881 330263552 /nfs/dbraw/zinc/26/35/52/330263552.db2.gz UYYJEUABBYRQIV-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(F)cc2Cl)ccn1 ZINC000527535881 330263553 /nfs/dbraw/zinc/26/35/53/330263553.db2.gz UYYJEUABBYRQIV-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCc3nc(C(C)C)ncc3C2)c1 ZINC000527617650 330265612 /nfs/dbraw/zinc/26/56/12/330265612.db2.gz CIYVOIPXTUKUFK-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCc3nc(C(C)C)ncc3C2)c1 ZINC000527617650 330265613 /nfs/dbraw/zinc/26/56/13/330265613.db2.gz CIYVOIPXTUKUFK-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO Cc1noc(C)c1C[NH2+]Cc1c(F)ccc(F)c1Cl ZINC000527646989 330269079 /nfs/dbraw/zinc/26/90/79/330269079.db2.gz YLPOSBYHJAQHJT-UHFFFAOYSA-N 1 2 286.709 3.513 20 0 CHADLO Cc1ccc2c(c1C)OC[C@@H]2[NH2+]Cc1ccncc1Cl ZINC000527655363 330273164 /nfs/dbraw/zinc/27/31/64/330273164.db2.gz CIDGWKJCGQSVPA-HNNXBMFYSA-N 1 2 288.778 3.575 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccsc2C(F)(F)F)s1 ZINC000527666837 330274114 /nfs/dbraw/zinc/27/41/14/330274114.db2.gz MYQXJDMDBNPUNH-UHFFFAOYSA-N 1 2 292.351 3.822 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CCc3cc(F)c(Cl)cc32)no1 ZINC000527854748 330288743 /nfs/dbraw/zinc/28/87/43/330288743.db2.gz UDLBYTZSQHSSHE-CQSZACIVSA-N 1 2 280.730 3.553 20 0 CHADLO COc1ccc[nH+]c1NC[C@@H]1CCCO[C@H]1c1ccccc1 ZINC000527859035 330289106 /nfs/dbraw/zinc/28/91/06/330289106.db2.gz BRPOFXSBOYAKQT-RDJZCZTQSA-N 1 2 298.386 3.670 20 0 CHADLO COc1ccc[nH+]c1NC[C@@H](OC)c1ccc(Cl)cc1 ZINC000527905652 330289898 /nfs/dbraw/zinc/28/98/98/330289898.db2.gz IIYXNNXXIMPTKK-CQSZACIVSA-N 1 2 292.766 3.543 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1csnn1)c1cc(C)ccc1C ZINC000527908469 330290585 /nfs/dbraw/zinc/29/05/85/330290585.db2.gz LPZSVSXHSCAZNT-GXTWGEPZSA-N 1 2 275.421 3.957 20 0 CHADLO CC[C@H](C)c1ccc([C@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000527910006 330290760 /nfs/dbraw/zinc/29/07/60/330290760.db2.gz DMUXRKCJVJZPRT-KBPBESRZSA-N 1 2 269.392 3.841 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cccc3c2OCC3)c(C)[nH+]1 ZINC000527957903 330294763 /nfs/dbraw/zinc/29/47/63/330294763.db2.gz QEHFOFAEHSOIQX-CYBMUJFWSA-N 1 2 268.360 3.806 20 0 CHADLO COc1c(C)cc(Cl)cc1N[C@H](C)c1[nH+]ccn1C ZINC000527974062 330301777 /nfs/dbraw/zinc/30/17/77/330301777.db2.gz MIAHIUQRGIQRTE-SNVBAGLBSA-N 1 2 279.771 3.564 20 0 CHADLO COc1ccc[nH+]c1N1CC[C@@H](C(F)(F)F)C(C)(C)C1 ZINC000527978072 330302234 /nfs/dbraw/zinc/30/22/34/330302234.db2.gz GFQSYVSVLRTYSR-LLVKDONJSA-N 1 2 288.313 3.505 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2nc(C3CC3)cs2)c(F)c1 ZINC000424155872 330303433 /nfs/dbraw/zinc/30/34/33/330303433.db2.gz OLBLIGJSQMOVID-UHFFFAOYSA-N 1 2 294.370 3.897 20 0 CHADLO CCOC1CC2(C1)CCN(c1[nH+]ccc3ccccc31)C2 ZINC000528019294 330304438 /nfs/dbraw/zinc/30/44/38/330304438.db2.gz SPNARFUHXDMPLR-UHFFFAOYSA-N 1 2 282.387 3.630 20 0 CHADLO CC(C)O[C@H]1CCCN(c2[nH+]ccc3ccccc32)C1 ZINC000528043725 330305880 /nfs/dbraw/zinc/30/58/80/330305880.db2.gz RXLFGNBPZWQKKB-HNNXBMFYSA-N 1 2 270.376 3.629 20 0 CHADLO FC1(F)CC12CCN(c1[nH+]ccc3ccccc31)CC2 ZINC000528042574 330305953 /nfs/dbraw/zinc/30/59/53/330305953.db2.gz HAJUQNFGUZLUAC-UHFFFAOYSA-N 1 2 274.314 3.860 20 0 CHADLO Cc1c(NCc2c[nH+]cn2C(C)C)cnn1-c1ccccc1 ZINC000424173108 330313634 /nfs/dbraw/zinc/31/36/34/330313634.db2.gz LZNQJWLUZAUZSJ-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO Cc1cc(N2CC[C@@H](c3cccc(F)c3)C2(C)C)nc[nH+]1 ZINC000528211834 330315325 /nfs/dbraw/zinc/31/53/25/330315325.db2.gz HPLLWJUWRUUTDO-HNNXBMFYSA-N 1 2 285.366 3.697 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1ncccc1Cl ZINC000528338869 330319475 /nfs/dbraw/zinc/31/94/75/330319475.db2.gz NQUNTQPXKDRQAJ-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1ncccc1Cl ZINC000528338869 330319476 /nfs/dbraw/zinc/31/94/76/330319476.db2.gz NQUNTQPXKDRQAJ-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO CC[C@H]([NH2+]Cc1ccccc1F)c1nccs1 ZINC000078003984 330324303 /nfs/dbraw/zinc/32/43/03/330324303.db2.gz RITCLYBTBSUDKM-LBPRGKRZSA-N 1 2 250.342 3.523 20 0 CHADLO c1ccc([N@H+](C[C@H]2CCCOC2)C2CCCC2)cc1 ZINC000533062591 330325477 /nfs/dbraw/zinc/32/54/77/330325477.db2.gz NLWLBHVNOHJHJG-OAHLLOKOSA-N 1 2 259.393 3.862 20 0 CHADLO c1ccc([N@@H+](C[C@H]2CCCOC2)C2CCCC2)cc1 ZINC000533062591 330325478 /nfs/dbraw/zinc/32/54/78/330325478.db2.gz NLWLBHVNOHJHJG-OAHLLOKOSA-N 1 2 259.393 3.862 20 0 CHADLO COc1ccc(Cl)cc1C(C)(C)[NH2+]Cc1nccs1 ZINC000424204585 330327632 /nfs/dbraw/zinc/32/76/32/330327632.db2.gz TYARSOVDDUHPBE-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO C[C@H](c1ccccc1Br)[N@H+](C)Cc1ccno1 ZINC000533100249 330335339 /nfs/dbraw/zinc/33/53/39/330335339.db2.gz PKCNSJOLKJKQCW-SNVBAGLBSA-N 1 2 295.180 3.630 20 0 CHADLO C[C@H](c1ccccc1Br)[N@@H+](C)Cc1ccno1 ZINC000533100249 330335340 /nfs/dbraw/zinc/33/53/40/330335340.db2.gz PKCNSJOLKJKQCW-SNVBAGLBSA-N 1 2 295.180 3.630 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2C[C@@]2(F)c2ccccc2)cs1 ZINC000424329759 330341955 /nfs/dbraw/zinc/34/19/55/330341955.db2.gz SGQKFXCMRDWESP-COLVAYQJSA-N 1 2 276.380 3.739 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2C[C@]2(F)c2ccccc2)cs1 ZINC000424329760 330342032 /nfs/dbraw/zinc/34/20/32/330342032.db2.gz SGQKFXCMRDWESP-LKTVYLICSA-N 1 2 276.380 3.739 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCC[C@@H](C(F)(F)F)C1)C(F)(F)F ZINC000424329752 330342047 /nfs/dbraw/zinc/34/20/47/330342047.db2.gz SEQKEIQPWZZTRB-PRJMDXOYSA-N 1 2 263.225 3.648 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc2ccccc2c1O ZINC000107038835 330346051 /nfs/dbraw/zinc/34/60/51/330346051.db2.gz VATOVANLVSHYLS-UHFFFAOYSA-N 1 2 278.311 3.501 20 0 CHADLO CCOc1cc(C)ccc1NCc1cn2c(cccc2C)[nH+]1 ZINC000083135529 330356430 /nfs/dbraw/zinc/35/64/30/330356430.db2.gz DPHVUATXDNJBEB-UHFFFAOYSA-N 1 2 295.386 3.962 20 0 CHADLO Cc1ccc(NC(=O)C2(c3cccc(F)c3)CC2)c(C)[nH+]1 ZINC000119089804 330369968 /nfs/dbraw/zinc/36/99/68/330369968.db2.gz KCVOJBUIDOUXKP-UHFFFAOYSA-N 1 2 284.334 3.508 20 0 CHADLO Cc1cccc(NC(=O)C[N@@H+]([C@@H](C)c2ccco2)C2CC2)c1 ZINC000119108636 330370275 /nfs/dbraw/zinc/37/02/75/330370275.db2.gz HCLNKQUZLOWNEP-AWEZNQCLSA-N 1 2 298.386 3.752 20 0 CHADLO Cc1cccc(NC(=O)C[N@H+]([C@@H](C)c2ccco2)C2CC2)c1 ZINC000119108636 330370276 /nfs/dbraw/zinc/37/02/76/330370276.db2.gz HCLNKQUZLOWNEP-AWEZNQCLSA-N 1 2 298.386 3.752 20 0 CHADLO Cc1ccc(NC(=O)CCn2ccc3ccccc32)c(C)[nH+]1 ZINC000119152584 330370445 /nfs/dbraw/zinc/37/04/45/330370445.db2.gz MNBLLGCFXLJNDI-UHFFFAOYSA-N 1 2 293.370 3.682 20 0 CHADLO Cc1ccc(NC(=O)Cc2c(F)cccc2Cl)c(C)[nH+]1 ZINC000119157321 330370560 /nfs/dbraw/zinc/37/05/60/330370560.db2.gz RDULREZIYDIBPW-UHFFFAOYSA-N 1 2 292.741 3.672 20 0 CHADLO Cc1ccc(NC(=O)CCc2cc(Cl)cs2)c(C)[nH+]1 ZINC000119176161 330370758 /nfs/dbraw/zinc/37/07/58/330370758.db2.gz HGHPUOXGBALDBL-UHFFFAOYSA-N 1 2 294.807 3.985 20 0 CHADLO Cc1cc(NC(=O)N[C@H]2CCc3c2cccc3C)cc[nH+]1 ZINC000119521248 330375315 /nfs/dbraw/zinc/37/53/15/330375315.db2.gz IETWQEUGHNLAQB-INIZCTEOSA-N 1 2 281.359 3.507 20 0 CHADLO Fc1cc2cccnc2c(CSCCn2cc[nH+]c2)c1 ZINC000090200242 330391216 /nfs/dbraw/zinc/39/12/16/330391216.db2.gz RAEKOBIHYBZHFA-UHFFFAOYSA-N 1 2 287.363 3.504 20 0 CHADLO CSc1ccccc1NCc1c[nH+]cn1C(C)C ZINC000090207919 330391352 /nfs/dbraw/zinc/39/13/52/330391352.db2.gz UCASSJRNSMUZHA-UHFFFAOYSA-N 1 2 261.394 3.798 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(F)c1F)c1nccs1 ZINC000090963442 330397277 /nfs/dbraw/zinc/39/72/77/330397277.db2.gz PRUWMIJBRNAEHD-IUCAKERBSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1noc(-c2ccccc2)n1)c1cccnc1 ZINC000092767873 330413163 /nfs/dbraw/zinc/41/31/63/330413163.db2.gz PRIJQOBEBNJZAC-OLZOCXBDSA-N 1 2 294.358 3.543 20 0 CHADLO CC(C)([NH2+]Cc1cccc(F)c1Cl)c1nccs1 ZINC000093193538 330418115 /nfs/dbraw/zinc/41/81/15/330418115.db2.gz ZUQTUBOTGVCFNI-UHFFFAOYSA-N 1 2 284.787 3.961 20 0 CHADLO CCC[C@H](CC)Nc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000315229909 330473302 /nfs/dbraw/zinc/47/33/02/330473302.db2.gz JPDOLBNMKLJDDM-ZDUSSCGKSA-N 1 2 295.390 3.806 20 0 CHADLO CCOc1ccccc1N(C)CCCc1c[nH+]ccc1C ZINC000428280844 330518825 /nfs/dbraw/zinc/51/88/25/330518825.db2.gz BWHOCBVYNIJDMH-UHFFFAOYSA-N 1 2 284.403 3.858 20 0 CHADLO Cc1cc(N2CCc3cccc(C)c3C2)nc(C2CC2)[nH+]1 ZINC000428663348 330529189 /nfs/dbraw/zinc/52/91/89/330529189.db2.gz FMPUNSIWTKMOAN-UHFFFAOYSA-N 1 2 279.387 3.534 20 0 CHADLO CCc1cc(N(C)[C@H]2CC[C@@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000428681910 330529442 /nfs/dbraw/zinc/52/94/42/330529442.db2.gz PHPINYDHZWWKJY-CJNGLKHVSA-N 1 2 296.418 3.726 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)CC2(OC)CCC2)c[nH+]1 ZINC000429417113 330545774 /nfs/dbraw/zinc/54/57/74/330545774.db2.gz KEJYRUHZVPLGRJ-AWEZNQCLSA-N 1 2 291.439 3.687 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1C[C@H](C)[C@@H]1C)c1ccc2ccccc2c1 ZINC000430080835 330558873 /nfs/dbraw/zinc/55/88/73/330558873.db2.gz OWXXXUBJYYEESS-RPLLJCPNSA-N 1 2 297.398 3.688 20 0 CHADLO C[C@H]1CCC[C@@]1(C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000448724851 330569693 /nfs/dbraw/zinc/56/96/93/330569693.db2.gz MNVOCMKSANHXSL-SUMWQHHRSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1ccc(CNc2cc(F)cc(F)c2F)c(C)[nH+]1 ZINC000431072042 330578436 /nfs/dbraw/zinc/57/84/36/330578436.db2.gz CUUQTDFLVHSRDF-UHFFFAOYSA-N 1 2 266.266 3.728 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@@H]2c2ccncc2)nc(C(C)C)[nH+]1 ZINC000431477362 330585136 /nfs/dbraw/zinc/58/51/36/330585136.db2.gz LUAYVNVJJQSYMY-INIZCTEOSA-N 1 2 296.418 3.891 20 0 CHADLO CC1(C)CC(CC(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000431838094 330589416 /nfs/dbraw/zinc/58/94/16/330589416.db2.gz ZZKKXHCIGSRNRH-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO CC(=O)c1sccc1OCc1ccc(C)[nH+]c1C ZINC000432671349 330603083 /nfs/dbraw/zinc/60/30/83/330603083.db2.gz QHEIWOKTYHQMCN-UHFFFAOYSA-N 1 2 261.346 3.542 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1cc(F)c(Cl)cc1F ZINC000433175149 330618832 /nfs/dbraw/zinc/61/88/32/330618832.db2.gz NHIDQGOHSZSQAX-UHFFFAOYSA-N 1 2 271.694 3.809 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cc(F)c(Cl)cc2F)nc1 ZINC000433187789 330619245 /nfs/dbraw/zinc/61/92/45/330619245.db2.gz BHEYVWXHJFGCIJ-UHFFFAOYSA-N 1 2 282.721 3.611 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@H](c3ccccc3)[C@H]2C)[nH+]1 ZINC000433629681 330629531 /nfs/dbraw/zinc/62/95/31/330629531.db2.gz YPVBDKNZGUFDDF-DOMZBBRYSA-N 1 2 292.386 3.649 20 0 CHADLO CC(C)c1nc(NC2CC(F)(F)C2)cc(C(C)(C)C)[nH+]1 ZINC000433796561 330631407 /nfs/dbraw/zinc/63/14/07/330631407.db2.gz NOIXLCKUYPBUKX-UHFFFAOYSA-N 1 2 283.366 3.529 20 0 CHADLO CC(C)CC(C)(C)CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000434175062 330636597 /nfs/dbraw/zinc/63/65/97/330636597.db2.gz SXWKVJNSQKBADW-UHFFFAOYSA-N 1 2 299.418 3.674 20 0 CHADLO Cc1ccc(CN(Cc2c[nH+]cn2C)c2ccc(F)cc2)o1 ZINC000435057636 330649077 /nfs/dbraw/zinc/64/90/77/330649077.db2.gz QLMVZLYSRKOQEJ-UHFFFAOYSA-N 1 2 299.349 3.667 20 0 CHADLO Cc1ccc(F)c(CN(C)c2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000436432273 330677576 /nfs/dbraw/zinc/67/75/76/330677576.db2.gz KEAIYEGGHOZXIA-UHFFFAOYSA-N 1 2 285.366 3.746 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1cccc(O)c1 ZINC000155953808 330709591 /nfs/dbraw/zinc/70/95/91/330709591.db2.gz DLEJQRBRCYIGID-CYBMUJFWSA-N 1 2 294.354 3.898 20 0 CHADLO CSc1ccc(N[C@H](C)Cc2ccccc2F)[nH+]c1 ZINC000438191945 330719441 /nfs/dbraw/zinc/71/94/41/330719441.db2.gz RRJZYKLYEZIYHU-LLVKDONJSA-N 1 2 276.380 3.986 20 0 CHADLO FC(F)(F)[C@H]1CCC[C@H](CNc2cccc[nH+]2)C1 ZINC000439561013 330740497 /nfs/dbraw/zinc/74/04/97/330740497.db2.gz LMJXSKVJHMRPQU-QWRGUYRKSA-N 1 2 258.287 3.862 20 0 CHADLO CCOCc1ccc(Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000439705517 330742194 /nfs/dbraw/zinc/74/21/94/330742194.db2.gz FZVGLUVUXUMSGD-UHFFFAOYSA-N 1 2 283.375 3.943 20 0 CHADLO CO[C@@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)[C@H](C)C1 ZINC000440651520 330753553 /nfs/dbraw/zinc/75/35/53/330753553.db2.gz PZRXQDCZUFFBCN-ZIAGYGMSSA-N 1 2 291.439 3.727 20 0 CHADLO CC[C@H](F)C[NH2+][C@@H](c1nccs1)c1ccccc1 ZINC000440792703 330756541 /nfs/dbraw/zinc/75/65/41/330756541.db2.gz AJWGPYMEAMCXOW-QWHCGFSZSA-N 1 2 264.369 3.570 20 0 CHADLO CC[C@@H](F)CSCc1cn2c(cccc2C)[nH+]1 ZINC000442551733 330776770 /nfs/dbraw/zinc/77/67/70/330776770.db2.gz FIGVICSECUGUSX-LLVKDONJSA-N 1 2 252.358 3.624 20 0 CHADLO CCCCc1nc(C[NH+]2CC(C)(C)OC(C)(C)C2)cs1 ZINC000442877965 330789922 /nfs/dbraw/zinc/78/99/22/330789922.db2.gz CJBOVPVUNZUNPF-UHFFFAOYSA-N 1 2 296.480 3.875 20 0 CHADLO c1ccc([C@H](Nc2cc[nH+]c3ccncc23)C2CC2)cc1 ZINC000443427522 330799521 /nfs/dbraw/zinc/79/95/21/330799521.db2.gz MOZADQOZZXQUFZ-SFHVURJKSA-N 1 2 275.355 3.615 20 0 CHADLO Cc1c[nH+]ccc1CCNc1ccc2c(Cl)cccc2n1 ZINC000443521202 330800791 /nfs/dbraw/zinc/80/07/91/330800791.db2.gz HQPXLPIQRZASCA-UHFFFAOYSA-N 1 2 297.789 3.668 20 0 CHADLO CC[C@H](CSc1ccccc1)Nc1cc[nH+]c(C)n1 ZINC000443706454 330805709 /nfs/dbraw/zinc/80/57/09/330805709.db2.gz JWCQXBSWIQGVGY-CYBMUJFWSA-N 1 2 273.405 3.768 20 0 CHADLO COc1cc[nH+]cc1NCc1ccc(C)c(Cl)c1 ZINC000443991336 330808570 /nfs/dbraw/zinc/80/85/70/330808570.db2.gz UGTLVMOGXCFPBQ-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(Br)cs1)C(F)F ZINC000449361008 330918751 /nfs/dbraw/zinc/91/87/51/330918751.db2.gz KIJPYZLBCLLFKF-MRVPVSSYSA-N 1 2 284.169 3.644 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(F)(F)F)s1)C(C)(F)F ZINC000449415662 330923793 /nfs/dbraw/zinc/92/37/93/330923793.db2.gz PYXWTDCYMMMRSX-ZCFIWIBFSA-N 1 2 273.270 3.900 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2csnn2)C2CC2)cc1Cl ZINC000449453621 330926979 /nfs/dbraw/zinc/92/69/79/330926979.db2.gz XHSMZKUNXRUULF-CYBMUJFWSA-N 1 2 297.786 3.572 20 0 CHADLO Fc1cc2[nH+]ccc(N3CCSCC3)c2cc1Cl ZINC000450252721 330968546 /nfs/dbraw/zinc/96/85/46/330968546.db2.gz KBQUMFCULPVPNL-UHFFFAOYSA-N 1 2 282.771 3.581 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H](C)C[C@@H]1CCCO1 ZINC000450300023 330971604 /nfs/dbraw/zinc/97/16/04/330971604.db2.gz CGZHBCVXLKSZBZ-STQMWFEESA-N 1 2 286.375 3.613 20 0 CHADLO Cc1cc(N[C@H]2CCCc3c(F)cc(F)cc32)nc[nH+]1 ZINC000450567960 330987082 /nfs/dbraw/zinc/98/70/82/330987082.db2.gz WPXUALLBIRSELV-AWEZNQCLSA-N 1 2 275.302 3.553 20 0 CHADLO CC(C)c1cc(N2CC[C@@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC000450645558 330991077 /nfs/dbraw/zinc/99/10/77/330991077.db2.gz PADVNFIECBAZTD-CQSZACIVSA-N 1 2 259.397 3.712 20 0 CHADLO Cc1ccc[nH+]c1CCCNc1ccnc(C(F)(F)F)c1 ZINC000450726973 330996186 /nfs/dbraw/zinc/99/61/86/330996186.db2.gz WTFHXMCMZYYWPT-UHFFFAOYSA-N 1 2 295.308 3.849 20 0 CHADLO CO[C@H]([C@H](C)Nc1[nH+]ccc2ccc(F)cc21)C1CC1 ZINC000450864353 331003601 /nfs/dbraw/zinc/00/36/01/331003601.db2.gz VEYMMXXLSHPSAG-ZUZCIYMTSA-N 1 2 274.339 3.599 20 0 CHADLO CCc1cc(N2CC3(CCC3)[C@@H]2c2ccco2)nc(C)[nH+]1 ZINC000450867477 331003809 /nfs/dbraw/zinc/00/38/09/331003809.db2.gz YIIDSGVUJUKQPB-INIZCTEOSA-N 1 2 283.375 3.672 20 0 CHADLO c1cn(Cc2ccccc2)c(CSC2CCC2)[nH+]1 ZINC000450994190 331010897 /nfs/dbraw/zinc/01/08/97/331010897.db2.gz RCPCJNSBXJUFQP-UHFFFAOYSA-N 1 2 258.390 3.717 20 0 CHADLO Cc1oncc1C[N@@H+]1CCC[C@@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451019383 331011994 /nfs/dbraw/zinc/01/19/94/331011994.db2.gz UXDSJKLWQRRUKP-MRXNPFEDSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1oncc1C[N@H+]1CCC[C@@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451019383 331011995 /nfs/dbraw/zinc/01/19/95/331011995.db2.gz UXDSJKLWQRRUKP-MRXNPFEDSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1oncc1C[N@@H+]1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451019383 331011996 /nfs/dbraw/zinc/01/19/96/331011996.db2.gz UXDSJKLWQRRUKP-MRXNPFEDSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1oncc1C[N@H+]1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451019383 331011997 /nfs/dbraw/zinc/01/19/97/331011997.db2.gz UXDSJKLWQRRUKP-MRXNPFEDSA-N 1 2 296.374 3.505 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC[C@@](C)(F)C2)n1 ZINC000451115937 331016273 /nfs/dbraw/zinc/01/62/73/331016273.db2.gz PYQFQDVDZDSZQN-OAHLLOKOSA-N 1 2 267.392 3.568 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC[C@@](C)(F)C2)n1 ZINC000451115937 331016274 /nfs/dbraw/zinc/01/62/74/331016274.db2.gz PYQFQDVDZDSZQN-OAHLLOKOSA-N 1 2 267.392 3.568 20 0 CHADLO C[C@@H](c1nc(-c2cccs2)no1)[N@@H+]1CCC[C@@](C)(F)C1 ZINC000451121030 331016328 /nfs/dbraw/zinc/01/63/28/331016328.db2.gz MVSSGCREXHOFAT-IINYFYTJSA-N 1 2 295.383 3.683 20 0 CHADLO C[C@@H](c1nc(-c2cccs2)no1)[N@H+]1CCC[C@@](C)(F)C1 ZINC000451121030 331016329 /nfs/dbraw/zinc/01/63/29/331016329.db2.gz MVSSGCREXHOFAT-IINYFYTJSA-N 1 2 295.383 3.683 20 0 CHADLO Fc1cc(Cl)c2c(c1)C[N@@H+](CCC(F)(F)F)CC2 ZINC000451157809 331017995 /nfs/dbraw/zinc/01/79/95/331017995.db2.gz IJTYLLSOZUCLSI-UHFFFAOYSA-N 1 2 281.680 3.790 20 0 CHADLO Fc1cc(Cl)c2c(c1)C[N@H+](CCC(F)(F)F)CC2 ZINC000451157809 331017996 /nfs/dbraw/zinc/01/79/96/331017996.db2.gz IJTYLLSOZUCLSI-UHFFFAOYSA-N 1 2 281.680 3.790 20 0 CHADLO C[C@H](c1ccccc1Br)[N@@H+]1CC[C@@H](F)C1 ZINC000451188632 331019618 /nfs/dbraw/zinc/01/96/18/331019618.db2.gz OFSZTSUYBFUNLK-NXEZZACHSA-N 1 2 272.161 3.554 20 0 CHADLO C[C@H](c1ccccc1Br)[N@H+]1CC[C@@H](F)C1 ZINC000451188632 331019619 /nfs/dbraw/zinc/01/96/19/331019619.db2.gz OFSZTSUYBFUNLK-NXEZZACHSA-N 1 2 272.161 3.554 20 0 CHADLO FCC1CC[NH+](Cc2ncc(Cl)cc2Cl)CC1 ZINC000451392325 331027188 /nfs/dbraw/zinc/02/71/88/331027188.db2.gz IROXBBPEPUMAPN-UHFFFAOYSA-N 1 2 277.170 3.570 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CC[C@@H](C(F)(F)F)C[C@@H]1C ZINC000451409992 331028335 /nfs/dbraw/zinc/02/83/35/331028335.db2.gz RJNVHDPDXMSKCU-NWDGAFQWSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CC[C@@H](C(F)(F)F)C[C@@H]1C ZINC000451409992 331028336 /nfs/dbraw/zinc/02/83/36/331028336.db2.gz RJNVHDPDXMSKCU-NWDGAFQWSA-N 1 2 289.345 3.627 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451846825 331044597 /nfs/dbraw/zinc/04/45/97/331044597.db2.gz XDGFIKOADVIBPE-ZFWWWQNUSA-N 1 2 291.391 3.538 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451846825 331044598 /nfs/dbraw/zinc/04/45/98/331044598.db2.gz XDGFIKOADVIBPE-ZFWWWQNUSA-N 1 2 291.391 3.538 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2C)n1 ZINC000451979565 331049380 /nfs/dbraw/zinc/04/93/80/331049380.db2.gz CGFSQEJBXXHNRN-MRXNPFEDSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1ccnc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2C)n1 ZINC000451979565 331049381 /nfs/dbraw/zinc/04/93/81/331049381.db2.gz CGFSQEJBXXHNRN-MRXNPFEDSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@H](C)c2nc(C(C)C)no2)c1 ZINC000452093541 331053940 /nfs/dbraw/zinc/05/39/40/331053940.db2.gz UNXSMPUXQVPSOS-QWHCGFSZSA-N 1 2 273.380 3.913 20 0 CHADLO C[C@H](CCC(=O)Nc1c[nH+]c2n1CCCC2)c1ccccc1 ZINC000452333836 331062607 /nfs/dbraw/zinc/06/26/07/331062607.db2.gz KSPXBIUCFVAEMO-CQSZACIVSA-N 1 2 297.402 3.742 20 0 CHADLO CC1(CC(=O)Nc2c[nH+]c3n2CCCC3)CCCCC1 ZINC000452335941 331062763 /nfs/dbraw/zinc/06/27/63/331062763.db2.gz SOKJWTUEAZTNTQ-UHFFFAOYSA-N 1 2 275.396 3.518 20 0 CHADLO Cn1cc[nH+]c1[C@H]1N(C(=O)CCCC(C)(C)C)CC1(C)C ZINC000452455277 331065148 /nfs/dbraw/zinc/06/51/48/331065148.db2.gz XMGVHXZIHNPKMQ-CQSZACIVSA-N 1 2 291.439 3.546 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H](C)c1cc(F)ccc1F ZINC000453074892 331086785 /nfs/dbraw/zinc/08/67/85/331086785.db2.gz OUGRKGXWKCMTTJ-MNOVXSKESA-N 1 2 279.334 3.593 20 0 CHADLO COC1(CC[NH2+]c2ccc(-n3ccc(C)n3)cc2)CCC1 ZINC000453105019 331089534 /nfs/dbraw/zinc/08/95/34/331089534.db2.gz AVUJCAFZWCVTEQ-UHFFFAOYSA-N 1 2 285.391 3.552 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CNc1cccc2c1OCO2 ZINC000453105605 331089758 /nfs/dbraw/zinc/08/97/58/331089758.db2.gz XGLATQLVMLLCPC-UHFFFAOYSA-N 1 2 284.359 3.854 20 0 CHADLO CCCn1ncc(NCc2ccc(C)[nH+]c2C)c1C1CC1 ZINC000453153414 331094806 /nfs/dbraw/zinc/09/48/06/331094806.db2.gz QKECRSDYKHHQCA-UHFFFAOYSA-N 1 2 284.407 3.794 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000453158390 331095286 /nfs/dbraw/zinc/09/52/86/331095286.db2.gz LAKFJFROLVROPO-VHSXEESVSA-N 1 2 297.324 3.732 20 0 CHADLO CCOCc1ccc(N[C@H]2C[C@H](C)n3cc[nH+]c32)cc1 ZINC000453162248 331095850 /nfs/dbraw/zinc/09/58/50/331095850.db2.gz GGQBBIOUUXTSTI-WFASDCNBSA-N 1 2 271.364 3.538 20 0 CHADLO CCOCc1ccc(N[C@@H](C)c2[nH+]ccn2CC)cc1 ZINC000453163148 331095924 /nfs/dbraw/zinc/09/59/24/331095924.db2.gz IPBUWMVWNWUGSD-ZDUSSCGKSA-N 1 2 273.380 3.613 20 0 CHADLO CCCOc1cccc(N[C@H](C)c2[nH+]ccn2CC)c1 ZINC000453167294 331096421 /nfs/dbraw/zinc/09/64/21/331096421.db2.gz AKWSCIMTDXBQJR-CYBMUJFWSA-N 1 2 273.380 3.865 20 0 CHADLO C[C@H](Cc1coc2ccccc12)[NH2+]C1(C(F)F)CC1 ZINC000453219039 331102879 /nfs/dbraw/zinc/10/28/79/331102879.db2.gz PYLJDFRVAMAWLL-SNVBAGLBSA-N 1 2 265.303 3.751 20 0 CHADLO C[C@H](CCCc1cccnc1)[NH2+][C@H](C1CC1)C(F)(F)F ZINC000453223543 331103447 /nfs/dbraw/zinc/10/34/47/331103447.db2.gz LNKKMUYGEFLUTR-BXUZGUMPSA-N 1 2 286.341 3.723 20 0 CHADLO CCCC[C@H](C)c1nc(CCc2[nH+]cccc2C)no1 ZINC000453229748 331104366 /nfs/dbraw/zinc/10/43/66/331104366.db2.gz RPLZCXMIBGGPEP-ZDUSSCGKSA-N 1 2 273.380 3.852 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H](C)c1ncc(-c2ccccc2)n1C ZINC000453239122 331105488 /nfs/dbraw/zinc/10/54/88/331105488.db2.gz MQNGWEAXRHPRET-AWEZNQCLSA-N 1 2 295.386 3.839 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(F)cc1N(C)C)C(F)F ZINC000453248266 331107108 /nfs/dbraw/zinc/10/71/08/331107108.db2.gz PBCKLJREDCMTOO-BXKDBHETSA-N 1 2 274.330 3.586 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCc2ccc(OC)cc21)C(F)F ZINC000453249356 331107419 /nfs/dbraw/zinc/10/74/19/331107419.db2.gz QGQGYPPOQDFGKX-KGLIPLIRSA-N 1 2 269.335 3.706 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(-n2cccn2)cc1)C(F)F ZINC000453254905 331108080 /nfs/dbraw/zinc/10/80/80/331108080.db2.gz YQNFEXPYQMEIBO-BXUZGUMPSA-N 1 2 279.334 3.567 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnn(Cc2ccccc2)c1)C(C)(F)F ZINC000453273980 331109809 /nfs/dbraw/zinc/10/98/09/331109809.db2.gz ALHJQNXHXWUXBG-QWHCGFSZSA-N 1 2 293.361 3.626 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1cnn(Cc2ccccc2)c1 ZINC000453273977 331109827 /nfs/dbraw/zinc/10/98/27/331109827.db2.gz ALHJQNXHXWUXBG-CHWSQXEVSA-N 1 2 293.361 3.626 20 0 CHADLO CCc1nc(C)c([C@@H](C)[NH2+][C@H](C)C(C)(F)F)s1 ZINC000453300209 331111847 /nfs/dbraw/zinc/11/18/47/331111847.db2.gz VNXNBZBVSRTFGL-VXNVDRBHSA-N 1 2 262.369 3.708 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1cccc(N2CCCC2=O)c1 ZINC000453293823 331112194 /nfs/dbraw/zinc/11/21/94/331112194.db2.gz PDXUUXFWEZGNLK-RYUDHWBXSA-N 1 2 296.361 3.508 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2ncc(C(F)(F)F)cn2)c1 ZINC000453299416 331112902 /nfs/dbraw/zinc/11/29/02/331112902.db2.gz KMOCNNQFYVWXDQ-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccsc1 ZINC000453314826 331115321 /nfs/dbraw/zinc/11/53/21/331115321.db2.gz IYTDFGXMNARBTF-GFCCVEGCSA-N 1 2 283.828 3.766 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1ccc2ccccc2n1 ZINC000453334508 331118170 /nfs/dbraw/zinc/11/81/70/331118170.db2.gz CMOSYVYJKYXBGF-IGCXYCKISA-N 1 2 292.386 3.788 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)N[C@H](c1ccccc1)C(F)(F)F ZINC000453336688 331118507 /nfs/dbraw/zinc/11/85/07/331118507.db2.gz FIUOXGBHHNUWRI-DGCLKSJQSA-N 1 2 297.324 3.857 20 0 CHADLO COc1cccnc1C[NH2+][C@@H](C)c1ccc(F)cc1Cl ZINC000453369094 331123744 /nfs/dbraw/zinc/12/37/44/331123744.db2.gz PWLCAYPVCZROPU-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2cnc(C3CC3)o2)c(F)c1 ZINC000453369236 331123856 /nfs/dbraw/zinc/12/38/56/331123856.db2.gz SVBUKVKILDRQSD-SNVBAGLBSA-N 1 2 290.338 3.551 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2csc(C(C)C)n2)o1 ZINC000453370454 331123990 /nfs/dbraw/zinc/12/39/90/331123990.db2.gz IBHMPMBNILBRDV-JTQLQIEISA-N 1 2 279.409 3.668 20 0 CHADLO CCCC[C@H](CCC)NC(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21 ZINC000454616241 331149897 /nfs/dbraw/zinc/14/98/97/331149897.db2.gz LWLIUWATOBXJRS-IHRRRGAJSA-N 1 2 292.427 3.547 20 0 CHADLO C[C@H]1CC=C(C(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)CC1 ZINC000454902741 331156344 /nfs/dbraw/zinc/15/63/44/331156344.db2.gz CRNANSHTVBSPBU-LBPRGKRZSA-N 1 2 299.349 3.696 20 0 CHADLO Cc1cccc([C@H](C)NC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000455035796 331159355 /nfs/dbraw/zinc/15/93/55/331159355.db2.gz BMJYSDQWYXCSMN-ZDUSSCGKSA-N 1 2 283.375 3.890 20 0 CHADLO CC[C@H](NC(=O)Nc1c(C)cc[nH+]c1C)C1CCCC1 ZINC000455435507 331169859 /nfs/dbraw/zinc/16/98/59/331169859.db2.gz IQMXASRUZUYOCA-AWEZNQCLSA-N 1 2 275.396 3.789 20 0 CHADLO CSCC[C@@H](C)N(C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455480057 331170656 /nfs/dbraw/zinc/17/06/56/331170656.db2.gz HBNHVUNYDCMEEB-GFCCVEGCSA-N 1 2 295.452 3.612 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@@H](C)CC(C)(C)C2)c(C)[nH+]1 ZINC000455480969 331170747 /nfs/dbraw/zinc/17/07/47/331170747.db2.gz HMEPTSPXQFTDAS-NSHDSACASA-N 1 2 289.423 3.907 20 0 CHADLO CC[C@@H]1CCC[C@@H]1NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455484657 331170782 /nfs/dbraw/zinc/17/07/82/331170782.db2.gz JUSOPFLNWCUSMN-KGLIPLIRSA-N 1 2 275.396 3.707 20 0 CHADLO CC[C@H]1CCC[C@H]1NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455484660 331170839 /nfs/dbraw/zinc/17/08/39/331170839.db2.gz JUSOPFLNWCUSMN-UONOGXRCSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@H]2CC[C@H](C)C2)c(C)[nH+]1 ZINC000455527536 331172202 /nfs/dbraw/zinc/17/22/02/331172202.db2.gz QHPPSSMFHRNPIV-HZMBPMFUSA-N 1 2 275.396 3.565 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)C[C@@H](C)[C@@H]2C)c(C)[nH+]1 ZINC000455528559 331172338 /nfs/dbraw/zinc/17/23/38/331172338.db2.gz QPIRDKRMWFDYCX-HFAKWTLXSA-N 1 2 289.423 3.905 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000456353435 331190657 /nfs/dbraw/zinc/19/06/57/331190657.db2.gz MIVJPFCFMNDWGW-HIFRSBDPSA-N 1 2 291.439 3.687 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000456366734 331191232 /nfs/dbraw/zinc/19/12/32/331191232.db2.gz GYKJYJHOMUGCCQ-HZSPNIEDSA-N 1 2 277.412 3.612 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)CC[C@@H](C)c1ccccc1 ZINC000456369740 331191392 /nfs/dbraw/zinc/19/13/92/331191392.db2.gz YBTQBKIOISFBEQ-HUUCEWRRSA-N 1 2 299.418 3.664 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)[C@@H](c1ccccc1)C(C)C ZINC000456372614 331191510 /nfs/dbraw/zinc/19/15/10/331191510.db2.gz ZJZHGQUASUMLMG-GDBMZVCRSA-N 1 2 299.418 3.520 20 0 CHADLO CCC[C@@H](C(=O)N[C@H](C)c1[nH+]ccn1CC)c1ccccc1 ZINC000456377983 331191731 /nfs/dbraw/zinc/19/17/31/331191731.db2.gz IKJKQYMXZHOEDP-GDBMZVCRSA-N 1 2 299.418 3.664 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)c2cc3ccccc3s2)c2[nH+]ccn21 ZINC000456385158 331191952 /nfs/dbraw/zinc/19/19/52/331191952.db2.gz OBLMYRHYBIPNTK-PWSUYJOCSA-N 1 2 297.383 3.534 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)CCCCC(C)(C)C)c2[nH+]ccn21 ZINC000456416323 331192259 /nfs/dbraw/zinc/19/22/59/331192259.db2.gz JWBKHBXCCMRAIN-OLZOCXBDSA-N 1 2 277.412 3.612 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)C[C@H]2CCCCC2(C)C)c2[nH+]ccn21 ZINC000456427580 331192452 /nfs/dbraw/zinc/19/24/52/331192452.db2.gz RBZMLVYVIGWHHQ-MGPQQGTHSA-N 1 2 289.423 3.612 20 0 CHADLO Cc1cc(C)c(CNC(=O)CCCC2CCCC2)c[nH+]1 ZINC000456828364 331206322 /nfs/dbraw/zinc/20/63/22/331206322.db2.gz BUMVBPNJWDAHIE-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO CCCC[C@@H](CC)CC(=O)NCc1c[nH+]c(C)cc1C ZINC000456841606 331207213 /nfs/dbraw/zinc/20/72/13/331207213.db2.gz PBPIWDDNKSQBRJ-OAHLLOKOSA-N 1 2 276.424 3.921 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc3c(c2)CCC=C3)c[nH+]1 ZINC000456850113 331207432 /nfs/dbraw/zinc/20/74/32/331207432.db2.gz VWUXCDMYYCWBSK-UHFFFAOYSA-N 1 2 292.382 3.588 20 0 CHADLO CCC[C@@H](NC(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CCC1 ZINC000458311125 331248046 /nfs/dbraw/zinc/24/80/46/331248046.db2.gz RGUXSHRFEBRYLU-QGZVFWFLSA-N 1 2 297.402 3.571 20 0 CHADLO CC[N@H+](Cc1cc(COC)on1)[C@H](C)c1ccc(F)cc1 ZINC000459329886 331276408 /nfs/dbraw/zinc/27/64/08/331276408.db2.gz RCYGXSDDGABCTE-GFCCVEGCSA-N 1 2 292.354 3.543 20 0 CHADLO CC[N@@H+](Cc1cc(COC)on1)[C@H](C)c1ccc(F)cc1 ZINC000459329886 331276409 /nfs/dbraw/zinc/27/64/09/331276409.db2.gz RCYGXSDDGABCTE-GFCCVEGCSA-N 1 2 292.354 3.543 20 0 CHADLO FC(F)c1ccnc(C[NH2+]Cc2ccc(C3CC3)cc2)n1 ZINC000459567191 331288338 /nfs/dbraw/zinc/28/83/38/331288338.db2.gz JNWPLVCUJKRAAN-UHFFFAOYSA-N 1 2 289.329 3.581 20 0 CHADLO Cn1ccnc1[C@@H]1[N@H+](Cc2ccc(C3CC3)cc2)CC1(C)C ZINC000459577992 331289042 /nfs/dbraw/zinc/28/90/42/331289042.db2.gz XFBGMWXLPVTMJY-KRWDZBQOSA-N 1 2 295.430 3.881 20 0 CHADLO Cn1ccnc1[C@@H]1[N@@H+](Cc2ccc(C3CC3)cc2)CC1(C)C ZINC000459577992 331289043 /nfs/dbraw/zinc/28/90/43/331289043.db2.gz XFBGMWXLPVTMJY-KRWDZBQOSA-N 1 2 295.430 3.881 20 0 CHADLO CSCc1cnc(C[NH+]2CC3(C2)CCCCC3)s1 ZINC000459595311 331289866 /nfs/dbraw/zinc/28/98/66/331289866.db2.gz LWKKJHKLBSIDEF-UHFFFAOYSA-N 1 2 282.478 3.772 20 0 CHADLO COCCC1(C)C[NH+](Cc2cscc2C(F)(F)F)C1 ZINC000459631045 331291216 /nfs/dbraw/zinc/29/12/16/331291216.db2.gz IBVSGXMMFZLRHR-UHFFFAOYSA-N 1 2 293.354 3.625 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CS3)c(C(F)(F)F)c1 ZINC000459773276 331300118 /nfs/dbraw/zinc/30/01/18/331300118.db2.gz VASUIDQGUFQUMU-QWRGUYRKSA-N 1 2 291.313 3.534 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CS3)c(C(F)(F)F)c1 ZINC000459773276 331300119 /nfs/dbraw/zinc/30/01/19/331300119.db2.gz VASUIDQGUFQUMU-QWRGUYRKSA-N 1 2 291.313 3.534 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C(=O)OC)c1ccsc1)C1CCC1 ZINC000459814712 331301941 /nfs/dbraw/zinc/30/19/41/331301941.db2.gz XNBBACPAIYHIHN-ZIAGYGMSSA-N 1 2 281.421 3.521 20 0 CHADLO C[C@H](CC1CCCCC1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000460333746 331309251 /nfs/dbraw/zinc/30/92/51/331309251.db2.gz IIBOQVMVTCYARC-CYBMUJFWSA-N 1 2 285.391 3.879 20 0 CHADLO CCC[C@@H]1C[C@H]1C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000462274718 331318888 /nfs/dbraw/zinc/31/88/88/331318888.db2.gz DWRLXLXCXNHYMC-TZMCWYRMSA-N 1 2 297.402 3.825 20 0 CHADLO CO[C@@H]1CCCC[C@@H]1Nc1ccc2ccccc2[nH+]1 ZINC000462914638 331334937 /nfs/dbraw/zinc/33/49/37/331334937.db2.gz UEPFAESUXMHSIC-LSDHHAIUSA-N 1 2 256.349 3.604 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C(C)C)n1)c1ccc(C)cc1 ZINC000462929711 331335292 /nfs/dbraw/zinc/33/52/92/331335292.db2.gz OIGLPLMKMDOWKT-OAHLLOKOSA-N 1 2 269.392 3.894 20 0 CHADLO CC(C)c1nc(NC[C@@H](C)Sc2ccccc2)cc[nH+]1 ZINC000463086559 331339931 /nfs/dbraw/zinc/33/99/31/331339931.db2.gz OZOMMWQJSVANBC-CYBMUJFWSA-N 1 2 287.432 3.615 20 0 CHADLO CCCC[C@H]([NH2+]C1CC(c2ccc(C)cc2)C1)C(=O)OC ZINC000463293822 331345612 /nfs/dbraw/zinc/34/56/12/331345612.db2.gz NPPXNLSODFZURK-JCYILVPMSA-N 1 2 289.419 3.562 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1cccs1 ZINC000463518279 331348857 /nfs/dbraw/zinc/34/88/57/331348857.db2.gz QQKZBCQHJNRPEI-UHFFFAOYSA-N 1 2 291.807 3.805 20 0 CHADLO Cc1cc(NC(=O)C2(c3ccccc3C)CCCC2)cc[nH+]1 ZINC000464401602 331360304 /nfs/dbraw/zinc/36/03/04/331360304.db2.gz GLSJKUJRMNJOBU-UHFFFAOYSA-N 1 2 294.398 3.571 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCSC(CC)(CC)C2)no1 ZINC000464582111 331363230 /nfs/dbraw/zinc/36/32/30/331363230.db2.gz LJAOIRPBABVRND-UHFFFAOYSA-N 1 2 297.468 3.520 20 0 CHADLO CCCCc1nc(C[N@H+]2CCSC(CC)(CC)C2)no1 ZINC000464582111 331363231 /nfs/dbraw/zinc/36/32/31/331363231.db2.gz LJAOIRPBABVRND-UHFFFAOYSA-N 1 2 297.468 3.520 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@@](C)(CCC)C2)no1 ZINC000464846958 331370818 /nfs/dbraw/zinc/37/08/18/331370818.db2.gz KOTWGKWURJGYKA-MRXNPFEDSA-N 1 2 279.428 3.814 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@@](C)(CCC)C2)no1 ZINC000464846958 331370819 /nfs/dbraw/zinc/37/08/19/331370819.db2.gz KOTWGKWURJGYKA-MRXNPFEDSA-N 1 2 279.428 3.814 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C1(CC(C)C)CCCC1 ZINC000465462768 331384173 /nfs/dbraw/zinc/38/41/73/331384173.db2.gz JCMHKVJLTUDYDK-UHFFFAOYSA-N 1 2 274.408 3.611 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C(CC)(CC)c1ccccc1 ZINC000465463649 331384209 /nfs/dbraw/zinc/38/42/09/331384209.db2.gz XWBHGCVZNJCIEF-UHFFFAOYSA-N 1 2 296.414 3.762 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1ccc(F)cc1Cl)CO2 ZINC000466453820 331397497 /nfs/dbraw/zinc/39/74/97/331397497.db2.gz HEISTDSFGDEMFE-CQSZACIVSA-N 1 2 295.716 3.842 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+][C@H]2COc3ccc(F)cc32)o1 ZINC000466471560 331397888 /nfs/dbraw/zinc/39/78/88/331397888.db2.gz SXCTZQQSMCAXRQ-DGFSRKRXSA-N 1 2 287.334 3.765 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3cc(C)ccc3C)ccc2[nH+]1 ZINC000466552925 331399769 /nfs/dbraw/zinc/39/97/69/331399769.db2.gz QLXPONLWWICPJE-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO CCc1cc(N2CC[C@@](C)(CC)C2)nc(-c2ccncc2)[nH+]1 ZINC000467459071 331420428 /nfs/dbraw/zinc/42/04/28/331420428.db2.gz ZMLWREAXUCGKTG-GOSISDBHSA-N 1 2 296.418 3.727 20 0 CHADLO Cc1cc(OCCOCC(F)F)c2cccc(C)c2[nH+]1 ZINC000468392101 331433766 /nfs/dbraw/zinc/43/37/66/331433766.db2.gz KMOFFTHGEKUODY-UHFFFAOYSA-N 1 2 281.302 3.512 20 0 CHADLO CCN(Cc1c[nH+]c2ccccn12)c1ccccc1C ZINC000469223514 331447923 /nfs/dbraw/zinc/44/79/23/331447923.db2.gz LCKBXLSTWRAEMA-UHFFFAOYSA-N 1 2 265.360 3.669 20 0 CHADLO CC(C)CN(Cc1c[nH+]c2ccccn12)c1cccc(O)c1 ZINC000469245965 331448416 /nfs/dbraw/zinc/44/84/16/331448416.db2.gz ZNIDZRWAXPNLJE-UHFFFAOYSA-N 1 2 295.386 3.703 20 0 CHADLO CC[C@H](C)[C@@H]([NH2+]C/C=C/c1ccc(Cl)cc1)C(=O)OC ZINC000469784219 331458334 /nfs/dbraw/zinc/45/83/34/331458334.db2.gz WAUKCGNHJBTCMH-UCNGNXAWSA-N 1 2 295.810 3.531 20 0 CHADLO CC[C@@H](NC(=O)CCc1ccc(C(C)C)cc1)c1[nH]cc[nH+]1 ZINC000470702772 331487734 /nfs/dbraw/zinc/48/77/34/331487734.db2.gz FSKHHJFQSQQAKX-MRXNPFEDSA-N 1 2 299.418 3.733 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1coc2cc(C)c(C)cc12 ZINC000471808165 331521366 /nfs/dbraw/zinc/52/13/66/331521366.db2.gz FZICOYREKNISNZ-UHFFFAOYSA-N 1 2 294.354 3.681 20 0 CHADLO FC(F)(F)C1CCC(CSCCn2cc[nH+]c2)CC1 ZINC000471909743 331523894 /nfs/dbraw/zinc/52/38/94/331523894.db2.gz WNXYMQUMEPEQPG-UHFFFAOYSA-N 1 2 292.370 3.985 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H](C)C1CCC1)c1ccc2ccccc2c1 ZINC000474923192 331604213 /nfs/dbraw/zinc/60/42/13/331604213.db2.gz CBCYBGKNMBQIJH-ACJLOTCBSA-N 1 2 297.398 3.832 20 0 CHADLO CCC[C@@](C)(CC)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000476550976 331666320 /nfs/dbraw/zinc/66/63/20/331666320.db2.gz TZHFZIKNSIGIHQ-GOSISDBHSA-N 1 2 299.418 3.909 20 0 CHADLO Cc1cc(N2CC[C@H](C(F)(F)F)[C@H]2C)nc(C(C)C)[nH+]1 ZINC000480550226 331775967 /nfs/dbraw/zinc/77/59/67/331775967.db2.gz GFTVETRGAOITRE-MNOVXSKESA-N 1 2 287.329 3.686 20 0 CHADLO Fc1cccc([C@@H]2CC[C@@H](Nc3cccc[nH+]3)C2)c1 ZINC000480790111 331788594 /nfs/dbraw/zinc/78/85/94/331788594.db2.gz WQEWBKFRFVHJJV-UKRRQHHQSA-N 1 2 256.324 3.969 20 0 CHADLO Cc1cc(N[C@H]2C[C@H](c3ccc(Cl)cc3)C2)nc[nH+]1 ZINC000481064556 331796792 /nfs/dbraw/zinc/79/67/92/331796792.db2.gz ZUEMUOUZWWIGPR-MQMHXKEQSA-N 1 2 273.767 3.797 20 0 CHADLO CCCc1[nH+]c2ccccc2n1C[C@H](O)CCC(C)C ZINC000481724987 331813417 /nfs/dbraw/zinc/81/34/17/331813417.db2.gz DIZRHIQUBCVSCH-CQSZACIVSA-N 1 2 274.408 3.786 20 0 CHADLO FC(F)(F)[C@@H]1CCCC[C@@H]1CNc1cccc[nH+]1 ZINC000484804453 331905310 /nfs/dbraw/zinc/90/53/10/331905310.db2.gz FBZKKDPVBIQPDM-GHMZBOCLSA-N 1 2 258.287 3.862 20 0 CHADLO CCCCC[C@H]1CCCN1c1cc[nH+]c(C2CC2)n1 ZINC000486895309 331948596 /nfs/dbraw/zinc/94/85/96/331948596.db2.gz FGUVGRCXBOFUIC-AWEZNQCLSA-N 1 2 259.397 3.903 20 0 CHADLO CCn1cc[nH+]c1CNc1ccc(C2CCC2)cc1 ZINC000487167171 331957874 /nfs/dbraw/zinc/95/78/74/331957874.db2.gz QXUYUYYNSUJJSD-UHFFFAOYSA-N 1 2 255.365 3.783 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2sccc2Cl)s1 ZINC000487276629 331962314 /nfs/dbraw/zinc/96/23/14/331962314.db2.gz LPQGEDHHBOGXCX-UHFFFAOYSA-N 1 2 287.841 3.666 20 0 CHADLO C[C@@H]1C[C@@H]1CNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000488440028 332008711 /nfs/dbraw/zinc/00/87/11/332008711.db2.gz AGGVZGKYFQWNCT-UKRRQHHQSA-N 1 2 279.387 3.670 20 0 CHADLO Cc1cc(N2CC3(CCC3)[C@@H]2C(C)C)nc(C(C)C)[nH+]1 ZINC000488508447 332014627 /nfs/dbraw/zinc/01/46/27/332014627.db2.gz SYPFBKYLYXHRLU-HNNXBMFYSA-N 1 2 273.424 3.923 20 0 CHADLO CC[C@@H](C)Sc1[nH+]cnc2c1cnn2Cc1ccccc1 ZINC000489796524 332067952 /nfs/dbraw/zinc/06/79/52/332067952.db2.gz XFMSIXAVLDQGNK-GFCCVEGCSA-N 1 2 298.415 3.765 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCCCc1ccc(C)cc1 ZINC000511891855 332946975 /nfs/dbraw/zinc/94/69/75/332946975.db2.gz QOFDOGFIYLBFHW-UHFFFAOYSA-N 1 2 296.414 3.726 20 0 CHADLO Cc1ccc(C[NH2+]C(C)(C)c2cc(C(F)(F)F)on2)o1 ZINC000492064393 332381170 /nfs/dbraw/zinc/38/11/70/332381170.db2.gz QDLFFUMRJZSHAB-UHFFFAOYSA-N 1 2 288.269 3.620 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)C=CC2CCC2)[nH+]1 ZINC000492212920 332387442 /nfs/dbraw/zinc/38/74/42/332387442.db2.gz DYTQCSXTZJOJBB-MDZDMXLPSA-N 1 2 281.359 3.680 20 0 CHADLO Cc1ccc(NC(=O)/C=C\c2ccc3[nH]ccc3c2)c(C)[nH+]1 ZINC000492257373 332389337 /nfs/dbraw/zinc/38/93/37/332389337.db2.gz DZUWJCQYPFQFAI-YVMONPNESA-N 1 2 291.354 3.832 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1ccc(C)cc1Cl ZINC000492312646 332391923 /nfs/dbraw/zinc/39/19/23/332391923.db2.gz MIXZRULADIJYIL-SREVYHEPSA-N 1 2 289.766 3.517 20 0 CHADLO O=C(/C=C\c1[nH]cc[nH+]1)N1CCCCC[C@H]1c1ccccc1 ZINC000493110355 332426555 /nfs/dbraw/zinc/42/65/55/332426555.db2.gz ZXQVOOKLIWXZLD-SNGWPZLWSA-N 1 2 295.386 3.567 20 0 CHADLO O=C(N[C@H]1CC=CCC1)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000172484643 335106584 /nfs/dbraw/zinc/10/65/84/335106584.db2.gz FYAYPILNVPRMSN-HNNXBMFYSA-N 1 2 294.354 3.712 20 0 CHADLO CCCOc1cccc2c(N[C@@H]3CCCOC3)cc[nH+]c12 ZINC000494066363 332462435 /nfs/dbraw/zinc/46/24/35/332462435.db2.gz OREKGSCSEWIGAD-CYBMUJFWSA-N 1 2 286.375 3.615 20 0 CHADLO CC(C)Oc1ccc(C[NH+]2C[C@H](C)O[C@@H](C)C2)cc1Cl ZINC000494110217 332463747 /nfs/dbraw/zinc/46/37/47/332463747.db2.gz ZRIWXXCNTJPZTQ-STQMWFEESA-N 1 2 297.826 3.736 20 0 CHADLO CCCc1csc(CNc2cccc(-n3cc[nH+]c3)c2)n1 ZINC000494198769 332466381 /nfs/dbraw/zinc/46/63/81/332466381.db2.gz PQDMPAZFGZRNQG-UHFFFAOYSA-N 1 2 298.415 3.893 20 0 CHADLO Fc1cncc(C[NH2+][C@@H](c2ccco2)c2ccccc2)c1 ZINC000494548924 332477930 /nfs/dbraw/zinc/47/79/30/332477930.db2.gz PEMMHOCYVYMYMD-QGZVFWFLSA-N 1 2 282.318 3.693 20 0 CHADLO Cc1ccc(CN2CCN(C3CC3)c3ccccc32)c(C)[nH+]1 ZINC000495209603 332511968 /nfs/dbraw/zinc/51/19/68/332511968.db2.gz BMMVYTMVATXCNL-UHFFFAOYSA-N 1 2 293.414 3.687 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C(C)C)n1)c1ccc(OC)cc1 ZINC000498827140 332573910 /nfs/dbraw/zinc/57/39/10/332573910.db2.gz JLCFIVZAGSCBHJ-OAHLLOKOSA-N 1 2 285.391 3.594 20 0 CHADLO CCOC(=O)CC(C)(C)CC[NH2+][C@](C)(CC)C(F)(F)F ZINC000566552939 334654996 /nfs/dbraw/zinc/65/49/96/334654996.db2.gz GPTFEXVEEQIOEH-CYBMUJFWSA-N 1 2 297.361 3.677 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H](C)[C@H](C)C1CCCCC1 ZINC000504149158 332704747 /nfs/dbraw/zinc/70/47/47/332704747.db2.gz BFOKZFMJNCXANK-KBPBESRZSA-N 1 2 289.423 3.538 20 0 CHADLO Cc1ccc([C@@H](C)N(C)C(=O)Nc2cc[nH+]c(C)c2)c(C)c1 ZINC000504149081 332704824 /nfs/dbraw/zinc/70/48/24/332704824.db2.gz NBDKJIMUJKRXPY-OAHLLOKOSA-N 1 2 297.402 3.654 20 0 CHADLO Cc1ncsc1CCOc1cc(C)[nH+]c2ccccc21 ZINC000504963958 332726339 /nfs/dbraw/zinc/72/63/39/332726339.db2.gz ZUHAEZMZHHYYKD-UHFFFAOYSA-N 1 2 284.384 3.930 20 0 CHADLO CC(C)c1[nH]ccc1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000505640032 332747815 /nfs/dbraw/zinc/74/78/15/332747815.db2.gz RQJCSCCJIUYSRQ-UHFFFAOYSA-N 1 2 294.358 3.576 20 0 CHADLO CC[C@@]([NH2+]CC1CCC1)(C(=O)OC)c1ccc(Cl)cc1 ZINC000512259452 332960636 /nfs/dbraw/zinc/96/06/36/332960636.db2.gz KNUJKDJRTYRXKF-INIZCTEOSA-N 1 2 295.810 3.508 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)C(C)(C)C3CC3)ccc2[nH+]1 ZINC000512721811 332981518 /nfs/dbraw/zinc/98/15/18/332981518.db2.gz XBTUNHWPRIPREY-UHFFFAOYSA-N 1 2 297.402 3.676 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000174663285 333005185 /nfs/dbraw/zinc/00/51/85/333005185.db2.gz UEPHQRGEYNCCHP-LLVKDONJSA-N 1 2 291.370 3.665 20 0 CHADLO C[C@H](c1ccccc1Br)[N@@H+]1CCOC(C)(C)C1 ZINC000513682738 333032143 /nfs/dbraw/zinc/03/21/43/333032143.db2.gz BMMFQBIFSPCLKV-LLVKDONJSA-N 1 2 298.224 3.621 20 0 CHADLO C[C@H](c1ccccc1Br)[N@H+]1CCOC(C)(C)C1 ZINC000513682738 333032144 /nfs/dbraw/zinc/03/21/44/333032144.db2.gz BMMFQBIFSPCLKV-LLVKDONJSA-N 1 2 298.224 3.621 20 0 CHADLO CC(C)(C)c1[nH]c2ccc(NC(=O)C3=CCCC3)cc2[nH+]1 ZINC000177794885 333063045 /nfs/dbraw/zinc/06/30/45/333063045.db2.gz MTWLEZXTMODWJP-UHFFFAOYSA-N 1 2 283.375 3.909 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)c1F ZINC000177988662 333066267 /nfs/dbraw/zinc/06/62/67/333066267.db2.gz QBNBXFCDNZYWCY-SNVBAGLBSA-N 1 2 279.252 3.739 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)c1F ZINC000177988662 333066268 /nfs/dbraw/zinc/06/62/68/333066268.db2.gz QBNBXFCDNZYWCY-SNVBAGLBSA-N 1 2 279.252 3.739 20 0 CHADLO Cc1ccc(NC(=O)Cc2ccc(F)cc2Cl)c(C)[nH+]1 ZINC000178118186 333067964 /nfs/dbraw/zinc/06/79/64/333067964.db2.gz CXWRTHAJIFHITN-UHFFFAOYSA-N 1 2 292.741 3.672 20 0 CHADLO Cc1ncsc1CCSCCc1cccc(C)[nH+]1 ZINC000516770855 333082238 /nfs/dbraw/zinc/08/22/38/333082238.db2.gz UVDDFKLDMNQMAE-UHFFFAOYSA-N 1 2 278.446 3.673 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)c2cccc(F)c2)c(C)[nH+]1 ZINC000172724768 335120018 /nfs/dbraw/zinc/12/00/18/335120018.db2.gz QDKGDXZSDZVIPV-LBPRGKRZSA-N 1 2 286.350 3.888 20 0 CHADLO CCc1cc(C[NH2+]Cc2ccccc2OCC(C)C)on1 ZINC000517216120 333094851 /nfs/dbraw/zinc/09/48/51/333094851.db2.gz MLUYPYKMGSCMRJ-UHFFFAOYSA-N 1 2 288.391 3.562 20 0 CHADLO CCC[C@@H](CC)Nc1cc(CC)[nH+]c(-c2ccncc2)n1 ZINC000517602205 333103389 /nfs/dbraw/zinc/10/33/89/333103389.db2.gz CZIPNPCHUOJDEO-CQSZACIVSA-N 1 2 284.407 3.514 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccc(C(F)F)cc1 ZINC000183954862 333123818 /nfs/dbraw/zinc/12/38/18/333123818.db2.gz PZLLJLLBQJTTLR-NSHDSACASA-N 1 2 299.361 3.786 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccc(C(F)F)cc1 ZINC000183954862 333123820 /nfs/dbraw/zinc/12/38/20/333123820.db2.gz PZLLJLLBQJTTLR-NSHDSACASA-N 1 2 299.361 3.786 20 0 CHADLO CCC1(CC)C[N@@H+]([C@@H](C)c2cccc(F)c2)CC[S@]1=O ZINC000185239154 333137336 /nfs/dbraw/zinc/13/73/36/333137336.db2.gz QQLXEMQGVUYRGF-RNODOKPDSA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@@H](C)c2cccc(F)c2)CC[S@]1=O ZINC000185239154 333137337 /nfs/dbraw/zinc/13/73/37/333137337.db2.gz QQLXEMQGVUYRGF-RNODOKPDSA-N 1 2 297.439 3.510 20 0 CHADLO COc1ccc(F)cc1C[NH2+]Cc1c(F)cccc1Cl ZINC000189203083 333184648 /nfs/dbraw/zinc/18/46/48/333184648.db2.gz ZIZYSZSPFRAMBF-UHFFFAOYSA-N 1 2 297.732 3.917 20 0 CHADLO Fc1cccc(Oc2ccccc2C[NH2+]Cc2ncc[nH]2)c1 ZINC000521841423 333192712 /nfs/dbraw/zinc/19/27/12/333192712.db2.gz IEGBBWSEBJVYNK-UHFFFAOYSA-N 1 2 297.333 3.631 20 0 CHADLO CC[N@H+](Cc1nccn1C(F)F)Cc1ccc(C)cc1 ZINC000521859508 333193995 /nfs/dbraw/zinc/19/39/95/333193995.db2.gz FITRHJFMXLHBKB-UHFFFAOYSA-N 1 2 279.334 3.609 20 0 CHADLO CC[N@@H+](Cc1nccn1C(F)F)Cc1ccc(C)cc1 ZINC000521859508 333193996 /nfs/dbraw/zinc/19/39/96/333193996.db2.gz FITRHJFMXLHBKB-UHFFFAOYSA-N 1 2 279.334 3.609 20 0 CHADLO Clc1ccc2[nH+]c(CSCC[C@@H]3CCCO3)cn2c1 ZINC000190047966 333202656 /nfs/dbraw/zinc/20/26/56/333202656.db2.gz CRVIYWCPXFBDDM-ZDUSSCGKSA-N 1 2 296.823 3.790 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2ccccc2OC(F)F)o1 ZINC000223642048 333210305 /nfs/dbraw/zinc/21/03/05/333210305.db2.gz MTHWBLKTHLKJRS-QWRGUYRKSA-N 1 2 296.317 3.996 20 0 CHADLO Cc1cc(OCCCOCC(F)(F)F)c2ccccc2[nH+]1 ZINC000192192220 333232221 /nfs/dbraw/zinc/23/22/21/333232221.db2.gz DHMGWZPZDDTUJB-UHFFFAOYSA-N 1 2 299.292 3.891 20 0 CHADLO Cc1ccn2c(CNc3ccc4scnc4c3)c[nH+]c2c1 ZINC000192257834 333233737 /nfs/dbraw/zinc/23/37/37/333233737.db2.gz JZVDBLIPIVTQFP-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)c3cccs3)ccc2[nH+]1 ZINC000195057372 333243842 /nfs/dbraw/zinc/24/38/42/333243842.db2.gz RBPMCBPYXGXXER-UHFFFAOYSA-N 1 2 297.383 3.615 20 0 CHADLO CCC[C@H](C)CC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000522137800 333248696 /nfs/dbraw/zinc/24/86/96/333248696.db2.gz LVIQSNVNIPMDEU-ZDUSSCGKSA-N 1 2 285.391 3.852 20 0 CHADLO Cc1ccc(F)cc1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000193367750 333248837 /nfs/dbraw/zinc/24/88/37/333248837.db2.gz PMBNVKCGZBFXHK-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCCc1csc(C)n1 ZINC000226428322 333260748 /nfs/dbraw/zinc/26/07/48/333260748.db2.gz IAQAIBMNBASPMK-UHFFFAOYSA-N 1 2 299.399 3.663 20 0 CHADLO CCCOc1cccc2c(NC3CCOCC3)cc[nH+]c12 ZINC000194705564 333275864 /nfs/dbraw/zinc/27/58/64/333275864.db2.gz JPJNHAVUQBFCSU-UHFFFAOYSA-N 1 2 286.375 3.615 20 0 CHADLO Cc1cc(Nc2ccc(F)c(C)c2)nc(C2CC2)[nH+]1 ZINC000522568078 333290309 /nfs/dbraw/zinc/29/03/09/333290309.db2.gz LEFIGSJUHAJLQS-UHFFFAOYSA-N 1 2 257.312 3.854 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(Cl)c(OC)c2)c1 ZINC000227933981 333290777 /nfs/dbraw/zinc/29/07/77/333290777.db2.gz HIJJXNWQOHCFOV-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO C[C@H](CNc1ccc2ccccc2[nH+]1)c1nccs1 ZINC000230604902 333318956 /nfs/dbraw/zinc/31/89/56/333318956.db2.gz WBXJIMPLSCAQJW-LLVKDONJSA-N 1 2 269.373 3.907 20 0 CHADLO Cc1c2ccccc2n(C)c1CNc1c[nH+]cc(C)c1 ZINC000232074138 333333680 /nfs/dbraw/zinc/33/36/80/333333680.db2.gz BSLHQENAOZNKHO-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1CCOc1ccccc1Cl ZINC000302742968 333335187 /nfs/dbraw/zinc/33/51/87/333335187.db2.gz BYOOCPDFGXTJKY-ZDUSSCGKSA-N 1 2 290.794 3.597 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1CCOc1ccccc1Cl ZINC000302742968 333335188 /nfs/dbraw/zinc/33/51/88/333335188.db2.gz BYOOCPDFGXTJKY-ZDUSSCGKSA-N 1 2 290.794 3.597 20 0 CHADLO CCC[N@H+](CCCOc1ccccc1C)CC(F)F ZINC000303066165 333337350 /nfs/dbraw/zinc/33/73/50/333337350.db2.gz ZQJIOJOWOKXQPB-UHFFFAOYSA-N 1 2 271.351 3.741 20 0 CHADLO CCC[N@@H+](CCCOc1ccccc1C)CC(F)F ZINC000303066165 333337352 /nfs/dbraw/zinc/33/73/52/333337352.db2.gz ZQJIOJOWOKXQPB-UHFFFAOYSA-N 1 2 271.351 3.741 20 0 CHADLO C[C@H](C(=O)Nc1ccc2[nH+]c(N(C)C)ccc2c1)C(C)(C)C ZINC000523714872 333341366 /nfs/dbraw/zinc/34/13/66/333341366.db2.gz WGSLJBVTMTXSOP-GFCCVEGCSA-N 1 2 299.418 3.922 20 0 CHADLO Cn1c2ccccc2[nH+]c1CCSC1CCCC1 ZINC000524035118 333352243 /nfs/dbraw/zinc/35/22/43/333352243.db2.gz UKQJKTWLDYVEJM-UHFFFAOYSA-N 1 2 260.406 3.792 20 0 CHADLO CC(C)[N@H+](Cc1cn2ccccc2n1)Cc1ccccc1F ZINC000524547687 333365967 /nfs/dbraw/zinc/36/59/67/333365967.db2.gz LKCGMEGQWUQMPE-UHFFFAOYSA-N 1 2 297.377 3.884 20 0 CHADLO CC(C)[N@@H+](Cc1cn2ccccc2n1)Cc1ccccc1F ZINC000524547687 333365968 /nfs/dbraw/zinc/36/59/68/333365968.db2.gz LKCGMEGQWUQMPE-UHFFFAOYSA-N 1 2 297.377 3.884 20 0 CHADLO CO[C@@H](Cn1c[nH+]c2cc(F)c(F)cc21)c1ccccc1 ZINC000338404496 335136225 /nfs/dbraw/zinc/13/62/25/335136225.db2.gz CTSFSTPUKBQRTD-INIZCTEOSA-N 1 2 288.297 3.702 20 0 CHADLO Fc1ccc2[nH+]c(NCc3ccc(F)c(F)c3)[nH]c2c1 ZINC000236188383 333373157 /nfs/dbraw/zinc/37/31/57/333373157.db2.gz AJWDPLMTGDVURC-UHFFFAOYSA-N 1 2 277.249 3.592 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nnc(C3CC3)o2)[C@@H](c2ccco2)C1 ZINC000524753308 333386177 /nfs/dbraw/zinc/38/61/77/333386177.db2.gz CYCGIPQVOYUGFO-WCQYABFASA-N 1 2 287.363 3.513 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nnc(C3CC3)o2)[C@@H](c2ccco2)C1 ZINC000524753308 333386179 /nfs/dbraw/zinc/38/61/79/333386179.db2.gz CYCGIPQVOYUGFO-WCQYABFASA-N 1 2 287.363 3.513 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)C3CC=CC3)cc2[nH+]1 ZINC000524819918 333389595 /nfs/dbraw/zinc/38/95/95/333389595.db2.gz DYQNMDOAHKSQRP-UHFFFAOYSA-N 1 2 283.375 3.810 20 0 CHADLO CC[C@@H]1CCC[C@@H](Nc2cc(C)[nH+]c(-c3cccnc3)n2)C1 ZINC000524843488 333390212 /nfs/dbraw/zinc/39/02/12/333390212.db2.gz QBSWDIJLAMOWKV-GDBMZVCRSA-N 1 2 296.418 3.650 20 0 CHADLO Cc1cc(NC(=O)C2(c3ccccc3)CCCC2)cc[nH+]1 ZINC000082263051 333423110 /nfs/dbraw/zinc/42/31/10/333423110.db2.gz NJMMBMXPBUBQNZ-UHFFFAOYSA-N 1 2 280.371 3.841 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccccc1F ZINC000036982222 333449197 /nfs/dbraw/zinc/44/91/97/333449197.db2.gz ITBCYSGAHSIHOF-CYBMUJFWSA-N 1 2 285.366 3.994 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2nc(C(F)F)no2)C(C)C)c1 ZINC000528767413 333456444 /nfs/dbraw/zinc/45/64/44/333456444.db2.gz KSCZBCNGCHLWSD-ZDUSSCGKSA-N 1 2 295.333 3.803 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H]2[C@H](C)[C@H]2C2CC2)[nH+]1 ZINC000528851933 333461559 /nfs/dbraw/zinc/46/15/59/333461559.db2.gz QNBOWQWEAFXIAB-XFBWCDHKSA-N 1 2 295.386 3.616 20 0 CHADLO Brc1ccc2[nH+]c(CSCC3CC3)cn2c1 ZINC000125302153 333565761 /nfs/dbraw/zinc/56/57/61/333565761.db2.gz CXQGDSRUGOIIHZ-UHFFFAOYSA-N 1 2 297.221 3.740 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1cccc(F)c1)C(=O)OC(C)(C)C ZINC000538549930 333605241 /nfs/dbraw/zinc/60/52/41/333605241.db2.gz PSULCCFOAOYODI-WFASDCNBSA-N 1 2 295.398 3.843 20 0 CHADLO CC[C@@H](C)[C@@H](C)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000538635173 333609952 /nfs/dbraw/zinc/60/99/52/333609952.db2.gz VTGPIFGHEOULQL-VXGBXAGGSA-N 1 2 261.344 3.858 20 0 CHADLO CC[N@H+](Cc1snnc1C)Cc1ccccc1Cl ZINC000576097178 335152790 /nfs/dbraw/zinc/15/27/90/335152790.db2.gz NGRCZMBPELTMNV-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO CC[N@@H+](Cc1snnc1C)Cc1ccccc1Cl ZINC000576097178 335152792 /nfs/dbraw/zinc/15/27/92/335152792.db2.gz NGRCZMBPELTMNV-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO Cc1ccc(N[C@@H](c2[nH]cc[nH+]2)c2ccccc2)cc1 ZINC000128384193 333665850 /nfs/dbraw/zinc/66/58/50/333665850.db2.gz NWLRDEVXCXOVTN-MRXNPFEDSA-N 1 2 263.344 3.920 20 0 CHADLO Cc1ccc(CCNc2cncc(-c3ccccc3)n2)c[nH+]1 ZINC000539612419 333681224 /nfs/dbraw/zinc/68/12/24/333681224.db2.gz OOMJPHIVPPIMNA-UHFFFAOYSA-N 1 2 290.370 3.502 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)c1ccc(F)cc1)C(=O)OC(C)(C)C ZINC000539681246 333685046 /nfs/dbraw/zinc/68/50/46/333685046.db2.gz CQCHTUONYOOUSK-IUODEOHRSA-N 1 2 295.398 3.843 20 0 CHADLO CC[C@@H]1CC[C@H](C)N1c1cc(C(F)(F)F)cc[nH+]1 ZINC000540078373 333708651 /nfs/dbraw/zinc/70/86/51/333708651.db2.gz OYWQHIMVFUXWEW-GXSJLCMTSA-N 1 2 258.287 3.868 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nnsc2Cl)c1C ZINC000540387915 333727643 /nfs/dbraw/zinc/72/76/43/333727643.db2.gz JPBORRVUKLRRHC-SNVBAGLBSA-N 1 2 281.812 3.659 20 0 CHADLO CCCC[C@@H](COC)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000540833961 333749305 /nfs/dbraw/zinc/74/93/05/333749305.db2.gz PELCEXAUFCFVGO-AWEZNQCLSA-N 1 2 291.370 3.629 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@@H](C)c3ccccc3)ccc2n1C ZINC000542190199 333814304 /nfs/dbraw/zinc/81/43/04/333814304.db2.gz JLRBJUIUGACFFQ-LBPRGKRZSA-N 1 2 293.370 3.624 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@H]2C[C@H]2C)o1)c1nccs1 ZINC000070942496 333840138 /nfs/dbraw/zinc/84/01/38/333840138.db2.gz ATTHBNCGBXNIHF-SCVCMEIPSA-N 1 2 262.378 3.710 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)Nc1cccc2[nH+]ccn21 ZINC000544400669 333929201 /nfs/dbraw/zinc/92/92/01/333929201.db2.gz XLUQPRJSLNHQCN-GFCCVEGCSA-N 1 2 273.380 3.735 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)Nc1cccc2[nH+]ccn21 ZINC000544400673 333929321 /nfs/dbraw/zinc/92/93/21/333929321.db2.gz XLUQPRJSLNHQCN-LBPRGKRZSA-N 1 2 273.380 3.735 20 0 CHADLO CC[C@]1(C)C[C@@H]1c1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000544657548 333942367 /nfs/dbraw/zinc/94/23/67/333942367.db2.gz VWOHXLKJNMODIL-CXAGYDPISA-N 1 2 296.374 3.520 20 0 CHADLO COCc1ccc(C[NH2+]CC(F)(F)c2ccccc2)cc1 ZINC000576196940 335167638 /nfs/dbraw/zinc/16/76/38/335167638.db2.gz OBOMOYYPJSKOQO-UHFFFAOYSA-N 1 2 291.341 3.715 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3[C@@H]2C)c2ccccc2n1 ZINC000074329652 334003660 /nfs/dbraw/zinc/00/36/60/334003660.db2.gz YZOAXNKUYRACMQ-HNNXBMFYSA-N 1 2 291.398 3.922 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3[C@@H]2C)c2ccccc2n1 ZINC000074329652 334003661 /nfs/dbraw/zinc/00/36/61/334003661.db2.gz YZOAXNKUYRACMQ-HNNXBMFYSA-N 1 2 291.398 3.922 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCc2sccc21)C(=O)OC(C)(C)C ZINC000576207657 335169963 /nfs/dbraw/zinc/16/99/63/335169963.db2.gz UWHKPJLEMSLEJX-OLZOCXBDSA-N 1 2 295.448 3.835 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1cc(F)cc(F)c1 ZINC000564298333 334012205 /nfs/dbraw/zinc/01/22/05/334012205.db2.gz GHHJHRCCRJNSCA-UHFFFAOYSA-N 1 2 262.303 3.713 20 0 CHADLO Cn1c2ccc(NC(=O)C3=CCCCC3)cc2[nH+]c1C1CC1 ZINC000133065352 334015184 /nfs/dbraw/zinc/01/51/84/334015184.db2.gz RHZROXZBNBUZSA-UHFFFAOYSA-N 1 2 295.386 3.890 20 0 CHADLO Fc1cc(-c2ccccc2)ccc1C[NH2+]Cc1ccno1 ZINC000546308863 334026687 /nfs/dbraw/zinc/02/66/87/334026687.db2.gz XKNCKEYPKBGQQI-UHFFFAOYSA-N 1 2 282.318 3.771 20 0 CHADLO Cc1ccc(Cc2nc(C3CCC(C)CC3)no2)c[nH+]1 ZINC000546354088 334029089 /nfs/dbraw/zinc/02/90/89/334029089.db2.gz YQBXUIZBOAWBHM-UHFFFAOYSA-N 1 2 271.364 3.658 20 0 CHADLO CO[C@H]1CCC[N@H+](Cc2csc(C3CCCCC3)n2)C1 ZINC000076344497 334079702 /nfs/dbraw/zinc/07/97/02/334079702.db2.gz JITDBHWUTNYOTF-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO CO[C@H]1CCC[N@@H+](Cc2csc(C3CCCCC3)n2)C1 ZINC000076344497 334079704 /nfs/dbraw/zinc/07/97/04/334079704.db2.gz JITDBHWUTNYOTF-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@H+](C)Cc2cccs2)cs1 ZINC000076715822 334087553 /nfs/dbraw/zinc/08/75/53/334087553.db2.gz FLFWSIVDVWBIMI-NSHDSACASA-N 1 2 296.461 3.934 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@@H+](C)Cc2cccs2)cs1 ZINC000076715822 334087555 /nfs/dbraw/zinc/08/75/55/334087555.db2.gz FLFWSIVDVWBIMI-NSHDSACASA-N 1 2 296.461 3.934 20 0 CHADLO Clc1cnc(COc2ccccc2-n2cc[nH+]c2)s1 ZINC000547491911 334093941 /nfs/dbraw/zinc/09/39/41/334093941.db2.gz JSULRJUEBYJUPO-UHFFFAOYSA-N 1 2 291.763 3.561 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1cc(F)ccc1F ZINC000134773614 334103841 /nfs/dbraw/zinc/10/38/41/334103841.db2.gz JUGBHXMQKHZARS-SNVBAGLBSA-N 1 2 295.304 3.963 20 0 CHADLO Cc1cccc(CSCC(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000078228327 334128622 /nfs/dbraw/zinc/12/86/22/334128622.db2.gz AVNVSTFLEUAIFE-UHFFFAOYSA-N 1 2 286.400 3.570 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1csc(C2CCCC2)n1 ZINC000548096096 334139676 /nfs/dbraw/zinc/13/96/76/334139676.db2.gz FGNMZZJXOGYBNY-GHMZBOCLSA-N 1 2 250.411 3.783 20 0 CHADLO Cc1cccn2c(CNc3ccc(Cl)cc3)c[nH+]c12 ZINC000135845651 334140149 /nfs/dbraw/zinc/14/01/49/334140149.db2.gz UWLZNMMKAMSXTL-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO CCn1cc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2F)cn1 ZINC000548157920 334143843 /nfs/dbraw/zinc/14/38/43/334143843.db2.gz BACAKTIDMYOIEL-LLVKDONJSA-N 1 2 295.789 3.889 20 0 CHADLO CCn1cc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2F)cn1 ZINC000548157920 334143845 /nfs/dbraw/zinc/14/38/45/334143845.db2.gz BACAKTIDMYOIEL-LLVKDONJSA-N 1 2 295.789 3.889 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@@H]3C[C@]3(C)C(C)C)ccc2n1C ZINC000548495803 334160603 /nfs/dbraw/zinc/16/06/03/334160603.db2.gz BGVHKLFGWZPKTH-SUMWQHHRSA-N 1 2 285.391 3.502 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2ccc3ccccc3c2)n1 ZINC000548770386 334173486 /nfs/dbraw/zinc/17/34/86/334173486.db2.gz KAYRGDGSFJMIOB-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)n1 ZINC000548770386 334173487 /nfs/dbraw/zinc/17/34/87/334173487.db2.gz KAYRGDGSFJMIOB-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO O=C(NCCCC1CC1)c1ccccc1Oc1cc[nH+]cc1 ZINC000548976122 334181765 /nfs/dbraw/zinc/18/17/65/334181765.db2.gz VSTXUEANAKFQMF-UHFFFAOYSA-N 1 2 296.370 3.794 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)C[C@@H](C)O1 ZINC000245470876 334195644 /nfs/dbraw/zinc/19/56/44/334195644.db2.gz NKHSADAKFRSYCW-FRRDWIJNSA-N 1 2 280.412 3.532 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)C[C@@H](C)O1 ZINC000245470876 334195647 /nfs/dbraw/zinc/19/56/47/334195647.db2.gz NKHSADAKFRSYCW-FRRDWIJNSA-N 1 2 280.412 3.532 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ncc(C(C)(C)C)o2)C[C@@H](C)O1 ZINC000245470877 334195675 /nfs/dbraw/zinc/19/56/75/334195675.db2.gz NKHSADAKFRSYCW-JHJVBQTASA-N 1 2 280.412 3.532 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ncc(C(C)(C)C)o2)C[C@@H](C)O1 ZINC000245470877 334195676 /nfs/dbraw/zinc/19/56/76/334195676.db2.gz NKHSADAKFRSYCW-JHJVBQTASA-N 1 2 280.412 3.532 20 0 CHADLO CC(C)c1nc(N[C@@H](C)c2ccccc2Cl)cc[nH+]1 ZINC000549638343 334200472 /nfs/dbraw/zinc/20/04/72/334200472.db2.gz PEQJTJQJWYOMJV-NSHDSACASA-N 1 2 275.783 3.848 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C/C=C/c1ccc(F)cc1 ZINC000081688578 334204141 /nfs/dbraw/zinc/20/41/41/334204141.db2.gz FIRQLMCBQBCBGS-NSCUHMNNSA-N 1 2 270.307 3.571 20 0 CHADLO Cc1ccc(-c2ccc(C[N@@H+]3CCOCC34CC4)o2)cc1 ZINC000549855232 334206903 /nfs/dbraw/zinc/20/69/03/334206903.db2.gz VEXOVWOGAKSKLB-UHFFFAOYSA-N 1 2 283.371 3.620 20 0 CHADLO Cc1ccc(-c2ccc(C[N@H+]3CCOCC34CC4)o2)cc1 ZINC000549855232 334206904 /nfs/dbraw/zinc/20/69/04/334206904.db2.gz VEXOVWOGAKSKLB-UHFFFAOYSA-N 1 2 283.371 3.620 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[N@@H+]2C[C@@H](C)[C@@H]2C)c(Cl)c1 ZINC000550304940 334219476 /nfs/dbraw/zinc/21/94/76/334219476.db2.gz PWQWGAUYEWPXBZ-AGIUHOORSA-N 1 2 294.826 3.624 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[N@H+]2C[C@@H](C)[C@@H]2C)c(Cl)c1 ZINC000550304940 334219477 /nfs/dbraw/zinc/21/94/77/334219477.db2.gz PWQWGAUYEWPXBZ-AGIUHOORSA-N 1 2 294.826 3.624 20 0 CHADLO CC(C)(C)C(C)(C)C[NH2+][C@@H](c1cccs1)c1nnc[nH]1 ZINC000551229827 334241757 /nfs/dbraw/zinc/24/17/57/334241757.db2.gz YAKFOERESOZRLB-LBPRGKRZSA-N 1 2 292.452 3.618 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccc2c(c1)CCCC2 ZINC000551251552 334242965 /nfs/dbraw/zinc/24/29/65/334242965.db2.gz CTINRLHILVJIMM-NSHDSACASA-N 1 2 253.336 3.871 20 0 CHADLO CC(C)c1nc(N2C[C@@H](C)[C@@H]2c2ccccc2)cc[nH+]1 ZINC000246235090 334244279 /nfs/dbraw/zinc/24/42/79/334244279.db2.gz TWBGDIXHBBHKTR-CZUORRHYSA-N 1 2 267.376 3.797 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2ccc(F)cc2C)n1 ZINC000552054154 334282398 /nfs/dbraw/zinc/28/23/98/334282398.db2.gz LKGJYCVKNANJMW-OAHLLOKOSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2ccc(F)cc2C)n1 ZINC000552054154 334282399 /nfs/dbraw/zinc/28/23/99/334282399.db2.gz LKGJYCVKNANJMW-OAHLLOKOSA-N 1 2 289.354 3.553 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+]2CCS[C@@H](C(C)C)C2)n1 ZINC000247562199 334285772 /nfs/dbraw/zinc/28/57/72/334285772.db2.gz QXRAZMKIHGZVEO-QWHCGFSZSA-N 1 2 297.468 3.547 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+]2CCS[C@@H](C(C)C)C2)n1 ZINC000247562199 334285774 /nfs/dbraw/zinc/28/57/74/334285774.db2.gz QXRAZMKIHGZVEO-QWHCGFSZSA-N 1 2 297.468 3.547 20 0 CHADLO CCC[C@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1 ZINC000552192844 334301032 /nfs/dbraw/zinc/30/10/32/334301032.db2.gz HTZIWZWWHBJYGS-INIZCTEOSA-N 1 2 293.370 3.857 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2ncc[nH]2)C2CCCCC2)s1 ZINC000552248595 334308396 /nfs/dbraw/zinc/30/83/96/334308396.db2.gz MMINVNHGMYMXMP-CQSZACIVSA-N 1 2 290.436 3.586 20 0 CHADLO CCC1(CC)C[N@@H+]([C@H](C)c2ccc(F)cc2)CC[S@@]1=O ZINC000249001189 334324380 /nfs/dbraw/zinc/32/43/80/334324380.db2.gz NBEZVIZRQIPPOQ-XCLFUZPHSA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@H](C)c2ccc(F)cc2)CC[S@@]1=O ZINC000249001189 334324382 /nfs/dbraw/zinc/32/43/82/334324382.db2.gz NBEZVIZRQIPPOQ-XCLFUZPHSA-N 1 2 297.439 3.510 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)cc1Cl ZINC000552424221 334326216 /nfs/dbraw/zinc/32/62/16/334326216.db2.gz QBOKBTUPTQFIPL-HOTGVXAUSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)cc1Cl ZINC000552424221 334326217 /nfs/dbraw/zinc/32/62/17/334326217.db2.gz QBOKBTUPTQFIPL-HOTGVXAUSA-N 1 2 295.785 3.623 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@@H](C)c2cscn2)ccc1F ZINC000552579104 334336971 /nfs/dbraw/zinc/33/69/71/334336971.db2.gz SASKOMPPRUXYDQ-UWVGGRQHSA-N 1 2 280.368 3.703 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@H](C)c2cscn2)ccc1F ZINC000552579103 334336978 /nfs/dbraw/zinc/33/69/78/334336978.db2.gz SASKOMPPRUXYDQ-NXEZZACHSA-N 1 2 280.368 3.703 20 0 CHADLO Cc1cc[nH+]c(NC[C@](C)(O)CCC(C)C)c1Cl ZINC000553080619 334360342 /nfs/dbraw/zinc/36/03/42/334360342.db2.gz SJHXAKRLINTBCN-CQSZACIVSA-N 1 2 270.804 3.643 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)nc1 ZINC000090612198 334364817 /nfs/dbraw/zinc/36/48/17/334364817.db2.gz QLXRCAUPWXJTJS-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccc(Cl)cc3C2)nc1 ZINC000090612198 334364818 /nfs/dbraw/zinc/36/48/18/334364818.db2.gz QLXRCAUPWXJTJS-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CO[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CC[C@@H]1C ZINC000553200919 334368484 /nfs/dbraw/zinc/36/84/84/334368484.db2.gz PZHYJOHQSUGBAJ-XHDPSFHLSA-N 1 2 290.794 3.749 20 0 CHADLO CC[C@@H](Cc1ccccc1)Nc1cc(N2CCCC2)nc[nH+]1 ZINC000553368322 334380841 /nfs/dbraw/zinc/38/08/41/334380841.db2.gz BQEPTDYOQKSZSN-INIZCTEOSA-N 1 2 296.418 3.510 20 0 CHADLO CC[C@@H](Cc1ccccc1)Nc1cc(N2CCCC2)[nH+]cn1 ZINC000553368322 334380842 /nfs/dbraw/zinc/38/08/42/334380842.db2.gz BQEPTDYOQKSZSN-INIZCTEOSA-N 1 2 296.418 3.510 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CC[C@H](COC(F)F)C1 ZINC000553650750 334394497 /nfs/dbraw/zinc/39/44/97/334394497.db2.gz AVXAVEGORHCLNM-LBPRGKRZSA-N 1 2 292.329 3.609 20 0 CHADLO Cc1ccc2c(c1)CN(c1cc(C)[nH+]c(C(C)C)n1)CCO2 ZINC000553679036 334395432 /nfs/dbraw/zinc/39/54/32/334395432.db2.gz RQEIZBQMIAWQFE-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1ccc(CCNc2cncc(-c3cccs3)n2)c[nH+]1 ZINC000555140562 334469620 /nfs/dbraw/zinc/46/96/20/334469620.db2.gz JZOKIMJDBFBJSI-UHFFFAOYSA-N 1 2 296.399 3.563 20 0 CHADLO C1CC1c1nc(N2CC3(C2)CCCCC3)c2c([nH+]1)CCC2 ZINC000555208962 334471137 /nfs/dbraw/zinc/47/11/37/334471137.db2.gz ZVINVRJJXSEEIS-UHFFFAOYSA-N 1 2 283.419 3.613 20 0 CHADLO Cc1cc(NC(=O)c2cc3cccc(C)c3s2)c(C)c[nH+]1 ZINC000566525552 334654214 /nfs/dbraw/zinc/65/42/14/334654214.db2.gz QVASAHVXZLESQE-UHFFFAOYSA-N 1 2 296.395 3.896 20 0 CHADLO Cc1[nH]c(CNc2cccc3c2CCCC3)[nH+]c1C ZINC000566926011 334669991 /nfs/dbraw/zinc/66/99/91/334669991.db2.gz FZUVIOWUGJTZEW-UHFFFAOYSA-N 1 2 255.365 3.517 20 0 CHADLO Cc1[nH]c(CNc2cccc(OCC(C)C)c2)[nH+]c1C ZINC000567180591 334688123 /nfs/dbraw/zinc/68/81/23/334688123.db2.gz OCBOPOYTOCHDJH-UHFFFAOYSA-N 1 2 273.380 3.673 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCOC(C)(C)C ZINC000308530826 334690315 /nfs/dbraw/zinc/69/03/15/334690315.db2.gz QBVLIWDLFGJTJO-UHFFFAOYSA-N 1 2 258.365 3.770 20 0 CHADLO CC[C@H](COC)Nc1cccc([NH+]2CCCCCC2)c1 ZINC000154222962 334690879 /nfs/dbraw/zinc/69/08/79/334690879.db2.gz YISANSXHWWOLAD-OAHLLOKOSA-N 1 2 276.424 3.904 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H]2CCCC[C@@H]2C2CC2)c[nH+]1 ZINC000567929835 334776545 /nfs/dbraw/zinc/77/65/45/334776545.db2.gz LLNFBIRIUGQTLI-SJORKVTESA-N 1 2 286.419 3.531 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1cccc(OC(F)(F)F)c1 ZINC000568422315 334824461 /nfs/dbraw/zinc/82/44/61/334824461.db2.gz FCDPSKFFJNWFPS-QMMMGPOBSA-N 1 2 283.240 3.891 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CCC(Cc2ccccc2)CC1 ZINC000568570116 334830990 /nfs/dbraw/zinc/83/09/90/334830990.db2.gz FIWXHGLDJDEZLL-UHFFFAOYSA-N 1 2 281.403 3.553 20 0 CHADLO Cc1ccc([C@@H]2C[C@H]2NC(=O)Nc2c(C)cc[nH+]c2C)cc1 ZINC000568746519 334838678 /nfs/dbraw/zinc/83/86/78/334838678.db2.gz NWYQDYSTHDLGCV-JKSUJKDBSA-N 1 2 295.386 3.684 20 0 CHADLO Cc1ccc(Cc2noc(C3(C)CCCCCC3)n2)c[nH+]1 ZINC000569058822 334853360 /nfs/dbraw/zinc/85/33/60/334853360.db2.gz VOPPXVUKDPLIPB-UHFFFAOYSA-N 1 2 285.391 3.976 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CCC[C@H](C2CC2)C1 ZINC000573240752 334920651 /nfs/dbraw/zinc/92/06/51/334920651.db2.gz XDLZSFRZHHILLP-LSDHHAIUSA-N 1 2 287.407 3.789 20 0 CHADLO CC(C)C[C@@H]1CCCCCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000576521036 335214420 /nfs/dbraw/zinc/21/44/20/335214420.db2.gz LBLXHYFEUARDDQ-HOTGVXAUSA-N 1 2 291.439 3.652 20 0 CHADLO Cc1ccc(NC(=O)c2csc(C(C)C)n2)c(C)[nH+]1 ZINC000176185759 335247929 /nfs/dbraw/zinc/24/79/29/335247929.db2.gz SIOVNEZTFXXFAX-UHFFFAOYSA-N 1 2 275.377 3.531 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1cc(F)ccc1F ZINC000345350587 335316777 /nfs/dbraw/zinc/31/67/77/335316777.db2.gz IARNHTFMKBPCDU-BFVZDQMLSA-N 1 2 277.318 3.518 20 0 CHADLO CCCC[C@H](CCC)CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000577224338 335326062 /nfs/dbraw/zinc/32/60/62/335326062.db2.gz VQFQLYHZHZSGEJ-GJZGRUSLSA-N 1 2 279.428 3.557 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H](C)c2cccs2)n1 ZINC000577371126 335343823 /nfs/dbraw/zinc/34/38/23/335343823.db2.gz OMUSNLGGLRZIMR-SNVBAGLBSA-N 1 2 285.372 3.577 20 0 CHADLO COc1ccc(CCCN(C)c2cc[nH+]c(C(C)C)n2)cc1 ZINC000577428242 335351553 /nfs/dbraw/zinc/35/15/53/335351553.db2.gz WZKMMYHLMDFXMD-UHFFFAOYSA-N 1 2 299.418 3.678 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCSC(C)(C)C2)cs1 ZINC000177518523 335373552 /nfs/dbraw/zinc/37/35/52/335373552.db2.gz HRORXZMNVFLICC-UHFFFAOYSA-N 1 2 284.494 3.768 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCSC(C)(C)C2)cs1 ZINC000177518523 335373554 /nfs/dbraw/zinc/37/35/54/335373554.db2.gz HRORXZMNVFLICC-UHFFFAOYSA-N 1 2 284.494 3.768 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCc2ccc(C)cc21)C(=O)OC(C)(C)C ZINC000577804252 335402416 /nfs/dbraw/zinc/40/24/16/335402416.db2.gz DGLBDWWVUVIALA-HZPDHXFCSA-N 1 2 289.419 3.692 20 0 CHADLO COc1ccc(C[NH2+]CC(C)(F)F)c2ccccc12 ZINC000577839828 335409344 /nfs/dbraw/zinc/40/93/44/335409344.db2.gz FKROJGVSGHZGBB-UHFFFAOYSA-N 1 2 265.303 3.593 20 0 CHADLO c1cn(CCSCc2ccc3ccccc3c2)c[nH+]1 ZINC000179079086 335472623 /nfs/dbraw/zinc/47/26/23/335472623.db2.gz AEQSXRDOPQCJOP-UHFFFAOYSA-N 1 2 268.385 3.970 20 0 CHADLO COc1cccc2c1CC[C@@H]2Nc1[nH+]c2ccccc2n1C ZINC000179316465 335486988 /nfs/dbraw/zinc/48/69/88/335486988.db2.gz WGSOGELRIXCXEZ-AWEZNQCLSA-N 1 2 293.370 3.681 20 0 CHADLO c1cc(-c2noc(-c3[nH+]c(C4CC4)n4ccccc34)n2)co1 ZINC000350817792 335536828 /nfs/dbraw/zinc/53/68/28/335536828.db2.gz YEJWHJLMMCHJGW-UHFFFAOYSA-N 1 2 292.298 3.522 20 0 CHADLO C[C@H](Nc1cccc(CC(F)(F)F)c1)c1[nH+]ccn1C ZINC000179974984 335537707 /nfs/dbraw/zinc/53/77/07/335537707.db2.gz UNSBCBDZEZYBBJ-JTQLQIEISA-N 1 2 283.297 3.698 20 0 CHADLO Cc1ccc(Cc2noc([C@H]3C[C@@H]3c3ccccc3)n2)c[nH+]1 ZINC000351212603 335582095 /nfs/dbraw/zinc/58/20/95/335582095.db2.gz FAQQFCNIYHUHFZ-CVEARBPZSA-N 1 2 291.354 3.635 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCC[C@@H]1F)c1nc(C(F)(F)F)cs1 ZINC000579012922 335700341 /nfs/dbraw/zinc/70/03/41/335700341.db2.gz JGBUEGMDAUTBOU-GJMOJQLCSA-N 1 2 282.306 3.703 20 0 CHADLO CC(=O)Nc1ccc(SCCc2cccc(C)[nH+]2)cc1 ZINC000579110058 335710364 /nfs/dbraw/zinc/71/03/64/335710364.db2.gz OJRVXESBGVYXQQ-UHFFFAOYSA-N 1 2 286.400 3.683 20 0 CHADLO COCc1cc(N[C@H]2CCC2(C)C)c2cc(F)ccc2[nH+]1 ZINC000579539559 335787467 /nfs/dbraw/zinc/78/74/67/335787467.db2.gz PQSCKCQIGSOXEY-INIZCTEOSA-N 1 2 288.366 3.543 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1nc(C)c2ccccc2n1 ZINC000193725181 335984892 /nfs/dbraw/zinc/98/48/92/335984892.db2.gz CEQJVINDEWSXNO-UHFFFAOYSA-N 1 2 293.370 3.783 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc2ncsc2c1)c1csnn1 ZINC000581052853 336001382 /nfs/dbraw/zinc/00/13/82/336001382.db2.gz ARCKLAGNSDGECY-RKDXNWHRSA-N 1 2 290.417 3.560 20 0 CHADLO CC(C)CCC1CCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000581134542 336013611 /nfs/dbraw/zinc/01/36/11/336013611.db2.gz UUVQQBIFFUCGPC-HNNXBMFYSA-N 1 2 291.439 3.509 20 0 CHADLO c1ccc(C[C@@H]2CCC[C@H]2Nc2cc[nH+]c(C3CC3)n2)cc1 ZINC000581218742 336026073 /nfs/dbraw/zinc/02/60/73/336026073.db2.gz WNKCRALHYWQFQY-DLBZAZTESA-N 1 2 293.414 3.599 20 0 CHADLO Cc1noc(C)c1CSCCc1[nH+]cc(C)cc1C ZINC000581251203 336032077 /nfs/dbraw/zinc/03/20/77/336032077.db2.gz HVQRAQOZOJROAF-UHFFFAOYSA-N 1 2 276.405 3.779 20 0 CHADLO Cc1nc(SCCCc2[nH]c3ccccc3[nH+]2)[nH]c1C ZINC000581314629 336047032 /nfs/dbraw/zinc/04/70/32/336047032.db2.gz YSFVIWDKTFWDEQ-UHFFFAOYSA-N 1 2 286.404 3.628 20 0 CHADLO CC[C@H](C)[C@@H](CNc1[nH+]ccc2c(OC)cccc21)OC ZINC000381126573 336050880 /nfs/dbraw/zinc/05/08/80/336050880.db2.gz GHJDKHWXAFUONV-BLLLJJGKSA-N 1 2 288.391 3.716 20 0 CHADLO CC1=C(C)C[C@H](C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000581371402 336063594 /nfs/dbraw/zinc/06/35/94/336063594.db2.gz YEEVNODNZGCHNH-OAHLLOKOSA-N 1 2 295.386 3.947 20 0 CHADLO Cc1ccc2oc(C[NH2+][C@H]3C[C@H](C)n4ccnc43)cc2c1 ZINC000581529029 336095533 /nfs/dbraw/zinc/09/55/33/336095533.db2.gz AASDUGZMRRKBFY-WFASDCNBSA-N 1 2 281.359 3.733 20 0 CHADLO CCCCOc1ccc([C@@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000581619038 336113799 /nfs/dbraw/zinc/11/37/99/336113799.db2.gz WQCBLUTUJQIVGU-CQSZACIVSA-N 1 2 285.391 3.506 20 0 CHADLO OC[C@H](Nc1ccc2ccccc2[nH+]1)C1CCCCC1 ZINC000386189807 336167755 /nfs/dbraw/zinc/16/77/55/336167755.db2.gz DFUVUSATNOXHDO-INIZCTEOSA-N 1 2 270.376 3.588 20 0 CHADLO CCC[N@@H+](Cc1ccc(Br)cc1)CC(F)F ZINC000386740056 336177762 /nfs/dbraw/zinc/17/77/62/336177762.db2.gz XIFFRPYZBHLMDQ-UHFFFAOYSA-N 1 2 292.167 3.926 20 0 CHADLO CCC[N@H+](Cc1ccc(Br)cc1)CC(F)F ZINC000386740056 336177763 /nfs/dbraw/zinc/17/77/63/336177763.db2.gz XIFFRPYZBHLMDQ-UHFFFAOYSA-N 1 2 292.167 3.926 20 0 CHADLO CCc1cccc(F)c1C[NH2+]Cc1noc2c1CCCC2 ZINC000581965981 336180649 /nfs/dbraw/zinc/18/06/49/336180649.db2.gz GCJRZEAVMKDSAE-UHFFFAOYSA-N 1 2 288.366 3.545 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1nccs1 ZINC000354823474 534060763 /nfs/dbraw/zinc/06/07/63/534060763.db2.gz BJXXZVFCBUXENT-MNOVXSKESA-N 1 2 276.380 3.790 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1nccs1 ZINC000354823474 534060767 /nfs/dbraw/zinc/06/07/67/534060767.db2.gz BJXXZVFCBUXENT-MNOVXSKESA-N 1 2 276.380 3.790 20 0 CHADLO C[C@@H](c1ccccc1)N(C)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000353333461 534098999 /nfs/dbraw/zinc/09/89/99/534098999.db2.gz WPFCSVJXMROUTJ-ZDUSSCGKSA-N 1 2 294.358 3.559 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1ccn(C(F)F)n1 ZINC000347716785 534127450 /nfs/dbraw/zinc/12/74/50/534127450.db2.gz IRXYADHHPAVZPB-JTQLQIEISA-N 1 2 283.297 3.610 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1ccn(C(F)F)n1 ZINC000347716785 534127453 /nfs/dbraw/zinc/12/74/53/534127453.db2.gz IRXYADHHPAVZPB-JTQLQIEISA-N 1 2 283.297 3.610 20 0 CHADLO C[C@@H]1CC(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C[C@@H](C)C1 ZINC000355854444 534143288 /nfs/dbraw/zinc/14/32/88/534143288.db2.gz XKBGKFKLCMMTCE-KBPBESRZSA-N 1 2 297.402 3.883 20 0 CHADLO Cc1ccc(-c2cc(C[N@@H+]3CCC=C(F)C3)on2)cc1 ZINC000352776761 534181632 /nfs/dbraw/zinc/18/16/32/534181632.db2.gz DHTFCTFBLHPKEO-UHFFFAOYSA-N 1 2 272.323 3.709 20 0 CHADLO Cc1ccc(-c2cc(C[N@H+]3CCC=C(F)C3)on2)cc1 ZINC000352776761 534181639 /nfs/dbraw/zinc/18/16/39/534181639.db2.gz DHTFCTFBLHPKEO-UHFFFAOYSA-N 1 2 272.323 3.709 20 0 CHADLO Cc1ccc(-c2ncc(C[N@@H+]3CCC[C@@H]3CF)s2)o1 ZINC000354522426 534216294 /nfs/dbraw/zinc/21/62/94/534216294.db2.gz RAFGMQQGMVVIDZ-LLVKDONJSA-N 1 2 280.368 3.645 20 0 CHADLO Cc1ccc(-c2ncc(C[N@H+]3CCC[C@@H]3CF)s2)o1 ZINC000354522426 534216300 /nfs/dbraw/zinc/21/63/00/534216300.db2.gz RAFGMQQGMVVIDZ-LLVKDONJSA-N 1 2 280.368 3.645 20 0 CHADLO CC(C)(C)C[C@@H](NC(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC000299677979 518676636 /nfs/dbraw/zinc/67/66/36/518676636.db2.gz QWTRVTPXFHFLJP-MRXNPFEDSA-N 1 2 299.418 3.567 20 0 CHADLO CC(C)(C)c1ccc(OCc2ccc[nH+]c2N)cc1 ZINC000052734536 519041611 /nfs/dbraw/zinc/04/16/11/519041611.db2.gz WIDWOBIAHNPJHR-UHFFFAOYSA-N 1 2 256.349 3.540 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+]Cc2ccc(Cl)nc2)s1 ZINC000279221483 519070973 /nfs/dbraw/zinc/07/09/73/519070973.db2.gz XIVZDTOIZCOICH-UHFFFAOYSA-N 1 2 295.839 3.779 20 0 CHADLO COc1cccc2c1CC[N@H+](Cc1cccc(F)c1F)C2 ZINC000359854512 519096789 /nfs/dbraw/zinc/09/67/89/519096789.db2.gz YBJTVXIHMUIBCD-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc2c1CC[N@@H+](Cc1cccc(F)c1F)C2 ZINC000359854512 519096795 /nfs/dbraw/zinc/09/67/95/519096795.db2.gz YBJTVXIHMUIBCD-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO O=C(CC[N@@H+]1Cc2cccc(Cl)c2C1)c1ccccc1 ZINC000347466379 534419634 /nfs/dbraw/zinc/41/96/34/534419634.db2.gz POSIQDXLRZQFAD-UHFFFAOYSA-N 1 2 285.774 3.929 20 0 CHADLO O=C(CC[N@H+]1Cc2cccc(Cl)c2C1)c1ccccc1 ZINC000347466379 534419642 /nfs/dbraw/zinc/41/96/42/534419642.db2.gz POSIQDXLRZQFAD-UHFFFAOYSA-N 1 2 285.774 3.929 20 0 CHADLO Cc1ccc2c(c1)CN(C(=O)Nc1c(C)cc(C)[nH+]c1C)C2 ZINC000334706802 534423213 /nfs/dbraw/zinc/42/32/13/534423213.db2.gz VOBIDJBKISJYJX-UHFFFAOYSA-N 1 2 295.386 3.863 20 0 CHADLO CC(C)CCCNc1ccccc1OCCn1cc[nH+]c1 ZINC000338456172 519643001 /nfs/dbraw/zinc/64/30/01/519643001.db2.gz LHNDTDJLJGNRQL-UHFFFAOYSA-N 1 2 287.407 3.810 20 0 CHADLO CC(C)CN(C)C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264900839 519708491 /nfs/dbraw/zinc/70/84/91/519708491.db2.gz JUPWQUCPFNKZIE-UHFFFAOYSA-N 1 2 284.359 3.602 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H](C)c1nc(-c2ccncc2)cs1 ZINC000347834956 534446987 /nfs/dbraw/zinc/44/69/87/534446987.db2.gz HNHJWVQNIKVPNX-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO CC(C)COc1ccccc1C[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000295172387 519765011 /nfs/dbraw/zinc/76/50/11/519765011.db2.gz GHVFXAFZSLLJBP-HOCLYGCPSA-N 1 2 299.418 3.714 20 0 CHADLO CC(C)[C@@H](NC(=O)CC(C)(C)c1ccccc1)c1[nH]cc[nH+]1 ZINC000278698979 519796764 /nfs/dbraw/zinc/79/67/64/519796764.db2.gz RVYCWTFBWYVVAS-MRXNPFEDSA-N 1 2 299.418 3.591 20 0 CHADLO CC(C)[C@H](NC(=O)CC1(C)CCCCC1)c1[nH]cc[nH+]1 ZINC000278554808 519818270 /nfs/dbraw/zinc/81/82/70/519818270.db2.gz GZPWYBMNAXCFGN-AWEZNQCLSA-N 1 2 277.412 3.584 20 0 CHADLO CC(C)[C@H](NC(=O)c1cc2ccccc2s1)c1[nH]cc[nH+]1 ZINC000276622928 519819944 /nfs/dbraw/zinc/81/99/44/519819944.db2.gz OGHRORIDBFATLK-AWEZNQCLSA-N 1 2 299.399 3.752 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H]2c3ccccc3O[C@H]2C)s1 ZINC000367967250 519850694 /nfs/dbraw/zinc/85/06/94/519850694.db2.gz DBWOKSBOOCPYFV-ZBEGNZNMSA-N 1 2 288.416 3.878 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCCC[C@H]2c2ccco2)[nH]1 ZINC000292333711 519862738 /nfs/dbraw/zinc/86/27/38/519862738.db2.gz CGQJYENUUSLKEC-ZDUSSCGKSA-N 1 2 288.395 3.638 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCCC[C@H]2c2ccco2)[nH]1 ZINC000292333711 519862740 /nfs/dbraw/zinc/86/27/40/519862740.db2.gz CGQJYENUUSLKEC-ZDUSSCGKSA-N 1 2 288.395 3.638 20 0 CHADLO CC(C)c1nc(C[NH2+][C@H](c2ccccc2)C2CCC2)no1 ZINC000104702277 519864320 /nfs/dbraw/zinc/86/43/20/519864320.db2.gz NZWMEGOENOZDHG-MRXNPFEDSA-N 1 2 285.391 3.824 20 0 CHADLO CC(C)c1nc(N2C[C@H](C)O[C@@H](c3ccccc3)C2)cc[nH+]1 ZINC000110280553 519877949 /nfs/dbraw/zinc/87/79/49/519877949.db2.gz WNBBBQBIOXCMIU-GOEBONIOSA-N 1 2 297.402 3.566 20 0 CHADLO CC(C)c1nc(N(C)[C@@H](C)c2cccs2)cc[nH+]1 ZINC000112964813 519878171 /nfs/dbraw/zinc/87/81/71/519878171.db2.gz NORYLZRWVKQLOV-NSHDSACASA-N 1 2 261.394 3.859 20 0 CHADLO CC(C)c1nnc(C[N@H+](Cc2ccc(F)cc2)C(C)C)o1 ZINC000263783563 519886076 /nfs/dbraw/zinc/88/60/76/519886076.db2.gz PGVCQIRYRVZILV-UHFFFAOYSA-N 1 2 291.370 3.743 20 0 CHADLO CC(C)c1nnc(C[N@@H+](Cc2ccc(F)cc2)C(C)C)o1 ZINC000263783563 519886078 /nfs/dbraw/zinc/88/60/78/519886078.db2.gz PGVCQIRYRVZILV-UHFFFAOYSA-N 1 2 291.370 3.743 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCc3sccc3[C@H]2C)n1 ZINC000265321316 520004163 /nfs/dbraw/zinc/00/41/63/520004163.db2.gz ILMBBWKWOMBJRW-GFCCVEGCSA-N 1 2 275.421 3.645 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCc3sccc3[C@H]2C)n1 ZINC000265321316 520004167 /nfs/dbraw/zinc/00/41/67/520004167.db2.gz ILMBBWKWOMBJRW-GFCCVEGCSA-N 1 2 275.421 3.645 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1ccc(OC(F)(F)F)cc1 ZINC000123853124 520034680 /nfs/dbraw/zinc/03/46/80/520034680.db2.gz PJGJNTDOFOCBIA-UHFFFAOYSA-N 1 2 299.296 3.975 20 0 CHADLO CC(C)n1cnnc1C[N@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000292511175 520056677 /nfs/dbraw/zinc/05/66/77/520056677.db2.gz VOJYIRQEDCOUHX-LBPRGKRZSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1cnnc1C[N@@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000292511175 520056687 /nfs/dbraw/zinc/05/66/87/520056687.db2.gz VOJYIRQEDCOUHX-LBPRGKRZSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1nccc1C[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC000280826625 520110466 /nfs/dbraw/zinc/11/04/66/520110466.db2.gz JGYPKDRSRVXLMJ-UHFFFAOYSA-N 1 2 292.452 3.508 20 0 CHADLO CC(C)n1ncnc1C[N@H+]([C@@H](C)c1ccccc1)C1CC1 ZINC000280033514 520127693 /nfs/dbraw/zinc/12/76/93/520127693.db2.gz NLXJITXYJSZIGU-AWEZNQCLSA-N 1 2 284.407 3.585 20 0 CHADLO CC(C)n1ncnc1C[N@@H+]([C@@H](C)c1ccccc1)C1CC1 ZINC000280033514 520127701 /nfs/dbraw/zinc/12/77/01/520127701.db2.gz NLXJITXYJSZIGU-AWEZNQCLSA-N 1 2 284.407 3.585 20 0 CHADLO CC(C)n1ncnc1C[N@H+]([C@H](C)c1ccccc1)C1CC1 ZINC000280033518 520127746 /nfs/dbraw/zinc/12/77/46/520127746.db2.gz NLXJITXYJSZIGU-CQSZACIVSA-N 1 2 284.407 3.585 20 0 CHADLO CC(C)n1ncnc1C[N@@H+]([C@H](C)c1ccccc1)C1CC1 ZINC000280033518 520127751 /nfs/dbraw/zinc/12/77/51/520127751.db2.gz NLXJITXYJSZIGU-CQSZACIVSA-N 1 2 284.407 3.585 20 0 CHADLO CC(C)n1ncnc1C[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000279883207 520129466 /nfs/dbraw/zinc/12/94/66/520129466.db2.gz CUTLQTIQXDYQNF-GFCCVEGCSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000279883207 520129475 /nfs/dbraw/zinc/12/94/75/520129475.db2.gz CUTLQTIQXDYQNF-GFCCVEGCSA-N 1 2 292.814 3.705 20 0 CHADLO CCCNc1cc(C)[nH+]c(-c2cc(F)cc(F)c2)n1 ZINC000050849221 520134329 /nfs/dbraw/zinc/13/43/29/520134329.db2.gz LYKPUQLHGYOOTI-UHFFFAOYSA-N 1 2 263.291 3.552 20 0 CHADLO CCCNc1cc(C)[nH+]c(-c2ccccc2CC)n1 ZINC000052202236 520136932 /nfs/dbraw/zinc/13/69/32/520136932.db2.gz BDBDQMDCRPACRK-UHFFFAOYSA-N 1 2 255.365 3.836 20 0 CHADLO CCCCCc1ccc(NC(=O)Cc2[nH]c[nH+]c2C)cc1 ZINC000278635080 520324274 /nfs/dbraw/zinc/32/42/74/520324274.db2.gz NKXDCYUQPYSOLH-UHFFFAOYSA-N 1 2 285.391 3.632 20 0 CHADLO CCN(CC(C)(C)C)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000291435925 520391183 /nfs/dbraw/zinc/39/11/83/520391183.db2.gz YFLUIFVMHVIRRO-UHFFFAOYSA-N 1 2 288.395 3.543 20 0 CHADLO O=C(CCc1[nH]cc[nH+]1)Nc1cccc(-c2cccs2)c1 ZINC000352829088 534496729 /nfs/dbraw/zinc/49/67/29/534496729.db2.gz PHVGBIJRBCEHPX-UHFFFAOYSA-N 1 2 297.383 3.710 20 0 CHADLO CC1(C)SC[C@@H]1Nc1[nH+]ccc2ccc(F)cc21 ZINC000338425848 520621841 /nfs/dbraw/zinc/62/18/41/520621841.db2.gz SHXZKWSOLFWROI-LBPRGKRZSA-N 1 2 262.353 3.680 20 0 CHADLO Fc1ccc2cc[nH+]c(N3CCO[C@H](c4ccco4)C3)c2c1 ZINC000354540900 534508518 /nfs/dbraw/zinc/50/85/18/534508518.db2.gz NWLHPXHSSACHQT-INIZCTEOSA-N 1 2 298.317 3.545 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3CCOC4(CCC4)C3)c2c1 ZINC000354885544 534508735 /nfs/dbraw/zinc/50/87/35/534508735.db2.gz XLBIVWBNOSMFSB-CQSZACIVSA-N 1 2 286.350 3.888 20 0 CHADLO c1csc(CNc2[nH+]cccc2OCc2ccccc2)n1 ZINC000354524827 534522503 /nfs/dbraw/zinc/52/25/03/534522503.db2.gz CUIRSFAIGIQWFT-UHFFFAOYSA-N 1 2 297.383 3.729 20 0 CHADLO CCCC[C@@H](CC)C[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000275914636 521036244 /nfs/dbraw/zinc/03/62/44/521036244.db2.gz YBUVEZDNJIGILM-ZBFHGGJFSA-N 1 2 286.423 3.700 20 0 CHADLO CCOc1ccc([NH2+][C@H]2CCOC3(CCC3)C2)cc1 ZINC000094365145 521138130 /nfs/dbraw/zinc/13/81/30/521138130.db2.gz PYABCQAFDXHRHW-AWEZNQCLSA-N 1 2 261.365 3.599 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)s1)Cc1ncc(C)cn1 ZINC000340669658 521312202 /nfs/dbraw/zinc/31/22/02/521312202.db2.gz MLQYIANBFWYQNC-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)s1)Cc1ncc(C)cn1 ZINC000340669658 521312209 /nfs/dbraw/zinc/31/22/09/521312209.db2.gz MLQYIANBFWYQNC-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO CCOc1ccccc1C[N@H+](C)[C@@H](C)c1ncc(C)o1 ZINC000356954665 521479790 /nfs/dbraw/zinc/47/97/90/521479790.db2.gz APVYVYOIWWCTHQ-ZDUSSCGKSA-N 1 2 274.364 3.575 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)[C@@H](C)c1ncc(C)o1 ZINC000356954665 521479797 /nfs/dbraw/zinc/47/97/97/521479797.db2.gz APVYVYOIWWCTHQ-ZDUSSCGKSA-N 1 2 274.364 3.575 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2ccc(C)o2)o1 ZINC000293355332 521497210 /nfs/dbraw/zinc/49/72/10/521497210.db2.gz HEGQJGSDYXCLOB-NSHDSACASA-N 1 2 262.353 3.688 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](C)c2ccccc2OC)cs1 ZINC000271607511 521515511 /nfs/dbraw/zinc/51/55/11/521515511.db2.gz BWEXCGLRZJQSLY-LBPRGKRZSA-N 1 2 290.432 3.955 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](c2ccccc2)C2CCC2)no1 ZINC000104702209 521517761 /nfs/dbraw/zinc/51/77/61/521517761.db2.gz YZXVBWWFDZKVFH-KRWDZBQOSA-N 1 2 285.391 3.653 20 0 CHADLO CCOc1ccccc1NCc1cn2c(cccc2C)[nH+]1 ZINC000157259142 521554393 /nfs/dbraw/zinc/55/43/93/521554393.db2.gz ZMBPNCZBFRCJEY-UHFFFAOYSA-N 1 2 281.359 3.654 20 0 CHADLO CCOC1CC(CCNc2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000277023029 521646866 /nfs/dbraw/zinc/64/68/66/521646866.db2.gz YZQNAQQIZMQYNF-UHFFFAOYSA-N 1 2 288.313 3.718 20 0 CHADLO CCS(=O)(=O)Nc1ccc([NH2+]CCC(C)(C)C)cc1C ZINC000187565813 521685331 /nfs/dbraw/zinc/68/53/31/521685331.db2.gz KEXIQXUKUQNEIR-UHFFFAOYSA-N 1 2 298.452 3.605 20 0 CHADLO CCC[N@H+](Cc1ncc(C)cn1)[C@H](C)c1ccccc1OC ZINC000340689347 521694401 /nfs/dbraw/zinc/69/44/01/521694401.db2.gz ZBFCWSUXUXDGPE-OAHLLOKOSA-N 1 2 299.418 3.767 20 0 CHADLO CCC[N@@H+](Cc1ncc(C)cn1)[C@H](C)c1ccccc1OC ZINC000340689347 521694404 /nfs/dbraw/zinc/69/44/04/521694404.db2.gz ZBFCWSUXUXDGPE-OAHLLOKOSA-N 1 2 299.418 3.767 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](c2ccc(F)cc2)C(C)C)no1 ZINC000352094408 534601425 /nfs/dbraw/zinc/60/14/25/534601425.db2.gz ZVIIYHFXXHYGOS-IINYFYTJSA-N 1 2 277.343 3.565 20 0 CHADLO CC[C@H](C)[C@](C)(O)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354342810 522020771 /nfs/dbraw/zinc/02/07/71/522020771.db2.gz GQXJVLVYNRKKAV-MEDUHNTESA-N 1 2 276.355 3.583 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2sccc2Cl)[nH]1 ZINC000278858418 522053484 /nfs/dbraw/zinc/05/34/84/522053484.db2.gz XQVFFUAFFZDKEJ-DTWKUNHWSA-N 1 2 298.843 3.884 20 0 CHADLO CC[C@H](C)n1ncc(Nc2nc(C)[nH+]c(C)c2C)c1C ZINC000357653172 522084229 /nfs/dbraw/zinc/08/42/29/522084229.db2.gz XUMFKSZVONTTKQ-VIFPVBQESA-N 1 2 273.384 3.621 20 0 CHADLO CCc1nc(C2([NH2+]Cc3ccc(C)c(C)c3)CCCC2)no1 ZINC000237027468 522244587 /nfs/dbraw/zinc/24/45/87/522244587.db2.gz RABJZSXRRRUYQE-UHFFFAOYSA-N 1 2 299.418 3.808 20 0 CHADLO CCc1ncc(CNc2ccc([NH+](C)C)cc2C)s1 ZINC000058013630 522556307 /nfs/dbraw/zinc/55/63/07/522556307.db2.gz FZUIOLBDGYEQBS-UHFFFAOYSA-N 1 2 275.421 3.692 20 0 CHADLO COC[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1nccs1 ZINC000279066276 522812016 /nfs/dbraw/zinc/81/20/16/522812016.db2.gz ZUMRRXBAQCPKDV-CYBMUJFWSA-N 1 2 296.823 3.616 20 0 CHADLO COC[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1nccs1 ZINC000279066276 522812022 /nfs/dbraw/zinc/81/20/22/522812022.db2.gz ZUMRRXBAQCPKDV-CYBMUJFWSA-N 1 2 296.823 3.616 20 0 CHADLO CCc1nocc1C[N@H+](C)[C@H](C)c1ccc(F)cc1F ZINC000293315153 522933015 /nfs/dbraw/zinc/93/30/15/522933015.db2.gz FRAWHOKOQFQWOC-SNVBAGLBSA-N 1 2 280.318 3.708 20 0 CHADLO CCc1nocc1C[N@@H+](C)[C@H](C)c1ccc(F)cc1F ZINC000293315153 522933024 /nfs/dbraw/zinc/93/30/24/522933024.db2.gz FRAWHOKOQFQWOC-SNVBAGLBSA-N 1 2 280.318 3.708 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(OCc2noc(C)n2)cc1)C1CC1 ZINC000272234231 522958889 /nfs/dbraw/zinc/95/88/89/522958889.db2.gz IIZHIIYLFZTMPP-OAHLLOKOSA-N 1 2 287.363 3.558 20 0 CHADLO COCc1ccc(C[NH2+][C@H](c2ccco2)c2ccccc2)o1 ZINC000125237127 522996963 /nfs/dbraw/zinc/99/69/63/522996963.db2.gz NNGIOPHLHWZGEU-SFHVURJKSA-N 1 2 297.354 3.898 20 0 CHADLO COCCCCC[N@@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000103560928 523114108 /nfs/dbraw/zinc/11/41/08/523114108.db2.gz WAAMXLYDEBJYSV-INIZCTEOSA-N 1 2 297.826 3.530 20 0 CHADLO COCCCCC[N@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000103560928 523114114 /nfs/dbraw/zinc/11/41/14/523114114.db2.gz WAAMXLYDEBJYSV-INIZCTEOSA-N 1 2 297.826 3.530 20 0 CHADLO CCn1cc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)cn1 ZINC000072980767 523365896 /nfs/dbraw/zinc/36/58/96/523365896.db2.gz CQPBWRFREPRGKD-LBPRGKRZSA-N 1 2 277.799 3.749 20 0 CHADLO CCn1cc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)cn1 ZINC000072980767 523365906 /nfs/dbraw/zinc/36/59/06/523365906.db2.gz CQPBWRFREPRGKD-LBPRGKRZSA-N 1 2 277.799 3.749 20 0 CHADLO CN(Cc1ccoc1)c1[nH+]ccc2ccc(F)cc21 ZINC000354562133 523478832 /nfs/dbraw/zinc/47/88/32/523478832.db2.gz HZXSFBHAQOUNEM-UHFFFAOYSA-N 1 2 256.280 3.603 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc(C(F)F)c1 ZINC000279579007 523540145 /nfs/dbraw/zinc/54/01/45/523540145.db2.gz ZPZIQIZYBSGHOV-UHFFFAOYSA-N 1 2 268.332 3.713 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc(C(F)F)c1 ZINC000279579007 523540148 /nfs/dbraw/zinc/54/01/48/523540148.db2.gz ZPZIQIZYBSGHOV-UHFFFAOYSA-N 1 2 268.332 3.713 20 0 CHADLO CCc1ccc(-c2noc([C@@H](C)[NH2+]Cc3ccco3)n2)cc1 ZINC000276752387 523864931 /nfs/dbraw/zinc/86/49/31/523864931.db2.gz LLHLVUFCBXVPBC-GFCCVEGCSA-N 1 2 297.358 3.743 20 0 CHADLO CCc1ccc(C[NH2+][C@H](C)c2c(F)cccc2F)o1 ZINC000051724342 523946662 /nfs/dbraw/zinc/94/66/62/523946662.db2.gz LWGHUWDBHPGMSV-SNVBAGLBSA-N 1 2 265.303 3.971 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@@H](C)c2nnc([C@@H](C)CC)[nH]2)o1 ZINC000277188941 524047210 /nfs/dbraw/zinc/04/72/10/524047210.db2.gz IMJTXZDWISKFOC-SRVKXCTJSA-N 1 2 290.411 3.885 20 0 CHADLO CCc1ccc([C@H](CC)[NH2+]Cc2cn[nH]c2C)cc1 ZINC000038011313 524048179 /nfs/dbraw/zinc/04/81/79/524048179.db2.gz UBSUCVVLYLNVSS-INIZCTEOSA-N 1 2 257.381 3.521 20 0 CHADLO Cc1ccc(C2CCN(c3ccnc(C(C)C)n3)CC2)c[nH+]1 ZINC000363677989 524243513 /nfs/dbraw/zinc/24/35/13/524243513.db2.gz ZQPHEPUKZYGKBW-UHFFFAOYSA-N 1 2 296.418 3.687 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+][C@H](C)c1ncc(C)o1 ZINC000356307346 524334232 /nfs/dbraw/zinc/33/42/32/524334232.db2.gz LXJCFBXXCPMVPX-WDEREUQCSA-N 1 2 278.327 3.543 20 0 CHADLO Cc1cccc2[nH+]c(CN3CC4(CC4)c4ccccc43)cn21 ZINC000352234131 534785982 /nfs/dbraw/zinc/78/59/82/534785982.db2.gz HYDFXGLLZIMLGP-UHFFFAOYSA-N 1 2 289.382 3.695 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(F)c(F)c(F)c2)CCC1(F)F ZINC000291693993 524611910 /nfs/dbraw/zinc/61/19/10/524611910.db2.gz YIVBTUPRMKSUNI-QMMMGPOBSA-N 1 2 279.252 3.581 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(F)c(F)c(F)c2)CCC1(F)F ZINC000291693993 524611920 /nfs/dbraw/zinc/61/19/20/524611920.db2.gz YIVBTUPRMKSUNI-QMMMGPOBSA-N 1 2 279.252 3.581 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(-c3ccco3)n2)CCC1(F)F ZINC000289988085 524642832 /nfs/dbraw/zinc/64/28/32/524642832.db2.gz YEBWTVWYESTPGY-JTQLQIEISA-N 1 2 298.358 3.880 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(-c3ccco3)n2)CCC1(F)F ZINC000289988085 524642837 /nfs/dbraw/zinc/64/28/37/524642837.db2.gz YEBWTVWYESTPGY-JTQLQIEISA-N 1 2 298.358 3.880 20 0 CHADLO Cc1cccc(NC2CCN(c3cccc[nH+]3)CC2)c1C ZINC000037215575 524671403 /nfs/dbraw/zinc/67/14/03/524671403.db2.gz ONTIOJJOWSPLPV-UHFFFAOYSA-N 1 2 281.403 3.779 20 0 CHADLO Cc1ccc(Cc2noc(-c3ccc(C(C)C)cn3)n2)c[nH+]1 ZINC000289732828 524697522 /nfs/dbraw/zinc/69/75/22/524697522.db2.gz IHNAHENVXDKTMS-UHFFFAOYSA-N 1 2 294.358 3.549 20 0 CHADLO Cc1cc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)on1 ZINC000274505630 524750736 /nfs/dbraw/zinc/75/07/36/524750736.db2.gz KHULGRRYAMPACG-UHFFFAOYSA-N 1 2 282.746 3.800 20 0 CHADLO Cc1cc(C[NH2+]CC(F)F)ccc1Oc1cccnc1 ZINC000291519804 524754460 /nfs/dbraw/zinc/75/44/60/524754460.db2.gz YXWGVXMOSIHJBA-UHFFFAOYSA-N 1 2 278.302 3.537 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)on1 ZINC000044987064 524766143 /nfs/dbraw/zinc/76/61/43/524766143.db2.gz ZUJGZNJFZZYCTJ-VIFPVBQESA-N 1 2 268.719 3.626 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2ccnn2C(C)C)c1 ZINC000337186632 524806047 /nfs/dbraw/zinc/80/60/47/524806047.db2.gz DGZXKOSCPZJJGW-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2ccnn2C(C)C)c1 ZINC000337186632 524806051 /nfs/dbraw/zinc/80/60/51/524806051.db2.gz DGZXKOSCPZJJGW-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO Cc1cccc2[nH+]c(CNc3ccc(F)c(F)c3F)cn21 ZINC000157267820 524989728 /nfs/dbraw/zinc/98/97/28/524989728.db2.gz QTIJNFGKBWSNTP-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO Cc1cc(F)ccc1NCc1cn2c(cccc2C)[nH+]1 ZINC000157261519 524997010 /nfs/dbraw/zinc/99/70/10/524997010.db2.gz QCXXDLISMHRCGF-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2Oc2ccccc2)CCC1=O ZINC000338571886 525016702 /nfs/dbraw/zinc/01/67/02/525016702.db2.gz MRBGWLFRCBRDOX-OAHLLOKOSA-N 1 2 295.382 3.890 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2Oc2ccccc2)CCC1=O ZINC000338571886 525016712 /nfs/dbraw/zinc/01/67/12/525016712.db2.gz MRBGWLFRCBRDOX-OAHLLOKOSA-N 1 2 295.382 3.890 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(Cl)ccc2Cl)CCC1(F)F ZINC000289939290 525031726 /nfs/dbraw/zinc/03/17/26/525031726.db2.gz VGCCAFOTRYHKEF-MRVPVSSYSA-N 1 2 295.160 3.866 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(Cl)ccc2Cl)CCC1(F)F ZINC000289939290 525031740 /nfs/dbraw/zinc/03/17/40/525031740.db2.gz VGCCAFOTRYHKEF-MRVPVSSYSA-N 1 2 295.160 3.866 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@H]2c2cccnc2)nc(C2CC2)[nH+]1 ZINC000291982329 525052220 /nfs/dbraw/zinc/05/22/20/525052220.db2.gz XCPZOZLNFYCWKL-MRXNPFEDSA-N 1 2 294.402 3.645 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@H]2c2ccncc2)nc(C2CC2)[nH+]1 ZINC000292777720 525052285 /nfs/dbraw/zinc/05/22/85/525052285.db2.gz POCFAVRJOBXJPJ-MRXNPFEDSA-N 1 2 294.402 3.645 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c(C3CC3)n2)[C@H]1c1ccccc1 ZINC000266222535 525070770 /nfs/dbraw/zinc/07/07/70/525070770.db2.gz QTGRRNIBPBBIHU-MLGOLLRUSA-N 1 2 265.360 3.551 20 0 CHADLO Cc1ccccc1C(C)(C)[NH2+]Cc1noc(C(C)(C)C)n1 ZINC000293038807 525073609 /nfs/dbraw/zinc/07/36/09/525073609.db2.gz QLBAHVZZVBJCTJ-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO Cc1ccc(NC(=O)Nc2cc(F)cc(F)c2)c(C)[nH+]1 ZINC000151388493 525186235 /nfs/dbraw/zinc/18/62/35/525186235.db2.gz LEWBBIUTSYERHF-UHFFFAOYSA-N 1 2 277.274 3.621 20 0 CHADLO Cc1cc(NC(=O)[C@H](c2ccccc2)C2CC2)cc[nH+]1 ZINC000074624494 525229430 /nfs/dbraw/zinc/22/94/30/525229430.db2.gz BDVQLMANBSTXOI-MRXNPFEDSA-N 1 2 266.344 3.522 20 0 CHADLO CSC1(C[NH2+]c2ccc(O[C@@H]3CCOC3)cc2)CCC1 ZINC000290434647 525340259 /nfs/dbraw/zinc/34/02/59/525340259.db2.gz NGNVZKBSQPGNLT-OAHLLOKOSA-N 1 2 293.432 3.552 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000276612765 525403008 /nfs/dbraw/zinc/40/30/08/525403008.db2.gz MXCNPUUTTQGQBN-RHSMWYFYSA-N 1 2 299.418 3.725 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](CO)c2c(F)cccc2F)oc1C ZINC000282166537 525471727 /nfs/dbraw/zinc/47/17/27/525471727.db2.gz LZZTZTJTZWRVJX-YGRLFVJLSA-N 1 2 295.329 3.559 20 0 CHADLO C[C@H]([NH2+]Cc1ccsc1)c1nc(Cc2ccccc2)no1 ZINC000237512072 525474199 /nfs/dbraw/zinc/47/41/99/525474199.db2.gz OWMQHLUQRRSYPJ-LBPRGKRZSA-N 1 2 299.399 3.573 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2CCCOc3ccccc32)no1 ZINC000289629635 525476176 /nfs/dbraw/zinc/47/61/76/525476176.db2.gz HFBQWIYWVKVABB-OCCSQVGLSA-N 1 2 272.348 3.548 20 0 CHADLO C[C@H]([NH2+]Cc1noc2c1CCCC2)c1ccccc1F ZINC000365160753 525497725 /nfs/dbraw/zinc/49/77/25/525497725.db2.gz RPKVZVQGNRHYPK-NSHDSACASA-N 1 2 274.339 3.543 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(Cl)cc2F)no1 ZINC000337278263 525519623 /nfs/dbraw/zinc/51/96/23/525519623.db2.gz GADGIYPTQZUSCO-VIFPVBQESA-N 1 2 268.719 3.626 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)n1C)c1ccccc1Cl ZINC000042224874 525561386 /nfs/dbraw/zinc/56/13/86/525561386.db2.gz PURLFHZGIORKKK-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1CCC[C@@H](O)C1 ZINC000225357986 525656465 /nfs/dbraw/zinc/65/64/65/525656465.db2.gz BNIVWBDMJRMICN-UKRRQHHQSA-N 1 2 270.376 3.506 20 0 CHADLO CSCc1ccc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cc1 ZINC000296256104 525735408 /nfs/dbraw/zinc/73/54/08/525735408.db2.gz BLUHVSSREGCNNU-BXUZGUMPSA-N 1 2 273.405 3.864 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@@H+]2Cc2csnn2)cc1 ZINC000125911111 525802459 /nfs/dbraw/zinc/80/24/59/525802459.db2.gz CTIVEBWQSJTXMI-HNNXBMFYSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@H+]2Cc2csnn2)cc1 ZINC000125911111 525802467 /nfs/dbraw/zinc/80/24/67/525802467.db2.gz CTIVEBWQSJTXMI-HNNXBMFYSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H](C)C(C)C ZINC000280337649 525831638 /nfs/dbraw/zinc/83/16/38/525831638.db2.gz WAEABMYREZRSPL-GFCCVEGCSA-N 1 2 271.364 3.616 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CCc1ccoc1 ZINC000366548676 525833022 /nfs/dbraw/zinc/83/30/22/525833022.db2.gz SUXCUSKLPWCDSV-UHFFFAOYSA-N 1 2 295.342 3.549 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CCC(C)C ZINC000279990564 525833643 /nfs/dbraw/zinc/83/36/43/525833643.db2.gz KQYXMRJNBXLGAZ-UHFFFAOYSA-N 1 2 271.364 3.760 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CC=CCC1 ZINC000279992691 525833684 /nfs/dbraw/zinc/83/36/84/525833684.db2.gz KTTGLOUFRKWECS-CYBMUJFWSA-N 1 2 281.359 3.680 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000279988362 525834118 /nfs/dbraw/zinc/83/41/18/525834118.db2.gz KMIYKNSPSQUUSQ-FOLVSLTJSA-N 1 2 295.386 3.760 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(Cl)c(Cl)c2)nc1 ZINC000340661370 526008426 /nfs/dbraw/zinc/00/84/26/526008426.db2.gz MVZJBSHHWXDZEJ-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(Cl)c(Cl)c2)nc1 ZINC000340661370 526008431 /nfs/dbraw/zinc/00/84/31/526008431.db2.gz MVZJBSHHWXDZEJ-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000290501270 526021634 /nfs/dbraw/zinc/02/16/34/526021634.db2.gz SNTJSZRORXIVIR-LLVKDONJSA-N 1 2 297.324 3.930 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000290501270 526021641 /nfs/dbraw/zinc/02/16/41/526021641.db2.gz SNTJSZRORXIVIR-LLVKDONJSA-N 1 2 297.324 3.930 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@H](C)[C@@H]2c2cccc(F)c2)nc1 ZINC000340691626 526023138 /nfs/dbraw/zinc/02/31/38/526023138.db2.gz GMUWUCVSIZRJND-SUMWQHHRSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@H](C)[C@@H]2c2cccc(F)c2)nc1 ZINC000340691626 526023146 /nfs/dbraw/zinc/02/31/46/526023146.db2.gz GMUWUCVSIZRJND-SUMWQHHRSA-N 1 2 285.366 3.507 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)Cc1ccccn1 ZINC000264282660 526027742 /nfs/dbraw/zinc/02/77/42/526027742.db2.gz OXAFDDDGAFBTGB-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)Cc1ccccn1 ZINC000264282660 526027757 /nfs/dbraw/zinc/02/77/57/526027757.db2.gz OXAFDDDGAFBTGB-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@@H](c1ccc(F)cc1F)C(C)(C)C ZINC000289633458 526036336 /nfs/dbraw/zinc/03/63/36/526036336.db2.gz XDMNFEMXILPLJV-HNNXBMFYSA-N 1 2 293.361 3.873 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@@H](c1ccc(F)cc1F)C(C)(C)C ZINC000289633458 526036345 /nfs/dbraw/zinc/03/63/45/526036345.db2.gz XDMNFEMXILPLJV-HNNXBMFYSA-N 1 2 293.361 3.873 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2ccon2)o1 ZINC000076120557 526038610 /nfs/dbraw/zinc/03/86/10/526038610.db2.gz WJHQMCWOMYSUJM-BXUZGUMPSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2ccon2)o1 ZINC000076120557 526038619 /nfs/dbraw/zinc/03/86/19/526038619.db2.gz WJHQMCWOMYSUJM-BXUZGUMPSA-N 1 2 260.337 3.549 20 0 CHADLO CSc1ccc(NCc2ccsc2C)[nH+]c1 ZINC000293378176 526043603 /nfs/dbraw/zinc/04/36/03/526043603.db2.gz VTZPYUVAGTYAHM-UHFFFAOYSA-N 1 2 250.392 3.786 20 0 CHADLO Cc1ccc2[nH]c([C@@H]3CCC[N@@H+]3Cc3ccccn3)nc2c1 ZINC000289478220 526106337 /nfs/dbraw/zinc/10/63/37/526106337.db2.gz ZQBHPGDBDQDYDX-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2[nH]c([C@@H]3CCC[N@H+]3Cc3ccccn3)nc2c1 ZINC000289478220 526106349 /nfs/dbraw/zinc/10/63/49/526106349.db2.gz ZQBHPGDBDQDYDX-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2nc([C@@H]3CCC[N@@H+]3Cc3ccccn3)[nH]c2c1 ZINC000289478220 526106357 /nfs/dbraw/zinc/10/63/57/526106357.db2.gz ZQBHPGDBDQDYDX-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2nc([C@@H]3CCC[N@H+]3Cc3ccccn3)[nH]c2c1 ZINC000289478220 526106368 /nfs/dbraw/zinc/10/63/68/526106368.db2.gz ZQBHPGDBDQDYDX-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278526746 526131172 /nfs/dbraw/zinc/13/11/72/526131172.db2.gz DIQIWGPMYRUQEI-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278526746 526131179 /nfs/dbraw/zinc/13/11/79/526131179.db2.gz DIQIWGPMYRUQEI-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](c1cccs1)c1noc(C[NH+]2CCC(C)CC2)n1 ZINC000276925781 526155167 /nfs/dbraw/zinc/15/51/67/526155167.db2.gz NEBATGHDRMAZPS-GFCCVEGCSA-N 1 2 291.420 3.515 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278718763 526160162 /nfs/dbraw/zinc/16/01/62/526160162.db2.gz UYQFNAXHWFZKOL-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278718763 526160169 /nfs/dbraw/zinc/16/01/69/526160169.db2.gz UYQFNAXHWFZKOL-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000366340889 526181242 /nfs/dbraw/zinc/18/12/42/526181242.db2.gz JIAYELIIHKRSPI-MBNYWOFBSA-N 1 2 292.423 3.532 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000366340889 526181249 /nfs/dbraw/zinc/18/12/49/526181249.db2.gz JIAYELIIHKRSPI-MBNYWOFBSA-N 1 2 292.423 3.532 20 0 CHADLO CSc1ccccc1[C@H](C)Nc1nc[nH+]c(N)c1Cl ZINC000336929983 526194427 /nfs/dbraw/zinc/19/44/27/526194427.db2.gz OTTRJUGOTVNWFT-QMMMGPOBSA-N 1 2 294.811 3.607 20 0 CHADLO CSc1ccccc1[C@H](C)Nc1[nH+]cnc(N)c1Cl ZINC000336929983 526194437 /nfs/dbraw/zinc/19/44/37/526194437.db2.gz OTTRJUGOTVNWFT-QMMMGPOBSA-N 1 2 294.811 3.607 20 0 CHADLO Cc1csc(C2([NH2+]Cc3cccc(F)c3F)CCC2)n1 ZINC000264647464 526326562 /nfs/dbraw/zinc/32/65/62/526326562.db2.gz WNNHVOGGWFBQJL-UHFFFAOYSA-N 1 2 294.370 3.899 20 0 CHADLO Fc1ccc(C[N@@H+]2CCSC[C@@H]2c2ccccc2)nc1 ZINC000276391112 526335268 /nfs/dbraw/zinc/33/52/68/526335268.db2.gz RWVSPFZKKNKGBD-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ccc(C[N@H+]2CCSC[C@@H]2c2ccccc2)nc1 ZINC000276391112 526335273 /nfs/dbraw/zinc/33/52/73/526335273.db2.gz RWVSPFZKKNKGBD-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@H]3CCC[C@@H]3OC(F)F)c2c1 ZINC000336882649 526377544 /nfs/dbraw/zinc/37/75/44/526377544.db2.gz CCZOBGVOJMJBCG-STQMWFEESA-N 1 2 296.292 3.946 20 0 CHADLO Fc1cccc(C[NH2+]C2(c3ccccc3F)CC2)c1F ZINC000076766948 526386819 /nfs/dbraw/zinc/38/68/19/526386819.db2.gz IEJUOQCUQKWXDE-UHFFFAOYSA-N 1 2 277.289 3.883 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000079876299 526391825 /nfs/dbraw/zinc/39/18/25/526391825.db2.gz JEXNHERMLSKNTD-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000079876299 526391828 /nfs/dbraw/zinc/39/18/28/526391828.db2.gz JEXNHERMLSKNTD-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCC[C@H]1c1ccc[nH]1 ZINC000127359895 526393856 /nfs/dbraw/zinc/39/38/56/526393856.db2.gz YHCGNJSXLFNCNL-HNNXBMFYSA-N 1 2 262.303 3.630 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCC[C@H]1c1ccc[nH]1 ZINC000127359895 526393863 /nfs/dbraw/zinc/39/38/63/526393863.db2.gz YHCGNJSXLFNCNL-HNNXBMFYSA-N 1 2 262.303 3.630 20 0 CHADLO Fc1cccc([C@@H]2CCCN2c2cc[nH+]c(C3CC3)n2)c1 ZINC000264890915 526397448 /nfs/dbraw/zinc/39/74/48/526397448.db2.gz TWMOGHYGDJSLPE-HNNXBMFYSA-N 1 2 283.350 3.835 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2nccn2C(F)F)c1 ZINC000076847538 526398718 /nfs/dbraw/zinc/39/87/18/526398718.db2.gz RZOVUSLERZTZAO-CYBMUJFWSA-N 1 2 295.308 3.754 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2nccn2C(F)F)c1 ZINC000076847538 526398721 /nfs/dbraw/zinc/39/87/21/526398721.db2.gz RZOVUSLERZTZAO-CYBMUJFWSA-N 1 2 295.308 3.754 20 0 CHADLO c1cc(CCNc2ccc3ccccc3[nH+]2)cs1 ZINC000042240341 526419961 /nfs/dbraw/zinc/41/99/61/526419961.db2.gz QQWDHCUUVSAMFO-UHFFFAOYSA-N 1 2 254.358 3.951 20 0 CHADLO c1cc(C[N@H+](Cc2ccc3ccccc3c2)C2CC2)no1 ZINC000265851737 526423180 /nfs/dbraw/zinc/42/31/80/526423180.db2.gz QDTLMRARBSORSF-UHFFFAOYSA-N 1 2 278.355 3.992 20 0 CHADLO c1cc(C[N@@H+](Cc2ccc3ccccc3c2)C2CC2)no1 ZINC000265851737 526423183 /nfs/dbraw/zinc/42/31/83/526423183.db2.gz QDTLMRARBSORSF-UHFFFAOYSA-N 1 2 278.355 3.992 20 0 CHADLO c1ccc2c(c1)SC[C@H]2Nc1cc(NCC2CC2)[nH+]cn1 ZINC000340030810 526513096 /nfs/dbraw/zinc/51/30/96/526513096.db2.gz QHYYBIIZKBKXAU-CYBMUJFWSA-N 1 2 298.415 3.557 20 0 CHADLO c1ccc2c(c1)SC[C@H]2Nc1cc(NCC2CC2)nc[nH+]1 ZINC000340030810 526513101 /nfs/dbraw/zinc/51/31/01/526513101.db2.gz QHYYBIIZKBKXAU-CYBMUJFWSA-N 1 2 298.415 3.557 20 0 CHADLO c1cn(-c2ccc(CSCCOC3CCC3)cc2)c[nH+]1 ZINC000289453965 526522683 /nfs/dbraw/zinc/52/26/83/526522683.db2.gz UCKRPWNFDUNSJJ-UHFFFAOYSA-N 1 2 288.416 3.675 20 0 CHADLO c1nc([C@@H]2CCC[N@H+]2Cc2csc3ccccc23)no1 ZINC000370773123 526604930 /nfs/dbraw/zinc/60/49/30/526604930.db2.gz LDGPCRFALANJJC-ZDUSSCGKSA-N 1 2 285.372 3.621 20 0 CHADLO c1nc([C@@H]2CCC[N@@H+]2Cc2csc3ccccc23)no1 ZINC000370773123 526604936 /nfs/dbraw/zinc/60/49/36/526604936.db2.gz LDGPCRFALANJJC-ZDUSSCGKSA-N 1 2 285.372 3.621 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COC(=O)C1CCSCC1 ZINC000293195957 526669584 /nfs/dbraw/zinc/66/95/84/526669584.db2.gz WULDXOUGLXWRQX-UHFFFAOYSA-N 1 2 293.432 3.700 20 0 CHADLO Cc1noc([C@H]2CCC[N@@H+]2Cc2ccc(C)c(Cl)c2)n1 ZINC000289378115 526670729 /nfs/dbraw/zinc/67/07/29/526670729.db2.gz BLDPEZPKDPTAAU-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@H]2CCC[N@H+]2Cc2ccc(C)c(Cl)c2)n1 ZINC000289378115 526670732 /nfs/dbraw/zinc/67/07/32/526670732.db2.gz BLDPEZPKDPTAAU-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1nc(CC[NH2+][C@@H](c2ccccc2)C(F)F)cs1 ZINC000292844213 526829202 /nfs/dbraw/zinc/82/92/02/526829202.db2.gz VBWAEMPSDWWOFJ-ZDUSSCGKSA-N 1 2 282.359 3.590 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2)C2CCCCC2)no1 ZINC000054268978 526848510 /nfs/dbraw/zinc/84/85/10/526848510.db2.gz VCURQXGJZZAWNX-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2)C2CCCCC2)no1 ZINC000054268978 526848523 /nfs/dbraw/zinc/84/85/23/526848523.db2.gz VCURQXGJZZAWNX-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2ccccc2F)cs1 ZINC000291630559 526886301 /nfs/dbraw/zinc/88/63/01/526886301.db2.gz ALDVCUATGQZIPK-UHFFFAOYSA-N 1 2 264.369 3.616 20 0 CHADLO Cc1sccc1C[NH2+][C@H](C(=O)OC(C)(C)C)C(C)C ZINC000339295966 526918988 /nfs/dbraw/zinc/91/89/88/526918988.db2.gz KSANFXMLYKLXTC-ZDUSSCGKSA-N 1 2 283.437 3.512 20 0 CHADLO Clc1cc2c(s1)CCC[C@@H]2[NH2+]Cc1cocn1 ZINC000291704835 526930598 /nfs/dbraw/zinc/93/05/98/526930598.db2.gz KPOCSXSKISYLTD-JTQLQIEISA-N 1 2 268.769 3.557 20 0 CHADLO Clc1ccc(C2([NH2+]Cc3ccno3)CC2)cc1Cl ZINC000264707600 526940246 /nfs/dbraw/zinc/94/02/46/526940246.db2.gz LGXYJGBONNPUOS-UHFFFAOYSA-N 1 2 283.158 3.760 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000278450583 526946363 /nfs/dbraw/zinc/94/63/63/526946363.db2.gz NBHYMHZKSQLXHT-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000278450583 526946368 /nfs/dbraw/zinc/94/63/68/526946368.db2.gz NBHYMHZKSQLXHT-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Clc1ccc2c(c1)CN(c1cc[nH+]c(C3CC3)n1)CC2 ZINC000120533684 526966063 /nfs/dbraw/zinc/96/60/63/526966063.db2.gz STVBHOJBVGSPIO-UHFFFAOYSA-N 1 2 285.778 3.570 20 0 CHADLO Clc1ccc2[nH+]c(CSCC3CCOCC3)cn2c1 ZINC000339408831 526969281 /nfs/dbraw/zinc/96/92/81/526969281.db2.gz BSLKOXVIGNTHHW-UHFFFAOYSA-N 1 2 296.823 3.648 20 0 CHADLO Clc1cnc(CNc2cccc(-n3cc[nH+]c3)c2)s1 ZINC000225373459 526997761 /nfs/dbraw/zinc/99/77/61/526997761.db2.gz UTWAYASTDNBNBX-UHFFFAOYSA-N 1 2 290.779 3.594 20 0 CHADLO Clc1ncc(C[N@@H+]2CCC[C@@H]2c2ccncc2)s1 ZINC000125540723 527008922 /nfs/dbraw/zinc/00/89/22/527008922.db2.gz AWDGXBDAZKVTOI-GFCCVEGCSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ncc(C[N@H+]2CCC[C@@H]2c2ccncc2)s1 ZINC000125540723 527008931 /nfs/dbraw/zinc/00/89/31/527008931.db2.gz AWDGXBDAZKVTOI-GFCCVEGCSA-N 1 2 279.796 3.529 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000289449654 527033245 /nfs/dbraw/zinc/03/32/45/527033245.db2.gz DUMOHQZGMJHSCN-DTWKUNHWSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1nc([C@H](C)[N@H+](C)CC(C)(C)c2ccc(C)cc2)no1 ZINC000280944904 527058128 /nfs/dbraw/zinc/05/81/28/527058128.db2.gz IGJIAOWCLOZXKG-ZDUSSCGKSA-N 1 2 287.407 3.657 20 0 CHADLO Cc1nc([C@H](C)[N@@H+](C)CC(C)(C)c2ccc(C)cc2)no1 ZINC000280944904 527058133 /nfs/dbraw/zinc/05/81/33/527058133.db2.gz IGJIAOWCLOZXKG-ZDUSSCGKSA-N 1 2 287.407 3.657 20 0 CHADLO Cc1nc([C@H](C)Nc2ccc([NH+](C)C)cc2)cs1 ZINC000036915662 527067105 /nfs/dbraw/zinc/06/71/05/527067105.db2.gz BQYBMZGRDYNJGN-JTQLQIEISA-N 1 2 261.394 3.691 20 0 CHADLO Cc1[nH+]c2cc(CNC(=O)[C@H](C)C(C)C)ccc2n1C1CC1 ZINC000289638575 527118794 /nfs/dbraw/zinc/11/87/94/527118794.db2.gz MYIJTNXFESMDAJ-GFCCVEGCSA-N 1 2 299.418 3.588 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CCCC(F)(F)C3)cc2[nH+]1 ZINC000337276520 527145463 /nfs/dbraw/zinc/14/54/63/527145463.db2.gz FHYCMGPTKDNECN-SNVBAGLBSA-N 1 2 293.317 3.635 20 0 CHADLO O=C(C[C@H](c1[nH]cc[nH+]1)c1ccccc1)Nc1ccccc1 ZINC000340823287 527151331 /nfs/dbraw/zinc/15/13/31/527151331.db2.gz NMDMBGVJTSHHEZ-INIZCTEOSA-N 1 2 291.354 3.570 20 0 CHADLO Cc1[nH+]c2ccccc2n1C1CCN(CC(F)(F)F)CC1 ZINC000280274335 527164320 /nfs/dbraw/zinc/16/43/20/527164320.db2.gz RJBQSALUNRZBAF-UHFFFAOYSA-N 1 2 297.324 3.544 20 0 CHADLO Cc1[nH]c(C(=O)N[C@H](c2ccccc2C)C(C)(C)C)c[nH+]1 ZINC000339223620 527241292 /nfs/dbraw/zinc/24/12/92/527241292.db2.gz MQJNGENHOVJEDM-OAHLLOKOSA-N 1 2 285.391 3.544 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccccc2F)C2CC2)s1 ZINC000299122668 527252867 /nfs/dbraw/zinc/25/28/67/527252867.db2.gz HFWVPZYVXTURMA-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccccc2F)C2CC2)s1 ZINC000299122668 527252871 /nfs/dbraw/zinc/25/28/71/527252871.db2.gz HFWVPZYVXTURMA-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO O=C(Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1)c1ccco1 ZINC000299541222 527263782 /nfs/dbraw/zinc/26/37/82/527263782.db2.gz QNCAHUZEVICUOL-UHFFFAOYSA-N 1 2 281.315 3.676 20 0 CHADLO O=C(Nc1cccc(Cl)c1)c1cccc(-n2cc[nH+]c2)c1 ZINC000117561171 527343692 /nfs/dbraw/zinc/34/36/92/527343692.db2.gz BXNKDZSIJRQCTA-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO Cc1nc(N2CCC[C@@H]2c2ccccc2Cl)cc[nH+]1 ZINC000266331842 527346734 /nfs/dbraw/zinc/34/67/34/527346734.db2.gz GYPTXBMBJWCZAR-CQSZACIVSA-N 1 2 273.767 3.780 20 0 CHADLO Cc1nc(N[C@H]2CCSc3c(F)cccc32)cc[nH+]1 ZINC000266178315 527352806 /nfs/dbraw/zinc/35/28/06/527352806.db2.gz UOEBXTDUZUUJML-LBPRGKRZSA-N 1 2 275.352 3.573 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCC2(CCCC2)CC1 ZINC000290639031 527453106 /nfs/dbraw/zinc/45/31/06/527453106.db2.gz JJZDOTJLFAGEBZ-UHFFFAOYSA-N 1 2 298.390 3.522 20 0 CHADLO Nc1ccc(NC(=O)C2CCC(c3ccccc3)CC2)c[nH+]1 ZINC000151653286 527491693 /nfs/dbraw/zinc/49/16/93/527491693.db2.gz MRPDMLMYLAKEHS-UHFFFAOYSA-N 1 2 295.386 3.576 20 0 CHADLO Cc1ncsc1C[N@@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000066562546 527499554 /nfs/dbraw/zinc/49/95/54/527499554.db2.gz IKKSMHCNQKNUDY-SNVBAGLBSA-N 1 2 282.359 3.923 20 0 CHADLO Cc1ncsc1C[N@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000066562546 527499562 /nfs/dbraw/zinc/49/95/62/527499562.db2.gz IKKSMHCNQKNUDY-SNVBAGLBSA-N 1 2 282.359 3.923 20 0 CHADLO Cn1c[nH+]cc1CSCc1ccccc1Cl ZINC000276647705 527511402 /nfs/dbraw/zinc/51/14/02/527511402.db2.gz YJTUBCIEVXUTAX-UHFFFAOYSA-N 1 2 252.770 3.507 20 0 CHADLO CC(C)(C)C[N@H+](Cc1nnc(C2CC2)[nH]1)Cc1ccccc1 ZINC000347404511 527684088 /nfs/dbraw/zinc/68/40/88/527684088.db2.gz XPJBWZZAVYSANP-UHFFFAOYSA-N 1 2 298.434 3.730 20 0 CHADLO CC(C)(C)C[N@@H+](Cc1nnc(C2CC2)[nH]1)Cc1ccccc1 ZINC000347404511 527684090 /nfs/dbraw/zinc/68/40/90/527684090.db2.gz XPJBWZZAVYSANP-UHFFFAOYSA-N 1 2 298.434 3.730 20 0 CHADLO FC(F)(F)c1cnc(C[NH2+]Cc2ccsc2)s1 ZINC000278745733 527689015 /nfs/dbraw/zinc/68/90/15/527689015.db2.gz VXROULURPPINON-UHFFFAOYSA-N 1 2 278.324 3.513 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+]1CCSCc1ccccc1 ZINC000353194105 527698349 /nfs/dbraw/zinc/69/83/49/527698349.db2.gz NZNDEUWCMRQNMM-ZDUSSCGKSA-N 1 2 271.376 3.649 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+]1CCSCc1ccccc1 ZINC000353194105 527698352 /nfs/dbraw/zinc/69/83/52/527698352.db2.gz NZNDEUWCMRQNMM-ZDUSSCGKSA-N 1 2 271.376 3.649 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc(SC)c[nH+]2)C12CCCC2 ZINC000347257767 527955546 /nfs/dbraw/zinc/95/55/46/527955546.db2.gz MWWDOKHFJRJMHW-UONOGXRCSA-N 1 2 292.448 3.953 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCC[C@@H]2C(F)F)cc1 ZINC000353177128 528150639 /nfs/dbraw/zinc/15/06/39/528150639.db2.gz VLIIDUACUICWHL-CQSZACIVSA-N 1 2 269.335 3.703 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCC[C@@H]2C(F)F)cc1 ZINC000353177128 528150643 /nfs/dbraw/zinc/15/06/43/528150643.db2.gz VLIIDUACUICWHL-CQSZACIVSA-N 1 2 269.335 3.703 20 0 CHADLO CCC[N@@H+](Cc1ncc(Cl)s1)C[C@@H]1CCCCO1 ZINC000351995434 528155507 /nfs/dbraw/zinc/15/55/07/528155507.db2.gz KEUPMIMCYCMXCQ-NSHDSACASA-N 1 2 288.844 3.578 20 0 CHADLO CCC[N@H+](Cc1ncc(Cl)s1)C[C@@H]1CCCCO1 ZINC000351995434 528155510 /nfs/dbraw/zinc/15/55/10/528155510.db2.gz KEUPMIMCYCMXCQ-NSHDSACASA-N 1 2 288.844 3.578 20 0 CHADLO CC(C)c1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@@](C)(F)C1 ZINC000347389309 528199238 /nfs/dbraw/zinc/19/92/38/528199238.db2.gz RDIDGQMUDSSUMT-SUMWQHHRSA-N 1 2 292.398 3.571 20 0 CHADLO CC(C)c1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@@](C)(F)C1 ZINC000347389309 528199241 /nfs/dbraw/zinc/19/92/41/528199241.db2.gz RDIDGQMUDSSUMT-SUMWQHHRSA-N 1 2 292.398 3.571 20 0 CHADLO CC(C)c1ccccc1OCCNc1cccc[nH+]1 ZINC000019777547 528205382 /nfs/dbraw/zinc/20/53/82/528205382.db2.gz KVWOBSOQICKALX-UHFFFAOYSA-N 1 2 256.349 3.696 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC(C)(C)[C@H]2[C@@H]2CCCO2)cs1 ZINC000351958545 528276739 /nfs/dbraw/zinc/27/67/39/528276739.db2.gz NVKLLPGYQPXQGD-UONOGXRCSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC(C)(C)[C@H]2[C@@H]2CCCO2)cs1 ZINC000351958545 528276744 /nfs/dbraw/zinc/27/67/44/528276744.db2.gz NVKLLPGYQPXQGD-UONOGXRCSA-N 1 2 294.464 3.656 20 0 CHADLO CCCn1c(C[N@H+](C)Cc2ccoc2)nc2ccccc21 ZINC000352654830 528819251 /nfs/dbraw/zinc/81/92/51/528819251.db2.gz NXOATGAFDVUDHT-UHFFFAOYSA-N 1 2 283.375 3.671 20 0 CHADLO CCCn1c(C[N@@H+](C)Cc2ccoc2)nc2ccccc21 ZINC000352654830 528819255 /nfs/dbraw/zinc/81/92/55/528819255.db2.gz NXOATGAFDVUDHT-UHFFFAOYSA-N 1 2 283.375 3.671 20 0 CHADLO CC[N@H+](Cc1ccn(C(F)F)n1)[C@@H](C)c1cccc(O)c1 ZINC000347705502 528856797 /nfs/dbraw/zinc/85/67/97/528856797.db2.gz OWWPHVHOEWDQRH-NSHDSACASA-N 1 2 295.333 3.567 20 0 CHADLO CC[N@@H+](Cc1ccn(C(F)F)n1)[C@@H](C)c1cccc(O)c1 ZINC000347705502 528856803 /nfs/dbraw/zinc/85/68/03/528856803.db2.gz OWWPHVHOEWDQRH-NSHDSACASA-N 1 2 295.333 3.567 20 0 CHADLO CCCC[C@H](CC)CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000348002065 528903433 /nfs/dbraw/zinc/90/34/33/528903433.db2.gz SRHLBDXPDARBFJ-KBPBESRZSA-N 1 2 277.412 3.680 20 0 CHADLO CC(C)[N@H+](CC[C@H](C)OCc1ccccc1)CC(F)F ZINC000354862598 528913576 /nfs/dbraw/zinc/91/35/76/528913576.db2.gz SDSNPSICHVYAAO-AWEZNQCLSA-N 1 2 285.378 3.957 20 0 CHADLO CC(C)[N@@H+](CC[C@H](C)OCc1ccccc1)CC(F)F ZINC000354862598 528913579 /nfs/dbraw/zinc/91/35/79/528913579.db2.gz SDSNPSICHVYAAO-AWEZNQCLSA-N 1 2 285.378 3.957 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C2CC2)n1)c1ccc(OC)cc1 ZINC000112963687 529212185 /nfs/dbraw/zinc/21/21/85/529212185.db2.gz GTKSBXAWAHSBSS-OAHLLOKOSA-N 1 2 283.375 3.926 20 0 CHADLO CC[C@H]1CCCN(C(=O)Nc2c(C)cc(C)[nH+]c2C)C1 ZINC000336144778 529214748 /nfs/dbraw/zinc/21/47/48/529214748.db2.gz URKATEBEMBRNQD-AWEZNQCLSA-N 1 2 275.396 3.661 20 0 CHADLO CC[C@H](C)c1noc(/C=C/c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000355894593 529282646 /nfs/dbraw/zinc/28/26/46/529282646.db2.gz POZJGZCBBFNYRD-PPGNKHEKSA-N 1 2 294.358 3.939 20 0 CHADLO CC[C@H](C)n1ncc(NCc2c[nH+]cn2CC)c1C1CC1 ZINC000417967415 529294531 /nfs/dbraw/zinc/29/45/31/529294531.db2.gz OFCCLGFDMXSWFM-LBPRGKRZSA-N 1 2 287.411 3.560 20 0 CHADLO CC[C@H]1[C@@H](C)CCN1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334698922 529335809 /nfs/dbraw/zinc/33/58/09/529335809.db2.gz CHKYGFZOGGZYPQ-HZMBPMFUSA-N 1 2 275.396 3.659 20 0 CHADLO CC/C=C/CC[N@@H+]1CCOC[C@@H]1c1ccc(CC)o1 ZINC000342196306 535232646 /nfs/dbraw/zinc/23/26/46/535232646.db2.gz FJGSCLYTABQBEQ-LLYBFZRZSA-N 1 2 263.381 3.572 20 0 CHADLO CC/C=C/CC[N@H+]1CCOC[C@@H]1c1ccc(CC)o1 ZINC000342196306 535232651 /nfs/dbraw/zinc/23/26/51/535232651.db2.gz FJGSCLYTABQBEQ-LLYBFZRZSA-N 1 2 263.381 3.572 20 0 CHADLO CC[C@H](C)[C@@H]([NH2+]Cc1nnc(C)o1)c1ccc(Cl)cc1 ZINC000341849054 535872202 /nfs/dbraw/zinc/87/22/02/535872202.db2.gz VSQVCIIALMLFFY-ZUZCIYMTSA-N 1 2 293.798 3.908 20 0 CHADLO COc1cc[nH+]cc1CSCc1sc(C)nc1C ZINC000341898458 537120314 /nfs/dbraw/zinc/12/03/14/537120314.db2.gz FYRZDXYHUILVLK-UHFFFAOYSA-N 1 2 280.418 3.597 20 0 CHADLO Fc1cc(F)cc(C[N@@H+]2CCc3ccsc3C2)c1 ZINC000127042265 1125458208 /nfs/dbraw/zinc/45/82/08/1125458208.db2.gz MRAMEGALSMOMDC-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1cc(F)cc(C[N@H+]2CCc3ccsc3C2)c1 ZINC000127042265 1125458211 /nfs/dbraw/zinc/45/82/11/1125458211.db2.gz MRAMEGALSMOMDC-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO FC(F)(F)c1cccnc1C[N@@H+]1CCc2ccsc2C1 ZINC000127046836 1125458299 /nfs/dbraw/zinc/45/82/99/1125458299.db2.gz BOJYLUFGLUJWJE-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1cccnc1C[N@H+]1CCc2ccsc2C1 ZINC000127046836 1125458303 /nfs/dbraw/zinc/45/83/03/1125458303.db2.gz BOJYLUFGLUJWJE-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@H+]2CCC[C@@H](C)C2)c(Cl)c1 ZINC000007787424 1125460127 /nfs/dbraw/zinc/46/01/27/1125460127.db2.gz NWYGHSCCNFIQLH-LLVKDONJSA-N 1 2 294.826 3.627 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@@H+]2CCC[C@@H](C)C2)c(Cl)c1 ZINC000007787424 1125460129 /nfs/dbraw/zinc/46/01/29/1125460129.db2.gz NWYGHSCCNFIQLH-LLVKDONJSA-N 1 2 294.826 3.627 20 0 CHADLO CC[C@@H](C)Oc1ccc(C(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000536574951 1125470712 /nfs/dbraw/zinc/47/07/12/1125470712.db2.gz RZTXTPIMZNDOGX-CQSZACIVSA-N 1 2 298.386 3.550 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCc4ccccc4C3)nc2c1 ZINC000130769264 1125484904 /nfs/dbraw/zinc/48/49/04/1125484904.db2.gz YXQRLLPHLFXWQZ-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCc4ccccc4C3)nc2c1 ZINC000130769264 1125484906 /nfs/dbraw/zinc/48/49/06/1125484906.db2.gz YXQRLLPHLFXWQZ-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCCC[C@@H]2C(F)(F)F)cc[nH+]1 ZINC000112298403 1125485512 /nfs/dbraw/zinc/48/55/12/1125485512.db2.gz QHZRRUSIENPURC-NEPJUHHUSA-N 1 2 286.297 3.697 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc3ccccc3c2)o1 ZINC000112516013 1125486467 /nfs/dbraw/zinc/48/64/67/1125486467.db2.gz OSAJXUYZHAVVEE-NWDGAFQWSA-N 1 2 281.359 3.943 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@H]2c2ccsc2)o1 ZINC000075626399 1119178164 /nfs/dbraw/zinc/17/81/64/1119178164.db2.gz YOBPRXDYXQEVHE-ZDUSSCGKSA-N 1 2 262.378 3.636 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@H]2c2ccsc2)o1 ZINC000075626399 1119178166 /nfs/dbraw/zinc/17/81/66/1119178166.db2.gz YOBPRXDYXQEVHE-ZDUSSCGKSA-N 1 2 262.378 3.636 20 0 CHADLO CCCCc1ccc(CO[C@H]2C[NH2+]CC(F)(F)C2)cc1 ZINC001217854560 1119642585 /nfs/dbraw/zinc/64/25/85/1119642585.db2.gz GZZZULCPLVFSHY-OAHLLOKOSA-N 1 2 283.362 3.543 20 0 CHADLO C[C@H]([NH2+]Cc1ccco1)c1nc(C(C)(C)C)cs1 ZINC000106476795 1120267588 /nfs/dbraw/zinc/26/75/88/1120267588.db2.gz HURJLXJZTUBFAQ-JTQLQIEISA-N 1 2 264.394 3.884 20 0 CHADLO CCC[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1cccnc1 ZINC000672622509 1120335246 /nfs/dbraw/zinc/33/52/46/1120335246.db2.gz ZWLVGGOJULLKKC-CHWSQXEVSA-N 1 2 254.324 3.700 20 0 CHADLO Cc1nc(C)c(C[NH2+]Cc2ccc(Cl)cc2Cl)o1 ZINC000311729735 1120450510 /nfs/dbraw/zinc/45/05/10/1120450510.db2.gz CRAZGQRIDQPOQG-UHFFFAOYSA-N 1 2 285.174 3.888 20 0 CHADLO CC[C@@H]([NH2+]Cc1coc(C)n1)c1ccc(Cl)s1 ZINC000660251283 1120466479 /nfs/dbraw/zinc/46/64/79/1120466479.db2.gz MVPKTANKSYYAFP-SNVBAGLBSA-N 1 2 270.785 3.939 20 0 CHADLO CCc1[nH]nc(Cl)c1C[NH2+]Cc1cscc1Cl ZINC000922339828 1120520040 /nfs/dbraw/zinc/52/00/40/1120520040.db2.gz WPQGPOVUGVETSV-UHFFFAOYSA-N 1 2 290.219 3.630 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+](Cc2c(Cl)cncc2Cl)C1 ZINC001137609088 1121062963 /nfs/dbraw/zinc/06/29/63/1121062963.db2.gz FXNDBHFGGKWYTJ-MRVPVSSYSA-N 1 2 295.160 3.866 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+](Cc2c(Cl)cncc2Cl)C1 ZINC001137609088 1121062969 /nfs/dbraw/zinc/06/29/69/1121062969.db2.gz FXNDBHFGGKWYTJ-MRVPVSSYSA-N 1 2 295.160 3.866 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(O)cc2C(F)(F)F)c1 ZINC001176337796 1121600346 /nfs/dbraw/zinc/60/03/46/1121600346.db2.gz FVYZEULPHNDFHZ-UHFFFAOYSA-N 1 2 268.238 3.858 20 0 CHADLO COc1c(C)c[nH+]c(CSCCC2(OC)CCC2)c1C ZINC000419453275 1121943177 /nfs/dbraw/zinc/94/31/77/1121943177.db2.gz JCGURLJLOSZYIG-UHFFFAOYSA-N 1 2 295.448 3.899 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@H](CC(F)(F)F)C1 ZINC000420953566 1122049004 /nfs/dbraw/zinc/04/90/04/1122049004.db2.gz SVJKXRAEBMNMSQ-GFCCVEGCSA-N 1 2 289.345 3.628 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@H](CC(F)(F)F)C1 ZINC000420953566 1122049006 /nfs/dbraw/zinc/04/90/06/1122049006.db2.gz SVJKXRAEBMNMSQ-GFCCVEGCSA-N 1 2 289.345 3.628 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1ncc(C(C)C)o1 ZINC000774788603 1122299352 /nfs/dbraw/zinc/29/93/52/1122299352.db2.gz AYNXMBFCUXZAFP-CYBMUJFWSA-N 1 2 258.365 3.957 20 0 CHADLO CC[C@@](C)(CNc1ccc([NH+]2CCCC2)cc1)OC ZINC000319997551 1122918352 /nfs/dbraw/zinc/91/83/52/1122918352.db2.gz YZUVVPDPNCBJGY-INIZCTEOSA-N 1 2 262.397 3.514 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)CC(=O)OC(C)(C)C)cc1 ZINC000507380980 1122951124 /nfs/dbraw/zinc/95/11/24/1122951124.db2.gz RIMBDICGRSBQJO-UHFFFAOYSA-N 1 2 277.408 3.584 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)CC(=O)OC(C)(C)C)cc1 ZINC000507380980 1122951132 /nfs/dbraw/zinc/95/11/32/1122951132.db2.gz RIMBDICGRSBQJO-UHFFFAOYSA-N 1 2 277.408 3.584 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nccs1)c1c(C)noc1C ZINC000090886688 1123156881 /nfs/dbraw/zinc/15/68/81/1123156881.db2.gz BJQINWHSAOLFQH-GXSJLCMTSA-N 1 2 265.382 3.550 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2CSc3ccccc32)cs1 ZINC000335851232 1123446927 /nfs/dbraw/zinc/44/69/27/1123446927.db2.gz TYDOZRBKIIMFAE-RNCFNFMXSA-N 1 2 276.430 3.949 20 0 CHADLO CCc1cnc(C[N@H+]2CCc3sccc3[C@@H]2C)s1 ZINC000346145429 1123667650 /nfs/dbraw/zinc/66/76/50/1123667650.db2.gz HAWGTPCAARSPSU-JTQLQIEISA-N 1 2 278.446 3.886 20 0 CHADLO CC(C)(C)c1cn(Cc2cc(F)cc(F)c2)c[nH+]1 ZINC000865376642 1123977045 /nfs/dbraw/zinc/97/70/45/1123977045.db2.gz XDMDVVXMUHAOPZ-UHFFFAOYSA-N 1 2 250.292 3.507 20 0 CHADLO CC[C@@H]([NH2+]C/C=C\c1ccc(F)cc1F)C(F)F ZINC000449365392 1124701592 /nfs/dbraw/zinc/70/15/92/1124701592.db2.gz VSHWAXMLMXTSHD-VSQXVHSFSA-N 1 2 261.262 3.611 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cccs2)c1F ZINC001138118722 1131468841 /nfs/dbraw/zinc/46/88/41/1131468841.db2.gz QZQMNWLTOOCHKK-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cccs2)c1F ZINC001138118722 1131468844 /nfs/dbraw/zinc/46/88/44/1131468844.db2.gz QZQMNWLTOOCHKK-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO CCOc1ccc(F)c(C[NH+]2CCC(C)(F)CC2)c1F ZINC001143930884 1131558104 /nfs/dbraw/zinc/55/81/04/1131558104.db2.gz MPSSESGMBMVBIB-UHFFFAOYSA-N 1 2 287.325 3.688 20 0 CHADLO Clc1ccnc(C[N@H+]2CC[C@@H]2c2ccccc2)c1 ZINC001139566273 1131616429 /nfs/dbraw/zinc/61/64/29/1131616429.db2.gz VMDBFAUVQZMELV-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+](Cc2cc(C(F)(F)F)ccn2)C1 ZINC001140108329 1131664497 /nfs/dbraw/zinc/66/44/97/1131664497.db2.gz SGBHJZVIZMJDSM-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+](Cc2cc(C(F)(F)F)ccn2)C1 ZINC001140108329 1131664498 /nfs/dbraw/zinc/66/44/98/1131664498.db2.gz SGBHJZVIZMJDSM-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCC[C@H](SC)C2)c(OC)c1 ZINC000846749532 1131727814 /nfs/dbraw/zinc/72/78/14/1131727814.db2.gz FEWZKVFQSXNQPS-YPMHNXCESA-N 1 2 281.421 3.790 20 0 CHADLO COc1cc(Cl)cc(F)c1C[N@H+](C)C1CC(F)(F)C1 ZINC001233001299 1132560366 /nfs/dbraw/zinc/56/03/66/1132560366.db2.gz HGLBPZBSOKEIFX-UHFFFAOYSA-N 1 2 293.716 3.717 20 0 CHADLO COc1cc(Cl)cc(F)c1C[N@@H+](C)C1CC(F)(F)C1 ZINC001233001299 1132560373 /nfs/dbraw/zinc/56/03/73/1132560373.db2.gz HGLBPZBSOKEIFX-UHFFFAOYSA-N 1 2 293.716 3.717 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2csc(C(F)(F)F)c2)C1 ZINC001233174119 1132579440 /nfs/dbraw/zinc/57/94/40/1132579440.db2.gz CUYFRTVEJFHQLK-WPRPVWTQSA-N 1 2 281.318 3.947 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2csc(C(F)(F)F)c2)C1 ZINC001233174119 1132579450 /nfs/dbraw/zinc/57/94/50/1132579450.db2.gz CUYFRTVEJFHQLK-WPRPVWTQSA-N 1 2 281.318 3.947 20 0 CHADLO CSc1cc[nH+]c(N[C@H](C2CCC2)C(F)(F)F)c1 ZINC001158400937 1132773942 /nfs/dbraw/zinc/77/39/42/1132773942.db2.gz IXUOQOCKTMLYJM-LLVKDONJSA-N 1 2 276.327 3.946 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(C(F)(F)F)cc1F ZINC001204471198 1133450797 /nfs/dbraw/zinc/45/07/97/1133450797.db2.gz MCGOAHNQRDUEOR-LLVKDONJSA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(C(F)(F)F)cc1F ZINC001204471198 1133450800 /nfs/dbraw/zinc/45/08/00/1133450800.db2.gz MCGOAHNQRDUEOR-LLVKDONJSA-N 1 2 279.252 3.778 20 0 CHADLO CCOc1c(Cl)cccc1C[NH+]1CC(C)(C)C1 ZINC001207150449 1133771319 /nfs/dbraw/zinc/77/13/19/1133771319.db2.gz GTBNRABWBLAJAE-UHFFFAOYSA-N 1 2 253.773 3.581 20 0 CHADLO COc1c(C)ccc(F)c1Nc1c[nH+]c(C)cc1C ZINC001214530149 1134547118 /nfs/dbraw/zinc/54/71/18/1134547118.db2.gz RUDOPQZWVIRHOL-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO Cc1cc(O[C@@H]2CC[NH2+]CC2(F)F)c(Cl)cc1Cl ZINC001218012302 1135042017 /nfs/dbraw/zinc/04/20/17/1135042017.db2.gz OMIBNGGLTFXDJO-LLVKDONJSA-N 1 2 296.144 3.678 20 0 CHADLO FC1(F)C[NH2+]C[C@H](OCCCCC2CCCCC2)C1 ZINC001217857413 1135009573 /nfs/dbraw/zinc/00/95/73/1135009573.db2.gz WRWNBVFABBTUHT-CQSZACIVSA-N 1 2 275.383 3.751 20 0 CHADLO CCc1nc(C[NH2+]Cc2cccc(Cl)c2OC)cs1 ZINC000897483290 1125537803 /nfs/dbraw/zinc/53/78/03/1125537803.db2.gz OHFHNKYXSJSFBC-UHFFFAOYSA-N 1 2 296.823 3.657 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](C)OC[C@H]2c2ccccc2)o1 ZINC000245809911 1125595333 /nfs/dbraw/zinc/59/53/33/1125595333.db2.gz ZFGVELUKIUJAEG-YOEHRIQHSA-N 1 2 271.360 3.550 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](C)OC[C@H]2c2ccccc2)o1 ZINC000245809911 1125595337 /nfs/dbraw/zinc/59/53/37/1125595337.db2.gz ZFGVELUKIUJAEG-YOEHRIQHSA-N 1 2 271.360 3.550 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@H](C)O[C@@H](c3ccsc3)C2)o1 ZINC000245813247 1125595571 /nfs/dbraw/zinc/59/55/71/1125595571.db2.gz ZREWYVVKOGKIOO-BLLLJJGKSA-N 1 2 291.416 3.866 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@H](C)O[C@@H](c3ccsc3)C2)o1 ZINC000245813247 1125595577 /nfs/dbraw/zinc/59/55/77/1125595577.db2.gz ZREWYVVKOGKIOO-BLLLJJGKSA-N 1 2 291.416 3.866 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc2cccc(Cl)c2n1 ZINC001137220198 1125623352 /nfs/dbraw/zinc/62/33/52/1125623352.db2.gz XKHJMPCBPLPPJW-PWSUYJOCSA-N 1 2 278.758 3.821 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc2cccc(Cl)c2n1 ZINC001137220198 1125623355 /nfs/dbraw/zinc/62/33/55/1125623355.db2.gz XKHJMPCBPLPPJW-PWSUYJOCSA-N 1 2 278.758 3.821 20 0 CHADLO CC(C)c1ccc(C(=O)N2CCC(c3[nH]cc[nH+]3)CC2)cc1 ZINC000074828255 1125643097 /nfs/dbraw/zinc/64/30/97/1125643097.db2.gz JZVPKEYXVDQMHY-UHFFFAOYSA-N 1 2 297.402 3.553 20 0 CHADLO Cc1coc(C[NH2+]Cc2c(F)cccc2N2CCCC2)c1 ZINC000886112134 1125651137 /nfs/dbraw/zinc/65/11/37/1125651137.db2.gz QICDUXLZUVGPPU-UHFFFAOYSA-N 1 2 288.366 3.617 20 0 CHADLO C[C@@H]1C[N@H+](Cc2noc(C3CC3)n2)CC[C@H]1c1ccccc1 ZINC000246525718 1125646065 /nfs/dbraw/zinc/64/60/65/1125646065.db2.gz CBHDJIOIKDNBGQ-CZUORRHYSA-N 1 2 297.402 3.573 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2noc(C3CC3)n2)CC[C@H]1c1ccccc1 ZINC000246525718 1125646066 /nfs/dbraw/zinc/64/60/66/1125646066.db2.gz CBHDJIOIKDNBGQ-CZUORRHYSA-N 1 2 297.402 3.573 20 0 CHADLO CCc1nnc(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccc(C)o2)o1 ZINC000247260122 1125655226 /nfs/dbraw/zinc/65/52/26/1125655226.db2.gz FGLPYRLFCNWVAP-AAEUAGOBSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1nnc(C[N@H+]2CC[C@H](C)C[C@H]2c2ccc(C)o2)o1 ZINC000247260122 1125655228 /nfs/dbraw/zinc/65/52/28/1125655228.db2.gz FGLPYRLFCNWVAP-AAEUAGOBSA-N 1 2 289.379 3.507 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OCC(F)F)c1cscn1 ZINC000886158433 1125657648 /nfs/dbraw/zinc/65/76/48/1125657648.db2.gz ZCUHFUAPXWRQDY-SNVBAGLBSA-N 1 2 298.358 3.638 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cc(C3CC3)no2)c(Cl)c1 ZINC000886269576 1125664290 /nfs/dbraw/zinc/66/42/90/1125664290.db2.gz ZDUUAYXUTYSSKH-UHFFFAOYSA-N 1 2 276.767 3.804 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(F)(F)F)cc1)c1ncco1 ZINC000886275810 1125665799 /nfs/dbraw/zinc/66/57/99/1125665799.db2.gz MVXYOUIFVKLHDU-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO CCC[C@@]1(C)CCC[N@H+](Cc2noc(C(C)C)n2)C1 ZINC000076145771 1125670674 /nfs/dbraw/zinc/67/06/74/1125670674.db2.gz PQCLVISASUNYMX-HNNXBMFYSA-N 1 2 265.401 3.595 20 0 CHADLO CCC[C@@]1(C)CCC[N@@H+](Cc2noc(C(C)C)n2)C1 ZINC000076145771 1125670678 /nfs/dbraw/zinc/67/06/78/1125670678.db2.gz PQCLVISASUNYMX-HNNXBMFYSA-N 1 2 265.401 3.595 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc2c(c1)C=CC1(CCC1)O2 ZINC000886389609 1125677072 /nfs/dbraw/zinc/67/70/72/1125677072.db2.gz QMVNREKGUZLFLB-UHFFFAOYSA-N 1 2 295.386 3.843 20 0 CHADLO C[C@H](NC(=O)c1cccc(-n2cc[nH+]c2)c1)C1CCCCC1 ZINC000076504901 1125680038 /nfs/dbraw/zinc/68/00/38/1125680038.db2.gz IWROIACNCWZYKB-AWEZNQCLSA-N 1 2 297.402 3.571 20 0 CHADLO CCCCCCC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000886512154 1125681860 /nfs/dbraw/zinc/68/18/60/1125681860.db2.gz WTTBPCNHWZJQOT-UHFFFAOYSA-N 1 2 259.353 3.780 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1cn2ccccc2n1 ZINC000076720990 1125684027 /nfs/dbraw/zinc/68/40/27/1125684027.db2.gz MDYFGAGULRPLRN-CYBMUJFWSA-N 1 2 283.350 3.666 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1cn2ccccc2n1 ZINC000076720990 1125684033 /nfs/dbraw/zinc/68/40/33/1125684033.db2.gz MDYFGAGULRPLRN-CYBMUJFWSA-N 1 2 283.350 3.666 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2cc(Cl)ccc21)c1ncco1 ZINC000886538262 1125685561 /nfs/dbraw/zinc/68/55/61/1125685561.db2.gz FIVADBCRJARZHQ-TVQRCGJNSA-N 1 2 262.740 3.666 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CCCc2c3cc(F)ccc3[nH]c21 ZINC000886550439 1125690027 /nfs/dbraw/zinc/69/00/27/1125690027.db2.gz ZWKYAXNXTHVAIM-INIZCTEOSA-N 1 2 298.365 3.506 20 0 CHADLO CCc1nc(C[N@H+](CC2CCC2)[C@H](C)c2ccccc2)no1 ZINC000077372577 1125693402 /nfs/dbraw/zinc/69/34/02/1125693402.db2.gz LPQDTWVUJWYYOW-CQSZACIVSA-N 1 2 299.418 3.995 20 0 CHADLO CCc1nc(C[N@@H+](CC2CCC2)[C@H](C)c2ccccc2)no1 ZINC000077372577 1125693405 /nfs/dbraw/zinc/69/34/05/1125693405.db2.gz LPQDTWVUJWYYOW-CQSZACIVSA-N 1 2 299.418 3.995 20 0 CHADLO FC[C@@H]([NH2+][C@H]1CCc2cccnc21)c1ccc(F)cc1 ZINC000886648612 1125702882 /nfs/dbraw/zinc/70/28/82/1125702882.db2.gz YXRFPCDNDUMBBX-LSDHHAIUSA-N 1 2 274.314 3.508 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+][C@H]1CCc2cccnc21 ZINC000886651669 1125703774 /nfs/dbraw/zinc/70/37/74/1125703774.db2.gz NVXPLTTTWYAJQA-SOLBZPMBSA-N 1 2 282.362 3.684 20 0 CHADLO CC[C@H](F)C[N@H+](C)[C@@]1(c2ccccc2)CCCCC1=O ZINC000572065379 1125704170 /nfs/dbraw/zinc/70/41/70/1125704170.db2.gz MNNSDJYHMRUVFF-DOTOQJQBSA-N 1 2 277.383 3.705 20 0 CHADLO CC[C@H](F)C[N@@H+](C)[C@@]1(c2ccccc2)CCCCC1=O ZINC000572065379 1125704177 /nfs/dbraw/zinc/70/41/77/1125704177.db2.gz MNNSDJYHMRUVFF-DOTOQJQBSA-N 1 2 277.383 3.705 20 0 CHADLO Cc1cc(C[NH+](CCCC(C)C)Cc2cc(C)no2)on1 ZINC000078617628 1125727703 /nfs/dbraw/zinc/72/77/03/1125727703.db2.gz MDJUMXMZYLGHSE-UHFFFAOYSA-N 1 2 291.395 3.718 20 0 CHADLO FC(F)(F)c1cccc(CSCCn2cc[nH+]c2)c1 ZINC000090199253 1125738778 /nfs/dbraw/zinc/73/87/78/1125738778.db2.gz GULRXJBRWZEYMK-UHFFFAOYSA-N 1 2 286.322 3.835 20 0 CHADLO C[C@@H](SCCn1cc[nH+]c1)c1c(F)cccc1F ZINC000090200577 1125739247 /nfs/dbraw/zinc/73/92/47/1125739247.db2.gz HTBYFVVSUWQLCB-SNVBAGLBSA-N 1 2 268.332 3.656 20 0 CHADLO Fc1cccc(Cl)c1CSCCn1cc[nH+]c1 ZINC000090199244 1125739258 /nfs/dbraw/zinc/73/92/58/1125739258.db2.gz OUIGHOSMUOVQEH-UHFFFAOYSA-N 1 2 270.760 3.609 20 0 CHADLO C[C@H](SCCn1cc[nH+]c1)c1ccc(F)cc1F ZINC000090200692 1125740461 /nfs/dbraw/zinc/74/04/61/1125740461.db2.gz SAAIWNZEQPVKLO-JTQLQIEISA-N 1 2 268.332 3.656 20 0 CHADLO C[N@H+](CCCF)Cc1csc(COc2ccccc2)n1 ZINC000887138974 1125751229 /nfs/dbraw/zinc/75/12/29/1125751229.db2.gz UEEWFXJGOJLNFL-UHFFFAOYSA-N 1 2 294.395 3.514 20 0 CHADLO C[N@@H+](CCCF)Cc1csc(COc2ccccc2)n1 ZINC000887138974 1125751234 /nfs/dbraw/zinc/75/12/34/1125751234.db2.gz UEEWFXJGOJLNFL-UHFFFAOYSA-N 1 2 294.395 3.514 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000092729339 1125761426 /nfs/dbraw/zinc/76/14/26/1125761426.db2.gz VLWAOTXDYPUULP-HNNXBMFYSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cc(F)ccc1C[N@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000092729339 1125761432 /nfs/dbraw/zinc/76/14/32/1125761432.db2.gz VLWAOTXDYPUULP-HNNXBMFYSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000092822359 1125763343 /nfs/dbraw/zinc/76/33/43/1125763343.db2.gz OQFFNHMHTYBBBA-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000092822359 1125763351 /nfs/dbraw/zinc/76/33/51/1125763351.db2.gz OQFFNHMHTYBBBA-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nccs1 ZINC000093582500 1125770433 /nfs/dbraw/zinc/77/04/33/1125770433.db2.gz FTIOSJLHJIUYQB-JLHYYAGUSA-N 1 2 258.390 3.678 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nccs1 ZINC000093582500 1125770440 /nfs/dbraw/zinc/77/04/40/1125770440.db2.gz FTIOSJLHJIUYQB-JLHYYAGUSA-N 1 2 258.390 3.678 20 0 CHADLO Clc1ccncc1C[N@@H+]1CCOC[C@@H]1C1CCCCC1 ZINC001137239404 1125774774 /nfs/dbraw/zinc/77/47/74/1125774774.db2.gz UJHJIKIYBSOFAN-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccncc1C[N@H+]1CCOC[C@@H]1C1CCCCC1 ZINC001137239404 1125774778 /nfs/dbraw/zinc/77/47/78/1125774778.db2.gz UJHJIKIYBSOFAN-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO Oc1cccc(C[N@@H+]2CCOC3(CCCCC3)C2)c1Cl ZINC000508758987 1125789101 /nfs/dbraw/zinc/78/91/01/1125789101.db2.gz YDVWFJJGOWQQQA-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO Oc1cccc(C[N@H+]2CCOC3(CCCCC3)C2)c1Cl ZINC000508758987 1125789104 /nfs/dbraw/zinc/78/91/04/1125789104.db2.gz YDVWFJJGOWQQQA-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO Cc1nsc(C)c1C[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000640154285 1129350514 /nfs/dbraw/zinc/35/05/14/1129350514.db2.gz FGFRHMBXLCJPDT-CQSZACIVSA-N 1 2 282.359 3.699 20 0 CHADLO Clc1ncccc1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000746457218 1129665369 /nfs/dbraw/zinc/66/53/69/1129665369.db2.gz LAXQZRXMYOQESD-AWEZNQCLSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ncccc1C[N@H+]1CC[C@H]1c1ccccc1 ZINC000746457218 1129665373 /nfs/dbraw/zinc/66/53/73/1129665373.db2.gz LAXQZRXMYOQESD-AWEZNQCLSA-N 1 2 258.752 3.682 20 0 CHADLO CC[C@@H](C)CCCCC(=O)NCc1c[nH+]c(C)cc1C ZINC000654380176 1129826542 /nfs/dbraw/zinc/82/65/42/1129826542.db2.gz INXUAVPRPCRGRO-CYBMUJFWSA-N 1 2 276.424 3.921 20 0 CHADLO CC(C)c1ccc(C[N@H+]2[C@@H]3CC[C@H]2CC(=O)C3)c(Cl)n1 ZINC001238721064 1131236867 /nfs/dbraw/zinc/23/68/67/1131236867.db2.gz DKECIIUCEBBKCK-BETUJISGSA-N 1 2 292.810 3.554 20 0 CHADLO CC(C)c1ccc(C[N@@H+]2[C@@H]3CC[C@H]2CC(=O)C3)c(Cl)n1 ZINC001238721064 1131236872 /nfs/dbraw/zinc/23/68/72/1131236872.db2.gz DKECIIUCEBBKCK-BETUJISGSA-N 1 2 292.810 3.554 20 0 CHADLO CC(C)CCC[C@@H](C)[NH2+]CC(=O)OCc1ccccc1 ZINC001170060743 1130109536 /nfs/dbraw/zinc/10/95/36/1130109536.db2.gz DGPXGIOPLPJFHC-OAHLLOKOSA-N 1 2 277.408 3.534 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)c(C)c2)s1 ZINC000338274006 1126729035 /nfs/dbraw/zinc/72/90/35/1126729035.db2.gz IKADNSKQYZJJDF-VHSXEESVSA-N 1 2 279.384 3.706 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccncc1F ZINC000338358435 1126738043 /nfs/dbraw/zinc/73/80/43/1126738043.db2.gz NXBRPVPYACBJLP-JTQLQIEISA-N 1 2 293.411 3.826 20 0 CHADLO CCc1ccc(C[N@H+](C)[C@@H](C)c2nc(C3CC3)no2)cc1 ZINC000338395808 1126739695 /nfs/dbraw/zinc/73/96/95/1126739695.db2.gz BPCZLHAOHFYSBD-LBPRGKRZSA-N 1 2 285.391 3.702 20 0 CHADLO CCc1ccc(C[N@@H+](C)[C@@H](C)c2nc(C3CC3)no2)cc1 ZINC000338395808 1126739699 /nfs/dbraw/zinc/73/96/99/1126739699.db2.gz BPCZLHAOHFYSBD-LBPRGKRZSA-N 1 2 285.391 3.702 20 0 CHADLO Clc1cscc1C[NH+]1CCN(c2cccs2)CC1 ZINC000678282440 1130392123 /nfs/dbraw/zinc/39/21/23/1130392123.db2.gz SYOVQUHQRLCTAE-UHFFFAOYSA-N 1 2 298.864 3.785 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2ccc(F)cc2F)o1 ZINC000174476513 1126770927 /nfs/dbraw/zinc/77/09/27/1126770927.db2.gz KAEXTRSCYCJKHG-HNNXBMFYSA-N 1 2 295.329 3.598 20 0 CHADLO CCCn1nccc1C[NH+]1CC(c2cccc(Cl)c2)C1 ZINC000668223774 1126774246 /nfs/dbraw/zinc/77/42/46/1126774246.db2.gz SJTWAVGGGUANKM-UHFFFAOYSA-N 1 2 289.810 3.546 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nccs2)c2ccccc2n1 ZINC000175057932 1126776089 /nfs/dbraw/zinc/77/60/89/1126776089.db2.gz BDTOAFGYDZEYET-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nccs2)c2ccccc2n1 ZINC000175057932 1126776092 /nfs/dbraw/zinc/77/60/92/1126776092.db2.gz BDTOAFGYDZEYET-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@@H+]1CCOC(C)(C)C1 ZINC000483403927 1126784381 /nfs/dbraw/zinc/78/43/81/1126784381.db2.gz OKTRXECOHHKIOO-LLVKDONJSA-N 1 2 298.224 3.621 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@H+]1CCOC(C)(C)C1 ZINC000483403927 1126784383 /nfs/dbraw/zinc/78/43/83/1126784383.db2.gz OKTRXECOHHKIOO-LLVKDONJSA-N 1 2 298.224 3.621 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000483410119 1126785601 /nfs/dbraw/zinc/78/56/01/1126785601.db2.gz BPXOUOHJJPGQOC-TUAOUCFPSA-N 1 2 298.224 3.619 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000483410119 1126785602 /nfs/dbraw/zinc/78/56/02/1126785602.db2.gz BPXOUOHJJPGQOC-TUAOUCFPSA-N 1 2 298.224 3.619 20 0 CHADLO CC(C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)=C1CCCC1 ZINC000176594920 1126792364 /nfs/dbraw/zinc/79/23/64/1126792364.db2.gz YXSXNWIJLNWYHD-UHFFFAOYSA-N 1 2 281.359 3.906 20 0 CHADLO Fc1c[nH+]ccc1NCc1cc(-c2ccccc2)cs1 ZINC000483817098 1126802579 /nfs/dbraw/zinc/80/25/79/1126802579.db2.gz FVASOCPIBGRXDZ-UHFFFAOYSA-N 1 2 284.359 3.983 20 0 CHADLO Cc1cc(=O)[nH]c([C@@H](C)[NH2+][C@@H](C)c2ccccc2Cl)n1 ZINC000177913751 1126807300 /nfs/dbraw/zinc/80/73/00/1126807300.db2.gz BPBKTEPRDORTJX-WDEREUQCSA-N 1 2 291.782 3.556 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H](OC3CCC3)C2)c(F)c1F ZINC000668296356 1126807931 /nfs/dbraw/zinc/80/79/31/1126807931.db2.gz MXMITCRQKLTBKK-CYBMUJFWSA-N 1 2 299.336 3.637 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H](OC3CCC3)C2)c(F)c1F ZINC000668296356 1126807935 /nfs/dbraw/zinc/80/79/35/1126807935.db2.gz MXMITCRQKLTBKK-CYBMUJFWSA-N 1 2 299.336 3.637 20 0 CHADLO CC(C)CCOc1ccc([C@@H](C)[NH2+]Cc2ccon2)cc1 ZINC000178263615 1126810671 /nfs/dbraw/zinc/81/06/71/1126810671.db2.gz QOIHUEQSDXEBDL-CQSZACIVSA-N 1 2 288.391 3.950 20 0 CHADLO Fc1c(Cl)cccc1C[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000679741173 1130553097 /nfs/dbraw/zinc/55/30/97/1130553097.db2.gz WJHUUMFJNLGPMJ-SNVBAGLBSA-N 1 2 293.716 3.683 20 0 CHADLO Fc1c(Cl)cccc1C[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000679741173 1130553102 /nfs/dbraw/zinc/55/31/02/1130553102.db2.gz WJHUUMFJNLGPMJ-SNVBAGLBSA-N 1 2 293.716 3.683 20 0 CHADLO CC(C)n1cc(CNc2c[nH+]ccc2OC(C)(C)C)cn1 ZINC000179310925 1126826413 /nfs/dbraw/zinc/82/64/13/1126826413.db2.gz VPCRWAQHQMUJCD-UHFFFAOYSA-N 1 2 288.395 3.648 20 0 CHADLO Cc1nc([C@H]([NH2+][C@H](C)C2CC2)c2ccc(Cl)cc2)no1 ZINC000179889391 1126835452 /nfs/dbraw/zinc/83/54/52/1126835452.db2.gz VHNVSTFUGOSNSB-YMTOWFKASA-N 1 2 291.782 3.509 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc(C2CCC(C3CC3)CC2)n1 ZINC000904265846 1126840327 /nfs/dbraw/zinc/84/03/27/1126840327.db2.gz SCVIRGFYWQZYJI-UHFFFAOYSA-N 1 2 298.390 3.706 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccccc2)C2CC2)s1 ZINC000180416225 1126843548 /nfs/dbraw/zinc/84/35/48/1126843548.db2.gz AHPNAOBWCMDQJK-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccccc2)C2CC2)s1 ZINC000180416225 1126843550 /nfs/dbraw/zinc/84/35/50/1126843550.db2.gz AHPNAOBWCMDQJK-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(Cl)cc2)s1 ZINC000180425616 1126843554 /nfs/dbraw/zinc/84/35/54/1126843554.db2.gz GJRVGYNHXFGYQP-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(Cl)cc2)s1 ZINC000180425616 1126843555 /nfs/dbraw/zinc/84/35/55/1126843555.db2.gz GJRVGYNHXFGYQP-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CCc1ccc(C2=CC[N@@H+]([C@H](C)c3nnc(C)o3)CC2)cc1 ZINC000180914858 1126850611 /nfs/dbraw/zinc/85/06/11/1126850611.db2.gz DTAPMYAMIQHOMR-CYBMUJFWSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1ccc(C2=CC[N@H+]([C@H](C)c3nnc(C)o3)CC2)cc1 ZINC000180914858 1126850613 /nfs/dbraw/zinc/85/06/13/1126850613.db2.gz DTAPMYAMIQHOMR-CYBMUJFWSA-N 1 2 297.402 3.791 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1nnc(C2CC2)n1C1CC1 ZINC000181053026 1126851510 /nfs/dbraw/zinc/85/15/10/1126851510.db2.gz XGAWLOOORKVLSW-CYBMUJFWSA-N 1 2 296.418 3.683 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1nnc(C2CC2)n1C1CC1 ZINC000181053026 1126851513 /nfs/dbraw/zinc/85/15/13/1126851513.db2.gz XGAWLOOORKVLSW-CYBMUJFWSA-N 1 2 296.418 3.683 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](C)c2cccnc2)cs1 ZINC000181663360 1126859560 /nfs/dbraw/zinc/85/95/60/1126859560.db2.gz BPEYHNQSVNAIHY-LBPRGKRZSA-N 1 2 275.421 3.732 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccn1)c1nc2ccccc2o1 ZINC000181771038 1126860752 /nfs/dbraw/zinc/86/07/52/1126860752.db2.gz PBZTXQJVVVRNHR-NWDGAFQWSA-N 1 2 267.332 3.635 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)cc1 ZINC000182341668 1126870749 /nfs/dbraw/zinc/87/07/49/1126870749.db2.gz RLHBBDBAKOFIRS-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1ccc(Cl)nc1 ZINC000182493200 1126872833 /nfs/dbraw/zinc/87/28/33/1126872833.db2.gz DCIABODSLRPSEV-NSHDSACASA-N 1 2 275.783 3.974 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+]Cc2nc(C(C)C)no2)c1 ZINC000182890436 1126878944 /nfs/dbraw/zinc/87/89/44/1126878944.db2.gz WTBPLRBFFNJXPO-CYBMUJFWSA-N 1 2 273.380 3.661 20 0 CHADLO CC(C)(C)c1ncc(-c2ccc(Cn3cc[nH+]c3)cc2)o1 ZINC000904808211 1126874376 /nfs/dbraw/zinc/87/43/76/1126874376.db2.gz KCCRLLOSLYLAON-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO Clc1cccc(C2([NH2+]Cc3csnn3)CCCC2)c1 ZINC000182647419 1126876104 /nfs/dbraw/zinc/87/61/04/1126876104.db2.gz ZIECWLGGWGPGSX-UHFFFAOYSA-N 1 2 293.823 3.751 20 0 CHADLO Cc1cn2c(cccc2-c2cnc(Br)s2)[nH+]1 ZINC001244904728 1130694663 /nfs/dbraw/zinc/69/46/63/1130694663.db2.gz LREPDYUNKGTMRG-UHFFFAOYSA-N 1 2 294.177 3.529 20 0 CHADLO c1[nH+]cc(N[C@@H]2CCCSCC2)c2c1CCCC2 ZINC000668439583 1126890610 /nfs/dbraw/zinc/89/06/10/1126890610.db2.gz BBNZQWPIFKJGOD-CYBMUJFWSA-N 1 2 262.422 3.658 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](C)c2cccc(C)c2C)n1 ZINC000183704866 1126891044 /nfs/dbraw/zinc/89/10/44/1126891044.db2.gz QOEJWGYVHOEJAP-AWEZNQCLSA-N 1 2 287.407 3.880 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cc2cc(F)c(F)c(F)c2F)C1 ZINC001235351343 1130735735 /nfs/dbraw/zinc/73/57/35/1130735735.db2.gz OFWMBZXZLBQXCS-SECBINFHSA-N 1 2 275.289 3.865 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cc2cc(F)c(F)c(F)c2F)C1 ZINC001235351343 1130735741 /nfs/dbraw/zinc/73/57/41/1130735741.db2.gz OFWMBZXZLBQXCS-SECBINFHSA-N 1 2 275.289 3.865 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000188222673 1126921248 /nfs/dbraw/zinc/92/12/48/1126921248.db2.gz CNMWDLBAWFJIKV-GXSJLCMTSA-N 1 2 293.773 3.989 20 0 CHADLO CCCCCc1ccc(NC(=O)CCc2c[nH+]cn2C)cc1 ZINC000188447174 1126923969 /nfs/dbraw/zinc/92/39/69/1126923969.db2.gz QEBOXJFREUTTKE-UHFFFAOYSA-N 1 2 299.418 3.724 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)c1 ZINC001235535595 1130754577 /nfs/dbraw/zinc/75/45/77/1130754577.db2.gz UUNJIUNRWVWCBP-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)c1 ZINC001235535595 1130754584 /nfs/dbraw/zinc/75/45/84/1130754584.db2.gz UUNJIUNRWVWCBP-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cncc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)c1 ZINC000189793925 1126934808 /nfs/dbraw/zinc/93/48/08/1126934808.db2.gz LQWXBEKZNWCHRK-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cncc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)c1 ZINC000189793925 1126934810 /nfs/dbraw/zinc/93/48/10/1126934810.db2.gz LQWXBEKZNWCHRK-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO COC[C@H]1CCC[N@@H+]1Cc1c(F)cc(Cl)cc1Cl ZINC001235740417 1130774530 /nfs/dbraw/zinc/77/45/30/1130774530.db2.gz OKCOPERDWINPRT-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@H]1CCC[N@H+]1Cc1c(F)cc(Cl)cc1Cl ZINC001235740417 1130774537 /nfs/dbraw/zinc/77/45/37/1130774537.db2.gz OKCOPERDWINPRT-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO CC(C)C(CNC(=O)c1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC000485700714 1126945016 /nfs/dbraw/zinc/94/50/16/1126945016.db2.gz HHJUEWJBHFQUMD-UHFFFAOYSA-N 1 2 299.418 3.530 20 0 CHADLO CCC[C@@H](C(=O)Nc1ccc2[nH+]c(C)cn2c1)C(C)C ZINC000485848655 1126957135 /nfs/dbraw/zinc/95/71/35/1126957135.db2.gz TYCAATZSPCGGMQ-CQSZACIVSA-N 1 2 273.380 3.654 20 0 CHADLO Cc1cc(OCc2nnc(C(C)C)o2)c2ccccc2[nH+]1 ZINC000192192475 1126959277 /nfs/dbraw/zinc/95/92/77/1126959277.db2.gz YQFXBOQCUHUCPW-UHFFFAOYSA-N 1 2 283.331 3.629 20 0 CHADLO CCC1(CC)CC[NH+](Cc2noc(C(C)(C)C)n2)CC1 ZINC000485879905 1126960065 /nfs/dbraw/zinc/96/00/65/1126960065.db2.gz GLVBDJNBKMGYFE-UHFFFAOYSA-N 1 2 279.428 3.769 20 0 CHADLO COC[C@@H]([NH2+]Cc1ncc(C)s1)c1ccc(Cl)cc1 ZINC000193677569 1126970428 /nfs/dbraw/zinc/97/04/28/1126970428.db2.gz JZKSYWOKOPTFAO-CYBMUJFWSA-N 1 2 296.823 3.582 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1nccs1)c1ccc(Cl)cc1 ZINC000194032297 1126971779 /nfs/dbraw/zinc/97/17/79/1126971779.db2.gz QNUNOESJJOOZHY-GXFFZTMASA-N 1 2 296.823 3.835 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nccs2)cc1Cl ZINC000193988055 1126972380 /nfs/dbraw/zinc/97/23/80/1126972380.db2.gz DKHQROKJUVVASQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nccs2)cc1Cl ZINC000193988055 1126972382 /nfs/dbraw/zinc/97/23/82/1126972382.db2.gz DKHQROKJUVVASQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO COC(C)(C)CCOc1cc(C)[nH+]c2ccccc21 ZINC000486186515 1126975351 /nfs/dbraw/zinc/97/53/51/1126975351.db2.gz BSBBSXBMLCRRRE-UHFFFAOYSA-N 1 2 259.349 3.737 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1cscn1 ZINC000194874619 1126976952 /nfs/dbraw/zinc/97/69/52/1126976952.db2.gz AUUBFFQTZOKYAQ-JTQLQIEISA-N 1 2 264.419 3.716 20 0 CHADLO Cc1c(NCc2cccc3[nH+]ccn32)cnn1C1CCCC1 ZINC000195455813 1126979907 /nfs/dbraw/zinc/97/99/07/1126979907.db2.gz NLZCMQCXHIKHBO-UHFFFAOYSA-N 1 2 295.390 3.566 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2nc(C)no2)o1 ZINC000266538737 1126983845 /nfs/dbraw/zinc/98/38/45/1126983845.db2.gz HYOQLGAVUBKWLO-SMDDNHRTSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2nc(C)no2)o1 ZINC000266538737 1126983847 /nfs/dbraw/zinc/98/38/47/1126983847.db2.gz HYOQLGAVUBKWLO-SMDDNHRTSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2nc(C)no2)o1 ZINC000266538732 1126984291 /nfs/dbraw/zinc/98/42/91/1126984291.db2.gz HYOQLGAVUBKWLO-RISCZKNCSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2nc(C)no2)o1 ZINC000266538732 1126984294 /nfs/dbraw/zinc/98/42/94/1126984294.db2.gz HYOQLGAVUBKWLO-RISCZKNCSA-N 1 2 289.379 3.507 20 0 CHADLO CCC1(CC)CCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000268073051 1127011292 /nfs/dbraw/zinc/01/12/92/1127011292.db2.gz LYYURHMGITUQHI-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO C[C@H](c1nc(C2CCCCCCC2)no1)[NH+](C)C ZINC000923959388 1127015703 /nfs/dbraw/zinc/01/57/03/1127015703.db2.gz YSRKZGOAPVTQMG-LLVKDONJSA-N 1 2 251.374 3.520 20 0 CHADLO c1cc(-c2ccccc2)oc1C[NH2+][C@@H]1CCCc2cn[nH]c21 ZINC000268841729 1127026228 /nfs/dbraw/zinc/02/62/28/1127026228.db2.gz NLXLTYAEAFCEQS-MRXNPFEDSA-N 1 2 293.370 3.837 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@@H](C)c1csc(C)n1 ZINC000269435800 1127039476 /nfs/dbraw/zinc/03/94/76/1127039476.db2.gz QUXFDQZTXGPXFK-VIFPVBQESA-N 1 2 296.823 3.964 20 0 CHADLO Cc1ccncc1C[N@@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000269552670 1127041245 /nfs/dbraw/zinc/04/12/45/1127041245.db2.gz FSQVMDOFPCPULG-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccncc1C[N@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000269552670 1127041247 /nfs/dbraw/zinc/04/12/47/1127041247.db2.gz FSQVMDOFPCPULG-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1noc(C[NH2+][C@@H](CC2CCCC2)c2ccccc2)n1 ZINC000269855175 1127047252 /nfs/dbraw/zinc/04/72/52/1127047252.db2.gz GZCSTQPYYQKGNS-INIZCTEOSA-N 1 2 285.391 3.789 20 0 CHADLO Cc1cc(CN(C)c2cc(C(C)C)[nH+]c(C(C)C)n2)no1 ZINC000269975244 1127049329 /nfs/dbraw/zinc/04/93/29/1127049329.db2.gz PIIFZWWIAKURSV-UHFFFAOYSA-N 1 2 288.395 3.656 20 0 CHADLO Cc1cc(Cl)ccc1C(=O)Nc1cccc2[nH+]ccn21 ZINC000487987696 1127050661 /nfs/dbraw/zinc/05/06/61/1127050661.db2.gz KOYYJDYSSGYEAM-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO CCCCC(=O)Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000270556929 1127057579 /nfs/dbraw/zinc/05/75/79/1127057579.db2.gz ZXDSYTBYCDOGRP-UHFFFAOYSA-N 1 2 295.386 3.731 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000271046969 1127065714 /nfs/dbraw/zinc/06/57/14/1127065714.db2.gz MOFNBWDRQYNMNH-FZMZJTMJSA-N 1 2 292.404 3.781 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000271046969 1127065719 /nfs/dbraw/zinc/06/57/19/1127065719.db2.gz MOFNBWDRQYNMNH-FZMZJTMJSA-N 1 2 292.404 3.781 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)[C@H]1CCCO1)c1cscn1 ZINC000271191247 1127072109 /nfs/dbraw/zinc/07/21/09/1127072109.db2.gz XSTURPNTFBDAKK-APHBMKBZSA-N 1 2 288.416 3.714 20 0 CHADLO CC1(C)Cc2n[nH]cc2C[N@@H+](Cc2cscc2Cl)C1 ZINC000668845178 1127074001 /nfs/dbraw/zinc/07/40/01/1127074001.db2.gz YCPYVJMIANBFRZ-UHFFFAOYSA-N 1 2 295.839 3.709 20 0 CHADLO CC1(C)Cc2n[nH]cc2C[N@H+](Cc2cscc2Cl)C1 ZINC000668845178 1127074004 /nfs/dbraw/zinc/07/40/04/1127074004.db2.gz YCPYVJMIANBFRZ-UHFFFAOYSA-N 1 2 295.839 3.709 20 0 CHADLO CCc1ncc(C[N@H+](C)Cc2ccccc2Cl)s1 ZINC000067402693 1127075082 /nfs/dbraw/zinc/07/50/82/1127075082.db2.gz MCOXRLWLYGEEMM-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO CCc1ncc(C[N@@H+](C)Cc2ccccc2Cl)s1 ZINC000067402693 1127075083 /nfs/dbraw/zinc/07/50/83/1127075083.db2.gz MCOXRLWLYGEEMM-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO COc1cc(C)[nH+]c(CSC/C(C)=C/Cl)c1 ZINC000797812100 1131005657 /nfs/dbraw/zinc/00/56/57/1131005657.db2.gz GXJRFXOFMNNAML-RMKNXTFCSA-N 1 2 257.786 3.774 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000271360577 1127076953 /nfs/dbraw/zinc/07/69/53/1127076953.db2.gz ITJHXAGTHJETBB-CQSZACIVSA-N 1 2 284.407 3.586 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000271360577 1127076957 /nfs/dbraw/zinc/07/69/57/1127076957.db2.gz ITJHXAGTHJETBB-CQSZACIVSA-N 1 2 284.407 3.586 20 0 CHADLO CC(C)c1nnc([C@H](C)[NH2+][C@@H](C)c2cc(F)cc(F)c2)[nH]1 ZINC000271553271 1127090893 /nfs/dbraw/zinc/09/08/93/1127090893.db2.gz SIDQUZCNTKPGCV-UWVGGRQHSA-N 1 2 294.349 3.618 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2ncc(C(C)(C)C)o2)cc1 ZINC000271684259 1127097064 /nfs/dbraw/zinc/09/70/64/1127097064.db2.gz PLNJRNRUCZXNHV-GFCCVEGCSA-N 1 2 288.391 3.832 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CCC[C@@H]2c2cccs2)s1 ZINC000273974085 1127104531 /nfs/dbraw/zinc/10/45/31/1127104531.db2.gz GZWNBOSMGJONFP-MWLCHTKSSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CCC[C@@H]2c2cccs2)s1 ZINC000273974085 1127104532 /nfs/dbraw/zinc/10/45/32/1127104532.db2.gz GZWNBOSMGJONFP-MWLCHTKSSA-N 1 2 279.434 3.806 20 0 CHADLO CCc1nc(C)c([C@@H](C)[NH2+][C@H](C)c2nnc(C)s2)s1 ZINC000274148350 1127109992 /nfs/dbraw/zinc/10/99/92/1127109992.db2.gz DYMKZUHEKHSMRX-VXNVDRBHSA-N 1 2 296.465 3.586 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1cn(C)nc1C(F)F ZINC000274440731 1127119015 /nfs/dbraw/zinc/11/90/15/1127119015.db2.gz BRXFFSZRDKVGNY-SNVBAGLBSA-N 1 2 297.324 3.690 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1cn(C)nc1C(F)F ZINC000274440731 1127119020 /nfs/dbraw/zinc/11/90/20/1127119020.db2.gz BRXFFSZRDKVGNY-SNVBAGLBSA-N 1 2 297.324 3.690 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1nc(C(F)(F)F)cs1 ZINC000274434196 1127119467 /nfs/dbraw/zinc/11/94/67/1127119467.db2.gz WEUIPPCZHLIOFB-ZETCQYMHSA-N 1 2 273.283 3.730 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000348548254 1127122523 /nfs/dbraw/zinc/12/25/23/1127122523.db2.gz GGCHLLIPKLWABY-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000348548254 1127122524 /nfs/dbraw/zinc/12/25/24/1127122524.db2.gz GGCHLLIPKLWABY-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)ccc1F ZINC000348704698 1127125248 /nfs/dbraw/zinc/12/52/48/1127125248.db2.gz DZLYJZYNQFQOOJ-INIZCTEOSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)ccc1F ZINC000348704698 1127125249 /nfs/dbraw/zinc/12/52/49/1127125249.db2.gz DZLYJZYNQFQOOJ-INIZCTEOSA-N 1 2 273.355 3.584 20 0 CHADLO c1cn(Cc2ccc(N[C@H]3CCCC[C@H]3C3CC3)nc2)c[nH+]1 ZINC000348836875 1127130124 /nfs/dbraw/zinc/13/01/24/1127130124.db2.gz FBMIRLUYZDIZSJ-IRXDYDNUSA-N 1 2 296.418 3.707 20 0 CHADLO C/C(=C/C(=O)NCc1c[nH+]c(C)cc1C)c1cccc(F)c1 ZINC001299356240 1127141891 /nfs/dbraw/zinc/14/18/91/1127141891.db2.gz LJIWMJQKOONINT-JYRVWZFOSA-N 1 2 298.361 3.557 20 0 CHADLO Cc1noc([C@@H](C)[N@H+]2CC=C(c3ccc(C)cc3)CC2)n1 ZINC000044586244 1127154068 /nfs/dbraw/zinc/15/40/68/1127154068.db2.gz IYZKDYQTTSVXRM-CYBMUJFWSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+]2CC=C(c3ccc(C)cc3)CC2)n1 ZINC000044586244 1127154070 /nfs/dbraw/zinc/15/40/70/1127154070.db2.gz IYZKDYQTTSVXRM-CYBMUJFWSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2nccs2)C2CCC2)o1 ZINC000349446468 1127151455 /nfs/dbraw/zinc/15/14/55/1127151455.db2.gz BTQJFZAXUUOULE-CQSZACIVSA-N 1 2 262.378 3.676 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)c1ccn(C)n1)c1cc(F)ccc1F ZINC000349511981 1127151828 /nfs/dbraw/zinc/15/18/28/1127151828.db2.gz GWBXRTVZMRUDGG-CABCVRRESA-N 1 2 293.361 3.890 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ncc(Cl)s2)cc1F ZINC000349610609 1127155641 /nfs/dbraw/zinc/15/56/41/1127155641.db2.gz KQPUVZRMKAQRHF-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cccc(F)c1F)c1ccn(C)n1 ZINC000349784872 1127160951 /nfs/dbraw/zinc/16/09/51/1127160951.db2.gz SJPHUPCZFFUODP-GXFFZTMASA-N 1 2 279.334 3.500 20 0 CHADLO CCc1nocc1C[N@H+](Cc1ccccc1F)C1CC1 ZINC000350316307 1127177034 /nfs/dbraw/zinc/17/70/34/1127177034.db2.gz XWGIMEUSNYHPOC-UHFFFAOYSA-N 1 2 274.339 3.541 20 0 CHADLO CCc1nocc1C[N@@H+](Cc1ccccc1F)C1CC1 ZINC000350316307 1127177037 /nfs/dbraw/zinc/17/70/37/1127177037.db2.gz XWGIMEUSNYHPOC-UHFFFAOYSA-N 1 2 274.339 3.541 20 0 CHADLO CCCCOC1CC[NH+](Cc2cc(C(C)(C)C)on2)CC1 ZINC000351516340 1127191110 /nfs/dbraw/zinc/19/11/10/1127191110.db2.gz XOIKGBIURRBQRS-UHFFFAOYSA-N 1 2 294.439 3.753 20 0 CHADLO CC(C)(C)c1cc(C[NH2+][C@@H](c2cccnc2)C2CC2)no1 ZINC000351593756 1127196485 /nfs/dbraw/zinc/19/64/85/1127196485.db2.gz OQLZFOLPHBMWSQ-MRXNPFEDSA-N 1 2 285.391 3.608 20 0 CHADLO CC(C)[C@H](C/[NH+]=C/c1cccc(O)c1O)c1ccccc1 ZINC000032074266 1127199872 /nfs/dbraw/zinc/19/98/72/1127199872.db2.gz GXTKYRXPIAXLOM-ZVCOJXFSSA-N 1 2 283.371 3.957 20 0 CHADLO Cc1cn2cc(NC(=O)C(C3CCC3)C3CCC3)ccc2[nH+]1 ZINC000351838864 1127210856 /nfs/dbraw/zinc/21/08/56/1127210856.db2.gz SJHMFEPIZZHTFN-UHFFFAOYSA-N 1 2 297.402 3.798 20 0 CHADLO Cc1cc(C)c(C(=O)NCC(C)(C)C2=CCCC2)c(C)[nH+]1 ZINC001275061221 1127221835 /nfs/dbraw/zinc/22/18/35/1127221835.db2.gz ICPFPKBXFAGVBY-UHFFFAOYSA-N 1 2 286.419 3.873 20 0 CHADLO Cc1cnccc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000572710055 1127224706 /nfs/dbraw/zinc/22/47/06/1127224706.db2.gz STAKHSXMOYUJGS-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cnccc1C[N@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000572710055 1127224708 /nfs/dbraw/zinc/22/47/08/1127224708.db2.gz STAKHSXMOYUJGS-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO C[C@H]([NH2+]Cc1nsc2ccccc12)c1cncc(F)c1 ZINC001307831585 1127226290 /nfs/dbraw/zinc/22/62/90/1127226290.db2.gz DHCXUKMELZQWEV-JTQLQIEISA-N 1 2 287.363 3.681 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2nn(C)c3ccccc23)o1 ZINC000589643448 1127232586 /nfs/dbraw/zinc/23/25/86/1127232586.db2.gz XJMRPPBUKUNCPS-WFASDCNBSA-N 1 2 295.386 3.580 20 0 CHADLO O=C(/C=C/c1ccsc1)Nc1ccccc1-n1cc[nH+]c1 ZINC000056866907 1127234438 /nfs/dbraw/zinc/23/44/38/1127234438.db2.gz USXTZOMHSJXMNU-AATRIKPKSA-N 1 2 295.367 3.586 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)nc1)c1c(F)cncc1F ZINC000285775672 1127243270 /nfs/dbraw/zinc/24/32/70/1127243270.db2.gz UGRGRJDGHCZURU-DTWKUNHWSA-N 1 2 297.736 3.820 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCC[C@@H](F)CC2)cc1Cl ZINC001237985377 1131158844 /nfs/dbraw/zinc/15/88/44/1131158844.db2.gz WJJALRRYAMEJTR-SNVBAGLBSA-N 1 2 275.726 3.509 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CCC[C@@H](F)CC2)cc1Cl ZINC001237985377 1131158846 /nfs/dbraw/zinc/15/88/46/1131158846.db2.gz WJJALRRYAMEJTR-SNVBAGLBSA-N 1 2 275.726 3.509 20 0 CHADLO FC(F)C[C@@H]1COCC[N@@H+]1Cc1cc2ccccc2s1 ZINC000286571757 1127269400 /nfs/dbraw/zinc/26/94/00/1127269400.db2.gz UPRXZPOENGSNOP-GFCCVEGCSA-N 1 2 297.370 3.757 20 0 CHADLO FC(F)C[C@@H]1COCC[N@H+]1Cc1cc2ccccc2s1 ZINC000286571757 1127269402 /nfs/dbraw/zinc/26/94/02/1127269402.db2.gz UPRXZPOENGSNOP-GFCCVEGCSA-N 1 2 297.370 3.757 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CCO[C@@H](CC)C2)c1 ZINC000590647130 1127266644 /nfs/dbraw/zinc/26/66/44/1127266644.db2.gz MTEBMADSBCMXIL-KBPBESRZSA-N 1 2 280.437 3.704 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)cc2C(F)(F)F)CCS1 ZINC000353284675 1127266697 /nfs/dbraw/zinc/26/66/97/1127266697.db2.gz CWBAORLTDVRWOM-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)cc2C(F)(F)F)CCS1 ZINC000353284675 1127266700 /nfs/dbraw/zinc/26/67/00/1127266700.db2.gz CWBAORLTDVRWOM-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO CC(C)[C@H]1[N@H+](Cc2c(F)ccc(O)c2F)CC12CCC2 ZINC000353584751 1127271133 /nfs/dbraw/zinc/27/11/33/1127271133.db2.gz KQXYNQPNINVEEU-OAHLLOKOSA-N 1 2 281.346 3.681 20 0 CHADLO CC(C)[C@H]1[N@@H+](Cc2c(F)ccc(O)c2F)CC12CCC2 ZINC000353584751 1127271136 /nfs/dbraw/zinc/27/11/36/1127271136.db2.gz KQXYNQPNINVEEU-OAHLLOKOSA-N 1 2 281.346 3.681 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc(F)cc1 ZINC000057667853 1127272375 /nfs/dbraw/zinc/27/23/75/1127272375.db2.gz WHNBPXSOAMUSLS-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO CC(C)[N@H+](Cc1cc(Cl)c(O)cc1F)CC1CC1 ZINC001238147725 1131174976 /nfs/dbraw/zinc/17/49/76/1131174976.db2.gz ATHCUZUNEKTDKP-UHFFFAOYSA-N 1 2 271.763 3.805 20 0 CHADLO COc1ccc(CNc2ccc([NH+](C)C)cc2C)cc1C ZINC000057784925 1127280003 /nfs/dbraw/zinc/28/00/03/1127280003.db2.gz XLFDFQQWUUBVCI-UHFFFAOYSA-N 1 2 284.403 3.990 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2ccn(C)n2)o1 ZINC000353928155 1127279559 /nfs/dbraw/zinc/27/95/59/1127279559.db2.gz APWNZLOUPDBMLR-XJKSGUPXSA-N 1 2 287.407 3.549 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2ccn(C)n2)o1 ZINC000353928155 1127279560 /nfs/dbraw/zinc/27/95/60/1127279560.db2.gz APWNZLOUPDBMLR-XJKSGUPXSA-N 1 2 287.407 3.549 20 0 CHADLO Fc1cncc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccccc2)c1 ZINC000353988323 1127283554 /nfs/dbraw/zinc/28/35/54/1127283554.db2.gz UWXCLMGSSQARNA-QGZVFWFLSA-N 1 2 282.362 3.948 20 0 CHADLO Fc1cncc(C[N@H+]2CC3(CCC3)[C@H]2c2ccccc2)c1 ZINC000353988323 1127283555 /nfs/dbraw/zinc/28/35/55/1127283555.db2.gz UWXCLMGSSQARNA-QGZVFWFLSA-N 1 2 282.362 3.948 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(C)c(C)c2)s1 ZINC000354016649 1127285766 /nfs/dbraw/zinc/28/57/66/1127285766.db2.gz BFKWTTXWCCBJBT-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(C)c(C)c2)s1 ZINC000354016649 1127285768 /nfs/dbraw/zinc/28/57/68/1127285768.db2.gz BFKWTTXWCCBJBT-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO C[N@H+](Cc1ccc[nH]1)Cc1ccc(-c2ccncc2)cc1F ZINC000354026004 1127286410 /nfs/dbraw/zinc/28/64/10/1127286410.db2.gz LUNLCGUTNABKOQ-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO C[N@@H+](Cc1ccc[nH]1)Cc1ccc(-c2ccncc2)cc1F ZINC000354026004 1127286413 /nfs/dbraw/zinc/28/64/13/1127286413.db2.gz LUNLCGUTNABKOQ-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO CC1(C)C[N@H+](Cc2ccsc2)C[C@](C)(C(F)(F)F)O1 ZINC000354174255 1127297595 /nfs/dbraw/zinc/29/75/95/1127297595.db2.gz ASDJHFBWPUBYCB-GFCCVEGCSA-N 1 2 293.354 3.680 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccsc2)C[C@](C)(C(F)(F)F)O1 ZINC000354174255 1127297596 /nfs/dbraw/zinc/29/75/96/1127297596.db2.gz ASDJHFBWPUBYCB-GFCCVEGCSA-N 1 2 293.354 3.680 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)[nH]1 ZINC000646118504 1127303619 /nfs/dbraw/zinc/30/36/19/1127303619.db2.gz LHGHDOKVXXVXEV-CZUORRHYSA-N 1 2 294.402 3.567 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)[nH]1 ZINC000646118504 1127303623 /nfs/dbraw/zinc/30/36/23/1127303623.db2.gz LHGHDOKVXXVXEV-CZUORRHYSA-N 1 2 294.402 3.567 20 0 CHADLO Cc1ccccc1[C@H]1CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC000354385511 1127306361 /nfs/dbraw/zinc/30/63/61/1127306361.db2.gz MMXCLGKMWGGBIO-INIZCTEOSA-N 1 2 281.403 3.704 20 0 CHADLO CC[C@H]([NH2+]Cc1c(F)cccc1SC)c1nccn1C ZINC000287743898 1127312779 /nfs/dbraw/zinc/31/27/79/1127312779.db2.gz YXSCNJAFJAFGOH-ZDUSSCGKSA-N 1 2 293.411 3.522 20 0 CHADLO CC(C)Oc1c(F)ccc(C[NH+]2CC(C)(C)C2)c1F ZINC001238526734 1131214152 /nfs/dbraw/zinc/21/41/52/1131214152.db2.gz HBBVIANPSDGXLI-UHFFFAOYSA-N 1 2 269.335 3.594 20 0 CHADLO Cc1ccc(C(=O)Nc2ccn3cc[nH+]c3c2)cc1Cl ZINC000355294278 1127331534 /nfs/dbraw/zinc/33/15/34/1127331534.db2.gz WBQAHXFZPMLTMN-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO COCC[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccco1 ZINC000355348345 1127335076 /nfs/dbraw/zinc/33/50/76/1127335076.db2.gz NCOBIXRLCFBRFK-SMDDNHRTSA-N 1 2 295.329 3.986 20 0 CHADLO CC(C)CC1CC[NH+](Cc2nccn2C(F)F)CC1 ZINC000760926838 1127336991 /nfs/dbraw/zinc/33/69/91/1127336991.db2.gz QAPMBMCTPFYRDX-UHFFFAOYSA-N 1 2 271.355 3.536 20 0 CHADLO Clc1cccc2c(NCC3=CCCOC3)cc[nH+]c12 ZINC000288421959 1127338667 /nfs/dbraw/zinc/33/86/67/1127338667.db2.gz HHTSCRYCYQMAFQ-UHFFFAOYSA-N 1 2 274.751 3.647 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)c1cncc(F)c1 ZINC000225646218 1127339586 /nfs/dbraw/zinc/33/95/86/1127339586.db2.gz JVQQXYUBJLEUHG-GFCCVEGCSA-N 1 2 273.355 3.768 20 0 CHADLO Clc1ccsc1C[NH2+]Cc1noc2c1CCCC2 ZINC000288485156 1127342590 /nfs/dbraw/zinc/34/25/90/1127342590.db2.gz QILYISHUZJBOCH-UHFFFAOYSA-N 1 2 282.796 3.558 20 0 CHADLO CSc1ccc(C[NH2+]Cc2noc3c2CCCC3)cc1 ZINC000288537934 1127346729 /nfs/dbraw/zinc/34/67/29/1127346729.db2.gz WLMKKEILGVBLMU-UHFFFAOYSA-N 1 2 288.416 3.565 20 0 CHADLO CCCOc1cccc2c(NCCCCCO)cc[nH+]c12 ZINC000355681713 1127359206 /nfs/dbraw/zinc/35/92/06/1127359206.db2.gz JXJNYFBVULJTAO-UHFFFAOYSA-N 1 2 288.391 3.598 20 0 CHADLO CCc1cnc(C[N@H+](C)CCO[C@H]2CCCC[C@@H]2C)s1 ZINC000355733461 1127361426 /nfs/dbraw/zinc/36/14/26/1127361426.db2.gz AMCGMYXSXYQGGG-ZFWWWQNUSA-N 1 2 296.480 3.733 20 0 CHADLO CCc1cnc(C[N@@H+](C)CCO[C@H]2CCCC[C@@H]2C)s1 ZINC000355733461 1127361428 /nfs/dbraw/zinc/36/14/28/1127361428.db2.gz AMCGMYXSXYQGGG-ZFWWWQNUSA-N 1 2 296.480 3.733 20 0 CHADLO CC[C@H](OCCSCCn1cc[nH+]c1)c1ccccc1 ZINC000593200452 1127377773 /nfs/dbraw/zinc/37/77/73/1127377773.db2.gz UXBCIKOWBPJJJT-INIZCTEOSA-N 1 2 290.432 3.784 20 0 CHADLO Cc1cnn(C)c1C[N@@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000289239553 1127378574 /nfs/dbraw/zinc/37/85/74/1127378574.db2.gz ZLAOYSLTIIRKBP-LRDDRELGSA-N 1 2 299.418 3.610 20 0 CHADLO Cc1cnn(C)c1C[N@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000289239553 1127378577 /nfs/dbraw/zinc/37/85/77/1127378577.db2.gz ZLAOYSLTIIRKBP-LRDDRELGSA-N 1 2 299.418 3.610 20 0 CHADLO CCn1c[nH+]cc1CN(C)Cc1ccc(Cl)cc1Cl ZINC000669488198 1127384158 /nfs/dbraw/zinc/38/41/58/1127384158.db2.gz MKILKIMNPKFXMS-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO Cc1cccc(NC(=O)c2cc(C)ccc2-n2cc[nH+]c2)c1 ZINC000357594530 1127391714 /nfs/dbraw/zinc/39/17/14/1127391714.db2.gz MSOHFRSIFBZQBK-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1nc(N[C@@H]2CCc3sc(Cl)cc32)cc[nH+]1 ZINC000357596096 1127391773 /nfs/dbraw/zinc/39/17/73/1127391773.db2.gz NYWWAMHRWHCDIQ-SECBINFHSA-N 1 2 265.769 3.599 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(OC(C)(C)C)n1)c1nccs1 ZINC000358245134 1127410394 /nfs/dbraw/zinc/41/03/94/1127410394.db2.gz ZUMXWXJMMJSFFP-LLVKDONJSA-N 1 2 291.420 3.566 20 0 CHADLO Cc1nc(C)c([C@H](C)[NH2+]Cc2nnc(C(C)C)s2)s1 ZINC000358288751 1127413465 /nfs/dbraw/zinc/41/34/65/1127413465.db2.gz OCXHCMSTRCTQIH-QMMMGPOBSA-N 1 2 296.465 3.586 20 0 CHADLO CCOC(=O)C[N@H+](Cc1cccc(Cl)c1C)C1CCC1 ZINC001308668613 1127423694 /nfs/dbraw/zinc/42/36/94/1127423694.db2.gz OYTQRFOZDHVODW-UHFFFAOYSA-N 1 2 295.810 3.566 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1cccc(Cl)c1C)C1CCC1 ZINC001308668613 1127423696 /nfs/dbraw/zinc/42/36/96/1127423696.db2.gz OYTQRFOZDHVODW-UHFFFAOYSA-N 1 2 295.810 3.566 20 0 CHADLO CSc1ccc(CSCCCn2cc[nH+]c2)cc1 ZINC000358542103 1127425881 /nfs/dbraw/zinc/42/58/81/1127425881.db2.gz POVKEOKGIPGQJM-UHFFFAOYSA-N 1 2 278.446 3.929 20 0 CHADLO Cc1cc(C)c(NC(=O)CC2=CCCCC2)c(C)[nH+]1 ZINC000358945726 1127440473 /nfs/dbraw/zinc/44/04/73/1127440473.db2.gz KWMLBONRVBZZFK-UHFFFAOYSA-N 1 2 258.365 3.836 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(C)cc(C(F)(F)F)c1 ZINC000669562075 1127456679 /nfs/dbraw/zinc/45/66/79/1127456679.db2.gz SRFSZIJLIHLPPS-UHFFFAOYSA-N 1 2 283.297 3.842 20 0 CHADLO Cc1cc(N2CCC[C@@H](C(F)(F)F)[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000359695061 1127473302 /nfs/dbraw/zinc/47/33/02/1127473302.db2.gz KZGVWEWAMSCBGB-CMPLNLGQSA-N 1 2 299.340 3.830 20 0 CHADLO COC(=O)Cc1cc[nH+]c(N[C@H](c2ccccc2)C(C)C)c1 ZINC000596063858 1127481956 /nfs/dbraw/zinc/48/19/56/1127481956.db2.gz OQKNMQYMBYOLTM-SFHVURJKSA-N 1 2 298.386 3.606 20 0 CHADLO Clc1cccc(Cl)c1C[N@@H+]1CCOCC2(CC2)C1 ZINC000360090205 1127489624 /nfs/dbraw/zinc/48/96/24/1127489624.db2.gz VPQSIXOYAZUGPZ-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Clc1cccc(Cl)c1C[N@H+]1CCOCC2(CC2)C1 ZINC000360090205 1127489627 /nfs/dbraw/zinc/48/96/27/1127489627.db2.gz VPQSIXOYAZUGPZ-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Cc1ccc(C[NH+]2CC(C)(CC(F)(F)F)C2)c(F)c1 ZINC001308812317 1127490817 /nfs/dbraw/zinc/49/08/17/1127490817.db2.gz XEGQCVNEDWAPIR-UHFFFAOYSA-N 1 2 275.289 3.908 20 0 CHADLO Cc1nc(N[C@H]2CC[C@H](c3ccccc3F)C2)cc[nH+]1 ZINC000360273279 1127495096 /nfs/dbraw/zinc/49/50/96/1127495096.db2.gz GKNUKEPODOELHL-STQMWFEESA-N 1 2 271.339 3.672 20 0 CHADLO C[C@H]1CC[N@H+](Cc2noc(C3CC3)n2)CC12CCCCC2 ZINC000360610862 1127502890 /nfs/dbraw/zinc/50/28/90/1127502890.db2.gz KXMUBLKKQKYMCJ-ZDUSSCGKSA-N 1 2 289.423 3.739 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2noc(C3CC3)n2)CC12CCCCC2 ZINC000360610862 1127502892 /nfs/dbraw/zinc/50/28/92/1127502892.db2.gz KXMUBLKKQKYMCJ-ZDUSSCGKSA-N 1 2 289.423 3.739 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000360724565 1127508265 /nfs/dbraw/zinc/50/82/65/1127508265.db2.gz COZUUNFWHSPMMK-GXSJLCMTSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000360724565 1127508268 /nfs/dbraw/zinc/50/82/68/1127508268.db2.gz COZUUNFWHSPMMK-GXSJLCMTSA-N 1 2 292.370 3.868 20 0 CHADLO Cc1nc(NC[C@@H](C)c2c(Cl)cccc2Cl)cc[nH+]1 ZINC000360982166 1127526112 /nfs/dbraw/zinc/52/61/12/1127526112.db2.gz GPPJUFRXAJEKAD-SECBINFHSA-N 1 2 296.201 3.729 20 0 CHADLO Cc1nc(N[C@@H](C)c2cccc(Cl)c2Cl)cc[nH+]1 ZINC000361041355 1127533375 /nfs/dbraw/zinc/53/33/75/1127533375.db2.gz VCCKJEYAALXRDN-QMMMGPOBSA-N 1 2 282.174 3.687 20 0 CHADLO Cc1nc(N[C@@H]2C[C@H]2c2c(F)cccc2Cl)cc[nH+]1 ZINC000361042430 1127533479 /nfs/dbraw/zinc/53/34/79/1127533479.db2.gz BAJZQTBYCLNIFG-BXKDBHETSA-N 1 2 277.730 3.546 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000361064011 1127534941 /nfs/dbraw/zinc/53/49/41/1127534941.db2.gz YKGRDERGJZYHCK-ZIAGYGMSSA-N 1 2 280.371 3.590 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1)[N@H+]1Cc2ccccc2[C@H]1C ZINC000361064011 1127534945 /nfs/dbraw/zinc/53/49/45/1127534945.db2.gz YKGRDERGJZYHCK-ZIAGYGMSSA-N 1 2 280.371 3.590 20 0 CHADLO C[C@H](C(=O)N(C)c1ccccc1)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000361073384 1127535365 /nfs/dbraw/zinc/53/53/65/1127535365.db2.gz KCYOSRDRYFCSDS-LSDHHAIUSA-N 1 2 294.398 3.615 20 0 CHADLO C[C@H](C(=O)N(C)c1ccccc1)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000361073384 1127535367 /nfs/dbraw/zinc/53/53/67/1127535367.db2.gz KCYOSRDRYFCSDS-LSDHHAIUSA-N 1 2 294.398 3.615 20 0 CHADLO Cc1ccccc1NC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000361071696 1127535392 /nfs/dbraw/zinc/53/53/92/1127535392.db2.gz CCEMGDKEEXFMMC-HUUCEWRRSA-N 1 2 294.398 3.899 20 0 CHADLO Cc1ccccc1NC(=O)[C@@H](C)[N@H+]1Cc2ccccc2[C@H]1C ZINC000361071696 1127535396 /nfs/dbraw/zinc/53/53/96/1127535396.db2.gz CCEMGDKEEXFMMC-HUUCEWRRSA-N 1 2 294.398 3.899 20 0 CHADLO CCc1oc2ccccc2c1CN(C)c1cc[nH+]c(C)n1 ZINC000361148554 1127542626 /nfs/dbraw/zinc/54/26/26/1127542626.db2.gz FNFBXFGAROXCQE-UHFFFAOYSA-N 1 2 281.359 3.730 20 0 CHADLO CC1=C(C)C[N@H+](Cc2cnc(C(F)(F)F)s2)CC1 ZINC000361127294 1127540010 /nfs/dbraw/zinc/54/00/10/1127540010.db2.gz PERSRFMGAHIDGI-UHFFFAOYSA-N 1 2 276.327 3.704 20 0 CHADLO CC1=C(C)C[N@@H+](Cc2cnc(C(F)(F)F)s2)CC1 ZINC000361127294 1127540013 /nfs/dbraw/zinc/54/00/13/1127540013.db2.gz PERSRFMGAHIDGI-UHFFFAOYSA-N 1 2 276.327 3.704 20 0 CHADLO Cc1nc(NC2CCC(c3ccccc3)CC2)cc[nH+]1 ZINC000361159630 1127543522 /nfs/dbraw/zinc/54/35/22/1127543522.db2.gz NRVASTWMTWLNCV-UHFFFAOYSA-N 1 2 267.376 3.923 20 0 CHADLO CCS[C@H]1CC[C@@H](N(C)c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000361235800 1127549034 /nfs/dbraw/zinc/54/90/34/1127549034.db2.gz FREUPDWKQGZUAJ-KGLIPLIRSA-N 1 2 291.464 3.773 20 0 CHADLO CCCC[C@H](C)N(CCCC)C(=O)CCc1[nH]cc[nH+]1 ZINC000294109902 1127549926 /nfs/dbraw/zinc/54/99/26/1127549926.db2.gz OZBZBCOVMMPMEU-AWEZNQCLSA-N 1 2 279.428 3.550 20 0 CHADLO CC[N@H+](Cc1csc(Cl)c1Cl)[C@H]1CCOC1 ZINC000361254395 1127550965 /nfs/dbraw/zinc/55/09/65/1127550965.db2.gz YABQXUCWSADTQK-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO CC[N@@H+](Cc1csc(Cl)c1Cl)[C@H]1CCOC1 ZINC000361254395 1127550968 /nfs/dbraw/zinc/55/09/68/1127550968.db2.gz YABQXUCWSADTQK-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO COc1ccc(F)c(C[N@H+](C)Cc2ccc(Cl)nc2)c1 ZINC000294339493 1127566069 /nfs/dbraw/zinc/56/60/69/1127566069.db2.gz AMCMGEUIBOQQQZ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(F)c(C[N@@H+](C)Cc2ccc(Cl)nc2)c1 ZINC000294339493 1127566071 /nfs/dbraw/zinc/56/60/71/1127566071.db2.gz AMCMGEUIBOQQQZ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO c1cn(-c2ccccc2)c(C[N@@H+]2CC[C@@H]2c2ccccc2)n1 ZINC000361511029 1127569253 /nfs/dbraw/zinc/56/92/53/1127569253.db2.gz NWGNZHSSTQCLEI-GOSISDBHSA-N 1 2 289.382 3.819 20 0 CHADLO c1cn(-c2ccccc2)c(C[N@H+]2CC[C@@H]2c2ccccc2)n1 ZINC000361511029 1127569255 /nfs/dbraw/zinc/56/92/55/1127569255.db2.gz NWGNZHSSTQCLEI-GOSISDBHSA-N 1 2 289.382 3.819 20 0 CHADLO CC(C)([NH2+]Cc1ncccn1)c1cccc(C(F)(F)F)c1 ZINC000361713366 1127583719 /nfs/dbraw/zinc/58/37/19/1127583719.db2.gz YHEQFGYQVVYRSJ-UHFFFAOYSA-N 1 2 295.308 3.520 20 0 CHADLO CCOc1ccc(O)c(/C=[NH+]/CCCc2ccccc2)c1 ZINC000060803112 1127586981 /nfs/dbraw/zinc/58/69/81/1127586981.db2.gz AWIFYNIPQKSAHZ-XMHGGMMESA-N 1 2 283.371 3.843 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2cc(F)cnc2Cl)o1 ZINC000294681795 1127591505 /nfs/dbraw/zinc/59/15/05/1127591505.db2.gz BGKOQJZXILGRAC-ZANVPECISA-N 1 2 294.757 3.880 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cc(C)ns2)c1 ZINC000602577507 1127595815 /nfs/dbraw/zinc/59/58/15/1127595815.db2.gz PKGIQRQLHYCZES-UHFFFAOYSA-N 1 2 279.434 3.712 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cccc(C)c1F ZINC000294761773 1127596959 /nfs/dbraw/zinc/59/69/59/1127596959.db2.gz IVSNNIJVSGJJCD-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cccc(C)c1F ZINC000294761773 1127596961 /nfs/dbraw/zinc/59/69/61/1127596961.db2.gz IVSNNIJVSGJJCD-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO C[C@@H]1[C@@H](CC(F)(F)F)C[C@H](C)N1c1cccc[nH+]1 ZINC000294920685 1127608651 /nfs/dbraw/zinc/60/86/51/1127608651.db2.gz JWJMNCGTJXDEHU-HBNTYKKESA-N 1 2 258.287 3.637 20 0 CHADLO CSCc1cnc(C[N@H+](C)Cc2ccccc2C)s1 ZINC000295039220 1127615836 /nfs/dbraw/zinc/61/58/36/1127615836.db2.gz YFGRSBQAJIAMBC-UHFFFAOYSA-N 1 2 292.473 3.947 20 0 CHADLO CSCc1cnc(C[N@@H+](C)Cc2ccccc2C)s1 ZINC000295039220 1127615838 /nfs/dbraw/zinc/61/58/38/1127615838.db2.gz YFGRSBQAJIAMBC-UHFFFAOYSA-N 1 2 292.473 3.947 20 0 CHADLO FCC1CC[NH+](Cc2nc3ccccc3n2C(F)F)CC1 ZINC000295056204 1127617149 /nfs/dbraw/zinc/61/71/49/1127617149.db2.gz BTNOPFCVPFHNLP-UHFFFAOYSA-N 1 2 297.324 3.613 20 0 CHADLO FC(F)[C@H]([NH2+]CC1CC(F)(F)C1)c1ccccc1 ZINC000295200710 1127627096 /nfs/dbraw/zinc/62/70/96/1127627096.db2.gz NCXPEGIPXLCKEP-LLVKDONJSA-N 1 2 261.262 3.628 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ncc(-c3ccccc3)o2)C1 ZINC000295302310 1127633686 /nfs/dbraw/zinc/63/36/86/1127633686.db2.gz FKFXLXAKWCZDPC-INIZCTEOSA-N 1 2 274.339 3.666 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ncc(-c3ccccc3)o2)C1 ZINC000295302310 1127633689 /nfs/dbraw/zinc/63/36/89/1127633689.db2.gz FKFXLXAKWCZDPC-INIZCTEOSA-N 1 2 274.339 3.666 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ncc(-c3ccccc3)o2)C1 ZINC000295302318 1127634013 /nfs/dbraw/zinc/63/40/13/1127634013.db2.gz FKFXLXAKWCZDPC-MRXNPFEDSA-N 1 2 274.339 3.666 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ncc(-c3ccccc3)o2)C1 ZINC000295302318 1127634014 /nfs/dbraw/zinc/63/40/14/1127634014.db2.gz FKFXLXAKWCZDPC-MRXNPFEDSA-N 1 2 274.339 3.666 20 0 CHADLO CCCCn1nc(C)c(C[NH2+]Cc2ccco2)c1Cl ZINC000061002485 1127634055 /nfs/dbraw/zinc/63/40/55/1127634055.db2.gz NCGXFDJLZAHKDC-UHFFFAOYSA-N 1 2 281.787 3.528 20 0 CHADLO CCCc1noc(C[NH2+][C@H](C)c2cccc(C3CC3)c2)n1 ZINC000295317532 1127634741 /nfs/dbraw/zinc/63/47/41/1127634741.db2.gz GLQMIXVSPDTOIB-GFCCVEGCSA-N 1 2 285.391 3.750 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1cccc(O)c1Cl ZINC000295418198 1127642819 /nfs/dbraw/zinc/64/28/19/1127642819.db2.gz SCAZCBCDEFOJLZ-NSHDSACASA-N 1 2 291.778 3.944 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1cccc(O)c1Cl ZINC000295418198 1127642821 /nfs/dbraw/zinc/64/28/21/1127642821.db2.gz SCAZCBCDEFOJLZ-NSHDSACASA-N 1 2 291.778 3.944 20 0 CHADLO COc1ccc(CCC2CC[NH+](CC(F)F)CC2)cc1 ZINC000603408198 1127647409 /nfs/dbraw/zinc/64/74/09/1127647409.db2.gz NIVSCNLXSDHJDI-UHFFFAOYSA-N 1 2 283.362 3.605 20 0 CHADLO COc1cccc(CNc2ccc(-n3cc[nH+]c3)cc2)c1F ZINC000669679990 1127647687 /nfs/dbraw/zinc/64/76/87/1127647687.db2.gz UWLQMYZYLFWLGB-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1cc(NCc2ccc(C(C)(C)O)cc2)ccc1[NH+](C)C ZINC000295778054 1127652861 /nfs/dbraw/zinc/65/28/61/1127652861.db2.gz UAFKLYRBWBHUNN-UHFFFAOYSA-N 1 2 298.430 3.901 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1csc(Cl)n1 ZINC000295889570 1127656769 /nfs/dbraw/zinc/65/67/69/1127656769.db2.gz BKADKYFIVCTJNX-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1noc([C@H]2CCC[N@@H+]2Cc2c(C)cccc2Cl)n1 ZINC000295952117 1127658251 /nfs/dbraw/zinc/65/82/51/1127658251.db2.gz LWPDUEZTYVPORM-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@H]2CCC[N@H+]2Cc2c(C)cccc2Cl)n1 ZINC000295952117 1127658254 /nfs/dbraw/zinc/65/82/54/1127658254.db2.gz LWPDUEZTYVPORM-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H]3CSC[C@H]3C)cc2)c1C ZINC000295963050 1127658969 /nfs/dbraw/zinc/65/89/69/1127658969.db2.gz HZHPOHHFVWQUEQ-BZNIZROVSA-N 1 2 287.432 3.653 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cccc(Cl)c1Br ZINC000719425856 1127663772 /nfs/dbraw/zinc/66/37/72/1127663772.db2.gz UPNNVPSHBQUIHU-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cccc(Cl)c1Br ZINC000719425856 1127663775 /nfs/dbraw/zinc/66/37/75/1127663775.db2.gz UPNNVPSHBQUIHU-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO CCCCc1nc(C[NH2+][C@H](C)c2cnn(CC)c2)cs1 ZINC000296220322 1127666010 /nfs/dbraw/zinc/66/60/10/1127666010.db2.gz WEQGOEVEMYWEDR-GFCCVEGCSA-N 1 2 292.452 3.553 20 0 CHADLO CCC[C@@H](C(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000603786539 1127672513 /nfs/dbraw/zinc/67/25/13/1127672513.db2.gz XQBYYGXGNBHPEJ-MRXNPFEDSA-N 1 2 293.370 3.857 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1ncc(C(F)(F)F)cn1 ZINC000296558640 1127676340 /nfs/dbraw/zinc/67/63/40/1127676340.db2.gz SBVPPXNDVLCGAW-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO C[C@H](c1ccc(Cn2cc[nH+]c2)cc1)C(F)(F)F ZINC000296815584 1127683166 /nfs/dbraw/zinc/68/31/66/1127683166.db2.gz QJBWBZQYGWYAMA-SNVBAGLBSA-N 1 2 254.255 3.597 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2ccc(Cl)cc2)C(C)C)o1 ZINC000296793715 1127683518 /nfs/dbraw/zinc/68/35/18/1127683518.db2.gz QFQYXHFMEJZWGD-CQSZACIVSA-N 1 2 279.771 3.518 20 0 CHADLO C[C@H](CC(=O)Nc1ccc2[nH+]ccn2c1)C1CCCCC1 ZINC000603881710 1127679500 /nfs/dbraw/zinc/67/95/00/1127679500.db2.gz GQISDYHTRRNNAG-CYBMUJFWSA-N 1 2 285.391 3.879 20 0 CHADLO Cc1cccc2cc(C(=O)Nc3ccc4[nH+]ccn4c3)oc21 ZINC000603883940 1127680436 /nfs/dbraw/zinc/68/04/36/1127680436.db2.gz LIMDZPLZSIIZGP-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO CCCCc1noc(C[N@@H+]2CCc3ccccc3[C@H]2CC)n1 ZINC000603944600 1127684781 /nfs/dbraw/zinc/68/47/81/1127684781.db2.gz MNVJHDIXCISSGA-MRXNPFEDSA-N 1 2 299.418 3.922 20 0 CHADLO CCCCc1noc(C[N@H+]2CCc3ccccc3[C@H]2CC)n1 ZINC000603944600 1127684783 /nfs/dbraw/zinc/68/47/83/1127684783.db2.gz MNVJHDIXCISSGA-MRXNPFEDSA-N 1 2 299.418 3.922 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cc3c(cccc3C)o2)c[nH+]1 ZINC001275917749 1127704992 /nfs/dbraw/zinc/70/49/92/1127704992.db2.gz XEQZPNUESAHQMO-UHFFFAOYSA-N 1 2 294.354 3.683 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@H](C)c1nccn1CC ZINC000297791894 1127708794 /nfs/dbraw/zinc/70/87/94/1127708794.db2.gz QAGOWXLCOAKZPE-ZIAGYGMSSA-N 1 2 287.407 3.714 20 0 CHADLO Cc1cc(N[C@H](c2ccccc2C)C2CC2)nc(C2CC2)[nH+]1 ZINC000604756397 1127716253 /nfs/dbraw/zinc/71/62/53/1127716253.db2.gz CAJZSBGMAJXKHX-SFHVURJKSA-N 1 2 293.414 3.956 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nccn2C(C)C)c1C ZINC000298215650 1127716539 /nfs/dbraw/zinc/71/65/39/1127716539.db2.gz FCNFCFNLTIWYOO-UHFFFAOYSA-N 1 2 271.408 3.713 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nccn2C(C)C)c1C ZINC000298215650 1127716542 /nfs/dbraw/zinc/71/65/42/1127716542.db2.gz FCNFCFNLTIWYOO-UHFFFAOYSA-N 1 2 271.408 3.713 20 0 CHADLO CCSc1nn(C[N@H+](C)C[C@@H](C)CC)c(=S)s1 ZINC000171890297 1127734345 /nfs/dbraw/zinc/73/43/45/1127734345.db2.gz JRVZMWURUXNDED-VIFPVBQESA-N 1 2 291.511 3.721 20 0 CHADLO CCSc1nn(C[N@@H+](C)C[C@@H](C)CC)c(=S)s1 ZINC000171890297 1127734346 /nfs/dbraw/zinc/73/43/46/1127734346.db2.gz JRVZMWURUXNDED-VIFPVBQESA-N 1 2 291.511 3.721 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nc(C3CC3)no2)c(C)c1 ZINC000298862645 1127735737 /nfs/dbraw/zinc/73/57/37/1127735737.db2.gz SDIBZCPKAUQMRS-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nc(C3CC3)no2)c(C)c1 ZINC000298862645 1127735740 /nfs/dbraw/zinc/73/57/40/1127735740.db2.gz SDIBZCPKAUQMRS-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1cccc(COc2ccccc2-n2cc[nH+]c2)c1 ZINC000605434117 1127735954 /nfs/dbraw/zinc/73/59/54/1127735954.db2.gz BOWAEMNRYQHXQN-UHFFFAOYSA-N 1 2 264.328 3.760 20 0 CHADLO Fc1cc2c(c(F)c1)[C@@H]([NH2+][C@H]1CCCc3occc31)CO2 ZINC000365493921 1127730988 /nfs/dbraw/zinc/73/09/88/1127730988.db2.gz PKQLUTKJWSYVTF-STQMWFEESA-N 1 2 291.297 3.659 20 0 CHADLO C(=C/c1ccccc1)\COc1ccccc1-n1cc[nH+]c1 ZINC000605434120 1127736071 /nfs/dbraw/zinc/73/60/71/1127736071.db2.gz CATFBQXMPYYSCK-RMKNXTFCSA-N 1 2 276.339 3.965 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2ccco2)c2ccccn2)cc1 ZINC000366276901 1127744824 /nfs/dbraw/zinc/74/48/24/1127744824.db2.gz ZNKVAUTURSYZOT-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO FC1(c2cccc(Cl)c2)CC[NH+](Cc2ncc[nH]2)CC1 ZINC000366957014 1127749752 /nfs/dbraw/zinc/74/97/52/1127749752.db2.gz YDMYNMUCTDYRGW-UHFFFAOYSA-N 1 2 293.773 3.524 20 0 CHADLO c1ccc(C[C@@H]2CCC[C@@H]2Nc2cccc[nH+]2)cc1 ZINC000606157798 1127753401 /nfs/dbraw/zinc/75/34/01/1127753401.db2.gz LBVUIQDURZMCJX-HOTGVXAUSA-N 1 2 252.361 3.905 20 0 CHADLO c1ccc(C[C@H]2CCC[C@H]2Nc2cccc[nH+]2)cc1 ZINC000606157799 1127753510 /nfs/dbraw/zinc/75/35/10/1127753510.db2.gz LBVUIQDURZMCJX-HZPDHXFCSA-N 1 2 252.361 3.905 20 0 CHADLO C[C@@H](CCC(C)(C)C)NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606580223 1127768170 /nfs/dbraw/zinc/76/81/70/1127768170.db2.gz FNOPFRHVEMSEFH-LBPRGKRZSA-N 1 2 288.395 3.671 20 0 CHADLO C[NH+](C)c1ccc(NCc2cc(F)c(F)c(F)c2)cc1 ZINC000062706333 1127770023 /nfs/dbraw/zinc/77/00/23/1127770023.db2.gz CVGVTRDZHBTZHA-UHFFFAOYSA-N 1 2 280.293 3.782 20 0 CHADLO Cc1cc(C[NH+]2CC(OC(C)C)C2)cc(C)c1OC(C)C ZINC001238757136 1131241133 /nfs/dbraw/zinc/24/11/33/1131241133.db2.gz ZNUHBKMCMHJSAG-UHFFFAOYSA-N 1 2 291.435 3.700 20 0 CHADLO CCCCCC[C@@H](C)[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000372906004 1127817670 /nfs/dbraw/zinc/81/76/70/1127817670.db2.gz MWPLYKWIDXNPMV-ZBFHGGJFSA-N 1 2 286.423 3.843 20 0 CHADLO CCCCCC[C@H](C)[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000372906002 1127817920 /nfs/dbraw/zinc/81/79/20/1127817920.db2.gz MWPLYKWIDXNPMV-HOCLYGCPSA-N 1 2 286.423 3.843 20 0 CHADLO Fc1ccccc1-c1csc(C[NH2+]Cc2ccco2)n1 ZINC000373345882 1127835609 /nfs/dbraw/zinc/83/56/09/1127835609.db2.gz RNAKETIJLMSMBK-UHFFFAOYSA-N 1 2 288.347 3.832 20 0 CHADLO CCC[C@@]1(C)CCC[N@H+](Cn2ncn(C3CC3)c2=S)C1 ZINC000173536683 1127837039 /nfs/dbraw/zinc/83/70/39/1127837039.db2.gz LYQQDFSEZJWDRX-HNNXBMFYSA-N 1 2 294.468 3.609 20 0 CHADLO CCC[C@@]1(C)CCC[N@@H+](Cn2ncn(C3CC3)c2=S)C1 ZINC000173536683 1127837044 /nfs/dbraw/zinc/83/70/44/1127837044.db2.gz LYQQDFSEZJWDRX-HNNXBMFYSA-N 1 2 294.468 3.609 20 0 CHADLO Cc1cc(N2CC[C@H]2c2cccc(F)c2)nc(C2CC2)[nH+]1 ZINC000608094052 1127848661 /nfs/dbraw/zinc/84/86/61/1127848661.db2.gz XSUUMCZNWWPZGY-HNNXBMFYSA-N 1 2 283.350 3.753 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)cn1 ZINC000373526613 1127849533 /nfs/dbraw/zinc/84/95/33/1127849533.db2.gz KWALCXPDDCEXSL-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)cn1 ZINC000373526613 1127849538 /nfs/dbraw/zinc/84/95/38/1127849538.db2.gz KWALCXPDDCEXSL-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO CCc1ccc(C[NH2+]C2(c3nc(C)cs3)CCC2)nc1 ZINC000411521563 1127863627 /nfs/dbraw/zinc/86/36/27/1127863627.db2.gz TXWGDZLUIXICIF-UHFFFAOYSA-N 1 2 287.432 3.578 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+]([C@@H](C)c1cccnc1)CC3 ZINC000373970092 1127867965 /nfs/dbraw/zinc/86/79/65/1127867965.db2.gz LEHWYNQQGVGTPB-AWEZNQCLSA-N 1 2 291.398 3.991 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+]([C@@H](C)c1cccnc1)CC3 ZINC000373970092 1127867970 /nfs/dbraw/zinc/86/79/70/1127867970.db2.gz LEHWYNQQGVGTPB-AWEZNQCLSA-N 1 2 291.398 3.991 20 0 CHADLO CC[N@H+](Cc1occc1C)Cc1ncc(C)c(OC)c1C ZINC000412028903 1127892000 /nfs/dbraw/zinc/89/20/00/1127892000.db2.gz SZVNFBCEFYPKAG-UHFFFAOYSA-N 1 2 288.391 3.631 20 0 CHADLO CC[N@@H+](Cc1occc1C)Cc1ncc(C)c(OC)c1C ZINC000412028903 1127892002 /nfs/dbraw/zinc/89/20/02/1127892002.db2.gz SZVNFBCEFYPKAG-UHFFFAOYSA-N 1 2 288.391 3.631 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000374855665 1127916928 /nfs/dbraw/zinc/91/69/28/1127916928.db2.gz IQASEYMYFVJLRA-MRXNPFEDSA-N 1 2 285.391 3.534 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000374855665 1127916931 /nfs/dbraw/zinc/91/69/31/1127916931.db2.gz IQASEYMYFVJLRA-MRXNPFEDSA-N 1 2 285.391 3.534 20 0 CHADLO C[C@@H](Nc1cc(NCC2CC2)[nH+]cn1)c1ccsc1 ZINC000669827257 1127925746 /nfs/dbraw/zinc/92/57/46/1127925746.db2.gz APNRRTWVFZQHKL-SNVBAGLBSA-N 1 2 274.393 3.533 20 0 CHADLO C[C@@H](Nc1cc(NCC2CC2)nc[nH+]1)c1ccsc1 ZINC000669827257 1127925748 /nfs/dbraw/zinc/92/57/48/1127925748.db2.gz APNRRTWVFZQHKL-SNVBAGLBSA-N 1 2 274.393 3.533 20 0 CHADLO C[C@@H](Nc1cc(N2CCCCC2)nc[nH+]1)c1ccsc1 ZINC000669827384 1127925989 /nfs/dbraw/zinc/92/59/89/1127925989.db2.gz OJGPWNGAZBOTQP-GFCCVEGCSA-N 1 2 288.420 3.702 20 0 CHADLO C[C@@H](Nc1cc(N2CCCCC2)[nH+]cn1)c1ccsc1 ZINC000669827384 1127925991 /nfs/dbraw/zinc/92/59/91/1127925991.db2.gz OJGPWNGAZBOTQP-GFCCVEGCSA-N 1 2 288.420 3.702 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2ccc(Cl)c(F)c2F)[C@@H](C)C1 ZINC001233212828 1127938467 /nfs/dbraw/zinc/93/84/67/1127938467.db2.gz GKIPRDOZCAUAFF-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2ccc(Cl)c(F)c2F)[C@@H](C)C1 ZINC001233212828 1127938468 /nfs/dbraw/zinc/93/84/68/1127938468.db2.gz GKIPRDOZCAUAFF-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO FC(F)(F)C1=CC[N@H+](Cc2cnc(C3CC3)s2)CC1 ZINC000539819725 1127941900 /nfs/dbraw/zinc/94/19/00/1127941900.db2.gz NERAKGNUCFZRKU-UHFFFAOYSA-N 1 2 288.338 3.715 20 0 CHADLO FC(F)(F)C1=CC[N@@H+](Cc2cnc(C3CC3)s2)CC1 ZINC000539819725 1127941902 /nfs/dbraw/zinc/94/19/02/1127941902.db2.gz NERAKGNUCFZRKU-UHFFFAOYSA-N 1 2 288.338 3.715 20 0 CHADLO Cc1csc2ncnc(NCc3c[nH+]c(C)cc3C)c12 ZINC000413313501 1127947670 /nfs/dbraw/zinc/94/76/70/1127947670.db2.gz HLSUDDDXYJCERE-UHFFFAOYSA-N 1 2 284.388 3.624 20 0 CHADLO CCOCC[N@@H+]1CCc2cc(Cl)c(Cl)cc2[C@@H]1C ZINC000540054231 1127959414 /nfs/dbraw/zinc/95/94/14/1127959414.db2.gz OSJTUSVUMKMHJY-JTQLQIEISA-N 1 2 288.218 3.949 20 0 CHADLO CCOCC[N@H+]1CCc2cc(Cl)c(Cl)cc2[C@@H]1C ZINC000540054231 1127959418 /nfs/dbraw/zinc/95/94/18/1127959418.db2.gz OSJTUSVUMKMHJY-JTQLQIEISA-N 1 2 288.218 3.949 20 0 CHADLO CC[C@]1(C)C[C@H]1c1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000544657551 1127980417 /nfs/dbraw/zinc/98/04/17/1127980417.db2.gz VWOHXLKJNMODIL-SUMWQHHRSA-N 1 2 296.374 3.520 20 0 CHADLO CCC[N@H+](CC(F)F)C[C@@H](C)c1ccc(OC)cc1 ZINC000540507345 1127981585 /nfs/dbraw/zinc/98/15/85/1127981585.db2.gz MEFMMNLWURTXFY-GFCCVEGCSA-N 1 2 271.351 3.776 20 0 CHADLO CCC[N@@H+](CC(F)F)C[C@@H](C)c1ccc(OC)cc1 ZINC000540507345 1127981587 /nfs/dbraw/zinc/98/15/87/1127981587.db2.gz MEFMMNLWURTXFY-GFCCVEGCSA-N 1 2 271.351 3.776 20 0 CHADLO C[C@H]([NH2+][C@H]1CCC[C@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC000470329363 1127984118 /nfs/dbraw/zinc/98/41/18/1127984118.db2.gz XIVYYJBLRPEIDG-BPUTZDHNSA-N 1 2 289.419 3.643 20 0 CHADLO Cc1cc(N)nc(SC/C=C\c2ccc(Cl)cc2)[nH+]1 ZINC000468465706 1128009367 /nfs/dbraw/zinc/00/93/67/1128009367.db2.gz HHIZFJLWJONXPH-IHWYPQMZSA-N 1 2 291.807 3.656 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCCC(C)(C)OC)c2c1 ZINC000468502870 1128010961 /nfs/dbraw/zinc/01/09/61/1128010961.db2.gz UBUGSNROZCNYBE-UHFFFAOYSA-N 1 2 289.375 3.746 20 0 CHADLO CCCc1nc(COc2cc(C)[nH+]c3c(C)cccc23)no1 ZINC000545473918 1128016694 /nfs/dbraw/zinc/01/66/94/1128016694.db2.gz IJRGOBMANQLVAW-UHFFFAOYSA-N 1 2 297.358 3.766 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@H+](C)Cc1ccccc1SC ZINC000470992503 1128083560 /nfs/dbraw/zinc/08/35/60/1128083560.db2.gz IDGZUFXULSADKI-CQSZACIVSA-N 1 2 295.448 3.572 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@@H+](C)Cc1ccccc1SC ZINC000470992503 1128083565 /nfs/dbraw/zinc/08/35/65/1128083565.db2.gz IDGZUFXULSADKI-CQSZACIVSA-N 1 2 295.448 3.572 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+][C@@H](C)c2ncco2)cc1 ZINC000924702243 1128110579 /nfs/dbraw/zinc/11/05/79/1128110579.db2.gz USFPANFKAZVSIL-STQMWFEESA-N 1 2 274.364 3.874 20 0 CHADLO Cc1[nH]c2ccc(C[NH2+][C@H](C)c3ncco3)cc2c1C ZINC000924785795 1128112770 /nfs/dbraw/zinc/11/27/70/1128112770.db2.gz GCNABLWWDZFHPR-GFCCVEGCSA-N 1 2 269.348 3.624 20 0 CHADLO CCCOc1ccc([C@H](C)[NH2+][C@@H](C)c2ncco2)cc1 ZINC000924858108 1128115434 /nfs/dbraw/zinc/11/54/34/1128115434.db2.gz IBKWMPSVFXGFNK-STQMWFEESA-N 1 2 274.364 3.875 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H](C)c2cc(O)cc(F)c2)n1 ZINC000924924421 1128116617 /nfs/dbraw/zinc/11/66/17/1128116617.db2.gz FTRMCVFWLWAGBD-ZJUUUORDSA-N 1 2 280.368 3.708 20 0 CHADLO Cc1noc(C)c1CC[NH2+][C@@H](C)C(F)(F)c1ccccc1 ZINC000925001917 1128118490 /nfs/dbraw/zinc/11/84/90/1128118490.db2.gz HSDFWAVYOHSDIE-ZDUSSCGKSA-N 1 2 294.345 3.604 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cn(C)cn1)c1ccc(F)cc1F ZINC000925095992 1128121780 /nfs/dbraw/zinc/12/17/80/1128121780.db2.gz BYXKLGCVMJJWEI-YGRLFVJLSA-N 1 2 279.334 3.500 20 0 CHADLO C[C@H]([NH2+][C@H]1CC2(CCC2)Oc2ccccc21)c1ncco1 ZINC000925266627 1128127083 /nfs/dbraw/zinc/12/70/83/1128127083.db2.gz FSRNUWBEZQACNH-JSGCOSHPSA-N 1 2 284.359 3.772 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1nc(C(C)C)cs1 ZINC000925284586 1128127558 /nfs/dbraw/zinc/12/75/58/1128127558.db2.gz ZLVDRDCFZOCNCW-VIFPVBQESA-N 1 2 279.409 3.722 20 0 CHADLO CC(C)c1cc(NC[C@@H]2CC(C)(C)O2)nc(C(C)C)[nH+]1 ZINC001168452920 1128130966 /nfs/dbraw/zinc/13/09/66/1128130966.db2.gz PRSWSFSNJHMCEU-LBPRGKRZSA-N 1 2 277.412 3.703 20 0 CHADLO CC1(C)C[C@@H](CNc2cc[nH+]c3[nH]cc(C(F)(F)F)c32)O1 ZINC001168456277 1128133790 /nfs/dbraw/zinc/13/37/90/1128133790.db2.gz NCFWQZGYCINJMK-QMMMGPOBSA-N 1 2 299.296 3.561 20 0 CHADLO COc1cc(F)cc(CNc2ccc([NH+](C)C)cc2C)c1 ZINC000312252926 1128135927 /nfs/dbraw/zinc/13/59/27/1128135927.db2.gz JLLFVEPUHPIBTR-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2cc(F)ccc21)c1ncco1 ZINC000925670133 1128136045 /nfs/dbraw/zinc/13/60/45/1128136045.db2.gz IOSXVUVXHJYRKD-QMTHXVAHSA-N 1 2 260.312 3.542 20 0 CHADLO Cc1cc(C)c(C(=O)NCC[C@@H]2CCCC2(F)F)c(C)[nH+]1 ZINC000914414285 1128155658 /nfs/dbraw/zinc/15/56/58/1128155658.db2.gz RCHCQJDGBKUAKN-ZDUSSCGKSA-N 1 2 296.361 3.562 20 0 CHADLO CCCCc1noc(C[N@H+](C)Cc2cccc3[nH]ccc32)n1 ZINC000494127235 1128157378 /nfs/dbraw/zinc/15/73/78/1128157378.db2.gz PYZFGRYLOMPGPH-UHFFFAOYSA-N 1 2 298.390 3.526 20 0 CHADLO CCCCc1noc(C[N@@H+](C)Cc2cccc3[nH]ccc32)n1 ZINC000494127235 1128157381 /nfs/dbraw/zinc/15/73/81/1128157381.db2.gz PYZFGRYLOMPGPH-UHFFFAOYSA-N 1 2 298.390 3.526 20 0 CHADLO COCC[C@@H](c1ccccc1)[N@@H+]1CC[C@H](C)C(F)(F)C1 ZINC000494222458 1128160408 /nfs/dbraw/zinc/16/04/08/1128160408.db2.gz GAAXABYRVVKDSH-ZFWWWQNUSA-N 1 2 283.362 3.741 20 0 CHADLO COCC[C@@H](c1ccccc1)[N@H+]1CC[C@H](C)C(F)(F)C1 ZINC000494222458 1128160410 /nfs/dbraw/zinc/16/04/10/1128160410.db2.gz GAAXABYRVVKDSH-ZFWWWQNUSA-N 1 2 283.362 3.741 20 0 CHADLO CCn1nc(C)c([C@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)n1 ZINC000926251085 1128163044 /nfs/dbraw/zinc/16/30/44/1128163044.db2.gz OVGQTBXKKIDVJJ-RYUDHWBXSA-N 1 2 298.390 3.764 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(CF)cc2)s1 ZINC000777593960 1128165962 /nfs/dbraw/zinc/16/59/62/1128165962.db2.gz BTORLWFOTUSIDF-UHFFFAOYSA-N 1 2 278.396 3.807 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(CF)cc2)s1 ZINC000777593960 1128165965 /nfs/dbraw/zinc/16/59/65/1128165965.db2.gz BTORLWFOTUSIDF-UHFFFAOYSA-N 1 2 278.396 3.807 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@@H](C)c2ncco2)cc1 ZINC000926353393 1128168835 /nfs/dbraw/zinc/16/88/35/1128168835.db2.gz UCQFKKNJXYMNNO-QWRGUYRKSA-N 1 2 262.378 3.808 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@@H](C)c1cccc(C2CC2)c1 ZINC000926492778 1128175331 /nfs/dbraw/zinc/17/53/31/1128175331.db2.gz FRASSKVEQLWAHT-QWRGUYRKSA-N 1 2 271.364 3.667 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2c(F)cc(F)cc21)c1ncco1 ZINC000926493170 1128175575 /nfs/dbraw/zinc/17/55/75/1128175575.db2.gz NZYNJULMLWLCJW-XPTSAGLGSA-N 1 2 278.302 3.681 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2c(F)cccc21)c1ncco1 ZINC000926496305 1128175613 /nfs/dbraw/zinc/17/56/13/1128175613.db2.gz ALEUSTPLMRBGCF-IINYFYTJSA-N 1 2 260.312 3.542 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@H]1F)c1ccns1 ZINC000926860987 1128198531 /nfs/dbraw/zinc/19/85/31/1128198531.db2.gz LMMWNKWWTQETRQ-PSOPSSQASA-N 1 2 276.380 3.819 20 0 CHADLO Cc1ccc(C2([NH2+][C@H](C)c3ncco3)CCC2)cc1 ZINC000926644102 1128185618 /nfs/dbraw/zinc/18/56/18/1128185618.db2.gz YCZQHTCDNNYDOB-CYBMUJFWSA-N 1 2 256.349 3.713 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2c(Cl)ccnc21)c1cscn1 ZINC000926693310 1128188382 /nfs/dbraw/zinc/18/83/82/1128188382.db2.gz QZKFJYYQEXPQSU-JOYOIKCWSA-N 1 2 293.823 3.920 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@@H](c1ccccn1)C1CCC1 ZINC000926753054 1128192092 /nfs/dbraw/zinc/19/20/92/1128192092.db2.gz PEWUIMXHZPAZIU-DOTOQJQBSA-N 1 2 297.402 3.886 20 0 CHADLO COC/C(C)=C\C[N@H+](C)Cc1c(F)cccc1Cl ZINC000929138774 1128259860 /nfs/dbraw/zinc/25/98/60/1128259860.db2.gz LYMVQORQMCGXLO-XFFZJAGNSA-N 1 2 271.763 3.504 20 0 CHADLO COC/C(C)=C\C[N@@H+](C)Cc1c(F)cccc1Cl ZINC000929138774 1128259863 /nfs/dbraw/zinc/25/98/63/1128259863.db2.gz LYMVQORQMCGXLO-XFFZJAGNSA-N 1 2 271.763 3.504 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2C[C@@H](C)[C@H]2C)c1 ZINC000494819192 1128201546 /nfs/dbraw/zinc/20/15/46/1128201546.db2.gz ALDOYWDFEBWGCE-NQBHXWOUSA-N 1 2 250.411 3.791 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1cnc(-c2ccccc2)o1 ZINC000929254029 1128265726 /nfs/dbraw/zinc/26/57/26/1128265726.db2.gz KFYPGYUASNMHNX-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1cnc(-c2ccccc2)o1 ZINC000929254029 1128265728 /nfs/dbraw/zinc/26/57/28/1128265728.db2.gz KFYPGYUASNMHNX-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2CCCc3cccnc32)c(C)s1 ZINC000927060811 1128212330 /nfs/dbraw/zinc/21/23/30/1128212330.db2.gz BMXSVFCEASTPAN-HZMBPMFUSA-N 1 2 287.432 3.883 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](C)c2ccc(F)cn2)c(F)c1 ZINC000927093993 1128216223 /nfs/dbraw/zinc/21/62/23/1128216223.db2.gz PEYCJXCFRZWNFN-SNVBAGLBSA-N 1 2 280.293 3.658 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C2CC2)n(C)n1)c1csc(Cl)c1 ZINC000927109831 1128218665 /nfs/dbraw/zinc/21/86/65/1128218665.db2.gz YVFSXFIDXAUHRK-VIFPVBQESA-N 1 2 295.839 3.863 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C2CC2)n(C)n1)c1cc2ccccc2o1 ZINC000927112024 1128218751 /nfs/dbraw/zinc/21/87/51/1128218751.db2.gz DANUIICEIAGKMX-LBPRGKRZSA-N 1 2 295.386 3.895 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1)C1CC1 ZINC000777659137 1128234008 /nfs/dbraw/zinc/23/40/08/1128234008.db2.gz JDJSGUNAACAFPD-IYOUNJFTSA-N 1 2 288.435 3.901 20 0 CHADLO C[C@@H]([NH2+]c1ccc2c(c1)N(C)CCO2)C1CCCC1 ZINC000777689516 1128242202 /nfs/dbraw/zinc/24/22/02/1128242202.db2.gz NZPFQULHATXHOY-GFCCVEGCSA-N 1 2 260.381 3.506 20 0 CHADLO Cc1[nH+]c[nH]c1CC(=O)Nc1cccc2c1[C@@H](C)CC2(C)C ZINC000928586300 1128243651 /nfs/dbraw/zinc/24/36/51/1128243651.db2.gz MWCQZPMZIKASPY-NSHDSACASA-N 1 2 297.402 3.684 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC[C@H]1c1cccs1 ZINC000929373171 1128271580 /nfs/dbraw/zinc/27/15/80/1128271580.db2.gz WCOIYYZEYWRNAU-AWEZNQCLSA-N 1 2 297.383 3.602 20 0 CHADLO CC(C)(C)C[N@H+](Cc1nncs1)Cc1ccccc1 ZINC000929516266 1128276035 /nfs/dbraw/zinc/27/60/35/1128276035.db2.gz KVHVBMVJODZHDL-UHFFFAOYSA-N 1 2 275.421 3.586 20 0 CHADLO CC(C)(C)C[N@@H+](Cc1nncs1)Cc1ccccc1 ZINC000929516266 1128276038 /nfs/dbraw/zinc/27/60/38/1128276038.db2.gz KVHVBMVJODZHDL-UHFFFAOYSA-N 1 2 275.421 3.586 20 0 CHADLO COC(=O)c1c(C)cc(Nc2cccc(C)[nH+]2)cc1C ZINC001213120860 1128281267 /nfs/dbraw/zinc/28/12/67/1128281267.db2.gz CNBOBHHSBRKVRN-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO C(=C/[C@H]1CCCCC[N@H+]1Cc1nncs1)\c1ccccc1 ZINC000929826656 1128289350 /nfs/dbraw/zinc/28/93/50/1128289350.db2.gz YHNOHDMXSSPDPT-SIFUEBAJSA-N 1 2 299.443 3.996 20 0 CHADLO C(=C/[C@H]1CCCCC[N@@H+]1Cc1nncs1)\c1ccccc1 ZINC000929826656 1128289352 /nfs/dbraw/zinc/28/93/52/1128289352.db2.gz YHNOHDMXSSPDPT-SIFUEBAJSA-N 1 2 299.443 3.996 20 0 CHADLO CNc1ccc(Nc2cnc3ccc(F)cc3c2)c[nH+]1 ZINC001203451823 1128294158 /nfs/dbraw/zinc/29/41/58/1128294158.db2.gz DNFAXTYHHGVSPC-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO CCc1ncc(C[N@H+]([C@@H](C)c2ccco2)C2CC2)o1 ZINC000930024946 1128299023 /nfs/dbraw/zinc/29/90/23/1128299023.db2.gz WGLLQCKCNWGNER-NSHDSACASA-N 1 2 260.337 3.556 20 0 CHADLO CCc1ncc(C[N@@H+]([C@@H](C)c2ccco2)C2CC2)o1 ZINC000930024946 1128299026 /nfs/dbraw/zinc/29/90/26/1128299026.db2.gz WGLLQCKCNWGNER-NSHDSACASA-N 1 2 260.337 3.556 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+][C@@H](C)c2ncccn2)cc1 ZINC000930196134 1128306620 /nfs/dbraw/zinc/30/66/20/1128306620.db2.gz YOZFCDXTIDDAGX-KBPBESRZSA-N 1 2 285.391 3.676 20 0 CHADLO CC[C@H]([NH2+]Cc1c(F)cncc1F)c1ccc(F)cc1F ZINC000930222758 1128308695 /nfs/dbraw/zinc/30/86/95/1128308695.db2.gz RZOSSHRCJIKYIX-HNNXBMFYSA-N 1 2 298.283 3.879 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nncs1)c1ccccc1Cl ZINC000930224161 1128309126 /nfs/dbraw/zinc/30/91/26/1128309126.db2.gz DGHCNBOTFYLLSR-CYBMUJFWSA-N 1 2 281.812 3.678 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)CCC2(C)CC2)n1 ZINC000930474689 1128320403 /nfs/dbraw/zinc/32/04/03/1128320403.db2.gz AZBQWGKLQZPBHI-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO C[N@H+](CCSc1ccncc1)Cc1c(F)cccc1F ZINC000930581626 1128326456 /nfs/dbraw/zinc/32/64/56/1128326456.db2.gz WVVRMTOKHJTHHB-UHFFFAOYSA-N 1 2 294.370 3.584 20 0 CHADLO C[N@@H+](CCSc1ccncc1)Cc1c(F)cccc1F ZINC000930581626 1128326460 /nfs/dbraw/zinc/32/64/60/1128326460.db2.gz WVVRMTOKHJTHHB-UHFFFAOYSA-N 1 2 294.370 3.584 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2nncs2)C2CC2)cc1Cl ZINC000930611829 1128327126 /nfs/dbraw/zinc/32/71/26/1128327126.db2.gz MQBVXYAMQHUFFV-CYBMUJFWSA-N 1 2 297.786 3.572 20 0 CHADLO CC[C@@H]1c2ccccc2CN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000930626778 1128328219 /nfs/dbraw/zinc/32/82/19/1128328219.db2.gz OLODKGPVAFCHJP-QGZVFWFLSA-N 1 2 295.386 3.619 20 0 CHADLO CNc1ccc(Nc2cnc3cccc(F)c3c2)c[nH+]1 ZINC001203453287 1128332514 /nfs/dbraw/zinc/33/25/14/1128332514.db2.gz ZXBMGDBDYIEACW-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO CCc1ncc(C[NH2+]C2(c3ccccc3F)CCC2)o1 ZINC000930818350 1128336276 /nfs/dbraw/zinc/33/62/76/1128336276.db2.gz GQTWXZYCHPAKCB-UHFFFAOYSA-N 1 2 274.339 3.545 20 0 CHADLO C[C@H](c1ncccn1)[N@@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000930823966 1128336774 /nfs/dbraw/zinc/33/67/74/1128336774.db2.gz ABSNUSJMNHNZSV-WBVHZDCISA-N 1 2 291.398 3.931 20 0 CHADLO C[C@H](c1ncccn1)[N@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000930823966 1128336779 /nfs/dbraw/zinc/33/67/79/1128336779.db2.gz ABSNUSJMNHNZSV-WBVHZDCISA-N 1 2 291.398 3.931 20 0 CHADLO CC(C)C(C)(C)SCc1cc[nH+]c(N(C)C)c1 ZINC000762991880 1128343404 /nfs/dbraw/zinc/34/34/04/1128343404.db2.gz USWHGHKEWDHKPR-UHFFFAOYSA-N 1 2 252.427 3.815 20 0 CHADLO C[C@H]1C[NH+](Cc2coc(C(C)(C)C)n2)C[C@H](C)C1(F)F ZINC000931161906 1128350423 /nfs/dbraw/zinc/35/04/23/1128350423.db2.gz ZKIGPCZURBSTEF-QWRGUYRKSA-N 1 2 286.366 3.695 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)c[nH+]1)C1CCCC1 ZINC000561782110 1128353555 /nfs/dbraw/zinc/35/35/55/1128353555.db2.gz QHZZLGRJDQHREZ-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]Cc1ncc(C2CC2)o1 ZINC000932564279 1128415149 /nfs/dbraw/zinc/41/51/49/1128415149.db2.gz XCHGVHFYVXOSGT-NSHDSACASA-N 1 2 274.339 3.850 20 0 CHADLO Fc1cccc2c1N(Cc1cc(-n3cc[nH+]c3)cs1)CC2 ZINC000561984193 1128367767 /nfs/dbraw/zinc/36/77/67/1128367767.db2.gz XIURXIVKWKZVTO-UHFFFAOYSA-N 1 2 299.374 3.636 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1N[C@@H]1CC[C@H]1C1CC1 ZINC000562056910 1128374567 /nfs/dbraw/zinc/37/45/67/1128374567.db2.gz MWQQAZSJVALNAL-JKSUJKDBSA-N 1 2 271.408 3.672 20 0 CHADLO CCC(C)(C)[N@H+](C)[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000638063520 1128385220 /nfs/dbraw/zinc/38/52/20/1128385220.db2.gz URWXDOIXUANJDQ-ZDUSSCGKSA-N 1 2 264.417 3.547 20 0 CHADLO CCC(C)(C)[N@@H+](C)[C@H](c1nc[nH]n1)C1CCCCC1 ZINC000638063520 1128385224 /nfs/dbraw/zinc/38/52/24/1128385224.db2.gz URWXDOIXUANJDQ-ZDUSSCGKSA-N 1 2 264.417 3.547 20 0 CHADLO FC1(F)C[C@]2(CC[N@H+](C/C(Cl)=C/Cl)C2)C1(F)F ZINC000931920685 1128387493 /nfs/dbraw/zinc/38/74/93/1128387493.db2.gz ZGPLLTBGHNUEMW-PFPYCLJUSA-N 1 2 292.103 3.672 20 0 CHADLO FC1(F)C[C@]2(CC[N@@H+](C/C(Cl)=C/Cl)C2)C1(F)F ZINC000931920685 1128387498 /nfs/dbraw/zinc/38/74/98/1128387498.db2.gz ZGPLLTBGHNUEMW-PFPYCLJUSA-N 1 2 292.103 3.672 20 0 CHADLO CC[C@H](C)[C@@H](C)N(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CC1 ZINC000932049012 1128393188 /nfs/dbraw/zinc/39/31/88/1128393188.db2.gz QXNDAJIBEVMIKG-NWDGAFQWSA-N 1 2 285.391 3.602 20 0 CHADLO Fc1ccc(CNc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC000036983285 1128401931 /nfs/dbraw/zinc/40/19/31/1128401931.db2.gz SZENAHNSMPPFBY-UHFFFAOYSA-N 1 2 285.366 3.823 20 0 CHADLO CN(C)c1ccc(NCc2ccc3ccccc3c2)c[nH+]1 ZINC000036988792 1128403260 /nfs/dbraw/zinc/40/32/60/1128403260.db2.gz JOLCWRXKAMOAPL-UHFFFAOYSA-N 1 2 277.371 3.913 20 0 CHADLO Cc1cccc(CNc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC000036988537 1128403399 /nfs/dbraw/zinc/40/33/99/1128403399.db2.gz UXUYLYBLQOCUAK-UHFFFAOYSA-N 1 2 281.403 3.992 20 0 CHADLO CCOC(=O)c1c[nH+]c2ccccc2c1N1CCC[C@@H](C)C1 ZINC000496774913 1128421626 /nfs/dbraw/zinc/42/16/26/1128421626.db2.gz ZDQCCOAUPZHSRH-CYBMUJFWSA-N 1 2 298.386 3.648 20 0 CHADLO CC(C)c1noc(C[NH2+][C@H](C)c2ccc(C3CC3)cc2)n1 ZINC000562828156 1128422544 /nfs/dbraw/zinc/42/25/44/1128422544.db2.gz PWKACYCKPWEPHH-GFCCVEGCSA-N 1 2 285.391 3.921 20 0 CHADLO Cc1cnc(C)c(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC000916608895 1128429380 /nfs/dbraw/zinc/42/93/80/1128429380.db2.gz VBAVTMDWOPLOCU-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Clc1ccc(CNc2ccc(N3CCCCC3)[nH+]c2)o1 ZINC000037508358 1128432819 /nfs/dbraw/zinc/43/28/19/1128432819.db2.gz SGHLUZCWEBUIPS-UHFFFAOYSA-N 1 2 291.782 3.930 20 0 CHADLO FC(F)(F)c1ncccc1C[N@H+](Cc1ccoc1)C1CC1 ZINC000934005494 1128449287 /nfs/dbraw/zinc/44/92/87/1128449287.db2.gz ZUPSDUXEEINAIZ-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1ncccc1C[N@@H+](Cc1ccoc1)C1CC1 ZINC000934005494 1128449289 /nfs/dbraw/zinc/44/92/89/1128449289.db2.gz ZUPSDUXEEINAIZ-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO CCC[C@@](C)([NH2+]Cc1nc(CC2CC2)no1)c1ccccc1 ZINC000934179596 1128452966 /nfs/dbraw/zinc/45/29/66/1128452966.db2.gz HMYVKKPNZSJUEK-GOSISDBHSA-N 1 2 299.418 3.827 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N(C)C)cc1)C1CCSCC1 ZINC000187495054 1128463430 /nfs/dbraw/zinc/46/34/30/1128463430.db2.gz FCMRZTUYFQDSNW-GFCCVEGCSA-N 1 2 264.438 3.696 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)C1CCSCC1 ZINC000187495054 1128463431 /nfs/dbraw/zinc/46/34/31/1128463431.db2.gz FCMRZTUYFQDSNW-GFCCVEGCSA-N 1 2 264.438 3.696 20 0 CHADLO Cc1noc([C@@H](C)[N@H+]2CC=C(c3cccc(C)c3C)CC2)n1 ZINC000934845201 1128470859 /nfs/dbraw/zinc/47/08/59/1128470859.db2.gz QNMIXCVHDUCCAM-CQSZACIVSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+]2CC=C(c3cccc(C)c3C)CC2)n1 ZINC000934845201 1128470861 /nfs/dbraw/zinc/47/08/61/1128470861.db2.gz QNMIXCVHDUCCAM-CQSZACIVSA-N 1 2 297.402 3.845 20 0 CHADLO CC1(C)Cc2occc2[C@H]([NH2+]Cc2cscn2)C1 ZINC000127319213 1128475787 /nfs/dbraw/zinc/47/57/87/1128475787.db2.gz NEFVMKYRSPHODF-GFCCVEGCSA-N 1 2 262.378 3.539 20 0 CHADLO CCCCC[C@H]1CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934916618 1128473896 /nfs/dbraw/zinc/47/38/96/1128473896.db2.gz LVZGLQPVVYDYIV-AWEZNQCLSA-N 1 2 285.391 3.748 20 0 CHADLO c1nnc([C@H]([NH2+]C2CC3(C2)CCCCC3)c2ccccc2)[nH]1 ZINC000563700689 1128475057 /nfs/dbraw/zinc/47/50/57/1128475057.db2.gz NCMKCZAFLGIMFL-MRXNPFEDSA-N 1 2 296.418 3.597 20 0 CHADLO Cc1c[nH+]c(Nc2cc(F)c(F)cc2OC(C)C)c(N)c1 ZINC001212222564 1128479753 /nfs/dbraw/zinc/47/97/53/1128479753.db2.gz TVHQBZICVVBWNZ-UHFFFAOYSA-N 1 2 293.317 3.781 20 0 CHADLO Fc1cc(Br)ccc1C[NH+]1C2CCC1CC2 ZINC000398879688 1128476387 /nfs/dbraw/zinc/47/63/87/1128476387.db2.gz TZPMHLPJWIQDFV-UHFFFAOYSA-N 1 2 284.172 3.715 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(Cc3ccccc3)n2)[C@@H]1C ZINC000564231973 1128499520 /nfs/dbraw/zinc/49/95/20/1128499520.db2.gz RUELTOPTUCVQAQ-CHWSQXEVSA-N 1 2 272.417 3.574 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(Cc3ccccc3)n2)[C@@H]1C ZINC000564231973 1128499522 /nfs/dbraw/zinc/49/95/22/1128499522.db2.gz RUELTOPTUCVQAQ-CHWSQXEVSA-N 1 2 272.417 3.574 20 0 CHADLO C[C@H]([N@H+](C)Cn1nc(C(C)(C)C)oc1=S)C(C)(C)C ZINC000917429522 1128499999 /nfs/dbraw/zinc/49/99/99/1128499999.db2.gz ZDBQAQCTQXBYET-JTQLQIEISA-N 1 2 285.457 3.827 20 0 CHADLO C[C@H]([N@@H+](C)Cn1nc(C(C)(C)C)oc1=S)C(C)(C)C ZINC000917429522 1128500001 /nfs/dbraw/zinc/50/00/01/1128500001.db2.gz ZDBQAQCTQXBYET-JTQLQIEISA-N 1 2 285.457 3.827 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cn(C)cn1)c1cc(F)ccc1F ZINC000925454796 1128558671 /nfs/dbraw/zinc/55/86/71/1128558671.db2.gz ZVTFAGUNQBWKJG-YGRLFVJLSA-N 1 2 279.334 3.500 20 0 CHADLO CC(=Cc1cccc(C(F)(F)F)c1)C[NH2+]Cc1ccn[nH]1 ZINC000567195511 1128559723 /nfs/dbraw/zinc/55/97/23/1128559723.db2.gz DRRDNAWDCZNCMO-XFFZJAGNSA-N 1 2 295.308 3.622 20 0 CHADLO Cc1cc(C)cc(NC(=O)C[N@@H+](C)[C@H](C)c2ccco2)c1 ZINC000069069365 1128533202 /nfs/dbraw/zinc/53/32/02/1128533202.db2.gz NIUVAVCTPBUHDG-CQSZACIVSA-N 1 2 286.375 3.528 20 0 CHADLO Cc1cc(C)cc(NC(=O)C[N@H+](C)[C@H](C)c2ccco2)c1 ZINC000069069365 1128533204 /nfs/dbraw/zinc/53/32/04/1128533204.db2.gz NIUVAVCTPBUHDG-CQSZACIVSA-N 1 2 286.375 3.528 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC=C(c3ccc(C)cc3)CC2)o1 ZINC000918186677 1128539742 /nfs/dbraw/zinc/53/97/42/1128539742.db2.gz REGYGZAQRKOXGN-ZDUSSCGKSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC=C(c3ccc(C)cc3)CC2)o1 ZINC000918186677 1128539746 /nfs/dbraw/zinc/53/97/46/1128539746.db2.gz REGYGZAQRKOXGN-ZDUSSCGKSA-N 1 2 283.375 3.537 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@H]2C[C@@H]2C)o1)c1cn2ccccc2n1 ZINC000566476271 1128545025 /nfs/dbraw/zinc/54/50/25/1128545025.db2.gz VSVXBIXRDXOQPQ-YDHLFZDLSA-N 1 2 295.386 3.902 20 0 CHADLO Cc1nc2ccc(NCc3[nH]c(C)c(C)[nH+]3)cc2s1 ZINC000566498459 1128546008 /nfs/dbraw/zinc/54/60/08/1128546008.db2.gz BNGGAJRHYSEAII-UHFFFAOYSA-N 1 2 272.377 3.557 20 0 CHADLO Cc1csc(C[NH2+][C@@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000566720421 1128549554 /nfs/dbraw/zinc/54/95/54/1128549554.db2.gz DHYPNLLORJCBRT-CQSZACIVSA-N 1 2 290.436 3.586 20 0 CHADLO CSCc1cccc(NCc2[nH]c(C)c(C)[nH+]2)c1 ZINC000567522486 1128566305 /nfs/dbraw/zinc/56/63/05/1128566305.db2.gz SAFPEQJPVGVFPS-UHFFFAOYSA-N 1 2 261.394 3.502 20 0 CHADLO CCc1ccc(-c2ccc(C[NH2+]Cc3ccn(C)n3)o2)cc1 ZINC000498365739 1128567205 /nfs/dbraw/zinc/56/72/05/1128567205.db2.gz UKFOQVOOGPOEJT-UHFFFAOYSA-N 1 2 295.386 3.532 20 0 CHADLO Cc1ccccc1[C@H](C)c1noc(C[C@H](C)n2cc[nH+]c2)n1 ZINC000568009444 1128576436 /nfs/dbraw/zinc/57/64/36/1128576436.db2.gz DSFXHJLXBHFNCG-KBPBESRZSA-N 1 2 296.374 3.530 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)CC2CCCC2)c(N(C)C)[nH+]1 ZINC001127451792 1128579336 /nfs/dbraw/zinc/57/93/36/1128579336.db2.gz FZLHIEUNXUMDON-GFCCVEGCSA-N 1 2 289.423 3.611 20 0 CHADLO COCCC[C@@H]([NH2+]CC(C)(F)F)c1ccc(F)cc1 ZINC000568420992 1128584149 /nfs/dbraw/zinc/58/41/49/1128584149.db2.gz QFOANGKJLIBAPZ-CYBMUJFWSA-N 1 2 275.314 3.538 20 0 CHADLO C[C@@]1(F)CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000568734332 1128590499 /nfs/dbraw/zinc/59/04/99/1128590499.db2.gz WBXKSARJDNCYRM-CQSZACIVSA-N 1 2 264.731 3.827 20 0 CHADLO CC(F)(F)CCCn1c[nH+]c(Cc2ccccc2)c1 ZINC000919156197 1128591915 /nfs/dbraw/zinc/59/19/15/1128591915.db2.gz LYUOYCRQNBIUST-UHFFFAOYSA-N 1 2 264.319 3.909 20 0 CHADLO c1[nH+]c(Cc2ccccc2)cn1CCC1SCCS1 ZINC000919155737 1128592116 /nfs/dbraw/zinc/59/21/16/1128592116.db2.gz GFKSFIXZQANXIQ-UHFFFAOYSA-N 1 2 290.457 3.670 20 0 CHADLO CC(C)c1cnc(Cn2c[nH+]c(Cc3ccccc3)c2)o1 ZINC000919145159 1128592184 /nfs/dbraw/zinc/59/21/84/1128592184.db2.gz XYFACFNYRNWWNB-UHFFFAOYSA-N 1 2 281.359 3.634 20 0 CHADLO c1[nH+]c(Cc2ccccc2)cn1C[C@H]1CCC2(CCC2)O1 ZINC000919157525 1128592422 /nfs/dbraw/zinc/59/24/22/1128592422.db2.gz WBTUZHDOKCYWPQ-QGZVFWFLSA-N 1 2 282.387 3.576 20 0 CHADLO CSCc1cc[nH+]c(NCCc2ccsc2)c1 ZINC000094134713 1128598783 /nfs/dbraw/zinc/59/87/83/1128598783.db2.gz OWZRAOSAYSAYJG-UHFFFAOYSA-N 1 2 264.419 3.661 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@@](F)(c3ccccc3)C2)s1 ZINC000570415048 1128623316 /nfs/dbraw/zinc/62/33/16/1128623316.db2.gz OISQSTQVGRDJAU-HNNXBMFYSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@@](F)(c3ccccc3)C2)s1 ZINC000570415048 1128623319 /nfs/dbraw/zinc/62/33/19/1128623319.db2.gz OISQSTQVGRDJAU-HNNXBMFYSA-N 1 2 276.380 3.522 20 0 CHADLO CCc1cnc(C[N@@H+]2Cc3sccc3CC2(C)C)o1 ZINC000556791121 1128623528 /nfs/dbraw/zinc/62/35/28/1128623528.db2.gz LMFJDQYWJQJTMM-UHFFFAOYSA-N 1 2 276.405 3.635 20 0 CHADLO CCc1cnc(C[N@H+]2Cc3sccc3CC2(C)C)o1 ZINC000556791121 1128623531 /nfs/dbraw/zinc/62/35/31/1128623531.db2.gz LMFJDQYWJQJTMM-UHFFFAOYSA-N 1 2 276.405 3.635 20 0 CHADLO Fc1cc(Br)ccc1C[NH+]1CC(C2CCC2)C1 ZINC000570522004 1128625925 /nfs/dbraw/zinc/62/59/25/1128625925.db2.gz UDWCROYDADTEPF-UHFFFAOYSA-N 1 2 298.199 3.820 20 0 CHADLO Cc1[nH]c(CNc2ccc(COC(C)C)cc2)[nH+]c1C ZINC000570528659 1128625939 /nfs/dbraw/zinc/62/59/39/1128625939.db2.gz HPHLXRPNJBEJRV-UHFFFAOYSA-N 1 2 273.380 3.564 20 0 CHADLO CCc1cc(C(=O)Nc2cc[nH+]c(C)c2)sc1C ZINC000072710072 1128628939 /nfs/dbraw/zinc/62/89/39/1128628939.db2.gz YMHGUNRZTIEMIL-UHFFFAOYSA-N 1 2 260.362 3.575 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@H+](C)Cc2nc(C3CC3)nn2C)c1 ZINC000570797476 1128631775 /nfs/dbraw/zinc/63/17/75/1128631775.db2.gz FWODAXROXFGOJX-AWEZNQCLSA-N 1 2 298.434 3.502 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@@H+](C)Cc2nc(C3CC3)nn2C)c1 ZINC000570797476 1128631776 /nfs/dbraw/zinc/63/17/76/1128631776.db2.gz FWODAXROXFGOJX-AWEZNQCLSA-N 1 2 298.434 3.502 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CC[C@@H](CC(F)(F)F)C2)cc1F ZINC000570910309 1128634657 /nfs/dbraw/zinc/63/46/57/1128634657.db2.gz UUANRAVHMHDFOM-QMMMGPOBSA-N 1 2 297.242 3.878 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CC[C@@H](CC(F)(F)F)C2)cc1F ZINC000570910309 1128634659 /nfs/dbraw/zinc/63/46/59/1128634659.db2.gz UUANRAVHMHDFOM-QMMMGPOBSA-N 1 2 297.242 3.878 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC000571725714 1128638358 /nfs/dbraw/zinc/63/83/58/1128638358.db2.gz SDKCKGJVLDSWQK-DJSGYFEHSA-N 1 2 295.373 3.915 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC000571725714 1128638361 /nfs/dbraw/zinc/63/83/61/1128638361.db2.gz SDKCKGJVLDSWQK-DJSGYFEHSA-N 1 2 295.373 3.915 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2ccc(SC(C)C)cc2)o1 ZINC000542616251 1128639225 /nfs/dbraw/zinc/63/92/25/1128639225.db2.gz UBPWRQTZOPBDRY-LLVKDONJSA-N 1 2 291.420 3.729 20 0 CHADLO Cc1ccc(C)c(N(C(=O)C[C@H](C)n2cc[nH+]c2)C(C)C)c1 ZINC000573567248 1128656075 /nfs/dbraw/zinc/65/60/75/1128656075.db2.gz KHHHEDGMUVDYJJ-INIZCTEOSA-N 1 2 299.418 3.893 20 0 CHADLO CCc1ccc([C@H]([NH2+]Cc2nc(C3CC3)no2)C(C)C)cc1 ZINC000074157570 1128656634 /nfs/dbraw/zinc/65/66/34/1128656634.db2.gz WFTBFLVSBWCATO-QGZVFWFLSA-N 1 2 299.418 3.996 20 0 CHADLO Clc1ccc(C[NH2+]Cc2c(Cl)nc3ccccn32)o1 ZINC000920176213 1128670661 /nfs/dbraw/zinc/67/06/61/1128670661.db2.gz TZYRSHOFPNITBZ-UHFFFAOYSA-N 1 2 296.157 3.524 20 0 CHADLO CCC/C(C)=C/C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000920219168 1128673189 /nfs/dbraw/zinc/67/31/89/1128673189.db2.gz HLOLCMYFRNQULU-FMIVXFBMSA-N 1 2 295.452 3.670 20 0 CHADLO CCC/C(C)=C\C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000920222357 1128673486 /nfs/dbraw/zinc/67/34/86/1128673486.db2.gz PVHWSGNGUCQKKV-RAXLEYEMSA-N 1 2 269.348 3.557 20 0 CHADLO C[NH+](C)c1ccc(NC[C@H]2CCOc3ccccc32)cc1 ZINC000159125861 1128674431 /nfs/dbraw/zinc/67/44/31/1128674431.db2.gz OBGTVJKFWADFJS-CQSZACIVSA-N 1 2 282.387 3.731 20 0 CHADLO CN(C)c1ccc([NH2+]C[C@H]2CCOc3ccccc32)cc1 ZINC000159125861 1128674433 /nfs/dbraw/zinc/67/44/33/1128674433.db2.gz OBGTVJKFWADFJS-CQSZACIVSA-N 1 2 282.387 3.731 20 0 CHADLO CSCc1cccc(-c2c[nH+]c3n2CCCC3)c1 ZINC000600845266 1128683960 /nfs/dbraw/zinc/68/39/60/1128683960.db2.gz LSCAVYJUYMPKHQ-UHFFFAOYSA-N 1 2 258.390 3.749 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)cc(Cl)c1)c1cscn1 ZINC000578338454 1128714372 /nfs/dbraw/zinc/71/43/72/1128714372.db2.gz KDSCFLVTUDZVIM-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CC[C@@H]([NH2+][C@H](C(=O)OC)c1ccccc1)C1CCCCC1 ZINC000609804320 1128726375 /nfs/dbraw/zinc/72/63/75/1128726375.db2.gz NEAJLEOXRNXIEP-SJORKVTESA-N 1 2 289.419 3.849 20 0 CHADLO C[N@H+](Cn1nc(C(F)(F)F)sc1=S)C1CCCC1 ZINC000188627328 1117747840 /nfs/dbraw/zinc/74/78/40/1117747840.db2.gz XYIMNXUUROSYOU-UHFFFAOYSA-N 1 2 297.371 3.525 20 0 CHADLO C[N@@H+](Cn1nc(C(F)(F)F)sc1=S)C1CCCC1 ZINC000188627328 1117747843 /nfs/dbraw/zinc/74/78/43/1117747843.db2.gz XYIMNXUUROSYOU-UHFFFAOYSA-N 1 2 297.371 3.525 20 0 CHADLO Cc1cc(C[NH+]2C[C@H](C)O[C@@H](C)C2)cc(C)c1Cl ZINC001238088800 1117772656 /nfs/dbraw/zinc/77/26/56/1117772656.db2.gz KXQMLQQONGOWLZ-STQMWFEESA-N 1 2 267.800 3.566 20 0 CHADLO CCC[C@@H]1[C@H](C)CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000654840592 1117788609 /nfs/dbraw/zinc/78/86/09/1117788609.db2.gz AJWAMIAQMHPBJD-CZUORRHYSA-N 1 2 299.418 3.684 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1ncc(Cl)n1C ZINC000194985285 1117788599 /nfs/dbraw/zinc/78/85/99/1117788599.db2.gz BXUKVBGYZSIXAX-ZDUSSCGKSA-N 1 2 295.789 3.796 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1ncc(Cl)n1C ZINC000194985285 1117788605 /nfs/dbraw/zinc/78/86/05/1117788605.db2.gz BXUKVBGYZSIXAX-ZDUSSCGKSA-N 1 2 295.789 3.796 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCc3sc(Cl)cc32)[nH]c1C ZINC000579142661 1128739687 /nfs/dbraw/zinc/73/96/87/1128739687.db2.gz GTAOPVFEGBLTSU-SNVBAGLBSA-N 1 2 281.812 3.519 20 0 CHADLO COCCOc1cc(NCc2ccccc2C2CC2)cc[nH+]1 ZINC001167052390 1117794602 /nfs/dbraw/zinc/79/46/02/1117794602.db2.gz OAIIRHITARCZEQ-UHFFFAOYSA-N 1 2 298.386 3.596 20 0 CHADLO CC1(CC(F)F)C[NH+](C/C=C/c2ccc(F)cc2F)C1 ZINC000648058776 1117808350 /nfs/dbraw/zinc/80/83/50/1117808350.db2.gz BZYIDFAHDJHLFH-NSCUHMNNSA-N 1 2 287.300 3.955 20 0 CHADLO CCCCOc1ccc([C@@H](C)[NH2+]Cc2cocn2)cc1 ZINC000671298964 1117815735 /nfs/dbraw/zinc/81/57/35/1117815735.db2.gz CVNGKEXKHDULPQ-CYBMUJFWSA-N 1 2 274.364 3.704 20 0 CHADLO COc1ccc([C@H]2C[C@@H]2[C@H](C)Nc2[nH+]cccc2OC)cc1 ZINC000671299562 1117816362 /nfs/dbraw/zinc/81/63/62/1117816362.db2.gz FLTFVJCLIUAZEA-APHBMKBZSA-N 1 2 298.386 3.703 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(F)cc2F)cs1 ZINC000111406454 1117847662 /nfs/dbraw/zinc/84/76/62/1117847662.db2.gz WCUCVTOFOKVHCQ-VIFPVBQESA-N 1 2 282.359 3.835 20 0 CHADLO CCSc1cc[nH+]c(N2CC(c3ccccc3)C2)c1 ZINC001167064324 1117850954 /nfs/dbraw/zinc/85/09/54/1117850954.db2.gz IYBYLPIGDJOPHC-UHFFFAOYSA-N 1 2 270.401 3.797 20 0 CHADLO COc1ccc(C[NH2+][C@@H](CF)c2ccc(F)cc2)cc1F ZINC000631285273 1117876645 /nfs/dbraw/zinc/87/66/45/1117876645.db2.gz ZXOACHWHOXBPJU-HNNXBMFYSA-N 1 2 295.304 3.774 20 0 CHADLO Cc1ccc2ncc(CNc3ccc(C4CCC4)c[nH+]3)n2c1 ZINC000339566517 1117882941 /nfs/dbraw/zinc/88/29/41/1117882941.db2.gz YFFXLXLEESBAQW-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO Cc1cnccc1C[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000623658078 1117885543 /nfs/dbraw/zinc/88/55/43/1117885543.db2.gz JEBBVQNMFCUHFR-MRXNPFEDSA-N 1 2 290.357 3.746 20 0 CHADLO Cc1ccn2c(CNc3ccc(C4CCC4)c[nH+]3)cnc2c1 ZINC000339573902 1117886763 /nfs/dbraw/zinc/88/67/63/1117886763.db2.gz XPQIFKNSTWXTJJ-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO CCC(F)(F)C[NH2+]C/C=C/c1ccc(F)cc1F ZINC000623678955 1117888512 /nfs/dbraw/zinc/88/85/12/1117888512.db2.gz CNHSSBRKGQVIGH-ONEGZZNKSA-N 1 2 261.262 3.613 20 0 CHADLO CC(C)CCc1nc(C[N@@H+]2C[C@H](C)[C@H]2C)cs1 ZINC000339583149 1117889367 /nfs/dbraw/zinc/88/93/67/1117889367.db2.gz VLHBFWRKTMKACS-NWDGAFQWSA-N 1 2 252.427 3.572 20 0 CHADLO CC(C)CCc1nc(C[N@H+]2C[C@H](C)[C@H]2C)cs1 ZINC000339583149 1117889372 /nfs/dbraw/zinc/88/93/72/1117889372.db2.gz VLHBFWRKTMKACS-NWDGAFQWSA-N 1 2 252.427 3.572 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1ccc2nc(C)sc2c1 ZINC000623679152 1117889374 /nfs/dbraw/zinc/88/93/74/1117889374.db2.gz KDRLAHOBPJDRRX-UHFFFAOYSA-N 1 2 270.348 3.740 20 0 CHADLO CC(C)C[C@@H](C)C[C@@H](C)N1CC([NH+]2CCC(F)CC2)C1 ZINC001258076492 1128743137 /nfs/dbraw/zinc/74/31/37/1128743137.db2.gz ZYSJSGWMZLIQSV-HUUCEWRRSA-N 1 2 284.463 3.565 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1ccncc1 ZINC000179923663 1117916407 /nfs/dbraw/zinc/91/64/07/1117916407.db2.gz DSNWBEFDTNKQMV-AWEZNQCLSA-N 1 2 282.391 3.640 20 0 CHADLO Cc1ccnc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)n1 ZINC000339642050 1117945466 /nfs/dbraw/zinc/94/54/66/1117945466.db2.gz GLOZRGIEJQHKHT-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccnc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)n1 ZINC000339642050 1117945468 /nfs/dbraw/zinc/94/54/68/1117945468.db2.gz GLOZRGIEJQHKHT-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1noc(C)c1CC[N@@H+](C)Cc1c(F)cccc1Cl ZINC000339647862 1117946497 /nfs/dbraw/zinc/94/64/97/1117946497.db2.gz NNOVFDVOOPHGFL-UHFFFAOYSA-N 1 2 296.773 3.758 20 0 CHADLO Cc1noc(C)c1CC[N@H+](C)Cc1c(F)cccc1Cl ZINC000339647862 1117946499 /nfs/dbraw/zinc/94/64/99/1117946499.db2.gz NNOVFDVOOPHGFL-UHFFFAOYSA-N 1 2 296.773 3.758 20 0 CHADLO CC1=CC[N@H+]([C@@H](C)c2nc(-c3cccs3)no2)CC1 ZINC000339658602 1117949282 /nfs/dbraw/zinc/94/92/82/1117949282.db2.gz NNTBGMUFBYTWEI-NSHDSACASA-N 1 2 275.377 3.511 20 0 CHADLO CC1=CC[N@@H+]([C@@H](C)c2nc(-c3cccs3)no2)CC1 ZINC000339658602 1117949283 /nfs/dbraw/zinc/94/92/83/1117949283.db2.gz NNTBGMUFBYTWEI-NSHDSACASA-N 1 2 275.377 3.511 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC[C@@H](CC3CC3)C2)n1 ZINC000339678504 1117952500 /nfs/dbraw/zinc/95/25/00/1117952500.db2.gz GIZKDEUOPWCBHH-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC[C@@H](CC3CC3)C2)n1 ZINC000339678504 1117952504 /nfs/dbraw/zinc/95/25/04/1117952504.db2.gz GIZKDEUOPWCBHH-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO Cc1ccc(CNc2ccc([NH+]3CCCC3)cc2)o1 ZINC000037102284 1117965329 /nfs/dbraw/zinc/96/53/29/1117965329.db2.gz MAZFUGVMYDUCIM-UHFFFAOYSA-N 1 2 256.349 3.800 20 0 CHADLO CC(C)=CCC[C@@H](C)[NH2+]CC(F)(F)C(F)(F)F ZINC001258078936 1128747380 /nfs/dbraw/zinc/74/73/80/1128747380.db2.gz JPZXHEQJWRZPKU-SECBINFHSA-N 1 2 259.262 3.909 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000624083993 1117979907 /nfs/dbraw/zinc/97/99/07/1117979907.db2.gz LNOSTAOZICCVFA-HOTGVXAUSA-N 1 2 296.414 3.878 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000624083995 1117980311 /nfs/dbraw/zinc/98/03/11/1117980311.db2.gz LNOSTAOZICCVFA-JKSUJKDBSA-N 1 2 296.414 3.878 20 0 CHADLO COc1cc(C)[nH+]c(CN(Cc2ccccc2)C2CC2)c1 ZINC000339832267 1117982356 /nfs/dbraw/zinc/98/23/56/1117982356.db2.gz XGJMIZAFTRRCEB-UHFFFAOYSA-N 1 2 282.387 3.563 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)Cc2ccc(Cl)cc2)c1 ZINC000339840102 1117984288 /nfs/dbraw/zinc/98/42/88/1117984288.db2.gz WQHWJUNAEMRHPT-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](c1ccc2[nH]c(=O)oc2c1)C(C)C ZINC000624147763 1117988917 /nfs/dbraw/zinc/98/89/17/1117988917.db2.gz KEQXSFRBJQHSJL-CYBMUJFWSA-N 1 2 298.333 3.865 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](c1ccc(OC)cc1)C1CC1 ZINC000624147619 1117989335 /nfs/dbraw/zinc/98/93/35/1117989335.db2.gz GKKUTCDORJPLJK-CQSZACIVSA-N 1 2 269.335 3.781 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc(OC(F)F)cc1 ZINC000624147003 1117992263 /nfs/dbraw/zinc/99/22/63/1117992263.db2.gz PVHLLEZKBCSDFC-VIFPVBQESA-N 1 2 279.277 3.984 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1ccc(-c2ccncc2)cc1 ZINC000182073253 1118012712 /nfs/dbraw/zinc/01/27/12/1118012712.db2.gz SNUMZKAPSMFCJF-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OC1CCCC1)c1nccn1C ZINC000182501879 1118023331 /nfs/dbraw/zinc/02/33/31/1118023331.db2.gz INTRPTRKIGZVSA-CQSZACIVSA-N 1 2 299.418 3.592 20 0 CHADLO CCCc1noc(C[N@H+](C)[C@H](C)c2ccc(C)cc2C)n1 ZINC000615463153 1128750884 /nfs/dbraw/zinc/75/08/84/1128750884.db2.gz BAUQICJQDLWSMK-CQSZACIVSA-N 1 2 287.407 3.832 20 0 CHADLO CCCc1noc(C[N@@H+](C)[C@H](C)c2ccc(C)cc2C)n1 ZINC000615463153 1128750889 /nfs/dbraw/zinc/75/08/89/1128750889.db2.gz BAUQICJQDLWSMK-CQSZACIVSA-N 1 2 287.407 3.832 20 0 CHADLO C[C@@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1nccs1 ZINC000182910233 1118032065 /nfs/dbraw/zinc/03/20/65/1118032065.db2.gz DCJTVNRJAZDJEX-SNVBAGLBSA-N 1 2 288.351 3.641 20 0 CHADLO C[C@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)C1CCC1 ZINC000182909249 1118032535 /nfs/dbraw/zinc/03/25/35/1118032535.db2.gz YNJLKMOMSPUABF-NSHDSACASA-N 1 2 259.328 3.612 20 0 CHADLO CC(C)c1cnc(C[N@H+](C)CCOc2ccccc2)s1 ZINC000182930089 1118033362 /nfs/dbraw/zinc/03/33/62/1118033362.db2.gz NPVNWOGUPIUAKD-UHFFFAOYSA-N 1 2 290.432 3.777 20 0 CHADLO CC(C)c1cnc(C[N@@H+](C)CCOc2ccccc2)s1 ZINC000182930089 1118033367 /nfs/dbraw/zinc/03/33/67/1118033367.db2.gz NPVNWOGUPIUAKD-UHFFFAOYSA-N 1 2 290.432 3.777 20 0 CHADLO C[C@@H]1[C@H](C)Sc2ccccc2N1CCCn1cc[nH+]c1 ZINC000182945015 1118033851 /nfs/dbraw/zinc/03/38/51/1118033851.db2.gz ZAICWTZIKFXUAV-KGLIPLIRSA-N 1 2 287.432 3.663 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCCOCC1 ZINC000182986832 1118035137 /nfs/dbraw/zinc/03/51/37/1118035137.db2.gz QBFOQTHYFFDRAI-CQSZACIVSA-N 1 2 256.349 3.524 20 0 CHADLO CC(C)c1cccc(N(C)CCCn2cc[nH+]c2)c1 ZINC000183166715 1118038944 /nfs/dbraw/zinc/03/89/44/1118038944.db2.gz WKBTYZNLJGERPN-UHFFFAOYSA-N 1 2 257.381 3.533 20 0 CHADLO CC(C)CCN(CCC(C)C)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000654454624 1118071810 /nfs/dbraw/zinc/07/18/10/1118071810.db2.gz ADZFHHKAPJMSRK-OAHLLOKOSA-N 1 2 293.455 3.509 20 0 CHADLO CC(C)CCN(CCC(C)C)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000654454624 1118071813 /nfs/dbraw/zinc/07/18/13/1118071813.db2.gz ADZFHHKAPJMSRK-OAHLLOKOSA-N 1 2 293.455 3.509 20 0 CHADLO CCC1CCC(N(C)C(=O)c2ccn3c(C)c[nH+]c3c2)CC1 ZINC000654467882 1118076516 /nfs/dbraw/zinc/07/65/16/1118076516.db2.gz HUAASDCXEXUSMW-UHFFFAOYSA-N 1 2 299.418 3.684 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2csnn2)c(C)s1 ZINC000398248062 1118106733 /nfs/dbraw/zinc/10/67/33/1118106733.db2.gz UMCXFBLQIUQBJB-RKDXNWHRSA-N 1 2 267.423 3.628 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@H](C)c2csnn2)s1 ZINC000398250847 1118107525 /nfs/dbraw/zinc/10/75/25/1118107525.db2.gz WGRITYVRAUXPHO-RKDXNWHRSA-N 1 2 267.423 3.574 20 0 CHADLO COc1cccc(C[N@@H+]2CCc3c(F)cc(F)cc3C2)c1 ZINC000340195644 1118108093 /nfs/dbraw/zinc/10/80/93/1118108093.db2.gz VDOXDUCTYPKFIQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc(C[N@H+]2CCc3c(F)cc(F)cc3C2)c1 ZINC000340195644 1118108095 /nfs/dbraw/zinc/10/80/95/1118108095.db2.gz VDOXDUCTYPKFIQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1cc(CNc2ccc(N3CCCC3)[nH+]c2)c(C)s1 ZINC000049685947 1118121637 /nfs/dbraw/zinc/12/16/37/1118121637.db2.gz RHGJBMAQGNPSIE-UHFFFAOYSA-N 1 2 287.432 3.972 20 0 CHADLO Cc1ccc(NCc2ccc([N+](=O)[O-])c(Cl)c2)c(C)[nH+]1 ZINC000188165068 1128760363 /nfs/dbraw/zinc/76/03/63/1128760363.db2.gz XMCNMRZEJHFRBI-UHFFFAOYSA-N 1 2 291.738 3.872 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(O)c(C(F)(F)F)c1 ZINC001209985334 1118127255 /nfs/dbraw/zinc/12/72/55/1118127255.db2.gz XDDQYEDZLBOEAK-UHFFFAOYSA-N 1 2 268.238 3.858 20 0 CHADLO CNc1ccc(Nc2ccc(Cc3ccncc3)cc2)c[nH+]1 ZINC001203456619 1118142445 /nfs/dbraw/zinc/14/24/45/1118142445.db2.gz NELCJCUGVRPHNF-UHFFFAOYSA-N 1 2 290.370 3.853 20 0 CHADLO Cc1cc(Nc2cnc(Cl)c(F)c2)ccc1[NH+](C)C ZINC001210042809 1118142593 /nfs/dbraw/zinc/14/25/93/1118142593.db2.gz MRZKGSRUYYYGOO-UHFFFAOYSA-N 1 2 279.746 3.992 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cc3cn[nH]c3cc2C)c1 ZINC001210100195 1118152314 /nfs/dbraw/zinc/15/23/14/1118152314.db2.gz IUEUKRFYWKVSKC-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO C[C@@H]([NH2+][C@H](CC(F)(F)F)c1ccccc1)c1ncc[nH]1 ZINC000138989736 1118159420 /nfs/dbraw/zinc/15/94/20/1118159420.db2.gz MOVZHXXDQVEOSY-ZYHUDNBSSA-N 1 2 283.297 3.754 20 0 CHADLO CCOc1cccc(C[NH2+]Cc2nc(C(C)(C)C)co2)c1 ZINC000921538973 1118175937 /nfs/dbraw/zinc/17/59/37/1118175937.db2.gz LVJMPPYYPPROHZ-UHFFFAOYSA-N 1 2 288.391 3.661 20 0 CHADLO Cc1cccc(C)c1CNc1cc(N2CCCCC2)nc[nH+]1 ZINC000765601295 1118179695 /nfs/dbraw/zinc/17/96/95/1118179695.db2.gz KVSIHGSIGKNGTP-UHFFFAOYSA-N 1 2 296.418 3.696 20 0 CHADLO Cc1cccc(C)c1CNc1cc(N2CCCCC2)[nH+]cn1 ZINC000765601295 1118179698 /nfs/dbraw/zinc/17/96/98/1118179698.db2.gz KVSIHGSIGKNGTP-UHFFFAOYSA-N 1 2 296.418 3.696 20 0 CHADLO COc1ccc(C(C)C)cc1COc1cc[nH+]cc1 ZINC000655679135 1118182983 /nfs/dbraw/zinc/18/29/83/1118182983.db2.gz ATUZKDAVWMRGOS-UHFFFAOYSA-N 1 2 257.333 3.793 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCn2ccnc21)c1ccccc1Cl ZINC000655679061 1118183339 /nfs/dbraw/zinc/18/33/39/1118183339.db2.gz XVXDAIPOHYEWLJ-BXUZGUMPSA-N 1 2 275.783 3.722 20 0 CHADLO C[C@@H]([NH2+]Cc1cnn(C2CCC2)c1)c1c(F)cccc1F ZINC000921562797 1118200550 /nfs/dbraw/zinc/20/05/50/1118200550.db2.gz IWMLAFIMMFPRQG-LLVKDONJSA-N 1 2 291.345 3.737 20 0 CHADLO C[C@@H]1CC[C@H](Nc2cccc(-n3cc[nH+]c3)c2)CS1 ZINC000655746921 1118201086 /nfs/dbraw/zinc/20/10/86/1118201086.db2.gz IXXKVHLSHCRPFB-OCCSQVGLSA-N 1 2 273.405 3.568 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@H]2CCc3c2cccc3F)n1 ZINC000921613567 1118205707 /nfs/dbraw/zinc/20/57/07/1118205707.db2.gz JQRCQDYXYSBIRO-AWEZNQCLSA-N 1 2 288.366 3.888 20 0 CHADLO Cc1cc(F)nc(Nc2cccc3cc[nH+]cc32)c1 ZINC001210231259 1118214606 /nfs/dbraw/zinc/21/46/06/1118214606.db2.gz KONHHZAMHWPFQQ-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1ccc(-c2cc(Nc3c[nH+]c(C)c(N)c3)on2)cc1 ZINC001210287042 1118232872 /nfs/dbraw/zinc/23/28/72/1118232872.db2.gz KILYVYVARVJGFP-UHFFFAOYSA-N 1 2 280.331 3.679 20 0 CHADLO Oc1cccc(C[N@@H+](C/C(Cl)=C\Cl)CC2CC2)c1 ZINC000255127602 1118258110 /nfs/dbraw/zinc/25/81/10/1118258110.db2.gz OHXQOMULPUWFLX-NTUHNPAUSA-N 1 2 286.202 3.923 20 0 CHADLO Oc1cccc(C[N@H+](C/C(Cl)=C\Cl)CC2CC2)c1 ZINC000255127602 1118258114 /nfs/dbraw/zinc/25/81/14/1118258114.db2.gz OHXQOMULPUWFLX-NTUHNPAUSA-N 1 2 286.202 3.923 20 0 CHADLO Cc1ccc2c(Nc3cnn(C(F)(F)F)c3)cccc2[nH+]1 ZINC001210362152 1118260984 /nfs/dbraw/zinc/26/09/84/1118260984.db2.gz LFRFYFTWWGWNSC-UHFFFAOYSA-N 1 2 292.264 3.960 20 0 CHADLO C[N@H+](Cc1ccn(-c2ccccc2)n1)Cc1ccc(F)cc1 ZINC000052187693 1118264595 /nfs/dbraw/zinc/26/45/95/1118264595.db2.gz WMJGVMYPMDTSGE-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO C[N@@H+](Cc1ccn(-c2ccccc2)n1)Cc1ccc(F)cc1 ZINC000052187693 1118264599 /nfs/dbraw/zinc/26/45/99/1118264599.db2.gz WMJGVMYPMDTSGE-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2COc3cc(F)cc(F)c32)c(C)o1 ZINC000683692204 1118288214 /nfs/dbraw/zinc/28/82/14/1118288214.db2.gz MZRSFFAFULAJPT-XPTSAGLGSA-N 1 2 293.313 3.959 20 0 CHADLO CC1(C[N@@H+]2CCCC[C@@H]2c2noc(C3CC3)n2)CCC1 ZINC000683696729 1118291630 /nfs/dbraw/zinc/29/16/30/1118291630.db2.gz INXSLCZXXJJNAR-CYBMUJFWSA-N 1 2 275.396 3.664 20 0 CHADLO CC1(C[N@H+]2CCCC[C@@H]2c2noc(C3CC3)n2)CCC1 ZINC000683696729 1118291633 /nfs/dbraw/zinc/29/16/33/1118291633.db2.gz INXSLCZXXJJNAR-CYBMUJFWSA-N 1 2 275.396 3.664 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@@H](C)c2ccncc2F)on1 ZINC000340488266 1118295505 /nfs/dbraw/zinc/29/55/05/1118295505.db2.gz OQSYQIKKMADXTQ-NSHDSACASA-N 1 2 291.370 3.963 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1ccco1)C[C@@H]1CCCO1 ZINC000060039526 1118296551 /nfs/dbraw/zinc/29/65/51/1118296551.db2.gz RKHVMAWIJOKDRC-INIZCTEOSA-N 1 2 289.350 3.600 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1ccco1)C[C@@H]1CCCO1 ZINC000060039526 1118296555 /nfs/dbraw/zinc/29/65/55/1118296555.db2.gz RKHVMAWIJOKDRC-INIZCTEOSA-N 1 2 289.350 3.600 20 0 CHADLO CC[C@H]([NH2+]Cc1c(F)cc(F)cc1F)c1ccc(F)cn1 ZINC000340491997 1118302773 /nfs/dbraw/zinc/30/27/73/1118302773.db2.gz VCJCVZFRRJUMQA-AWEZNQCLSA-N 1 2 298.283 3.879 20 0 CHADLO C[C@@H](C[NH2+]Cc1c(F)cccc1Cl)N1CCC(C)CC1 ZINC000062423521 1118310713 /nfs/dbraw/zinc/31/07/13/1118310713.db2.gz BQFYUOWHLSDGCC-ZDUSSCGKSA-N 1 2 298.833 3.689 20 0 CHADLO CC[C@H]1C[N@@H+]([C@H](C)c2ccc(Cl)cc2)CCO1 ZINC000062640449 1118312608 /nfs/dbraw/zinc/31/26/08/1118312608.db2.gz GOUUYONNSITKNL-RISCZKNCSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@H]1C[N@H+]([C@H](C)c2ccc(Cl)cc2)CCO1 ZINC000062640449 1118312612 /nfs/dbraw/zinc/31/26/12/1118312612.db2.gz GOUUYONNSITKNL-RISCZKNCSA-N 1 2 253.773 3.512 20 0 CHADLO CC(C)n1nccc1Nc1cccc2cc[nH+]cc21 ZINC001210521012 1118313085 /nfs/dbraw/zinc/31/30/85/1118313085.db2.gz QWEQHZRSFKPKBT-UHFFFAOYSA-N 1 2 252.321 3.756 20 0 CHADLO Cc1ccc(C[C@@H]2CCCN(c3cccc[nH+]3)C2)cc1 ZINC000065230688 1118331971 /nfs/dbraw/zinc/33/19/71/1118331971.db2.gz XHHVCLFEHVJFEE-KRWDZBQOSA-N 1 2 266.388 3.849 20 0 CHADLO Cc1ccc(CNc2ccc([NH+](C)C)cc2)cc1F ZINC000083430660 1118353305 /nfs/dbraw/zinc/35/33/05/1118353305.db2.gz YTIBXKDJNOPPAS-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO Cc1cn2cc(NC(=O)N[C@@H](C)c3ccccc3)ccc2[nH+]1 ZINC000340596172 1118375053 /nfs/dbraw/zinc/37/50/53/1118375053.db2.gz ZVMYLPZERQGNST-ZDUSSCGKSA-N 1 2 294.358 3.525 20 0 CHADLO COc1cc(Nc2ccccc2SC)cc(C)[nH+]1 ZINC001210780172 1118384604 /nfs/dbraw/zinc/38/46/04/1118384604.db2.gz JQTJJKCVNVTCHQ-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+](Cc2ccno2)C2CC2)o1 ZINC000073871816 1118384633 /nfs/dbraw/zinc/38/46/33/1118384633.db2.gz MMOZEZDGRHJHJD-IAQYHMDHSA-N 1 2 272.348 3.556 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+](Cc2ccno2)C2CC2)o1 ZINC000073871816 1118384638 /nfs/dbraw/zinc/38/46/38/1118384638.db2.gz MMOZEZDGRHJHJD-IAQYHMDHSA-N 1 2 272.348 3.556 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[N@H+](Cc2ccno2)C2CC2)o1 ZINC000073871815 1118384859 /nfs/dbraw/zinc/38/48/59/1118384859.db2.gz MMOZEZDGRHJHJD-NHYWBVRUSA-N 1 2 272.348 3.556 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[N@@H+](Cc2ccno2)C2CC2)o1 ZINC000073871815 1118384864 /nfs/dbraw/zinc/38/48/64/1118384864.db2.gz MMOZEZDGRHJHJD-NHYWBVRUSA-N 1 2 272.348 3.556 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cc2Cl)[C@H]1[C@H]1CCCO1 ZINC000564396937 1118386365 /nfs/dbraw/zinc/38/63/65/1118386365.db2.gz VFQLAYUZKFTCBE-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cc2Cl)[C@H]1[C@H]1CCCO1 ZINC000564396937 1118386369 /nfs/dbraw/zinc/38/63/69/1118386369.db2.gz VFQLAYUZKFTCBE-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CCc1ccc(C[N@H+](C)[C@H](C)c2nc(C(C)C)no2)cc1 ZINC000074071272 1118386442 /nfs/dbraw/zinc/38/64/42/1118386442.db2.gz PUHQCBHPHBBXLT-CYBMUJFWSA-N 1 2 287.407 3.948 20 0 CHADLO CCc1ccc(C[N@@H+](C)[C@H](C)c2nc(C(C)C)no2)cc1 ZINC000074071272 1118386447 /nfs/dbraw/zinc/38/64/47/1118386447.db2.gz PUHQCBHPHBBXLT-CYBMUJFWSA-N 1 2 287.407 3.948 20 0 CHADLO CNc1ccc(Nc2cccc3cc(O)ccc32)c[nH+]1 ZINC001203460855 1118398328 /nfs/dbraw/zinc/39/83/28/1118398328.db2.gz XRHVSSCOLDODIE-UHFFFAOYSA-N 1 2 265.316 3.726 20 0 CHADLO CC(=O)Nc1cc(Nc2ccc(C)c[nH+]2)ccc1Cl ZINC001211014046 1118439927 /nfs/dbraw/zinc/43/99/27/1118439927.db2.gz SZDZGACUEJSNOT-UHFFFAOYSA-N 1 2 275.739 3.745 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)[C@H]1CCc2cc(Cl)ccc21 ZINC000782182524 1118444532 /nfs/dbraw/zinc/44/45/32/1118444532.db2.gz CPMOVHLSRNVOTP-AWEZNQCLSA-N 1 2 295.810 3.601 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)[C@H]1CCc2cc(Cl)ccc21 ZINC000782182524 1118444533 /nfs/dbraw/zinc/44/45/33/1118444533.db2.gz CPMOVHLSRNVOTP-AWEZNQCLSA-N 1 2 295.810 3.601 20 0 CHADLO COCc1ccc(NC(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC001670648600 1118449558 /nfs/dbraw/zinc/44/95/58/1118449558.db2.gz MKJROWQELGAVCB-UHFFFAOYSA-N 1 2 299.374 3.797 20 0 CHADLO C=C(Cl)C[N@H+]1CCC[C@@H]1c1ccc(OC)cc1OC ZINC000050274321 1118471952 /nfs/dbraw/zinc/47/19/52/1118471952.db2.gz INMGNQOJENIYKK-CQSZACIVSA-N 1 2 281.783 3.593 20 0 CHADLO C=C(Cl)C[N@@H+]1CCC[C@@H]1c1ccc(OC)cc1OC ZINC000050274321 1118471954 /nfs/dbraw/zinc/47/19/54/1118471954.db2.gz INMGNQOJENIYKK-CQSZACIVSA-N 1 2 281.783 3.593 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC001125457379 1118479284 /nfs/dbraw/zinc/47/92/84/1118479284.db2.gz ULSRIMIABNFLRN-XHDPSFHLSA-N 1 2 274.408 3.563 20 0 CHADLO CC[C@H]1CC[C@@H](C)[N@@H+]1Cc1ncc(Br)s1 ZINC000683996389 1118482644 /nfs/dbraw/zinc/48/26/44/1118482644.db2.gz SODRTORWWMQTNK-BDAKNGLRSA-N 1 2 289.242 3.669 20 0 CHADLO CC[C@H]1CC[C@@H](C)[N@H+]1Cc1ncc(Br)s1 ZINC000683996389 1118482648 /nfs/dbraw/zinc/48/26/48/1118482648.db2.gz SODRTORWWMQTNK-BDAKNGLRSA-N 1 2 289.242 3.669 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCCc3ccc(O)cc32)cc1 ZINC000234251385 1118486148 /nfs/dbraw/zinc/48/61/48/1118486148.db2.gz OCJQBDIEWCFYGX-GOSISDBHSA-N 1 2 282.387 3.948 20 0 CHADLO c1c2ccccc2[nH]c1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC000684020696 1118489659 /nfs/dbraw/zinc/48/96/59/1118489659.db2.gz HUQFVGLTEGKQHN-LJQANCHMSA-N 1 2 292.382 3.741 20 0 CHADLO c1c2ccccc2[nH]c1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC000684020696 1118489660 /nfs/dbraw/zinc/48/96/60/1118489660.db2.gz HUQFVGLTEGKQHN-LJQANCHMSA-N 1 2 292.382 3.741 20 0 CHADLO Cc1cc(C)c(Nc2ccc(Cl)c(CO)c2)c[nH+]1 ZINC001213518208 1118515074 /nfs/dbraw/zinc/51/50/74/1118515074.db2.gz AWZGZWGWZYAZOY-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1cc(C)c(-n2ccc3c2cc(Cl)cc3N)c[nH+]1 ZINC001213518301 1118516858 /nfs/dbraw/zinc/51/68/58/1118516858.db2.gz GWHDKFPWZOJKII-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)[C@H](O)CCC3)c[nH+]1 ZINC001213518376 1118517183 /nfs/dbraw/zinc/51/71/83/1118517183.db2.gz KTCIKBQGXZMZEP-QGZVFWFLSA-N 1 2 268.360 3.812 20 0 CHADLO Cc1cc(N2CC[C@H]2c2ccccc2)nc(C(C)C)[nH+]1 ZINC000471628423 1118521753 /nfs/dbraw/zinc/52/17/53/1118521753.db2.gz MJFWLDAYTQEXTF-HNNXBMFYSA-N 1 2 267.376 3.860 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnn2-c2ccc(F)cc2)c1C ZINC001213525384 1118529016 /nfs/dbraw/zinc/52/90/16/1118529016.db2.gz UAFCOQFFLINWDL-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO COC(=O)c1csc(C)c1Nc1c[nH+]cc(C)c1C ZINC001213527553 1118534888 /nfs/dbraw/zinc/53/48/88/1118534888.db2.gz SLDPOLFZLDBDHZ-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3c2C(=O)CC3)c1C ZINC001213532592 1118550292 /nfs/dbraw/zinc/55/02/92/1118550292.db2.gz PXDDXCGOQXVUPD-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)N(C)C(=O)C3(C)C)c1C ZINC001213534106 1118558336 /nfs/dbraw/zinc/55/83/36/1118558336.db2.gz MTQWPGOTOMBVCK-UHFFFAOYSA-N 1 2 295.386 3.696 20 0 CHADLO Fc1cc(C(F)(F)F)c(F)cc1CNc1cccc[nH+]1 ZINC000340969505 1118563289 /nfs/dbraw/zinc/56/32/89/1118563289.db2.gz NDVIVAMEVODFSD-UHFFFAOYSA-N 1 2 288.219 3.991 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+]Cc1ccc2c(n1)CCC2 ZINC000656493768 1118565206 /nfs/dbraw/zinc/56/52/06/1118565206.db2.gz OZPUODDIJBJDGS-UHFFFAOYSA-N 1 2 290.769 3.653 20 0 CHADLO Fc1cc(Br)ccc1C[N@@H+]1CCC[C@@H]2C[C@@H]21 ZINC001204556182 1118571073 /nfs/dbraw/zinc/57/10/73/1118571073.db2.gz TZYQLGIBKMZABR-RNCFNFMXSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1cc(Br)ccc1C[N@H+]1CCC[C@@H]2C[C@@H]21 ZINC001204556182 1118571075 /nfs/dbraw/zinc/57/10/75/1118571075.db2.gz TZYQLGIBKMZABR-RNCFNFMXSA-N 1 2 284.172 3.573 20 0 CHADLO CC(C)c1ccccc1C[N@@H+]1CCO[C@@H](C(F)(F)F)C1 ZINC001167457861 1118575401 /nfs/dbraw/zinc/57/54/01/1118575401.db2.gz MGJDWQFAXPFWAR-CQSZACIVSA-N 1 2 287.325 3.573 20 0 CHADLO CC(C)c1ccccc1C[N@H+]1CCO[C@@H](C(F)(F)F)C1 ZINC001167457861 1118575402 /nfs/dbraw/zinc/57/54/02/1118575402.db2.gz MGJDWQFAXPFWAR-CQSZACIVSA-N 1 2 287.325 3.573 20 0 CHADLO FC1(F)CC[N@H+](C[C@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001207178064 1118586456 /nfs/dbraw/zinc/58/64/56/1118586456.db2.gz UADLGULYULZPJP-YPMHNXCESA-N 1 2 271.738 3.785 20 0 CHADLO FC1(F)CC[N@@H+](C[C@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001207178064 1118586460 /nfs/dbraw/zinc/58/64/60/1118586460.db2.gz UADLGULYULZPJP-YPMHNXCESA-N 1 2 271.738 3.785 20 0 CHADLO CCCCOc1cccc(NCc2[nH+]cc(C)n2C)c1 ZINC000341044035 1118593050 /nfs/dbraw/zinc/59/30/50/1118593050.db2.gz JUMOJFHKCGZMGJ-UHFFFAOYSA-N 1 2 273.380 3.520 20 0 CHADLO Cc1cnc(C[NH2+]C(c2ccccc2)c2ccccc2)n1C ZINC000341076419 1118600085 /nfs/dbraw/zinc/60/00/85/1118600085.db2.gz JIOAYJWRVNCHAB-UHFFFAOYSA-N 1 2 291.398 3.608 20 0 CHADLO Cc1c[nH+]c(CNC(c2ccccc2)c2ccccc2)n1C ZINC000341076419 1118600087 /nfs/dbraw/zinc/60/00/87/1118600087.db2.gz JIOAYJWRVNCHAB-UHFFFAOYSA-N 1 2 291.398 3.608 20 0 CHADLO Cc1c[nH+]c(CN[C@@H](C)c2ccc(Cl)cc2Cl)n1C ZINC000341083426 1118600576 /nfs/dbraw/zinc/60/05/76/1118600576.db2.gz WHZAYVGOLFJADS-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(Cl)cc2Cl)n1C ZINC000341083426 1118600580 /nfs/dbraw/zinc/60/05/80/1118600580.db2.gz WHZAYVGOLFJADS-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(-c3csc(C)n3)c2)n1C ZINC000341156632 1118608238 /nfs/dbraw/zinc/60/82/38/1118608238.db2.gz VPIBMLOQOOXRTH-UHFFFAOYSA-N 1 2 298.415 3.773 20 0 CHADLO CC[C@H]1CCCC[C@H]1c1noc(C[N@H+](CC)C2CC2)n1 ZINC000341168257 1118610113 /nfs/dbraw/zinc/61/01/13/1118610113.db2.gz FSQLDHRBTHLABM-GXTWGEPZSA-N 1 2 277.412 3.738 20 0 CHADLO CC[C@H]1CCCC[C@H]1c1noc(C[N@@H+](CC)C2CC2)n1 ZINC000341168257 1118610115 /nfs/dbraw/zinc/61/01/15/1118610115.db2.gz FSQLDHRBTHLABM-GXTWGEPZSA-N 1 2 277.412 3.738 20 0 CHADLO CSc1cccc(Nc2cccc3[nH+]ccn32)c1F ZINC001215867085 1118613516 /nfs/dbraw/zinc/61/35/16/1118613516.db2.gz QUXMGLZOHREFKZ-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CSc1cc(F)cc(-n2c(C)[nH+]c3ccc(N)cc32)c1 ZINC001215906563 1118623862 /nfs/dbraw/zinc/62/38/62/1118623862.db2.gz PNAPZKGBSFOJEP-UHFFFAOYSA-N 1 2 287.363 3.777 20 0 CHADLO CC[C@H]1C[C@@H](C[NH2+]c2ccc(-n3ccc(C)n3)cc2)CCO1 ZINC000341224572 1118628339 /nfs/dbraw/zinc/62/83/39/1118628339.db2.gz ADSIGWHCFGPKPX-YJBOKZPZSA-N 1 2 299.418 3.798 20 0 CHADLO FC(F)(F)CC1C[NH+](Cc2ccc(Cl)s2)C1 ZINC000656686424 1118635800 /nfs/dbraw/zinc/63/58/00/1118635800.db2.gz KXDWKEMVOHQZJT-UHFFFAOYSA-N 1 2 269.719 3.786 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1ncc(-c3ccccc3)s1)C2 ZINC000656695238 1118638346 /nfs/dbraw/zinc/63/83/46/1118638346.db2.gz BJTQXXBVWOZJOH-UHFFFAOYSA-N 1 2 288.391 3.744 20 0 CHADLO CCOc1c(Cl)cccc1C[NH+]1CC2(CC(F)C2)C1 ZINC000656695934 1118640034 /nfs/dbraw/zinc/64/00/34/1118640034.db2.gz YPXXGNFOLDIWAV-UHFFFAOYSA-N 1 2 283.774 3.673 20 0 CHADLO CC(C)c1cc(N(C)Cc2ccoc2)nc(C(C)C)[nH+]1 ZINC000341288046 1118657641 /nfs/dbraw/zinc/65/76/41/1118657641.db2.gz RPMCUYWXJQPOFN-UHFFFAOYSA-N 1 2 273.380 3.953 20 0 CHADLO CO[C@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)C12CCC2 ZINC000308388725 1118659720 /nfs/dbraw/zinc/65/97/20/1118659720.db2.gz HJHHKRRBGSFQPG-SJORKVTESA-N 1 2 286.419 3.656 20 0 CHADLO COc1cccc(C[NH+]2CC(C)(CC(F)(F)F)C2)c1F ZINC000656829191 1118664576 /nfs/dbraw/zinc/66/45/76/1118664576.db2.gz IBZUSWGNJYALPB-UHFFFAOYSA-N 1 2 291.288 3.609 20 0 CHADLO C[C@@]1([NH2+]Cc2ncccc2Cl)CCOc2ccccc21 ZINC000933370950 1118671263 /nfs/dbraw/zinc/67/12/63/1118671263.db2.gz RDOYSTYVWXIEMA-MRXNPFEDSA-N 1 2 288.778 3.523 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@H+](C)Cc1cccc(=O)[nH]1 ZINC000933400356 1118686911 /nfs/dbraw/zinc/68/69/11/1118686911.db2.gz PLGBVWSAHAKURP-JTQLQIEISA-N 1 2 294.757 3.773 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC000933400356 1118686913 /nfs/dbraw/zinc/68/69/13/1118686913.db2.gz PLGBVWSAHAKURP-JTQLQIEISA-N 1 2 294.757 3.773 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(-c3nc(C)c(C)o3)c2)n1C ZINC000341397438 1118689335 /nfs/dbraw/zinc/68/93/35/1118689335.db2.gz QYLBPZJIASMPAP-UHFFFAOYSA-N 1 2 296.374 3.612 20 0 CHADLO C[N@@H+](Cc1cnn(C2CCC2)c1)Cc1ccc(Cl)s1 ZINC000891497115 1118695896 /nfs/dbraw/zinc/69/58/96/1118695896.db2.gz BRQXMQWVUJZMHB-UHFFFAOYSA-N 1 2 295.839 3.955 20 0 CHADLO C[N@H+](Cc1cnn(C2CCC2)c1)Cc1ccc(Cl)s1 ZINC000891497115 1118695898 /nfs/dbraw/zinc/69/58/98/1118695898.db2.gz BRQXMQWVUJZMHB-UHFFFAOYSA-N 1 2 295.839 3.955 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(-c3ccccc3)no2)s1 ZINC000127298593 1118697605 /nfs/dbraw/zinc/69/76/05/1118697605.db2.gz PQHIYZKYINDQKK-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(-c3ccccc3)no2)s1 ZINC000127298593 1118697613 /nfs/dbraw/zinc/69/76/13/1118697613.db2.gz PQHIYZKYINDQKK-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CCn1c(C(=O)Nc2cc[nH+]c(C)c2)cc2ccccc21 ZINC000080266142 1118697739 /nfs/dbraw/zinc/69/77/39/1118697739.db2.gz WFCSHUUWVYDHHL-UHFFFAOYSA-N 1 2 279.343 3.617 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)s1 ZINC001138523722 1128794588 /nfs/dbraw/zinc/79/45/88/1128794588.db2.gz NAMLMTNPTDGNOT-LBPRGKRZSA-N 1 2 277.355 3.880 20 0 CHADLO CCCc1ccc(C[N@H+]2CCC(F)(F)[C@@H](F)C2)s1 ZINC001138523722 1128794590 /nfs/dbraw/zinc/79/45/90/1128794590.db2.gz NAMLMTNPTDGNOT-LBPRGKRZSA-N 1 2 277.355 3.880 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000341466632 1118713950 /nfs/dbraw/zinc/71/39/50/1118713950.db2.gz TUUJXKLLWIDCTP-CYBMUJFWSA-N 1 2 267.376 3.566 20 0 CHADLO Cc1cc(N2CCC[C@@H]2CC(C)C)nc(C2CC2)[nH+]1 ZINC000341486727 1118716180 /nfs/dbraw/zinc/71/61/80/1118716180.db2.gz QEFPHYARFODEFG-CQSZACIVSA-N 1 2 259.397 3.677 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2cnc(C)s2)cs1 ZINC000090409277 1118728298 /nfs/dbraw/zinc/72/82/98/1118728298.db2.gz KPUIGMRHAMSVCQ-RKDXNWHRSA-N 1 2 281.450 3.882 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(CC(C)C)nc2)no1 ZINC000891648706 1118730042 /nfs/dbraw/zinc/73/00/42/1118730042.db2.gz SJXOEVJJOSOQSB-SFHVURJKSA-N 1 2 299.418 3.914 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(CC(C)C)nc2)no1 ZINC000891648706 1118730045 /nfs/dbraw/zinc/73/00/45/1118730045.db2.gz SJXOEVJJOSOQSB-SFHVURJKSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+][C@@H](C)c2cnc(C)s2)o1 ZINC000090417990 1118733744 /nfs/dbraw/zinc/73/37/44/1118733744.db2.gz BRPKLCPBLZJQPM-GXFFZTMASA-N 1 2 294.420 3.645 20 0 CHADLO CCN(Cc1[nH]c(C)c(C)[nH+]1)Cc1ccccc1Cl ZINC000628135444 1118741159 /nfs/dbraw/zinc/74/11/59/1118741159.db2.gz WMIXINSWTPOCTM-UHFFFAOYSA-N 1 2 277.799 3.702 20 0 CHADLO Cc1cc(N[C@H]2CCCc3sccc32)nc(C2CC2)[nH+]1 ZINC000341585614 1118747777 /nfs/dbraw/zinc/74/77/77/1118747777.db2.gz NWQKIBKSVPIXRA-ZDUSSCGKSA-N 1 2 285.416 3.635 20 0 CHADLO Oc1cccc(SCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000130990128 1118758663 /nfs/dbraw/zinc/75/86/63/1118758663.db2.gz QPNCIKDJVWMAIG-UHFFFAOYSA-N 1 2 282.368 3.870 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@@H+]1Cc1nccn1C1CC1 ZINC000891918179 1118847884 /nfs/dbraw/zinc/84/78/84/1118847884.db2.gz VYWNLDVSANUNNP-MRXNPFEDSA-N 1 2 297.402 3.564 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@H+]1Cc1nccn1C1CC1 ZINC000891918179 1118847889 /nfs/dbraw/zinc/84/78/89/1118847889.db2.gz VYWNLDVSANUNNP-MRXNPFEDSA-N 1 2 297.402 3.564 20 0 CHADLO FC(F)(F)C1(C(F)(F)F)CC[N@H+](Cc2ccoc2)C1 ZINC000093532601 1118865042 /nfs/dbraw/zinc/86/50/42/1118865042.db2.gz YXNLVMYMYFNBFZ-UHFFFAOYSA-N 1 2 287.203 3.596 20 0 CHADLO FC(F)(F)C1(C(F)(F)F)CC[N@@H+](Cc2ccoc2)C1 ZINC000093532601 1118865046 /nfs/dbraw/zinc/86/50/46/1118865046.db2.gz YXNLVMYMYFNBFZ-UHFFFAOYSA-N 1 2 287.203 3.596 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cc(F)nc(F)c3)ccc12 ZINC001213082751 1118866139 /nfs/dbraw/zinc/86/61/39/1118866139.db2.gz RUAHCTWDILAPIK-UHFFFAOYSA-N 1 2 271.270 3.960 20 0 CHADLO FC(F)(F)c1cccc(C[N@@H+]2CCOCC23CCCC3)c1 ZINC000535682503 1118875564 /nfs/dbraw/zinc/87/55/64/1118875564.db2.gz YJDSUWCTRJDZJL-UHFFFAOYSA-N 1 2 299.336 3.850 20 0 CHADLO FC(F)(F)c1cccc(C[N@H+]2CCOCC23CCCC3)c1 ZINC000535682503 1118875571 /nfs/dbraw/zinc/87/55/71/1118875571.db2.gz YJDSUWCTRJDZJL-UHFFFAOYSA-N 1 2 299.336 3.850 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+]1CCOCC12CCCC2 ZINC000535678342 1118875959 /nfs/dbraw/zinc/87/59/59/1118875959.db2.gz APDCGBHEOCYGIV-UHFFFAOYSA-N 1 2 299.336 3.850 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+]1CCOCC12CCCC2 ZINC000535678342 1118875965 /nfs/dbraw/zinc/87/59/65/1118875965.db2.gz APDCGBHEOCYGIV-UHFFFAOYSA-N 1 2 299.336 3.850 20 0 CHADLO Cc1cc(C)cc([C@@H](C)NC(=O)Nc2cc(C)[nH+]cc2C)c1 ZINC000535774575 1118883359 /nfs/dbraw/zinc/88/33/59/1118883359.db2.gz RTUPKKNAODJIPN-OAHLLOKOSA-N 1 2 297.402 3.620 20 0 CHADLO CCn1ccnc1C[NH2+]Cc1c(Cl)oc2ccccc21 ZINC000395517648 1118913878 /nfs/dbraw/zinc/91/38/78/1118913878.db2.gz UGDPBKJLEJITEL-UHFFFAOYSA-N 1 2 289.766 3.592 20 0 CHADLO COc1ccccc1[C@H]1CC[C@H](C)C[N@@H+]1Cc1cn[nH]c1C ZINC000348716671 1118938332 /nfs/dbraw/zinc/93/83/32/1118938332.db2.gz SPFITEQSMLUOKA-SUMWQHHRSA-N 1 2 299.418 3.700 20 0 CHADLO COc1ccccc1[C@H]1CC[C@H](C)C[N@H+]1Cc1cn[nH]c1C ZINC000348716671 1118938333 /nfs/dbraw/zinc/93/83/33/1118938333.db2.gz SPFITEQSMLUOKA-SUMWQHHRSA-N 1 2 299.418 3.700 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2ccc(F)cc21)c1ncco1 ZINC000926336445 1118940257 /nfs/dbraw/zinc/94/02/57/1118940257.db2.gz YSLBOLGKNOMOBM-QMTHXVAHSA-N 1 2 260.312 3.542 20 0 CHADLO CC(C)([NH2+]Cc1ncc(Cl)s1)c1cccc(F)c1 ZINC000472074927 1118958968 /nfs/dbraw/zinc/95/89/68/1118958968.db2.gz SZSGBMFRVLBRTF-UHFFFAOYSA-N 1 2 284.787 3.961 20 0 CHADLO CCN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CCCCC1 ZINC000342331926 1118979881 /nfs/dbraw/zinc/97/98/81/1118979881.db2.gz XKHIFBIHEUSPGI-UHFFFAOYSA-N 1 2 297.402 3.667 20 0 CHADLO CC1(C)C[NH+](Cc2nccn2C(F)F)CC(C)(C)C1 ZINC000342331558 1118980079 /nfs/dbraw/zinc/98/00/79/1118980079.db2.gz APBGAMFKZQBBIX-UHFFFAOYSA-N 1 2 271.355 3.536 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+]2Cc2ccnn2C2CCC2)c1 ZINC000892132898 1118981993 /nfs/dbraw/zinc/98/19/93/1118981993.db2.gz AYVDBYHINGFIOG-QGZVFWFLSA-N 1 2 285.366 3.694 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+]2Cc2ccnn2C2CCC2)c1 ZINC000892132898 1118981998 /nfs/dbraw/zinc/98/19/98/1118981998.db2.gz AYVDBYHINGFIOG-QGZVFWFLSA-N 1 2 285.366 3.694 20 0 CHADLO C[C@@H](Cc1ccsc1)[NH2+]c1ccc2c(c1)CCN2 ZINC000582056606 1118996909 /nfs/dbraw/zinc/99/69/09/1118996909.db2.gz HFXMZSYDDCOFFB-NSHDSACASA-N 1 2 258.390 3.759 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2CCC[C@H](C)[C@H]2C)[nH+]1 ZINC000348728919 1119005330 /nfs/dbraw/zinc/00/53/30/1119005330.db2.gz OIWSYRPWDYNJPK-OPQQBVKSSA-N 1 2 258.369 3.503 20 0 CHADLO C[C@H]1Oc2ccccc2[C@@H]1[NH2+]Cc1csc(C2CC2)n1 ZINC000342413045 1119010720 /nfs/dbraw/zinc/01/07/20/1119010720.db2.gz FNYSBSXSGCFJMU-MEBBXXQBSA-N 1 2 286.400 3.632 20 0 CHADLO CCc1ccc(C[NH2+][C@H]2COc3cccc(Cl)c32)cn1 ZINC000342420186 1119015414 /nfs/dbraw/zinc/01/54/14/1119015414.db2.gz QOFRDCCCHDEALZ-AWEZNQCLSA-N 1 2 288.778 3.521 20 0 CHADLO c1ccc(N2CCC[C@@H](c3nc4c(s3)CCCC4)C2)[nH+]c1 ZINC000152310881 1119034771 /nfs/dbraw/zinc/03/47/71/1119034771.db2.gz APIKRWLFMMTNEO-CYBMUJFWSA-N 1 2 299.443 3.801 20 0 CHADLO CC(C)n1ccnc1C[NH2+]Cc1ccccc1OCC1CC1 ZINC000657370569 1119036829 /nfs/dbraw/zinc/03/68/29/1119036829.db2.gz CJTLTTFEJOFJOF-UHFFFAOYSA-N 1 2 299.418 3.543 20 0 CHADLO CCCC[N@H+](Cc1nc(C)c[nH]1)[C@H](C)c1ccc(C)o1 ZINC000628143080 1128817681 /nfs/dbraw/zinc/81/76/81/1128817681.db2.gz AXTRZGKWKSJKSK-CQSZACIVSA-N 1 2 275.396 3.983 20 0 CHADLO CCCC[N@@H+](Cc1nc(C)c[nH]1)[C@H](C)c1ccc(C)o1 ZINC000628143080 1128817688 /nfs/dbraw/zinc/81/76/88/1128817688.db2.gz AXTRZGKWKSJKSK-CQSZACIVSA-N 1 2 275.396 3.983 20 0 CHADLO CC(C)CC[C@@H](NC(=O)C[C@H](C)n1cc[nH+]c1)C(C)(C)C ZINC000582424155 1119078012 /nfs/dbraw/zinc/07/80/12/1119078012.db2.gz XMCBRTVRCDPYGO-LSDHHAIUSA-N 1 2 293.455 3.801 20 0 CHADLO CC(C)CC[C@H](NC(=O)C[C@H](C)n1cc[nH+]c1)C(C)(C)C ZINC000582424153 1119078543 /nfs/dbraw/zinc/07/85/43/1119078543.db2.gz XMCBRTVRCDPYGO-GJZGRUSLSA-N 1 2 293.455 3.801 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2ncc(C3CC3)o2)c1 ZINC000342554879 1119081832 /nfs/dbraw/zinc/08/18/32/1119081832.db2.gz SMZSGHQKEDMMPQ-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2ncc(C3CC3)o2)c1 ZINC000342554879 1119081835 /nfs/dbraw/zinc/08/18/35/1119081835.db2.gz SMZSGHQKEDMMPQ-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@H](c2ccc(Cl)cc2F)C(C)C)n1 ZINC000657431994 1119084372 /nfs/dbraw/zinc/08/43/72/1119084372.db2.gz MCRVHTSBXPAEKR-HNNXBMFYSA-N 1 2 295.789 3.998 20 0 CHADLO Cc1ccc(OCC(=O)Nc2c(C)cc(C)[nH+]c2C)c(C)c1 ZINC000097040210 1119098338 /nfs/dbraw/zinc/09/83/38/1119098338.db2.gz ISYLOFLQTKOYRL-UHFFFAOYSA-N 1 2 298.386 3.641 20 0 CHADLO CCOc1ccc(C[NH2+]C(C)(C)C(F)F)c(C)c1 ZINC000657452847 1119106873 /nfs/dbraw/zinc/10/68/73/1119106873.db2.gz KWOOGNRZUKDUSN-UHFFFAOYSA-N 1 2 257.324 3.527 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+]2Cc2cnc(C3CC3)o2)c1 ZINC000628185467 1128823618 /nfs/dbraw/zinc/82/36/18/1128823618.db2.gz AJWBBLKFHIVCDH-OAHLLOKOSA-N 1 2 272.323 3.638 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+]2Cc2cnc(C3CC3)o2)c1 ZINC000628185467 1128823625 /nfs/dbraw/zinc/82/36/25/1128823625.db2.gz AJWBBLKFHIVCDH-OAHLLOKOSA-N 1 2 272.323 3.638 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@H](c3ccccc3)[C@@H](CC)C2)no1 ZINC000472235346 1119133766 /nfs/dbraw/zinc/13/37/66/1119133766.db2.gz YZEGNXHTQPACRN-HOCLYGCPSA-N 1 2 299.418 3.648 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@H](c3ccccc3)[C@@H](CC)C2)no1 ZINC000472235346 1119133767 /nfs/dbraw/zinc/13/37/67/1119133767.db2.gz YZEGNXHTQPACRN-HOCLYGCPSA-N 1 2 299.418 3.648 20 0 CHADLO c1cn(C2CCCC2)nc1C[NH2+][C@@H]1CCCc2cccnc21 ZINC000684960321 1119143371 /nfs/dbraw/zinc/14/33/71/1119143371.db2.gz XPKVAIHNDLOONG-QGZVFWFLSA-N 1 2 296.418 3.560 20 0 CHADLO Cc1nc(C[N@H+](C)CCCC(=O)c2ccccc2)cs1 ZINC000050142903 1119146909 /nfs/dbraw/zinc/14/69/09/1119146909.db2.gz WHBVCNKPUCGKPV-UHFFFAOYSA-N 1 2 288.416 3.546 20 0 CHADLO Cc1nc(C[N@@H+](C)CCCC(=O)c2ccccc2)cs1 ZINC000050142903 1119146912 /nfs/dbraw/zinc/14/69/12/1119146912.db2.gz WHBVCNKPUCGKPV-UHFFFAOYSA-N 1 2 288.416 3.546 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@H+]2Cc2nc(C3CC3)cs2)c1 ZINC000342758040 1119156256 /nfs/dbraw/zinc/15/62/56/1119156256.db2.gz XISIAEKMGDSBJH-CQSZACIVSA-N 1 2 273.405 3.686 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@@H+]2Cc2nc(C3CC3)cs2)c1 ZINC000342758040 1119156258 /nfs/dbraw/zinc/15/62/58/1119156258.db2.gz XISIAEKMGDSBJH-CQSZACIVSA-N 1 2 273.405 3.686 20 0 CHADLO C[N@H+](Cc1cscn1)[C@H]1CCc2ccc(Cl)cc21 ZINC000342766849 1119158618 /nfs/dbraw/zinc/15/86/18/1119158618.db2.gz XZKZRFVWMNBWMV-AWEZNQCLSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1cscn1)[C@H]1CCc2ccc(Cl)cc21 ZINC000342766849 1119158619 /nfs/dbraw/zinc/15/86/19/1119158619.db2.gz XZKZRFVWMNBWMV-AWEZNQCLSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2ncc(C)n2C)o1 ZINC000342795613 1119173234 /nfs/dbraw/zinc/17/32/34/1119173234.db2.gz XTVFGXZLNIMAOL-WFASDCNBSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2ncc(C)n2C)o1 ZINC000342795613 1119173236 /nfs/dbraw/zinc/17/32/36/1119173236.db2.gz XTVFGXZLNIMAOL-WFASDCNBSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CCN2Cc2[nH+]cc(C)n2C)o1 ZINC000342795613 1119173238 /nfs/dbraw/zinc/17/32/38/1119173238.db2.gz XTVFGXZLNIMAOL-WFASDCNBSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)[C@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000628138671 1119182595 /nfs/dbraw/zinc/18/25/95/1119182595.db2.gz MUNBUHNERQRWQT-LLVKDONJSA-N 1 2 297.324 3.930 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)[C@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000628138671 1119182598 /nfs/dbraw/zinc/18/25/98/1119182598.db2.gz MUNBUHNERQRWQT-LLVKDONJSA-N 1 2 297.324 3.930 20 0 CHADLO CCCCC[C@H](NC(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC000076243031 1119185451 /nfs/dbraw/zinc/18/54/51/1119185451.db2.gz TYRULKGPKKNANN-KRWDZBQOSA-N 1 2 299.418 3.711 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(F)c(F)c2)on1 ZINC000076711846 1119189592 /nfs/dbraw/zinc/18/95/92/1119189592.db2.gz ABEGLYFMZQTIJC-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(F)c(F)c2)on1 ZINC000076711846 1119189594 /nfs/dbraw/zinc/18/95/94/1119189594.db2.gz ABEGLYFMZQTIJC-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)[N@@H+]1CCC(F)(F)F ZINC000077286219 1119191645 /nfs/dbraw/zinc/19/16/45/1119191645.db2.gz CDDICCCRWPYWPE-MBNYWOFBSA-N 1 2 287.325 3.789 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)[N@H+]1CCC(F)(F)F ZINC000077286219 1119191647 /nfs/dbraw/zinc/19/16/47/1119191647.db2.gz CDDICCCRWPYWPE-MBNYWOFBSA-N 1 2 287.325 3.789 20 0 CHADLO c1cn(-c2cccc(Oc3cnc4ccccc4n3)c2)c[nH+]1 ZINC000077982032 1119196747 /nfs/dbraw/zinc/19/67/47/1119196747.db2.gz SWXQHZRVFXOTKT-UHFFFAOYSA-N 1 2 288.310 3.608 20 0 CHADLO Clc1ncccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213085524 1119199530 /nfs/dbraw/zinc/19/95/30/1119199530.db2.gz JVPJHYWVXFSYPW-UHFFFAOYSA-N 1 2 270.723 3.869 20 0 CHADLO COc1cccc(OC)c1C[NH2+]Cc1cscc1Cl ZINC000657587569 1119201140 /nfs/dbraw/zinc/20/11/40/1119201140.db2.gz YBQOGZUUQCCVCL-UHFFFAOYSA-N 1 2 297.807 3.709 20 0 CHADLO Cc1ccc(-c2cc(COc3cc[nH+]cc3)on2)cc1 ZINC000431486603 1119205208 /nfs/dbraw/zinc/20/52/08/1119205208.db2.gz RHMOHBYQXNWPPQ-UHFFFAOYSA-N 1 2 266.300 3.624 20 0 CHADLO Cc1csc([C@H](C)Nc2cc(C)[nH+]c(C3CCC3)n2)n1 ZINC000892302542 1119209982 /nfs/dbraw/zinc/20/99/82/1119209982.db2.gz IXCXRJLZWBJVLS-NSHDSACASA-N 1 2 288.420 3.991 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2cnc(C3CC3)o2)sc1C ZINC000628217409 1128829948 /nfs/dbraw/zinc/82/99/48/1128829948.db2.gz VRPSDKLKJLVNFO-UHFFFAOYSA-N 1 2 291.420 3.650 20 0 CHADLO Clc1ccc(C[NH2+]Cc2cn3cc(Cl)ccc3n2)o1 ZINC000078728954 1119249081 /nfs/dbraw/zinc/24/90/81/1119249081.db2.gz LMCHZNQPUPPQJQ-UHFFFAOYSA-N 1 2 296.157 3.524 20 0 CHADLO Cn1nc(C(C)(C)C)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086141 1119253852 /nfs/dbraw/zinc/25/38/52/1119253852.db2.gz HSANFPWTWRWUIL-UHFFFAOYSA-N 1 2 295.390 3.851 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2c(C)cccc2C)o1 ZINC000342935962 1119255370 /nfs/dbraw/zinc/25/53/70/1119255370.db2.gz CORJXUWQPGXXDN-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2c(C)cccc2C)o1 ZINC000342935962 1119255372 /nfs/dbraw/zinc/25/53/72/1119255372.db2.gz CORJXUWQPGXXDN-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@H](C)c2cncs2)on1 ZINC000121155646 1119256892 /nfs/dbraw/zinc/25/68/92/1119256892.db2.gz HAHPFTXJPJQCQT-SNVBAGLBSA-N 1 2 279.409 3.886 20 0 CHADLO c1sc(COc2cc[nH+]cc2)nc1-c1ccccc1 ZINC000431515188 1119274833 /nfs/dbraw/zinc/27/48/33/1119274833.db2.gz XQCRFSUOWSODPZ-UHFFFAOYSA-N 1 2 268.341 3.784 20 0 CHADLO CC(C)Oc1cc(C[N@H+](C/C=C\Cl)C2CC2)ccn1 ZINC000255563316 1119275241 /nfs/dbraw/zinc/27/52/41/1119275241.db2.gz DHCSLKAYNKMZMP-CLTKARDFSA-N 1 2 280.799 3.586 20 0 CHADLO CC(C)Oc1cc(C[N@@H+](C/C=C\Cl)C2CC2)ccn1 ZINC000255563316 1119275242 /nfs/dbraw/zinc/27/52/42/1119275242.db2.gz DHCSLKAYNKMZMP-CLTKARDFSA-N 1 2 280.799 3.586 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](C)c2cccc3ccccc32)n1 ZINC000079287783 1119276769 /nfs/dbraw/zinc/27/67/69/1119276769.db2.gz ZNGRESWOCDBVKC-NEPJUHHUSA-N 1 2 281.359 3.943 20 0 CHADLO Nc1cc2ccccc2n1-c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086790 1119284208 /nfs/dbraw/zinc/28/42/08/1119284208.db2.gz AHZNWIDEMIEJBW-UHFFFAOYSA-N 1 2 274.327 3.603 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)cn1 ZINC000153997823 1119298653 /nfs/dbraw/zinc/29/86/53/1119298653.db2.gz RRHMDIZRKLOIRO-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccc(Cl)cc3C2)cn1 ZINC000153997823 1119298658 /nfs/dbraw/zinc/29/86/58/1119298658.db2.gz RRHMDIZRKLOIRO-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)CC3CCC3)c2)[nH+]c1C ZINC000079722604 1119307109 /nfs/dbraw/zinc/30/71/09/1119307109.db2.gz IDIGWPMHQABGHZ-UHFFFAOYSA-N 1 2 283.375 3.822 20 0 CHADLO CC(C)[C@H]([NH2+][C@H]1CCCc2[nH]ncc21)c1ccc(F)cc1 ZINC000353444895 1128837123 /nfs/dbraw/zinc/83/71/23/1128837123.db2.gz GUHUNOSKAOWREK-RDJZCZTQSA-N 1 2 287.382 3.913 20 0 CHADLO C[N@H+](Cc1c(F)cccc1Cl)[C@@H](CO)c1ccccc1 ZINC000343116919 1119317848 /nfs/dbraw/zinc/31/78/48/1119317848.db2.gz KRGSDHBOCUXXSJ-INIZCTEOSA-N 1 2 293.769 3.645 20 0 CHADLO C[N@@H+](Cc1c(F)cccc1Cl)[C@@H](CO)c1ccccc1 ZINC000343116919 1119317851 /nfs/dbraw/zinc/31/78/51/1119317851.db2.gz KRGSDHBOCUXXSJ-INIZCTEOSA-N 1 2 293.769 3.645 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](c2ncc(C)s2)C2CC2)o1 ZINC000150844460 1119323103 /nfs/dbraw/zinc/32/31/03/1119323103.db2.gz LUMDPLKCIPAYCG-CQSZACIVSA-N 1 2 276.405 3.848 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1cc(F)c(F)c(F)c1 ZINC000349856889 1119323973 /nfs/dbraw/zinc/32/39/73/1119323973.db2.gz IDTYDOSUTYJGRU-SFYZADRCSA-N 1 2 270.254 3.504 20 0 CHADLO Cc1nc(N2CCC=C(c3ccccc3)C2)c(C)c(C)[nH+]1 ZINC000343152353 1119331693 /nfs/dbraw/zinc/33/16/93/1119331693.db2.gz VSGQLTBAEREFIM-UHFFFAOYSA-N 1 2 279.387 3.696 20 0 CHADLO Cc1cc(N2CCC=C(c3ccccc3)C2)nc(C2CC2)[nH+]1 ZINC000343150951 1119331869 /nfs/dbraw/zinc/33/18/69/1119331869.db2.gz SCGTZMQGNOUJSO-UHFFFAOYSA-N 1 2 291.398 3.956 20 0 CHADLO C[C@@]1(C(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)CC=CCC1 ZINC000448748267 1119337566 /nfs/dbraw/zinc/33/75/66/1119337566.db2.gz GYXMMXSLBGLMRI-QGZVFWFLSA-N 1 2 299.349 3.696 20 0 CHADLO CCOC1CC(C[N@@H+](Cc2ccccc2)CC(F)F)C1 ZINC000286283083 1119338399 /nfs/dbraw/zinc/33/83/99/1119338399.db2.gz FQJDXSFOKPNSGJ-UHFFFAOYSA-N 1 2 283.362 3.569 20 0 CHADLO CCOC1CC(C[N@H+](Cc2ccccc2)CC(F)F)C1 ZINC000286283083 1119338402 /nfs/dbraw/zinc/33/84/02/1119338402.db2.gz FQJDXSFOKPNSGJ-UHFFFAOYSA-N 1 2 283.362 3.569 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CSc2ccccc21)c1cc2n(n1)CCCC2 ZINC000647290172 1119352239 /nfs/dbraw/zinc/35/22/39/1119352239.db2.gz YZJCZAZBLFWAGK-MLGOLLRUSA-N 1 2 299.443 3.717 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2nc3c(s2)CCC3)CC1 ZINC000093075952 1119354063 /nfs/dbraw/zinc/35/40/63/1119354063.db2.gz ZYBHBXYDQDBTOS-UHFFFAOYSA-N 1 2 288.391 3.550 20 0 CHADLO FC1(C2CC2)CC[NH+](Cc2cnc(Cl)s2)CC1 ZINC000724810460 1119359325 /nfs/dbraw/zinc/35/93/25/1119359325.db2.gz ABPAXKUUUILRRU-UHFFFAOYSA-N 1 2 274.792 3.511 20 0 CHADLO Cc1cccc(CCCNc2cccc(C(F)(F)F)n2)[nH+]1 ZINC000343240575 1119362314 /nfs/dbraw/zinc/36/23/14/1119362314.db2.gz UZLJXMYAUDAHLM-UHFFFAOYSA-N 1 2 295.308 3.849 20 0 CHADLO c1ccc(COc2cnccc2CNc2cccc[nH+]2)cc1 ZINC000343240958 1119363878 /nfs/dbraw/zinc/36/38/78/1119363878.db2.gz XNBFUWNVJHCIGM-UHFFFAOYSA-N 1 2 291.354 3.668 20 0 CHADLO Cc1ccc2c(NCCCc3cccc(C)[nH+]3)ccnc2n1 ZINC000343246287 1119364970 /nfs/dbraw/zinc/36/49/70/1119364970.db2.gz HJOMGJQJEQSGEK-UHFFFAOYSA-N 1 2 292.386 3.686 20 0 CHADLO Cc1cc(CNc2ccc([NH+](C)C)c(C)c2)cnc1F ZINC000892340076 1119368524 /nfs/dbraw/zinc/36/85/24/1119368524.db2.gz LCCDOCIMWOXWSR-UHFFFAOYSA-N 1 2 273.355 3.516 20 0 CHADLO C[C@@H]([NH2+]C1(c2cccc(F)c2)CC1)c1cc2n(n1)CCCC2 ZINC000647297233 1119370350 /nfs/dbraw/zinc/37/03/50/1119370350.db2.gz SCYXYWJOGPAVBQ-CYBMUJFWSA-N 1 2 299.393 3.698 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4ocnc43)cc2)[nH]1 ZINC001213088905 1119371191 /nfs/dbraw/zinc/37/11/91/1119371191.db2.gz JZURDQXTPTWWRI-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C(=O)Nc1cc(C)[nH+]cc1C ZINC000935017399 1119386103 /nfs/dbraw/zinc/38/61/03/1119386103.db2.gz STIRSWUHKRAMNX-UHFFFAOYSA-N 1 2 286.375 3.572 20 0 CHADLO FC(F)(F)c1cccc(C2([NH2+]Cc3cscn3)CC2)c1 ZINC000583949648 1119439704 /nfs/dbraw/zinc/43/97/04/1119439704.db2.gz NDMVQMAVTUXAHM-UHFFFAOYSA-N 1 2 298.333 3.941 20 0 CHADLO C[N@H+](Cc1nccs1)[C@H]1CCc2ccc(Cl)cc21 ZINC000343529520 1119443472 /nfs/dbraw/zinc/44/34/72/1119443472.db2.gz BSGRFOZECUQJPO-ZDUSSCGKSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1nccs1)[C@H]1CCc2ccc(Cl)cc21 ZINC000343529520 1119443475 /nfs/dbraw/zinc/44/34/75/1119443475.db2.gz BSGRFOZECUQJPO-ZDUSSCGKSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1Cl)c1cnccn1 ZINC000132640994 1119452839 /nfs/dbraw/zinc/45/28/39/1119452839.db2.gz DFUYAYWECJVWFF-NXEZZACHSA-N 1 2 279.746 3.681 20 0 CHADLO CC[N@H+](Cc1cc(C(C)=O)no1)Cc1cccc(Cl)c1 ZINC000562634114 1119490594 /nfs/dbraw/zinc/49/05/94/1119490594.db2.gz ZIMPDBPSFUJQJD-UHFFFAOYSA-N 1 2 292.766 3.553 20 0 CHADLO CC[N@@H+](Cc1cc(C(C)=O)no1)Cc1cccc(Cl)c1 ZINC000562634114 1119490599 /nfs/dbraw/zinc/49/05/99/1119490599.db2.gz ZIMPDBPSFUJQJD-UHFFFAOYSA-N 1 2 292.766 3.553 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccco2)c(Cl)c1 ZINC000081645028 1119517957 /nfs/dbraw/zinc/51/79/57/1119517957.db2.gz BUWLNVGZEZSHJO-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccco2)c(Cl)c1 ZINC000081645028 1119517961 /nfs/dbraw/zinc/51/79/61/1119517961.db2.gz BUWLNVGZEZSHJO-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO Cc1cc2cc(CNc3cc(C)[nH+]c(C(C)C)n3)ccc2[nH]1 ZINC000343779823 1119524168 /nfs/dbraw/zinc/52/41/68/1119524168.db2.gz KZFICCAPRJBUJN-UHFFFAOYSA-N 1 2 294.402 3.732 20 0 CHADLO CC(C)CC(C)(C)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000084546535 1119529881 /nfs/dbraw/zinc/52/98/81/1119529881.db2.gz MYWBWXGGOSUCFM-UHFFFAOYSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ccccc2)c2ccccn2)nc1 ZINC000400856670 1119534635 /nfs/dbraw/zinc/53/46/35/1119534635.db2.gz UKRFUPMEFNUSAB-IBGZPJMESA-N 1 2 289.382 3.664 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ccccc2)c2ccccn2)nc1 ZINC000400856678 1119534667 /nfs/dbraw/zinc/53/46/67/1119534667.db2.gz UKRFUPMEFNUSAB-LJQANCHMSA-N 1 2 289.382 3.664 20 0 CHADLO CC(C)c1cc(NCC(C)(C)CCO)nc(C(C)C)[nH+]1 ZINC000090101162 1119546142 /nfs/dbraw/zinc/54/61/42/1119546142.db2.gz FZTWTGXHPUIAKK-UHFFFAOYSA-N 1 2 279.428 3.544 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1nc(C)sc1C ZINC000090889190 1119546763 /nfs/dbraw/zinc/54/67/63/1119546763.db2.gz NDYXSDNNHLSEAZ-LLVKDONJSA-N 1 2 290.432 3.928 20 0 CHADLO c1cn(CCCNc2nc(-c3ccccc3)cs2)c[nH+]1 ZINC000091424774 1119556380 /nfs/dbraw/zinc/55/63/80/1119556380.db2.gz QECBIYNTXMCKTI-UHFFFAOYSA-N 1 2 284.388 3.509 20 0 CHADLO CCCC(=CC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)CCC ZINC000092395066 1119561868 /nfs/dbraw/zinc/56/18/68/1119561868.db2.gz GQTMZEYGLWNDBB-OAHLLOKOSA-N 1 2 289.423 3.642 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1cccc(Cl)c1F ZINC000092479726 1119562974 /nfs/dbraw/zinc/56/29/74/1119562974.db2.gz KTILJFKCDDIIRB-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1cccc(Cl)c1F ZINC000092479726 1119562977 /nfs/dbraw/zinc/56/29/77/1119562977.db2.gz KTILJFKCDDIIRB-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO COCc1ccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC000093838217 1119572737 /nfs/dbraw/zinc/57/27/37/1119572737.db2.gz QCGIVCMKNKBTDN-UHFFFAOYSA-N 1 2 291.778 3.638 20 0 CHADLO COCc1ccc(C[N@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC000093838217 1119572741 /nfs/dbraw/zinc/57/27/41/1119572741.db2.gz QCGIVCMKNKBTDN-UHFFFAOYSA-N 1 2 291.778 3.638 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1C[C@H](C)OC(C)(C)C1 ZINC000140537306 1119579493 /nfs/dbraw/zinc/57/94/93/1119579493.db2.gz OUVVSSVXLRWKCT-QWRGUYRKSA-N 1 2 269.335 3.525 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1C[C@H](C)OC(C)(C)C1 ZINC000140537306 1119579494 /nfs/dbraw/zinc/57/94/94/1119579494.db2.gz OUVVSSVXLRWKCT-QWRGUYRKSA-N 1 2 269.335 3.525 20 0 CHADLO CC(C)([NH2+]Cc1n[nH]c2ccccc21)c1ccc(F)cc1 ZINC000728592904 1119590675 /nfs/dbraw/zinc/59/06/75/1119590675.db2.gz CLTREFWJGAXAQD-UHFFFAOYSA-N 1 2 283.350 3.727 20 0 CHADLO C[C@@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1ccccc1Cl ZINC000379027844 1119593025 /nfs/dbraw/zinc/59/30/25/1119593025.db2.gz VLLUPFXTVHJVDI-GYSYKLTISA-N 1 2 275.783 3.893 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1nc2cc(F)ccc2o1 ZINC000132289825 1119655622 /nfs/dbraw/zinc/65/56/22/1119655622.db2.gz BMKZSXUXPNCJMI-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1nc2cc(F)ccc2o1 ZINC000132289825 1119655624 /nfs/dbraw/zinc/65/56/24/1119655624.db2.gz BMKZSXUXPNCJMI-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1cc2ccncc2s1 ZINC000658034948 1119668810 /nfs/dbraw/zinc/66/88/10/1119668810.db2.gz MVYHWGPDDGSICA-DTWKUNHWSA-N 1 2 290.417 3.560 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+][C@H]1C[C@H](C)n2ncnc21 ZINC000658095822 1119681836 /nfs/dbraw/zinc/68/18/36/1119681836.db2.gz AESWHSWPVFYUNJ-JKOKRWQUSA-N 1 2 296.374 3.689 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2c(OC)cccc2OC)o1 ZINC000658121108 1119688722 /nfs/dbraw/zinc/68/87/22/1119688722.db2.gz ZXBZAAWIJRXHIM-LBPRGKRZSA-N 1 2 289.375 3.710 20 0 CHADLO CCn1nc(C)c([C@@H](C)[NH2+][C@H](C)c2ccccc2Cl)n1 ZINC000924527363 1119711211 /nfs/dbraw/zinc/71/12/11/1119711211.db2.gz XWDAKAGSVXUWER-GHMZBOCLSA-N 1 2 292.814 3.672 20 0 CHADLO CC(=O)Nc1ccc([C@H](C)[NH2+]CC(F)(F)C(C)(C)C)cc1 ZINC000658337115 1119726324 /nfs/dbraw/zinc/72/63/24/1119726324.db2.gz NRKHJFUSIDEEHZ-NSHDSACASA-N 1 2 298.377 3.977 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H]1CCCc2c(C)cccc21 ZINC000658338326 1119727289 /nfs/dbraw/zinc/72/72/89/1119727289.db2.gz KVBLDMRRJZRBBK-PXAZEXFGSA-N 1 2 269.392 3.755 20 0 CHADLO CO[C@@H]1C[C@H](c2ccccc2)CC[C@H]1Nc1cc[nH+]c(C)n1 ZINC000343810511 1119754704 /nfs/dbraw/zinc/75/47/04/1119754704.db2.gz OWJIBPDENOMGFA-BRWVUGGUSA-N 1 2 297.402 3.548 20 0 CHADLO CC[N@H+](Cc1ncc(Cl)n1C)[C@@H](C)c1ccc(F)cc1 ZINC000053088279 1119771295 /nfs/dbraw/zinc/77/12/95/1119771295.db2.gz AFQKLJQLOKQTKP-NSHDSACASA-N 1 2 295.789 3.796 20 0 CHADLO CC[N@@H+](Cc1ncc(Cl)n1C)[C@@H](C)c1ccc(F)cc1 ZINC000053088279 1119771297 /nfs/dbraw/zinc/77/12/97/1119771297.db2.gz AFQKLJQLOKQTKP-NSHDSACASA-N 1 2 295.789 3.796 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnn(C)n1)c1ccc(Cl)c(Cl)c1 ZINC000924544042 1119787497 /nfs/dbraw/zinc/78/74/97/1119787497.db2.gz XFUABCKIUWXJQC-BDAKNGLRSA-N 1 2 299.205 3.534 20 0 CHADLO COc1ccc[nH+]c1NCc1cscc1Br ZINC000309843679 1119837324 /nfs/dbraw/zinc/83/73/24/1119837324.db2.gz FQENTUGDVQZXLQ-UHFFFAOYSA-N 1 2 299.193 3.526 20 0 CHADLO CC[C@H](C)c1ccc(O[C@H]2CC[NH2+]CC2(F)F)cc1 ZINC001218008766 1119853899 /nfs/dbraw/zinc/85/38/99/1119853899.db2.gz WGDQGNFLPCPMHL-FZMZJTMJSA-N 1 2 269.335 3.576 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](Cc1ccccc1Cl)CC1CC1 ZINC000685847887 1119867226 /nfs/dbraw/zinc/86/72/26/1119867226.db2.gz VXVTZHRAMJRJBL-UHFFFAOYSA-N 1 2 289.810 3.784 20 0 CHADLO Cc1c[nH]nc1C[N@H+](Cc1ccccc1Cl)CC1CC1 ZINC000685847887 1119867229 /nfs/dbraw/zinc/86/72/29/1119867229.db2.gz VXVTZHRAMJRJBL-UHFFFAOYSA-N 1 2 289.810 3.784 20 0 CHADLO C[N@H+](CCCOc1ccc(F)cc1)C/C(Cl)=C/Cl ZINC000763493524 1128879446 /nfs/dbraw/zinc/87/94/46/1128879446.db2.gz JSXVSHIOYFRNNW-LUAWRHEFSA-N 1 2 292.181 3.845 20 0 CHADLO C[N@@H+](CCCOc1ccc(F)cc1)C/C(Cl)=C/Cl ZINC000763493524 1128879449 /nfs/dbraw/zinc/87/94/49/1128879449.db2.gz JSXVSHIOYFRNNW-LUAWRHEFSA-N 1 2 292.181 3.845 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1ccc(C)cc1Cl)CC2 ZINC001140798164 1119946760 /nfs/dbraw/zinc/94/67/60/1119946760.db2.gz BVIBRLKQXDZZCC-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1ccc(C)cc1Cl)CC2 ZINC001140798164 1119946764 /nfs/dbraw/zinc/94/67/64/1119946764.db2.gz BVIBRLKQXDZZCC-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CC[C@H](C(F)F)C1 ZINC000685919131 1119998672 /nfs/dbraw/zinc/99/86/72/1119998672.db2.gz CUCUYCDWGNMUTA-NSHDSACASA-N 1 2 292.329 3.643 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCC2(CCCC2)C1 ZINC000694632628 1120000112 /nfs/dbraw/zinc/00/01/12/1120000112.db2.gz HPXLCUCOVUATFR-UHFFFAOYSA-N 1 2 267.376 3.588 20 0 CHADLO CCc1ncc(C[N@@H+](CC)Cc2ccccc2Cl)cn1 ZINC000414370945 1120003244 /nfs/dbraw/zinc/00/32/44/1120003244.db2.gz MJRFNHOGXLZSGB-UHFFFAOYSA-N 1 2 289.810 3.715 20 0 CHADLO CCc1ncc(C[N@H+](CC)Cc2ccccc2Cl)cn1 ZINC000414370945 1120003246 /nfs/dbraw/zinc/00/32/46/1120003246.db2.gz MJRFNHOGXLZSGB-UHFFFAOYSA-N 1 2 289.810 3.715 20 0 CHADLO Cc1cc(NCc2nccc3ccccc32)c[nH+]c1C ZINC001167703585 1120013718 /nfs/dbraw/zinc/01/37/18/1120013718.db2.gz YUCIJLXLDIRBDU-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO CCc1ccc([N@H+](CC)C[C@H]2C[C@@]23CCOC3)cc1 ZINC001167705553 1120014704 /nfs/dbraw/zinc/01/47/04/1120014704.db2.gz MRRKCYWPMVLYGU-NVXWUHKLSA-N 1 2 259.393 3.502 20 0 CHADLO CCc1ccc([N@@H+](CC)C[C@H]2C[C@@]23CCOC3)cc1 ZINC001167705553 1120014709 /nfs/dbraw/zinc/01/47/09/1120014709.db2.gz MRRKCYWPMVLYGU-NVXWUHKLSA-N 1 2 259.393 3.502 20 0 CHADLO CCc1cc(N[C@H](CC)c2ccncc2)nc(CC)[nH+]1 ZINC000892663338 1120024120 /nfs/dbraw/zinc/02/41/20/1120024120.db2.gz PKWQOLYIBQSEFK-CQSZACIVSA-N 1 2 270.380 3.560 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)CC(F)(F)F)cc2)[nH+]c1C ZINC000921665829 1120061816 /nfs/dbraw/zinc/06/18/16/1120061816.db2.gz RYIWZDOKFDVYRY-UHFFFAOYSA-N 1 2 297.280 3.584 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc(OC(C)C)cc2)o1 ZINC000179528562 1120093048 /nfs/dbraw/zinc/09/30/48/1120093048.db2.gz GQLDWOACQZOFJC-CYBMUJFWSA-N 1 2 274.364 3.621 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)oc1C ZINC000179532354 1120093120 /nfs/dbraw/zinc/09/31/20/1120093120.db2.gz BJDDSEOWVWZUFW-ZDUSSCGKSA-N 1 2 288.391 3.929 20 0 CHADLO CCC[C@H]1CCCC[N@H+]1Cc1noc(C(C)(C)C)n1 ZINC000179520491 1120093486 /nfs/dbraw/zinc/09/34/86/1120093486.db2.gz UEITVQSLEAYSHK-LBPRGKRZSA-N 1 2 265.401 3.522 20 0 CHADLO CCC[C@H]1CCCC[N@@H+]1Cc1noc(C(C)(C)C)n1 ZINC000179520491 1120093479 /nfs/dbraw/zinc/09/34/79/1120093479.db2.gz UEITVQSLEAYSHK-LBPRGKRZSA-N 1 2 265.401 3.522 20 0 CHADLO C[C@@H]([NH2+]C/C(Cl)=C/Cl)c1ccc(F)cc1F ZINC000181577049 1128890237 /nfs/dbraw/zinc/89/02/37/1128890237.db2.gz KAORKIKJMRBTDX-BESBCXERSA-N 1 2 266.118 3.934 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(O)c1)c1nc2ccccc2o1 ZINC000181228639 1120102797 /nfs/dbraw/zinc/10/27/97/1120102797.db2.gz XTKHTGICFBZYNK-RYUDHWBXSA-N 1 2 282.343 3.945 20 0 CHADLO COc1cc(C[N@H+](C)[C@H](C)c2ccc(F)c(F)c2)sn1 ZINC000659821033 1120117137 /nfs/dbraw/zinc/11/71/37/1120117137.db2.gz WXPYTCZOZHPKIH-SECBINFHSA-N 1 2 298.358 3.623 20 0 CHADLO COc1cc(C[N@@H+](C)[C@H](C)c2ccc(F)c(F)c2)sn1 ZINC000659821033 1120117139 /nfs/dbraw/zinc/11/71/39/1120117139.db2.gz WXPYTCZOZHPKIH-SECBINFHSA-N 1 2 298.358 3.623 20 0 CHADLO Cc1cc(C[NH2+]CC(C)(F)F)ccc1Br ZINC000378741604 1120126221 /nfs/dbraw/zinc/12/62/21/1120126221.db2.gz PMQUMPBSOXJEDU-UHFFFAOYSA-N 1 2 278.140 3.502 20 0 CHADLO Cc1cc2cc(N[C@H]3CCNc4ccccc43)[nH+]cc2[nH]1 ZINC001168641198 1120127826 /nfs/dbraw/zinc/12/78/26/1120127826.db2.gz RETCIIRWYVCXOJ-HNNXBMFYSA-N 1 2 278.359 3.840 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccccc1)c1cccc(F)c1)c1ncc[nH]1 ZINC000353394236 1120128660 /nfs/dbraw/zinc/12/86/60/1120128660.db2.gz LWYPLEATVTZMBJ-CXAGYDPISA-N 1 2 295.361 3.989 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(-c2ccccc2)o1)c1ncc[nH]1 ZINC000353456390 1120129579 /nfs/dbraw/zinc/12/95/79/1120129579.db2.gz VTKPXGFDJIEWSN-LBPRGKRZSA-N 1 2 267.332 3.521 20 0 CHADLO C[NH+](C)[C@H](c1nc(C2C(C)(C)C2(C)C)no1)c1ccccc1 ZINC000624744483 1120139850 /nfs/dbraw/zinc/13/98/50/1120139850.db2.gz HQTYSHHUHIPOJQ-ZDUSSCGKSA-N 1 2 299.418 3.870 20 0 CHADLO Cc1cnc([C@@H](C)Nc2cc(C)[nH+]c(C3CCC3)n2)s1 ZINC000892842080 1120149930 /nfs/dbraw/zinc/14/99/30/1120149930.db2.gz LZZWKEJCHXNDPO-LLVKDONJSA-N 1 2 288.420 3.991 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@H]1c2ccccc2CC[C@@H]1F ZINC000926862342 1120160620 /nfs/dbraw/zinc/16/06/20/1120160620.db2.gz UEAUSMKYFYVRBW-QXKQMHMISA-N 1 2 288.366 3.968 20 0 CHADLO CCC(CC)C[N@H+](CC)Cc1nnc(C(F)(F)F)s1 ZINC000659840048 1120161361 /nfs/dbraw/zinc/16/13/61/1120161361.db2.gz YZASBVSVMZZVQC-UHFFFAOYSA-N 1 2 295.374 3.815 20 0 CHADLO CCC(CC)C[N@@H+](CC)Cc1nnc(C(F)(F)F)s1 ZINC000659840048 1120161362 /nfs/dbraw/zinc/16/13/62/1120161362.db2.gz YZASBVSVMZZVQC-UHFFFAOYSA-N 1 2 295.374 3.815 20 0 CHADLO CC(C)C[N@H+](Cc1ncsc1Br)C(C)C ZINC000659842981 1120167030 /nfs/dbraw/zinc/16/70/30/1120167030.db2.gz WNUDBTUGWUYYEU-UHFFFAOYSA-N 1 2 291.258 3.772 20 0 CHADLO CC(C)C[N@@H+](Cc1ncsc1Br)C(C)C ZINC000659842981 1120167032 /nfs/dbraw/zinc/16/70/32/1120167032.db2.gz WNUDBTUGWUYYEU-UHFFFAOYSA-N 1 2 291.258 3.772 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2nnc(C)s2)cc1F ZINC000542811311 1120167649 /nfs/dbraw/zinc/16/76/49/1120167649.db2.gz DWGXBELSRSBZHV-MRVPVSSYSA-N 1 2 297.424 3.558 20 0 CHADLO C[C@H]1CCC[C@H](C)[NH+]1CC(=O)Nc1ccc(F)cc1Cl ZINC000108863643 1120172939 /nfs/dbraw/zinc/17/29/39/1120172939.db2.gz NZALSBOMVTZDPQ-QWRGUYRKSA-N 1 2 298.789 3.681 20 0 CHADLO Cc1cc(N)nc(SCc2ccc(C(C)(C)C)cc2)[nH+]1 ZINC000007048587 1120211798 /nfs/dbraw/zinc/21/17/98/1120211798.db2.gz VSOUIYRKIPGVSF-UHFFFAOYSA-N 1 2 287.432 3.957 20 0 CHADLO C[C@@H](CCC1CC1)[NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1 ZINC000186490132 1120214455 /nfs/dbraw/zinc/21/44/55/1120214455.db2.gz WYJOCFIJPGFPBB-GJZGRUSLSA-N 1 2 288.435 3.902 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](c2nc(C)cs2)C2CC2)o1 ZINC000893079302 1120215988 /nfs/dbraw/zinc/21/59/88/1120215988.db2.gz ISRFVPGBMFKFFL-AWEZNQCLSA-N 1 2 292.404 3.684 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@H]1C[C@@H]1C1CCCC1 ZINC000651082692 1120217601 /nfs/dbraw/zinc/21/76/01/1120217601.db2.gz YHIXRSXKPPBTRK-CABCVRRESA-N 1 2 295.386 3.637 20 0 CHADLO CC(C)Oc1cccc(-c2cccn3cc[nH+]c23)c1 ZINC001239968935 1120221431 /nfs/dbraw/zinc/22/14/31/1120221431.db2.gz XIOUTTFXSOOMHQ-UHFFFAOYSA-N 1 2 252.317 3.789 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1Cl)c1c(F)cncc1F ZINC000353126991 1120237590 /nfs/dbraw/zinc/23/75/90/1120237590.db2.gz OBGJAJWUEUGYRH-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO CCOc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1OC ZINC000672163640 1120247335 /nfs/dbraw/zinc/24/73/35/1120247335.db2.gz DWAUSESQYFCEFA-UHFFFAOYSA-N 1 2 297.358 3.582 20 0 CHADLO Cc1cccc(CC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC000074830048 1120247880 /nfs/dbraw/zinc/24/78/80/1120247880.db2.gz ASAXGHMQMVLSRC-UHFFFAOYSA-N 1 2 291.354 3.566 20 0 CHADLO CC(C)(C)c1ccc(C(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000074859433 1120249097 /nfs/dbraw/zinc/24/90/97/1120249097.db2.gz QDPXBQQQVGBDCP-UHFFFAOYSA-N 1 2 293.370 3.884 20 0 CHADLO Clc1cc(C[NH2+]Cc2ccoc2)c(Cl)s1 ZINC000336721056 1120249549 /nfs/dbraw/zinc/24/95/49/1120249549.db2.gz DGYLEMCFGFLZSX-UHFFFAOYSA-N 1 2 262.161 3.938 20 0 CHADLO C[C@@H](CNc1cc2cc[nH]c2c[nH+]1)c1ccc(F)cc1 ZINC000672188059 1120251784 /nfs/dbraw/zinc/25/17/84/1120251784.db2.gz BILXKRQKCUWGLJ-NSHDSACASA-N 1 2 269.323 3.918 20 0 CHADLO c1cc2cc(NC[C@H]3C[C@H]3c3ccccc3)[nH+]cc2[nH]1 ZINC000672213395 1120256106 /nfs/dbraw/zinc/25/61/06/1120256106.db2.gz IULXFCDCORNTOG-CABCVRRESA-N 1 2 263.344 3.779 20 0 CHADLO CCc1nc(N2[C@H](C)C[C@@H]3CCCC[C@@H]32)cc(C)[nH+]1 ZINC000672224370 1120257864 /nfs/dbraw/zinc/25/78/64/1120257864.db2.gz SJWQIEHLMISWNV-RDBSUJKOSA-N 1 2 259.397 3.505 20 0 CHADLO CC[C@@]1(C)CN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000672261295 1120270259 /nfs/dbraw/zinc/27/02/59/1120270259.db2.gz INGGDOHHBJTTHA-INIZCTEOSA-N 1 2 290.794 3.894 20 0 CHADLO Brc1ccc(CNc2cc3cc[nH]c3c[nH+]2)o1 ZINC000672261195 1120270880 /nfs/dbraw/zinc/27/08/80/1120270880.db2.gz PNSHUKFPVNNSHP-UHFFFAOYSA-N 1 2 292.136 3.531 20 0 CHADLO Oc1ccc2c(c1)[C@H]([NH2+][C@@H]1CCCC1(F)F)CCC2 ZINC000398082747 1120296457 /nfs/dbraw/zinc/29/64/57/1120296457.db2.gz SJXQNXDDXBBWJS-ZIAGYGMSSA-N 1 2 267.319 3.547 20 0 CHADLO CCOc1ccc(F)cc1-c1ccc2[nH+]ccn2c1 ZINC001240218460 1120303072 /nfs/dbraw/zinc/30/30/72/1120303072.db2.gz DGWVEZCVHQFDTJ-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO Cc1cccn2c(CNc3cnc(Cl)c(C)c3)c[nH+]c12 ZINC000181853085 1120308527 /nfs/dbraw/zinc/30/85/27/1120308527.db2.gz MPQLSVAHZJJQKG-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)co1 ZINC000659958900 1120309220 /nfs/dbraw/zinc/30/92/20/1120309220.db2.gz GNHRDWNNSOKBKI-ZBEGNZNMSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)co1 ZINC000659958900 1120309223 /nfs/dbraw/zinc/30/92/23/1120309223.db2.gz GNHRDWNNSOKBKI-ZBEGNZNMSA-N 1 2 274.339 3.705 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccccc1N(C)C ZINC000672620033 1120335474 /nfs/dbraw/zinc/33/54/74/1120335474.db2.gz IJJWGBUFQJCTCF-BXUZGUMPSA-N 1 2 268.351 3.591 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccc2c(c1)OCCO2 ZINC000672620695 1120335608 /nfs/dbraw/zinc/33/56/08/1120335608.db2.gz PRPUZERRKBFCNY-WFASDCNBSA-N 1 2 297.345 3.686 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(-n2cccn2)cc1 ZINC000672621692 1120336056 /nfs/dbraw/zinc/33/60/56/1120336056.db2.gz DWPVQUMBHLLSRZ-WFASDCNBSA-N 1 2 291.345 3.711 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCCC(F)(F)C2)c1 ZINC000651385806 1120336991 /nfs/dbraw/zinc/33/69/91/1120336991.db2.gz SIQWCSHBNLBWTJ-UHFFFAOYSA-N 1 2 266.266 3.609 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H]1C[C@@H](Oc2ccccc2)C1(C)C ZINC000672624258 1120337844 /nfs/dbraw/zinc/33/78/44/1120337844.db2.gz VJWMPBUJYZCNLK-AFAVFJNCSA-N 1 2 299.418 3.615 20 0 CHADLO Cc1cc(NCc2c[nH+]cn2C(C)C)cnc1C(F)(F)F ZINC000656780625 1120340760 /nfs/dbraw/zinc/34/07/60/1120340760.db2.gz HBYBTSOFPNBVDR-UHFFFAOYSA-N 1 2 298.312 3.798 20 0 CHADLO CCc1ccccc1CO[NH+]=C(N)Cc1cccc(F)c1 ZINC000783149495 1120366643 /nfs/dbraw/zinc/36/66/43/1120366643.db2.gz RNFKWKPWEANBAP-UHFFFAOYSA-N 1 2 286.350 3.629 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@@H](C)c2cncc(F)c2)c1F ZINC000930691581 1120371481 /nfs/dbraw/zinc/37/14/81/1120371481.db2.gz LPXPUXMAQUWTQN-JTQLQIEISA-N 1 2 280.293 3.658 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2cc(C3CC3)no2)sc1C ZINC000660088649 1120371960 /nfs/dbraw/zinc/37/19/60/1120371960.db2.gz CSOLWHZQDCBTDM-UHFFFAOYSA-N 1 2 291.420 3.650 20 0 CHADLO c1csc(C2([NH2+]Cc3cc(C4CC4)no3)CCCC2)n1 ZINC000660090935 1120372628 /nfs/dbraw/zinc/37/26/28/1120372628.db2.gz NTPMKQLDXZPTOU-UHFFFAOYSA-N 1 2 289.404 3.568 20 0 CHADLO COc1ccc(-c2cc(C[N@H+](C)Cc3ccoc3)on2)cc1 ZINC000618446184 1128912315 /nfs/dbraw/zinc/91/23/15/1128912315.db2.gz ULQAASUJTWCMFE-UHFFFAOYSA-N 1 2 298.342 3.575 20 0 CHADLO COc1ccc(-c2cc(C[N@@H+](C)Cc3ccoc3)on2)cc1 ZINC000618446184 1128912317 /nfs/dbraw/zinc/91/23/17/1128912317.db2.gz ULQAASUJTWCMFE-UHFFFAOYSA-N 1 2 298.342 3.575 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)cc(F)c2O)cc1 ZINC001212492527 1120382350 /nfs/dbraw/zinc/38/23/50/1120382350.db2.gz AEAWRTMURQZTNE-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)cc(F)c2O)cc1 ZINC001212492527 1120382355 /nfs/dbraw/zinc/38/23/55/1120382355.db2.gz AEAWRTMURQZTNE-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccccc2Cl)nc(C2CC2)[nH+]1 ZINC000338800254 1120386231 /nfs/dbraw/zinc/38/62/31/1120386231.db2.gz BRUSQBDYQAJCCJ-NSHDSACASA-N 1 2 287.794 3.911 20 0 CHADLO CC[C@@H](CC(F)(F)F)Nc1nc(C)[nH+]c2c1CCCC2 ZINC000545474611 1120390530 /nfs/dbraw/zinc/39/05/30/1120390530.db2.gz VGLHIFYCWGWNGR-JTQLQIEISA-N 1 2 287.329 3.807 20 0 CHADLO FC(F)(F)C1=CC[N@H+](Cc2nsc3ccccc32)CC1 ZINC000660129130 1120391220 /nfs/dbraw/zinc/39/12/20/1120391220.db2.gz DTTOKWOHZCFKOD-UHFFFAOYSA-N 1 2 298.333 3.991 20 0 CHADLO FC(F)(F)C1=CC[N@@H+](Cc2nsc3ccccc32)CC1 ZINC000660129130 1120391222 /nfs/dbraw/zinc/39/12/22/1120391222.db2.gz DTTOKWOHZCFKOD-UHFFFAOYSA-N 1 2 298.333 3.991 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2sccc2Cl)o1 ZINC000194307191 1120394947 /nfs/dbraw/zinc/39/49/47/1120394947.db2.gz MTUUDZPJMKYOKJ-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2sccc2Cl)o1 ZINC000194307191 1120394951 /nfs/dbraw/zinc/39/49/51/1120394951.db2.gz MTUUDZPJMKYOKJ-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1ccc(F)c(C[S@@](=O)Cc2ccc(C)[nH+]c2C)c1 ZINC000417162879 1120406882 /nfs/dbraw/zinc/40/68/82/1120406882.db2.gz LOWSHIIXUSRINT-FQEVSTJZSA-N 1 2 291.391 3.595 20 0 CHADLO Cc1nc(C[N@@H+]2[C@H](C)Cc3cc(F)ccc3[C@H]2C)c(C)o1 ZINC000660163381 1120407678 /nfs/dbraw/zinc/40/76/78/1120407678.db2.gz GPIDZWGDRRVMQI-GHMZBOCLSA-N 1 2 288.366 3.938 20 0 CHADLO Cc1nc(C[N@H+]2[C@H](C)Cc3cc(F)ccc3[C@H]2C)c(C)o1 ZINC000660163381 1120407680 /nfs/dbraw/zinc/40/76/80/1120407680.db2.gz GPIDZWGDRRVMQI-GHMZBOCLSA-N 1 2 288.366 3.938 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccccc2OC(F)F)c(C)o1 ZINC000660180514 1120421218 /nfs/dbraw/zinc/42/12/18/1120421218.db2.gz UQHYQEGGOZSKOE-VIFPVBQESA-N 1 2 296.317 3.744 20 0 CHADLO Cc1cc(CNc2cc(CO)cc[nH+]2)ccc1C(F)(F)F ZINC000641762670 1120423634 /nfs/dbraw/zinc/42/36/34/1120423634.db2.gz QPQNVRGDPIIVOF-UHFFFAOYSA-N 1 2 296.292 3.513 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@@H]3CCC[C@H]32)nc(C2CC2)[nH+]1 ZINC000338813105 1120432512 /nfs/dbraw/zinc/43/25/12/1120432512.db2.gz KHZZSUSNCLJEGJ-ZIAGYGMSSA-N 1 2 271.408 3.677 20 0 CHADLO CCCCC[N@H+](Cc1nccn1C)Cc1ccc(F)cc1 ZINC000057622479 1120437994 /nfs/dbraw/zinc/43/79/94/1120437994.db2.gz DOTJCHFOVKKBEG-UHFFFAOYSA-N 1 2 289.398 3.752 20 0 CHADLO CCCCC[N@@H+](Cc1nccn1C)Cc1ccc(F)cc1 ZINC000057622479 1120437997 /nfs/dbraw/zinc/43/79/97/1120437997.db2.gz DOTJCHFOVKKBEG-UHFFFAOYSA-N 1 2 289.398 3.752 20 0 CHADLO CC(F)(F)C[NH2+][C@H](Cc1ccncc1)c1cccs1 ZINC000584774933 1120442932 /nfs/dbraw/zinc/44/29/32/1120442932.db2.gz ZREVGZOVOCSGCU-GFCCVEGCSA-N 1 2 282.359 3.672 20 0 CHADLO Cc1cc(N[C@H](C)CCCC(C)(C)O)[nH+]c2cc[nH]c21 ZINC001168709064 1120447583 /nfs/dbraw/zinc/44/75/83/1120447583.db2.gz TWTHPEQSRULKDA-GFCCVEGCSA-N 1 2 275.396 3.613 20 0 CHADLO Cc1cc(NC(=O)c2cc(F)c(F)cc2Cl)cc[nH+]1 ZINC000072709761 1120456914 /nfs/dbraw/zinc/45/69/14/1120456914.db2.gz ASOHUIBCDRHFCD-UHFFFAOYSA-N 1 2 282.677 3.574 20 0 CHADLO CC1(F)CC[NH+](Cc2ccc(F)c(Cl)c2F)CC1 ZINC001143616391 1120461279 /nfs/dbraw/zinc/46/12/79/1120461279.db2.gz QCMOGIBPGOWAHQ-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC[C@@H]1CCO[C@H](C)C1 ZINC000543207288 1120463711 /nfs/dbraw/zinc/46/37/11/1120463711.db2.gz ODGVQRKAXXTESE-HUUCEWRRSA-N 1 2 284.403 3.582 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1cccc(Cl)c1O ZINC000182064183 1128927360 /nfs/dbraw/zinc/92/73/60/1128927360.db2.gz XDYNBVKUFHQFLR-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1Cl ZINC000398041823 1120559128 /nfs/dbraw/zinc/55/91/28/1120559128.db2.gz SOMWFRUHLYSPBT-LBPRGKRZSA-N 1 2 259.727 3.926 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1F ZINC000398016619 1120555844 /nfs/dbraw/zinc/55/58/44/1120555844.db2.gz XDQOSZPISRADNL-TVQRCGJNSA-N 1 2 273.298 3.673 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000398062400 1120563497 /nfs/dbraw/zinc/56/34/97/1120563497.db2.gz WSSMGPHKKRCTCK-GWCFXTLKSA-N 1 2 255.308 3.534 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000398062405 1120564667 /nfs/dbraw/zinc/56/46/67/1120564667.db2.gz WSSMGPHKKRCTCK-MFKMUULPSA-N 1 2 255.308 3.534 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCC[C@@H](C3CC3)C2)c1 ZINC000652069064 1120577034 /nfs/dbraw/zinc/57/70/34/1120577034.db2.gz YKDOYJFTNVPPNX-CABCVRRESA-N 1 2 260.381 3.609 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCC[C@H]2c2ccccc2)c1 ZINC000652068166 1120577673 /nfs/dbraw/zinc/57/76/73/1120577673.db2.gz UUBPYYGWXFLANI-IRXDYDNUSA-N 1 2 282.387 3.976 20 0 CHADLO Cc1nn(C)c(Cl)c1C[NH2+][C@@H](C)c1cccc(F)c1F ZINC001168733308 1120588473 /nfs/dbraw/zinc/58/84/73/1120588473.db2.gz HOHLZWUHARTIDJ-QMMMGPOBSA-N 1 2 299.752 3.511 20 0 CHADLO FC(F)Oc1cccc(C[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000673618439 1120598047 /nfs/dbraw/zinc/59/80/47/1120598047.db2.gz GMRZJLFMYXBXBB-LLVKDONJSA-N 1 2 277.261 3.565 20 0 CHADLO CCOc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1OCC ZINC000673617418 1120599955 /nfs/dbraw/zinc/59/99/55/1120599955.db2.gz AYWRRIPUYQJZGI-HNNXBMFYSA-N 1 2 299.361 3.761 20 0 CHADLO C/C(=C/c1ccccc1)C[NH2+][C@H]1CCCC1(F)F ZINC000673617834 1120600600 /nfs/dbraw/zinc/60/06/00/1120600600.db2.gz KSGCWUDUUBUIOR-HCYCVZQXSA-N 1 2 251.320 3.867 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CCCC2(F)F)ccc1Cl ZINC000673618179 1120601040 /nfs/dbraw/zinc/60/10/40/1120601040.db2.gz VFHFNBPOCNPAJE-LBPRGKRZSA-N 1 2 259.727 3.926 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1cccc(Cl)c1O ZINC000182064183 1128927356 /nfs/dbraw/zinc/92/73/56/1128927356.db2.gz XDYNBVKUFHQFLR-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@H]1c1ccc(-c2cccc(F)c2)cc1 ZINC000629711025 1128928530 /nfs/dbraw/zinc/92/85/30/1128928530.db2.gz PYTBXDITCAAYHC-SFHVURJKSA-N 1 2 283.346 3.829 20 0 CHADLO C[N@H+]1CCC(=O)C[C@H]1c1ccc(-c2cccc(F)c2)cc1 ZINC000629711025 1128928531 /nfs/dbraw/zinc/92/85/31/1128928531.db2.gz PYTBXDITCAAYHC-SFHVURJKSA-N 1 2 283.346 3.829 20 0 CHADLO Cc1ccc(C(C)(C)[NH2+]Cc2ncc(C(C)C)o2)cn1 ZINC000759909292 1120620375 /nfs/dbraw/zinc/62/03/75/1120620375.db2.gz GZJYPIUJZUXUHV-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nc(C)no1)c1cccc(Cl)c1 ZINC000673627914 1120624302 /nfs/dbraw/zinc/62/43/02/1120624302.db2.gz XODAUXFFEVCOFA-NOZJJQNGSA-N 1 2 279.771 3.833 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@@H+]1Cc1noc(C2CCC2)n1 ZINC000625090981 1120631478 /nfs/dbraw/zinc/63/14/78/1120631478.db2.gz XJNYFRCUUZBTLT-MRXNPFEDSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@H+]1Cc1noc(C2CCC2)n1 ZINC000625090981 1120631480 /nfs/dbraw/zinc/63/14/80/1120631480.db2.gz XJNYFRCUUZBTLT-MRXNPFEDSA-N 1 2 297.402 3.983 20 0 CHADLO CCC1(CC)CCCN(C(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC000618672665 1128929493 /nfs/dbraw/zinc/92/94/93/1128929493.db2.gz KPTLTPCURYXTPT-UHFFFAOYSA-N 1 2 299.418 3.685 20 0 CHADLO Cc1ccc(-c2ccc([C@H]3CC(=O)CC[N@H+]3C)cc2)o1 ZINC000629718474 1128929607 /nfs/dbraw/zinc/92/96/07/1128929607.db2.gz ZLMFOSVPXINLPR-MRXNPFEDSA-N 1 2 269.344 3.591 20 0 CHADLO Cc1ccc(-c2ccc([C@H]3CC(=O)CC[N@@H+]3C)cc2)o1 ZINC000629718474 1128929612 /nfs/dbraw/zinc/92/96/12/1128929612.db2.gz ZLMFOSVPXINLPR-MRXNPFEDSA-N 1 2 269.344 3.591 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)C3(CCC3)C2)co1 ZINC000660487893 1120639411 /nfs/dbraw/zinc/63/94/11/1120639411.db2.gz PBPSFUXGTOZAMI-GFCCVEGCSA-N 1 2 288.313 3.538 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C(F)(F)F)C3(CCC3)C2)co1 ZINC000660487893 1120639414 /nfs/dbraw/zinc/63/94/14/1120639414.db2.gz PBPSFUXGTOZAMI-GFCCVEGCSA-N 1 2 288.313 3.538 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cc(C)ccc2OC(F)F)C[C@@H](C)O1 ZINC000511843127 1120661837 /nfs/dbraw/zinc/66/18/37/1120661837.db2.gz IUPUTGNCZCJUHA-TZMCWYRMSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cc(C)ccc2OC(F)F)C[C@@H](C)O1 ZINC000511843127 1120661839 /nfs/dbraw/zinc/66/18/39/1120661839.db2.gz IUPUTGNCZCJUHA-TZMCWYRMSA-N 1 2 299.361 3.596 20 0 CHADLO c1cn(Cc2ccc(-c3nc(C4CC4)cs3)cc2)c[nH+]1 ZINC000629742343 1128932975 /nfs/dbraw/zinc/93/29/75/1128932975.db2.gz REVZRJOYSHFRCM-UHFFFAOYSA-N 1 2 281.384 3.932 20 0 CHADLO C[N@H+](Cc1cn[nH]c1)Cc1sc2ccccc2c1Cl ZINC000673927050 1120694761 /nfs/dbraw/zinc/69/47/61/1120694761.db2.gz JMJWTUXLDVJJSF-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cn[nH]c1)Cc1sc2ccccc2c1Cl ZINC000673927050 1120694763 /nfs/dbraw/zinc/69/47/63/1120694763.db2.gz JMJWTUXLDVJJSF-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@H+](CC1CCCCC1)Cn1nc(C(C)(C)C)[nH]c1=S ZINC000027082870 1120697029 /nfs/dbraw/zinc/69/70/29/1120697029.db2.gz PTCNVKOQBYWLQF-UHFFFAOYSA-N 1 2 296.484 3.708 20 0 CHADLO C[N@@H+](CC1CCCCC1)Cn1nc(C(C)(C)C)[nH]c1=S ZINC000027082870 1120697033 /nfs/dbraw/zinc/69/70/33/1120697033.db2.gz PTCNVKOQBYWLQF-UHFFFAOYSA-N 1 2 296.484 3.708 20 0 CHADLO c1ccc(Cc2nc(C[NH+](C3CCC3)C3CCC3)no2)cc1 ZINC000429169344 1120697415 /nfs/dbraw/zinc/69/74/15/1120697415.db2.gz HSSBQDBAMQWQAS-UHFFFAOYSA-N 1 2 297.402 3.567 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2cccc(C(C)(C)C)c2)n1 ZINC000660927370 1120703626 /nfs/dbraw/zinc/70/36/26/1120703626.db2.gz ZSDFQXXMBHZWSR-GFCCVEGCSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2cccc(C(C)(C)C)c2)n1 ZINC000660927370 1120703633 /nfs/dbraw/zinc/70/36/33/1120703633.db2.gz ZSDFQXXMBHZWSR-GFCCVEGCSA-N 1 2 287.407 3.869 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191477559 1128935133 /nfs/dbraw/zinc/93/51/33/1128935133.db2.gz BOEXGHQXJGZVIK-SNVBAGLBSA-N 1 2 294.757 3.773 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191477559 1128935135 /nfs/dbraw/zinc/93/51/35/1128935135.db2.gz BOEXGHQXJGZVIK-SNVBAGLBSA-N 1 2 294.757 3.773 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191477862 1128935203 /nfs/dbraw/zinc/93/52/03/1128935203.db2.gz YGOUKAQNXCFWCB-SNVBAGLBSA-N 1 2 294.757 3.773 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191477862 1128935206 /nfs/dbraw/zinc/93/52/06/1128935206.db2.gz YGOUKAQNXCFWCB-SNVBAGLBSA-N 1 2 294.757 3.773 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccncc1Cl)CC2 ZINC000661371499 1120758930 /nfs/dbraw/zinc/75/89/30/1120758930.db2.gz LGIMRJGJDLZMEJ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccncc1Cl)CC2 ZINC000661371499 1120758935 /nfs/dbraw/zinc/75/89/35/1120758935.db2.gz LGIMRJGJDLZMEJ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2ccc(CC)cc2)C2CC2)o1 ZINC000075624494 1120760131 /nfs/dbraw/zinc/76/01/31/1120760131.db2.gz RVVKWBYGGCXOHO-UHFFFAOYSA-N 1 2 284.403 3.964 20 0 CHADLO CCc1cnc(C[N@H+](Cc2ccc(CC)cc2)C2CC2)o1 ZINC000075624494 1120760129 /nfs/dbraw/zinc/76/01/29/1120760129.db2.gz RVVKWBYGGCXOHO-UHFFFAOYSA-N 1 2 284.403 3.964 20 0 CHADLO FC1(F)CCC[N@H+](C[C@H]2C[C@@H]2c2ccccc2)C1 ZINC000651895788 1120772920 /nfs/dbraw/zinc/77/29/20/1120772920.db2.gz XCVLZGQDOAEOHM-ZIAGYGMSSA-N 1 2 251.320 3.521 20 0 CHADLO FC1(F)CCC[N@@H+](C[C@H]2C[C@@H]2c2ccccc2)C1 ZINC000651895788 1120772923 /nfs/dbraw/zinc/77/29/23/1120772923.db2.gz XCVLZGQDOAEOHM-ZIAGYGMSSA-N 1 2 251.320 3.521 20 0 CHADLO CC/C=C/CC[N@@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000661538714 1120776369 /nfs/dbraw/zinc/77/63/69/1120776369.db2.gz ZQRJPEKSTVHCTA-AAOUONPWSA-N 1 2 299.340 3.989 20 0 CHADLO CC/C=C/CC[N@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000661538714 1120776371 /nfs/dbraw/zinc/77/63/71/1120776371.db2.gz ZQRJPEKSTVHCTA-AAOUONPWSA-N 1 2 299.340 3.989 20 0 CHADLO CC1(C)C[C@H]([NH2+]Cc2cscn2)c2cc(F)ccc2O1 ZINC000651949620 1120789855 /nfs/dbraw/zinc/78/98/55/1120789855.db2.gz PPWXRPCOWUYDTB-ZDUSSCGKSA-N 1 2 292.379 3.674 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]C1(c2ccccc2C)CCC1 ZINC000652218074 1120800539 /nfs/dbraw/zinc/80/05/39/1120800539.db2.gz VMAMPPNZFHYXHM-CYBMUJFWSA-N 1 2 269.392 3.757 20 0 CHADLO CC[C@@H](OCC[NH2+][C@@H](C)c1cn[nH]c1C)c1ccccc1 ZINC000652236484 1120802384 /nfs/dbraw/zinc/80/23/84/1120802384.db2.gz OXKJPXJXZNPIDY-SUMWQHHRSA-N 1 2 287.407 3.537 20 0 CHADLO c1coc([C@@H]([NH2+]Cc2cncs2)c2ccccc2)c1 ZINC000054770918 1120814732 /nfs/dbraw/zinc/81/47/32/1120814732.db2.gz KXFXRWIUTMYOCJ-HNNXBMFYSA-N 1 2 270.357 3.615 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCOc3cc(C)ccc32)c1 ZINC000652330816 1120829077 /nfs/dbraw/zinc/82/90/77/1120829077.db2.gz QHDRUVWMTNTXFJ-INIZCTEOSA-N 1 2 298.386 3.862 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCSc3ccccc32)c1 ZINC000652331165 1120829987 /nfs/dbraw/zinc/82/99/87/1120829987.db2.gz ZQRHHSQCYCDIPE-CQSZACIVSA-N 1 2 286.400 3.877 20 0 CHADLO CCC(CC)CCC[N@H+](C)[C@H](C)c1noc(C)n1 ZINC000662040035 1120863596 /nfs/dbraw/zinc/86/35/96/1120863596.db2.gz YSTHSRDPLBGFNM-LLVKDONJSA-N 1 2 253.390 3.587 20 0 CHADLO CCC(CC)CCC[N@@H+](C)[C@H](C)c1noc(C)n1 ZINC000662040035 1120863599 /nfs/dbraw/zinc/86/35/99/1120863599.db2.gz YSTHSRDPLBGFNM-LLVKDONJSA-N 1 2 253.390 3.587 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1C[C@@H]1CCC(F)(F)C1 ZINC000450925437 1128946413 /nfs/dbraw/zinc/94/64/13/1128946413.db2.gz IJDZCZXGBMWLMQ-ZJUUUORDSA-N 1 2 253.283 3.541 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1C[C@@H]1CCC(F)(F)C1 ZINC000450925437 1128946415 /nfs/dbraw/zinc/94/64/15/1128946415.db2.gz IJDZCZXGBMWLMQ-ZJUUUORDSA-N 1 2 253.283 3.541 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)sc2Cl)C[C@]1(C)CO ZINC000662142983 1120885595 /nfs/dbraw/zinc/88/55/95/1120885595.db2.gz MCTIXCRFRKMILI-PRHODGIISA-N 1 2 294.247 3.505 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)sc2Cl)C[C@]1(C)CO ZINC000662142983 1120885599 /nfs/dbraw/zinc/88/55/99/1120885599.db2.gz MCTIXCRFRKMILI-PRHODGIISA-N 1 2 294.247 3.505 20 0 CHADLO CC(C)(c1csc(Cn2cc[nH+]c2)n1)c1ccccc1 ZINC000663220715 1120893055 /nfs/dbraw/zinc/89/30/55/1120893055.db2.gz VGDOTBDPMJBGSX-UHFFFAOYSA-N 1 2 283.400 3.714 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)c1 ZINC000336379731 1120897124 /nfs/dbraw/zinc/89/71/24/1120897124.db2.gz DOXUPUPTFGOFJE-CZUORRHYSA-N 1 2 269.392 3.755 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)c1 ZINC000336379729 1120897285 /nfs/dbraw/zinc/89/72/85/1120897285.db2.gz DOXUPUPTFGOFJE-BBRMVZONSA-N 1 2 269.392 3.755 20 0 CHADLO Cc1c(Cl)ccc(C[NH2+]Cc2ccno2)c1Cl ZINC000893549274 1120899722 /nfs/dbraw/zinc/89/97/22/1120899722.db2.gz OIKDQKLWKXMHQA-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)O[C@@H](CC(F)(F)F)C2)cs1 ZINC000662241972 1120909635 /nfs/dbraw/zinc/90/96/35/1120909635.db2.gz ZTMDBDODOOAOQF-SKDRFNHKSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)O[C@@H](CC(F)(F)F)C2)cs1 ZINC000662241972 1120909638 /nfs/dbraw/zinc/90/96/38/1120909638.db2.gz ZTMDBDODOOAOQF-SKDRFNHKSA-N 1 2 293.354 3.598 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662365099 1120931153 /nfs/dbraw/zinc/93/11/53/1120931153.db2.gz QAMZAQWHIVLGSV-JRPNMDOOSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662365099 1120931157 /nfs/dbraw/zinc/93/11/57/1120931157.db2.gz QAMZAQWHIVLGSV-JRPNMDOOSA-N 1 2 287.325 3.631 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@@H+]1CCO[C@](C)(C(F)F)C1 ZINC000662370681 1120931731 /nfs/dbraw/zinc/93/17/31/1120931731.db2.gz GUHYVWMWTKCJCH-ZFWWWQNUSA-N 1 2 287.325 3.633 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@H+]1CCO[C@](C)(C(F)F)C1 ZINC000662370681 1120931734 /nfs/dbraw/zinc/93/17/34/1120931734.db2.gz GUHYVWMWTKCJCH-ZFWWWQNUSA-N 1 2 287.325 3.633 20 0 CHADLO CC1(C)C[N@H+](Cc2[nH]nc3ccccc32)[C@@H]1c1cccnc1 ZINC000644835547 1120947200 /nfs/dbraw/zinc/94/72/00/1120947200.db2.gz ZDICXXPHTCDGEW-QGZVFWFLSA-N 1 2 292.386 3.541 20 0 CHADLO CC1(C)C[N@@H+](Cc2[nH]nc3ccccc32)[C@@H]1c1cccnc1 ZINC000644835547 1120947204 /nfs/dbraw/zinc/94/72/04/1120947204.db2.gz ZDICXXPHTCDGEW-QGZVFWFLSA-N 1 2 292.386 3.541 20 0 CHADLO CCC(CC)[C@H]([NH2+]Cc1ccn[nH]1)c1cccs1 ZINC000066990765 1120952125 /nfs/dbraw/zinc/95/21/25/1120952125.db2.gz HQAQKGALDDCTQA-AWEZNQCLSA-N 1 2 263.410 3.738 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1occc1C ZINC000311618846 1120955029 /nfs/dbraw/zinc/95/50/29/1120955029.db2.gz WYDKCBITUWQHDC-LLVKDONJSA-N 1 2 263.312 3.587 20 0 CHADLO Fc1cccc(-c2ccc(C[N@@H+]3CCO[C@H]4C[C@H]43)s2)c1 ZINC000644839170 1120960173 /nfs/dbraw/zinc/96/01/73/1120960173.db2.gz WNQJCOCRCNPDQM-CABCVRRESA-N 1 2 289.375 3.527 20 0 CHADLO Fc1cccc(-c2ccc(C[N@H+]3CCO[C@H]4C[C@H]43)s2)c1 ZINC000644839170 1120960180 /nfs/dbraw/zinc/96/01/80/1120960180.db2.gz WNQJCOCRCNPDQM-CABCVRRESA-N 1 2 289.375 3.527 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cnc(C3CC3)s1)CC2 ZINC000093383825 1128952863 /nfs/dbraw/zinc/95/28/63/1128952863.db2.gz ODXSGFULQXZOIF-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cnc(C3CC3)s1)CC2 ZINC000093383825 1128952867 /nfs/dbraw/zinc/95/28/67/1128952867.db2.gz ODXSGFULQXZOIF-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2F)CC2CC2)c(C)o1 ZINC000661790570 1120987536 /nfs/dbraw/zinc/98/75/36/1120987536.db2.gz BFDLONSVSJUGPH-UHFFFAOYSA-N 1 2 288.366 3.843 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2F)CC2CC2)c(C)o1 ZINC000661790570 1120987539 /nfs/dbraw/zinc/98/75/39/1120987539.db2.gz BFDLONSVSJUGPH-UHFFFAOYSA-N 1 2 288.366 3.843 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc3cc(Cl)ccc3s2)on1 ZINC001648931148 1121002897 /nfs/dbraw/zinc/00/28/97/1121002897.db2.gz UMJIXJFKPGDLNY-UHFFFAOYSA-N 1 2 293.779 3.536 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(OC(C)(C)C)cc1)c1ncco1 ZINC000925785074 1121003941 /nfs/dbraw/zinc/00/39/41/1121003941.db2.gz TYNMQQWHTBMBRN-GFCCVEGCSA-N 1 2 274.364 3.703 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(OC(C)(C)C)cc1)c1ncco1 ZINC000925785075 1121004238 /nfs/dbraw/zinc/00/42/38/1121004238.db2.gz TYNMQQWHTBMBRN-LBPRGKRZSA-N 1 2 274.364 3.703 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(O)c(F)c2)cc1 ZINC001174739826 1121018945 /nfs/dbraw/zinc/01/89/45/1121018945.db2.gz FKJDZBDAVZJCJM-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(O)c(F)c2)cc1 ZINC001174739826 1121018955 /nfs/dbraw/zinc/01/89/55/1121018955.db2.gz FKJDZBDAVZJCJM-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO Cc1ccc(Nc2cncc(C(F)(F)F)c2)c(C)[nH+]1 ZINC001174740142 1121019203 /nfs/dbraw/zinc/01/92/03/1121019203.db2.gz IHPWILAOCRSTCU-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(C(F)(F)F)cc1 ZINC001174773349 1121027359 /nfs/dbraw/zinc/02/73/59/1121027359.db2.gz XOQNRHOROZGTDN-UHFFFAOYSA-N 1 2 291.276 3.935 20 0 CHADLO C[C@@H]1C[NH+](Cc2csc(C3CC3)n2)C[C@@H](C)C1(F)F ZINC000625652166 1121047076 /nfs/dbraw/zinc/04/70/76/1121047076.db2.gz BXCRBJAPINFTTO-NXEZZACHSA-N 1 2 286.391 3.744 20 0 CHADLO Cc1nc(N[C@H]2CCC[C@H]2c2ccccc2)cc[nH+]1 ZINC000343995264 1121048057 /nfs/dbraw/zinc/04/80/57/1121048057.db2.gz QZCMGPSDLCPTQF-GJZGRUSLSA-N 1 2 253.349 3.533 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3OC(C)(C)C2)cs1 ZINC000344063452 1121071687 /nfs/dbraw/zinc/07/16/87/1121071687.db2.gz CJQLJZYUFLFPBT-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3OC(C)(C)C2)cs1 ZINC000344063452 1121071694 /nfs/dbraw/zinc/07/16/94/1121071694.db2.gz CJQLJZYUFLFPBT-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO CN(C)c1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001174879719 1121079065 /nfs/dbraw/zinc/07/90/65/1121079065.db2.gz CKYXRUOZZHCYSW-UHFFFAOYSA-N 1 2 292.386 3.741 20 0 CHADLO C[C@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C1CCCC1 ZINC000674083126 1121079509 /nfs/dbraw/zinc/07/95/09/1121079509.db2.gz RDEGACGNAYGBMW-ZDUSSCGKSA-N 1 2 283.375 3.637 20 0 CHADLO CSc1ccc(C[N@@H+]2Cc3ccc(O)cc3C2)cc1 ZINC000625726019 1121088493 /nfs/dbraw/zinc/08/84/93/1121088493.db2.gz UYMMCSXCXJTEHS-UHFFFAOYSA-N 1 2 271.385 3.630 20 0 CHADLO CSc1ccc(C[N@H+]2Cc3ccc(O)cc3C2)cc1 ZINC000625726019 1121088502 /nfs/dbraw/zinc/08/85/02/1121088502.db2.gz UYMMCSXCXJTEHS-UHFFFAOYSA-N 1 2 271.385 3.630 20 0 CHADLO CC(C)OC(=O)c1cccc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001174916578 1121092377 /nfs/dbraw/zinc/09/23/77/1121092377.db2.gz ZJBMNSPJXGXHOQ-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO COc1cc(C)c(C[NH2+]C2(C(F)F)CCCC2)cc1OC ZINC000674135392 1121093821 /nfs/dbraw/zinc/09/38/21/1121093821.db2.gz PAHMTFZVAGKVEI-UHFFFAOYSA-N 1 2 299.361 3.680 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CCC(C)(C)c2ccccc21 ZINC000038011089 1121095030 /nfs/dbraw/zinc/09/50/30/1121095030.db2.gz OJHWBTBBXJBFRF-INIZCTEOSA-N 1 2 269.392 3.620 20 0 CHADLO Cc1cc(Nc2ccc(N3CCOCC3)[nH+]c2)cc(C)c1C ZINC001174977351 1121100724 /nfs/dbraw/zinc/10/07/24/1121100724.db2.gz KTALFSCHXVQWJA-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO CC(=O)OCc1cccc(C)c1Nc1ccc(C)c[nH+]1 ZINC001212767742 1121109175 /nfs/dbraw/zinc/10/91/75/1121109175.db2.gz VWGHSKVPDYRZIU-UHFFFAOYSA-N 1 2 270.332 3.505 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)C2CCCC2)c(C)[nH+]1 ZINC000674265503 1121111811 /nfs/dbraw/zinc/11/18/11/1121111811.db2.gz XHINSXIEYVEQFT-GFCCVEGCSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1ccc(Nc2ccc(F)c(F)c2F)c(C)[nH+]1 ZINC001174966349 1121116071 /nfs/dbraw/zinc/11/60/71/1121116071.db2.gz OQQSEVDQSUBGST-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@@H+]1Cc2ccc(O)cc2C1 ZINC000625727595 1121120079 /nfs/dbraw/zinc/12/00/79/1121120079.db2.gz ZRAMAMMJBNPIPD-KRWDZBQOSA-N 1 2 271.335 3.998 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@H+]1Cc2ccc(O)cc2C1 ZINC000625727595 1121120083 /nfs/dbraw/zinc/12/00/83/1121120083.db2.gz ZRAMAMMJBNPIPD-KRWDZBQOSA-N 1 2 271.335 3.998 20 0 CHADLO COc1c(C)cc(Nc2ccn3cc[nH+]c3c2)cc1C ZINC001174997007 1121124707 /nfs/dbraw/zinc/12/47/07/1121124707.db2.gz DPSWVYADGGJBIJ-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CCOc1cc(F)cc(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001175005491 1121128596 /nfs/dbraw/zinc/12/85/96/1121128596.db2.gz MFQAZWBATLYSBQ-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO CCOc1cc(F)cc(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001175004284 1121129324 /nfs/dbraw/zinc/12/93/24/1121129324.db2.gz YCWREFXWJJIBGA-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO COc1cc(Nc2cccc(-n3cc[nH+]c3)c2)ccc1C ZINC001175016385 1121135761 /nfs/dbraw/zinc/13/57/61/1121135761.db2.gz JFUJKHKEIVUMQK-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO COc1ccc(Nc2[nH+]c(C)ccc2C)c(F)c1F ZINC001175019714 1121137722 /nfs/dbraw/zinc/13/77/22/1121137722.db2.gz CXYOTTVJJKITMD-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO COc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c(F)c1F ZINC001175022668 1121138663 /nfs/dbraw/zinc/13/86/63/1121138663.db2.gz AYRQLVUBUSTPST-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2[C@H](C)c2noc(C)n2)s1 ZINC000351517152 1121140703 /nfs/dbraw/zinc/14/07/03/1121140703.db2.gz IPTFRJSRCBFXRB-PWSUYJOCSA-N 1 2 277.393 3.646 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2[C@H](C)c2noc(C)n2)s1 ZINC000351517152 1121140705 /nfs/dbraw/zinc/14/07/05/1121140705.db2.gz IPTFRJSRCBFXRB-PWSUYJOCSA-N 1 2 277.393 3.646 20 0 CHADLO CCC(CC)(CC)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000643318883 1121144713 /nfs/dbraw/zinc/14/47/13/1121144713.db2.gz YXXGLEAAPKSNDL-UHFFFAOYSA-N 1 2 262.397 3.531 20 0 CHADLO Cc1cn2c(cccc2Nc2c(F)ccc(F)c2F)[nH+]1 ZINC001175027014 1121149587 /nfs/dbraw/zinc/14/95/87/1121149587.db2.gz ZFOANVIZDQBKEJ-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO CC(C)CCc1nc(C[N@@H+]2CCSC[C@@H]2C)cs1 ZINC000172436553 1121149723 /nfs/dbraw/zinc/14/97/23/1121149723.db2.gz DCAWKYSUIFZSSC-LBPRGKRZSA-N 1 2 284.494 3.669 20 0 CHADLO CC(C)CCc1nc(C[N@H+]2CCSC[C@@H]2C)cs1 ZINC000172436553 1121149728 /nfs/dbraw/zinc/14/97/28/1121149728.db2.gz DCAWKYSUIFZSSC-LBPRGKRZSA-N 1 2 284.494 3.669 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)ccc(F)c2F)c[nH+]1 ZINC001175027654 1121149788 /nfs/dbraw/zinc/14/97/88/1121149788.db2.gz FSOOPGQYGLBSKA-UHFFFAOYSA-N 1 2 281.281 3.699 20 0 CHADLO Cc1ccc(CC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)s1 ZINC000162846160 1121160093 /nfs/dbraw/zinc/16/00/93/1121160093.db2.gz SYKGMSHOSHEYFR-UHFFFAOYSA-N 1 2 297.383 3.628 20 0 CHADLO CCOc1cc(Nc2cc(OC)cc(OC)c2)cc(C)[nH+]1 ZINC001175105794 1121167472 /nfs/dbraw/zinc/16/74/72/1121167472.db2.gz HDZKUDGBODJNAY-UHFFFAOYSA-N 1 2 288.347 3.550 20 0 CHADLO Cc1cc(F)ccc1CNc1ccc([NH+](C)C)cc1 ZINC000060117836 1121172414 /nfs/dbraw/zinc/17/24/14/1121172414.db2.gz NMWAGJYMQSBNFB-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO CN(c1ccc(F)c(C(F)(F)F)c1)c1[nH+]cccc1N ZINC001175072669 1121173196 /nfs/dbraw/zinc/17/31/96/1121173196.db2.gz FDWAJOLTNQEOBW-UHFFFAOYSA-N 1 2 285.244 3.590 20 0 CHADLO CCOc1ccc(F)cc1Nc1[nH+]c2ccccc2n1C ZINC001175079911 1121175232 /nfs/dbraw/zinc/17/52/32/1121175232.db2.gz QPPSABNZLUQWBU-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(-c2ccsc2)s1)c1ccon1 ZINC000351591684 1121179825 /nfs/dbraw/zinc/17/98/25/1121179825.db2.gz GFTAJNXJSLRIMV-SECBINFHSA-N 1 2 291.401 3.710 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(C(F)F)nc1)c1cscn1 ZINC000658153281 1121185094 /nfs/dbraw/zinc/18/50/94/1121185094.db2.gz SSTSZBVEBKZYQH-IUCAKERBSA-N 1 2 283.347 3.888 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)ccc(OC)c1F ZINC001175118181 1121189544 /nfs/dbraw/zinc/18/95/44/1121189544.db2.gz UOEAYPGSLMGWCZ-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CCOc1ccc(C)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175123876 1121193027 /nfs/dbraw/zinc/19/30/27/1121193027.db2.gz YSVNNMQJSJWEAQ-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO Cc1ccc2c(Nc3cc(CO)ccc3F)cccc2[nH+]1 ZINC001175132566 1121196946 /nfs/dbraw/zinc/19/69/46/1121196946.db2.gz FQLMVZKMWZNKEK-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(C(F)(F)F)c1F ZINC001175135123 1121198083 /nfs/dbraw/zinc/19/80/83/1121198083.db2.gz ACPWVXHCWAOLID-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]ccn32)cc1C ZINC001175166908 1121212710 /nfs/dbraw/zinc/21/27/10/1121212710.db2.gz UZILPGOCXKPAHT-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO CCOc1cc(C)c(Nc2c[nH+]ccc2OC)c(C)c1 ZINC001175171929 1121215266 /nfs/dbraw/zinc/21/52/66/1121215266.db2.gz QIUULOOBHXECJN-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CCOc1cc(Nc2cccc3[nH+]ccn32)c(F)cc1F ZINC001212528706 1121215687 /nfs/dbraw/zinc/21/56/87/1121215687.db2.gz KBNZSDJHKHSIOV-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO Clc1ccc(C[NH2+][C@@H]2COc3c2cccc3Cl)o1 ZINC000675103465 1121217103 /nfs/dbraw/zinc/21/71/03/1121217103.db2.gz UBMLLFTZPCGIOL-LLVKDONJSA-N 1 2 284.142 3.810 20 0 CHADLO CCOc1cc(Nc2cc(C)[nH+]c(OC)c2)c(F)cc1F ZINC001212529923 1121219097 /nfs/dbraw/zinc/21/90/97/1121219097.db2.gz ZKWLZHAYDSHKEP-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO Cc1ccc(NCc2cc(F)c(F)c(F)c2)c(C)[nH+]1 ZINC000094664500 1121219230 /nfs/dbraw/zinc/21/92/30/1121219230.db2.gz BTRWNDJEFIMUMO-UHFFFAOYSA-N 1 2 266.266 3.728 20 0 CHADLO Cc1ccc(NCc2c[nH]nc2-c2cccs2)c(C)[nH+]1 ZINC000094664393 1121219639 /nfs/dbraw/zinc/21/96/39/1121219639.db2.gz IMELPPOHQHRZBD-UHFFFAOYSA-N 1 2 284.388 3.762 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1coc(C2CC2)n1 ZINC000809765122 1121222623 /nfs/dbraw/zinc/22/26/23/1121222623.db2.gz LIWPFNGASUHSER-HNNXBMFYSA-N 1 2 271.364 3.530 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1coc(C2CC2)n1 ZINC000809765122 1121222626 /nfs/dbraw/zinc/22/26/26/1121222626.db2.gz LIWPFNGASUHSER-HNNXBMFYSA-N 1 2 271.364 3.530 20 0 CHADLO CCOc1ccc(OC)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001175213258 1121225245 /nfs/dbraw/zinc/22/52/45/1121225245.db2.gz ADOOUIVGAJJCBO-UHFFFAOYSA-N 1 2 297.358 3.724 20 0 CHADLO CCOc1cccc(F)c1Nc1cc(OC)c(C)c[nH+]1 ZINC001175225128 1121231792 /nfs/dbraw/zinc/23/17/92/1121231792.db2.gz XVQBDSZXUAJENP-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO C[C@H]1CCN(c2nccc3sccc32)C[C@@H]1n1cc[nH+]c1 ZINC000675228910 1121237315 /nfs/dbraw/zinc/23/73/15/1121237315.db2.gz SXRHDLSSIJJTNG-JSGCOSHPSA-N 1 2 298.415 3.580 20 0 CHADLO CCCCOc1ccc(Nc2[nH+]cccc2CCO)cc1 ZINC001175241265 1121240606 /nfs/dbraw/zinc/24/06/06/1121240606.db2.gz JRFMELMIDAICAQ-UHFFFAOYSA-N 1 2 286.375 3.539 20 0 CHADLO CSc1cccc(Nc2cccc3[nH+]ccn32)c1 ZINC001175283782 1121251829 /nfs/dbraw/zinc/25/18/29/1121251829.db2.gz OUFCXTFQRQQJOH-UHFFFAOYSA-N 1 2 255.346 3.800 20 0 CHADLO CCOc1cc(F)ccc1Nc1c[nH+]c(C)cc1C ZINC001175286401 1121253684 /nfs/dbraw/zinc/25/36/84/1121253684.db2.gz BGLVMCRGZUROMM-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCc1nc(C[N@H+](C)[C@H](c2ccccc2C)C(C)C)no1 ZINC000112357840 1121254494 /nfs/dbraw/zinc/25/44/94/1121254494.db2.gz IZTYXZATHOJROO-KRWDZBQOSA-N 1 2 287.407 3.770 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@H](c2ccccc2C)C(C)C)no1 ZINC000112357840 1121254498 /nfs/dbraw/zinc/25/44/98/1121254498.db2.gz IZTYXZATHOJROO-KRWDZBQOSA-N 1 2 287.407 3.770 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccccc2C(F)(F)F)o1 ZINC000112534966 1121256806 /nfs/dbraw/zinc/25/68/06/1121256806.db2.gz NRUQBFTXGVXKGP-DTWKUNHWSA-N 1 2 299.296 3.809 20 0 CHADLO CC(C)Cc1ccc(Nc2[nH+]cccc2CCO)cc1 ZINC001175297135 1121260599 /nfs/dbraw/zinc/26/05/99/1121260599.db2.gz VVOCCMUFHGGBRX-UHFFFAOYSA-N 1 2 270.376 3.559 20 0 CHADLO CCOc1cc(Nc2cccc3[nH+]c(C)cn32)ccc1OC ZINC001212538713 1121266310 /nfs/dbraw/zinc/26/63/10/1121266310.db2.gz GSMSWPUANRTYHZ-UHFFFAOYSA-N 1 2 297.358 3.794 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@H]1CCCC12CCOCC2 ZINC000282559893 1121268603 /nfs/dbraw/zinc/26/86/03/1121268603.db2.gz SPZYLFSWFFKHAJ-KRWDZBQOSA-N 1 2 288.435 3.822 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CCCC12CCOCC2 ZINC000282559893 1121268607 /nfs/dbraw/zinc/26/86/07/1121268607.db2.gz SPZYLFSWFFKHAJ-KRWDZBQOSA-N 1 2 288.435 3.822 20 0 CHADLO CCOc1cc(Nc2ccc3c(c2)[nH+]cn3C)ccc1OC ZINC001212542627 1121271558 /nfs/dbraw/zinc/27/15/58/1121271558.db2.gz UURIIZRDESPXGN-UHFFFAOYSA-N 1 2 297.358 3.724 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2OC(F)(F)F)c[nH+]1 ZINC001175341208 1121271759 /nfs/dbraw/zinc/27/17/59/1121271759.db2.gz DXOPIUYWRXGKCY-UHFFFAOYSA-N 1 2 297.280 3.790 20 0 CHADLO CN(C)c1ccc(Nc2cccc(-c3cccnc3)c2)c[nH+]1 ZINC001175343123 1121272666 /nfs/dbraw/zinc/27/26/66/1121272666.db2.gz XQAYCHGDPXBEFS-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO Cc1nc2cc(Nc3ccc(N(C)C)[nH+]c3)ccc2s1 ZINC001175341675 1121273003 /nfs/dbraw/zinc/27/30/03/1121273003.db2.gz IDRYYCNGKUPRSM-UHFFFAOYSA-N 1 2 284.388 3.809 20 0 CHADLO Cc1cc(Cl)c(Nc2ccc(N(C)C)[nH+]c2)cc1O ZINC001175345534 1121273730 /nfs/dbraw/zinc/27/37/30/1121273730.db2.gz DMRGLVNNJSCYJD-UHFFFAOYSA-N 1 2 277.755 3.559 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)n(C(C)C)n1 ZINC001175345676 1121274290 /nfs/dbraw/zinc/27/42/90/1121274290.db2.gz CHFLMJYCKSJQBF-UHFFFAOYSA-N 1 2 285.395 3.511 20 0 CHADLO CN(C)c1ccc(Nc2cccc3cccc(N)c32)c[nH+]1 ZINC001175344784 1121274689 /nfs/dbraw/zinc/27/46/89/1121274689.db2.gz HDDQXDAGSVVBNT-UHFFFAOYSA-N 1 2 278.359 3.627 20 0 CHADLO Cc1ccc(OC(C)C)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175344266 1121274706 /nfs/dbraw/zinc/27/47/06/1121274706.db2.gz CBXRXNPICHRYQA-UHFFFAOYSA-N 1 2 285.391 3.987 20 0 CHADLO c1cc(C2CC2)ncc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175348175 1121275956 /nfs/dbraw/zinc/27/59/56/1121275956.db2.gz AVWHCMOPHVAYAY-UHFFFAOYSA-N 1 2 280.375 3.698 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCC2)c(F)c1 ZINC001175320512 1121281596 /nfs/dbraw/zinc/28/15/96/1121281596.db2.gz HPOHLVMMJRETIA-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO COc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)ccc1F ZINC001175396674 1121291790 /nfs/dbraw/zinc/29/17/90/1121291790.db2.gz IYCLNUBFJAMJQU-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO Cc1nc2c(cccc2Nc2ccc(N3CCCC3)[nH+]c2)o1 ZINC001175350995 1121292441 /nfs/dbraw/zinc/29/24/41/1121292441.db2.gz GRNAIMCCIHOVJY-UHFFFAOYSA-N 1 2 294.358 3.875 20 0 CHADLO c1cn2c(cccc2Nc2ccc3ncccc3c2)[nH+]1 ZINC001175374647 1121301906 /nfs/dbraw/zinc/30/19/06/1121301906.db2.gz RCALMBGWAMERMF-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO COc1cc(Nc2cccn3cc(C)[nH+]c23)ccc1F ZINC001175395205 1121313279 /nfs/dbraw/zinc/31/32/79/1121313279.db2.gz SWUWKCXIYNOIAX-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CCc1ccc(C[NH2+][C@@H]2COc3c2cccc3Cl)o1 ZINC000675477689 1121314930 /nfs/dbraw/zinc/31/49/30/1121314930.db2.gz IHCGPONYVYYMOL-CQSZACIVSA-N 1 2 277.751 3.719 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cnc2n[nH]cc2c1 ZINC001175403881 1121316501 /nfs/dbraw/zinc/31/65/01/1121316501.db2.gz IEZKHNSJKGTNCE-UHFFFAOYSA-N 1 2 281.363 3.603 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3c(cnn3C)c2)cc1 ZINC001175423421 1121320889 /nfs/dbraw/zinc/32/08/89/1121320889.db2.gz QFNMMHAZPRSUTK-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3c(cnn3C)c2)cc1 ZINC001175423421 1121320898 /nfs/dbraw/zinc/32/08/98/1121320898.db2.gz QFNMMHAZPRSUTK-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO Cc1ccc(Nc2ccc3n[nH]cc3c2C)c(C)[nH+]1 ZINC001175465743 1121329531 /nfs/dbraw/zinc/32/95/31/1121329531.db2.gz CIZBCYRUODQQKT-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1ccn(C2CCCC2)n1 ZINC000675639747 1121332250 /nfs/dbraw/zinc/33/22/50/1121332250.db2.gz TZBRZFXBRDOUPV-UHFFFAOYSA-N 1 2 289.345 3.773 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1ccn(C2CCCC2)n1 ZINC000675639747 1121332258 /nfs/dbraw/zinc/33/22/58/1121332258.db2.gz TZBRZFXBRDOUPV-UHFFFAOYSA-N 1 2 289.345 3.773 20 0 CHADLO CCOc1cccnc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491184 1121344184 /nfs/dbraw/zinc/34/41/84/1121344184.db2.gz AYKOTKHMDJASDT-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnc(C(F)(F)F)cn1 ZINC001213491297 1121344772 /nfs/dbraw/zinc/34/47/72/1121344772.db2.gz GKLHFRFQRARIGI-UHFFFAOYSA-N 1 2 294.280 3.820 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc2cn(C)nc21 ZINC001175455173 1121347413 /nfs/dbraw/zinc/34/74/13/1121347413.db2.gz BVYJPHQFGYNWNA-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+](C/C(Cl)=C/Cl)C2)c1 ZINC000763533833 1128979597 /nfs/dbraw/zinc/97/95/97/1128979597.db2.gz PYXOXJGKAJEOFU-HBZGRHIISA-N 1 2 274.166 3.934 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+](C/C(Cl)=C/Cl)C2)c1 ZINC000763533833 1128979598 /nfs/dbraw/zinc/97/95/98/1128979598.db2.gz PYXOXJGKAJEOFU-HBZGRHIISA-N 1 2 274.166 3.934 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2COc3c2cccc3Cl)n1 ZINC000675809569 1121365211 /nfs/dbraw/zinc/36/52/11/1121365211.db2.gz KWSYJKLDAAQVBQ-CABZTGNLSA-N 1 2 294.807 3.889 20 0 CHADLO COc1cc(C)cc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001211726690 1121372942 /nfs/dbraw/zinc/37/29/42/1121372942.db2.gz RUXVNLOYANWGRS-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+]1C[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC000675891866 1121375735 /nfs/dbraw/zinc/37/57/35/1121375735.db2.gz DABSGZNZKINVEZ-SGMGOOAPSA-N 1 2 287.325 3.708 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+]1C[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC000675891866 1121375745 /nfs/dbraw/zinc/37/57/45/1121375745.db2.gz DABSGZNZKINVEZ-SGMGOOAPSA-N 1 2 287.325 3.708 20 0 CHADLO OCc1ccc(Nc2cccc(C3CCC3)[nH+]2)cc1 ZINC001175564077 1121389344 /nfs/dbraw/zinc/38/93/44/1121389344.db2.gz USDCKBJGXYFLHF-UHFFFAOYSA-N 1 2 254.333 3.585 20 0 CHADLO Cl/C=C(\Cl)C[NH2+][C@@H](Cn1cccn1)c1ccccc1 ZINC000763560277 1128983535 /nfs/dbraw/zinc/98/35/35/1128983535.db2.gz LIYAXSDSDDATEN-XXYUJHKVSA-N 1 2 296.201 3.533 20 0 CHADLO Cc1n[nH]cc1[C@H](C)[NH2+][C@H](c1ccccc1)c1ccccn1 ZINC000676159529 1121410740 /nfs/dbraw/zinc/41/07/40/1121410740.db2.gz DQDRXMARKAXERP-SCLBCKFNSA-N 1 2 292.386 3.553 20 0 CHADLO Cn1cc2ccc(Nc3ccc(-n4cc[nH+]c4)cc3)cc2n1 ZINC001175611696 1121411549 /nfs/dbraw/zinc/41/15/49/1121411549.db2.gz FHCVFZBNNORQJE-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1n[nH]c2ccc(Nc3ccc(C)[nH+]c3C)cc12 ZINC001175693022 1121415070 /nfs/dbraw/zinc/41/50/70/1121415070.db2.gz VRYGYTMZVNCBID-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1[nH]nc2ccc(Nc3ccc(C)[nH+]c3C)cc21 ZINC001175693022 1121415074 /nfs/dbraw/zinc/41/50/74/1121415074.db2.gz VRYGYTMZVNCBID-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1n[nH]c2ccc(Nc3c(C)cc[nH+]c3C)cc12 ZINC001175696150 1121417013 /nfs/dbraw/zinc/41/70/13/1121417013.db2.gz UUUXXTVAPYUFOQ-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1[nH]nc2ccc(Nc3c(C)cc[nH+]c3C)cc21 ZINC001175696150 1121417017 /nfs/dbraw/zinc/41/70/17/1121417017.db2.gz UUUXXTVAPYUFOQ-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO CC(C)c1noc(CCCNc2cc3ccccc3c[nH+]2)n1 ZINC000631076936 1128984095 /nfs/dbraw/zinc/98/40/95/1128984095.db2.gz STXXMHGXNJLACE-UHFFFAOYSA-N 1 2 296.374 3.786 20 0 CHADLO CC[C@@H]([NH2+]C(CF)CF)c1ccc(Br)cc1 ZINC000419202713 1121420289 /nfs/dbraw/zinc/42/02/89/1121420289.db2.gz GSXSQTHVAYPNLQ-GFCCVEGCSA-N 1 2 292.167 3.797 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(C3CC3)cs2)c(F)c1 ZINC000631091846 1128984847 /nfs/dbraw/zinc/98/48/47/1128984847.db2.gz RQWCNFVOFVYZHD-UHFFFAOYSA-N 1 2 276.380 3.758 20 0 CHADLO CC[N@H+](Cc1nc(C(C)C)c[nH]1)Cc1ccccc1 ZINC000893871845 1121434728 /nfs/dbraw/zinc/43/47/28/1121434728.db2.gz NBDXDXVDEPALMP-UHFFFAOYSA-N 1 2 257.381 3.555 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)C)c[nH]1)Cc1ccccc1 ZINC000893871845 1121434733 /nfs/dbraw/zinc/43/47/33/1121434733.db2.gz NBDXDXVDEPALMP-UHFFFAOYSA-N 1 2 257.381 3.555 20 0 CHADLO COCc1cccc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175714619 1121434878 /nfs/dbraw/zinc/43/48/78/1121434878.db2.gz STPNKTLLSISSSM-UHFFFAOYSA-N 1 2 297.280 3.573 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ccc(F)cc2F)c[nH+]1 ZINC001175712836 1121434917 /nfs/dbraw/zinc/43/49/17/1121434917.db2.gz UDJOLARUMOGLPP-UHFFFAOYSA-N 1 2 289.207 3.704 20 0 CHADLO COc1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)c(C)c1 ZINC001175712963 1121435236 /nfs/dbraw/zinc/43/52/36/1121435236.db2.gz VMBMPFTWUQWRFG-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CC[N@H+](Cc1nc(C(C)C)c[nH]1)Cc1ccc(OC)cc1 ZINC000893946235 1121445199 /nfs/dbraw/zinc/44/51/99/1121445199.db2.gz VSJKAALAGWRIJF-UHFFFAOYSA-N 1 2 287.407 3.564 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)C)c[nH]1)Cc1ccc(OC)cc1 ZINC000893946235 1121445202 /nfs/dbraw/zinc/44/52/02/1121445202.db2.gz VSJKAALAGWRIJF-UHFFFAOYSA-N 1 2 287.407 3.564 20 0 CHADLO Fc1cccc(/C=C\C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)c1 ZINC000893987939 1121452999 /nfs/dbraw/zinc/45/29/99/1121452999.db2.gz WSMJWRZTEHACHM-LEXSJLIMSA-N 1 2 285.366 3.789 20 0 CHADLO Fc1cccc(/C=C\C[N@H+]2CCCC[C@H]2c2ncc[nH]2)c1 ZINC000893987939 1121453004 /nfs/dbraw/zinc/45/30/04/1121453004.db2.gz WSMJWRZTEHACHM-LEXSJLIMSA-N 1 2 285.366 3.789 20 0 CHADLO Cc1ccsc1CCCC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000676640195 1121452996 /nfs/dbraw/zinc/45/29/96/1121452996.db2.gz PWMXRFFBZDPFIP-UHFFFAOYSA-N 1 2 299.399 3.666 20 0 CHADLO c1cn(Cc2ccc(Nc3cnn(C4CCC4)c3)cc2)c[nH+]1 ZINC001175757541 1121455106 /nfs/dbraw/zinc/45/51/06/1121455106.db2.gz QQWNBKZXWUXSSG-UHFFFAOYSA-N 1 2 293.374 3.597 20 0 CHADLO C[C@@H]1COCCC[N@H+]1Cc1cc(Cl)ccc1Cl ZINC000676713588 1121459142 /nfs/dbraw/zinc/45/91/42/1121459142.db2.gz QNTNZZRFZXSYIJ-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1COCCC[N@@H+]1Cc1cc(Cl)ccc1Cl ZINC000676713588 1121459140 /nfs/dbraw/zinc/45/91/40/1121459140.db2.gz QNTNZZRFZXSYIJ-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CCC[C@H](Nc3ccccc3)C2)n1 ZINC000894063950 1121463653 /nfs/dbraw/zinc/46/36/53/1121463653.db2.gz HFLUSSYUSNSYPU-INIZCTEOSA-N 1 2 298.434 3.610 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CCC[C@H](Nc3ccccc3)C2)n1 ZINC000894063950 1121463656 /nfs/dbraw/zinc/46/36/56/1121463656.db2.gz HFLUSSYUSNSYPU-INIZCTEOSA-N 1 2 298.434 3.610 20 0 CHADLO COCCOc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001175784769 1121464281 /nfs/dbraw/zinc/46/42/81/1121464281.db2.gz AAECXOVQTGHLAO-UHFFFAOYSA-N 1 2 284.359 3.728 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cnn(-c4ccccc4)c3)ccc21 ZINC001175794816 1121467156 /nfs/dbraw/zinc/46/71/56/1121467156.db2.gz QKUSZAOQBFNWPN-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO COc1cc(Nc2cnn(C3CCCCC3)c2)cc(C)[nH+]1 ZINC001175879531 1121474928 /nfs/dbraw/zinc/47/49/28/1121474928.db2.gz UZSGQOZLSBRZII-UHFFFAOYSA-N 1 2 286.379 3.844 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CCN1c1[nH+]ccc2c(N)cccc21 ZINC000663860117 1121477129 /nfs/dbraw/zinc/47/71/29/1121477129.db2.gz FHJYHDUCPMZOHQ-SKDRFNHKSA-N 1 2 295.308 3.594 20 0 CHADLO Cc1cc(C)c(Nc2cccc3c2ccn3C)c[nH+]1 ZINC001175867088 1121485324 /nfs/dbraw/zinc/48/53/24/1121485324.db2.gz ULWPVVQOGCZGJL-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO CCCc1ncc(CNc2cc(C)[nH+]c(C3CCC3)n2)o1 ZINC000894099299 1121486749 /nfs/dbraw/zinc/48/67/49/1121486749.db2.gz JZWVIYCWTYSLCE-UHFFFAOYSA-N 1 2 286.379 3.605 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2nnc(C)s2)C2CCCC2)o1 ZINC000543596313 1121499321 /nfs/dbraw/zinc/49/93/21/1121499321.db2.gz CMNUFINOJKCJOM-OAHLLOKOSA-N 1 2 291.420 3.769 20 0 CHADLO COC(=O)[C@H](c1ccccc1F)[N@H+](C)C[C@@H](C)C(C)(C)C ZINC001175984145 1121512286 /nfs/dbraw/zinc/51/22/86/1121512286.db2.gz REVOEMAOUGPIRJ-DOMZBBRYSA-N 1 2 295.398 3.654 20 0 CHADLO COC(=O)[C@H](c1ccccc1F)[N@@H+](C)C[C@@H](C)C(C)(C)C ZINC001175984145 1121512294 /nfs/dbraw/zinc/51/22/94/1121512294.db2.gz REVOEMAOUGPIRJ-DOMZBBRYSA-N 1 2 295.398 3.654 20 0 CHADLO Cc1nnc(-c2cccc(Nc3c[nH+]c(C)cc3C)c2)o1 ZINC001175989154 1121515036 /nfs/dbraw/zinc/51/50/36/1121515036.db2.gz DZDJDZSCZBSHBG-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1cc(Br)ccc1F ZINC000429300110 1121516834 /nfs/dbraw/zinc/51/68/34/1121516834.db2.gz ZFJBYMYUJLCRSQ-NSHDSACASA-N 1 2 272.161 3.573 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1cc(Br)ccc1F ZINC000429300110 1121516836 /nfs/dbraw/zinc/51/68/36/1121516836.db2.gz ZFJBYMYUJLCRSQ-NSHDSACASA-N 1 2 272.161 3.573 20 0 CHADLO c1cn(Cc2ccc(Nc3cccc4c3OCC4)cc2)c[nH+]1 ZINC001176076433 1121532278 /nfs/dbraw/zinc/53/22/78/1121532278.db2.gz QWVCZKOEHSRXQD-UHFFFAOYSA-N 1 2 291.354 3.610 20 0 CHADLO Clc1ccc(-c2cc(Nc3[nH]cc[nH+]3)no2)s1 ZINC001176082702 1121532840 /nfs/dbraw/zinc/53/28/40/1121532840.db2.gz SRDCNBQEBWRFIQ-UHFFFAOYSA-N 1 2 266.713 3.523 20 0 CHADLO FC(F)[C@@H]([NH2+]CCCO[C@H]1CCCCO1)c1ccccc1 ZINC000433049328 1121534196 /nfs/dbraw/zinc/53/41/96/1121534196.db2.gz SRFFYEPABQPQHH-GJZGRUSLSA-N 1 2 299.361 3.516 20 0 CHADLO CCOC1CC(C[N@H+](CC)c2cc(C)ccc2C)C1 ZINC000509344521 1121538271 /nfs/dbraw/zinc/53/82/71/1121538271.db2.gz UGGRYFZBRFTYGB-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO CCOC1CC(C[N@@H+](CC)c2cc(C)ccc2C)C1 ZINC000509344521 1121538277 /nfs/dbraw/zinc/53/82/77/1121538277.db2.gz UGGRYFZBRFTYGB-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(Br)c1 ZINC001176120400 1121556464 /nfs/dbraw/zinc/55/64/64/1121556464.db2.gz ADDGWCMDARKISD-UHFFFAOYSA-N 1 2 279.137 3.596 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(-c2cccc(F)c2)no1 ZINC001176119687 1121557485 /nfs/dbraw/zinc/55/74/85/1121557485.db2.gz ZGUBIEULBBWLGF-UHFFFAOYSA-N 1 2 285.278 3.628 20 0 CHADLO CC[C@@H](COc1ccccc1-n1cc[nH+]c1)CC(F)F ZINC000663977954 1121557607 /nfs/dbraw/zinc/55/76/07/1121557607.db2.gz FIUVCGYNDRTIIO-GFCCVEGCSA-N 1 2 280.318 3.933 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cc2ccccn2)cc1 ZINC001176124176 1121558315 /nfs/dbraw/zinc/55/83/15/1121558315.db2.gz KGETXMXFDGBCOR-UHFFFAOYSA-N 1 2 291.354 3.820 20 0 CHADLO COc1cc[nH+]cc1-n1c2ccccc2c2cccc(N)c21 ZINC001176123537 1121558431 /nfs/dbraw/zinc/55/84/31/1121558431.db2.gz ADHXTERGCDTGOO-UHFFFAOYSA-N 1 2 289.338 3.770 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(OC)c(C)cc1Cl ZINC001176123681 1121559347 /nfs/dbraw/zinc/55/93/47/1121559347.db2.gz DSCNTQQILJWQST-UHFFFAOYSA-N 1 2 278.739 3.804 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2c1CCCCC2=O ZINC001176124518 1121560435 /nfs/dbraw/zinc/56/04/35/1121560435.db2.gz PXTHXFZWPWSULO-UHFFFAOYSA-N 1 2 282.343 3.743 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2nc(C)ccc21 ZINC001176124544 1121560705 /nfs/dbraw/zinc/56/07/05/1121560705.db2.gz QMJFPYCJRUMVOF-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCC[C@@]2(CCSC2)C1 ZINC000663984858 1121560867 /nfs/dbraw/zinc/56/08/67/1121560867.db2.gz CAAVXFQEYDTZKH-QGZVFWFLSA-N 1 2 299.443 3.541 20 0 CHADLO FC(F)(F)c1nn(C[C@@H]2CC[C@@H]3C[C@@H]3C2)c2cc[nH+]cc21 ZINC000663993890 1121565335 /nfs/dbraw/zinc/56/53/35/1121565335.db2.gz HUQGSGXDCSFKJN-MXWKQRLJSA-N 1 2 295.308 3.886 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1cccnc1C(F)(F)F ZINC000625981132 1121566301 /nfs/dbraw/zinc/56/63/01/1121566301.db2.gz GPYHNGWWWDISQP-VIFPVBQESA-N 1 2 294.267 3.720 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1cccnc1C(F)(F)F ZINC000625981132 1121566306 /nfs/dbraw/zinc/56/63/06/1121566306.db2.gz GPYHNGWWWDISQP-VIFPVBQESA-N 1 2 294.267 3.720 20 0 CHADLO CCc1cccc(Nc2ccc(OCOC)c(F)c2)[nH+]1 ZINC001176191675 1121569474 /nfs/dbraw/zinc/56/94/74/1121569474.db2.gz DCUHLJZUFIYSOT-UHFFFAOYSA-N 1 2 276.311 3.509 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2ncoc2C(C)C)cc1 ZINC000092792524 1121574387 /nfs/dbraw/zinc/57/43/87/1121574387.db2.gz FHBUNPVLKDKNKZ-CYBMUJFWSA-N 1 2 288.391 4.000 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2ncoc2C(C)C)cc1 ZINC000092792524 1121574392 /nfs/dbraw/zinc/57/43/92/1121574392.db2.gz FHBUNPVLKDKNKZ-CYBMUJFWSA-N 1 2 288.391 4.000 20 0 CHADLO Fc1c[nH+]ccc1NCc1csc(Cl)c1Cl ZINC000336667255 1121578910 /nfs/dbraw/zinc/57/89/10/1121578910.db2.gz FPVAFSCZADLVLG-UHFFFAOYSA-N 1 2 277.151 3.623 20 0 CHADLO O=C1CCc2cc(Nc3cc(Cl)c4[nH+]ccn4c3)ccc21 ZINC001176233534 1121583465 /nfs/dbraw/zinc/58/34/65/1121583465.db2.gz NPOIUCUQMYFFJS-UHFFFAOYSA-N 1 2 297.745 3.860 20 0 CHADLO C[NH+](C)Cc1ccc(Nc2ccc(S)cc2)cc1 ZINC001176293002 1121592459 /nfs/dbraw/zinc/59/24/59/1121592459.db2.gz BWSRSGJSUZFQCO-UHFFFAOYSA-N 1 2 258.390 3.781 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2CCO)cc(C)c1Cl ZINC001176337221 1121599252 /nfs/dbraw/zinc/59/92/52/1121599252.db2.gz BAJWSYLDGPQCSQ-UHFFFAOYSA-N 1 2 276.767 3.630 20 0 CHADLO Fc1cc(F)c(Nc2ccn3cc[nH+]c3c2)c(F)c1F ZINC001176348803 1121600273 /nfs/dbraw/zinc/60/02/73/1121600273.db2.gz IAKVYTVMLCFVSX-UHFFFAOYSA-N 1 2 281.212 3.634 20 0 CHADLO COc1cc(Cl)cc(Nc2cccc3[nH+]ccn32)c1 ZINC001176344006 1121602353 /nfs/dbraw/zinc/60/23/53/1121602353.db2.gz WTNYESRHCCFVMR-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO CCCc1nc(C)c(COc2ccc3c(c2)[nH+]c(C)n3C)o1 ZINC000664046974 1121605587 /nfs/dbraw/zinc/60/55/87/1121605587.db2.gz UGGRUHZSMZJZSZ-UHFFFAOYSA-N 1 2 299.374 3.710 20 0 CHADLO CC[C@H](C[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C)OC ZINC000419259976 1121607875 /nfs/dbraw/zinc/60/78/75/1121607875.db2.gz PURCOYZBPQUEHT-MAZHCROVSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C)OC ZINC000419259976 1121607880 /nfs/dbraw/zinc/60/78/80/1121607880.db2.gz PURCOYZBPQUEHT-MAZHCROVSA-N 1 2 297.826 3.527 20 0 CHADLO c1ccc2cc(N3CCC[C@H]3[C@@H]3CCCOC3)[nH+]cc2c1 ZINC000631319284 1128998355 /nfs/dbraw/zinc/99/83/55/1128998355.db2.gz MFRWJVCJGDOWLX-SJORKVTESA-N 1 2 282.387 3.630 20 0 CHADLO CNc1ccc(Nc2ncccc2-c2ccccc2)c[nH+]1 ZINC001203448996 1121628044 /nfs/dbraw/zinc/62/80/44/1121628044.db2.gz UVYIGWJEJQDRNO-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO Oc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)c(F)c1 ZINC001176414091 1121628116 /nfs/dbraw/zinc/62/81/16/1121628116.db2.gz XPSDDLKFFMEGFA-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@]3(C)[C@H](C2)C3(F)F)cs1 ZINC000428753519 1121657842 /nfs/dbraw/zinc/65/78/42/1121657842.db2.gz WUAHDNRFPJXJBB-WCQYABFASA-N 1 2 286.391 3.573 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@]3(C)[C@H](C2)C3(F)F)cs1 ZINC000428753519 1121657845 /nfs/dbraw/zinc/65/78/45/1121657845.db2.gz WUAHDNRFPJXJBB-WCQYABFASA-N 1 2 286.391 3.573 20 0 CHADLO COc1ccc(O)cc1Nc1cccc2cc[nH+]cc21 ZINC001176579048 1121659750 /nfs/dbraw/zinc/65/97/50/1121659750.db2.gz HKSWKFBWBLQAPM-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(OC(F)(F)F)cc1 ZINC001176638118 1121666616 /nfs/dbraw/zinc/66/66/16/1121666616.db2.gz NGOZTTJLZCSKEF-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO CCOc1cc(Nc2cccc(-n3cc[nH+]c3)c2)c(F)cn1 ZINC001176602997 1121668999 /nfs/dbraw/zinc/66/89/99/1121668999.db2.gz GGKDGEUXQAAPNI-UHFFFAOYSA-N 1 2 298.321 3.549 20 0 CHADLO Cc1nc(N2CCC3(CCSCC3)CC2)c(C)c(C)[nH+]1 ZINC000631445759 1129001557 /nfs/dbraw/zinc/00/15/57/1129001557.db2.gz ZOENWXQTHYGZCM-UHFFFAOYSA-N 1 2 291.464 3.515 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(-c3ccncc3)cc2)[nH+]1 ZINC001176693859 1121674968 /nfs/dbraw/zinc/67/49/68/1121674968.db2.gz FAOXHWBDWSJSNZ-UHFFFAOYSA-N 1 2 277.327 3.901 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000513272899 1121676539 /nfs/dbraw/zinc/67/65/39/1121676539.db2.gz TWGJYPFGGQHGTM-UKRRQHHQSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000513272899 1121676544 /nfs/dbraw/zinc/67/65/44/1121676544.db2.gz TWGJYPFGGQHGTM-UKRRQHHQSA-N 1 2 299.418 3.950 20 0 CHADLO CCCCc1nc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2)no1 ZINC000513265437 1121676680 /nfs/dbraw/zinc/67/66/80/1121676680.db2.gz FLYUDUXWXDOPGA-GDBMZVCRSA-N 1 2 299.418 3.995 20 0 CHADLO CCCCc1nc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2)no1 ZINC000513265437 1121676681 /nfs/dbraw/zinc/67/66/81/1121676681.db2.gz FLYUDUXWXDOPGA-GDBMZVCRSA-N 1 2 299.418 3.995 20 0 CHADLO CC(C)Oc1ncccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001176731784 1121684581 /nfs/dbraw/zinc/68/45/81/1121684581.db2.gz FMBUEONZGQHDSY-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CC[C@H](C)CC2)c(C)[nH+]1 ZINC000408463618 1121718297 /nfs/dbraw/zinc/71/82/97/1121718297.db2.gz GKJIMWVSGHDXND-YPFXGUDJSA-N 1 2 275.396 3.707 20 0 CHADLO C[C@@H]([NH2+]Cc1[nH]nc2ccccc21)c1c(F)cccc1F ZINC000727467043 1121720460 /nfs/dbraw/zinc/72/04/60/1121720460.db2.gz PRXJIRITPJXTJQ-SNVBAGLBSA-N 1 2 287.313 3.692 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2nc(C)cs2)c(Cl)c1 ZINC000727837112 1121749520 /nfs/dbraw/zinc/74/95/20/1121749520.db2.gz KFNLYLKEMXCUBD-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1csc(CNc2ccc(-n3cc[nH+]c3)c(C)c2)n1 ZINC001178138637 1121766740 /nfs/dbraw/zinc/76/67/40/1121766740.db2.gz GEEVQXMVXLYHEZ-UHFFFAOYSA-N 1 2 284.388 3.558 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCC[C@@H](C(F)F)C2)[nH+]1 ZINC001166772201 1121781410 /nfs/dbraw/zinc/78/14/10/1121781410.db2.gz GCRSJUSNKDWVCX-SNVBAGLBSA-N 1 2 274.742 3.833 20 0 CHADLO Cc1cccc(Cc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001249871496 1121789603 /nfs/dbraw/zinc/78/96/03/1121789603.db2.gz QRNXKEOTORFDHT-UHFFFAOYSA-N 1 2 252.361 3.581 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1nc3ccccc3o1)CCO2 ZINC001178492339 1121791002 /nfs/dbraw/zinc/79/10/02/1121791002.db2.gz JJMDNCVQPZULCU-CYBMUJFWSA-N 1 2 298.317 3.580 20 0 CHADLO CCC[C@@H]([NH2+]Cc1ccn(C(C)C)n1)c1cc(C)ccn1 ZINC000631583201 1129009955 /nfs/dbraw/zinc/00/99/55/1129009955.db2.gz WIMMKHUJKMKLAL-MRXNPFEDSA-N 1 2 286.423 3.798 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1noc2c1CCCC2 ZINC000175138725 1121800540 /nfs/dbraw/zinc/80/05/40/1121800540.db2.gz ZLOBANXWJUIABB-KRWDZBQOSA-N 1 2 299.418 3.619 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1noc2c1CCCC2 ZINC000175138725 1121800551 /nfs/dbraw/zinc/80/05/51/1121800551.db2.gz ZLOBANXWJUIABB-KRWDZBQOSA-N 1 2 299.418 3.619 20 0 CHADLO Cc1ccn(-c2ccc([NH2+]C[C@H]3CC=CCC3)cc2)n1 ZINC001178694003 1121807401 /nfs/dbraw/zinc/80/74/01/1121807401.db2.gz FDXHDCRYNKNHHH-HNNXBMFYSA-N 1 2 267.376 3.949 20 0 CHADLO CCS[C@@H]1CCC[C@H](Nc2[nH+]c(C)nc3[nH]ccc32)C1 ZINC000433784627 1121809310 /nfs/dbraw/zinc/80/93/10/1121809310.db2.gz XBGPVCWSUNRRPS-NWDGAFQWSA-N 1 2 290.436 3.743 20 0 CHADLO Cc1cc(N[C@H]2COCc3ccccc32)nc(C2CCC2)[nH+]1 ZINC000894231138 1121828252 /nfs/dbraw/zinc/82/82/52/1121828252.db2.gz LUUDKDJOJQINKV-INIZCTEOSA-N 1 2 295.386 3.736 20 0 CHADLO Cc1ncoc1C[NH2+][C@H](C)c1nc(-c2ccccc2)cs1 ZINC000894233428 1121836393 /nfs/dbraw/zinc/83/63/93/1121836393.db2.gz HNHBVLHBMWHLAB-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CC[N@H+](CC(=O)OCc1ccccc1)Cc1ccc(C)cc1 ZINC000731030750 1121841977 /nfs/dbraw/zinc/84/19/77/1121841977.db2.gz KBYJUWVKRZXEKK-UHFFFAOYSA-N 1 2 297.398 3.560 20 0 CHADLO CC[N@@H+](CC(=O)OCc1ccccc1)Cc1ccc(C)cc1 ZINC000731030750 1121841989 /nfs/dbraw/zinc/84/19/89/1121841989.db2.gz KBYJUWVKRZXEKK-UHFFFAOYSA-N 1 2 297.398 3.560 20 0 CHADLO Cc1cc(C)cc(CO[NH+]=C(N)Cc2cccs2)c1 ZINC000731028348 1121843352 /nfs/dbraw/zinc/84/33/52/1121843352.db2.gz BNGRTUKROIZNKD-UHFFFAOYSA-N 1 2 274.389 3.606 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)c2ccccc2C2CCC2)[nH+]1 ZINC001179096709 1121849647 /nfs/dbraw/zinc/84/96/47/1121849647.db2.gz ZVCYCHMDJHVTBO-UHFFFAOYSA-N 1 2 297.402 3.731 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)c2ccccc2C2CCC2)c[nH+]1 ZINC001179096709 1121849655 /nfs/dbraw/zinc/84/96/55/1121849655.db2.gz ZVCYCHMDJHVTBO-UHFFFAOYSA-N 1 2 297.402 3.731 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2c(s1)CCC2)c1ccco1 ZINC000345304260 1121870312 /nfs/dbraw/zinc/87/03/12/1121870312.db2.gz QYBKCQBYUHXXGD-ZJUUUORDSA-N 1 2 262.378 3.637 20 0 CHADLO Fc1ccc2onc(C[NH2+]Cc3cc(F)ccc3F)c2c1 ZINC001179390994 1121875645 /nfs/dbraw/zinc/87/56/45/1121875645.db2.gz OIXRYQFDTIPXIR-UHFFFAOYSA-N 1 2 292.260 3.535 20 0 CHADLO Cc1cc(CNc2cnn(C3CCCC3)c2)cc(C)[nH+]1 ZINC001179630756 1121897395 /nfs/dbraw/zinc/89/73/95/1121897395.db2.gz FGKUTVIGIQPVEU-UHFFFAOYSA-N 1 2 270.380 3.622 20 0 CHADLO C[C@@H](c1ccc(C(F)(F)F)cc1)[N@H+](C)Cc1ncc[nH]1 ZINC000732199573 1121911895 /nfs/dbraw/zinc/91/18/95/1121911895.db2.gz JUIHKCQFHWRVSB-JTQLQIEISA-N 1 2 283.297 3.622 20 0 CHADLO C[C@@H](c1ccc(C(F)(F)F)cc1)[N@@H+](C)Cc1ncc[nH]1 ZINC000732199573 1121911904 /nfs/dbraw/zinc/91/19/04/1121911904.db2.gz JUIHKCQFHWRVSB-JTQLQIEISA-N 1 2 283.297 3.622 20 0 CHADLO C[C@H]1CCOCC[N@@H+]1Cc1cccc(Cl)c1Cl ZINC000773837053 1121918741 /nfs/dbraw/zinc/91/87/41/1121918741.db2.gz XYBVYQUKPUJANQ-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@H]1CCOCC[N@H+]1Cc1cccc(Cl)c1Cl ZINC000773837053 1121918745 /nfs/dbraw/zinc/91/87/45/1121918745.db2.gz XYBVYQUKPUJANQ-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO CC(C)(F)C[NH2+][C@H](c1ccc(F)cc1)c1ccccn1 ZINC000631660373 1129019485 /nfs/dbraw/zinc/01/94/85/1129019485.db2.gz VMSSUKWJGIMQKO-OAHLLOKOSA-N 1 2 276.330 3.648 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000822268641 1121950733 /nfs/dbraw/zinc/95/07/33/1121950733.db2.gz WQHWROBCSKMULQ-DTWKUNHWSA-N 1 2 299.296 3.809 20 0 CHADLO CC[C@H](C)[C@H](C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231083054 1121964373 /nfs/dbraw/zinc/96/43/73/1121964373.db2.gz BIYCVWFSZNJBIC-STQMWFEESA-N 1 2 258.365 3.901 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733304803 1121971822 /nfs/dbraw/zinc/97/18/22/1121971822.db2.gz UEKRVJLQMJWGMF-UHFFFAOYSA-N 1 2 272.392 3.992 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733304803 1121971828 /nfs/dbraw/zinc/97/18/28/1121971828.db2.gz UEKRVJLQMJWGMF-UHFFFAOYSA-N 1 2 272.392 3.992 20 0 CHADLO Cc1ccccc1-c1noc([C@H](C)[N@@H+]2CC[C@](C)(F)C2)n1 ZINC000501396038 1121973475 /nfs/dbraw/zinc/97/34/75/1121973475.db2.gz UMQGNSIZVMSOAL-LRDDRELGSA-N 1 2 289.354 3.540 20 0 CHADLO Cc1ccccc1-c1noc([C@H](C)[N@H+]2CC[C@](C)(F)C2)n1 ZINC000501396038 1121973481 /nfs/dbraw/zinc/97/34/81/1121973481.db2.gz UMQGNSIZVMSOAL-LRDDRELGSA-N 1 2 289.354 3.540 20 0 CHADLO CC[C@@H]1C[C@@H](Nc2ccc3ccc(OC)cc3[nH+]2)CCO1 ZINC000894246364 1121974482 /nfs/dbraw/zinc/97/44/82/1121974482.db2.gz AUJBCHWOCHEZBA-UONOGXRCSA-N 1 2 286.375 3.613 20 0 CHADLO Cc1ccccc1OCC[N@@H+](C)Cc1ncc(C(C)C)o1 ZINC000733308385 1121975162 /nfs/dbraw/zinc/97/51/62/1121975162.db2.gz ZAIGMMYTSNSHLB-UHFFFAOYSA-N 1 2 288.391 3.617 20 0 CHADLO Cc1ccccc1OCC[N@H+](C)Cc1ncc(C(C)C)o1 ZINC000733308385 1121975166 /nfs/dbraw/zinc/97/51/66/1121975166.db2.gz ZAIGMMYTSNSHLB-UHFFFAOYSA-N 1 2 288.391 3.617 20 0 CHADLO CC[C@@H]1C[C@@H](Nc2cc[nH+]c3c(OC)cccc23)CCO1 ZINC000420614488 1122026390 /nfs/dbraw/zinc/02/63/90/1122026390.db2.gz AMKYATSHBYKGDC-QWHCGFSZSA-N 1 2 286.375 3.613 20 0 CHADLO Fc1cccc(Cc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001249990829 1122028620 /nfs/dbraw/zinc/02/86/20/1122028620.db2.gz GXJBCWBCFROHQH-UHFFFAOYSA-N 1 2 252.292 3.807 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CCC[C@@H](CC(F)(F)F)C2)s1 ZINC000420942660 1122053895 /nfs/dbraw/zinc/05/38/95/1122053895.db2.gz HGIXGFCUXRCNOJ-WPRPVWTQSA-N 1 2 293.358 3.572 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CCC[C@@H](CC(F)(F)F)C2)s1 ZINC000420942660 1122053903 /nfs/dbraw/zinc/05/39/03/1122053903.db2.gz HGIXGFCUXRCNOJ-WPRPVWTQSA-N 1 2 293.358 3.572 20 0 CHADLO Cc1ccnc(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)n1 ZINC000420998433 1122058933 /nfs/dbraw/zinc/05/89/33/1122058933.db2.gz BAXBBZOZBVDAHE-CYBMUJFWSA-N 1 2 275.421 3.818 20 0 CHADLO Cc1ccnc(C[N@H+](C(C)C)[C@H](C)c2ccsc2)n1 ZINC000420998433 1122058940 /nfs/dbraw/zinc/05/89/40/1122058940.db2.gz BAXBBZOZBVDAHE-CYBMUJFWSA-N 1 2 275.421 3.818 20 0 CHADLO CSCC[C@H](C)[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001258224002 1129028841 /nfs/dbraw/zinc/02/88/41/1129028841.db2.gz IZJDQBJTXWBFLU-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CSCC[C@H](C)[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001258224002 1129028843 /nfs/dbraw/zinc/02/88/43/1129028843.db2.gz IZJDQBJTXWBFLU-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CC(C)[N@H+](Cc1cnns1)[C@H](C)c1ccsc1 ZINC000421014965 1122062975 /nfs/dbraw/zinc/06/29/75/1122062975.db2.gz SKBKTYQWJJEIQL-SNVBAGLBSA-N 1 2 267.423 3.571 20 0 CHADLO CC(C)[N@@H+](Cc1cnns1)[C@H](C)c1ccsc1 ZINC000421014965 1122062979 /nfs/dbraw/zinc/06/29/79/1122062979.db2.gz SKBKTYQWJJEIQL-SNVBAGLBSA-N 1 2 267.423 3.571 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cc(F)ccc1OC)c1nccs1 ZINC000072684423 1122102123 /nfs/dbraw/zinc/10/21/23/1122102123.db2.gz ZHWAPVYMSOXNON-OAHLLOKOSA-N 1 2 294.395 3.706 20 0 CHADLO Cc1ccc(Nc2ccnn2-c2ccccc2C)c(C)[nH+]1 ZINC001203369057 1122106627 /nfs/dbraw/zinc/10/66/27/1122106627.db2.gz KNCSQKHPUDIWDR-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1cc(CNC(=O)C[C@@H]2CCC[C@H](C)C2)cc(C)[nH+]1 ZINC000421577471 1122139529 /nfs/dbraw/zinc/13/95/29/1122139529.db2.gz OZWYMZYGQGTEBP-SWLSCSKDSA-N 1 2 274.408 3.531 20 0 CHADLO COc1ccc([C@H](C)C[N@@H+]2CCCC[C@H]2C(F)F)cc1 ZINC000503084397 1122165738 /nfs/dbraw/zinc/16/57/38/1122165738.db2.gz LRQACJQGMVXVJK-DOMZBBRYSA-N 1 2 283.362 3.918 20 0 CHADLO COc1ccc([C@H](C)C[N@H+]2CCCC[C@H]2C(F)F)cc1 ZINC000503084397 1122165742 /nfs/dbraw/zinc/16/57/42/1122165742.db2.gz LRQACJQGMVXVJK-DOMZBBRYSA-N 1 2 283.362 3.918 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1CCCc1ccc2c(c1)CCO2 ZINC000503122232 1122167050 /nfs/dbraw/zinc/16/70/50/1122167050.db2.gz PAHPICLRBKJCAH-OAHLLOKOSA-N 1 2 295.373 3.674 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1CCCc1ccc2c(c1)CCO2 ZINC000503122232 1122167054 /nfs/dbraw/zinc/16/70/54/1122167054.db2.gz PAHPICLRBKJCAH-OAHLLOKOSA-N 1 2 295.373 3.674 20 0 CHADLO CC(C)(C)c1ccc(CCNc2cc(CO)cc[nH+]2)cc1 ZINC001182480786 1122172542 /nfs/dbraw/zinc/17/25/42/1122172542.db2.gz VFSPYXMDVRWOQY-UHFFFAOYSA-N 1 2 284.403 3.526 20 0 CHADLO CC[C@@H]([NH2+]C[C@@H](C)c1ccc(C)cc1)C(=O)OC(C)(C)C ZINC001182566105 1122176185 /nfs/dbraw/zinc/17/61/85/1122176185.db2.gz LHXQKTSVZUAHPG-GDBMZVCRSA-N 1 2 291.435 3.808 20 0 CHADLO COc1ccc(F)cc1C[NH2+]C1(c2ccccc2F)CC1 ZINC000271792580 1122176310 /nfs/dbraw/zinc/17/63/10/1122176310.db2.gz NRBLFJPDKKHSQK-UHFFFAOYSA-N 1 2 289.325 3.752 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1nc(CC(C)C)no1)C1CC1 ZINC000272038365 1122187921 /nfs/dbraw/zinc/18/79/21/1122187921.db2.gz ODJCLTNUDCKQPW-SFHVURJKSA-N 1 2 299.418 3.817 20 0 CHADLO CC(=O)Nc1cc(Nc2c(F)ccc(Cl)c2F)cc[nH+]1 ZINC001250090395 1122191494 /nfs/dbraw/zinc/19/14/94/1122191494.db2.gz LEWMKJSQLDUOPI-UHFFFAOYSA-N 1 2 297.692 3.715 20 0 CHADLO COc1c(O)cccc1C[N@H+](C)[C@H](C)c1ccccc1F ZINC000272233183 1122194462 /nfs/dbraw/zinc/19/44/62/1122194462.db2.gz FCVDHGFYLJMBCP-GFCCVEGCSA-N 1 2 289.350 3.733 20 0 CHADLO COc1c(O)cccc1C[N@@H+](C)[C@H](C)c1ccccc1F ZINC000272233183 1122194463 /nfs/dbraw/zinc/19/44/63/1122194463.db2.gz FCVDHGFYLJMBCP-GFCCVEGCSA-N 1 2 289.350 3.733 20 0 CHADLO Cc1ccc(Nc2ccc(-n3ccnc3)cc2)c(C)[nH+]1 ZINC001203374348 1122196374 /nfs/dbraw/zinc/19/63/74/1122196374.db2.gz XUXFAJGGXLTFMJ-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1ccncc1[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000272343303 1122198811 /nfs/dbraw/zinc/19/88/11/1122198811.db2.gz WQAZVPNJSKKOOP-CYBMUJFWSA-N 1 2 255.365 3.629 20 0 CHADLO Cc1nc(N[C@@H](c2ccccc2F)C(C)C)cc[nH+]1 ZINC000664286364 1122220395 /nfs/dbraw/zinc/22/03/95/1122220395.db2.gz FTJYRSLNBPTEQJ-OAHLLOKOSA-N 1 2 259.328 3.733 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CCC[C@H]2CCC[C@@H]21 ZINC000153493142 1129039709 /nfs/dbraw/zinc/03/97/09/1129039709.db2.gz ARFPNHFKCJEZIS-HIFRSBDPSA-N 1 2 289.423 3.882 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CCC[C@H]2CCC[C@@H]21 ZINC000153493142 1129039712 /nfs/dbraw/zinc/03/97/12/1129039712.db2.gz ARFPNHFKCJEZIS-HIFRSBDPSA-N 1 2 289.423 3.882 20 0 CHADLO CSc1ccc(F)c(Nc2cccc3[nH+]ccn32)c1 ZINC001250118881 1122230878 /nfs/dbraw/zinc/23/08/78/1122230878.db2.gz JIAQWAXHJZAOFL-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO C1=C[C@@H](CCC[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC001183642738 1122231714 /nfs/dbraw/zinc/23/17/14/1122231714.db2.gz LGEYATJVHBSQEU-GDBMZVCRSA-N 1 2 288.439 3.762 20 0 CHADLO CC(C)(C)c1cccc(NC(=O)CCc2ccc(N)[nH+]c2)c1 ZINC001183936274 1122245538 /nfs/dbraw/zinc/24/55/38/1122245538.db2.gz NGHJIJOYCGQFGQ-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO C(=C/[C@H]1CCCN1c1cccc[nH+]1)\c1ccccc1 ZINC000503282235 1122254809 /nfs/dbraw/zinc/25/48/09/1122254809.db2.gz NBWFSGHTSITDEP-LPQFERQCSA-N 1 2 250.345 3.764 20 0 CHADLO CCCOc1cccc2c(N[C@@H](C)C[C@H](C)O)cc[nH+]c12 ZINC000503611427 1122271378 /nfs/dbraw/zinc/27/13/78/1122271378.db2.gz ILROIFUDSODERR-STQMWFEESA-N 1 2 288.391 3.595 20 0 CHADLO CC(C)c1cc(NCCCOCC2CC2)nc(C(C)C)[nH+]1 ZINC001184658687 1122292627 /nfs/dbraw/zinc/29/26/27/1122292627.db2.gz ICJSFBNWMHUWDW-UHFFFAOYSA-N 1 2 291.439 3.952 20 0 CHADLO C[C@@H]([NH2+]Cc1cnns1)c1cccc(Cl)c1Cl ZINC000289019314 1129044908 /nfs/dbraw/zinc/04/49/08/1129044908.db2.gz AOKVOCSIDQGZFP-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO CCN(Cc1ccc(C)cc1)c1nc(C)[nH+]c2c1CCCC2 ZINC001185054861 1122310097 /nfs/dbraw/zinc/31/00/97/1122310097.db2.gz FDCILYGNATUIDT-UHFFFAOYSA-N 1 2 295.430 3.999 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)[C@@H](c1nccn1C)C1CC1 ZINC000637898439 1122312875 /nfs/dbraw/zinc/31/28/75/1122312875.db2.gz QPFSUHLTZVJPTB-MLGOLLRUSA-N 1 2 287.382 3.703 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)[C@@H](c1nccn1C)C1CC1 ZINC000637898439 1122312879 /nfs/dbraw/zinc/31/28/79/1122312879.db2.gz QPFSUHLTZVJPTB-MLGOLLRUSA-N 1 2 287.382 3.703 20 0 CHADLO CC1(C)CC[C@@H](C(=O)Nc2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC001185170004 1122322754 /nfs/dbraw/zinc/32/27/54/1122322754.db2.gz XTNNCLYDCMORLO-OAHLLOKOSA-N 1 2 297.402 3.696 20 0 CHADLO COc1cccc2c(NC[C@@]3(C)CC3(F)F)cc[nH+]c12 ZINC000664401150 1122338939 /nfs/dbraw/zinc/33/89/39/1122338939.db2.gz ZNQRAFXLYJMXKR-CQSZACIVSA-N 1 2 278.302 3.701 20 0 CHADLO Cc1cc(NCC(C2CC2)C2CC2)nc(C2CCC2)[nH+]1 ZINC001185565197 1122348412 /nfs/dbraw/zinc/34/84/12/1122348412.db2.gz JQHFAOYFWGBOBM-UHFFFAOYSA-N 1 2 271.408 3.901 20 0 CHADLO CO[C@H]1CCC[C@H]1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001185948078 1122367245 /nfs/dbraw/zinc/36/72/45/1122367245.db2.gz DRUUZEYSPRZXCI-ZFWWWQNUSA-N 1 2 291.439 3.950 20 0 CHADLO Cc1nc(N2CC[C@@H](C)C3(CCC3)C2)c2c([nH+]1)CCCC2 ZINC001185940454 1122367326 /nfs/dbraw/zinc/36/73/26/1122367326.db2.gz WATYHRKSDMDWGB-CYBMUJFWSA-N 1 2 285.435 3.680 20 0 CHADLO CO[C@@H]1CCC[C@@H]1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001185948077 1122367491 /nfs/dbraw/zinc/36/74/91/1122367491.db2.gz DRUUZEYSPRZXCI-UKRRQHHQSA-N 1 2 291.439 3.950 20 0 CHADLO CCc1cc(N[C@@H]2CC23CCCCC3)nc(CC)[nH+]1 ZINC001185970706 1122368957 /nfs/dbraw/zinc/36/89/57/1122368957.db2.gz ZKRMSKLLFVQTIE-CYBMUJFWSA-N 1 2 259.397 3.736 20 0 CHADLO Cc1cc(N(C)Cc2ccc(C)c(C)c2)nc(C2CC2)[nH+]1 ZINC001186695558 1122402839 /nfs/dbraw/zinc/40/28/39/1122402839.db2.gz BJSPZKGQDJPAGP-UHFFFAOYSA-N 1 2 281.403 3.916 20 0 CHADLO Cc1ccc([C@]2(C)CC[N@@H+](Cc3noc(C(C)C)n3)C2)cc1 ZINC000434755899 1122409841 /nfs/dbraw/zinc/40/98/41/1122409841.db2.gz YGLSHJCIGGQVKF-GOSISDBHSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1ccc([C@]2(C)CC[N@H+](Cc3noc(C(C)C)n3)C2)cc1 ZINC000434755899 1122409842 /nfs/dbraw/zinc/40/98/42/1122409842.db2.gz YGLSHJCIGGQVKF-GOSISDBHSA-N 1 2 299.418 3.665 20 0 CHADLO CCC[C@H](C)[C@@H](CO)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001186914873 1122414000 /nfs/dbraw/zinc/41/40/00/1122414000.db2.gz ROWQGFVEAFGWGZ-DZGCQCFKSA-N 1 2 293.455 3.932 20 0 CHADLO CSc1ccccc1[C@@H](C)Nc1cc[nH+]c(C)n1 ZINC000281839467 1122416498 /nfs/dbraw/zinc/41/64/98/1122416498.db2.gz ITSOFNVERRYOSN-SNVBAGLBSA-N 1 2 259.378 3.680 20 0 CHADLO Cc1cc(NC[C@@H]2CCC[C@@H]3C[C@@H]32)nc(C2CC2)[nH+]1 ZINC001187052217 1122418124 /nfs/dbraw/zinc/41/81/24/1122418124.db2.gz DUPHEWLEAYSWGY-RDBSUJKOSA-N 1 2 257.381 3.511 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(-c3cccnc3)ccn2)c1 ZINC001203654723 1122420800 /nfs/dbraw/zinc/42/08/00/1122420800.db2.gz PWCKLVUEXCLFRB-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(OCC(F)(F)F)nc2)c1 ZINC001203656444 1122423476 /nfs/dbraw/zinc/42/34/76/1122423476.db2.gz TXJDQMKOWWLUGC-UHFFFAOYSA-N 1 2 297.280 3.778 20 0 CHADLO Cc1nn(C)c2cc(Nc3cc(C)c[nH+]c3C)ccc12 ZINC001203663547 1122430349 /nfs/dbraw/zinc/43/03/49/1122430349.db2.gz PJEAUHDVORBBNU-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO Cc1cc(N(CCc2ccccc2)C2CC2)nc(C2CC2)[nH+]1 ZINC001187701639 1122439710 /nfs/dbraw/zinc/43/97/10/1122439710.db2.gz FJGSLXFWNGXLPO-UHFFFAOYSA-N 1 2 293.414 3.874 20 0 CHADLO CCc1cc(N[C@@H]2CCCC3(CC3)C2)nc(CC)[nH+]1 ZINC001187739851 1122441550 /nfs/dbraw/zinc/44/15/50/1122441550.db2.gz LRULJLXODBCQRL-CYBMUJFWSA-N 1 2 259.397 3.736 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCC[C@@H](C)F)c2c1 ZINC000505665766 1122459400 /nfs/dbraw/zinc/45/94/00/1122459400.db2.gz ROJKPLHUONPASQ-SNVBAGLBSA-N 1 2 263.312 3.679 20 0 CHADLO Fc1cccc(CCSc2[nH+]cc3ccccn32)c1 ZINC001188155229 1122459481 /nfs/dbraw/zinc/45/94/81/1122459481.db2.gz RLXMGJKOELNZHZ-UHFFFAOYSA-N 1 2 272.348 3.808 20 0 CHADLO Cc1cc(N[C@H]2C[C@H](c3cccc(F)c3)C2)nc(C2CC2)[nH+]1 ZINC000435584621 1122476769 /nfs/dbraw/zinc/47/67/69/1122476769.db2.gz MTHIMVPLKAGSLG-KOMQPUFPSA-N 1 2 297.377 3.582 20 0 CHADLO Cc1ccc(N[C@@H]2CCC[C@@H]([C@H]3CCOC3)C2)c(C)[nH+]1 ZINC000467340357 1122508253 /nfs/dbraw/zinc/50/82/53/1122508253.db2.gz GIGQWVRARYJJNS-OWCLPIDISA-N 1 2 274.408 3.706 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)C(F)F)C(C)(C)c1ccccc1 ZINC000775322493 1122516859 /nfs/dbraw/zinc/51/68/59/1122516859.db2.gz UZDVKDOAFHRGRX-SNVBAGLBSA-N 1 2 277.305 3.843 20 0 CHADLO C/C=C\c1ccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000515655602 1122519997 /nfs/dbraw/zinc/51/99/97/1122519997.db2.gz KOLUQBYLTJHZPT-DHCBQETCSA-N 1 2 269.348 3.506 20 0 CHADLO CCC1(NC(=O)c2ccccc2-n2cc[nH+]c2)CCCCC1 ZINC001190106805 1122525131 /nfs/dbraw/zinc/52/51/31/1122525131.db2.gz KGTWYRSFPYSWEA-UHFFFAOYSA-N 1 2 297.402 3.715 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3cnc(C)cc3C2)c(C)c1C ZINC001203723250 1122538548 /nfs/dbraw/zinc/53/85/48/1122538548.db2.gz GTHLPOMHGSBATE-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO COc1ccc(C[N@H+]2Cc3cnc(C)cc3C2)c(C)c1C ZINC001203723250 1122538551 /nfs/dbraw/zinc/53/85/51/1122538551.db2.gz GTHLPOMHGSBATE-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO CC[N@H+](Cc1csnc1OC)Cc1ccc(Cl)cc1 ZINC001190628370 1122549220 /nfs/dbraw/zinc/54/92/20/1122549220.db2.gz XPOVDFIROZJOLW-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC[N@@H+](Cc1csnc1OC)Cc1ccc(Cl)cc1 ZINC001190628370 1122549222 /nfs/dbraw/zinc/54/92/22/1122549222.db2.gz XPOVDFIROZJOLW-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](C)C[C@@H](C)C2)c(C)[nH+]1 ZINC000436495334 1122555136 /nfs/dbraw/zinc/55/51/36/1122555136.db2.gz OTOAGDAFVSPILR-VXGBXAGGSA-N 1 2 289.423 3.907 20 0 CHADLO C[N@H+](CCCF)Cc1c(F)ccc(Br)c1F ZINC000716655950 1122556466 /nfs/dbraw/zinc/55/64/66/1122556466.db2.gz GYMSOVGQCJLGHV-UHFFFAOYSA-N 1 2 296.130 3.519 20 0 CHADLO C[N@@H+](CCCF)Cc1c(F)ccc(Br)c1F ZINC000716655950 1122556469 /nfs/dbraw/zinc/55/64/69/1122556469.db2.gz GYMSOVGQCJLGHV-UHFFFAOYSA-N 1 2 296.130 3.519 20 0 CHADLO FC1(F)Oc2ccc(C[NH+]3Cc4ccccc4C3)cc2O1 ZINC001190855564 1122557422 /nfs/dbraw/zinc/55/74/22/1122557422.db2.gz TUKTWJZDXIKWIA-UHFFFAOYSA-N 1 2 289.281 3.524 20 0 CHADLO Cn1c(Cl)cnc1C[NH2+]C1(c2ccc(Cl)cc2)CC1 ZINC000775416750 1122558848 /nfs/dbraw/zinc/55/88/48/1122558848.db2.gz QVUNWJACZDGMAN-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO C[N@H+](Cc1cc(O)c(Cl)c(F)c1F)CC(C)(C)C ZINC001250408409 1122561061 /nfs/dbraw/zinc/56/10/61/1122561061.db2.gz GQKSCOYBKAUJDZ-UHFFFAOYSA-N 1 2 277.742 3.802 20 0 CHADLO C[N@@H+](Cc1cc(O)c(Cl)c(F)c1F)CC(C)(C)C ZINC001250408409 1122561065 /nfs/dbraw/zinc/56/10/65/1122561065.db2.gz GQKSCOYBKAUJDZ-UHFFFAOYSA-N 1 2 277.742 3.802 20 0 CHADLO CC[C@H](C)N(Cc1ccccc1)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000516071518 1122568239 /nfs/dbraw/zinc/56/82/39/1122568239.db2.gz KFTTUNCHSBXCFZ-JKSUJKDBSA-N 1 2 299.418 3.662 20 0 CHADLO CCC[NH+](CCC)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000193257665 1129063289 /nfs/dbraw/zinc/06/32/89/1129063289.db2.gz LXXCBQYAJMRYLV-UHFFFAOYSA-N 1 2 294.468 3.706 20 0 CHADLO Cc1c[nH+]c2cc(-c3nc([C@H](C)C(C)(C)C)no3)ccn12 ZINC000516193176 1122576479 /nfs/dbraw/zinc/57/64/79/1122576479.db2.gz HCURWJVMNZITKZ-NSHDSACASA-N 1 2 284.363 3.842 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2nnc(C(C)(C)C)o2)c1C ZINC000775438406 1122577422 /nfs/dbraw/zinc/57/74/22/1122577422.db2.gz WFVLUAYILJBJCL-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO Clc1ccc(OCCCOc2cc[nH+]cc2)cc1 ZINC000516234038 1122581039 /nfs/dbraw/zinc/58/10/39/1122581039.db2.gz NHPADQUQDFZSGH-UHFFFAOYSA-N 1 2 263.724 3.583 20 0 CHADLO COc1nscc1C[N@@H+]1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC001191213483 1122582280 /nfs/dbraw/zinc/58/22/80/1122582280.db2.gz IJEYUQCTMTWBDK-DGCLKSJQSA-N 1 2 292.404 3.718 20 0 CHADLO COc1nscc1C[N@H+]1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC001191213483 1122582285 /nfs/dbraw/zinc/58/22/85/1122582285.db2.gz IJEYUQCTMTWBDK-DGCLKSJQSA-N 1 2 292.404 3.718 20 0 CHADLO CCCC1CC[NH+]([C@@H](C(=O)OC)c2ccc(F)cc2)CC1 ZINC001191359082 1122592247 /nfs/dbraw/zinc/59/22/47/1122592247.db2.gz SETHKQFZOWZCQT-MRXNPFEDSA-N 1 2 293.382 3.552 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H]3C[C@@H](C)O[C@@H](C)C3)cc2)c1C ZINC000516538499 1122610751 /nfs/dbraw/zinc/61/07/51/1122610751.db2.gz GGMUMMVCGLYZFD-LAQFHYBYSA-N 1 2 299.418 3.857 20 0 CHADLO Cc1ccc(C)c([N@@H+](C[C@@H]2C[C@@]23CCOC3)C(C)C)c1 ZINC001191960227 1122625163 /nfs/dbraw/zinc/62/51/63/1122625163.db2.gz IEGJXHJHWRLPFS-FUHWJXTLSA-N 1 2 273.420 3.945 20 0 CHADLO Cc1ccc(C)c([N@H+](C[C@@H]2C[C@@]23CCOC3)C(C)C)c1 ZINC001191960227 1122625166 /nfs/dbraw/zinc/62/51/66/1122625166.db2.gz IEGJXHJHWRLPFS-FUHWJXTLSA-N 1 2 273.420 3.945 20 0 CHADLO C[C@@H]([NH2+]Cc1ccnc(F)c1)c1c(F)cccc1Cl ZINC001192627462 1122654813 /nfs/dbraw/zinc/65/48/13/1122654813.db2.gz WZAOZLDBYSJBEN-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](C)c1ccc(SC(F)F)cc1 ZINC000775550340 1122660304 /nfs/dbraw/zinc/66/03/04/1122660304.db2.gz ZKLQVIVNUQCQQJ-QMMMGPOBSA-N 1 2 299.346 3.544 20 0 CHADLO Cc1cc2[nH]c(C[N@@H+]3CCC[C@@H]3c3ncc[nH]3)cc2c(C)c1 ZINC000437970868 1122668827 /nfs/dbraw/zinc/66/88/27/1122668827.db2.gz OFGTXEYAGQFQTJ-QGZVFWFLSA-N 1 2 294.402 3.845 20 0 CHADLO Cc1cc2[nH]c(C[N@H+]3CCC[C@@H]3c3ncc[nH]3)cc2c(C)c1 ZINC000437970868 1122668830 /nfs/dbraw/zinc/66/88/30/1122668830.db2.gz OFGTXEYAGQFQTJ-QGZVFWFLSA-N 1 2 294.402 3.845 20 0 CHADLO CC(C)COc1ccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000437983763 1122669411 /nfs/dbraw/zinc/66/94/11/1122669411.db2.gz FWCZGPPTQIDUSP-KRWDZBQOSA-N 1 2 299.418 3.782 20 0 CHADLO CC(C)COc1ccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000437983763 1122669413 /nfs/dbraw/zinc/66/94/13/1122669413.db2.gz FWCZGPPTQIDUSP-KRWDZBQOSA-N 1 2 299.418 3.782 20 0 CHADLO CC(C)C[C@H]1COCC[N@@H+]1Cc1cc2c(cccc2F)[nH]1 ZINC000438118583 1122677088 /nfs/dbraw/zinc/67/70/88/1122677088.db2.gz HVDPGNFLRNDRCJ-AWEZNQCLSA-N 1 2 290.382 3.554 20 0 CHADLO CC(C)C[C@H]1COCC[N@H+]1Cc1cc2c(cccc2F)[nH]1 ZINC000438118583 1122677091 /nfs/dbraw/zinc/67/70/91/1122677091.db2.gz HVDPGNFLRNDRCJ-AWEZNQCLSA-N 1 2 290.382 3.554 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1cccc(F)c1F ZINC000506191513 1122687853 /nfs/dbraw/zinc/68/78/53/1122687853.db2.gz PIKKYYHMHOAHIC-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1cccc(F)c1F ZINC000506191513 1122687855 /nfs/dbraw/zinc/68/78/55/1122687855.db2.gz PIKKYYHMHOAHIC-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccccc2N(C)C(C)C)cs1 ZINC000438345264 1122693161 /nfs/dbraw/zinc/69/31/61/1122693161.db2.gz LUHGEYJEBSOHJI-UHFFFAOYSA-N 1 2 289.448 3.586 20 0 CHADLO CCSCC[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000438543705 1122709334 /nfs/dbraw/zinc/70/93/34/1122709334.db2.gz DJDFIBYAVGDETH-DOMZBBRYSA-N 1 2 299.867 3.855 20 0 CHADLO CCSCC[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000438543705 1122709335 /nfs/dbraw/zinc/70/93/35/1122709335.db2.gz DJDFIBYAVGDETH-DOMZBBRYSA-N 1 2 299.867 3.855 20 0 CHADLO CCCCCOC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC001193731835 1122711405 /nfs/dbraw/zinc/71/14/05/1122711405.db2.gz RPKLORAUTPPVBO-UHFFFAOYSA-N 1 2 273.336 3.611 20 0 CHADLO CCC(CC)n1ccc(C[NH+]2CCC(C(F)F)CC2)n1 ZINC000438607143 1122714153 /nfs/dbraw/zinc/71/41/53/1122714153.db2.gz JTLMFOYPXJKCKT-UHFFFAOYSA-N 1 2 285.382 3.721 20 0 CHADLO Clc1ccc(C[N@@H+]2CCO[C@H](c3ccccc3)C2)cc1 ZINC000506357880 1122723946 /nfs/dbraw/zinc/72/39/46/1122723946.db2.gz VBMJKFNBLXQVHJ-KRWDZBQOSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1ccc(C[N@H+]2CCO[C@H](c3ccccc3)C2)cc1 ZINC000506357880 1122723951 /nfs/dbraw/zinc/72/39/51/1122723951.db2.gz VBMJKFNBLXQVHJ-KRWDZBQOSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1ccc2cc3n(c2c1)CC[N@@H+](CCC1CC1)C3 ZINC001194010303 1122738298 /nfs/dbraw/zinc/73/82/98/1122738298.db2.gz VDAONMJZYXIXTI-UHFFFAOYSA-N 1 2 274.795 3.910 20 0 CHADLO Clc1ccc2cc3n(c2c1)CC[N@H+](CCC1CC1)C3 ZINC001194010303 1122738301 /nfs/dbraw/zinc/73/83/01/1122738301.db2.gz VDAONMJZYXIXTI-UHFFFAOYSA-N 1 2 274.795 3.910 20 0 CHADLO CCCCCCOC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC001194163372 1122752672 /nfs/dbraw/zinc/75/26/72/1122752672.db2.gz VHHUVYAZMPJFQH-UHFFFAOYSA-N 1 2 275.352 3.772 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Cl)nc(Cl)c2O)[C@H](C)C1 ZINC001237535424 1129078254 /nfs/dbraw/zinc/07/82/54/1129078254.db2.gz RPBOPUPCBXQICT-RKDXNWHRSA-N 1 2 289.206 3.714 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Cl)nc(Cl)c2O)[C@H](C)C1 ZINC001237535424 1129078257 /nfs/dbraw/zinc/07/82/57/1129078257.db2.gz RPBOPUPCBXQICT-RKDXNWHRSA-N 1 2 289.206 3.714 20 0 CHADLO CCC[C@H]([NH2+]CC(C)(C)c1ccc(C)cc1)C(=O)OCC ZINC000439607705 1122794053 /nfs/dbraw/zinc/79/40/53/1122794053.db2.gz PYNNXXZRKVXMJF-INIZCTEOSA-N 1 2 291.435 3.594 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2CCCSCC(C)C)o1 ZINC000153877134 1129078727 /nfs/dbraw/zinc/07/87/27/1129078727.db2.gz PSWVENLPIHXMOO-OAHLLOKOSA-N 1 2 297.464 3.741 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2CCCSCC(C)C)o1 ZINC000153877134 1129078731 /nfs/dbraw/zinc/07/87/31/1129078731.db2.gz PSWVENLPIHXMOO-OAHLLOKOSA-N 1 2 297.464 3.741 20 0 CHADLO CCCC[N@H+](CC(=O)OCC)Cc1cccc(Cl)c1 ZINC001203801536 1122814313 /nfs/dbraw/zinc/81/43/13/1122814313.db2.gz VITMMBNDFDIPGD-UHFFFAOYSA-N 1 2 283.799 3.505 20 0 CHADLO CCCC[N@@H+](CC(=O)OCC)Cc1cccc(Cl)c1 ZINC001203801536 1122814317 /nfs/dbraw/zinc/81/43/17/1122814317.db2.gz VITMMBNDFDIPGD-UHFFFAOYSA-N 1 2 283.799 3.505 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1cc(F)c(F)cc1F ZINC000506756979 1122823604 /nfs/dbraw/zinc/82/36/04/1122823604.db2.gz CSZFIYXPXCEVQZ-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1cc(F)c(F)cc1F ZINC000506756979 1122823608 /nfs/dbraw/zinc/82/36/08/1122823608.db2.gz CSZFIYXPXCEVQZ-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO Cc1csc(NC(=O)c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001194887319 1122824456 /nfs/dbraw/zinc/82/44/56/1122824456.db2.gz NFDPGBVYBMPSNY-UHFFFAOYSA-N 1 2 297.383 3.709 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1ccc(F)cc1F ZINC000298937420 1122824496 /nfs/dbraw/zinc/82/44/96/1122824496.db2.gz CHZKCHFKHNILDS-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1ccc(F)cc1F ZINC000298937420 1122824501 /nfs/dbraw/zinc/82/45/01/1122824501.db2.gz CHZKCHFKHNILDS-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nonc1C)c1ccc(Cl)s1 ZINC000925066978 1122828684 /nfs/dbraw/zinc/82/86/84/1122828684.db2.gz KMSVMKDPVPLVRI-CBAPKCEASA-N 1 2 285.800 3.895 20 0 CHADLO CC(C)Cc1ccc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)cn1 ZINC000894398602 1122832024 /nfs/dbraw/zinc/83/20/24/1122832024.db2.gz VOGUXDQDECMFII-GOSISDBHSA-N 1 2 298.434 3.730 20 0 CHADLO CC(C)Cc1ccc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)cn1 ZINC000894398602 1122832030 /nfs/dbraw/zinc/83/20/30/1122832030.db2.gz VOGUXDQDECMFII-GOSISDBHSA-N 1 2 298.434 3.730 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)o1 ZINC000664819314 1122861522 /nfs/dbraw/zinc/86/15/22/1122861522.db2.gz LKUHROVCZSAXNO-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)o1 ZINC000664819314 1122861527 /nfs/dbraw/zinc/86/15/27/1122861527.db2.gz LKUHROVCZSAXNO-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO Clc1cncc(-c2[nH]c3ccc(N4CCCC4)cc3[nH+]2)c1 ZINC001250636323 1122866850 /nfs/dbraw/zinc/86/68/50/1122866850.db2.gz CELDVOZRYFZYJN-UHFFFAOYSA-N 1 2 298.777 3.879 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC(C)(C)C[C@@H]2c2ccccc2)[nH]1 ZINC001195377553 1122867657 /nfs/dbraw/zinc/86/76/57/1122867657.db2.gz YECFAJSDMGWLNA-OAHLLOKOSA-N 1 2 298.434 3.901 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC(C)(C)C[C@@H]2c2ccccc2)[nH]1 ZINC001195377553 1122867660 /nfs/dbraw/zinc/86/76/60/1122867660.db2.gz YECFAJSDMGWLNA-OAHLLOKOSA-N 1 2 298.434 3.901 20 0 CHADLO Clc1cc2c(s1)CCC[C@@H]2[NH2+]Cc1ccon1 ZINC000128606517 1122899326 /nfs/dbraw/zinc/89/93/26/1122899326.db2.gz FMCSYODSUGPVED-JTQLQIEISA-N 1 2 268.769 3.557 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000894744230 1122900975 /nfs/dbraw/zinc/90/09/75/1122900975.db2.gz PSJJGLDHVNVLPR-SMDDNHRTSA-N 1 2 287.407 3.878 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=CC3CCCC3)cc2[nH+]1 ZINC000843759320 1122902148 /nfs/dbraw/zinc/90/21/48/1122902148.db2.gz MBQXATNXQQADCN-RMKNXTFCSA-N 1 2 269.348 3.556 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccco2)co1 ZINC000647965987 1122909386 /nfs/dbraw/zinc/90/93/86/1122909386.db2.gz ZUEJXUDGRLNSLI-RISCZKNCSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccco2)co1 ZINC000647965987 1122909387 /nfs/dbraw/zinc/90/93/87/1122909387.db2.gz ZUEJXUDGRLNSLI-RISCZKNCSA-N 1 2 260.337 3.549 20 0 CHADLO CCc1cccc(F)c1C[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000353661005 1122926813 /nfs/dbraw/zinc/92/68/13/1122926813.db2.gz VWNYQYPVFKNGOQ-KRWDZBQOSA-N 1 2 289.350 3.555 20 0 CHADLO CCc1cccc(F)c1C[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000353661005 1122926815 /nfs/dbraw/zinc/92/68/15/1122926815.db2.gz VWNYQYPVFKNGOQ-KRWDZBQOSA-N 1 2 289.350 3.555 20 0 CHADLO CCCC[NH2+][C@H](Cc1cccc2ccccc21)C(=O)OCC ZINC001196747595 1122933287 /nfs/dbraw/zinc/93/32/87/1122933287.db2.gz QQQRQWBQVXLGTB-GOSISDBHSA-N 1 2 299.414 3.704 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+](C)Cc1ccoc1 ZINC000507251507 1122942950 /nfs/dbraw/zinc/94/29/50/1122942950.db2.gz IBDUDPITKMGCHD-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+](C)Cc1ccoc1 ZINC000507251507 1122942953 /nfs/dbraw/zinc/94/29/53/1122942953.db2.gz IBDUDPITKMGCHD-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO CCCC[N@H+](C)Cn1c2c(sc1=O)C[C@H](CCC)CC2 ZINC000102764151 1122944658 /nfs/dbraw/zinc/94/46/58/1122944658.db2.gz NSJMYBRCUGUSRF-CYBMUJFWSA-N 1 2 296.480 3.504 20 0 CHADLO CCCC[N@@H+](C)Cn1c2c(sc1=O)C[C@H](CCC)CC2 ZINC000102764151 1122944661 /nfs/dbraw/zinc/94/46/61/1122944661.db2.gz NSJMYBRCUGUSRF-CYBMUJFWSA-N 1 2 296.480 3.504 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1nc2cc(C)ccc2[nH]1 ZINC000507487343 1122959467 /nfs/dbraw/zinc/95/94/67/1122959467.db2.gz QXBUCAMRYLYIET-CYBMUJFWSA-N 1 2 294.402 3.680 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1nc2ccc(C)cc2[nH]1 ZINC000507487343 1122959469 /nfs/dbraw/zinc/95/94/69/1122959469.db2.gz QXBUCAMRYLYIET-CYBMUJFWSA-N 1 2 294.402 3.680 20 0 CHADLO CCOC(=O)[C@@H](CC)[NH2+][C@@H]1CCc2cccc3cccc1c32 ZINC001197989808 1122978574 /nfs/dbraw/zinc/97/85/74/1122978574.db2.gz NHLPWTMWWJNXAG-IAGOWNOFSA-N 1 2 297.398 3.758 20 0 CHADLO Oc1cccc2c1CCC[C@@H]2[NH2+]Cc1ncc(Cl)s1 ZINC000334332933 1122987658 /nfs/dbraw/zinc/98/76/58/1122987658.db2.gz SAQODMFLTYSASQ-NSHDSACASA-N 1 2 294.807 3.669 20 0 CHADLO CC[C@H](F)C[NH2+][C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000440522831 1123011082 /nfs/dbraw/zinc/01/10/82/1123011082.db2.gz FPNOIUWIDVMEPP-PWSUYJOCSA-N 1 2 295.333 3.583 20 0 CHADLO O=C1CC[N@@H+](Cc2ccc(Cl)cc2)[C@H]2CCCC[C@@H]12 ZINC001203918929 1123015529 /nfs/dbraw/zinc/01/55/29/1123015529.db2.gz MJMRJSLJESLTCT-CABCVRRESA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2ccc(Cl)cc2)[C@H]2CCCC[C@@H]12 ZINC001203918929 1123015535 /nfs/dbraw/zinc/01/55/35/1123015535.db2.gz MJMRJSLJESLTCT-CABCVRRESA-N 1 2 277.795 3.674 20 0 CHADLO CN(CCCn1cc[nH+]c1)c1ccc2ccccc2c1 ZINC000775936363 1123020339 /nfs/dbraw/zinc/02/03/39/1123020339.db2.gz XPPSCBCFJCVDTB-UHFFFAOYSA-N 1 2 265.360 3.563 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2cccc(Cl)c2)co1 ZINC000647970529 1123067001 /nfs/dbraw/zinc/06/70/01/1123067001.db2.gz VIIFXWMLMGHZLS-UHFFFAOYSA-N 1 2 264.756 3.661 20 0 CHADLO C[C@@H]1C[C@@H](c2cccc(F)c2)[N@H+](CC(=O)OC(C)(C)C)C1 ZINC000441324283 1123078409 /nfs/dbraw/zinc/07/84/09/1123078409.db2.gz NEWLXANXIZTISO-DOMZBBRYSA-N 1 2 293.382 3.550 20 0 CHADLO C[C@@H]1C[C@@H](c2cccc(F)c2)[N@@H+](CC(=O)OC(C)(C)C)C1 ZINC000441324283 1123078413 /nfs/dbraw/zinc/07/84/13/1123078413.db2.gz NEWLXANXIZTISO-DOMZBBRYSA-N 1 2 293.382 3.550 20 0 CHADLO Nc1ccc(Nc2c(Cl)ccc3ncccc32)c[nH+]1 ZINC001201285846 1123080536 /nfs/dbraw/zinc/08/05/36/1123080536.db2.gz QUDKPHILAOQBPR-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Cc1ccc(OC(F)(F)F)cc1Nc1ccc(N)[nH+]c1 ZINC001201286198 1123080760 /nfs/dbraw/zinc/08/07/60/1123080760.db2.gz YPSZIBXSSXWAJR-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO Cc1nc2cc(Cl)c(Nc3ccc(N)[nH+]c3)cc2o1 ZINC001201285537 1123080832 /nfs/dbraw/zinc/08/08/32/1123080832.db2.gz KNAYFVVBOKLREQ-UHFFFAOYSA-N 1 2 274.711 3.510 20 0 CHADLO COc1ccc(C(C)(C)C)cc1Nc1ccc(N)[nH+]c1 ZINC001201284616 1123081427 /nfs/dbraw/zinc/08/14/27/1123081427.db2.gz UNZJBKFXKXVWFK-UHFFFAOYSA-N 1 2 271.364 3.714 20 0 CHADLO Nc1ccc(Nc2ccc(Oc3ccccc3F)nc2)c[nH+]1 ZINC001201279546 1123081482 /nfs/dbraw/zinc/08/14/82/1123081482.db2.gz XCDWTGRLSHRZNV-UHFFFAOYSA-N 1 2 296.305 3.734 20 0 CHADLO Nc1ccc(Nc2ccc3c(c2)C(=O)c2ccccc2-3)c[nH+]1 ZINC001201292671 1123083852 /nfs/dbraw/zinc/08/38/52/1123083852.db2.gz RUIMIHSWNSQZMA-UHFFFAOYSA-N 1 2 287.322 3.619 20 0 CHADLO CCCn1cc(CNc2ccc3c(c2)CCC[N@H+]3C)c(C)n1 ZINC001201309449 1123085934 /nfs/dbraw/zinc/08/59/34/1123085934.db2.gz WOKIBYGHGMXSSP-UHFFFAOYSA-N 1 2 298.434 3.596 20 0 CHADLO CCCn1cc(CNc2ccc3c(c2)CCC[N@@H+]3C)c(C)n1 ZINC001201309449 1123085937 /nfs/dbraw/zinc/08/59/37/1123085937.db2.gz WOKIBYGHGMXSSP-UHFFFAOYSA-N 1 2 298.434 3.596 20 0 CHADLO Clc1ccc2[nH+]ccc(NC3=CCSCC3)c2c1 ZINC001201326520 1123086966 /nfs/dbraw/zinc/08/69/66/1123086966.db2.gz KSRNRWJWBXRTOY-UHFFFAOYSA-N 1 2 276.792 3.743 20 0 CHADLO COc1nccc2c(NCc3c[nH+]cn3C(C)C)cccc21 ZINC000894961787 1123094780 /nfs/dbraw/zinc/09/47/80/1123094780.db2.gz YCZXSCRAWJYCDT-UHFFFAOYSA-N 1 2 296.374 3.633 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cn1)c1cccnc1Cl ZINC000776170331 1123100868 /nfs/dbraw/zinc/10/08/68/1123100868.db2.gz JEWPZIRDRFHKLY-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO c1coc(-c2ccc(NCc3cccc4[nH+]ccn43)cc2)n1 ZINC001201464966 1123103191 /nfs/dbraw/zinc/10/31/91/1123103191.db2.gz GOIPYKGOFUSJQG-UHFFFAOYSA-N 1 2 290.326 3.601 20 0 CHADLO CC[N@H+](C/C(Br)=C/c1ccccc1)C1CC1 ZINC001201446380 1123106604 /nfs/dbraw/zinc/10/66/04/1123106604.db2.gz FEZCVQMJBBMWEK-RAXLEYEMSA-N 1 2 280.209 3.907 20 0 CHADLO CC[N@@H+](C/C(Br)=C/c1ccccc1)C1CC1 ZINC001201446380 1123106606 /nfs/dbraw/zinc/10/66/06/1123106606.db2.gz FEZCVQMJBBMWEK-RAXLEYEMSA-N 1 2 280.209 3.907 20 0 CHADLO COc1cc(C)c(Nc2ccc([NH2+]C(C)C)cc2)cn1 ZINC001201489356 1123108581 /nfs/dbraw/zinc/10/85/81/1123108581.db2.gz OUMLHQVPGFEXDE-UHFFFAOYSA-N 1 2 271.364 3.963 20 0 CHADLO CC(C)C[N@@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001201483182 1123115820 /nfs/dbraw/zinc/11/58/20/1123115820.db2.gz YBGAFPRIQVKQPZ-CQSZACIVSA-N 1 2 253.336 3.767 20 0 CHADLO CC(C)C[N@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001201483182 1123115823 /nfs/dbraw/zinc/11/58/23/1123115823.db2.gz YBGAFPRIQVKQPZ-CQSZACIVSA-N 1 2 253.336 3.767 20 0 CHADLO Cc1cc(C[NH2+]Cc2csnn2)ccc1-c1ccccc1 ZINC000190074750 1123146481 /nfs/dbraw/zinc/14/64/81/1123146481.db2.gz HHLBUJJEWAVIBE-UHFFFAOYSA-N 1 2 295.411 3.803 20 0 CHADLO CCCCC(=O)N[C@H](Cn1cc[nH+]c1)c1ccc(C)cc1C ZINC000441484334 1123146610 /nfs/dbraw/zinc/14/66/10/1123146610.db2.gz FILVITROARZJDL-QGZVFWFLSA-N 1 2 299.418 3.548 20 0 CHADLO Cc1ccc(CNc2ccc([NH+](C)C)c(C)c2)cc1 ZINC001201762093 1123148445 /nfs/dbraw/zinc/14/84/45/1123148445.db2.gz YMVUIZUHFHRUKA-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC(C)(C)Oc2cc(F)ccc21)c1ncc[nH]1 ZINC000334275100 1123149617 /nfs/dbraw/zinc/14/96/17/1123149617.db2.gz QXTIFFUGPVRQEF-MFKMUULPSA-N 1 2 289.354 3.502 20 0 CHADLO Cc1cc(NCc2ncc(Cl)s2)nc(C2CCC2)[nH+]1 ZINC000895071379 1123151670 /nfs/dbraw/zinc/15/16/70/1123151670.db2.gz JBRUAJGVKRVLHR-UHFFFAOYSA-N 1 2 294.811 3.775 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1conc1Cc1ccccc1 ZINC000507766863 1123156422 /nfs/dbraw/zinc/15/64/22/1123156422.db2.gz PUZWNXDOXDQMKE-UHFFFAOYSA-N 1 2 298.308 3.650 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1conc1Cc1ccccc1 ZINC000507766863 1123156424 /nfs/dbraw/zinc/15/64/24/1123156424.db2.gz PUZWNXDOXDQMKE-UHFFFAOYSA-N 1 2 298.308 3.650 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@@H+]1Cc2cncnc2C1 ZINC001201976815 1123159478 /nfs/dbraw/zinc/15/94/78/1123159478.db2.gz PPEKKSDWLSPRGC-CQSZACIVSA-N 1 2 259.397 3.565 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@H+]1Cc2cncnc2C1 ZINC001201976815 1123159479 /nfs/dbraw/zinc/15/94/79/1123159479.db2.gz PPEKKSDWLSPRGC-CQSZACIVSA-N 1 2 259.397 3.565 20 0 CHADLO CC[N@H+](Cc1nc(C(C)C)no1)[C@@H](C)c1ccc(F)cc1 ZINC000053088675 1123198875 /nfs/dbraw/zinc/19/88/75/1123198875.db2.gz BOWWVSHNSSCGDH-LBPRGKRZSA-N 1 2 291.370 3.915 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)C)no1)[C@@H](C)c1ccc(F)cc1 ZINC000053088675 1123198879 /nfs/dbraw/zinc/19/88/79/1123198879.db2.gz BOWWVSHNSSCGDH-LBPRGKRZSA-N 1 2 291.370 3.915 20 0 CHADLO CC[N@H+](Cc1cc(C)on1)[C@H](C)c1ccc(F)cc1 ZINC000053088239 1123199260 /nfs/dbraw/zinc/19/92/60/1123199260.db2.gz DOJQVEFRRTUIRL-GFCCVEGCSA-N 1 2 262.328 3.705 20 0 CHADLO CC[N@@H+](Cc1cc(C)on1)[C@H](C)c1ccc(F)cc1 ZINC000053088239 1123199262 /nfs/dbraw/zinc/19/92/62/1123199262.db2.gz DOJQVEFRRTUIRL-GFCCVEGCSA-N 1 2 262.328 3.705 20 0 CHADLO C[C@@H]1C[C@H](Nc2[nH+]ccc3cc(Cl)ccc32)CCO1 ZINC001161692060 1123203161 /nfs/dbraw/zinc/20/31/61/1123203161.db2.gz RRGZMEGHDSUULR-ZWNOBZJWSA-N 1 2 276.767 3.868 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2nccn2C2CC2)CCC1 ZINC000895104027 1123204604 /nfs/dbraw/zinc/20/46/04/1123204604.db2.gz FLAQABISPONFRT-UHFFFAOYSA-N 1 2 285.366 3.526 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(C)=C(Br)C2)c(C)n1 ZINC000797528935 1123204906 /nfs/dbraw/zinc/20/49/06/1123204906.db2.gz ALUWNSZMBDBBNU-UHFFFAOYSA-N 1 2 295.224 3.573 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(C)=C(Br)C2)c(C)n1 ZINC000797528935 1123204910 /nfs/dbraw/zinc/20/49/10/1123204910.db2.gz ALUWNSZMBDBBNU-UHFFFAOYSA-N 1 2 295.224 3.573 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)cc2)nn1C ZINC000487398680 1123209552 /nfs/dbraw/zinc/20/95/52/1123209552.db2.gz QOVABQQTIUTRKG-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccc(Cl)cc2)nn1C ZINC000487398680 1123209554 /nfs/dbraw/zinc/20/95/54/1123209554.db2.gz QOVABQQTIUTRKG-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO CC(C)n1ccnc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000850044813 1123238895 /nfs/dbraw/zinc/23/88/95/1123238895.db2.gz WGDLOPCINZLIKS-UHFFFAOYSA-N 1 2 284.407 3.676 20 0 CHADLO CCCC[N@H+](CC)Cc1noc(C2CCCCC2)n1 ZINC000156105998 1129110332 /nfs/dbraw/zinc/11/03/32/1129110332.db2.gz UQAKCJXONRCRLV-UHFFFAOYSA-N 1 2 265.401 3.739 20 0 CHADLO CCCC[N@@H+](CC)Cc1noc(C2CCCCC2)n1 ZINC000156105998 1129110334 /nfs/dbraw/zinc/11/03/34/1129110334.db2.gz UQAKCJXONRCRLV-UHFFFAOYSA-N 1 2 265.401 3.739 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2nc(Cl)ccc21)c1cscn1 ZINC000850511972 1123278812 /nfs/dbraw/zinc/27/88/12/1123278812.db2.gz RSEUCXGRQJUCTR-WPRPVWTQSA-N 1 2 279.796 3.530 20 0 CHADLO c1ccc(N2CCC([NH2+]c3ccc4c(c3)CCN4)CC2)cc1 ZINC000335055972 1123290586 /nfs/dbraw/zinc/29/05/86/1123290586.db2.gz TWPSETLKFPYLPK-UHFFFAOYSA-N 1 2 293.414 3.736 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nnc(C(C)C)[nH]2)s1 ZINC000428240303 1123294980 /nfs/dbraw/zinc/29/49/80/1123294980.db2.gz IJUSGDDNLFZYJV-LBPRGKRZSA-N 1 2 290.436 3.635 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)s1 ZINC000428240303 1123294983 /nfs/dbraw/zinc/29/49/83/1123294983.db2.gz IJUSGDDNLFZYJV-LBPRGKRZSA-N 1 2 290.436 3.635 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@@H](C)C[C@H](C)C2)c(C)[nH+]1 ZINC000335154546 1123310871 /nfs/dbraw/zinc/31/08/71/1123310871.db2.gz DRNBYXTZJOMMQA-QWRGUYRKSA-N 1 2 275.396 3.517 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2cc(C(F)(F)F)ccc2Cl)C1 ZINC000353883214 1123312601 /nfs/dbraw/zinc/31/26/01/1123312601.db2.gz SLUXNOQMTVTVTM-NSHDSACASA-N 1 2 293.716 3.580 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2cc(C(F)(F)F)ccc2Cl)C1 ZINC000353883214 1123312606 /nfs/dbraw/zinc/31/26/06/1123312606.db2.gz SLUXNOQMTVTVTM-NSHDSACASA-N 1 2 293.716 3.580 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2CCC[C@H]2c2ccccc2)[nH]n1 ZINC000442805953 1123339365 /nfs/dbraw/zinc/33/93/65/1123339365.db2.gz BDHXHRJSKUWTGN-ZDUSSCGKSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2CCC[C@H]2c2ccccc2)[nH]n1 ZINC000442805953 1123339369 /nfs/dbraw/zinc/33/93/69/1123339369.db2.gz BDHXHRJSKUWTGN-ZDUSSCGKSA-N 1 2 295.308 3.766 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1cc(C(F)(F)F)n[nH]1)C1CC1 ZINC000442809498 1123341200 /nfs/dbraw/zinc/34/12/00/1123341200.db2.gz KQPGYITXSVATLQ-VIFPVBQESA-N 1 2 299.296 3.747 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1cc(C(F)(F)F)n[nH]1)C1CC1 ZINC000442809498 1123341204 /nfs/dbraw/zinc/34/12/04/1123341204.db2.gz KQPGYITXSVATLQ-VIFPVBQESA-N 1 2 299.296 3.747 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccccc2SC)[nH]1 ZINC000442865897 1123343933 /nfs/dbraw/zinc/34/39/33/1123343933.db2.gz JBOFPJWIAQGXQA-WDEREUQCSA-N 1 2 290.436 3.501 20 0 CHADLO COc1c(C)c[nH+]c(CNc2ccccc2C)c1C ZINC000020056273 1123355266 /nfs/dbraw/zinc/35/52/66/1123355266.db2.gz PEIFUWLTJPARMO-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO CC(C)c1cccc(NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)c1 ZINC000335328743 1123359098 /nfs/dbraw/zinc/35/90/98/1123359098.db2.gz FCQYRPAFAFOUPH-CQSZACIVSA-N 1 2 283.375 3.592 20 0 CHADLO C[C@]1([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC=CCC1 ZINC000639258195 1123366245 /nfs/dbraw/zinc/36/62/45/1123366245.db2.gz BETQWHCFWZVVCL-HOCLYGCPSA-N 1 2 274.412 3.515 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000335463655 1123370596 /nfs/dbraw/zinc/37/05/96/1123370596.db2.gz MFOXDRURTGQQAA-STQMWFEESA-N 1 2 286.375 3.532 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000335463655 1123370601 /nfs/dbraw/zinc/37/06/01/1123370601.db2.gz MFOXDRURTGQQAA-STQMWFEESA-N 1 2 286.375 3.532 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+](C)Cc1cccc(F)n1 ZINC000851730193 1123376062 /nfs/dbraw/zinc/37/60/62/1123376062.db2.gz MXUJUMZXJBDPDR-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+](C)Cc1cccc(F)n1 ZINC000851730193 1123376069 /nfs/dbraw/zinc/37/60/69/1123376069.db2.gz MXUJUMZXJBDPDR-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC(F)(F)[C@H](C)C2)c(C)[nH+]1 ZINC000335590547 1123381089 /nfs/dbraw/zinc/38/10/89/1123381089.db2.gz QSHGRJPOZXTGIU-SNVBAGLBSA-N 1 2 297.349 3.516 20 0 CHADLO CC[C@](C)([NH2+]CC=C(Cl)Cl)c1nccs1 ZINC000851848247 1123384500 /nfs/dbraw/zinc/38/45/00/1123384500.db2.gz KGFMKHDZVOFGIX-JTQLQIEISA-N 1 2 265.209 3.677 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)CC=C(Cl)Cl ZINC000851842243 1123384547 /nfs/dbraw/zinc/38/45/47/1123384547.db2.gz STCNWUVNEXNYFS-VIFPVBQESA-N 1 2 260.164 3.704 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)CC=C(Cl)Cl ZINC000851842243 1123384552 /nfs/dbraw/zinc/38/45/52/1123384552.db2.gz STCNWUVNEXNYFS-VIFPVBQESA-N 1 2 260.164 3.704 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C2CC2)no1)c1cc(F)c(F)c(F)c1 ZINC000851900590 1123387583 /nfs/dbraw/zinc/38/75/83/1123387583.db2.gz FJHZCTISGPZSGG-MRVPVSSYSA-N 1 2 296.292 3.820 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@](C)(c3ccccc3)C2)no1 ZINC000113264685 1123389954 /nfs/dbraw/zinc/38/99/54/1123389954.db2.gz SZQJJLNWGZFRKK-GOSISDBHSA-N 1 2 299.418 3.747 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@](C)(c3ccccc3)C2)no1 ZINC000113264685 1123389958 /nfs/dbraw/zinc/38/99/58/1123389958.db2.gz SZQJJLNWGZFRKK-GOSISDBHSA-N 1 2 299.418 3.747 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2cccc(O)c2)sc1C ZINC000173214434 1123394901 /nfs/dbraw/zinc/39/49/01/1123394901.db2.gz JAPUQIQMMUZFSM-NSHDSACASA-N 1 2 276.405 3.659 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2cccc(O)c2)sc1C ZINC000173214434 1123394904 /nfs/dbraw/zinc/39/49/04/1123394904.db2.gz JAPUQIQMMUZFSM-NSHDSACASA-N 1 2 276.405 3.659 20 0 CHADLO CCOC(C[N@H+](CC)Cc1ccsc1Cl)OCC ZINC000852143169 1123398303 /nfs/dbraw/zinc/39/83/03/1123398303.db2.gz JJFOQXXEERFWMN-UHFFFAOYSA-N 1 2 291.844 3.623 20 0 CHADLO CCOC(C[N@@H+](CC)Cc1ccsc1Cl)OCC ZINC000852143169 1123398309 /nfs/dbraw/zinc/39/83/09/1123398309.db2.gz JJFOQXXEERFWMN-UHFFFAOYSA-N 1 2 291.844 3.623 20 0 CHADLO CCCCc1cc(N2CCC[C@@H]2c2[nH]c(C)c(C)[nH+]2)ncn1 ZINC000895194134 1123404924 /nfs/dbraw/zinc/40/49/24/1123404924.db2.gz BRTVPEJEAFFETM-OAHLLOKOSA-N 1 2 299.422 3.501 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc2c(c1)CCCC2 ZINC000398438400 1123405782 /nfs/dbraw/zinc/40/57/82/1123405782.db2.gz WRFMRTDVPSCKJM-NEPJUHHUSA-N 1 2 287.432 3.829 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(C2CC2)o1)c1ccc2c(c1)CCCO2 ZINC000852780455 1123415289 /nfs/dbraw/zinc/41/52/89/1123415289.db2.gz JSANPPICMCAFAZ-GFCCVEGCSA-N 1 2 298.386 3.728 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCO3)o1 ZINC000852782844 1123415910 /nfs/dbraw/zinc/41/59/10/1123415910.db2.gz WOLOSKYVVMXNIX-CHWSQXEVSA-N 1 2 286.375 3.720 20 0 CHADLO COc1cc([NH2+]CC[C@@H](C)c2ccccc2)ccc1N ZINC000111055905 1123423222 /nfs/dbraw/zinc/42/32/22/1123423222.db2.gz BGYWWGORQJNCMV-CYBMUJFWSA-N 1 2 270.376 3.883 20 0 CHADLO COc1cccc2c1CC[C@H]([NH2+]c1ccc(N)c(C)c1)C2 ZINC000853248583 1123429797 /nfs/dbraw/zinc/42/97/97/1123429797.db2.gz VTYAFPJAVZZAPN-HNNXBMFYSA-N 1 2 282.387 3.555 20 0 CHADLO CCn1c(C)nn(C[N@@H+]2CCCC(CC)(CC)C2)c1=S ZINC000853563852 1123444099 /nfs/dbraw/zinc/44/40/99/1123444099.db2.gz ZEMSOUMJGZTBJY-UHFFFAOYSA-N 1 2 296.484 3.602 20 0 CHADLO CCn1c(C)nn(C[N@H+]2CCCC(CC)(CC)C2)c1=S ZINC000853563852 1123444101 /nfs/dbraw/zinc/44/41/01/1123444101.db2.gz ZEMSOUMJGZTBJY-UHFFFAOYSA-N 1 2 296.484 3.602 20 0 CHADLO CC[C@H](CO[NH+]=C(N)c1ccccc1C)CC(F)F ZINC000853636535 1123444919 /nfs/dbraw/zinc/44/49/19/1123444919.db2.gz DVLNMUCKUCJEOE-NSHDSACASA-N 1 2 270.323 3.523 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2CSc3ccccc32)cs1 ZINC000335851238 1123446880 /nfs/dbraw/zinc/44/68/80/1123446880.db2.gz TYDOZRBKIIMFAE-ZANVPECISA-N 1 2 276.430 3.949 20 0 CHADLO CC[C@@]1(C)CC[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000443623669 1123449489 /nfs/dbraw/zinc/44/94/89/1123449489.db2.gz QKWJGZPIJDAGAQ-NSHDSACASA-N 1 2 278.343 3.784 20 0 CHADLO CC[C@@]1(C)CC[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000443623669 1123449491 /nfs/dbraw/zinc/44/94/91/1123449491.db2.gz QKWJGZPIJDAGAQ-NSHDSACASA-N 1 2 278.343 3.784 20 0 CHADLO CO[C@H](CSCc1cc[nH+]c(N(C)C)c1)C1CCCC1 ZINC000853772148 1123453415 /nfs/dbraw/zinc/45/34/15/1123453415.db2.gz KCCCCSYWGLWYPW-OAHLLOKOSA-N 1 2 294.464 3.586 20 0 CHADLO FC(F)[C@H]1CC[N@@H+]1CC1CCC(C(F)(F)F)CC1 ZINC000895433047 1123477814 /nfs/dbraw/zinc/47/78/14/1123477814.db2.gz SALHLZGDLMCZOS-UDNWOFFPSA-N 1 2 271.273 3.695 20 0 CHADLO FC(F)[C@H]1CC[N@H+]1CC1CCC(C(F)(F)F)CC1 ZINC000895433047 1123477817 /nfs/dbraw/zinc/47/78/17/1123477817.db2.gz SALHLZGDLMCZOS-UDNWOFFPSA-N 1 2 271.273 3.695 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+](C)Cc2ccc3cc[nH]c3c2)n1 ZINC000895467038 1123484191 /nfs/dbraw/zinc/48/41/91/1123484191.db2.gz KHBISWJAUUPWNU-UHFFFAOYSA-N 1 2 282.391 3.646 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+](C)Cc2ccc3cc[nH]c3c2)n1 ZINC000895467038 1123484195 /nfs/dbraw/zinc/48/41/95/1123484195.db2.gz KHBISWJAUUPWNU-UHFFFAOYSA-N 1 2 282.391 3.646 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)o1)c1cccnc1Cl ZINC000776745331 1123487159 /nfs/dbraw/zinc/48/71/59/1123487159.db2.gz JUBRVVURWGGYGP-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO F[C@@H]1CCCC[C@@H]1[NH2+]Cc1csc(C(F)(F)F)n1 ZINC000895747778 1123510185 /nfs/dbraw/zinc/51/01/85/1123510185.db2.gz XPHKPIQIQQSHAN-BDAKNGLRSA-N 1 2 282.306 3.532 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCCC2)cc1)C1CCOCC1 ZINC000776802088 1123512675 /nfs/dbraw/zinc/51/26/75/1123512675.db2.gz BNSJQATZJRCOTF-GOSISDBHSA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@@H](Nc1ccc([NH+]2CCCC2)cc1)C1CCOCC1 ZINC000776802088 1123512678 /nfs/dbraw/zinc/51/26/78/1123512678.db2.gz BNSJQATZJRCOTF-GOSISDBHSA-N 1 2 288.435 3.904 20 0 CHADLO CC(C)CCN(C(=O)c1cccc2[nH+]ccn21)C1CCCC1 ZINC000776807154 1123514226 /nfs/dbraw/zinc/51/42/26/1123514226.db2.gz RWTTVNOZJVIOLW-UHFFFAOYSA-N 1 2 299.418 3.765 20 0 CHADLO CC(C)[C@@H](c1ccccc1Cl)[N@H+](C)CN1CCCC1=O ZINC000113245938 1123515591 /nfs/dbraw/zinc/51/55/91/1123515591.db2.gz AAUSWIRJGSDAGD-INIZCTEOSA-N 1 2 294.826 3.549 20 0 CHADLO CC(C)[C@@H](c1ccccc1Cl)[N@@H+](C)CN1CCCC1=O ZINC000113245938 1123515594 /nfs/dbraw/zinc/51/55/94/1123515594.db2.gz AAUSWIRJGSDAGD-INIZCTEOSA-N 1 2 294.826 3.549 20 0 CHADLO Cc1cc(C)c2cc(N[C@H](C)Cc3cnccn3)ccc2[nH+]1 ZINC000895879236 1123523999 /nfs/dbraw/zinc/52/39/99/1123523999.db2.gz SJTRPKZJHCOZDH-CQSZACIVSA-N 1 2 292.386 3.685 20 0 CHADLO O=C(c1cccc2[nH+]ccn21)N(CC1CCCCC1)C1CC1 ZINC000776833061 1123533088 /nfs/dbraw/zinc/53/30/88/1123533088.db2.gz BUWIOQSAFAIUMA-UHFFFAOYSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1nnc(CNc2ccc3[nH+]c(C)cc(C)c3c2)s1 ZINC000896063742 1123546418 /nfs/dbraw/zinc/54/64/18/1123546418.db2.gz HJCOGJKHKGMGMZ-UHFFFAOYSA-N 1 2 284.388 3.624 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+](Cc1cccnc1)C1CC1 ZINC000428299391 1123560386 /nfs/dbraw/zinc/56/03/86/1123560386.db2.gz FEVLREMCQGEPOV-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+](Cc1cccnc1)C1CC1 ZINC000428299391 1123560390 /nfs/dbraw/zinc/56/03/90/1123560390.db2.gz FEVLREMCQGEPOV-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO Cc1cc(N[C@@H](C)CC(=O)OC(C)(C)C)ccc1[NH+](C)C ZINC000183945738 1129131985 /nfs/dbraw/zinc/13/19/85/1129131985.db2.gz BTZQBFPBCLNLLV-ZDUSSCGKSA-N 1 2 292.423 3.593 20 0 CHADLO Clc1csc(C[N@@H+]2C[C@H]3C[C@@]3(c3ccccc3)C2)n1 ZINC000896233374 1123563540 /nfs/dbraw/zinc/56/35/40/1123563540.db2.gz URISDLHYNANAFV-DOMZBBRYSA-N 1 2 290.819 3.570 20 0 CHADLO Clc1csc(C[N@H+]2C[C@H]3C[C@@]3(c3ccccc3)C2)n1 ZINC000896233374 1123563544 /nfs/dbraw/zinc/56/35/44/1123563544.db2.gz URISDLHYNANAFV-DOMZBBRYSA-N 1 2 290.819 3.570 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@](F)(c3ccccc3F)C2)o1 ZINC000338997574 1123563777 /nfs/dbraw/zinc/56/37/77/1123563777.db2.gz FKEMWXHGWWRHII-MRXNPFEDSA-N 1 2 277.314 3.798 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@](F)(c3ccccc3F)C2)o1 ZINC000338997574 1123563782 /nfs/dbraw/zinc/56/37/82/1123563782.db2.gz FKEMWXHGWWRHII-MRXNPFEDSA-N 1 2 277.314 3.798 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@H](C)c1nnc(C)s1 ZINC000274263706 1129130755 /nfs/dbraw/zinc/13/07/55/1129130755.db2.gz VISQSYWHFRDBCW-WDEREUQCSA-N 1 2 291.420 3.575 20 0 CHADLO CCc1ccc(/C=C/C(=O)Nc2c(C)cc(C)[nH+]c2C)o1 ZINC000157644265 1129131264 /nfs/dbraw/zinc/13/12/64/1129131264.db2.gz IBAWNTBBOWYHAG-CMDGGOBGSA-N 1 2 284.359 3.814 20 0 CHADLO CCN(C(=O)CCc1[nH]cc[nH+]1)c1ccc2ccccc2c1 ZINC000857443201 1123600023 /nfs/dbraw/zinc/60/00/23/1123600023.db2.gz IHRNMJARTRXDQV-UHFFFAOYSA-N 1 2 293.370 3.549 20 0 CHADLO COc1ccc2cc(C[N@H+](C)Cc3nccs3)ccc2c1 ZINC000179188328 1123600387 /nfs/dbraw/zinc/60/03/87/1123600387.db2.gz VMUKLDKJIKMRSL-UHFFFAOYSA-N 1 2 298.411 3.937 20 0 CHADLO COc1ccc2cc(C[N@@H+](C)Cc3nccs3)ccc2c1 ZINC000179188328 1123600388 /nfs/dbraw/zinc/60/03/88/1123600388.db2.gz VMUKLDKJIKMRSL-UHFFFAOYSA-N 1 2 298.411 3.937 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)C[N@@H+]1Cn1ncsc1=S ZINC000115512557 1123618164 /nfs/dbraw/zinc/61/81/64/1123618164.db2.gz NJTJDIZGBLMNQA-YPMHNXCESA-N 1 2 291.445 3.510 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)C[N@H+]1Cn1ncsc1=S ZINC000115512557 1123618167 /nfs/dbraw/zinc/61/81/67/1123618167.db2.gz NJTJDIZGBLMNQA-YPMHNXCESA-N 1 2 291.445 3.510 20 0 CHADLO Cc1cc(OCC[N@@H+]2Cc3ccncc3C2)ccc1C(C)C ZINC000857873002 1123624472 /nfs/dbraw/zinc/62/44/72/1123624472.db2.gz LLQWFQIPAQJENS-UHFFFAOYSA-N 1 2 296.414 3.908 20 0 CHADLO Cc1cc(OCC[N@H+]2Cc3ccncc3C2)ccc1C(C)C ZINC000857873002 1123624475 /nfs/dbraw/zinc/62/44/75/1123624475.db2.gz LLQWFQIPAQJENS-UHFFFAOYSA-N 1 2 296.414 3.908 20 0 CHADLO COc1ccc(Cl)c(C[NH2+][C@@H](C)c2nccs2)c1 ZINC000192182043 1123634555 /nfs/dbraw/zinc/63/45/55/1123634555.db2.gz MCNMNQQJAJVBIV-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO Fc1c[nH+]ccc1NCc1cc(Br)cs1 ZINC000192149531 1123635341 /nfs/dbraw/zinc/63/53/41/1123635341.db2.gz WJZORLCTAYHMJF-UHFFFAOYSA-N 1 2 287.157 3.657 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H](C)c2ccns2)s1 ZINC000858316921 1123644478 /nfs/dbraw/zinc/64/44/78/1123644478.db2.gz NZGLAXKLHLBTOZ-SECBINFHSA-N 1 2 267.423 3.574 20 0 CHADLO Cn1c2ccc(Nc3nccnc3F)cc2[nH+]c1C(C)(C)C ZINC000858462997 1123656100 /nfs/dbraw/zinc/65/61/00/1123656100.db2.gz SGCFYCLXEZCYMB-UHFFFAOYSA-N 1 2 299.353 3.544 20 0 CHADLO CCc1cnc(C[N@@H+]2CCc3sccc3[C@@H]2C)s1 ZINC000346145429 1123667648 /nfs/dbraw/zinc/66/76/48/1123667648.db2.gz HAWGTPCAARSPSU-JTQLQIEISA-N 1 2 278.446 3.886 20 0 CHADLO CC(C)c1cnc(C[N@H+](C)Cc2cccs2)s1 ZINC000346155452 1123668379 /nfs/dbraw/zinc/66/83/79/1123668379.db2.gz RDSAMOLGXSYYPD-UHFFFAOYSA-N 1 2 266.435 3.960 20 0 CHADLO CC(C)c1cnc(C[N@@H+](C)Cc2cccs2)s1 ZINC000346155452 1123668383 /nfs/dbraw/zinc/66/83/83/1123668383.db2.gz RDSAMOLGXSYYPD-UHFFFAOYSA-N 1 2 266.435 3.960 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1Cc1ccccc1OC ZINC000281181516 1123687853 /nfs/dbraw/zinc/68/78/53/1123687853.db2.gz RMDSJYNTJKUCQM-INIZCTEOSA-N 1 2 299.418 3.639 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1Cc1ccccc1OC ZINC000281181516 1123687858 /nfs/dbraw/zinc/68/78/58/1123687858.db2.gz RMDSJYNTJKUCQM-INIZCTEOSA-N 1 2 299.418 3.639 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1ccnc(F)c1 ZINC000859222112 1123691284 /nfs/dbraw/zinc/69/12/84/1123691284.db2.gz JKQNKYCYFVBGMT-RYUDHWBXSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1ccnc(F)c1 ZINC000859222112 1123691287 /nfs/dbraw/zinc/69/12/87/1123691287.db2.gz JKQNKYCYFVBGMT-RYUDHWBXSA-N 1 2 288.341 3.868 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(Cl)n(C)n1)c1ccc(F)cc1F ZINC000859235042 1123691945 /nfs/dbraw/zinc/69/19/45/1123691945.db2.gz IQXYUVCHHXUMMJ-ZDUSSCGKSA-N 1 2 299.752 3.593 20 0 CHADLO CC(C)c1cnc(C[N@H+]2C[C@@H](C)C(F)(F)[C@@H](C)C2)o1 ZINC000859412018 1123697099 /nfs/dbraw/zinc/69/70/99/1123697099.db2.gz PVCBRQSAIHWAQF-PHIMTYICSA-N 1 2 272.339 3.521 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2C[C@@H](C)C(F)(F)[C@@H](C)C2)o1 ZINC000859412018 1123697100 /nfs/dbraw/zinc/69/71/00/1123697100.db2.gz PVCBRQSAIHWAQF-PHIMTYICSA-N 1 2 272.339 3.521 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1ccc(F)cn1 ZINC000346616025 1123698412 /nfs/dbraw/zinc/69/84/12/1123698412.db2.gz OIXBMAVZLWWSSK-RYUDHWBXSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1ccc(F)cn1 ZINC000346616025 1123698413 /nfs/dbraw/zinc/69/84/13/1123698413.db2.gz OIXBMAVZLWWSSK-RYUDHWBXSA-N 1 2 288.341 3.868 20 0 CHADLO Clc1nc(C[NH2+][C@@H](c2ccccn2)C2CCC2)cs1 ZINC000859568282 1123701551 /nfs/dbraw/zinc/70/15/51/1123701551.db2.gz SXWRDTAOWJPKLB-CYBMUJFWSA-N 1 2 293.823 3.823 20 0 CHADLO Cc1cc(N(C)Cc2ccccc2C)nc(C2CC2)[nH+]1 ZINC000346669619 1123706178 /nfs/dbraw/zinc/70/61/78/1123706178.db2.gz KPMOVSPXBSQVQX-UHFFFAOYSA-N 1 2 267.376 3.607 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(Cl)ccc1Cl)c1ccns1 ZINC000860058190 1123719089 /nfs/dbraw/zinc/71/90/89/1123719089.db2.gz JFTBKCJLLJEYRZ-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)cc1Cl)c1ccns1 ZINC000860058066 1123719609 /nfs/dbraw/zinc/71/96/09/1123719609.db2.gz GLOMVSNVXLLRAD-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO Cn1c[nH+]cc1COc1cccc(C2CCCC2)c1 ZINC000655697316 1123731501 /nfs/dbraw/zinc/73/15/01/1123731501.db2.gz PFNGWXAHZMUTKQ-UHFFFAOYSA-N 1 2 256.349 3.657 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC[C@H]2c2cccnc2)n1 ZINC000346970669 1123738110 /nfs/dbraw/zinc/73/81/10/1123738110.db2.gz GTDXONYQFHWAKU-SFHVURJKSA-N 1 2 298.434 3.976 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC[C@H]2c2cccnc2)n1 ZINC000346970669 1123738113 /nfs/dbraw/zinc/73/81/13/1123738113.db2.gz GTDXONYQFHWAKU-SFHVURJKSA-N 1 2 298.434 3.976 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@@H](C)c1nnc(C)o1 ZINC000281694750 1123739162 /nfs/dbraw/zinc/73/91/62/1123739162.db2.gz NIDQSOBENYASRQ-UWVGGRQHSA-N 1 2 277.393 3.512 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1cc(C)on1 ZINC000281912851 1123749376 /nfs/dbraw/zinc/74/93/76/1123749376.db2.gz CIEWHIKCBCVTRU-LLVKDONJSA-N 1 2 262.378 3.556 20 0 CHADLO Cc1cccn2cc(C[S@@](=O)CCCC(C)(C)C)[nH+]c12 ZINC000281920199 1123749969 /nfs/dbraw/zinc/74/99/69/1123749969.db2.gz QWBQBAMOAHQADT-FQEVSTJZSA-N 1 2 292.448 3.718 20 0 CHADLO C[C@@H]1COCCN1c1ccc([NH2+][C@H]2CCC23CCC3)cc1 ZINC000281933303 1123750499 /nfs/dbraw/zinc/75/04/99/1123750499.db2.gz BDCYLFOAPOQNKO-PBHICJAKSA-N 1 2 286.419 3.656 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2ccc(Cl)cc21)c1csnn1 ZINC000336281062 1123756421 /nfs/dbraw/zinc/75/64/21/1123756421.db2.gz RFXLQZJFPNEEBX-UFBFGSQYSA-N 1 2 279.796 3.530 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1cc(C)c(C)o1)c1ccc(C)o1 ZINC000281965577 1123760670 /nfs/dbraw/zinc/76/06/70/1123760670.db2.gz BFXDJHUHNHNNDH-TZMCWYRMSA-N 1 2 277.364 3.836 20 0 CHADLO CS[C@@H]1CCCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000336566154 1123775837 /nfs/dbraw/zinc/77/58/37/1123775837.db2.gz XJKPXWHDEBOQBT-SECBINFHSA-N 1 2 276.858 3.514 20 0 CHADLO CS[C@@H]1CCCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000336566154 1123775842 /nfs/dbraw/zinc/77/58/42/1123775842.db2.gz XJKPXWHDEBOQBT-SECBINFHSA-N 1 2 276.858 3.514 20 0 CHADLO CO[C@H](COc1cccc(-n2cc[nH+]c2)c1)c1ccccc1 ZINC000339030450 1123781395 /nfs/dbraw/zinc/78/13/95/1123781395.db2.gz VWLNKWKJFNEASO-GOSISDBHSA-N 1 2 294.354 3.639 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)C1CC(F)(F)C1 ZINC000862257943 1123795334 /nfs/dbraw/zinc/79/53/34/1123795334.db2.gz JBCJFVXQLHUPQF-SNVBAGLBSA-N 1 2 254.324 3.598 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N(C)C)cc1)C1CC(F)(F)C1 ZINC000862257943 1123795336 /nfs/dbraw/zinc/79/53/36/1123795336.db2.gz JBCJFVXQLHUPQF-SNVBAGLBSA-N 1 2 254.324 3.598 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cnc(C2CC2)o1 ZINC000862252027 1123795455 /nfs/dbraw/zinc/79/54/55/1123795455.db2.gz CBFYJKWTHVTBAY-UHFFFAOYSA-N 1 2 271.364 3.539 20 0 CHADLO COc1ccc(CC[C@H](C)Nc2c[nH+]ccc2OC)cc1 ZINC000777254513 1123801255 /nfs/dbraw/zinc/80/12/55/1123801255.db2.gz LCZLSWQVPYSOLF-ZDUSSCGKSA-N 1 2 286.375 3.532 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000475276856 1123830774 /nfs/dbraw/zinc/83/07/74/1123830774.db2.gz CBRDSUTVQILOCF-IONNQARKSA-N 1 2 297.242 3.877 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000475276856 1123830777 /nfs/dbraw/zinc/83/07/77/1123830777.db2.gz CBRDSUTVQILOCF-IONNQARKSA-N 1 2 297.242 3.877 20 0 CHADLO Cc1cccnc1[C@H](Nc1cc[nH+]c(C)n1)C(C)(C)C ZINC000897228334 1123850980 /nfs/dbraw/zinc/85/09/80/1123850980.db2.gz KCEJGVBILUUDIP-HNNXBMFYSA-N 1 2 270.380 3.688 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(C2CCC2)no1)c1ccccc1 ZINC000639560698 1123858122 /nfs/dbraw/zinc/85/81/22/1123858122.db2.gz GWASAMOXBNTJCN-OAHLLOKOSA-N 1 2 285.391 3.968 20 0 CHADLO CN(C)c1cc(CSCCC(C)(C)C)cc[nH+]1 ZINC000783581108 1123866330 /nfs/dbraw/zinc/86/63/30/1123866330.db2.gz NGPCKTIIHHTLRY-UHFFFAOYSA-N 1 2 252.427 3.817 20 0 CHADLO C[C@H]1C[N@H+](Cc2noc3c2CCCC3)[C@H]1c1ccccc1 ZINC000248358364 1123870849 /nfs/dbraw/zinc/87/08/49/1123870849.db2.gz QRULRFLZQGFUKZ-SCLBCKFNSA-N 1 2 282.387 3.746 20 0 CHADLO C[C@H]1C[N@@H+](Cc2noc3c2CCCC3)[C@H]1c1ccccc1 ZINC000248358364 1123870851 /nfs/dbraw/zinc/87/08/51/1123870851.db2.gz QRULRFLZQGFUKZ-SCLBCKFNSA-N 1 2 282.387 3.746 20 0 CHADLO CN(Cc1csc2ccccc12)c1cccc[nH+]1 ZINC000301089273 1123873754 /nfs/dbraw/zinc/87/37/54/1123873754.db2.gz JWZXSWQGTVFHSI-UHFFFAOYSA-N 1 2 254.358 3.933 20 0 CHADLO c1ccc(NCCOc2cccc3ccccc32)[nH+]c1 ZINC000301108543 1123875218 /nfs/dbraw/zinc/87/52/18/1123875218.db2.gz UDUUFMLSHMIJBY-UHFFFAOYSA-N 1 2 264.328 3.726 20 0 CHADLO COc1ccc(CC2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000301132176 1123877875 /nfs/dbraw/zinc/87/78/75/1123877875.db2.gz SSVLBPRPJJWZDM-UHFFFAOYSA-N 1 2 282.387 3.549 20 0 CHADLO Cc1cc(NC[C@H]2CCOc3ccccc32)nc(C(C)C)[nH+]1 ZINC000301189709 1123880235 /nfs/dbraw/zinc/88/02/35/1123880235.db2.gz GSPPOBYNBXYNGX-CQSZACIVSA-N 1 2 297.402 3.887 20 0 CHADLO Cc1cc(N2CC[C@@H](Nc3ccccc3)C2)nc(C(C)C)[nH+]1 ZINC000301226136 1123883384 /nfs/dbraw/zinc/88/33/84/1123883384.db2.gz GJQLQQQIESXXRA-MRXNPFEDSA-N 1 2 296.418 3.599 20 0 CHADLO CC(C)C[C@H](C)Oc1cc(CNc2cccc[nH+]2)ccn1 ZINC000301235289 1123883795 /nfs/dbraw/zinc/88/37/95/1123883795.db2.gz HKTQZXNBHPBLEM-AWEZNQCLSA-N 1 2 285.391 3.902 20 0 CHADLO Cc1cc(CNc2cc(C)[nH+]c(C(C)C)n2)c(C)o1 ZINC000301287095 1123888030 /nfs/dbraw/zinc/88/80/30/1123888030.db2.gz OQLFIFMSPMYOMB-UHFFFAOYSA-N 1 2 259.353 3.730 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000339064733 1123902683 /nfs/dbraw/zinc/90/26/83/1123902683.db2.gz UASYHKIJPXJZSY-QGZVFWFLSA-N 1 2 298.434 3.828 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000339064733 1123902686 /nfs/dbraw/zinc/90/26/86/1123902686.db2.gz UASYHKIJPXJZSY-QGZVFWFLSA-N 1 2 298.434 3.828 20 0 CHADLO CCc1cnc(CCNc2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000301480571 1123903675 /nfs/dbraw/zinc/90/36/75/1123903675.db2.gz WFGHUEOZASSLGF-UHFFFAOYSA-N 1 2 290.436 3.582 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@@H]2CC=CCC2)c1 ZINC000301522611 1123908075 /nfs/dbraw/zinc/90/80/75/1123908075.db2.gz OCETUUZVTXLJFH-SNVBAGLBSA-N 1 2 256.271 3.869 20 0 CHADLO O=C(/C=C\c1ccccc1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000864048563 1123914343 /nfs/dbraw/zinc/91/43/43/1123914343.db2.gz FPWXDALQYFDUIK-WDZFZDKYSA-N 1 2 289.338 3.524 20 0 CHADLO Cc1cc(N(C)[C@@H](C)c2ccccn2)nc(C(C)C)[nH+]1 ZINC000301581997 1123914481 /nfs/dbraw/zinc/91/44/81/1123914481.db2.gz TXFJPIGHURJBBD-ZDUSSCGKSA-N 1 2 270.380 3.501 20 0 CHADLO CCCN(C[C@H]1CCCCO1)c1cc(C)[nH+]c(C(C)C)n1 ZINC000301659320 1123921187 /nfs/dbraw/zinc/92/11/87/1123921187.db2.gz MCTHIWDEDAXNFI-OAHLLOKOSA-N 1 2 291.439 3.694 20 0 CHADLO CCn1c2ccccc2nc1[C@@H]1CCC[N@@H+]1C/C=C/Cl ZINC000084496601 1129153337 /nfs/dbraw/zinc/15/33/37/1129153337.db2.gz UDDNXELUBLPPBB-WGPYJDKQSA-N 1 2 289.810 3.946 20 0 CHADLO CCn1c2ccccc2nc1[C@@H]1CCC[N@H+]1C/C=C/Cl ZINC000084496601 1129153339 /nfs/dbraw/zinc/15/33/39/1129153339.db2.gz UDDNXELUBLPPBB-WGPYJDKQSA-N 1 2 289.810 3.946 20 0 CHADLO CC[N@H+](CC1CCC1)Cn1nc(C(C)(C)C)oc1=S ZINC000794684182 1123927392 /nfs/dbraw/zinc/92/73/92/1123927392.db2.gz UBLZQBBRKTYJTA-UHFFFAOYSA-N 1 2 283.441 3.583 20 0 CHADLO CC[N@@H+](CC1CCC1)Cn1nc(C(C)(C)C)oc1=S ZINC000794684182 1123927394 /nfs/dbraw/zinc/92/73/94/1123927394.db2.gz UBLZQBBRKTYJTA-UHFFFAOYSA-N 1 2 283.441 3.583 20 0 CHADLO Cc1ccc(-c2cnn(C[NH+]3CCC(F)(F)CC3)c2)cc1 ZINC000794685532 1123927515 /nfs/dbraw/zinc/92/75/15/1123927515.db2.gz JFAFZZFMVAZFGI-UHFFFAOYSA-N 1 2 291.345 3.547 20 0 CHADLO Cc1nn(C[N@@H+](C)[C@@H]2CCC(C)(C)C2)c(=S)n1C1CC1 ZINC000794686827 1123927584 /nfs/dbraw/zinc/92/75/84/1123927584.db2.gz RUWNNBGICIXWMW-CYBMUJFWSA-N 1 2 294.468 3.525 20 0 CHADLO Cc1nn(C[N@H+](C)[C@@H]2CCC(C)(C)C2)c(=S)n1C1CC1 ZINC000794686827 1123927588 /nfs/dbraw/zinc/92/75/88/1123927588.db2.gz RUWNNBGICIXWMW-CYBMUJFWSA-N 1 2 294.468 3.525 20 0 CHADLO CCCn1c(C)nn(C[N@H+](C)[C@@H]2CCC(C)(C)C2)c1=S ZINC000794684928 1123927917 /nfs/dbraw/zinc/92/79/17/1123927917.db2.gz KHIILPGKERLNNA-CYBMUJFWSA-N 1 2 296.484 3.601 20 0 CHADLO CCCn1c(C)nn(C[N@@H+](C)[C@@H]2CCC(C)(C)C2)c1=S ZINC000794684928 1123927921 /nfs/dbraw/zinc/92/79/21/1123927921.db2.gz KHIILPGKERLNNA-CYBMUJFWSA-N 1 2 296.484 3.601 20 0 CHADLO Cc1ccccc1CNc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000301832987 1123936490 /nfs/dbraw/zinc/93/64/90/1123936490.db2.gz JLCMMQAZASDWNO-UHFFFAOYSA-N 1 2 295.390 3.502 20 0 CHADLO CC(C)c1cc(N[C@@H](CCO)C(C)C)nc(C(C)C)[nH+]1 ZINC000301829023 1123936681 /nfs/dbraw/zinc/93/66/81/1123936681.db2.gz QSIRPJAYIGHDOL-ZDUSSCGKSA-N 1 2 279.428 3.542 20 0 CHADLO CCc1ccc(CNc2cc(NCC3CC3)[nH+]cn2)s1 ZINC000301837091 1123937643 /nfs/dbraw/zinc/93/76/43/1123937643.db2.gz VULLDTKJZNQDRL-UHFFFAOYSA-N 1 2 288.420 3.535 20 0 CHADLO CCc1ccc(CNc2cc(NCC3CC3)nc[nH+]2)s1 ZINC000301837091 1123937646 /nfs/dbraw/zinc/93/76/46/1123937646.db2.gz VULLDTKJZNQDRL-UHFFFAOYSA-N 1 2 288.420 3.535 20 0 CHADLO Clc1ccccc1C1(CNc2cccc[nH+]2)CC1 ZINC000301853688 1123938240 /nfs/dbraw/zinc/93/82/40/1123938240.db2.gz AGSMEJMROIVOAS-UHFFFAOYSA-N 1 2 258.752 3.879 20 0 CHADLO CC(C)c1cc(N2C[C@H]3CCC[C@@H]3C2)nc(C(C)C)[nH+]1 ZINC000301881903 1123940900 /nfs/dbraw/zinc/94/09/00/1123940900.db2.gz WNCLASXFZKZYAV-ZIAGYGMSSA-N 1 2 273.424 3.960 20 0 CHADLO C[C@@H]1CCCC[C@@H]1Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000301889642 1123941796 /nfs/dbraw/zinc/94/17/96/1123941796.db2.gz NQRHCGCEJWAMHX-YPMHNXCESA-N 1 2 287.411 3.572 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2ccccc2Cl)o1 ZINC000173550108 1123944446 /nfs/dbraw/zinc/94/44/46/1123944446.db2.gz MFKCHPSPJDPAON-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2ccccc2Cl)o1 ZINC000173550108 1123944448 /nfs/dbraw/zinc/94/44/48/1123944448.db2.gz MFKCHPSPJDPAON-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO CCc1cc(N2C[C@@H](C)[C@H]2c2ccccc2)nc(C)[nH+]1 ZINC000302092086 1123954986 /nfs/dbraw/zinc/95/49/86/1123954986.db2.gz MMIRYEFDEJOWMB-PXAZEXFGSA-N 1 2 267.376 3.545 20 0 CHADLO Cc1cnc([C@H](C)CNc2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000302132676 1123956977 /nfs/dbraw/zinc/95/69/77/1123956977.db2.gz KXLWQMSBKGJOAR-SNVBAGLBSA-N 1 2 290.436 3.889 20 0 CHADLO Cc1cc(C[NH2+][C@H](c2nc(C3CC3)no2)C(C)C)c(C)o1 ZINC000120455991 1123965915 /nfs/dbraw/zinc/96/59/15/1123965915.db2.gz JPWSMOPZIWXFFN-AWEZNQCLSA-N 1 2 289.379 3.644 20 0 CHADLO COc1cccc2c(NCCc3csc(C)n3)cc[nH+]c12 ZINC000302427208 1123968234 /nfs/dbraw/zinc/96/82/34/1123968234.db2.gz KFBMOAKMMPFKPX-UHFFFAOYSA-N 1 2 299.399 3.663 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCC[C@@H]1CCO ZINC000302465383 1123969344 /nfs/dbraw/zinc/96/93/44/1123969344.db2.gz SIAFESDFRGQWDR-HIFRSBDPSA-N 1 2 270.376 3.506 20 0 CHADLO Cc1nc(N[C@@H]2CC[C@H](Cc3ccccc3)C2)cc[nH+]1 ZINC000302519597 1123971124 /nfs/dbraw/zinc/97/11/24/1123971124.db2.gz WWTFOPHENPODPR-HZPDHXFCSA-N 1 2 267.376 3.608 20 0 CHADLO COc1cccc2c(NCC[C@H]3CCCCO3)cc[nH+]c12 ZINC000302518601 1123971485 /nfs/dbraw/zinc/97/14/85/1123971485.db2.gz HXUIXODQYMRHQT-CYBMUJFWSA-N 1 2 286.375 3.615 20 0 CHADLO COc1ccc(F)cc1CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000302551421 1123972304 /nfs/dbraw/zinc/97/23/04/1123972304.db2.gz WKNFOLNDTPCISG-UHFFFAOYSA-N 1 2 289.354 3.668 20 0 CHADLO CC(C)n1ncc2cc(Cn3c[nH+]c(C(C)(C)C)c3)cnc21 ZINC000865374943 1123976836 /nfs/dbraw/zinc/97/68/36/1123976836.db2.gz BIQKNAIGEQGUJE-UHFFFAOYSA-N 1 2 297.406 3.555 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C2CC2)cn1)c1ccccc1Cl ZINC000865401320 1123980274 /nfs/dbraw/zinc/98/02/74/1123980274.db2.gz PEDBLHYBCMJURG-NSHDSACASA-N 1 2 275.783 3.722 20 0 CHADLO Cc1ccc2c(c1)CCN(c1nc(C)[nH+]c3c1CCCC3)C2 ZINC000302742933 1123981235 /nfs/dbraw/zinc/98/12/35/1123981235.db2.gz ZSYCMZZQUQKBSG-UHFFFAOYSA-N 1 2 293.414 3.535 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2cc3c(cc[nH]c3=O)o2)o1 ZINC000865417969 1123981859 /nfs/dbraw/zinc/98/18/59/1123981859.db2.gz FLYHAWYGJORMEU-GWCFXTLKSA-N 1 2 298.342 3.540 20 0 CHADLO CCCn1cc(C[NH2+][C@@H](C)c2oc3ccccc3c2C)nn1 ZINC000865418661 1123982106 /nfs/dbraw/zinc/98/21/06/1123982106.db2.gz MJCSTLUOPVNAKM-ZDUSSCGKSA-N 1 2 298.390 3.594 20 0 CHADLO CO[C@@H]1CC[C@H](Nc2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000302770240 1123982665 /nfs/dbraw/zinc/98/26/65/1123982665.db2.gz MPBQTBBXJZJYDG-QWHCGFSZSA-N 1 2 277.412 3.703 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccnn1CC1CCC1 ZINC000865422798 1123983251 /nfs/dbraw/zinc/98/32/51/1123983251.db2.gz YHMWFTXYWAJWPC-UHFFFAOYSA-N 1 2 298.434 3.670 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2nc(C)cs2)o1 ZINC000428353934 1123995935 /nfs/dbraw/zinc/99/59/35/1123995935.db2.gz XDQJELCOKPKFNU-NSHDSACASA-N 1 2 279.409 3.551 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(CC(F)(F)F)cc2)co1 ZINC000865591294 1123997522 /nfs/dbraw/zinc/99/75/22/1123997522.db2.gz FKPTVDZFJRVUHM-UHFFFAOYSA-N 1 2 298.308 3.632 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccc(C(C)(C)C)o2)s1 ZINC000865814375 1124016473 /nfs/dbraw/zinc/01/64/73/1124016473.db2.gz DVVQUAGRBOCKIZ-UHFFFAOYSA-N 1 2 278.421 3.886 20 0 CHADLO C[C@H]1C[N@H+](Cc2cncc(Cl)c2)CC2(CCCCC2)O1 ZINC000621313594 1129161002 /nfs/dbraw/zinc/16/10/02/1129161002.db2.gz OWWNAIPJRASACN-ZDUSSCGKSA-N 1 2 294.826 3.659 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cncc(Cl)c2)CC2(CCCCC2)O1 ZINC000621313594 1129161003 /nfs/dbraw/zinc/16/10/03/1129161003.db2.gz OWWNAIPJRASACN-ZDUSSCGKSA-N 1 2 294.826 3.659 20 0 CHADLO COc1ccc2c(c1)CCC[C@H]2[NH2+][C@@H](C)C(C)(F)F ZINC000389452443 1124025742 /nfs/dbraw/zinc/02/57/42/1124025742.db2.gz UVRFDLMCEMMBSB-IINYFYTJSA-N 1 2 269.335 3.706 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(-n2ccnc2)cc1 ZINC000389468266 1124029676 /nfs/dbraw/zinc/02/96/76/1124029676.db2.gz LQKQOZJGFGIELA-NEPJUHHUSA-N 1 2 279.334 3.567 20 0 CHADLO COc1cc(C[NH2+][C@@H](C)C(C)(F)F)ccc1OC(C)C ZINC000389471213 1124029709 /nfs/dbraw/zinc/02/97/09/1124029709.db2.gz QZAKKGSGTNJMCW-NSHDSACASA-N 1 2 287.350 3.616 20 0 CHADLO Cc1ccc(C[N@@H+]([C@@H](C)C(=O)OC(C)(C)C)C2CC2)cc1 ZINC000610012894 1124033228 /nfs/dbraw/zinc/03/32/28/1124033228.db2.gz KSOLBPABLJURCS-AWEZNQCLSA-N 1 2 289.419 3.690 20 0 CHADLO Cc1ccc(C[N@H+]([C@@H](C)C(=O)OC(C)(C)C)C2CC2)cc1 ZINC000610012894 1124033234 /nfs/dbraw/zinc/03/32/34/1124033234.db2.gz KSOLBPABLJURCS-AWEZNQCLSA-N 1 2 289.419 3.690 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)cc1F ZINC000866221441 1124038254 /nfs/dbraw/zinc/03/82/54/1124038254.db2.gz RDTBIGSJLNXAPH-WBVHZDCISA-N 1 2 289.325 3.696 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2OC[C@H]1F)c1ccc(F)cc1 ZINC000866216306 1124038429 /nfs/dbraw/zinc/03/84/29/1124038429.db2.gz QFLSSMOWTLKCEO-CXMBCZLWSA-N 1 2 289.325 3.948 20 0 CHADLO Cc1ccccc1C[NH2+][C@H]1c2ccccc2OC[C@@H]1F ZINC000866214450 1124038734 /nfs/dbraw/zinc/03/87/34/1124038734.db2.gz AJVZZRGZBADUKO-RDJZCZTQSA-N 1 2 271.335 3.556 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2OC[C@@H]1F)c1ccc(F)cc1 ZINC000866216309 1124038889 /nfs/dbraw/zinc/03/88/89/1124038889.db2.gz QFLSSMOWTLKCEO-KCTSRDHCSA-N 1 2 289.325 3.948 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)s1 ZINC000866237294 1124039455 /nfs/dbraw/zinc/03/94/55/1124039455.db2.gz IZBSNIIHEJXPJF-UKRRQHHQSA-N 1 2 277.364 3.618 20 0 CHADLO Cc1cc(N[C@H](C)c2cc3cnccc3o2)nc(C2CC2)[nH+]1 ZINC000866265210 1124043586 /nfs/dbraw/zinc/04/35/86/1124043586.db2.gz YJUIUGHFIUCWEV-LLVKDONJSA-N 1 2 294.358 3.977 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CC[C@@H](CC(F)(F)F)C2)o1 ZINC000444713460 1124053160 /nfs/dbraw/zinc/05/31/60/1124053160.db2.gz AQIFMKCBZHEZDU-JTQLQIEISA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CC[C@@H](CC(F)(F)F)C2)o1 ZINC000444713460 1124053163 /nfs/dbraw/zinc/05/31/63/1124053163.db2.gz AQIFMKCBZHEZDU-JTQLQIEISA-N 1 2 290.329 3.746 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3ncccc32)ccc1[NH+](C)C ZINC000866328947 1124053180 /nfs/dbraw/zinc/05/31/80/1124053180.db2.gz WTDUNIDJHMZCCH-QGZVFWFLSA-N 1 2 281.403 3.946 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccn1)c1ccc(C2CCC2)cc1 ZINC000866393138 1124062107 /nfs/dbraw/zinc/06/21/07/1124062107.db2.gz MYTBLEDLVVCPCM-CYBMUJFWSA-N 1 2 267.376 3.595 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@](C)(CC)c2nc(C)cs2)o1 ZINC000428380312 1124067439 /nfs/dbraw/zinc/06/74/39/1124067439.db2.gz KWGDBWXHMJIYFM-OAHLLOKOSA-N 1 2 293.436 3.725 20 0 CHADLO C[C@H](CC1CCC1)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000631738808 1124082997 /nfs/dbraw/zinc/08/29/97/1124082997.db2.gz UQUDOEKRVJZDJZ-IUODEOHRSA-N 1 2 276.428 3.594 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccccc2CC)s1 ZINC000445810985 1124101804 /nfs/dbraw/zinc/10/18/04/1124101804.db2.gz NFMRKFIFFBZLSJ-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccccc2CC)s1 ZINC000445810985 1124101808 /nfs/dbraw/zinc/10/18/08/1124101808.db2.gz NFMRKFIFFBZLSJ-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO COc1cccc(Cl)c1C[N@H+](C)[C@H](C)c1ccncc1 ZINC000429694271 1124133406 /nfs/dbraw/zinc/13/34/06/1124133406.db2.gz DKFHPBQSYLRXLR-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+](C)[C@H](C)c1ccncc1 ZINC000429694271 1124133407 /nfs/dbraw/zinc/13/34/07/1124133407.db2.gz DKFHPBQSYLRXLR-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@@H]1CCCC2(CC2)C1 ZINC000665934307 1124135059 /nfs/dbraw/zinc/13/50/59/1124135059.db2.gz GXEAFYYPRCRIPN-CQSZACIVSA-N 1 2 295.386 3.781 20 0 CHADLO FC(F)[C@H]([NH2+]Cc1ccc2c[nH]nc2c1)C1CCCCC1 ZINC000621400981 1129172999 /nfs/dbraw/zinc/17/29/99/1129172999.db2.gz YFMUNQXARHJEED-OAHLLOKOSA-N 1 2 293.361 3.867 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC[C@H](O)[C@@H](C)c1ccccc1 ZINC000819408441 1131245331 /nfs/dbraw/zinc/24/53/31/1131245331.db2.gz FYBOASLQPHGAMH-KXBFYZLASA-N 1 2 298.430 3.638 20 0 CHADLO c1ccc([C@H]2CC[N@H+]2Cc2nc3ccccc3[nH]2)cc1 ZINC001119349414 1131252266 /nfs/dbraw/zinc/25/22/66/1131252266.db2.gz HQDPBSSEBOXJPF-MRXNPFEDSA-N 1 2 263.344 3.510 20 0 CHADLO c1ccc([C@H]2CC[N@@H+]2Cc2nc3ccccc3[nH]2)cc1 ZINC001119349414 1131252268 /nfs/dbraw/zinc/25/22/68/1131252268.db2.gz HQDPBSSEBOXJPF-MRXNPFEDSA-N 1 2 263.344 3.510 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001238781264 1131246536 /nfs/dbraw/zinc/24/65/36/1131246536.db2.gz OYRZZQWSLPJIFA-KOLCDFICSA-N 1 2 259.727 3.720 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001238781264 1131246540 /nfs/dbraw/zinc/24/65/40/1131246540.db2.gz OYRZZQWSLPJIFA-KOLCDFICSA-N 1 2 259.727 3.720 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2ccccn2)cc1Cl ZINC001238786818 1131247497 /nfs/dbraw/zinc/24/74/97/1131247497.db2.gz AZFJHVLWIOUARY-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2ccccn2)cc1Cl ZINC001238786818 1131247501 /nfs/dbraw/zinc/24/75/01/1131247501.db2.gz AZFJHVLWIOUARY-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1cc(-c2ccc(C3CC3)cc2)c[nH+]c1N1CCOCC1 ZINC001238833631 1131252431 /nfs/dbraw/zinc/25/24/31/1131252431.db2.gz JPCZLLZPIIZWCX-UHFFFAOYSA-N 1 2 294.398 3.771 20 0 CHADLO CCc1ccc(C[N@@H+]2CCC[C@H]2c2csc(C)n2)nc1 ZINC000339094859 1124534728 /nfs/dbraw/zinc/53/47/28/1124534728.db2.gz YHTIVRTVDCQUNH-INIZCTEOSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1ccc(C[N@H+]2CCC[C@H]2c2csc(C)n2)nc1 ZINC000339094859 1124534735 /nfs/dbraw/zinc/53/47/35/1124534735.db2.gz YHTIVRTVDCQUNH-INIZCTEOSA-N 1 2 287.432 3.746 20 0 CHADLO CCOc1ccc(CNc2ccc([NH+]3CCCC3)cc2)o1 ZINC001120019002 1131270279 /nfs/dbraw/zinc/27/02/79/1131270279.db2.gz CTUYYOXMCIYWHF-UHFFFAOYSA-N 1 2 286.375 3.891 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@]3(C)CC=CCC3)cc2[nH+]1 ZINC000448625463 1124599967 /nfs/dbraw/zinc/59/99/67/1124599967.db2.gz OISVURYTAQKCSI-INIZCTEOSA-N 1 2 269.348 3.556 20 0 CHADLO Cc1nc(NCC(F)(F)c2ccccn2)cc(C(C)C)[nH+]1 ZINC001159792693 1131272108 /nfs/dbraw/zinc/27/21/08/1131272108.db2.gz CNYWZTFHMJQKNV-UHFFFAOYSA-N 1 2 292.333 3.507 20 0 CHADLO COc1ccc(-c2ccc3[nH+]ccn3c2)cc1OC(C)C ZINC001238932648 1131273440 /nfs/dbraw/zinc/27/34/40/1131273440.db2.gz SLUMEHUKNZLSMC-UHFFFAOYSA-N 1 2 282.343 3.797 20 0 CHADLO COc1ccc(-c2c[nH+]c3c(c2)CCCN3)cc1OC(C)C ZINC001238932715 1131273820 /nfs/dbraw/zinc/27/38/20/1131273820.db2.gz DIYRYYNXITZWOI-UHFFFAOYSA-N 1 2 298.386 3.545 20 0 CHADLO COc1cccc(F)c1C[NH2+][C@@H](C)c1cc(O)cc(F)c1 ZINC001120258746 1131273928 /nfs/dbraw/zinc/27/39/28/1131273928.db2.gz IBHMEPDKJJZJBE-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO CC1(C)CC[C@H](Nc2ccccc2OCCn2cc[nH+]c2)C1 ZINC000449051664 1124643286 /nfs/dbraw/zinc/64/32/86/1124643286.db2.gz VMQDEGYLKMRFFO-HNNXBMFYSA-N 1 2 299.418 3.953 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)cc(C)c1O ZINC001211903655 1124643812 /nfs/dbraw/zinc/64/38/12/1124643812.db2.gz LAWUJWWCYSDDSL-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@@H](C)c1cccc(O)c1F ZINC000872034469 1124661661 /nfs/dbraw/zinc/66/16/61/1124661661.db2.gz JIBBSONZKFXDDU-IUCAKERBSA-N 1 2 278.327 3.548 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H](C)c2cccc(O)c2F)n1 ZINC000872032283 1124661842 /nfs/dbraw/zinc/66/18/42/1124661842.db2.gz QWIIZZJPLQCRJS-VHSXEESVSA-N 1 2 280.368 3.708 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2cccc(O)c2F)c(F)c1 ZINC000872048237 1124666125 /nfs/dbraw/zinc/66/61/25/1124666125.db2.gz HXQSFLUDRXQYJW-SNVBAGLBSA-N 1 2 293.313 3.530 20 0 CHADLO C/C(Cl)=C\C[N@@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000528442328 1124666649 /nfs/dbraw/zinc/66/66/49/1124666649.db2.gz XXHGOJKRQLTOSC-FPYGCLRLSA-N 1 2 263.690 3.678 20 0 CHADLO C/C(Cl)=C\C[N@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000528442328 1124666652 /nfs/dbraw/zinc/66/66/52/1124666652.db2.gz XXHGOJKRQLTOSC-FPYGCLRLSA-N 1 2 263.690 3.678 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CCOC2(CCCC2)C1 ZINC000872055146 1124667440 /nfs/dbraw/zinc/66/74/40/1124667440.db2.gz FTTHTRMAXABPTB-HNNXBMFYSA-N 1 2 288.435 3.965 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@H]1CCOC2(CCCC2)C1 ZINC000872055146 1124667442 /nfs/dbraw/zinc/66/74/42/1124667442.db2.gz FTTHTRMAXABPTB-HNNXBMFYSA-N 1 2 288.435 3.965 20 0 CHADLO CC[C@H](Nc1ccc([NH+](C)C)cc1C)[C@@H]1CCCOC1 ZINC000872056380 1124668019 /nfs/dbraw/zinc/66/80/19/1124668019.db2.gz QYDRQXACMWJKPH-ZBFHGGJFSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N(C)C)cc1C)[C@@H]1CCCOC1 ZINC000872056380 1124668020 /nfs/dbraw/zinc/66/80/20/1124668020.db2.gz QYDRQXACMWJKPH-ZBFHGGJFSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N(C)C)c(C)c1)[C@H]1CCCOC1 ZINC000872059572 1124670191 /nfs/dbraw/zinc/67/01/91/1124670191.db2.gz INMANZCOGRNXSR-HOCLYGCPSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@H](Nc1ccc([NH+](C)C)c(C)c1)[C@H]1CCCOC1 ZINC000872059572 1124670194 /nfs/dbraw/zinc/67/01/94/1124670194.db2.gz INMANZCOGRNXSR-HOCLYGCPSA-N 1 2 276.424 3.678 20 0 CHADLO Clc1cc(C[N@@H+]2CCCSCC2)c(Cl)s1 ZINC000449225338 1124673747 /nfs/dbraw/zinc/67/37/47/1124673747.db2.gz KIFGVIUXSAOSAC-UHFFFAOYSA-N 1 2 282.261 3.994 20 0 CHADLO Clc1cc(C[N@H+]2CCCSCC2)c(Cl)s1 ZINC000449225338 1124673750 /nfs/dbraw/zinc/67/37/50/1124673750.db2.gz KIFGVIUXSAOSAC-UHFFFAOYSA-N 1 2 282.261 3.994 20 0 CHADLO CC1(C)C[N@H+](Cc2ncccc2Cl)CC2(CCCC2)O1 ZINC000528470055 1124673839 /nfs/dbraw/zinc/67/38/39/1124673839.db2.gz KUTYKKKMGGQEBT-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO CC1(C)C[N@@H+](Cc2ncccc2Cl)CC2(CCCC2)O1 ZINC000528470055 1124673840 /nfs/dbraw/zinc/67/38/40/1124673840.db2.gz KUTYKKKMGGQEBT-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000528517747 1124681840 /nfs/dbraw/zinc/68/18/40/1124681840.db2.gz IAKZLOVEBALWOY-ZRVMKQEGSA-N 1 2 251.757 3.949 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000528517747 1124681842 /nfs/dbraw/zinc/68/18/42/1124681842.db2.gz IAKZLOVEBALWOY-ZRVMKQEGSA-N 1 2 251.757 3.949 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ncccc2Cl)c1C ZINC000528506579 1124684235 /nfs/dbraw/zinc/68/42/35/1124684235.db2.gz LEFUPFOSRJGORP-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ncccc2Cl)c1C ZINC000528506579 1124684241 /nfs/dbraw/zinc/68/42/41/1124684241.db2.gz LEFUPFOSRJGORP-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO C[N@H+](Cc1cc(Cl)sc1Cl)[C@@H]1CCCOC1 ZINC000449315153 1124691911 /nfs/dbraw/zinc/69/19/11/1124691911.db2.gz GVYOKOIAIHZOAK-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO C[N@@H+](Cc1cc(Cl)sc1Cl)[C@@H]1CCCOC1 ZINC000449315153 1124691913 /nfs/dbraw/zinc/69/19/13/1124691913.db2.gz GVYOKOIAIHZOAK-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](C)c1ccc(-c2cccs2)cc1 ZINC000872136846 1124693552 /nfs/dbraw/zinc/69/35/52/1124693552.db2.gz PIXLMHPEXNSQMF-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO Cc1nonc1C[NH2+][C@H](C)c1cc(Cl)cc(Cl)c1 ZINC000872137800 1124695032 /nfs/dbraw/zinc/69/50/32/1124695032.db2.gz WSZCXCMRZCQYEC-SSDOTTSWSA-N 1 2 286.162 3.536 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(SC)c(OC)c1)C(F)F ZINC000449362862 1124700690 /nfs/dbraw/zinc/70/06/90/1124700690.db2.gz NWXQNHWEABLZCL-JTQLQIEISA-N 1 2 275.364 3.550 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(-c2ccc(F)cc2)s1 ZINC000449373824 1124703995 /nfs/dbraw/zinc/70/39/95/1124703995.db2.gz UQQBRWVPVMFEBU-UHFFFAOYSA-N 1 2 285.334 3.951 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1c[nH]c2c1cccc2F ZINC001137059350 1124710385 /nfs/dbraw/zinc/71/03/85/1124710385.db2.gz XTQCEVALOHKLNK-GFCCVEGCSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1c[nH]c2c1cccc2F ZINC001137059350 1124710392 /nfs/dbraw/zinc/71/03/92/1124710392.db2.gz XTQCEVALOHKLNK-GFCCVEGCSA-N 1 2 283.350 3.685 20 0 CHADLO Cc1nccnc1[C@@H](C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000872768831 1124729045 /nfs/dbraw/zinc/72/90/45/1124729045.db2.gz NQOLEQSSBCCGTA-CYBMUJFWSA-N 1 2 299.422 3.995 20 0 CHADLO Cc1nn(C[N@@H+](C)C[C@H](C)C(C)(C)C)c(=S)n1C1CC1 ZINC000872818857 1124731187 /nfs/dbraw/zinc/73/11/87/1124731187.db2.gz QMBUMICGZLYUHX-NSHDSACASA-N 1 2 296.484 3.629 20 0 CHADLO Cc1nn(C[N@H+](C)C[C@H](C)C(C)(C)C)c(=S)n1C1CC1 ZINC000872818857 1124731191 /nfs/dbraw/zinc/73/11/91/1124731191.db2.gz QMBUMICGZLYUHX-NSHDSACASA-N 1 2 296.484 3.629 20 0 CHADLO CC(C)(C)c1cc(C[NH2+][C@@H](c2ccccn2)C2CCC2)no1 ZINC000528656716 1124734149 /nfs/dbraw/zinc/73/41/49/1124734149.db2.gz GNYXAPMHABFFNY-QGZVFWFLSA-N 1 2 299.418 3.998 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2noc3c2CCCC3)C2CCC2)nc1 ZINC000528648898 1124739624 /nfs/dbraw/zinc/73/96/24/1124739624.db2.gz KIHCHMZJFGCRMQ-GOSISDBHSA-N 1 2 297.402 3.579 20 0 CHADLO CCOC[C@H]1C[N@@H+]([C@@H](CC)c2ccc(Cl)cc2)CCO1 ZINC000528715994 1124768706 /nfs/dbraw/zinc/76/87/06/1124768706.db2.gz BPYNXGCJBVHOON-CVEARBPZSA-N 1 2 297.826 3.528 20 0 CHADLO CCOC[C@H]1C[N@H+]([C@@H](CC)c2ccc(Cl)cc2)CCO1 ZINC000528715994 1124768713 /nfs/dbraw/zinc/76/87/13/1124768713.db2.gz BPYNXGCJBVHOON-CVEARBPZSA-N 1 2 297.826 3.528 20 0 CHADLO CCc1ccc(N2C[C@@H](C)C[C@H]2c2cccnc2)[nH+]c1 ZINC001120833322 1131284189 /nfs/dbraw/zinc/28/41/89/1131284189.db2.gz SUWOBTSJMLSPGJ-BBRMVZONSA-N 1 2 267.376 3.627 20 0 CHADLO Cc1nnc(-c2ccc(-c3ccc4[nH+]ccn4c3C)cc2)o1 ZINC001239023149 1131290430 /nfs/dbraw/zinc/29/04/30/1131290430.db2.gz PXZVIHJGZRGXPQ-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO c1cn(Cc2ccc(-c3coc4ccccc34)nc2)c[nH+]1 ZINC001239056102 1131296713 /nfs/dbraw/zinc/29/67/13/1131296713.db2.gz LHRCSJQVMYANCY-UHFFFAOYSA-N 1 2 275.311 3.740 20 0 CHADLO CC(C)c1ccccc1C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000825738414 1124831757 /nfs/dbraw/zinc/83/17/57/1124831757.db2.gz NQAHNZIZKNXYRD-CQSZACIVSA-N 1 2 297.402 3.553 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccn(C(F)F)n2)cc1C ZINC000449763843 1124873212 /nfs/dbraw/zinc/87/32/12/1124873212.db2.gz VZPOXBONIKVPCL-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccn(C(F)F)n2)cc1C ZINC000449763843 1124873216 /nfs/dbraw/zinc/87/32/16/1124873216.db2.gz VZPOXBONIKVPCL-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1ccc2nc(/C=C/c3ccc(N)[nH+]c3)ccc2c1 ZINC000821032431 1131316057 /nfs/dbraw/zinc/31/60/57/1131316057.db2.gz UJXYJNHIYVBGCF-ZZXKWVIFSA-N 1 2 261.328 3.691 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccccc1-n1cccn1)C2 ZINC001137099341 1124921131 /nfs/dbraw/zinc/92/11/31/1124921131.db2.gz JWDHVJCCGQPJGT-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccccc1-n1cccn1)C2 ZINC001137099341 1124921134 /nfs/dbraw/zinc/92/11/34/1124921134.db2.gz JWDHVJCCGQPJGT-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Clc1csc(C[NH+]2CCC(c3ccccn3)CC2)n1 ZINC000876636134 1124966714 /nfs/dbraw/zinc/96/67/14/1124966714.db2.gz CQYVBIBALZSSLF-UHFFFAOYSA-N 1 2 293.823 3.571 20 0 CHADLO F[C@H]1CCC2(C1)CC[NH+](Cc1ncc(Cl)s1)CC2 ZINC001137111622 1124973573 /nfs/dbraw/zinc/97/35/73/1124973573.db2.gz CWJQCZNYAHRDIY-JTQLQIEISA-N 1 2 288.819 3.901 20 0 CHADLO CC(C)OCCC[N@H+](C)Cc1c(Cl)cncc1Cl ZINC000876752390 1124974193 /nfs/dbraw/zinc/97/41/93/1124974193.db2.gz OHCSAWJHMBZVIB-UHFFFAOYSA-N 1 2 291.222 3.635 20 0 CHADLO CC(C)OCCC[N@@H+](C)Cc1c(Cl)cncc1Cl ZINC000876752390 1124974199 /nfs/dbraw/zinc/97/41/99/1124974199.db2.gz OHCSAWJHMBZVIB-UHFFFAOYSA-N 1 2 291.222 3.635 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1nnc(C2CC2)s1 ZINC000450291899 1124974536 /nfs/dbraw/zinc/97/45/36/1124974536.db2.gz VTVNMZIDBOGBDE-LBPRGKRZSA-N 1 2 287.432 3.917 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1nnc(C2CC2)s1 ZINC000450291899 1124974541 /nfs/dbraw/zinc/97/45/41/1124974541.db2.gz VTVNMZIDBOGBDE-LBPRGKRZSA-N 1 2 287.432 3.917 20 0 CHADLO Clc1csc(C[N@@H+]2CC[C@H]2Cc2ccccc2)n1 ZINC000876773803 1124977221 /nfs/dbraw/zinc/97/72/21/1124977221.db2.gz FZHYBSKEUHEPFG-LBPRGKRZSA-N 1 2 278.808 3.614 20 0 CHADLO Clc1csc(C[N@H+]2CC[C@H]2Cc2ccccc2)n1 ZINC000876773803 1124977227 /nfs/dbraw/zinc/97/72/27/1124977227.db2.gz FZHYBSKEUHEPFG-LBPRGKRZSA-N 1 2 278.808 3.614 20 0 CHADLO Clc1csc(C[N@@H+]2CC[C@@H]2Cc2ccccc2)n1 ZINC000876773802 1124977889 /nfs/dbraw/zinc/97/78/89/1124977889.db2.gz FZHYBSKEUHEPFG-GFCCVEGCSA-N 1 2 278.808 3.614 20 0 CHADLO Clc1csc(C[N@H+]2CC[C@@H]2Cc2ccccc2)n1 ZINC000876773802 1124977897 /nfs/dbraw/zinc/97/78/97/1124977897.db2.gz FZHYBSKEUHEPFG-GFCCVEGCSA-N 1 2 278.808 3.614 20 0 CHADLO CC1CCN(c2ccc([NH2+]CCC[C@H]3CCO3)cc2)CC1 ZINC000876839317 1124983652 /nfs/dbraw/zinc/98/36/52/1124983652.db2.gz TVALNILOUFHFEN-SFHVURJKSA-N 1 2 288.435 3.904 20 0 CHADLO CC(C)c1cnc(C[NH+]2CC(Cc3ccco3)C2)s1 ZINC000529648662 1125029890 /nfs/dbraw/zinc/02/98/90/1125029890.db2.gz FVGLLRYBWRKCEN-UHFFFAOYSA-N 1 2 276.405 3.534 20 0 CHADLO CC(C)[N@H+](CC(F)F)C[C@@H]1CCCCC1(F)F ZINC000450498472 1125049218 /nfs/dbraw/zinc/04/92/18/1125049218.db2.gz IOTCKGLTKYXFTP-JTQLQIEISA-N 1 2 255.299 3.787 20 0 CHADLO CC(C)[N@@H+](CC(F)F)C[C@@H]1CCCCC1(F)F ZINC000450498472 1125049224 /nfs/dbraw/zinc/04/92/24/1125049224.db2.gz IOTCKGLTKYXFTP-JTQLQIEISA-N 1 2 255.299 3.787 20 0 CHADLO CSC1CC[NH+](Cc2ccnc(Cl)c2Cl)CC1 ZINC000877662948 1125061993 /nfs/dbraw/zinc/06/19/93/1125061993.db2.gz AHWHILATWSSRCE-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO CC1(F)CC[NH+](Cc2c(Cl)cncc2Cl)CC1 ZINC000877700042 1125064461 /nfs/dbraw/zinc/06/44/61/1125064461.db2.gz AEILBRNGTAVFGM-UHFFFAOYSA-N 1 2 277.170 3.712 20 0 CHADLO Cc1cc(C[NH2+][C@@H](CF)c2ccc(F)cc2)cc(Cl)n1 ZINC000877758657 1125074273 /nfs/dbraw/zinc/07/42/73/1125074273.db2.gz JEQOKWHPPMDAFX-AWEZNQCLSA-N 1 2 296.748 3.983 20 0 CHADLO Cc1csc([C@H]2CC[N@H+](Cc3ncc(Cl)s3)C2)n1 ZINC000877814984 1125084544 /nfs/dbraw/zinc/08/45/44/1125084544.db2.gz ZERKQIXUBSKEFD-VIFPVBQESA-N 1 2 299.852 3.551 20 0 CHADLO Cc1csc([C@H]2CC[N@@H+](Cc3ncc(Cl)s3)C2)n1 ZINC000877814984 1125084550 /nfs/dbraw/zinc/08/45/50/1125084550.db2.gz ZERKQIXUBSKEFD-VIFPVBQESA-N 1 2 299.852 3.551 20 0 CHADLO Cc1conc1C[N@@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000877891610 1125097087 /nfs/dbraw/zinc/09/70/87/1125097087.db2.gz CFNKZIKERIDTBI-GFCCVEGCSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1conc1C[N@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000877891610 1125097092 /nfs/dbraw/zinc/09/70/92/1125097092.db2.gz CFNKZIKERIDTBI-GFCCVEGCSA-N 1 2 270.332 3.922 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@@H+]1Cc1nnc(C2CC2)s1 ZINC000450756588 1125102364 /nfs/dbraw/zinc/10/23/64/1125102364.db2.gz KJQNBEKDVNOKSJ-HNNXBMFYSA-N 1 2 299.443 3.752 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@H+]1Cc1nnc(C2CC2)s1 ZINC000450756588 1125102368 /nfs/dbraw/zinc/10/23/68/1125102368.db2.gz KJQNBEKDVNOKSJ-HNNXBMFYSA-N 1 2 299.443 3.752 20 0 CHADLO Cc1conc1C[N@@H+](C)Cc1ccc(C(C)C)cc1 ZINC000877944576 1125105510 /nfs/dbraw/zinc/10/55/10/1125105510.db2.gz DPTAHGLUZZKVFA-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1conc1C[N@H+](C)Cc1ccc(C(C)C)cc1 ZINC000877944576 1125105514 /nfs/dbraw/zinc/10/55/14/1125105514.db2.gz DPTAHGLUZZKVFA-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2CCCSC(C)(C)C)no1 ZINC000877981106 1125110145 /nfs/dbraw/zinc/11/01/45/1125110145.db2.gz QBZOJTHKKQTEJO-CYBMUJFWSA-N 1 2 297.468 3.827 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2CCCSC(C)(C)C)no1 ZINC000877981106 1125110147 /nfs/dbraw/zinc/11/01/47/1125110147.db2.gz QBZOJTHKKQTEJO-CYBMUJFWSA-N 1 2 297.468 3.827 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2CC[C@@H]2CCCC2(F)F)no1 ZINC000877979689 1125111090 /nfs/dbraw/zinc/11/10/90/1125111090.db2.gz KHXGSDLULCTSCP-STQMWFEESA-N 1 2 299.365 3.731 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2CC[C@@H]2CCCC2(F)F)no1 ZINC000877979689 1125111094 /nfs/dbraw/zinc/11/10/94/1125111094.db2.gz KHXGSDLULCTSCP-STQMWFEESA-N 1 2 299.365 3.731 20 0 CHADLO CC(=O)c1ccc(C[N@@H+]2Cc3ccc(C)cc3C2)cc1 ZINC000450894076 1125118408 /nfs/dbraw/zinc/11/84/08/1125118408.db2.gz IWHFTJRPBXPOOO-UHFFFAOYSA-N 1 2 265.356 3.713 20 0 CHADLO CC(=O)c1ccc(C[N@H+]2Cc3ccc(C)cc3C2)cc1 ZINC000450894076 1125118410 /nfs/dbraw/zinc/11/84/10/1125118410.db2.gz IWHFTJRPBXPOOO-UHFFFAOYSA-N 1 2 265.356 3.713 20 0 CHADLO Fc1ccccc1CC[N@@H+]1CCO[C@H](c2ccsc2)C1 ZINC000121414465 1125119546 /nfs/dbraw/zinc/11/95/46/1125119546.db2.gz WWOCCVUULYNHSN-INIZCTEOSA-N 1 2 291.391 3.503 20 0 CHADLO Fc1ccccc1CC[N@H+]1CCO[C@H](c2ccsc2)C1 ZINC000121414465 1125119550 /nfs/dbraw/zinc/11/95/50/1125119550.db2.gz WWOCCVUULYNHSN-INIZCTEOSA-N 1 2 291.391 3.503 20 0 CHADLO Cc1conc1C[N@H+]1CC[C@H](C)C[C@H]1c1ccco1 ZINC000878153931 1125124815 /nfs/dbraw/zinc/12/48/15/1125124815.db2.gz ZBHOSDAQJGRVHB-FZMZJTMJSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1conc1C[N@@H+]1CC[C@H](C)C[C@H]1c1ccco1 ZINC000878153931 1125124819 /nfs/dbraw/zinc/12/48/19/1125124819.db2.gz ZBHOSDAQJGRVHB-FZMZJTMJSA-N 1 2 260.337 3.549 20 0 CHADLO Clc1ncsc1C[N@@H+]1CCC[C@H]1c1ccccn1 ZINC000878175681 1125126703 /nfs/dbraw/zinc/12/67/03/1125126703.db2.gz ZJRXICKIACQOKW-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ncsc1C[N@H+]1CCC[C@H]1c1ccccn1 ZINC000878175681 1125126708 /nfs/dbraw/zinc/12/67/08/1125126708.db2.gz ZJRXICKIACQOKW-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO C[C@H]1C[N@H+](Cc2scnc2Cl)[C@@H]1c1ccccc1 ZINC000878165754 1125127823 /nfs/dbraw/zinc/12/78/23/1125127823.db2.gz DOFAUNMEFATJTL-GWCFXTLKSA-N 1 2 278.808 3.990 20 0 CHADLO C[C@H]1C[N@@H+](Cc2scnc2Cl)[C@@H]1c1ccccc1 ZINC000878165754 1125127826 /nfs/dbraw/zinc/12/78/26/1125127826.db2.gz DOFAUNMEFATJTL-GWCFXTLKSA-N 1 2 278.808 3.990 20 0 CHADLO CCC(F)(F)C[N@@H+]1CCC[C@H]1C[C@H](O)c1cccs1 ZINC000878174921 1125127836 /nfs/dbraw/zinc/12/78/36/1125127836.db2.gz VKRZGAYSSSIFNL-RYUDHWBXSA-N 1 2 289.391 3.681 20 0 CHADLO CCC(F)(F)C[N@H+]1CCC[C@H]1C[C@H](O)c1cccs1 ZINC000878174921 1125127838 /nfs/dbraw/zinc/12/78/38/1125127838.db2.gz VKRZGAYSSSIFNL-RYUDHWBXSA-N 1 2 289.391 3.681 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(Cl)cn2C)nc1Cl ZINC000878185665 1125128858 /nfs/dbraw/zinc/12/88/58/1125128858.db2.gz BZHPKRHVYZJXGA-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(Cl)cn2C)nc1Cl ZINC000878185665 1125128861 /nfs/dbraw/zinc/12/88/61/1125128861.db2.gz BZHPKRHVYZJXGA-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO FC(F)(F)c1coc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)c1 ZINC000878214082 1125132981 /nfs/dbraw/zinc/13/29/81/1125132981.db2.gz RUUGAZJYZIRIIM-ZDUSSCGKSA-N 1 2 284.281 3.964 20 0 CHADLO FC(F)(F)c1coc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)c1 ZINC000878214082 1125132986 /nfs/dbraw/zinc/13/29/86/1125132986.db2.gz RUUGAZJYZIRIIM-ZDUSSCGKSA-N 1 2 284.281 3.964 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(C(F)(F)F)co2)n1 ZINC000878255796 1125136120 /nfs/dbraw/zinc/13/61/20/1125136120.db2.gz TXSHCFZIGBLSNV-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(C(F)(F)F)co2)n1 ZINC000878255796 1125136122 /nfs/dbraw/zinc/13/61/22/1125136122.db2.gz TXSHCFZIGBLSNV-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO C[NH+](C)c1ccc(NCc2c[nH]nc2-c2ccsc2)cc1 ZINC000780535656 1125137412 /nfs/dbraw/zinc/13/74/12/1125137412.db2.gz GSGBIPYXFJUQFK-UHFFFAOYSA-N 1 2 298.415 3.816 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+]Cc1nocc1C ZINC000878353255 1125143493 /nfs/dbraw/zinc/14/34/93/1125143493.db2.gz JYEZZQVRXLQHQY-CQSZACIVSA-N 1 2 274.364 3.623 20 0 CHADLO c1ccc([C@@H]2CCC[C@@H](C[N@@H+]3Cc4cccnc4C3)O2)cc1 ZINC000878548772 1125161487 /nfs/dbraw/zinc/16/14/87/1125161487.db2.gz SPFNAAYCOGESCY-HKUYNNGSSA-N 1 2 294.398 3.708 20 0 CHADLO c1ccc([C@@H]2CCC[C@@H](C[N@H+]3Cc4cccnc4C3)O2)cc1 ZINC000878548772 1125161491 /nfs/dbraw/zinc/16/14/91/1125161491.db2.gz SPFNAAYCOGESCY-HKUYNNGSSA-N 1 2 294.398 3.708 20 0 CHADLO CC/C=C(/F)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC001136460646 1131336397 /nfs/dbraw/zinc/33/63/97/1131336397.db2.gz JMWYCWWSVUWMHT-KGVSQERTSA-N 1 2 293.729 3.728 20 0 CHADLO Fc1cc(Br)ccc1C[N@@H+]1CCC12CCC2 ZINC000530701686 1125174476 /nfs/dbraw/zinc/17/44/76/1125174476.db2.gz URQQGUXZIGSHMS-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Fc1cc(Br)ccc1C[N@H+]1CCC12CCC2 ZINC000530701686 1125174478 /nfs/dbraw/zinc/17/44/78/1125174478.db2.gz URQQGUXZIGSHMS-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Clc1nc(C[N@@H+]2CCC[C@@H](c3cccnc3)C2)cs1 ZINC000878753666 1125176217 /nfs/dbraw/zinc/17/62/17/1125176217.db2.gz NIWSCEPQFBQIPS-GFCCVEGCSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1nc(C[N@H+]2CCC[C@@H](c3cccnc3)C2)cs1 ZINC000878753666 1125176220 /nfs/dbraw/zinc/17/62/20/1125176220.db2.gz NIWSCEPQFBQIPS-GFCCVEGCSA-N 1 2 293.823 3.571 20 0 CHADLO Cc1cc(C)c(NC(=O)C[NH+]2C3CCC2CC3)c(Cl)c1 ZINC000530865693 1125184078 /nfs/dbraw/zinc/18/40/78/1125184078.db2.gz RGRVZMPXLFMKNP-UHFFFAOYSA-N 1 2 292.810 3.522 20 0 CHADLO Fc1cc(C[N@@H+]2CCC23CCC3)ccc1Br ZINC000530940111 1125188166 /nfs/dbraw/zinc/18/81/66/1125188166.db2.gz SOIFIFPKDIYYOC-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Fc1cc(C[N@H+]2CCC23CCC3)ccc1Br ZINC000530940111 1125188168 /nfs/dbraw/zinc/18/81/68/1125188168.db2.gz SOIFIFPKDIYYOC-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO CC(C)(CC(=O)Nc1cccc2[nH+]ccn21)CC(F)(F)F ZINC000878971276 1125189112 /nfs/dbraw/zinc/18/91/12/1125189112.db2.gz GGZRSNYCVJVXOX-UHFFFAOYSA-N 1 2 299.296 3.642 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nc(C(F)(F)F)cs2)C[C@@H]1C ZINC000122769021 1125203811 /nfs/dbraw/zinc/20/38/11/1125203811.db2.gz ZXSAALXZZOYWCR-BDAKNGLRSA-N 1 2 278.343 3.640 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nc(C(F)(F)F)cs2)C[C@@H]1C ZINC000122769021 1125203814 /nfs/dbraw/zinc/20/38/14/1125203814.db2.gz ZXSAALXZZOYWCR-BDAKNGLRSA-N 1 2 278.343 3.640 20 0 CHADLO Clc1ccc(C[NH2+][C@@H]2COc3ccc(Cl)cc32)o1 ZINC000531630438 1125225919 /nfs/dbraw/zinc/22/59/19/1125225919.db2.gz AOHUWCSGBPBSFG-LLVKDONJSA-N 1 2 284.142 3.810 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCn2ccnc21)c1ccc(F)cc1F ZINC000655728478 1125227345 /nfs/dbraw/zinc/22/73/45/1125227345.db2.gz VGFFNPJHAMWELL-LSDHHAIUSA-N 1 2 291.345 3.737 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2ncc(C3CC3)o2)c1 ZINC000879750122 1125229171 /nfs/dbraw/zinc/22/91/71/1125229171.db2.gz PUNXFBVMACJVHA-OAHLLOKOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2ncc(C3CC3)o2)c1 ZINC000879750122 1125229176 /nfs/dbraw/zinc/22/91/76/1125229176.db2.gz PUNXFBVMACJVHA-OAHLLOKOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2CC=C(Cl)Cl)c1 ZINC000879752540 1125231559 /nfs/dbraw/zinc/23/15/59/1125231559.db2.gz RERNUKJMTUBIKJ-GFCCVEGCSA-N 1 2 271.191 3.846 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2CC=C(Cl)Cl)c1 ZINC000879752540 1125231564 /nfs/dbraw/zinc/23/15/64/1125231564.db2.gz RERNUKJMTUBIKJ-GFCCVEGCSA-N 1 2 271.191 3.846 20 0 CHADLO COc1cccc([C@@H](C)Nc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000532115350 1125235474 /nfs/dbraw/zinc/23/54/74/1125235474.db2.gz VLWFXBASYKPFLB-CYBMUJFWSA-N 1 2 285.391 3.512 20 0 CHADLO COc1ccc(-c2cc[nH+]c(N3CCCCC3)c2)cc1 ZINC001239485066 1131341377 /nfs/dbraw/zinc/34/13/77/1131341377.db2.gz ZNUIRXOJARZZEC-UHFFFAOYSA-N 1 2 268.360 3.748 20 0 CHADLO COc1ccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001239486509 1131342129 /nfs/dbraw/zinc/34/21/29/1131342129.db2.gz VYUADQBKAUUCRM-UHFFFAOYSA-N 1 2 250.301 3.752 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1coc(C2CC2)n1 ZINC000880375128 1125268336 /nfs/dbraw/zinc/26/83/36/1125268336.db2.gz BACDRRBJBFFMLB-JTQLQIEISA-N 1 2 274.389 3.733 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000880375128 1125268344 /nfs/dbraw/zinc/26/83/44/1125268344.db2.gz BACDRRBJBFFMLB-JTQLQIEISA-N 1 2 274.389 3.733 20 0 CHADLO C[C@@]1(c2ccccc2)CCC[N@@H+]1Cc1nc(C(F)F)no1 ZINC000880371293 1125268685 /nfs/dbraw/zinc/26/86/85/1125268685.db2.gz FBYQKNRZUNXUTD-HNNXBMFYSA-N 1 2 293.317 3.518 20 0 CHADLO C[C@@]1(c2ccccc2)CCC[N@H+]1Cc1nc(C(F)F)no1 ZINC000880371293 1125268689 /nfs/dbraw/zinc/26/86/89/1125268689.db2.gz FBYQKNRZUNXUTD-HNNXBMFYSA-N 1 2 293.317 3.518 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@]2(C)c2ccccc2)no1 ZINC000880371174 1125269060 /nfs/dbraw/zinc/26/90/60/1125269060.db2.gz BZSFFIDWWQYDFC-QGZVFWFLSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@]2(C)c2ccccc2)no1 ZINC000880371174 1125269064 /nfs/dbraw/zinc/26/90/64/1125269064.db2.gz BZSFFIDWWQYDFC-QGZVFWFLSA-N 1 2 285.391 3.704 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1ccc(Cl)cn1 ZINC000880377113 1125269668 /nfs/dbraw/zinc/26/96/68/1125269668.db2.gz OIMXRDJMHPWMTM-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1ccc(Cl)cn1 ZINC000880377113 1125269673 /nfs/dbraw/zinc/26/96/73/1125269673.db2.gz OIMXRDJMHPWMTM-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3ccsc3[C@@H]2C)s1 ZINC000880377482 1125270053 /nfs/dbraw/zinc/27/00/53/1125270053.db2.gz XFXCTAQTGOJAIG-JTQLQIEISA-N 1 2 264.419 3.632 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3ccsc3[C@@H]2C)s1 ZINC000880377482 1125270054 /nfs/dbraw/zinc/27/00/54/1125270054.db2.gz XFXCTAQTGOJAIG-JTQLQIEISA-N 1 2 264.419 3.632 20 0 CHADLO CC[N@H+](CC(=O)c1c(C)[nH]c2ccccc21)Cc1ccoc1 ZINC000102409079 1125277619 /nfs/dbraw/zinc/27/76/19/1125277619.db2.gz AZIJLPALDVXBFF-UHFFFAOYSA-N 1 2 296.370 3.774 20 0 CHADLO CC[N@@H+](CC(=O)c1c(C)[nH]c2ccccc21)Cc1ccoc1 ZINC000102409079 1125277623 /nfs/dbraw/zinc/27/76/23/1125277623.db2.gz AZIJLPALDVXBFF-UHFFFAOYSA-N 1 2 296.370 3.774 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+]1CCc2ncncc2C1 ZINC000880594442 1125281279 /nfs/dbraw/zinc/28/12/79/1125281279.db2.gz DEKLHBOKJXVMDM-INIZCTEOSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+]1CCc2ncncc2C1 ZINC000880594442 1125281281 /nfs/dbraw/zinc/28/12/81/1125281281.db2.gz DEKLHBOKJXVMDM-INIZCTEOSA-N 1 2 287.794 3.639 20 0 CHADLO C[N@H+](CC[C@H]1CCCO1)[C@@H](CCc1ccccc1)C(F)F ZINC000626136896 1125300208 /nfs/dbraw/zinc/30/02/08/1125300208.db2.gz LZQPNLBCFUDOSS-CVEARBPZSA-N 1 2 297.389 3.754 20 0 CHADLO C[N@@H+](CC[C@H]1CCCO1)[C@@H](CCc1ccccc1)C(F)F ZINC000626136896 1125300217 /nfs/dbraw/zinc/30/02/17/1125300217.db2.gz LZQPNLBCFUDOSS-CVEARBPZSA-N 1 2 297.389 3.754 20 0 CHADLO Fc1ccc(COc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC000064139879 1125319214 /nfs/dbraw/zinc/31/92/14/1125319214.db2.gz KIXIHSHAOPNDDF-UHFFFAOYSA-N 1 2 282.318 3.650 20 0 CHADLO Cc1ccc(NCc2ccc(N(C)C(C)C)nc2)c(C)[nH+]1 ZINC000191556370 1125326741 /nfs/dbraw/zinc/32/67/41/1125326741.db2.gz YWOPHAYAYOYLSL-UHFFFAOYSA-N 1 2 284.407 3.550 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2ncccn2)o1 ZINC000192050464 1125330546 /nfs/dbraw/zinc/33/05/46/1125330546.db2.gz WODDNWCWXQXIES-ZFWWWQNUSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2ncccn2)o1 ZINC000192050464 1125330550 /nfs/dbraw/zinc/33/05/50/1125330550.db2.gz WODDNWCWXQXIES-ZFWWWQNUSA-N 1 2 285.391 3.605 20 0 CHADLO CCC(CC)CC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882873267 1125359069 /nfs/dbraw/zinc/35/90/69/1125359069.db2.gz WILFFYLCVHTTPE-QGZVFWFLSA-N 1 2 299.418 3.567 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCO[C@H](C)C1 ZINC000220085956 1125359200 /nfs/dbraw/zinc/35/92/00/1125359200.db2.gz QFMHHIMBVIYQGD-TZMCWYRMSA-N 1 2 256.349 3.523 20 0 CHADLO Cc1ccc(Br)c(C[NH2+]Cc2nccs2)c1 ZINC000666427911 1125366537 /nfs/dbraw/zinc/36/65/37/1125366537.db2.gz YNDFVNUVFPHPFY-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO CCn1ccnc1C[NH2+][C@H](C)c1ccccc1OC(C)C ZINC000051922976 1125370640 /nfs/dbraw/zinc/37/06/40/1125370640.db2.gz QOHLEDBQWCNBMN-CQSZACIVSA-N 1 2 287.407 3.541 20 0 CHADLO CCCc1ccc(C[NH2+]Cc2nnc(C3CC3)s2)cc1 ZINC000666471865 1125373567 /nfs/dbraw/zinc/37/35/67/1125373567.db2.gz IVSPPOLZVZPLKD-UHFFFAOYSA-N 1 2 287.432 3.658 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1cccc2c1CCOC2 ZINC000883181649 1125375073 /nfs/dbraw/zinc/37/50/73/1125375073.db2.gz GZMUNZZHFMZSCR-UHFFFAOYSA-N 1 2 283.362 3.530 20 0 CHADLO CC(C)n1c2ccccc2[nH+]c1NCc1cn(C2CC2)cn1 ZINC000883215325 1125378632 /nfs/dbraw/zinc/37/86/32/1125378632.db2.gz WMWQZUBTLCOLCH-UHFFFAOYSA-N 1 2 295.390 3.761 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@@H+]1CCC[C@@H]1c1ccccn1 ZINC000532422637 1125379824 /nfs/dbraw/zinc/37/98/24/1125379824.db2.gz HITQNVMONBSFLF-GOSISDBHSA-N 1 2 296.418 3.730 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@H+]1CCC[C@@H]1c1ccccn1 ZINC000532422637 1125379827 /nfs/dbraw/zinc/37/98/27/1125379827.db2.gz HITQNVMONBSFLF-GOSISDBHSA-N 1 2 296.418 3.730 20 0 CHADLO CC(C)Oc1cc(Cl)ccc1C[NH2+]Cc1cscn1 ZINC000883259704 1125387445 /nfs/dbraw/zinc/38/74/45/1125387445.db2.gz VGPFVLZQJLLONN-UHFFFAOYSA-N 1 2 296.823 3.874 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cc(C2CC2)ccc1OC ZINC000883267069 1125389064 /nfs/dbraw/zinc/38/90/64/1125389064.db2.gz ROIVVUIKWMDPKO-ZDUSSCGKSA-N 1 2 299.418 3.640 20 0 CHADLO Cc1c(Cl)cccc1C[NH2+]C1(c2ncccn2)CCC1 ZINC000883304758 1125392690 /nfs/dbraw/zinc/39/26/90/1125392690.db2.gz FEUZAORMEXTIPR-UHFFFAOYSA-N 1 2 287.794 3.607 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3ncccn3)CCC2)cc(C)c1F ZINC000883313824 1125393848 /nfs/dbraw/zinc/39/38/48/1125393848.db2.gz NMWGSGIFCLCZTN-CQSZACIVSA-N 1 2 299.393 3.963 20 0 CHADLO COc1ccc([C@@H](C)Nc2c[nH+]c(C)c(C)c2)cc1OC ZINC000883341521 1125398691 /nfs/dbraw/zinc/39/86/91/1125398691.db2.gz RUQZIDXIBRRMTK-CYBMUJFWSA-N 1 2 286.375 3.889 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1ccc2c(n1)CCC2 ZINC000883381340 1125406268 /nfs/dbraw/zinc/40/62/68/1125406268.db2.gz KVRQMMSADNTZEE-MJGOQNOKSA-N 1 2 296.389 3.686 20 0 CHADLO CC(C)n1cc(C[NH2+][C@@H]2c3ccccc3CC[C@H]2F)cn1 ZINC000883382937 1125406992 /nfs/dbraw/zinc/40/69/92/1125406992.db2.gz QINOXGGKILEIDS-IAGOWNOFSA-N 1 2 287.382 3.579 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2c3ccccc3CC[C@@H]2F)o1 ZINC000883384210 1125407352 /nfs/dbraw/zinc/40/73/52/1125407352.db2.gz NFZVPHNAWZFUFX-JKSUJKDBSA-N 1 2 259.324 3.703 20 0 CHADLO COc1ccccc1C[NH2+][C@H]1c2ccccc2CC[C@@H]1F ZINC000883384395 1125407637 /nfs/dbraw/zinc/40/76/37/1125407637.db2.gz QJUHTEQYKSAVFV-WMZOPIPTSA-N 1 2 285.362 3.810 20 0 CHADLO Cc1ccc2c(Nc3noc4cccnc43)cccc2[nH+]1 ZINC001212794369 1125412918 /nfs/dbraw/zinc/41/29/18/1125412918.db2.gz WHRKWGWHKMAAMA-UHFFFAOYSA-N 1 2 276.299 3.823 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC(C)(C)Oc2ccccc21)c1ncco1 ZINC000925273636 1125416708 /nfs/dbraw/zinc/41/67/08/1125416708.db2.gz GLVIQSPSJQOAIO-YPMHNXCESA-N 1 2 272.348 3.628 20 0 CHADLO Cc1ccccc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000035038500 1125423156 /nfs/dbraw/zinc/42/31/56/1125423156.db2.gz NRDBUODVFIRFSW-UHFFFAOYSA-N 1 2 263.344 3.793 20 0 CHADLO Cc1ccc2c(Nc3cc(F)ncc3F)cccc2[nH+]1 ZINC001212796824 1125427614 /nfs/dbraw/zinc/42/76/14/1125427614.db2.gz GBNQXLWBTFBZMG-UHFFFAOYSA-N 1 2 271.270 3.960 20 0 CHADLO Cc1ccc2c(Nc3cccc4c3COC4=O)cccc2[nH+]1 ZINC001212797637 1125441562 /nfs/dbraw/zinc/44/15/62/1125441562.db2.gz AZOMEDRCMROLIB-UHFFFAOYSA-N 1 2 290.322 3.957 20 0 CHADLO CCCOc1cccc(C[N@H+](C)Cc2csc(C)n2)c1 ZINC000125049660 1125444207 /nfs/dbraw/zinc/44/42/07/1125444207.db2.gz VRKIXEFCILJWFZ-UHFFFAOYSA-N 1 2 290.432 3.872 20 0 CHADLO CCCOc1cccc(C[N@@H+](C)Cc2csc(C)n2)c1 ZINC000125049660 1125444209 /nfs/dbraw/zinc/44/42/09/1125444209.db2.gz VRKIXEFCILJWFZ-UHFFFAOYSA-N 1 2 290.432 3.872 20 0 CHADLO C[C@@H]1CCN(C(=O)CCCC(C)(C)C)C[C@@H]1n1cc[nH+]c1 ZINC000125758550 1125452401 /nfs/dbraw/zinc/45/24/01/1125452401.db2.gz SXEFPGVHCPFTIW-CABCVRRESA-N 1 2 291.439 3.509 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC[C@@H]2c2ccccn2)n1 ZINC000532651442 1125454222 /nfs/dbraw/zinc/45/42/22/1125454222.db2.gz VSSKHVSNGNCWPJ-GOSISDBHSA-N 1 2 298.434 3.976 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC[C@@H]2c2ccccn2)n1 ZINC000532651442 1125454224 /nfs/dbraw/zinc/45/42/24/1125454224.db2.gz VSSKHVSNGNCWPJ-GOSISDBHSA-N 1 2 298.434 3.976 20 0 CHADLO CCN(C(=O)[C@H](CC(C)C)n1cc[nH+]c1)c1ccccc1C ZINC000635356020 1129180287 /nfs/dbraw/zinc/18/02/87/1129180287.db2.gz HXVRZBCJIJNMEB-KRWDZBQOSA-N 1 2 299.418 3.832 20 0 CHADLO Cc1nccnc1C[N@@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000621711036 1129183878 /nfs/dbraw/zinc/18/38/78/1129183878.db2.gz BFVAVWSTUTWTSC-MLGOLLRUSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1nccnc1C[N@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000621711036 1129183881 /nfs/dbraw/zinc/18/38/81/1129183881.db2.gz BFVAVWSTUTWTSC-MLGOLLRUSA-N 1 2 297.402 3.666 20 0 CHADLO c1nc(C2CC2)c(CNc2ccc3c(c2)CCC[NH2+]3)s1 ZINC000414849346 1129190565 /nfs/dbraw/zinc/19/05/65/1129190565.db2.gz LQORPCRJTOLRJD-UHFFFAOYSA-N 1 2 285.416 3.991 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc([NH+](C)C)cc2C)C12CCC2 ZINC000087709519 1129191371 /nfs/dbraw/zinc/19/13/71/1129191371.db2.gz FQPZTPUTOUMRLL-DLBZAZTESA-N 1 2 288.435 3.821 20 0 CHADLO C[C@@H]1CCCC[C@H]1[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000638298295 1129252522 /nfs/dbraw/zinc/25/25/22/1129252522.db2.gz AFYAWLULKPPLQF-YUELXQCFSA-N 1 2 276.428 3.594 20 0 CHADLO CC1([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CCCCC1 ZINC000638305552 1129253617 /nfs/dbraw/zinc/25/36/17/1129253617.db2.gz BGATUKCXTREFQA-AWEZNQCLSA-N 1 2 276.428 3.739 20 0 CHADLO Clc1ccc2c(c1)CC[C@@H]2SCCn1cc[nH+]c1 ZINC000795385437 1129257018 /nfs/dbraw/zinc/25/70/18/1129257018.db2.gz ZFKNJYNFMYQMRU-AWEZNQCLSA-N 1 2 278.808 3.957 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+]Cc1nc2ccccc2o1 ZINC000638400901 1129257091 /nfs/dbraw/zinc/25/70/91/1129257091.db2.gz NLOODVHRZVULDE-UHFFFAOYSA-N 1 2 296.370 3.905 20 0 CHADLO c1c(C[NH2+]Cc2ccccc2OC2CCC2)onc1C1CC1 ZINC000638609805 1129263400 /nfs/dbraw/zinc/26/34/00/1129263400.db2.gz SRTHDQOZIHTCAH-UHFFFAOYSA-N 1 2 298.386 3.773 20 0 CHADLO COc1cccc(C)c1C[N@H+](C)Cc1cnc(C(C)C)nc1 ZINC000639134402 1129286134 /nfs/dbraw/zinc/28/61/34/1129286134.db2.gz ACXKOTNMVUPUEM-UHFFFAOYSA-N 1 2 299.418 3.549 20 0 CHADLO COc1cccc(C)c1C[N@@H+](C)Cc1cnc(C(C)C)nc1 ZINC000639134402 1129286137 /nfs/dbraw/zinc/28/61/37/1129286137.db2.gz ACXKOTNMVUPUEM-UHFFFAOYSA-N 1 2 299.418 3.549 20 0 CHADLO Cc1nnsc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccccc1 ZINC000639206412 1129293576 /nfs/dbraw/zinc/29/35/76/1129293576.db2.gz IHHBZQHFBHUSET-OAHLLOKOSA-N 1 2 285.416 3.574 20 0 CHADLO Cc1nnsc1C[N@H+]1CC2(CCC2)[C@H]1c1ccccc1 ZINC000639206412 1129293580 /nfs/dbraw/zinc/29/35/80/1129293580.db2.gz IHHBZQHFBHUSET-OAHLLOKOSA-N 1 2 285.416 3.574 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nc(C3CCC3)no2)cc1 ZINC000639452977 1129316663 /nfs/dbraw/zinc/31/66/63/1129316663.db2.gz VPZMWGFZZLYWKI-ZDUSSCGKSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nc(C3CCC3)no2)cc1 ZINC000639452977 1129316667 /nfs/dbraw/zinc/31/66/67/1129316667.db2.gz VPZMWGFZZLYWKI-ZDUSSCGKSA-N 1 2 285.391 3.839 20 0 CHADLO c1cc([C@@H]2CCC[N@H+]2Cc2nc(C3CCC3)no2)cs1 ZINC000639452120 1129316676 /nfs/dbraw/zinc/31/66/76/1129316676.db2.gz XFPQQJYUZRBPNA-ZDUSSCGKSA-N 1 2 289.404 3.736 20 0 CHADLO c1cc([C@@H]2CCC[N@@H+]2Cc2nc(C3CCC3)no2)cs1 ZINC000639452120 1129316681 /nfs/dbraw/zinc/31/66/81/1129316681.db2.gz XFPQQJYUZRBPNA-ZDUSSCGKSA-N 1 2 289.404 3.736 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2nc(C3CCC3)no2)C2CCC2)cc1 ZINC000639529749 1129321915 /nfs/dbraw/zinc/32/19/15/1129321915.db2.gz KXENLPKQNWALSO-KRWDZBQOSA-N 1 2 297.402 3.968 20 0 CHADLO CCC(F)(F)C[N@@H+]1CCC[C@@H]1c1ccc(O)cc1 ZINC000639537909 1129322729 /nfs/dbraw/zinc/32/27/29/1129322729.db2.gz NDIRZYXBSLAYMR-CYBMUJFWSA-N 1 2 255.308 3.574 20 0 CHADLO CCC(F)(F)C[N@H+]1CCC[C@@H]1c1ccc(O)cc1 ZINC000639537909 1129322733 /nfs/dbraw/zinc/32/27/33/1129322733.db2.gz NDIRZYXBSLAYMR-CYBMUJFWSA-N 1 2 255.308 3.574 20 0 CHADLO CCN(C)c1ccccc1CNc1cc(C)[nH+]c(C2CC2)n1 ZINC000734834745 1129323926 /nfs/dbraw/zinc/32/39/26/1129323926.db2.gz GXIYXNZOEBELPK-UHFFFAOYSA-N 1 2 296.418 3.731 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C2CCC2)no1)c1ccc(C)cc1 ZINC000639560719 1129324375 /nfs/dbraw/zinc/32/43/75/1129324375.db2.gz HBWAZKUBGPOXTO-HNNXBMFYSA-N 1 2 285.391 3.886 20 0 CHADLO CCCOc1cccc(C[NH2+]Cc2ncc(Cl)s2)c1 ZINC000719429522 1129333353 /nfs/dbraw/zinc/33/33/53/1129333353.db2.gz INMBJLZAVCTWSW-UHFFFAOYSA-N 1 2 296.823 3.875 20 0 CHADLO c1c2ccccc2oc1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC000639847008 1129337212 /nfs/dbraw/zinc/33/72/12/1129337212.db2.gz WSJSGYGXIIDRDW-HNNXBMFYSA-N 1 2 279.343 3.560 20 0 CHADLO c1c2ccccc2oc1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC000639847008 1129337216 /nfs/dbraw/zinc/33/72/16/1129337216.db2.gz WSJSGYGXIIDRDW-HNNXBMFYSA-N 1 2 279.343 3.560 20 0 CHADLO O=C(C[N@@H+]1CC2CC1(c1ccccc1)C2)c1ccccc1F ZINC000639875036 1129337834 /nfs/dbraw/zinc/33/78/34/1129337834.db2.gz JXWGMBURCUQTTL-UHFFFAOYSA-N 1 2 295.357 3.630 20 0 CHADLO O=C(C[N@H+]1CC2CC1(c1ccccc1)C2)c1ccccc1F ZINC000639875036 1129337838 /nfs/dbraw/zinc/33/78/38/1129337838.db2.gz JXWGMBURCUQTTL-UHFFFAOYSA-N 1 2 295.357 3.630 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC000639874142 1129338245 /nfs/dbraw/zinc/33/82/45/1129338245.db2.gz WMGPTEASBUJNKG-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC000639874142 1129338249 /nfs/dbraw/zinc/33/82/49/1129338249.db2.gz WMGPTEASBUJNKG-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C(F)F)no1)c1ccc(F)cc1 ZINC000639928479 1129340555 /nfs/dbraw/zinc/34/05/55/1129340555.db2.gz MHKSQHKLHPGQNL-AWEZNQCLSA-N 1 2 299.296 3.561 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC(C)(C)[C@@H]2c2ccco2)o1 ZINC000639928193 1129340859 /nfs/dbraw/zinc/34/08/59/1129340859.db2.gz IMZWTFAHTLNBOU-HNNXBMFYSA-N 1 2 274.364 3.722 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC(C)(C)[C@@H]2c2ccco2)o1 ZINC000639928193 1129340862 /nfs/dbraw/zinc/34/08/62/1129340862.db2.gz IMZWTFAHTLNBOU-HNNXBMFYSA-N 1 2 274.364 3.722 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CSc3ccccc32)sc1C ZINC000719528130 1129342905 /nfs/dbraw/zinc/34/29/05/1129342905.db2.gz OLTUDNUYQSPNCH-LBPRGKRZSA-N 1 2 276.430 3.697 20 0 CHADLO Clc1ccsc1C[NH2+]Cc1nc2c(s1)CCC2 ZINC000719530682 1129343779 /nfs/dbraw/zinc/34/37/79/1129343779.db2.gz OHJISJUGBBAJOZ-UHFFFAOYSA-N 1 2 284.837 3.637 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@H+]1Cc2ccccc2[C@@H](C)C1 ZINC000735492266 1129348268 /nfs/dbraw/zinc/34/82/68/1129348268.db2.gz LWYQPADTRGBGEC-KBXCAEBGSA-N 1 2 295.382 3.520 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@@H+]1Cc2ccccc2[C@@H](C)C1 ZINC000735492266 1129348272 /nfs/dbraw/zinc/34/82/72/1129348272.db2.gz LWYQPADTRGBGEC-KBXCAEBGSA-N 1 2 295.382 3.520 20 0 CHADLO Cc1cc(C)c(C[NH2+][C@H](CF)c2ccc(F)cc2)c(C)n1 ZINC000640155524 1129350975 /nfs/dbraw/zinc/35/09/75/1129350975.db2.gz WNTKLTQUXUJJGD-QGZVFWFLSA-N 1 2 290.357 3.946 20 0 CHADLO CC(=O)Nc1cccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001239530829 1131368271 /nfs/dbraw/zinc/36/82/71/1131368271.db2.gz WQUWKIXLSXQQSJ-UHFFFAOYSA-N 1 2 277.327 3.702 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1ccoc1 ZINC000178415529 1129356176 /nfs/dbraw/zinc/35/61/76/1129356176.db2.gz UKZKTSWGUSHWAW-LBPRGKRZSA-N 1 2 268.316 3.785 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CCCC2(CC2)CC1 ZINC000626114445 1129360604 /nfs/dbraw/zinc/36/06/04/1129360604.db2.gz HEDKLEDSXPBBAA-UHFFFAOYSA-N 1 2 289.423 3.883 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CCCC2(CC2)CC1 ZINC000626114445 1129360609 /nfs/dbraw/zinc/36/06/09/1129360609.db2.gz HEDKLEDSXPBBAA-UHFFFAOYSA-N 1 2 289.423 3.883 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)Nc1cc(CO)cc[nH+]1 ZINC000641299675 1129378461 /nfs/dbraw/zinc/37/84/61/1129378461.db2.gz VENTWDWOVFIMEB-CYBMUJFWSA-N 1 2 286.375 3.534 20 0 CHADLO Cc1nn(C[N@H+]2CCC[C@H](C(C)C)CC2)c(=S)s1 ZINC000738240387 1129392382 /nfs/dbraw/zinc/39/23/82/1129392382.db2.gz OSHCMHBFFLRRAI-LBPRGKRZSA-N 1 2 285.482 3.698 20 0 CHADLO Cc1nn(C[N@@H+]2CCC[C@H](C(C)C)CC2)c(=S)s1 ZINC000738240387 1129392387 /nfs/dbraw/zinc/39/23/87/1129392387.db2.gz OSHCMHBFFLRRAI-LBPRGKRZSA-N 1 2 285.482 3.698 20 0 CHADLO CC(C)NC(=O)Nc1ccc([C@@H](C)[NH2+][C@H](C)C(F)F)cc1 ZINC000641790363 1129392515 /nfs/dbraw/zinc/39/25/15/1129392515.db2.gz IPUWMYFGCDDVNQ-GHMZBOCLSA-N 1 2 299.365 3.521 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2nonc2C)o1 ZINC000739923957 1129409825 /nfs/dbraw/zinc/40/98/25/1129409825.db2.gz OHLYZVFGNLWUOP-HNNXBMFYSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2nonc2C)o1 ZINC000739923957 1129409827 /nfs/dbraw/zinc/40/98/27/1129409827.db2.gz OHLYZVFGNLWUOP-HNNXBMFYSA-N 1 2 289.379 3.651 20 0 CHADLO CCC[C@H](CC)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001125152919 1131373718 /nfs/dbraw/zinc/37/37/18/1131373718.db2.gz XWMDHJSLQXYSAD-ZDUSSCGKSA-N 1 2 271.364 3.637 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(C)c(Br)cn2)c1 ZINC000404621214 1129433759 /nfs/dbraw/zinc/43/37/59/1129433759.db2.gz ACZLWVGSCUQJCM-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1ncc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)s1 ZINC000643503301 1129443123 /nfs/dbraw/zinc/44/31/23/1129443123.db2.gz BEJICHBQLBJYAE-SECBINFHSA-N 1 2 259.378 3.593 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CC(C)(C)OC2(C)C)cc1 ZINC000094423008 1129445820 /nfs/dbraw/zinc/44/58/20/1129445820.db2.gz XCGZAVZIEUSULI-CQSZACIVSA-N 1 2 262.397 3.511 20 0 CHADLO Clc1nc2cc(Cl)ccn2c1CNc1cccc[nH+]1 ZINC000795781842 1129447755 /nfs/dbraw/zinc/44/77/55/1129447755.db2.gz FSPYKBZNYDMKGH-UHFFFAOYSA-N 1 2 293.157 3.648 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]CCOc1ccc2ccccc2c1 ZINC000180049668 1129447993 /nfs/dbraw/zinc/44/79/93/1129447993.db2.gz OUHMBNMBOCCHDA-CYBMUJFWSA-N 1 2 295.386 3.601 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]CCOc1ccc2ccccc2c1 ZINC000180049687 1129448025 /nfs/dbraw/zinc/44/80/25/1129448025.db2.gz OUHMBNMBOCCHDA-ZDUSSCGKSA-N 1 2 295.386 3.601 20 0 CHADLO C[C@H](Cc1ccc(Cl)cc1Cl)[NH2+][C@@H]1CC1(F)F ZINC001258674225 1129490860 /nfs/dbraw/zinc/49/08/60/1129490860.db2.gz ZPRNZYBCAHVAKR-RDDDGLTNSA-N 1 2 280.145 3.922 20 0 CHADLO CCOC1CC(C[N@H+](CC)c2ccc(C)cc2C)C1 ZINC000795940672 1129459297 /nfs/dbraw/zinc/45/92/97/1129459297.db2.gz WCINAFDHEZPTBK-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO CCOC1CC(C[N@@H+](CC)c2ccc(C)cc2C)C1 ZINC000795940672 1129459300 /nfs/dbraw/zinc/45/93/00/1129459300.db2.gz WCINAFDHEZPTBK-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO COc1cc(C)c(-c2ccc3[nH+]c(C)cn3c2)cc1C ZINC001241135820 1129462852 /nfs/dbraw/zinc/46/28/52/1129462852.db2.gz IIDRUKDEJTWMNH-UHFFFAOYSA-N 1 2 266.344 3.935 20 0 CHADLO CCCCCC[C@@H](C)C(=O)Nc1cccc2[nH+]ccn21 ZINC000741969761 1129463658 /nfs/dbraw/zinc/46/36/58/1129463658.db2.gz JFDBKXJUPXIBEK-CYBMUJFWSA-N 1 2 273.380 3.879 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC[C@H]2c2cccc(F)c2)o1 ZINC000644671871 1129474541 /nfs/dbraw/zinc/47/45/41/1129474541.db2.gz RCZVBUAPVYYMLQ-AWEZNQCLSA-N 1 2 274.339 3.632 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC[C@H]2c2cccc(F)c2)o1 ZINC000644671871 1129474543 /nfs/dbraw/zinc/47/45/43/1129474543.db2.gz RCZVBUAPVYYMLQ-AWEZNQCLSA-N 1 2 274.339 3.632 20 0 CHADLO Cc1ccc([C@@H](C)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)o1 ZINC000742548988 1129486587 /nfs/dbraw/zinc/48/65/87/1129486587.db2.gz SZAASXSNQIBYFK-CYBMUJFWSA-N 1 2 295.342 3.516 20 0 CHADLO CCCCC[C@@H](C)CC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000742667405 1129493378 /nfs/dbraw/zinc/49/33/78/1129493378.db2.gz UAVCWSTZQBNFKH-CYBMUJFWSA-N 1 2 273.380 3.879 20 0 CHADLO COC[C@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000645255849 1129493581 /nfs/dbraw/zinc/49/35/81/1129493581.db2.gz XZADUUVPWOWABW-ZDUSSCGKSA-N 1 2 288.366 3.627 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1cccc(C(C)(C)C)n1 ZINC001241248552 1129498944 /nfs/dbraw/zinc/49/89/44/1129498944.db2.gz PWXMLJSXRSVOAC-UHFFFAOYSA-N 1 2 269.392 3.816 20 0 CHADLO Fc1cnccc1C[NH2+]C1(c2ccccc2Cl)CC1 ZINC000645978544 1129515071 /nfs/dbraw/zinc/51/50/71/1129515071.db2.gz VCKKFODARRNTDZ-UHFFFAOYSA-N 1 2 276.742 3.653 20 0 CHADLO Cc1cnc(C[NH2+]C2(c3ccccc3F)CCC2)s1 ZINC000646024821 1129518001 /nfs/dbraw/zinc/51/80/01/1129518001.db2.gz QTAIYFOYBLLLIG-UHFFFAOYSA-N 1 2 276.380 3.760 20 0 CHADLO CCC[C@]1(C)CCC[N@H+](Cn2nc(C)sc2=S)C1 ZINC000743404539 1129522868 /nfs/dbraw/zinc/52/28/68/1129522868.db2.gz AECLGVSGATVFRO-CYBMUJFWSA-N 1 2 285.482 3.842 20 0 CHADLO CCC[C@]1(C)CCC[N@@H+](Cn2nc(C)sc2=S)C1 ZINC000743404539 1129522870 /nfs/dbraw/zinc/52/28/70/1129522870.db2.gz AECLGVSGATVFRO-CYBMUJFWSA-N 1 2 285.482 3.842 20 0 CHADLO CSC1CC[NH+](Cc2nc(Cl)ccc2Cl)CC1 ZINC000304241772 1129587636 /nfs/dbraw/zinc/58/76/36/1129587636.db2.gz YWUMNQIMPHLMTD-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO Cc1cc(C)c(-c2ccc(C[NH+]3CCOCC3)nc2)c(C)c1 ZINC001239551192 1131381031 /nfs/dbraw/zinc/38/10/31/1131381031.db2.gz VYIPBRVLIDTVKV-UHFFFAOYSA-N 1 2 296.414 3.506 20 0 CHADLO CC[C@H]1CCC[C@@H]([NH2+]CC(F)(F)Br)C1 ZINC000379584144 1129559596 /nfs/dbraw/zinc/55/95/96/1129559596.db2.gz NXFRHSSLHHXTIU-DTWKUNHWSA-N 1 2 270.161 3.533 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccccc2F)CC1)c1cc2n(n1)CCCC2 ZINC000647297019 1129565507 /nfs/dbraw/zinc/56/55/07/1129565507.db2.gz IEDHOMBBHLIQPR-CYBMUJFWSA-N 1 2 299.393 3.698 20 0 CHADLO Cc1ccc(N(C)Cc2c[nH+]cn2C(C)C)c(C)c1 ZINC000822470430 1131382849 /nfs/dbraw/zinc/38/28/49/1131382849.db2.gz PKXYKLHBIDIEBC-UHFFFAOYSA-N 1 2 257.381 3.717 20 0 CHADLO CCc1ccc(C[N@H+](Cc2coc(C)n2)C2CC2)cc1 ZINC000647958261 1129588729 /nfs/dbraw/zinc/58/87/29/1129588729.db2.gz JSYWDFQBZWNLSP-UHFFFAOYSA-N 1 2 270.376 3.710 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2coc(C)n2)C2CC2)cc1 ZINC000647958261 1129588731 /nfs/dbraw/zinc/58/87/31/1129588731.db2.gz JSYWDFQBZWNLSP-UHFFFAOYSA-N 1 2 270.376 3.710 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2coc(C)n2)o1 ZINC000647965642 1129589745 /nfs/dbraw/zinc/58/97/45/1129589745.db2.gz DPPREMBQJLYBLK-IAQYHMDHSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2coc(C)n2)o1 ZINC000647965642 1129589750 /nfs/dbraw/zinc/58/97/50/1129589750.db2.gz DPPREMBQJLYBLK-IAQYHMDHSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C)C[C@H]2c2ccco2)co1 ZINC000647965986 1129590190 /nfs/dbraw/zinc/59/01/90/1129590190.db2.gz ZUEJXUDGRLNSLI-FZMZJTMJSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccco2)co1 ZINC000647965986 1129590192 /nfs/dbraw/zinc/59/01/92/1129590192.db2.gz ZUEJXUDGRLNSLI-FZMZJTMJSA-N 1 2 260.337 3.549 20 0 CHADLO C[N@H+](Cc1c(Cl)cncc1Cl)CC(C)(C)C ZINC001137608117 1131384044 /nfs/dbraw/zinc/38/40/44/1131384044.db2.gz JKTQNWZYDKFMSZ-UHFFFAOYSA-N 1 2 261.196 3.866 20 0 CHADLO C[N@@H+](Cc1c(Cl)cncc1Cl)CC(C)(C)C ZINC001137608117 1131384048 /nfs/dbraw/zinc/38/40/48/1131384048.db2.gz JKTQNWZYDKFMSZ-UHFFFAOYSA-N 1 2 261.196 3.866 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(SC)nc2)c1 ZINC001241635908 1129595307 /nfs/dbraw/zinc/59/53/07/1129595307.db2.gz LDGQNSOXKIGRFB-UHFFFAOYSA-N 1 2 272.373 3.832 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000648075212 1129596811 /nfs/dbraw/zinc/59/68/11/1129596811.db2.gz YJEQSJKVGGZBSA-KRWDZBQOSA-N 1 2 279.387 3.611 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000648075212 1129596813 /nfs/dbraw/zinc/59/68/13/1129596813.db2.gz YJEQSJKVGGZBSA-KRWDZBQOSA-N 1 2 279.387 3.611 20 0 CHADLO Fc1c(C[NH2+][C@H]2C[C@@]2(F)c2ccccc2)ccnc1Cl ZINC000822497635 1131385013 /nfs/dbraw/zinc/38/50/13/1131385013.db2.gz IIYUCCNYLQWNJV-SWLSCSKDSA-N 1 2 294.732 3.601 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cc(C)c(N)c(C)c1 ZINC001241885641 1129627193 /nfs/dbraw/zinc/62/71/93/1129627193.db2.gz SBXWNTGYSALWKY-UHFFFAOYSA-N 1 2 251.333 3.509 20 0 CHADLO FC(F)C[NH2+][C@@H](Cc1ccccc1)c1cccs1 ZINC000184968314 1129632233 /nfs/dbraw/zinc/63/22/33/1129632233.db2.gz ICWQPXFBQUMAKE-LBPRGKRZSA-N 1 2 267.344 3.887 20 0 CHADLO FC(F)C[NH2+][C@H](Cc1ccccc1)c1cccs1 ZINC000184968331 1129632268 /nfs/dbraw/zinc/63/22/68/1129632268.db2.gz ICWQPXFBQUMAKE-GFCCVEGCSA-N 1 2 267.344 3.887 20 0 CHADLO Cc1noc(C[NH2+]C(C)(C)c2ccc3ccccc3c2)n1 ZINC000626116307 1129632667 /nfs/dbraw/zinc/63/26/67/1129632667.db2.gz XPVBHXPXHXQKAM-UHFFFAOYSA-N 1 2 281.359 3.556 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2nnc(C(C)(C)C)o2)cc1 ZINC001212968113 1129636976 /nfs/dbraw/zinc/63/69/76/1129636976.db2.gz KNUOEBHVKCUBAF-UHFFFAOYSA-N 1 2 288.395 3.957 20 0 CHADLO Cc1cc(-c2cccc(S(=O)(=O)C(C)C)c2)cc(C)[nH+]1 ZINC001241992918 1129641157 /nfs/dbraw/zinc/64/11/57/1129641157.db2.gz YDANBAYAAQRNJP-UHFFFAOYSA-N 1 2 289.400 3.548 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H](c3ccn[nH]3)C2)cc1Cl ZINC000193767676 1129645600 /nfs/dbraw/zinc/64/56/00/1129645600.db2.gz PNVVLFNRMMZRIZ-AWEZNQCLSA-N 1 2 289.810 3.751 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H](c3ccn[nH]3)C2)cc1Cl ZINC000193767676 1129645601 /nfs/dbraw/zinc/64/56/01/1129645601.db2.gz PNVVLFNRMMZRIZ-AWEZNQCLSA-N 1 2 289.810 3.751 20 0 CHADLO CC[N@H+](Cc1cc2n(n1)CCCC2)[C@H](C)c1cccc(O)c1 ZINC000649547984 1129656984 /nfs/dbraw/zinc/65/69/84/1129656984.db2.gz IDLVDLZOSQHQMZ-CQSZACIVSA-N 1 2 299.418 3.508 20 0 CHADLO CC[N@@H+](Cc1cc2n(n1)CCCC2)[C@H](C)c1cccc(O)c1 ZINC000649547984 1129656986 /nfs/dbraw/zinc/65/69/86/1129656986.db2.gz IDLVDLZOSQHQMZ-CQSZACIVSA-N 1 2 299.418 3.508 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2COc3ccc(Cl)cc32)sc1C ZINC000766351533 1129657914 /nfs/dbraw/zinc/65/79/14/1129657914.db2.gz APDZNQJGRVKAAM-GFCCVEGCSA-N 1 2 294.807 3.637 20 0 CHADLO Cc1nc2[nH]ccc2c(NC2CCCCCCC2)[nH+]1 ZINC000746430143 1129661751 /nfs/dbraw/zinc/66/17/51/1129661751.db2.gz YJOVSHIRGOZGPD-UHFFFAOYSA-N 1 2 258.369 3.791 20 0 CHADLO Clc1ncccc1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000746457219 1129665328 /nfs/dbraw/zinc/66/53/28/1129665328.db2.gz LAXQZRXMYOQESD-CQSZACIVSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ncccc1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000746457219 1129665330 /nfs/dbraw/zinc/66/53/30/1129665330.db2.gz LAXQZRXMYOQESD-CQSZACIVSA-N 1 2 258.752 3.682 20 0 CHADLO CCN(C)c1cc(NC(C)(C)c2ccc(C)cc2)[nH+]cn1 ZINC001168799571 1129672504 /nfs/dbraw/zinc/67/25/04/1129672504.db2.gz CZUBZQCOKATPAS-UHFFFAOYSA-N 1 2 284.407 3.588 20 0 CHADLO CCN(C)c1cc(NC(C)(C)c2ccc(C)cc2)nc[nH+]1 ZINC001168799571 1129672505 /nfs/dbraw/zinc/67/25/05/1129672505.db2.gz CZUBZQCOKATPAS-UHFFFAOYSA-N 1 2 284.407 3.588 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(F)c(C)c2)o1 ZINC000649728628 1129673691 /nfs/dbraw/zinc/67/36/91/1129673691.db2.gz FPSQDTGRTCQJCU-LLVKDONJSA-N 1 2 262.328 3.535 20 0 CHADLO Cc1cc2cc(NCc3cc(C(C)C)no3)[nH+]cc2[nH]1 ZINC001168822515 1129674840 /nfs/dbraw/zinc/67/48/40/1129674840.db2.gz BWVWSQSWCFCAJH-UHFFFAOYSA-N 1 2 270.336 3.595 20 0 CHADLO Cc1ccc([C@@H](C)Nc2ccc(F)c(-n3cc[nH+]c3)c2)nc1 ZINC001168859692 1129677939 /nfs/dbraw/zinc/67/79/39/1129677939.db2.gz DSXGJJJWNNNOBD-CYBMUJFWSA-N 1 2 296.349 3.888 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2ccc(Cl)cc2)c(C)c[nH+]1 ZINC000649883490 1129683645 /nfs/dbraw/zinc/68/36/45/1129683645.db2.gz UATZBRPQKSDCOG-LBPRGKRZSA-N 1 2 288.778 3.516 20 0 CHADLO Fc1ccc(Cl)cc1NCCc1cn2ccccc2[nH+]1 ZINC001169003738 1129688997 /nfs/dbraw/zinc/68/89/97/1129688997.db2.gz CDTURDYXEDYMJX-UHFFFAOYSA-N 1 2 289.741 3.781 20 0 CHADLO Cc1ccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)c(Cl)c1C ZINC000650122253 1129695885 /nfs/dbraw/zinc/69/58/85/1129695885.db2.gz WNZWDWOVQGLQNB-LLVKDONJSA-N 1 2 291.782 3.743 20 0 CHADLO COCc1cc[nH+]c(NCc2cccc(C3CC3)c2)c1 ZINC000650243082 1129702621 /nfs/dbraw/zinc/70/26/21/1129702621.db2.gz JTEYHSPGTYRGDP-UHFFFAOYSA-N 1 2 268.360 3.718 20 0 CHADLO FC1(F)CCC[C@@H](Nc2[nH+]cccc2-n2cccc2)C1 ZINC001169214691 1129708631 /nfs/dbraw/zinc/70/86/31/1129708631.db2.gz IIEBZQYUEPZIHT-GFCCVEGCSA-N 1 2 277.318 3.862 20 0 CHADLO COC(=[NH2+])c1cccc(N[C@H]2CCCC(F)(F)C2)c1 ZINC001169216916 1129709074 /nfs/dbraw/zinc/70/90/74/1129709074.db2.gz BJCDDXCBWWKOII-LBPRGKRZSA-N 1 2 268.307 3.648 20 0 CHADLO Clc1cc(-c2cc[nH+]c(NCCn3cccc3)c2)ccn1 ZINC001156299354 1129718392 /nfs/dbraw/zinc/71/83/92/1129718392.db2.gz FCGBNCQLCMISRZ-UHFFFAOYSA-N 1 2 298.777 3.711 20 0 CHADLO Fc1ccc(C([NH2+]Cc2cnc[nH]2)c2ccc(F)cc2)cc1 ZINC000796447721 1129726242 /nfs/dbraw/zinc/72/62/42/1129726242.db2.gz BPJGSLOABSKRPD-UHFFFAOYSA-N 1 2 299.324 3.567 20 0 CHADLO Cc1ccc2cc(N[C@H](C)c3cnccn3)[nH+]cc2c1 ZINC001169512934 1129731259 /nfs/dbraw/zinc/73/12/59/1129731259.db2.gz COISVHOQDKRAEN-GFCCVEGCSA-N 1 2 264.332 3.506 20 0 CHADLO Cn1c[nH+]c2ccc(NCCc3coc4ccccc34)cc21 ZINC001169599184 1129739719 /nfs/dbraw/zinc/73/97/19/1129739719.db2.gz DAGYQGWAKAPQBX-UHFFFAOYSA-N 1 2 291.354 3.974 20 0 CHADLO Cc1cc(N[C@@H]2CCc3ccccc3[C@H]2O)ccc1[NH+](C)C ZINC001169630940 1129742698 /nfs/dbraw/zinc/74/26/98/1129742698.db2.gz QSMADTSOSWJWSM-IEBWSBKVSA-N 1 2 296.414 3.521 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2c(F)cccc2F)cc1F ZINC001239576362 1131394900 /nfs/dbraw/zinc/39/49/00/1131394900.db2.gz ZOZGZXQUTHYAHC-UHFFFAOYSA-N 1 2 283.268 3.972 20 0 CHADLO Cc1cc(NCCc2cncs2)[nH+]cc1C(F)(F)F ZINC001169863516 1129762738 /nfs/dbraw/zinc/76/27/38/1129762738.db2.gz UKXMBTCZDRXENW-UHFFFAOYSA-N 1 2 287.310 3.520 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NC[C@@H]3COC(C)(C)O3)c2)cc1 ZINC001169903980 1129764374 /nfs/dbraw/zinc/76/43/74/1129764374.db2.gz ASWFBMWRSMIVCC-MRXNPFEDSA-N 1 2 298.386 3.620 20 0 CHADLO CCC[C@@H]1CCCC[C@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001136622371 1131395902 /nfs/dbraw/zinc/39/59/02/1131395902.db2.gz GZRUMMLJQJCMKF-TZMCWYRMSA-N 1 2 285.391 3.652 20 0 CHADLO Fc1ccccc1-c1cnc(C[NH+]2CCC(F)(F)CC2)o1 ZINC000796541692 1129777333 /nfs/dbraw/zinc/77/73/33/1129777333.db2.gz WVTALLYNWFUFEF-UHFFFAOYSA-N 1 2 296.292 3.712 20 0 CHADLO CC(C)(C)[C@H]1CCC[N@H+](CC(F)(F)C(F)F)C1 ZINC000796576948 1129785204 /nfs/dbraw/zinc/78/52/04/1129785204.db2.gz XHPIJFJCQUOXIC-VIFPVBQESA-N 1 2 255.299 3.645 20 0 CHADLO CC(C)(C)[C@H]1CCC[N@@H+](CC(F)(F)C(F)F)C1 ZINC000796576948 1129785206 /nfs/dbraw/zinc/78/52/06/1129785206.db2.gz XHPIJFJCQUOXIC-VIFPVBQESA-N 1 2 255.299 3.645 20 0 CHADLO COc1ccc(C(C)C)cc1C[N@@H+]1CCOCC12CCC2 ZINC000653587604 1129786567 /nfs/dbraw/zinc/78/65/67/1129786567.db2.gz KFQMIBZIVNHHKZ-UHFFFAOYSA-N 1 2 289.419 3.574 20 0 CHADLO COc1ccc(C(C)C)cc1C[N@H+]1CCOCC12CCC2 ZINC000653587604 1129786569 /nfs/dbraw/zinc/78/65/69/1129786569.db2.gz KFQMIBZIVNHHKZ-UHFFFAOYSA-N 1 2 289.419 3.574 20 0 CHADLO Fc1cc2c(cc1F)C[NH+](C[C@@H]1CCC(F)(F)C1)C2 ZINC000653830670 1129797523 /nfs/dbraw/zinc/79/75/23/1129797523.db2.gz FMJCZVTUMROFIV-SECBINFHSA-N 1 2 273.273 3.716 20 0 CHADLO c1c2ccccc2sc1C[N@@H+]1Cc2cccnc2C1 ZINC001137680367 1131398327 /nfs/dbraw/zinc/39/83/27/1131398327.db2.gz ZOQDSQLNPUPPFY-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO c1c2ccccc2sc1C[N@H+]1Cc2cccnc2C1 ZINC001137680367 1131398332 /nfs/dbraw/zinc/39/83/32/1131398332.db2.gz ZOQDSQLNPUPPFY-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO Cc1ccc2c(c1)CCN2Cc1ccc(-n2cc[nH+]c2)cc1 ZINC001169971643 1129807906 /nfs/dbraw/zinc/80/79/06/1129807906.db2.gz UNNVABOOQQHPAM-UHFFFAOYSA-N 1 2 289.382 3.743 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(Cl)n2)CC(C)(C)C1 ZINC000828778875 1131400035 /nfs/dbraw/zinc/40/00/35/1131400035.db2.gz FLIVRBKYZAOGOM-SECBINFHSA-N 1 2 258.818 3.665 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(Cl)n2)CC(C)(C)C1 ZINC000828778875 1131400038 /nfs/dbraw/zinc/40/00/38/1131400038.db2.gz FLIVRBKYZAOGOM-SECBINFHSA-N 1 2 258.818 3.665 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@H](C)c2nc3ccccc3s2)o1 ZINC000380465121 1129831114 /nfs/dbraw/zinc/83/11/14/1129831114.db2.gz PXGSUHOQULAUKE-SNVBAGLBSA-N 1 2 287.388 3.752 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cn3cccc(F)c3n2)Cc2ccccc21 ZINC000768195543 1129842766 /nfs/dbraw/zinc/84/27/66/1129842766.db2.gz MGOGLLQRPHLTKS-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cn3cccc(F)c3n2)Cc2ccccc21 ZINC000768195543 1129842772 /nfs/dbraw/zinc/84/27/72/1129842772.db2.gz MGOGLLQRPHLTKS-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO Fc1ccc(C2=CC[N@H+](Cc3coc(C4CC4)n3)CC2)cc1 ZINC000768214993 1129843447 /nfs/dbraw/zinc/84/34/47/1129843447.db2.gz MABVTBRWZRWRDB-UHFFFAOYSA-N 1 2 298.361 3.980 20 0 CHADLO Fc1ccc(C2=CC[N@@H+](Cc3coc(C4CC4)n3)CC2)cc1 ZINC000768214993 1129843453 /nfs/dbraw/zinc/84/34/53/1129843453.db2.gz MABVTBRWZRWRDB-UHFFFAOYSA-N 1 2 298.361 3.980 20 0 CHADLO CCCCCCOc1ccc(C[N@@H+]2CCO[C@H]3C[C@H]32)cc1 ZINC001204369253 1129844001 /nfs/dbraw/zinc/84/40/01/1129844001.db2.gz RGTYGHHGYOQZST-MSOLQXFVSA-N 1 2 289.419 3.619 20 0 CHADLO CCCCCCOc1ccc(C[N@H+]2CCO[C@H]3C[C@H]32)cc1 ZINC001204369253 1129844004 /nfs/dbraw/zinc/84/40/04/1129844004.db2.gz RGTYGHHGYOQZST-MSOLQXFVSA-N 1 2 289.419 3.619 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(SC(F)(F)F)cc2)CCO1 ZINC000768227068 1129845438 /nfs/dbraw/zinc/84/54/38/1129845438.db2.gz FEBFHDJTQOIBPW-SNVBAGLBSA-N 1 2 291.338 3.519 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(SC(F)(F)F)cc2)CCO1 ZINC000768227068 1129845444 /nfs/dbraw/zinc/84/54/44/1129845444.db2.gz FEBFHDJTQOIBPW-SNVBAGLBSA-N 1 2 291.338 3.519 20 0 CHADLO CCCCCCOc1ccc(C[N@@H+]2CCO[C@@H]3C[C@@H]32)cc1 ZINC001204369260 1129845860 /nfs/dbraw/zinc/84/58/60/1129845860.db2.gz RGTYGHHGYOQZST-ZWKOTPCHSA-N 1 2 289.419 3.619 20 0 CHADLO CCCCCCOc1ccc(C[N@H+]2CCO[C@@H]3C[C@@H]32)cc1 ZINC001204369260 1129845868 /nfs/dbraw/zinc/84/58/68/1129845868.db2.gz RGTYGHHGYOQZST-ZWKOTPCHSA-N 1 2 289.419 3.619 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1ccc(F)cc1 ZINC000724403706 1129852878 /nfs/dbraw/zinc/85/28/78/1129852878.db2.gz KDJISUXNXMKKTN-LLVKDONJSA-N 1 2 276.355 3.962 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2ccc(Cl)cc2C)c[nH+]1 ZINC000900021415 1129858072 /nfs/dbraw/zinc/85/80/72/1129858072.db2.gz MCKLJYVPPBCLCZ-UHFFFAOYSA-N 1 2 291.782 3.527 20 0 CHADLO COCC[C@@H]1CCC[C@H]1[NH2+]c1ccc2c(c1)CCCN2C ZINC000800489691 1129879900 /nfs/dbraw/zinc/87/99/00/1129879900.db2.gz VXDFKJXXFZZWSN-WMLDXEAASA-N 1 2 288.435 3.686 20 0 CHADLO COCC[C@@H]1CCC[C@H]1Nc1ccc2c(c1)CCC[N@H+]2C ZINC000800489691 1129879905 /nfs/dbraw/zinc/87/99/05/1129879905.db2.gz VXDFKJXXFZZWSN-WMLDXEAASA-N 1 2 288.435 3.686 20 0 CHADLO COCC[C@@H]1CCC[C@H]1Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000800489691 1129879910 /nfs/dbraw/zinc/87/99/10/1129879910.db2.gz VXDFKJXXFZZWSN-WMLDXEAASA-N 1 2 288.435 3.686 20 0 CHADLO CCn1nccc1C[N@@H+]1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000769427585 1129908203 /nfs/dbraw/zinc/90/82/03/1129908203.db2.gz KLYAYIOPJOTVCM-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1nccc1C[N@H+]1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000769427585 1129908205 /nfs/dbraw/zinc/90/82/05/1129908205.db2.gz KLYAYIOPJOTVCM-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1nccc1C[N@@H+]1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000769427586 1129908534 /nfs/dbraw/zinc/90/85/34/1129908534.db2.gz KLYAYIOPJOTVCM-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1nccc1C[N@H+]1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000769427586 1129908537 /nfs/dbraw/zinc/90/85/37/1129908537.db2.gz KLYAYIOPJOTVCM-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](F)c2ccccc2)c(C)[nH+]1 ZINC000769439187 1129909166 /nfs/dbraw/zinc/90/91/66/1129909166.db2.gz CZGZPHBPMHFORC-AWEZNQCLSA-N 1 2 272.323 3.656 20 0 CHADLO CN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@H]1CCC[C@H]1C(C)(C)C ZINC000822924590 1131406608 /nfs/dbraw/zinc/40/66/08/1131406608.db2.gz NQWNHOARCOAOKY-CJNGLKHVSA-N 1 2 299.418 3.850 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2Cc3ccccc3[C@H](C)C2)s1 ZINC000801508866 1129923105 /nfs/dbraw/zinc/92/31/05/1129923105.db2.gz VNNCGIWTNGCTBJ-GHMZBOCLSA-N 1 2 273.405 3.527 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2Cc3ccccc3[C@H](C)C2)s1 ZINC000801508866 1129923108 /nfs/dbraw/zinc/92/31/08/1129923108.db2.gz VNNCGIWTNGCTBJ-GHMZBOCLSA-N 1 2 273.405 3.527 20 0 CHADLO FC(F)(F)C[C@@H]1CC[N@H+](C/C(Cl)=C\Cl)C1 ZINC000769865089 1129927009 /nfs/dbraw/zinc/92/70/09/1129927009.db2.gz NIXRSRLQRQMEOJ-PUSOHNNWSA-N 1 2 262.102 3.580 20 0 CHADLO FC(F)(F)C[C@@H]1CC[N@@H+](C/C(Cl)=C\Cl)C1 ZINC000769865089 1129927012 /nfs/dbraw/zinc/92/70/12/1129927012.db2.gz NIXRSRLQRQMEOJ-PUSOHNNWSA-N 1 2 262.102 3.580 20 0 CHADLO CC(C)n1c[nH+]cc1/C=C/c1nc2c(s1)CCC2 ZINC000901552510 1129935734 /nfs/dbraw/zinc/93/57/34/1129935734.db2.gz TYVTVRWQGZKOLN-VOTSOKGWSA-N 1 2 259.378 3.580 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(Cl)cn2C)cnc1Cl ZINC000770305737 1129944290 /nfs/dbraw/zinc/94/42/90/1129944290.db2.gz SFVDOMRLUNSWOK-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(Cl)cn2C)cnc1Cl ZINC000770305737 1129944291 /nfs/dbraw/zinc/94/42/91/1129944291.db2.gz SFVDOMRLUNSWOK-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO C[NH+](C)c1ccc(NCc2nccc3ccccc32)cc1 ZINC000770409792 1129946755 /nfs/dbraw/zinc/94/67/55/1129946755.db2.gz XZKKNWMVQFELCW-UHFFFAOYSA-N 1 2 277.371 3.913 20 0 CHADLO CCc1ccc([C@@H]2COCC[N@@H+]2CCSC(C)(C)C)o1 ZINC000801922774 1129959464 /nfs/dbraw/zinc/95/94/64/1129959464.db2.gz OHSAGRCLJUODAQ-AWEZNQCLSA-N 1 2 297.464 3.747 20 0 CHADLO CCc1ccc([C@@H]2COCC[N@H+]2CCSC(C)(C)C)o1 ZINC000801922774 1129959465 /nfs/dbraw/zinc/95/94/65/1129959465.db2.gz OHSAGRCLJUODAQ-AWEZNQCLSA-N 1 2 297.464 3.747 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cnc(F)cc1C ZINC000902064813 1129976781 /nfs/dbraw/zinc/97/67/81/1129976781.db2.gz CUBACVXALDYZTL-UHFFFAOYSA-N 1 2 284.338 3.511 20 0 CHADLO Cc1cccc(C)c1COC(=O)[C@@H](c1ccccc1)[NH+](C)C ZINC000780087557 1129986102 /nfs/dbraw/zinc/98/61/02/1129986102.db2.gz IDZGGODAHXSGMM-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO COC(=O)[C@@H]([NH3+])c1ccc(-c2ccc(C(C)C)cc2)cc1 ZINC001239626791 1130021059 /nfs/dbraw/zinc/02/10/59/1130021059.db2.gz MPYINKUDUAEBQC-KRWDZBQOSA-N 1 2 283.371 3.650 20 0 CHADLO CCn1cnc(C[NH2+]C2(c3ccccc3Cl)CCC2)c1 ZINC000902614725 1130031210 /nfs/dbraw/zinc/03/12/10/1130031210.db2.gz KYCGEZOCNFINPP-UHFFFAOYSA-N 1 2 289.810 3.725 20 0 CHADLO CNc1ccc(C)cc1C[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000902635047 1130033498 /nfs/dbraw/zinc/03/34/98/1130033498.db2.gz ZNYLOVNJTDPULV-KRWDZBQOSA-N 1 2 290.357 3.976 20 0 CHADLO CCc1onc(C)c1CNc1[nH]c2ccc(Cl)cc2[nH+]1 ZINC000902641060 1130033556 /nfs/dbraw/zinc/03/35/56/1130033556.db2.gz UKNPBONWWQODIH-UHFFFAOYSA-N 1 2 290.754 3.687 20 0 CHADLO CCc1onc(C)c1CNc1[nH]c2cc(Cl)ccc2[nH+]1 ZINC000902641060 1130033563 /nfs/dbraw/zinc/03/35/63/1130033563.db2.gz UKNPBONWWQODIH-UHFFFAOYSA-N 1 2 290.754 3.687 20 0 CHADLO Fc1cc(F)cc(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001239656493 1130035091 /nfs/dbraw/zinc/03/50/91/1130035091.db2.gz FWSFKZLXWQJTLS-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO Cc1ccc2[nH+]c(C)cc(OCc3nnc(C4CC4)o3)c2c1 ZINC000902694203 1130039290 /nfs/dbraw/zinc/03/92/90/1130039290.db2.gz CXMMHZBVSPVCTQ-UHFFFAOYSA-N 1 2 295.342 3.691 20 0 CHADLO CCCOCCNc1cc(-c2ccccc2)cc[nH+]1 ZINC001157086580 1130054391 /nfs/dbraw/zinc/05/43/91/1130054391.db2.gz CHHLWOGYCKYQMT-UHFFFAOYSA-N 1 2 256.349 3.587 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2ccc(OC)nc2)c1 ZINC001239728307 1130077590 /nfs/dbraw/zinc/07/75/90/1130077590.db2.gz XENICKVAEDOSRR-UHFFFAOYSA-N 1 2 270.376 3.912 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+][C@@H]1C[C@H]2CCC[C@H]2C1 ZINC000902897969 1130080162 /nfs/dbraw/zinc/08/01/62/1130080162.db2.gz LGOVZLNQEFQKLY-SCAQPMJSSA-N 1 2 286.419 3.514 20 0 CHADLO CCCn1cc([C@H](C)[NH2+][C@H](CC)c2ccccc2F)nn1 ZINC000903043092 1130103982 /nfs/dbraw/zinc/10/39/82/1130103982.db2.gz PHXMDUBAOJIBFR-SWLSCSKDSA-N 1 2 290.386 3.629 20 0 CHADLO C[C@H](OC(=O)CCCn1cc[nH+]c1)c1cc2ccccc2o1 ZINC000786658609 1130110127 /nfs/dbraw/zinc/11/01/27/1130110127.db2.gz BPUFFVSBBJSGRQ-ZDUSSCGKSA-N 1 2 298.342 3.714 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc(C)c(F)c1 ZINC001239824127 1130125233 /nfs/dbraw/zinc/12/52/33/1130125233.db2.gz SNDYFTMMUFPAJI-UHFFFAOYSA-N 1 2 256.280 3.686 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+](C)Cc1ccccc1Cl ZINC000787056431 1130131164 /nfs/dbraw/zinc/13/11/64/1130131164.db2.gz FHIMBHBATIMVAS-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1nc(F)ccc1C[N@H+](C)Cc1ccccc1Cl ZINC000787056431 1130131167 /nfs/dbraw/zinc/13/11/67/1130131167.db2.gz FHIMBHBATIMVAS-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCCCCC[C@@H](C)NC(=O)C[N@H+](C)[C@H](C)c1ccco1 ZINC000746654324 1130135368 /nfs/dbraw/zinc/13/53/68/1130135368.db2.gz ZAQZUOLZOUTDPI-HUUCEWRRSA-N 1 2 294.439 3.748 20 0 CHADLO CCCCCC[C@@H](C)NC(=O)C[N@@H+](C)[C@H](C)c1ccco1 ZINC000746654324 1130135371 /nfs/dbraw/zinc/13/53/71/1130135371.db2.gz ZAQZUOLZOUTDPI-HUUCEWRRSA-N 1 2 294.439 3.748 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000787167029 1130136125 /nfs/dbraw/zinc/13/61/25/1130136125.db2.gz RJDGBFXIUGVOIQ-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1nc(F)ccc1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000787167029 1130136126 /nfs/dbraw/zinc/13/61/26/1130136126.db2.gz RJDGBFXIUGVOIQ-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(C)cc1Cl ZINC001239863240 1130138663 /nfs/dbraw/zinc/13/86/63/1130138663.db2.gz FJQUCQKHTQHWLA-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO Cc1ccc(-c2c[nH+]c3c(c2)CCCN3)c(Cl)c1 ZINC001239864213 1130139787 /nfs/dbraw/zinc/13/97/87/1130139787.db2.gz ZXRUYMLAQPDJCC-UHFFFAOYSA-N 1 2 258.752 3.711 20 0 CHADLO CC1(C)CC[N@H+](Cc2ccc(Cl)nc2C(F)(F)F)C1 ZINC000787254326 1130140822 /nfs/dbraw/zinc/14/08/22/1130140822.db2.gz YHYXNULURJRVSQ-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO CC1(C)CC[N@@H+](Cc2ccc(Cl)nc2C(F)(F)F)C1 ZINC000787254326 1130140827 /nfs/dbraw/zinc/14/08/27/1130140827.db2.gz YHYXNULURJRVSQ-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO CC[C@@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1ccccc1F ZINC000903325951 1130155082 /nfs/dbraw/zinc/15/50/82/1130155082.db2.gz JIVAGAUGKUWBFU-HZPDHXFCSA-N 1 2 285.366 3.808 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@@H](c1ncccc1C)C(C)(C)C ZINC000903328178 1130156476 /nfs/dbraw/zinc/15/64/76/1130156476.db2.gz GVMWBOBXZSOJPM-ABAIWWIYSA-N 1 2 288.395 3.519 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000903329869 1130157207 /nfs/dbraw/zinc/15/72/07/1130157207.db2.gz FOORTGKQKPSDEU-SUMWQHHRSA-N 1 2 297.402 3.677 20 0 CHADLO c1nnc([C@@H]([NH2+]C[C@@H]2CCC=CCCC2)c2ccccc2)[nH]1 ZINC000903346421 1130164204 /nfs/dbraw/zinc/16/42/04/1130164204.db2.gz SSAGVIXQFCHBCC-WBVHZDCISA-N 1 2 296.418 3.620 20 0 CHADLO CCOc1ccc2cc(-c3cc[nH+]c(N)c3)ccc2c1 ZINC001239956783 1130170355 /nfs/dbraw/zinc/17/03/55/1130170355.db2.gz INYGFHPWVRSKTN-UHFFFAOYSA-N 1 2 264.328 3.883 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1cc(C)ns1 ZINC000788451091 1130187172 /nfs/dbraw/zinc/18/71/72/1130187172.db2.gz YLGSVYNVIXDIFH-UHFFFAOYSA-N 1 2 290.367 3.572 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2OC)c(F)c1 ZINC001240025159 1130197288 /nfs/dbraw/zinc/19/72/88/1130197288.db2.gz VDMRYHJDLJEDFM-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(C(=O)Nc2ccccc2)cc1 ZINC001240047700 1130203950 /nfs/dbraw/zinc/20/39/50/1130203950.db2.gz SKCDKNHHPFTYCF-ACFHMISVSA-N 1 2 278.355 3.815 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCc3ccc(Cl)nc32)cc1 ZINC000788717062 1130204119 /nfs/dbraw/zinc/20/41/19/1130204119.db2.gz CHLLGTYRYSVELC-AWEZNQCLSA-N 1 2 287.794 3.900 20 0 CHADLO Cc1cc(-c2cccc(C(=O)N3CCCCC3)c2)cc(C)[nH+]1 ZINC001240064152 1130207693 /nfs/dbraw/zinc/20/76/93/1130207693.db2.gz AJZJVDMISGFHPV-UHFFFAOYSA-N 1 2 294.398 3.992 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(S(=O)(=O)C(C)C)cc1 ZINC001240206648 1130229049 /nfs/dbraw/zinc/22/90/49/1130229049.db2.gz PWPFQBHUOXHONV-UHFFFAOYSA-N 1 2 289.400 3.548 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)ncc1C(F)(F)F ZINC001240213503 1130232542 /nfs/dbraw/zinc/23/25/42/1130232542.db2.gz GKPQPTVHZDRADQ-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO CSc1nc2ccccc2c(-c2ccc3[nH+]ccn3c2)n1 ZINC001240213853 1130233551 /nfs/dbraw/zinc/23/35/51/1130233551.db2.gz HSXLZADPRHKXSM-UHFFFAOYSA-N 1 2 292.367 3.666 20 0 CHADLO Cc1cn2c(cccc2-c2c3cc[nH]c3ccc2F)[nH+]1 ZINC001240215738 1130235088 /nfs/dbraw/zinc/23/50/88/1130235088.db2.gz MBNZWZPHDSQGSW-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO COc1cc(-c2ccc3[nH+]ccn3c2)cc2ncccc21 ZINC001240218110 1130235418 /nfs/dbraw/zinc/23/54/18/1130235418.db2.gz AZEQBBAALOTVFM-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO c1cn2cc(-c3cc4c5c(c3)CCCN5CCC4)ccc2[nH+]1 ZINC001240218132 1130235559 /nfs/dbraw/zinc/23/55/59/1130235559.db2.gz CEKHMKNSDUTKBF-UHFFFAOYSA-N 1 2 289.382 3.700 20 0 CHADLO CC(=O)Nc1cc(C)c(C)cc1-c1ccc2[nH+]ccn2c1 ZINC001240218254 1130236053 /nfs/dbraw/zinc/23/60/53/1130236053.db2.gz IBQFILYSDKXWDA-UHFFFAOYSA-N 1 2 279.343 3.577 20 0 CHADLO COc1nccc2cc(-c3ccc4[nH+]ccn4c3)ccc21 ZINC001240217893 1130236127 /nfs/dbraw/zinc/23/61/27/1130236127.db2.gz PRPOKTCVTJWTCF-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO COc1ccc(-c2ccc3[nH+]ccn3c2)c2ccc(C)nc12 ZINC001240218718 1130236930 /nfs/dbraw/zinc/23/69/30/1130236930.db2.gz VGHZBTSSGOQTHF-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO Fc1cccc2c(-c3ccc4[nH+]ccn4c3)ccnc12 ZINC001240219073 1130237331 /nfs/dbraw/zinc/23/73/31/1130237331.db2.gz XNCRNQWRYBYPFC-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1nc2cc(-c3ccc4[nH+]ccn4c3)ccc2s1 ZINC001240219405 1130237687 /nfs/dbraw/zinc/23/76/87/1130237687.db2.gz KUYDXKZLNJKEFX-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO Cc1ccc2cccnc2c1-c1ccc2[nH+]ccn2c1 ZINC001240219817 1130238852 /nfs/dbraw/zinc/23/88/52/1130238852.db2.gz VTIQCKCWPRVVCZ-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COc1ncc(-c2ccc3[nH+]ccn3c2)c2ccccc12 ZINC001240219555 1130238948 /nfs/dbraw/zinc/23/89/48/1130238948.db2.gz MZLHUUUUYJQIGC-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CCOc1c(F)c(F)ccc1-c1ccc2[nH+]ccn2c1 ZINC001240221604 1130239674 /nfs/dbraw/zinc/23/96/74/1130239674.db2.gz NUDLOBVLDGACIY-UHFFFAOYSA-N 1 2 274.270 3.678 20 0 CHADLO Cc1c(-c2c3cc[nH]c3ccc2F)ccc2[nH+]ccn21 ZINC001240226072 1130241468 /nfs/dbraw/zinc/24/14/68/1130241468.db2.gz NALNKGPGEYRSQW-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO F[C@H]1CCCC[N@@H+](Cc2sccc2Br)C1 ZINC001139309307 1130252421 /nfs/dbraw/zinc/25/24/21/1130252421.db2.gz OSRNAZNQKZRNEZ-VIFPVBQESA-N 1 2 292.217 3.835 20 0 CHADLO Sc1ccccc1-c1cccc(CC[NH+]2CCOCC2)c1 ZINC001240266171 1130251916 /nfs/dbraw/zinc/25/19/16/1130251916.db2.gz QENIDSPWKFVPCR-UHFFFAOYSA-N 1 2 299.439 3.517 20 0 CHADLO F[C@H]1CCCC[N@H+](Cc2sccc2Br)C1 ZINC001139309307 1130252418 /nfs/dbraw/zinc/25/24/18/1130252418.db2.gz OSRNAZNQKZRNEZ-VIFPVBQESA-N 1 2 292.217 3.835 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc3cnn(C)c3c2)c1 ZINC001240310781 1130261225 /nfs/dbraw/zinc/26/12/25/1130261225.db2.gz LVZOSUVFPJONAJ-UHFFFAOYSA-N 1 2 288.354 3.641 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139354186 1130264207 /nfs/dbraw/zinc/26/42/07/1130264207.db2.gz MQMWDEZCHKPRIL-SECBINFHSA-N 1 2 259.180 3.620 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139354186 1130264211 /nfs/dbraw/zinc/26/42/11/1130264211.db2.gz MQMWDEZCHKPRIL-SECBINFHSA-N 1 2 259.180 3.620 20 0 CHADLO Cn1cnc2ccc(-c3ccc(F)c(-n4cc[nH+]c4)c3)cc21 ZINC001240322513 1130267898 /nfs/dbraw/zinc/26/78/98/1130267898.db2.gz WNVWTZZCTVGFDI-UHFFFAOYSA-N 1 2 292.317 3.565 20 0 CHADLO C[C@@H](c1ccc(C(C)(C)C)cc1)[N@@H+]1CCc2cn[nH]c2C1 ZINC000676795670 1130272041 /nfs/dbraw/zinc/27/20/41/1130272041.db2.gz NXPFNGMWMXRRGZ-ZDUSSCGKSA-N 1 2 283.419 3.827 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc2ncsc2c1 ZINC001240344799 1130272785 /nfs/dbraw/zinc/27/27/85/1130272785.db2.gz LPDLEPAZADUDJO-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO Cc1cc(-c2ccc(C(F)(F)F)cc2F)c[nH+]c1N ZINC001240397963 1130291511 /nfs/dbraw/zinc/29/15/11/1130291511.db2.gz LVQSNDYDDVRPPD-UHFFFAOYSA-N 1 2 270.229 3.797 20 0 CHADLO Cc1csc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)c1Cl ZINC001137287555 1130297282 /nfs/dbraw/zinc/29/72/82/1130297282.db2.gz OULMZRVXPWEUHJ-ZYHUDNBSSA-N 1 2 279.783 3.982 20 0 CHADLO Cc1csc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)c1Cl ZINC001137287555 1130297285 /nfs/dbraw/zinc/29/72/85/1130297285.db2.gz OULMZRVXPWEUHJ-ZYHUDNBSSA-N 1 2 279.783 3.982 20 0 CHADLO Cc1cc(-c2cnn(CCC(C)C)c2)c2[nH+]ccn2c1 ZINC001240420147 1130301915 /nfs/dbraw/zinc/30/19/15/1130301915.db2.gz KLBOZOVVQWTPGF-UHFFFAOYSA-N 1 2 268.364 3.552 20 0 CHADLO COc1ccc(F)c(F)c1-c1cccc2[nH+]c(C)cn21 ZINC001240434174 1130310663 /nfs/dbraw/zinc/31/06/63/1130310663.db2.gz OCEORHYDGLGMTP-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO Cc1nn(C)c2ccc(-c3cc(C)[nH+]c(C)c3)cc12 ZINC001240457430 1130319118 /nfs/dbraw/zinc/31/91/18/1130319118.db2.gz VLAVUKPDZSHDOE-UHFFFAOYSA-N 1 2 251.333 3.561 20 0 CHADLO Cc1nn(C)c2ccc(-c3ccc4[nH+]ccn4c3C)cc12 ZINC001240467781 1130320494 /nfs/dbraw/zinc/32/04/94/1130320494.db2.gz ADMKZEMRJGXRHQ-UHFFFAOYSA-N 1 2 276.343 3.505 20 0 CHADLO CCOc1ncccc1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001240494093 1130326945 /nfs/dbraw/zinc/32/69/45/1130326945.db2.gz LXFQIMVYZIHKLU-UHFFFAOYSA-N 1 2 279.343 3.548 20 0 CHADLO c1csc(-c2ccc(-c3ccn4cc[nH+]c4c3)nn2)c1 ZINC001240496566 1130328859 /nfs/dbraw/zinc/32/88/59/1130328859.db2.gz FWZSRYQYQMCDJQ-UHFFFAOYSA-N 1 2 278.340 3.520 20 0 CHADLO CC(C)(C)c1ccc(-c2ccn3cc[nH+]c3c2)nc1 ZINC001240503157 1130333085 /nfs/dbraw/zinc/33/30/85/1130333085.db2.gz ICGFFTYDXOTETK-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO CSc1cc(F)c(-c2c[nH+]c(N)c(C)c2)c(F)c1 ZINC001243231643 1130333515 /nfs/dbraw/zinc/33/35/15/1130333515.db2.gz MHTMNESHHPRAMI-UHFFFAOYSA-N 1 2 266.316 3.639 20 0 CHADLO Cc1ccc2cccnc2c1-c1ccn2cc[nH+]c2c1 ZINC001240510882 1130336300 /nfs/dbraw/zinc/33/63/00/1130336300.db2.gz UTLHGZYNNGNZIJ-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COC(=O)c1cc(-c2ccn3cc[nH+]c3c2)cc(C(C)C)c1 ZINC001240513471 1130336914 /nfs/dbraw/zinc/33/69/14/1130336914.db2.gz UCLQIQCDCXDKSE-UHFFFAOYSA-N 1 2 294.354 3.911 20 0 CHADLO Cc1cn(C)c2ccc(-c3ccn4cc[nH+]c4c3)cc12 ZINC001240517775 1130340380 /nfs/dbraw/zinc/34/03/80/1130340380.db2.gz FMLSMOFAQYLJSC-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO CCOc1cc(F)c(-c2ccn3cc[nH+]c3c2)cc1OC ZINC001240518292 1130340624 /nfs/dbraw/zinc/34/06/24/1130340624.db2.gz NHPQVWQNIQJBSP-UHFFFAOYSA-N 1 2 286.306 3.548 20 0 CHADLO COC(=O)c1ccc(C2CC2)c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240518417 1130341546 /nfs/dbraw/zinc/34/15/46/1130341546.db2.gz PKGHNRLBWOYFBM-UHFFFAOYSA-N 1 2 292.338 3.665 20 0 CHADLO Cc1c(-c2cccc(N3CCCC3)c2)ccc2[nH+]ccn21 ZINC001240538232 1130349231 /nfs/dbraw/zinc/34/92/31/1130349231.db2.gz YRXPXEXVBSYVOH-UHFFFAOYSA-N 1 2 277.371 3.910 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cccc(N2CCCC2)c1 ZINC001240539997 1130350649 /nfs/dbraw/zinc/35/06/49/1130350649.db2.gz XMLSVWDUCMRCCA-UHFFFAOYSA-N 1 2 293.370 3.839 20 0 CHADLO CCCC[C@@H](CC)C(=O)N[C@@H](Cn1cc[nH+]c1)C(C)(C)C ZINC000791127926 1130351952 /nfs/dbraw/zinc/35/19/52/1130351952.db2.gz KIVFVOZYDKYJOF-CABCVRRESA-N 1 2 293.455 3.630 20 0 CHADLO CCn1c(C[N@H+](C)Cc2ccsc2)nc2ccccc21 ZINC000678126285 1130355853 /nfs/dbraw/zinc/35/58/53/1130355853.db2.gz OEZYONPTOXPJTB-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO CCn1c(C[N@@H+](C)Cc2ccsc2)nc2ccccc21 ZINC000678126285 1130355857 /nfs/dbraw/zinc/35/58/57/1130355857.db2.gz OEZYONPTOXPJTB-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1nn(C)c2ccccc12 ZINC000678135341 1130356957 /nfs/dbraw/zinc/35/69/57/1130356957.db2.gz MINOWAIAGNYCCH-CYBMUJFWSA-N 1 2 297.377 3.905 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1nn(C)c2ccccc12 ZINC000678135341 1130356962 /nfs/dbraw/zinc/35/69/62/1130356962.db2.gz MINOWAIAGNYCCH-CYBMUJFWSA-N 1 2 297.377 3.905 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cccc(-c2cc[nH]n2)c1 ZINC001240562540 1130360746 /nfs/dbraw/zinc/36/07/46/1130360746.db2.gz PZSHXOHDKABQKK-UHFFFAOYSA-N 1 2 274.327 3.700 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2nc3ccccc3s2)[nH]1 ZINC001137322872 1130368680 /nfs/dbraw/zinc/36/86/80/1130368680.db2.gz SUTQQUHFJLMKNY-UHFFFAOYSA-N 1 2 285.416 3.873 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2nc3ccccc3s2)[nH]1 ZINC001137322872 1130368688 /nfs/dbraw/zinc/36/86/88/1130368688.db2.gz SUTQQUHFJLMKNY-UHFFFAOYSA-N 1 2 285.416 3.873 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccccc1C1CC1 ZINC000678217137 1130372101 /nfs/dbraw/zinc/37/21/01/1130372101.db2.gz SNGNLSFAXAWLKR-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccccc1C1CC1 ZINC000678217137 1130372106 /nfs/dbraw/zinc/37/21/06/1130372106.db2.gz SNGNLSFAXAWLKR-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cscc1Cl)CC2 ZINC000678215152 1130372920 /nfs/dbraw/zinc/37/29/20/1130372920.db2.gz GTAKQHOGKSCYNO-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cscc1Cl)CC2 ZINC000678215152 1130372926 /nfs/dbraw/zinc/37/29/26/1130372926.db2.gz GTAKQHOGKSCYNO-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cc(-c2cc(C)cn3cc[nH+]c23)cc(F)c1F ZINC001240662335 1130408065 /nfs/dbraw/zinc/40/80/65/1130408065.db2.gz CCRMAIXPUSVUKI-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cnn([C@H]3CCCCO3)c2)c1 ZINC001240677000 1130413755 /nfs/dbraw/zinc/41/37/55/1130413755.db2.gz KAGAHDWGMZZEMB-MRXNPFEDSA-N 1 2 299.374 3.611 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(N)c(Cl)c2)[nH+]1 ZINC001243373681 1130421954 /nfs/dbraw/zinc/42/19/54/1130421954.db2.gz JFOAGMZSWKQVTE-UHFFFAOYSA-N 1 2 257.724 3.545 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc(C)c(C)c1 ZINC001240701277 1130425993 /nfs/dbraw/zinc/42/59/93/1130425993.db2.gz UAXBXAYYCXJTHN-UHFFFAOYSA-N 1 2 252.317 3.855 20 0 CHADLO Fc1c[nH+]ccc1N1CCC[C@@H]1CCc1ccccc1 ZINC000678789326 1130455132 /nfs/dbraw/zinc/45/51/32/1130455132.db2.gz YCPOENGXPKFYQY-OAHLLOKOSA-N 1 2 270.351 3.822 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1nc2c(s1)CCC2 ZINC000678827501 1130460836 /nfs/dbraw/zinc/46/08/36/1130460836.db2.gz AXUCHTICGFEYQA-LLVKDONJSA-N 1 2 288.416 3.530 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1nc2c(s1)CCC2 ZINC000678827501 1130460839 /nfs/dbraw/zinc/46/08/39/1130460839.db2.gz AXUCHTICGFEYQA-LLVKDONJSA-N 1 2 288.416 3.530 20 0 CHADLO Cc1cn2cc(-c3ccc4c(c3)CCCO4)ccc2[nH+]1 ZINC001240772624 1130464211 /nfs/dbraw/zinc/46/42/11/1130464211.db2.gz CNTUEPDVMIITAX-UHFFFAOYSA-N 1 2 264.328 3.635 20 0 CHADLO Cc1cn2c(cccc2-c2ccc3c(c2)CCCO3)[nH+]1 ZINC001240772481 1130464327 /nfs/dbraw/zinc/46/43/27/1130464327.db2.gz ADQXFGKOMQDPJD-UHFFFAOYSA-N 1 2 264.328 3.635 20 0 CHADLO CCCC[C@@H]([NH2+][C@@H](C)Cc1ccccc1Cl)C(=O)OC ZINC001170257098 1130468550 /nfs/dbraw/zinc/46/85/50/1130468550.db2.gz SZKXDEJCFUBQKP-SWLSCSKDSA-N 1 2 297.826 3.592 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2C[C@@H]3C[C@@H]3C2)c1Cl ZINC001137354861 1130470919 /nfs/dbraw/zinc/47/09/19/1130470919.db2.gz UCSDNJWDLGVZQG-OCAPTIKFSA-N 1 2 260.139 3.584 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2C[C@@H]3C[C@@H]3C2)c1Cl ZINC001137354861 1130470922 /nfs/dbraw/zinc/47/09/22/1130470922.db2.gz UCSDNJWDLGVZQG-OCAPTIKFSA-N 1 2 260.139 3.584 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccc(Cl)cn2)c(C)s1 ZINC000678927629 1130472895 /nfs/dbraw/zinc/47/28/95/1130472895.db2.gz RWGBXIJIOFXWII-QMMMGPOBSA-N 1 2 281.812 3.659 20 0 CHADLO Cc1nc(NCc2nc(-c3ccccc3)cs2)cc[nH+]1 ZINC001170247086 1130473003 /nfs/dbraw/zinc/47/30/03/1130473003.db2.gz JAMCPWKVDWVYNC-UHFFFAOYSA-N 1 2 282.372 3.521 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)Cc1ccccc1Cl)C(=O)OCC ZINC001170253557 1130474381 /nfs/dbraw/zinc/47/43/81/1130474381.db2.gz ACKLARQMXHKMEH-DOMZBBRYSA-N 1 2 297.826 3.592 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1nc2c(s1)CCCC2 ZINC000678957601 1130478735 /nfs/dbraw/zinc/47/87/35/1130478735.db2.gz UQPPSDYAFBVUQY-LLVKDONJSA-N 1 2 276.405 3.808 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1nc2c(s1)CCCC2 ZINC000678957601 1130478738 /nfs/dbraw/zinc/47/87/38/1130478738.db2.gz UQPPSDYAFBVUQY-LLVKDONJSA-N 1 2 276.405 3.808 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[N@@H+]1Cc2ccncc2C1 ZINC001170284428 1130479120 /nfs/dbraw/zinc/47/91/20/1130479120.db2.gz NBUYPXFFCOHEFT-GFCCVEGCSA-N 1 2 272.779 3.682 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[N@H+]1Cc2ccncc2C1 ZINC001170284428 1130479122 /nfs/dbraw/zinc/47/91/22/1130479122.db2.gz NBUYPXFFCOHEFT-GFCCVEGCSA-N 1 2 272.779 3.682 20 0 CHADLO COC(=[NH2+])c1cccc(-c2ccc(OC(C)C)nc2)c1 ZINC001240811131 1130484064 /nfs/dbraw/zinc/48/40/64/1130484064.db2.gz NITGMXUAUIKKCY-UHFFFAOYSA-N 1 2 270.332 3.508 20 0 CHADLO CCO[C@@H]1CC[N@H+](Cc2c(Cl)ccc(F)c2Cl)C1 ZINC001137359758 1130485389 /nfs/dbraw/zinc/48/53/89/1130485389.db2.gz YXZXVLRNYHLWCW-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO CCO[C@@H]1CC[N@@H+](Cc2c(Cl)ccc(F)c2Cl)C1 ZINC001137359758 1130485391 /nfs/dbraw/zinc/48/53/91/1130485391.db2.gz YXZXVLRNYHLWCW-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO COc1ccc(C(F)(F)F)cc1-c1c[nH+]c(N)c(C)c1 ZINC001240825779 1130487809 /nfs/dbraw/zinc/48/78/09/1130487809.db2.gz FGMBAXFZCSXNGT-UHFFFAOYSA-N 1 2 282.265 3.667 20 0 CHADLO CN(Cc1c[nH+]cn1C)c1ccc2c(c1)oc1ccccc12 ZINC001170376903 1130488822 /nfs/dbraw/zinc/48/88/22/1130488822.db2.gz PJNPJDHDNMPONF-UHFFFAOYSA-N 1 2 291.354 3.956 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1ccc(C)cn1 ZINC000679118028 1130494334 /nfs/dbraw/zinc/49/43/34/1130494334.db2.gz XGKXPAJOFVRIJW-UHFFFAOYSA-N 1 2 280.375 3.762 20 0 CHADLO COc1ccc(-c2ccc3[nH+]c(C)cn3c2)cc1C ZINC001240865384 1130497334 /nfs/dbraw/zinc/49/73/34/1130497334.db2.gz SOQAFWHINNAASP-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Nc1ccc(-c2ccc(Oc3cccnc3)cc2)c[nH+]1 ZINC001240880841 1130500672 /nfs/dbraw/zinc/50/06/72/1130500672.db2.gz NPYPPGYCMOGLSM-UHFFFAOYSA-N 1 2 263.300 3.518 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cnn(CC(C)C)c2)cc1 ZINC001240887446 1130502347 /nfs/dbraw/zinc/50/23/47/1130502347.db2.gz JCASJVOCADOTKV-UHFFFAOYSA-N 1 2 271.364 3.568 20 0 CHADLO Brc1cccc2c(N3CC=CCC3)cc[nH+]c12 ZINC000679280012 1130509741 /nfs/dbraw/zinc/50/97/41/1130509741.db2.gz PZLYIIKXUOODQD-UHFFFAOYSA-N 1 2 289.176 3.764 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]Cc1cc2ccccc2s1 ZINC000679335622 1130516562 /nfs/dbraw/zinc/51/65/62/1130516562.db2.gz MVMLAGFGQWLORN-SNVBAGLBSA-N 1 2 271.389 3.784 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(C3CCC3)s2)C[C@H]1F ZINC000679357559 1130520642 /nfs/dbraw/zinc/52/06/42/1130520642.db2.gz AEJBDUCUSYWWIP-GXFFZTMASA-N 1 2 268.401 3.591 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(C3CCC3)s2)C[C@H]1F ZINC000679357559 1130520647 /nfs/dbraw/zinc/52/06/47/1130520647.db2.gz AEJBDUCUSYWWIP-GXFFZTMASA-N 1 2 268.401 3.591 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](c1ccc(F)c(C)c1)C1CC1 ZINC000679361971 1130521752 /nfs/dbraw/zinc/52/17/52/1130521752.db2.gz VZAADFLPRQLDQE-DIFFPNOSSA-N 1 2 287.382 3.968 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCCC(F)(F)[C@H](F)C2)[nH]1 ZINC001137371957 1130522119 /nfs/dbraw/zinc/52/21/19/1130522119.db2.gz DKSREVAXFNHIPD-GFCCVEGCSA-N 1 2 288.357 3.881 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCCC(F)(F)[C@H](F)C2)[nH]1 ZINC001137371957 1130522123 /nfs/dbraw/zinc/52/21/23/1130522123.db2.gz DKSREVAXFNHIPD-GFCCVEGCSA-N 1 2 288.357 3.881 20 0 CHADLO Cc1cc(-c2ccc(COC(C)(C)C)cc2)c[nH+]c1N ZINC001240943170 1130527688 /nfs/dbraw/zinc/52/76/88/1130527688.db2.gz UCLCGYYLCNFTOX-UHFFFAOYSA-N 1 2 270.376 3.954 20 0 CHADLO Cc1cn2cc(-c3cccc(C(=O)OC(C)C)c3)ccc2[nH+]1 ZINC001240945448 1130527850 /nfs/dbraw/zinc/52/78/50/1130527850.db2.gz AADRJZNUKWZRJM-UHFFFAOYSA-N 1 2 294.354 3.875 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cccc(C(=O)OC(C)C)c1 ZINC001240946770 1130528913 /nfs/dbraw/zinc/52/89/13/1130528913.db2.gz VMJSANQAYMEYOS-UHFFFAOYSA-N 1 2 294.354 3.875 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCOc3ccccc3C2)[nH]1 ZINC001137376449 1130534401 /nfs/dbraw/zinc/53/44/01/1130534401.db2.gz GZZWVYRTPGYNOQ-UHFFFAOYSA-N 1 2 284.403 3.707 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCOc3ccccc3C2)[nH]1 ZINC001137376449 1130534405 /nfs/dbraw/zinc/53/44/05/1130534405.db2.gz GZZWVYRTPGYNOQ-UHFFFAOYSA-N 1 2 284.403 3.707 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccc(C(C)(C)C)[nH]1 ZINC001137378494 1130538873 /nfs/dbraw/zinc/53/88/73/1130538873.db2.gz XMVRWMMJQMKLKN-CYBMUJFWSA-N 1 2 271.408 3.691 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccc(C(C)(C)C)[nH]1 ZINC001137378494 1130538878 /nfs/dbraw/zinc/53/88/78/1130538878.db2.gz XMVRWMMJQMKLKN-CYBMUJFWSA-N 1 2 271.408 3.691 20 0 CHADLO CCN(C(=O)CCc1c[nH]c[nH+]1)c1ccc2ccccc2c1 ZINC000793298955 1130539023 /nfs/dbraw/zinc/53/90/23/1130539023.db2.gz ZTNWXQWLACNAAT-UHFFFAOYSA-N 1 2 293.370 3.549 20 0 CHADLO CCN(C(=O)CCc1c[nH+]c[nH]1)c1ccc2ccccc2c1 ZINC000793298955 1130539030 /nfs/dbraw/zinc/53/90/30/1130539030.db2.gz ZTNWXQWLACNAAT-UHFFFAOYSA-N 1 2 293.370 3.549 20 0 CHADLO Cc1c[nH]c2ncc(-c3cccc(-c4c[nH+]cn4C)c3)cc12 ZINC001240964040 1130540321 /nfs/dbraw/zinc/54/03/21/1130540321.db2.gz ZTPNOHNHDCUYSP-UHFFFAOYSA-N 1 2 288.354 3.939 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2ccc3occc(=O)c3c2)cc1 ZINC001240970851 1130543829 /nfs/dbraw/zinc/54/38/29/1130543829.db2.gz XZNJGZPROJWNAJ-UHFFFAOYSA-N 1 2 293.322 3.822 20 0 CHADLO CCC(CC)C[NH2+]c1cc(OC)c(OC)c(C)c1OC ZINC000793395810 1130552769 /nfs/dbraw/zinc/55/27/69/1130552769.db2.gz UASUFBBCLFOJTG-UHFFFAOYSA-N 1 2 281.396 3.869 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cccc(-c2ccncc2)c1 ZINC001137388911 1130556554 /nfs/dbraw/zinc/55/65/54/1130556554.db2.gz XPCYEYWLDDWGLY-IRXDYDNUSA-N 1 2 288.341 3.631 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1cccc(-c2ccncc2)c1 ZINC001137388911 1130556562 /nfs/dbraw/zinc/55/65/62/1130556562.db2.gz XPCYEYWLDDWGLY-IRXDYDNUSA-N 1 2 288.341 3.631 20 0 CHADLO Cc1nc(N2CC[C@@H]2c2cccc(F)c2)c2c([nH+]1)CCCC2 ZINC000679838626 1130559039 /nfs/dbraw/zinc/55/90/39/1130559039.db2.gz AKPOYUZDJFNSOS-QGZVFWFLSA-N 1 2 297.377 3.754 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC000679942965 1130564033 /nfs/dbraw/zinc/56/40/33/1130564033.db2.gz ANFQTUMODMPCFU-LBPRGKRZSA-N 1 2 273.380 3.526 20 0 CHADLO CCC(CC)CC(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000759161219 1130640325 /nfs/dbraw/zinc/64/03/25/1130640325.db2.gz CUTAJMFZOABFNY-UHFFFAOYSA-N 1 2 297.468 3.750 20 0 CHADLO CCCC(=O)[C@H](CCC)Oc1ccc(C(=[NH2+])OCC)cc1 ZINC001234589279 1130659496 /nfs/dbraw/zinc/65/94/96/1130659496.db2.gz ZDAZKCGRZRYSSO-INIZCTEOSA-N 1 2 291.391 3.965 20 0 CHADLO C[N@H+](Cc1cccc(C(C)(C)C)c1)[C@H]1CC(C)(C)OC1=O ZINC001170661084 1130668614 /nfs/dbraw/zinc/66/86/14/1130668614.db2.gz IEGWVAINZKXHFP-HNNXBMFYSA-N 1 2 289.419 3.510 20 0 CHADLO C[N@@H+](Cc1cccc(C(C)(C)C)c1)[C@H]1CC(C)(C)OC1=O ZINC001170661084 1130668618 /nfs/dbraw/zinc/66/86/18/1130668618.db2.gz IEGWVAINZKXHFP-HNNXBMFYSA-N 1 2 289.419 3.510 20 0 CHADLO CSc1ncc(C)cc1-c1cc(C)c2[nH+]ccn2c1 ZINC001244881903 1130675656 /nfs/dbraw/zinc/67/56/56/1130675656.db2.gz ZSTZIDALAJRSJK-UHFFFAOYSA-N 1 2 269.373 3.735 20 0 CHADLO CCC[N@H+](C)Cc1c(F)cc(F)cc1Br ZINC001234735265 1130676860 /nfs/dbraw/zinc/67/68/60/1130676860.db2.gz VMGDCJUDMRQCBY-UHFFFAOYSA-N 1 2 278.140 3.569 20 0 CHADLO CCC[N@@H+](C)Cc1c(F)cc(F)cc1Br ZINC001234735265 1130676863 /nfs/dbraw/zinc/67/68/63/1130676863.db2.gz VMGDCJUDMRQCBY-UHFFFAOYSA-N 1 2 278.140 3.569 20 0 CHADLO CC(C)[C@@H](C)[N@H+](C)Cn1nc(C(F)(F)F)sc1=S ZINC000780428042 1130680902 /nfs/dbraw/zinc/68/09/02/1130680902.db2.gz AYKIKENAZHCDAI-SSDOTTSWSA-N 1 2 299.387 3.627 20 0 CHADLO CC(C)[C@@H](C)[N@@H+](C)Cn1nc(C(F)(F)F)sc1=S ZINC000780428042 1130680904 /nfs/dbraw/zinc/68/09/04/1130680904.db2.gz AYKIKENAZHCDAI-SSDOTTSWSA-N 1 2 299.387 3.627 20 0 CHADLO FC(F)(F)c1cc(Cl)cc(C[NH2+]Cc2cocn2)c1 ZINC000780517624 1130682987 /nfs/dbraw/zinc/68/29/87/1130682987.db2.gz HXCJGHNVFVDDMX-UHFFFAOYSA-N 1 2 290.672 3.637 20 0 CHADLO CCCCCC[C@](C)(CC)C(=O)OCc1c[nH+]cn1C ZINC000747783672 1130684855 /nfs/dbraw/zinc/68/48/55/1130684855.db2.gz SOEYKFVGEBWLFT-INIZCTEOSA-N 1 2 280.412 3.850 20 0 CHADLO Cc1cc(Cl)c(C[N@@H+]2CCCOCC2)c(Cl)c1 ZINC001235178438 1130718692 /nfs/dbraw/zinc/71/86/92/1130718692.db2.gz ZWEOPACENDUOSA-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO Cc1cc(Cl)c(C[N@H+]2CCCOCC2)c(Cl)c1 ZINC001235178438 1130718698 /nfs/dbraw/zinc/71/86/98/1130718698.db2.gz ZWEOPACENDUOSA-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO c1cc(-c2csc(C[NH+]3CC4(C3)CCCO4)c2)cs1 ZINC001235176732 1130719112 /nfs/dbraw/zinc/71/91/12/1130719112.db2.gz NIDXUPAINODTJB-UHFFFAOYSA-N 1 2 291.441 3.841 20 0 CHADLO Oc1cccc2c1CC[N@H+](Cc1cc3cccc(F)c3[nH]1)C2 ZINC001235239467 1130726324 /nfs/dbraw/zinc/72/63/24/1130726324.db2.gz PVBCWOUJJKAYDU-UHFFFAOYSA-N 1 2 296.345 3.571 20 0 CHADLO Oc1cccc2c1CC[N@@H+](Cc1cc3cccc(F)c3[nH]1)C2 ZINC001235239467 1130726329 /nfs/dbraw/zinc/72/63/29/1130726329.db2.gz PVBCWOUJJKAYDU-UHFFFAOYSA-N 1 2 296.345 3.571 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@H](c3ccccc3)C2)nc1 ZINC001235412075 1130740760 /nfs/dbraw/zinc/74/07/60/1130740760.db2.gz QQWDLJJVXMBQRN-CQSZACIVSA-N 1 2 287.794 3.510 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@H](c3ccccc3)C2)nc1 ZINC001235412075 1130740765 /nfs/dbraw/zinc/74/07/65/1130740765.db2.gz QQWDLJJVXMBQRN-CQSZACIVSA-N 1 2 287.794 3.510 20 0 CHADLO C[N@H+](Cc1ccc2ccccc2c1)Cc1ncc(Cl)cn1 ZINC001235424633 1130742155 /nfs/dbraw/zinc/74/21/55/1130742155.db2.gz VKNHLMQATPZJPA-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@@H+](Cc1ccc2ccccc2c1)Cc1ncc(Cl)cn1 ZINC001235424633 1130742161 /nfs/dbraw/zinc/74/21/61/1130742161.db2.gz VKNHLMQATPZJPA-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO CCc1cnc(C[N@H+](C)C[C@]2(C)CC2(Cl)Cl)s1 ZINC000827963388 1130763781 /nfs/dbraw/zinc/76/37/81/1130763781.db2.gz JZZPDDFBXFRYCO-NSHDSACASA-N 1 2 293.263 3.721 20 0 CHADLO CCc1cnc(C[N@@H+](C)C[C@]2(C)CC2(Cl)Cl)s1 ZINC000827963388 1130763785 /nfs/dbraw/zinc/76/37/85/1130763785.db2.gz JZZPDDFBXFRYCO-NSHDSACASA-N 1 2 293.263 3.721 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@@H]2c2ccccc2)cc(F)c1F ZINC001235451854 1130745938 /nfs/dbraw/zinc/74/59/38/1130745938.db2.gz SQGKMMNJFLVPNA-OAHLLOKOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cc(C[N@H+]2CC[C@@H]2c2ccccc2)cc(F)c1F ZINC001235451854 1130745944 /nfs/dbraw/zinc/74/59/44/1130745944.db2.gz SQGKMMNJFLVPNA-OAHLLOKOSA-N 1 2 289.325 3.920 20 0 CHADLO C[N@H+](Cc1cncc(F)c1Cl)[C@H]1CCc2ccccc21 ZINC001235503858 1130748678 /nfs/dbraw/zinc/74/86/78/1130748678.db2.gz KCKISNCYIDZUHR-HNNXBMFYSA-N 1 2 290.769 3.993 20 0 CHADLO C[N@@H+](Cc1cncc(F)c1Cl)[C@H]1CCc2ccccc21 ZINC001235503858 1130748684 /nfs/dbraw/zinc/74/86/84/1130748684.db2.gz KCKISNCYIDZUHR-HNNXBMFYSA-N 1 2 290.769 3.993 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1nccc(C)c1Cl)C2 ZINC001235596133 1130757211 /nfs/dbraw/zinc/75/72/11/1130757211.db2.gz STABRCOMKTUKKW-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1nccc(C)c1Cl)C2 ZINC001235596133 1130757217 /nfs/dbraw/zinc/75/72/17/1130757217.db2.gz STABRCOMKTUKKW-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO CC(C)N(Cc1cc[nH+]c(N(C)C)c1)c1ccc(F)cc1 ZINC000782177826 1130758306 /nfs/dbraw/zinc/75/83/06/1130758306.db2.gz XXRSJHRUFZKAFX-UHFFFAOYSA-N 1 2 287.382 3.702 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccccc1-c1ccncc1 ZINC001235613904 1130758768 /nfs/dbraw/zinc/75/87/68/1130758768.db2.gz YNWZWWPESGUAQQ-HOTGVXAUSA-N 1 2 288.341 3.631 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1ccccc1-c1ccncc1 ZINC001235613904 1130758773 /nfs/dbraw/zinc/75/87/73/1130758773.db2.gz YNWZWWPESGUAQQ-HOTGVXAUSA-N 1 2 288.341 3.631 20 0 CHADLO Cc1nc2cc(C[N@@H+]3CC[C@](C)(F)[C@@H](F)C3)ccc2s1 ZINC001235849702 1130787883 /nfs/dbraw/zinc/78/78/83/1130787883.db2.gz FAMCRXOYOPSDTP-GJZGRUSLSA-N 1 2 296.386 3.877 20 0 CHADLO Cc1nc2cc(C[N@H+]3CC[C@](C)(F)[C@@H](F)C3)ccc2s1 ZINC001235849702 1130787888 /nfs/dbraw/zinc/78/78/88/1130787888.db2.gz FAMCRXOYOPSDTP-GJZGRUSLSA-N 1 2 296.386 3.877 20 0 CHADLO C[C@H]1CCC[C@@H](CO[NH+]=C(N)Cc2cccc(F)c2)C1 ZINC000783157266 1130800122 /nfs/dbraw/zinc/80/01/22/1130800122.db2.gz HSPXLOCJMVLRSD-GXTWGEPZSA-N 1 2 278.371 3.693 20 0 CHADLO C[C@@H]1CCC[C@@H](CO[NH+]=C(N)Cc2cccc(F)c2)C1 ZINC000783157269 1130800138 /nfs/dbraw/zinc/80/01/38/1130800138.db2.gz HSPXLOCJMVLRSD-TZMCWYRMSA-N 1 2 278.371 3.693 20 0 CHADLO Cc1cccc(C)c1C[NH2+][C@@H](c1ncc[nH]1)c1ccccc1 ZINC000783252839 1130808366 /nfs/dbraw/zinc/80/83/66/1130808366.db2.gz DHNMQPMMCWTVSY-GOSISDBHSA-N 1 2 291.398 3.906 20 0 CHADLO Cc1cc2c[nH+]c(-c3ccc(OC(F)(F)F)cc3)nc2[nH]1 ZINC001235933015 1130809728 /nfs/dbraw/zinc/80/97/28/1130809728.db2.gz ZJHYOYBVRNWMFP-UHFFFAOYSA-N 1 2 293.248 3.784 20 0 CHADLO C[C@H](Nc1[nH+]cccc1-n1cccc1)c1cccc(N)c1 ZINC001170727631 1130827739 /nfs/dbraw/zinc/82/77/39/1130827739.db2.gz KCQNRNNRPHVQKG-ZDUSSCGKSA-N 1 2 278.359 3.628 20 0 CHADLO COC(=[NH2+])c1cccc(-c2cccnc2OC(C)C)c1 ZINC001236106395 1130864038 /nfs/dbraw/zinc/86/40/38/1130864038.db2.gz OZOXWGROISJOOU-UHFFFAOYSA-N 1 2 270.332 3.508 20 0 CHADLO COc1cc(F)c(-c2ccc(-n3cc[nH+]c3)cc2)cc1OC ZINC001236305883 1130910673 /nfs/dbraw/zinc/91/06/73/1130910673.db2.gz CYRAAPLFYAQUMV-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO Nc1ccc(-c2ccc(NCc3ccccc3)cc2)c[nH+]1 ZINC001236315471 1130913062 /nfs/dbraw/zinc/91/30/62/1130913062.db2.gz ARMHFRMOPNLPND-UHFFFAOYSA-N 1 2 275.355 3.943 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2ccc(CF)cc2)n1 ZINC000796667170 1130922452 /nfs/dbraw/zinc/92/24/52/1130922452.db2.gz GBSCGMLQTQPVAK-HNNXBMFYSA-N 1 2 289.354 3.575 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2ccc(CF)cc2)n1 ZINC000796667170 1130922458 /nfs/dbraw/zinc/92/24/58/1130922458.db2.gz GBSCGMLQTQPVAK-HNNXBMFYSA-N 1 2 289.354 3.575 20 0 CHADLO Cc1ccc(F)c(-c2ccc(-c3c[nH+]cn3C)cc2)c1O ZINC001236413708 1130932813 /nfs/dbraw/zinc/93/28/13/1130932813.db2.gz DQXWEHSBFJNFLN-UHFFFAOYSA-N 1 2 282.318 3.907 20 0 CHADLO Cc1ccc(-c2ccc(C[N@@H+]3C[C@@H]4CC[C@H]3CN4)cc2)cc1 ZINC001236427174 1130938899 /nfs/dbraw/zinc/93/88/99/1130938899.db2.gz AEXGYWFEYDTKGN-PMACEKPBSA-N 1 2 292.426 3.598 20 0 CHADLO Cc1ccc(-c2ccc(C[N@H+]3C[C@@H]4CC[C@H]3CN4)cc2)cc1 ZINC001236427174 1130938903 /nfs/dbraw/zinc/93/89/03/1130938903.db2.gz AEXGYWFEYDTKGN-PMACEKPBSA-N 1 2 292.426 3.598 20 0 CHADLO COc1nc(C(C)C)ccc1-c1ccc2[nH+]ccn2c1C ZINC001236447446 1130944616 /nfs/dbraw/zinc/94/46/16/1130944616.db2.gz MRBILKGSLCEAFF-UHFFFAOYSA-N 1 2 281.359 3.837 20 0 CHADLO CCOc1ncc(Cl)cc1-c1cccc2[nH+]c(C)cn21 ZINC001236496275 1130957046 /nfs/dbraw/zinc/95/70/46/1130957046.db2.gz QPIQJBBSDKKRSF-UHFFFAOYSA-N 1 2 287.750 3.757 20 0 CHADLO CCOc1ncc(Cl)cc1-c1c[nH+]c(N(C)C)cc1C ZINC001236495184 1130957548 /nfs/dbraw/zinc/95/75/48/1130957548.db2.gz GRCRVNANERFHAD-UHFFFAOYSA-N 1 2 291.782 3.570 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+]Cc2cccc(O)c2Cl)c1 ZINC000815139507 1130966115 /nfs/dbraw/zinc/96/61/15/1130966115.db2.gz IEPLKNHCVKDUAV-UHFFFAOYSA-N 1 2 297.185 3.692 20 0 CHADLO CC(C)Oc1cc(-c2cccc(-c3c[nH+]cn3C)c2)ccn1 ZINC001236562656 1130975448 /nfs/dbraw/zinc/97/54/48/1130975448.db2.gz VIVPRDIRGHHMOP-UHFFFAOYSA-N 1 2 293.370 3.936 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@@H+]1CCn2cccc2C1 ZINC001236606204 1130983747 /nfs/dbraw/zinc/98/37/47/1130983747.db2.gz VWEDCIRJYGXIJG-UHFFFAOYSA-N 1 2 296.201 3.514 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@H+]1CCn2cccc2C1 ZINC001236606204 1130983749 /nfs/dbraw/zinc/98/37/49/1130983749.db2.gz VWEDCIRJYGXIJG-UHFFFAOYSA-N 1 2 296.201 3.514 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(Cl)c(C(F)(F)F)c2)C1 ZINC001236628499 1130985814 /nfs/dbraw/zinc/98/58/14/1130985814.db2.gz IMXCXHDYAFYFFD-MRVPVSSYSA-N 1 2 278.705 3.596 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(Cl)c(C(F)(F)F)c2)C1 ZINC001236628499 1130985818 /nfs/dbraw/zinc/98/58/18/1130985818.db2.gz IMXCXHDYAFYFFD-MRVPVSSYSA-N 1 2 278.705 3.596 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCc3ccccc3C2)n1 ZINC001236635605 1130986885 /nfs/dbraw/zinc/98/68/85/1130986885.db2.gz JWNCNCXJABYPLE-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCc3ccccc3C2)n1 ZINC001236635605 1130986889 /nfs/dbraw/zinc/98/68/89/1130986889.db2.gz JWNCNCXJABYPLE-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCCCOC1C[NH+](Cc2cc3ccccc3cc2OC)C1 ZINC001236675976 1130990522 /nfs/dbraw/zinc/99/05/22/1130990522.db2.gz QSGNRPVTLIKFIZ-UHFFFAOYSA-N 1 2 299.414 3.849 20 0 CHADLO CC1=C(Br)C[N@H+](C[C@H]2CC2(Cl)Cl)CC1 ZINC000797529177 1130991139 /nfs/dbraw/zinc/99/11/39/1130991139.db2.gz KKPZHBINVUZNPD-MRVPVSSYSA-N 1 2 299.039 3.555 20 0 CHADLO CC1=C(Br)C[N@@H+](C[C@H]2CC2(Cl)Cl)CC1 ZINC000797529177 1130991146 /nfs/dbraw/zinc/99/11/46/1130991146.db2.gz KKPZHBINVUZNPD-MRVPVSSYSA-N 1 2 299.039 3.555 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cccc3ccoc32)CC1(F)F ZINC001236730566 1131001482 /nfs/dbraw/zinc/00/14/82/1131001482.db2.gz QWBSBZMAGCSTQE-NSHDSACASA-N 1 2 265.303 3.910 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cccc3ccoc32)CC1(F)F ZINC001236730566 1131001486 /nfs/dbraw/zinc/00/14/86/1131001486.db2.gz QWBSBZMAGCSTQE-NSHDSACASA-N 1 2 265.303 3.910 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1cc(Cl)c(N)c(Cl)c1 ZINC000815644220 1131014821 /nfs/dbraw/zinc/01/48/21/1131014821.db2.gz VHKOBPQJXTXDRR-LURJTMIESA-N 1 2 283.149 3.881 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1cc(F)c(F)c(Br)c1 ZINC001236851011 1131021920 /nfs/dbraw/zinc/02/19/20/1131021920.db2.gz ZSWHQAMHVIPABB-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1cc(F)c(F)c(Br)c1 ZINC001236851011 1131021924 /nfs/dbraw/zinc/02/19/24/1131021924.db2.gz ZSWHQAMHVIPABB-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C/C(=C\c1ccc(F)cc1)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000798262350 1131032319 /nfs/dbraw/zinc/03/23/19/1131032319.db2.gz LERSDOFNINCZKU-ZRDIBKRKSA-N 1 2 295.317 3.515 20 0 CHADLO Cc1cccc(-c2ccc(C[N@@H+]3CCO[C@H](C)C3)cn2)c1C ZINC001236986943 1131033645 /nfs/dbraw/zinc/03/36/45/1131033645.db2.gz USVALHZYGJWHNV-OAHLLOKOSA-N 1 2 296.414 3.586 20 0 CHADLO Cc1cccc(-c2ccc(C[N@H+]3CCO[C@H](C)C3)cn2)c1C ZINC001236986943 1131033650 /nfs/dbraw/zinc/03/36/50/1131033650.db2.gz USVALHZYGJWHNV-OAHLLOKOSA-N 1 2 296.414 3.586 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N[C@@H]3CCOC[C@H]3C)c2)cc1 ZINC001170814341 1131060011 /nfs/dbraw/zinc/06/00/11/1131060011.db2.gz AXIPEPYCAOMDRM-RHSMWYFYSA-N 1 2 282.387 3.894 20 0 CHADLO COc1ccc2[nH]c(-c3cc(C)[nH+]c(C)c3)cc2c1 ZINC001245591501 1131063125 /nfs/dbraw/zinc/06/31/25/1131063125.db2.gz GYOHPJJHYUWAFK-UHFFFAOYSA-N 1 2 252.317 3.855 20 0 CHADLO CC(C)C[C@H](C)CO[NH+]=C(N)Cc1cccc(F)c1 ZINC000799175989 1131074193 /nfs/dbraw/zinc/07/41/93/1131074193.db2.gz AAHIVPIPLIEDRW-LBPRGKRZSA-N 1 2 266.360 3.549 20 0 CHADLO COc1cccc2c(N[C@H](C)Cc3ccco3)cc[nH+]c12 ZINC000799319923 1131079408 /nfs/dbraw/zinc/07/94/08/1131079408.db2.gz ONFGZVCWHDXBHH-GFCCVEGCSA-N 1 2 282.343 3.880 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2C[C@H]3CC[C@@H](C2)C3=O)c1Cl ZINC001237330113 1131085325 /nfs/dbraw/zinc/08/53/25/1131085325.db2.gz HEFRIYRPXIRIGN-PHIMTYICSA-N 1 2 298.213 3.713 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2C[C@H]3CC[C@@H](C2)C3=O)c1Cl ZINC001237330113 1131085333 /nfs/dbraw/zinc/08/53/33/1131085333.db2.gz HEFRIYRPXIRIGN-PHIMTYICSA-N 1 2 298.213 3.713 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cnc(-c3ccccc3)o2)C[C@H]1F ZINC001237362558 1131092879 /nfs/dbraw/zinc/09/28/79/1131092879.db2.gz ABVFGKLZQTXJMQ-GDBMZVCRSA-N 1 2 292.329 3.614 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cnc(-c3ccccc3)o2)C[C@H]1F ZINC001237362558 1131092886 /nfs/dbraw/zinc/09/28/86/1131092886.db2.gz ABVFGKLZQTXJMQ-GDBMZVCRSA-N 1 2 292.329 3.614 20 0 CHADLO Fc1cc(C[N@H+](Cc2ccco2)C2CC2)cnc1Cl ZINC001237408088 1131097725 /nfs/dbraw/zinc/09/77/25/1131097725.db2.gz SHMAVBATLYMKAQ-UHFFFAOYSA-N 1 2 280.730 3.632 20 0 CHADLO Fc1cc(C[N@@H+](Cc2ccco2)C2CC2)cnc1Cl ZINC001237408088 1131097730 /nfs/dbraw/zinc/09/77/30/1131097730.db2.gz SHMAVBATLYMKAQ-UHFFFAOYSA-N 1 2 280.730 3.632 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnn(C)c2Cl)[C@H](c2ccco2)C1 ZINC000816517207 1131104032 /nfs/dbraw/zinc/10/40/32/1131104032.db2.gz IJGITTJFFYOWIU-YPMHNXCESA-N 1 2 293.798 3.640 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnn(C)c2Cl)[C@H](c2ccco2)C1 ZINC000816517207 1131104033 /nfs/dbraw/zinc/10/40/33/1131104033.db2.gz IJGITTJFFYOWIU-YPMHNXCESA-N 1 2 293.798 3.640 20 0 CHADLO CCC1CC[NH+](Cc2cc(Cl)nc(Cl)c2O)CC1 ZINC001237518640 1131109552 /nfs/dbraw/zinc/10/95/52/1131109552.db2.gz MHVGOFSFLYFISG-UHFFFAOYSA-N 1 2 289.206 3.716 20 0 CHADLO COC[C@H]1CCC[N@@H+]1Cc1c(Cl)cc(F)cc1Cl ZINC001237592442 1131117260 /nfs/dbraw/zinc/11/72/60/1131117260.db2.gz IRGVVAVSNAHODF-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@H]1CCC[N@H+]1Cc1c(Cl)cc(F)cc1Cl ZINC001237592442 1131117264 /nfs/dbraw/zinc/11/72/64/1131117264.db2.gz IRGVVAVSNAHODF-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1Cl)[N@@H+]1CC(C)(C)C1(C)C ZINC001116211586 1131134461 /nfs/dbraw/zinc/13/44/61/1131134461.db2.gz KZOWSRWIPFKOLO-LLVKDONJSA-N 1 2 294.826 3.787 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1Cl)[N@H+]1CC(C)(C)C1(C)C ZINC001116211586 1131134463 /nfs/dbraw/zinc/13/44/63/1131134463.db2.gz KZOWSRWIPFKOLO-LLVKDONJSA-N 1 2 294.826 3.787 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(C)cc2)c(Cl)n1 ZINC001237803321 1131142600 /nfs/dbraw/zinc/14/26/00/1131142600.db2.gz OYFTXQCVOUTKHG-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(C)cc2)c(Cl)n1 ZINC001237803321 1131142602 /nfs/dbraw/zinc/14/26/02/1131142602.db2.gz OYFTXQCVOUTKHG-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cc2c(ncnc2NCc2cc(C)[nH+]c(C)c2)s1 ZINC000817124139 1131143828 /nfs/dbraw/zinc/14/38/28/1131143828.db2.gz PTARYXDUYJQLON-UHFFFAOYSA-N 1 2 284.388 3.624 20 0 CHADLO COc1ccoc1C[N@@H+]1CCC[C@@H]1c1cc(F)ccc1F ZINC001237871326 1131147127 /nfs/dbraw/zinc/14/71/27/1131147127.db2.gz ZZKMNPZSXGIYJW-CQSZACIVSA-N 1 2 293.313 3.904 20 0 CHADLO COc1ccoc1C[N@H+]1CCC[C@@H]1c1cc(F)ccc1F ZINC001237871326 1131147129 /nfs/dbraw/zinc/14/71/29/1131147129.db2.gz ZZKMNPZSXGIYJW-CQSZACIVSA-N 1 2 293.313 3.904 20 0 CHADLO Oc1c(F)cc(C[NH+]2CC3CCC(CC3)C2)cc1Cl ZINC001237992612 1131158059 /nfs/dbraw/zinc/15/80/59/1131158059.db2.gz NGCFZIPWVHDJLF-UHFFFAOYSA-N 1 2 283.774 3.807 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237991175 1131158463 /nfs/dbraw/zinc/15/84/63/1131158463.db2.gz WPOUMRQNNIBDRT-AOOOYVTPSA-N 1 2 271.763 3.948 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237991175 1131158464 /nfs/dbraw/zinc/15/84/64/1131158464.db2.gz WPOUMRQNNIBDRT-AOOOYVTPSA-N 1 2 271.763 3.948 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cnc(F)cc2Cl)c1 ZINC001238021327 1131162229 /nfs/dbraw/zinc/16/22/29/1131162229.db2.gz BSGWRNVUVHLEHJ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cnc(F)cc2Cl)c1 ZINC001238021327 1131162232 /nfs/dbraw/zinc/16/22/32/1131162232.db2.gz BSGWRNVUVHLEHJ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(F)cc2Cl)Cc2ccccc21 ZINC001238017063 1131162495 /nfs/dbraw/zinc/16/24/95/1131162495.db2.gz JOGYDQDDNCVWOV-LLVKDONJSA-N 1 2 290.769 3.993 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(F)cc2Cl)Cc2ccccc21 ZINC001238017063 1131162497 /nfs/dbraw/zinc/16/24/97/1131162497.db2.gz JOGYDQDDNCVWOV-LLVKDONJSA-N 1 2 290.769 3.993 20 0 CHADLO C[N@@H+](Cc1cc(F)cc(F)c1)Cc1ccc(Cl)cn1 ZINC001116804723 1131164523 /nfs/dbraw/zinc/16/45/23/1131164523.db2.gz HVNCFUWRBFCUND-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@H+](Cc1cc(F)cc(F)c1)Cc1ccc(Cl)cn1 ZINC001116804723 1131164522 /nfs/dbraw/zinc/16/45/22/1131164522.db2.gz HVNCFUWRBFCUND-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO CCOC(=O)c1c(Cl)cccc1C[N@@H+]1CCC[C@H]2C[C@H]21 ZINC001238045965 1131165027 /nfs/dbraw/zinc/16/50/27/1131165027.db2.gz FFAWFSNOFBUROW-SMDDNHRTSA-N 1 2 293.794 3.501 20 0 CHADLO CCOC(=O)c1c(Cl)cccc1C[N@H+]1CCC[C@H]2C[C@H]21 ZINC001238045965 1131165030 /nfs/dbraw/zinc/16/50/30/1131165030.db2.gz FFAWFSNOFBUROW-SMDDNHRTSA-N 1 2 293.794 3.501 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ncsc3C2)cc(C)c1Cl ZINC001238095550 1131170264 /nfs/dbraw/zinc/17/02/64/1131170264.db2.gz IUVJOFMYMSPMSN-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ncsc3C2)cc(C)c1Cl ZINC001238095550 1131170267 /nfs/dbraw/zinc/17/02/67/1131170267.db2.gz IUVJOFMYMSPMSN-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1Cc1cc(C)c(Cl)c(C)c1 ZINC001238095613 1131171276 /nfs/dbraw/zinc/17/12/76/1131171276.db2.gz JTMQTKQPLWULGV-CQSZACIVSA-N 1 2 267.800 3.568 20 0 CHADLO CC[C@@H]1COCC[N@H+]1Cc1cc(C)c(Cl)c(C)c1 ZINC001238095613 1131171278 /nfs/dbraw/zinc/17/12/78/1131171278.db2.gz JTMQTKQPLWULGV-CQSZACIVSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CCc2cccnc2CC1 ZINC000817579739 1131171906 /nfs/dbraw/zinc/17/19/06/1131171906.db2.gz DFNBAJHHFUUSAF-INIZCTEOSA-N 1 2 295.430 3.816 20 0 CHADLO CC(C)SCCSCc1cc[nH+]c(N(C)C)c1 ZINC001117104752 1131172346 /nfs/dbraw/zinc/17/23/46/1131172346.db2.gz DULQSMXKUIQPJC-UHFFFAOYSA-N 1 2 270.467 3.522 20 0 CHADLO CC1(C)CCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238144625 1131174266 /nfs/dbraw/zinc/17/42/66/1131174266.db2.gz XMPRLRZXHSMHJD-UHFFFAOYSA-N 1 2 257.736 3.559 20 0 CHADLO CC1(C)CCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238144625 1131174269 /nfs/dbraw/zinc/17/42/69/1131174269.db2.gz XMPRLRZXHSMHJD-UHFFFAOYSA-N 1 2 257.736 3.559 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCC[C@H]3CCC[C@H]32)cc1Cl ZINC001238150031 1131174891 /nfs/dbraw/zinc/17/48/91/1131174891.db2.gz PPYGSVYCBZBDCN-QMTHXVAHSA-N 1 2 283.774 3.949 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCC[C@H]3CCC[C@H]32)cc1Cl ZINC001238150031 1131174897 /nfs/dbraw/zinc/17/48/97/1131174897.db2.gz PPYGSVYCBZBDCN-QMTHXVAHSA-N 1 2 283.774 3.949 20 0 CHADLO CC(C)[N@@H+](Cc1cc(Cl)c(O)cc1F)CC1CC1 ZINC001238147725 1131174975 /nfs/dbraw/zinc/17/49/75/1131174975.db2.gz ATHCUZUNEKTDKP-UHFFFAOYSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238153145 1131176955 /nfs/dbraw/zinc/17/69/55/1131176955.db2.gz XNJJMIGRZYSQGL-VHSXEESVSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238153145 1131176958 /nfs/dbraw/zinc/17/69/58/1131176958.db2.gz XNJJMIGRZYSQGL-VHSXEESVSA-N 1 2 271.763 3.805 20 0 CHADLO Cc1c(Cl)c(Br)ccc1C[NH+]1CC(C)C1 ZINC001238214732 1131181010 /nfs/dbraw/zinc/18/10/10/1131181010.db2.gz GMWCCPFTDUGMAS-UHFFFAOYSA-N 1 2 288.616 3.863 20 0 CHADLO CCC[N@H+](CC)Cc1c(Cl)cncc1Br ZINC001238391635 1131192675 /nfs/dbraw/zinc/19/26/75/1131192675.db2.gz QSAQFXHKEISPPG-UHFFFAOYSA-N 1 2 291.620 3.729 20 0 CHADLO CCC[N@@H+](CC)Cc1c(Cl)cncc1Br ZINC001238391635 1131192679 /nfs/dbraw/zinc/19/26/79/1131192679.db2.gz QSAQFXHKEISPPG-UHFFFAOYSA-N 1 2 291.620 3.729 20 0 CHADLO Cc1cccc2nc(NCCCCNc3cccc[nH+]3)oc21 ZINC001117755665 1131197065 /nfs/dbraw/zinc/19/70/65/1131197065.db2.gz XDMVZAKIYHPPGC-UHFFFAOYSA-N 1 2 296.374 3.835 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccnc(Cl)c2F)CCC1(F)F ZINC001139661442 1131198044 /nfs/dbraw/zinc/19/80/44/1131198044.db2.gz PEANPXFBIZNLNB-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccnc(Cl)c2F)CCC1(F)F ZINC001139661442 1131198047 /nfs/dbraw/zinc/19/80/47/1131198047.db2.gz PEANPXFBIZNLNB-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@]1(CO)CCC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC001117784057 1131199127 /nfs/dbraw/zinc/19/91/27/1131199127.db2.gz NIWOZTDBWGBLCG-LBPRGKRZSA-N 1 2 294.247 3.649 20 0 CHADLO C[C@]1(CO)CCC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC001117784057 1131199130 /nfs/dbraw/zinc/19/91/30/1131199130.db2.gz NIWOZTDBWGBLCG-LBPRGKRZSA-N 1 2 294.247 3.649 20 0 CHADLO Cc1cnc(C[N@@H+](CC(=O)c2ccccc2)C(C)C)c(C)c1 ZINC001238441373 1131199852 /nfs/dbraw/zinc/19/98/52/1131199852.db2.gz PYNRRPJHWCKDGK-UHFFFAOYSA-N 1 2 296.414 3.792 20 0 CHADLO Cc1cnc(C[N@H+](CC(=O)c2ccccc2)C(C)C)c(C)c1 ZINC001238441373 1131199855 /nfs/dbraw/zinc/19/98/55/1131199855.db2.gz PYNRRPJHWCKDGK-UHFFFAOYSA-N 1 2 296.414 3.792 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccco2)C2CC2)ncc1Cl ZINC001238430955 1131200431 /nfs/dbraw/zinc/20/04/31/1131200431.db2.gz UCQCZNGMPQLSDL-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccco2)C2CC2)ncc1Cl ZINC001238430955 1131200435 /nfs/dbraw/zinc/20/04/35/1131200435.db2.gz UCQCZNGMPQLSDL-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccnn1C1CCCCC1 ZINC000818190047 1131204618 /nfs/dbraw/zinc/20/46/18/1131204618.db2.gz BUAOTKJPAMWFOV-UHFFFAOYSA-N 1 2 287.411 3.778 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(OC(F)F)cc1F ZINC000818213269 1131205620 /nfs/dbraw/zinc/20/56/20/1131205620.db2.gz HNDOBOAZZNZGCP-UHFFFAOYSA-N 1 2 299.296 3.817 20 0 CHADLO C[NH+](C)Cc1c(F)ccc(OCc2ccccc2)c1F ZINC001238482735 1131207760 /nfs/dbraw/zinc/20/77/60/1131207760.db2.gz UYHIZZAEACELBJ-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO Cc1c[nH+]c(CCSCc2ncc(Cl)n2C)c(C)c1 ZINC001118195951 1131215274 /nfs/dbraw/zinc/21/52/74/1131215274.db2.gz UJHOMBSSDSMXQV-UHFFFAOYSA-N 1 2 295.839 3.561 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCc3ccncc3C2)c1 ZINC001238570330 1131217878 /nfs/dbraw/zinc/21/78/78/1131217878.db2.gz XLEQNQQJCPAMMB-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCc3ccncc3C2)c1 ZINC001238570330 1131217881 /nfs/dbraw/zinc/21/78/81/1131217881.db2.gz XLEQNQQJCPAMMB-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO COc1cc([C@H](C)Nc2ccc([NH+]3CCCC3)cc2)ccn1 ZINC001118325257 1131218910 /nfs/dbraw/zinc/21/89/10/1131218910.db2.gz GHHUYAYCFUGMIY-AWEZNQCLSA-N 1 2 297.402 3.864 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1c(F)cc(C)cc1OC ZINC001238590455 1131219606 /nfs/dbraw/zinc/21/96/06/1131219606.db2.gz FMRJQJDDGPFHPR-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1c(F)cc(C)cc1OC ZINC001238590455 1131219609 /nfs/dbraw/zinc/21/96/09/1131219609.db2.gz FMRJQJDDGPFHPR-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2CCCC[C@@](C)(F)C2)cnc1F ZINC001238603318 1131220802 /nfs/dbraw/zinc/22/08/02/1131220802.db2.gz IIHQBQWHTAIBRC-MRXNPFEDSA-N 1 2 298.377 3.722 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2CCCC[C@@](C)(F)C2)cnc1F ZINC001238603318 1131220805 /nfs/dbraw/zinc/22/08/05/1131220805.db2.gz IIHQBQWHTAIBRC-MRXNPFEDSA-N 1 2 298.377 3.722 20 0 CHADLO Cc1cc(C[NH+]2CC(OC(C)C)C2)cnc1-c1ccccc1 ZINC001238613719 1131220972 /nfs/dbraw/zinc/22/09/72/1131220972.db2.gz BENPXTWFOWPRBY-UHFFFAOYSA-N 1 2 296.414 3.666 20 0 CHADLO Cc1ccc(Cl)cc1N(C)C(=O)c1cccc2[nH+]ccn21 ZINC000818817284 1131222286 /nfs/dbraw/zinc/22/22/86/1131222286.db2.gz XIROVIQBFDOPOS-UHFFFAOYSA-N 1 2 299.761 3.573 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cnc(C3CC3)c(C)c2)no1 ZINC001238615362 1131222458 /nfs/dbraw/zinc/22/24/58/1131222458.db2.gz COFSYQBKSJTOOP-QGZVFWFLSA-N 1 2 297.402 3.901 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cnc(C3CC3)c(C)c2)no1 ZINC001238615362 1131222459 /nfs/dbraw/zinc/22/24/59/1131222459.db2.gz COFSYQBKSJTOOP-QGZVFWFLSA-N 1 2 297.402 3.901 20 0 CHADLO COc1ccc(F)c(-c2ccc3[nH+]ccn3c2)c1Cl ZINC001245885723 1131228058 /nfs/dbraw/zinc/22/80/58/1131228058.db2.gz ANHFYESFMWENTN-UHFFFAOYSA-N 1 2 276.698 3.802 20 0 CHADLO CC(C)(C)C(=O)Nc1ccc(Cl)cc1C[NH+]1CC=CC1 ZINC001238666385 1131228509 /nfs/dbraw/zinc/22/85/09/1131228509.db2.gz KFUFGGSCNVXWPG-UHFFFAOYSA-N 1 2 292.810 3.696 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1scnc1Cl)C2 ZINC001137817438 1131419351 /nfs/dbraw/zinc/41/93/51/1131419351.db2.gz TVCGZQLDOPWSNK-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1scnc1Cl)C2 ZINC001137817438 1131419355 /nfs/dbraw/zinc/41/93/55/1131419355.db2.gz TVCGZQLDOPWSNK-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2csc(Cl)n2)CC1 ZINC000829501160 1131422265 /nfs/dbraw/zinc/42/22/65/1131422265.db2.gz ZEASCADIKHWJIQ-UHFFFAOYSA-N 1 2 278.808 3.884 20 0 CHADLO Cc1cccc(C)c1C[NH+]1CC(Oc2ccccc2F)C1 ZINC001137851803 1131423915 /nfs/dbraw/zinc/42/39/15/1131423915.db2.gz WIFMOCPUXIXCOF-UHFFFAOYSA-N 1 2 285.362 3.706 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cccc(C)c2F)no1 ZINC000823404017 1131425767 /nfs/dbraw/zinc/42/57/67/1131425767.db2.gz JKBRRONJBGAFEQ-OAHLLOKOSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cccc(C)c2F)no1 ZINC000823404017 1131425768 /nfs/dbraw/zinc/42/57/68/1131425768.db2.gz JKBRRONJBGAFEQ-OAHLLOKOSA-N 1 2 274.339 3.768 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)cc(F)c2F)CCC1(F)F ZINC001137868273 1131426105 /nfs/dbraw/zinc/42/61/05/1131426105.db2.gz GPWDVXSRHYNMJM-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)cc(F)c2F)CCC1(F)F ZINC001137868273 1131426108 /nfs/dbraw/zinc/42/61/08/1131426108.db2.gz GPWDVXSRHYNMJM-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CC[C@H](F)C(F)(F)CC2)c1 ZINC001137867159 1131426257 /nfs/dbraw/zinc/42/62/57/1131426257.db2.gz KDXYNPFBSXXBCR-NSHDSACASA-N 1 2 297.242 3.673 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CC[C@H](F)C(F)(F)CC2)c1 ZINC001137867159 1131426261 /nfs/dbraw/zinc/42/62/61/1131426261.db2.gz KDXYNPFBSXXBCR-NSHDSACASA-N 1 2 297.242 3.673 20 0 CHADLO Cc1cc(N[C@@H](C)Cc2ccoc2)ccc1[NH+](C)C ZINC000823534207 1131430918 /nfs/dbraw/zinc/43/09/18/1131430918.db2.gz OSFFJBCQXJLNLB-ZDUSSCGKSA-N 1 2 258.365 3.697 20 0 CHADLO Cc1cc([NH2+][C@@H](C)Cc2ccoc2)ccc1N(C)C ZINC000823534207 1131430920 /nfs/dbraw/zinc/43/09/20/1131430920.db2.gz OSFFJBCQXJLNLB-ZDUSSCGKSA-N 1 2 258.365 3.697 20 0 CHADLO CCn1nc(C)c(C[NH2+][C@H](C)c2oc3ccccc3c2C)n1 ZINC000823671954 1131437148 /nfs/dbraw/zinc/43/71/48/1131437148.db2.gz YQSXJAWQTZIEIN-CYBMUJFWSA-N 1 2 298.390 3.512 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(Cl)nc2)c(F)c1F ZINC001137969638 1131444095 /nfs/dbraw/zinc/44/40/95/1131444095.db2.gz BKAXJRMXWHTOPK-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(Cl)nc2)c(F)c1F ZINC001137969638 1131444097 /nfs/dbraw/zinc/44/40/97/1131444097.db2.gz BKAXJRMXWHTOPK-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ccc(F)c(C[NH+]2CC(c3cccnc3)C2)c1Cl ZINC001138028045 1131454365 /nfs/dbraw/zinc/45/43/65/1131454365.db2.gz UWIJVWDDVOMGMD-UHFFFAOYSA-N 1 2 290.769 3.782 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(SC(F)(F)F)cc1 ZINC000824548503 1131475347 /nfs/dbraw/zinc/47/53/47/1131475347.db2.gz IKDMRSSFUIVVBA-UHFFFAOYSA-N 1 2 285.281 3.696 20 0 CHADLO Cc1ccc2c(C[N@@H+]3CCn4cccc4[C@H]3C)c[nH]c2c1 ZINC001136974608 1131491279 /nfs/dbraw/zinc/49/12/79/1131491279.db2.gz FWLNDZKPAXLHTJ-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1ccc2c(C[N@H+]3CCn4cccc4[C@H]3C)c[nH]c2c1 ZINC001136974608 1131491283 /nfs/dbraw/zinc/49/12/83/1131491283.db2.gz FWLNDZKPAXLHTJ-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3ccc(C)c(C)c3)ccc2[nH+]1 ZINC001202817952 1131493214 /nfs/dbraw/zinc/49/32/14/1131493214.db2.gz WUXWLWFIGUICAQ-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO CCc1cccc2c(C[N@H+](C)Cc3cscn3)c[nH]c21 ZINC001138365179 1131494789 /nfs/dbraw/zinc/49/47/89/1131494789.db2.gz GVVYLLQJSMLQDU-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO CCc1cccc2c(C[N@@H+](C)Cc3cscn3)c[nH]c21 ZINC001138365179 1131494791 /nfs/dbraw/zinc/49/47/91/1131494791.db2.gz GVVYLLQJSMLQDU-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO CC[C@@H](C)CNc1[nH+]cccc1CNC(=O)OC(C)(C)C ZINC000825072601 1131498043 /nfs/dbraw/zinc/49/80/43/1131498043.db2.gz JRCDBLBYIDRMNU-GFCCVEGCSA-N 1 2 293.411 3.564 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+](C)CC(=O)c3ccccc3)cc2c1 ZINC001138418010 1131500998 /nfs/dbraw/zinc/50/09/98/1131500998.db2.gz NSWRIXMBGAPEEV-UHFFFAOYSA-N 1 2 292.382 3.791 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+](C)CC(=O)c3ccccc3)cc2c1 ZINC001138418010 1131501000 /nfs/dbraw/zinc/50/10/00/1131501000.db2.gz NSWRIXMBGAPEEV-UHFFFAOYSA-N 1 2 292.382 3.791 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CC[C@](C)(F)[C@H](F)C3)cc2c1 ZINC001138419064 1131502381 /nfs/dbraw/zinc/50/23/81/1131502381.db2.gz LLWNFEJTPOIGQA-CVEARBPZSA-N 1 2 278.346 3.748 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CC[C@](C)(F)[C@H](F)C3)cc2c1 ZINC001138419064 1131502384 /nfs/dbraw/zinc/50/23/84/1131502384.db2.gz LLWNFEJTPOIGQA-CVEARBPZSA-N 1 2 278.346 3.748 20 0 CHADLO COc1c(C)cc(C[N@@H+]2Cc3ccc(F)cc3C2)cc1C ZINC001138428576 1131503691 /nfs/dbraw/zinc/50/36/91/1131503691.db2.gz LDDDUORLJWBQBE-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1c(C)cc(C[N@H+]2Cc3ccc(F)cc3C2)cc1C ZINC001138428576 1131503694 /nfs/dbraw/zinc/50/36/94/1131503694.db2.gz LDDDUORLJWBQBE-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCCC[C@@H]2C(=O)OCC)s1 ZINC001138525487 1131515976 /nfs/dbraw/zinc/51/59/76/1131515976.db2.gz CZHGJJDKVUTDCM-OAHLLOKOSA-N 1 2 295.448 3.618 20 0 CHADLO CCCc1ccc(C[N@H+]2CCCC[C@@H]2C(=O)OCC)s1 ZINC001138525487 1131515979 /nfs/dbraw/zinc/51/59/79/1131515979.db2.gz CZHGJJDKVUTDCM-OAHLLOKOSA-N 1 2 295.448 3.618 20 0 CHADLO COCC[N@H+](C)Cc1c(Cl)cc(Cl)cc1Cl ZINC001143793895 1131534646 /nfs/dbraw/zinc/53/46/46/1131534646.db2.gz NTDPQPCJBNCWKQ-UHFFFAOYSA-N 1 2 282.598 3.725 20 0 CHADLO COCC[N@@H+](C)Cc1c(Cl)cc(Cl)cc1Cl ZINC001143793895 1131534649 /nfs/dbraw/zinc/53/46/49/1131534649.db2.gz NTDPQPCJBNCWKQ-UHFFFAOYSA-N 1 2 282.598 3.725 20 0 CHADLO COc1c(F)cc(C[N@@H+]2C[C@@H](C)C[C@H]2CF)cc1Cl ZINC001143839224 1131538125 /nfs/dbraw/zinc/53/81/25/1131538125.db2.gz JHTYZQMQGMXQGW-ONGXEEELSA-N 1 2 289.753 3.668 20 0 CHADLO COc1c(F)cc(C[N@H+]2C[C@@H](C)C[C@H]2CF)cc1Cl ZINC001143839224 1131538128 /nfs/dbraw/zinc/53/81/28/1131538128.db2.gz JHTYZQMQGMXQGW-ONGXEEELSA-N 1 2 289.753 3.668 20 0 CHADLO FC1(C2CC2)C[NH+]([C@@H]2CCc3cc(Cl)ccc3C2)C1 ZINC001171116058 1131547604 /nfs/dbraw/zinc/54/76/04/1131547604.db2.gz JVIINHWVGRQPHO-OAHLLOKOSA-N 1 2 279.786 3.631 20 0 CHADLO CCN(C(=O)C[C@H](C)n1cc[nH+]c1)c1ccc(Cl)cc1 ZINC000844314444 1131550834 /nfs/dbraw/zinc/55/08/34/1131550834.db2.gz FDZFZHUXFCDLSC-LBPRGKRZSA-N 1 2 291.782 3.541 20 0 CHADLO COc1ccc(C[N@@H+]2CCCC[C@](C)(F)C2)c(F)c1F ZINC001143904559 1131551141 /nfs/dbraw/zinc/55/11/41/1131551141.db2.gz QCLMTDRGSYCPAF-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO COc1ccc(C[N@H+]2CCCC[C@](C)(F)C2)c(F)c1F ZINC001143904559 1131551144 /nfs/dbraw/zinc/55/11/44/1131551144.db2.gz QCLMTDRGSYCPAF-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1Cc1cccc(C(F)(F)F)c1C ZINC001143930046 1131552519 /nfs/dbraw/zinc/55/25/19/1131552519.db2.gz JAYRFYPIHOIKCV-CYBMUJFWSA-N 1 2 287.325 3.625 20 0 CHADLO CC[C@@H]1COCC[N@H+]1Cc1cccc(C(F)(F)F)c1C ZINC001143930046 1131552524 /nfs/dbraw/zinc/55/25/24/1131552524.db2.gz JAYRFYPIHOIKCV-CYBMUJFWSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1c(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)cccc1C(F)(F)F ZINC001143923607 1131555502 /nfs/dbraw/zinc/55/55/02/1131555502.db2.gz ZPONPGOYZCEKEV-SGMGOOAPSA-N 1 2 287.300 3.804 20 0 CHADLO Cc1c(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)cccc1C(F)(F)F ZINC001143923607 1131555506 /nfs/dbraw/zinc/55/55/06/1131555506.db2.gz ZPONPGOYZCEKEV-SGMGOOAPSA-N 1 2 287.300 3.804 20 0 CHADLO Cc1coc(C(=O)Nc2ccc(-n3cc[nH+]c3)c(C)c2)c1C ZINC001143939652 1131556454 /nfs/dbraw/zinc/55/64/54/1131556454.db2.gz KXINXXVZRRQMBE-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO Cc1c(C[N@@H+]2CCOCC23CCC3)cccc1C(F)(F)F ZINC001143931115 1131558062 /nfs/dbraw/zinc/55/80/62/1131558062.db2.gz YTUDOJGAEADLOA-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO Cc1c(C[N@H+]2CCOCC23CCC3)cccc1C(F)(F)F ZINC001143931115 1131558066 /nfs/dbraw/zinc/55/80/66/1131558066.db2.gz YTUDOJGAEADLOA-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO FCC1CC[NH+](Cc2c(Cl)ccc(F)c2F)CC1 ZINC001143956378 1131559423 /nfs/dbraw/zinc/55/94/23/1131559423.db2.gz AMBZYAWFNNYUQA-UHFFFAOYSA-N 1 2 277.717 3.800 20 0 CHADLO Cc1c[nH]c(C[NH+]2CCC(c3ccccc3Cl)CC2)n1 ZINC001138938301 1131562613 /nfs/dbraw/zinc/56/26/13/1131562613.db2.gz GQXWSRBWMUCKPJ-UHFFFAOYSA-N 1 2 289.810 3.751 20 0 CHADLO CC(C)Oc1ccc(Cl)cc1C[NH+]1CC2(CSC2)C1 ZINC001138962992 1131565689 /nfs/dbraw/zinc/56/56/89/1131565689.db2.gz QYRQWYYYCCRNEG-UHFFFAOYSA-N 1 2 297.851 3.676 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cccc(OC(C)C)c1)C2 ZINC001138985814 1131569144 /nfs/dbraw/zinc/56/91/44/1131569144.db2.gz JSKVBFAEZWYKMZ-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cccc(OC(C)C)c1)C2 ZINC001138985814 1131569149 /nfs/dbraw/zinc/56/91/49/1131569149.db2.gz JSKVBFAEZWYKMZ-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO c1csc(-c2ccc(C[NH+]3CC4(C3)CCCO4)s2)c1 ZINC001139016886 1131572170 /nfs/dbraw/zinc/57/21/70/1131572170.db2.gz DZJTVHAGUORBNZ-UHFFFAOYSA-N 1 2 291.441 3.841 20 0 CHADLO Cc1cccc(Cl)c1COC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000844548499 1131577083 /nfs/dbraw/zinc/57/70/83/1131577083.db2.gz RDPQQRQHNMTTFI-GFCCVEGCSA-N 1 2 292.766 3.539 20 0 CHADLO c1c2ccccc2oc1C[NH+]1CC(OCc2ccccc2)C1 ZINC001139066066 1131578378 /nfs/dbraw/zinc/57/83/78/1131578378.db2.gz NQKAQVYNKDHITQ-UHFFFAOYSA-N 1 2 293.366 3.834 20 0 CHADLO CC1(F)CC[NH+](Cc2cc(Cl)c(F)cc2F)CC1 ZINC001144125424 1131588620 /nfs/dbraw/zinc/58/86/20/1131588620.db2.gz IZCQVRJOAWMADR-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144125104 1131588827 /nfs/dbraw/zinc/58/88/27/1131588827.db2.gz DQGYKCOBDRDECH-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144125104 1131588831 /nfs/dbraw/zinc/58/88/31/1131588831.db2.gz DQGYKCOBDRDECH-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO Fc1ccc2[nH]nc(C[N@@H+]3CCCc4ccccc4C3)c2c1 ZINC001144199592 1131596274 /nfs/dbraw/zinc/59/62/74/1131596274.db2.gz NYWXRKLEVVQUFC-UHFFFAOYSA-N 1 2 295.361 3.650 20 0 CHADLO Fc1ccc2[nH]nc(C[N@H+]3CCCc4ccccc4C3)c2c1 ZINC001144199592 1131596280 /nfs/dbraw/zinc/59/62/80/1131596280.db2.gz NYWXRKLEVVQUFC-UHFFFAOYSA-N 1 2 295.361 3.650 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Oc3ccccc3)nc2)C[C@@H]1F ZINC001139243947 1131598917 /nfs/dbraw/zinc/59/89/17/1131598917.db2.gz ISKMTQZVSCLMBH-CJNGLKHVSA-N 1 2 286.350 3.664 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Oc3ccccc3)nc2)C[C@@H]1F ZINC001139243947 1131598921 /nfs/dbraw/zinc/59/89/21/1131598921.db2.gz ISKMTQZVSCLMBH-CJNGLKHVSA-N 1 2 286.350 3.664 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139477452 1131610621 /nfs/dbraw/zinc/61/06/21/1131610621.db2.gz ZOVNEIBMXDDMFN-SECBINFHSA-N 1 2 259.180 3.620 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139477452 1131610622 /nfs/dbraw/zinc/61/06/22/1131610622.db2.gz ZOVNEIBMXDDMFN-SECBINFHSA-N 1 2 259.180 3.620 20 0 CHADLO Clc1ccnc(C[N@@H+]2CC[C@@H]2c2ccccc2)c1 ZINC001139566273 1131616426 /nfs/dbraw/zinc/61/64/26/1131616426.db2.gz VMDBFAUVQZMELV-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CC[C@@H]2c2ccccc2)c1 ZINC001139594510 1131619259 /nfs/dbraw/zinc/61/92/59/1131619259.db2.gz ABVSUEYLUVCVSL-OAHLLOKOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CC[C@@H]2c2ccccc2)c1 ZINC001139594510 1131619263 /nfs/dbraw/zinc/61/92/63/1131619263.db2.gz ABVSUEYLUVCVSL-OAHLLOKOSA-N 1 2 272.779 3.990 20 0 CHADLO C[C@@H](CC(=O)O[C@@H](C)c1cccc(Cl)c1)n1cc[nH+]c1 ZINC000845282004 1131624086 /nfs/dbraw/zinc/62/40/86/1131624086.db2.gz PZZXQIZERQYDGQ-RYUDHWBXSA-N 1 2 292.766 3.792 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](F)C[C@H]2C)cc1Br ZINC001139729398 1131632406 /nfs/dbraw/zinc/63/24/06/1131632406.db2.gz ZYUVMALDMIFHOY-PWSUYJOCSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2C)cc1Br ZINC001139729398 1131632409 /nfs/dbraw/zinc/63/24/09/1131632409.db2.gz ZYUVMALDMIFHOY-PWSUYJOCSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1nocc1Nc1ccc(N(C)C2CCCCC2)[nH+]c1 ZINC001212614037 1131634278 /nfs/dbraw/zinc/63/42/78/1131634278.db2.gz CZDXQXQWVIQNHS-UHFFFAOYSA-N 1 2 286.379 3.891 20 0 CHADLO CCC1(C[N@@H+]2CC[C@@H](c3ccccc3)C(F)(F)C2)COC1 ZINC001202969521 1131635688 /nfs/dbraw/zinc/63/56/88/1131635688.db2.gz YSOUHYJFYVFGLE-HNNXBMFYSA-N 1 2 295.373 3.538 20 0 CHADLO CCC1(C[N@H+]2CC[C@@H](c3ccccc3)C(F)(F)C2)COC1 ZINC001202969521 1131635690 /nfs/dbraw/zinc/63/56/90/1131635690.db2.gz YSOUHYJFYVFGLE-HNNXBMFYSA-N 1 2 295.373 3.538 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccccc1C(F)F ZINC001139800613 1131637643 /nfs/dbraw/zinc/63/76/43/1131637643.db2.gz RHSVUNIHLSBFNT-NSHDSACASA-N 1 2 299.361 3.786 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccccc1C(F)F ZINC001139800613 1131637645 /nfs/dbraw/zinc/63/76/45/1131637645.db2.gz RHSVUNIHLSBFNT-NSHDSACASA-N 1 2 299.361 3.786 20 0 CHADLO C[C@@H](OC(=O)C[C@H](C)n1cc[nH+]c1)C1CCCCCC1 ZINC000845514606 1131639954 /nfs/dbraw/zinc/63/99/54/1131639954.db2.gz VPWVGCFVKWMGNU-UONOGXRCSA-N 1 2 278.396 3.736 20 0 CHADLO Fc1ccc(C[N@@H+]2Cc3cccnc3C2)c2ccccc12 ZINC001139887963 1131646699 /nfs/dbraw/zinc/64/66/99/1131646699.db2.gz GFTMGZLHQYNTKC-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1ccc(C[N@H+]2Cc3cccnc3C2)c2ccccc12 ZINC001139887963 1131646702 /nfs/dbraw/zinc/64/67/02/1131646702.db2.gz GFTMGZLHQYNTKC-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO CC(C)CCCCCOC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845601850 1131648030 /nfs/dbraw/zinc/64/80/30/1131648030.db2.gz PTHFRLXREJODJV-AWEZNQCLSA-N 1 2 266.385 3.594 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cccnc2C(F)(F)F)C1 ZINC001144520994 1131648817 /nfs/dbraw/zinc/64/88/17/1131648817.db2.gz JYJHWWYNUXQVSO-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cccnc2C(F)(F)F)C1 ZINC001144520994 1131648821 /nfs/dbraw/zinc/64/88/21/1131648821.db2.gz JYJHWWYNUXQVSO-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO Clc1nc(Cl)c(C[N@@H+]2CCC3(CCC3)C2)s1 ZINC001139957392 1131652368 /nfs/dbraw/zinc/65/23/68/1131652368.db2.gz SWOQQWBIDFWFSZ-UHFFFAOYSA-N 1 2 277.220 3.826 20 0 CHADLO Clc1nc(Cl)c(C[N@H+]2CCC3(CCC3)C2)s1 ZINC001139957392 1131652371 /nfs/dbraw/zinc/65/23/71/1131652371.db2.gz SWOQQWBIDFWFSZ-UHFFFAOYSA-N 1 2 277.220 3.826 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@@H+](Cc2sc(Cl)nc2Cl)C1 ZINC001139957342 1131652942 /nfs/dbraw/zinc/65/29/42/1131652942.db2.gz QVZIFKCYLAWRIL-OCAPTIKFSA-N 1 2 279.236 3.928 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@H+](Cc2sc(Cl)nc2Cl)C1 ZINC001139957342 1131652944 /nfs/dbraw/zinc/65/29/44/1131652944.db2.gz QVZIFKCYLAWRIL-OCAPTIKFSA-N 1 2 279.236 3.928 20 0 CHADLO CC(C)N(Cc1[nH+]cn2ccccc12)Cc1ccccc1 ZINC001144610997 1131666815 /nfs/dbraw/zinc/66/68/15/1131666815.db2.gz HEOQUBZVSVPJCX-UHFFFAOYSA-N 1 2 279.387 3.745 20 0 CHADLO Cc1cc(C[N@H+]2Cc3cccc(F)c3C2)c(C)cc1O ZINC001140201391 1131676122 /nfs/dbraw/zinc/67/61/22/1131676122.db2.gz JWWMIYSUAPQFJZ-UHFFFAOYSA-N 1 2 271.335 3.664 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3cccc(F)c3C2)c(C)cc1O ZINC001140201391 1131676121 /nfs/dbraw/zinc/67/61/21/1131676121.db2.gz JWWMIYSUAPQFJZ-UHFFFAOYSA-N 1 2 271.335 3.664 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)cc1F)[C@@H]1CCc2ccccc21 ZINC001144663888 1131676621 /nfs/dbraw/zinc/67/66/21/1131676621.db2.gz MPVLZESLWOJNQB-MRXNPFEDSA-N 1 2 289.325 3.790 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)cc1F)[C@@H]1CCc2ccccc21 ZINC001144663888 1131676626 /nfs/dbraw/zinc/67/66/26/1131676626.db2.gz MPVLZESLWOJNQB-MRXNPFEDSA-N 1 2 289.325 3.790 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(F)c(O)cc2F)cc1 ZINC001144665438 1131677124 /nfs/dbraw/zinc/67/71/24/1131677124.db2.gz AWYOLTMVFRIJPW-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(F)c(O)cc2F)cc1 ZINC001144665438 1131677126 /nfs/dbraw/zinc/67/71/26/1131677126.db2.gz AWYOLTMVFRIJPW-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(F)c(O)cc2F)cc1C ZINC001144659493 1131679515 /nfs/dbraw/zinc/67/95/15/1131679515.db2.gz KPBOQMQDYCYANQ-UHFFFAOYSA-N 1 2 291.341 3.919 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(F)c(O)cc2F)cc1C ZINC001144659493 1131679517 /nfs/dbraw/zinc/67/95/17/1131679517.db2.gz KPBOQMQDYCYANQ-UHFFFAOYSA-N 1 2 291.341 3.919 20 0 CHADLO CC[N@H+](Cc1ccncc1O)Cc1c(F)cccc1Cl ZINC001140280611 1131687557 /nfs/dbraw/zinc/68/75/57/1131687557.db2.gz MFVGCHJMODXLTF-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO CC[N@@H+](Cc1ccncc1O)Cc1c(F)cccc1Cl ZINC001140280611 1131687559 /nfs/dbraw/zinc/68/75/59/1131687559.db2.gz MFVGCHJMODXLTF-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO Fc1cc(Cl)c(C[NH+]2CCC(F)CC2)cc1F ZINC001144722036 1131694665 /nfs/dbraw/zinc/69/46/65/1131694665.db2.gz LGZFMENYKBVTAT-UHFFFAOYSA-N 1 2 263.690 3.552 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1n[nH]c2ccc(C)cc21 ZINC001140333867 1131701506 /nfs/dbraw/zinc/70/15/06/1131701506.db2.gz UUBLDWCNVSBHTC-UHFFFAOYSA-N 1 2 285.416 3.955 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1n[nH]c2ccc(C)cc21 ZINC001140333867 1131701511 /nfs/dbraw/zinc/70/15/11/1131701511.db2.gz UUBLDWCNVSBHTC-UHFFFAOYSA-N 1 2 285.416 3.955 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H]1CCn2ccnc21)c1cc(F)ccc1F ZINC000834829989 1131708514 /nfs/dbraw/zinc/70/85/14/1131708514.db2.gz ATSFFHGPEDAWIN-HUUCEWRRSA-N 1 2 291.345 3.593 20 0 CHADLO C[C@H]([NH2+][C@H]1CCn2ccnc21)c1ccc(-c2ccccc2)o1 ZINC000834837039 1131709621 /nfs/dbraw/zinc/70/96/21/1131709621.db2.gz RHLARWPYVGRTMP-ZFWWWQNUSA-N 1 2 293.370 3.939 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCn2ccnc21)c1cccc(Cl)c1F ZINC000834833092 1131709911 /nfs/dbraw/zinc/70/99/11/1131709911.db2.gz UVOVOOBGQLVOOQ-STQMWFEESA-N 1 2 293.773 3.861 20 0 CHADLO CCc1nc(C[NH2+][C@@H](CC(C)C)c2ccccn2)co1 ZINC000834898223 1131721896 /nfs/dbraw/zinc/72/18/96/1131721896.db2.gz KJNPNHXYJFSZLB-HNNXBMFYSA-N 1 2 273.380 3.509 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1cnsn1)c1ccc(Cl)cc1 ZINC000846772004 1131737145 /nfs/dbraw/zinc/73/71/45/1131737145.db2.gz XVQZACSLUOEWEG-CYBMUJFWSA-N 1 2 281.812 3.678 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1cnc(Cl)c(F)c1 ZINC000846770135 1131737502 /nfs/dbraw/zinc/73/75/02/1131737502.db2.gz IZXLEQQHLQGZFA-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1cnc(Cl)c(F)c1 ZINC000846770135 1131737509 /nfs/dbraw/zinc/73/75/09/1131737509.db2.gz IZXLEQQHLQGZFA-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1cnsn1)c1ccc(F)cc1 ZINC000846820015 1131745790 /nfs/dbraw/zinc/74/57/90/1131745790.db2.gz IQLAQLVIKAVUTK-ZDUSSCGKSA-N 1 2 279.384 3.554 20 0 CHADLO CC(C)Sc1ccc([C@H](C)[NH2+]Cc2cnsn2)cc1 ZINC000846843835 1131748653 /nfs/dbraw/zinc/74/86/53/1131748653.db2.gz IHNIMJHQBSZJLT-NSHDSACASA-N 1 2 293.461 3.889 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2cnsn2)cc1 ZINC000846844070 1131748687 /nfs/dbraw/zinc/74/86/87/1131748687.db2.gz LEACAYNXEUMELJ-SNVBAGLBSA-N 1 2 279.434 3.501 20 0 CHADLO CC(C)(C)SCC[NH+]1Cc2cc(F)c(F)cc2C1 ZINC000847049026 1131774750 /nfs/dbraw/zinc/77/47/50/1131774750.db2.gz SYLYPJZEGWRNMI-UHFFFAOYSA-N 1 2 271.376 3.812 20 0 CHADLO CCOc1cc(C)c(Nc2cc[nH+]c(SC)c2)cn1 ZINC001203045418 1131783848 /nfs/dbraw/zinc/78/38/48/1131783848.db2.gz CNVUPVKOLUZQIU-UHFFFAOYSA-N 1 2 275.377 3.649 20 0 CHADLO CC1(C)C[NH+](Cc2cccc(F)c2Cl)CC(C)(C)O1 ZINC001140568109 1131797001 /nfs/dbraw/zinc/79/70/01/1131797001.db2.gz QSJPIZHGCSTXOF-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO COc1cc2c(cc1O[C@@H](C)c1cccs1)C=[NH+]CC2 ZINC001228086247 1131805220 /nfs/dbraw/zinc/80/52/20/1131805220.db2.gz BOHCVNPYXBLSRN-NSHDSACASA-N 1 2 287.384 3.872 20 0 CHADLO Clc1cc(C[NH+]2CC3CC(C3)C2)c(Cl)s1 ZINC001140589298 1131814036 /nfs/dbraw/zinc/81/40/36/1131814036.db2.gz ITMDXRGQCFULQI-UHFFFAOYSA-N 1 2 262.205 3.897 20 0 CHADLO CC(C)C1(COC(=O)c2cccc(Cn3cc[nH+]c3)c2)CC1 ZINC000835397805 1131831340 /nfs/dbraw/zinc/83/13/40/1131831340.db2.gz QFDGPHWLBZLPTF-UHFFFAOYSA-N 1 2 298.386 3.524 20 0 CHADLO CCCC[C@H](CCC)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001149004867 1131877186 /nfs/dbraw/zinc/87/71/86/1131877186.db2.gz QXHMYUMILSCXHR-ZDUSSCGKSA-N 1 2 273.380 3.652 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140699526 1131879959 /nfs/dbraw/zinc/87/99/59/1131879959.db2.gz SUWCSLRDJRRIPI-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140699526 1131879969 /nfs/dbraw/zinc/87/99/69/1131879969.db2.gz SUWCSLRDJRRIPI-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[NH+]1CC(Oc2ccc(-c3ccc(Cl)cc3)cc2)C1 ZINC001228439147 1131883586 /nfs/dbraw/zinc/88/35/86/1131883586.db2.gz ATDDAKJEIQDWEW-UHFFFAOYSA-N 1 2 273.763 3.700 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(Cl)c(C)c2)no1 ZINC000282746620 1131887350 /nfs/dbraw/zinc/88/73/50/1131887350.db2.gz SCEHGEZPOAXKNM-LLVKDONJSA-N 1 2 264.756 3.796 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(Cl)c(C)c2)no1 ZINC000282746622 1131887720 /nfs/dbraw/zinc/88/77/20/1131887720.db2.gz SCEHGEZPOAXKNM-NSHDSACASA-N 1 2 264.756 3.796 20 0 CHADLO CC[N@H+](Cc1cc[nH]c1)Cc1c(F)cccc1Cl ZINC001140708151 1131888249 /nfs/dbraw/zinc/88/82/49/1131888249.db2.gz QJHYIKUMKZTJQF-UHFFFAOYSA-N 1 2 266.747 3.829 20 0 CHADLO CC[N@@H+](Cc1cc[nH]c1)Cc1c(F)cccc1Cl ZINC001140708151 1131888258 /nfs/dbraw/zinc/88/82/58/1131888258.db2.gz QJHYIKUMKZTJQF-UHFFFAOYSA-N 1 2 266.747 3.829 20 0 CHADLO Clc1cnc(C[N@@H+]2CCCC3(CCC3)C2)s1 ZINC000348164823 1131890967 /nfs/dbraw/zinc/89/09/67/1131890967.db2.gz BYDVFPNQWTYZNB-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO Clc1cnc(C[N@H+]2CCCC3(CCC3)C2)s1 ZINC000348164823 1131890978 /nfs/dbraw/zinc/89/09/78/1131890978.db2.gz BYDVFPNQWTYZNB-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc2c(c1)[C@@H](O)CCCC2 ZINC001203061703 1131894921 /nfs/dbraw/zinc/89/49/21/1131894921.db2.gz ILUDXUSKLIJGSS-SFHVURJKSA-N 1 2 299.418 3.665 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC001140725544 1131897534 /nfs/dbraw/zinc/89/75/34/1131897534.db2.gz ACQJYQCCQFBTEJ-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCC[C@@](C)(F)C1 ZINC001140725544 1131897539 /nfs/dbraw/zinc/89/75/39/1131897539.db2.gz ACQJYQCCQFBTEJ-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO CCOc1ccc(NC(=[NH2+])c2cccnc2Cl)cc1C ZINC001171353011 1131911099 /nfs/dbraw/zinc/91/10/99/1131911099.db2.gz PNRFKRTVSJWOBS-UHFFFAOYSA-N 1 2 289.766 3.880 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+]1CCc2cc(F)c(F)cc2C1 ZINC001140780760 1131913751 /nfs/dbraw/zinc/91/37/51/1131913751.db2.gz KPKNCCCASABESG-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1cc(F)ccc1C[N@H+]1CCc2cc(F)c(F)cc2C1 ZINC001140780760 1131913757 /nfs/dbraw/zinc/91/37/57/1131913757.db2.gz KPKNCCCASABESG-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cc(O)cc(Cl)c2)C1 ZINC001140787725 1131920294 /nfs/dbraw/zinc/92/02/94/1131920294.db2.gz RJAXMENBDZSZBC-AWEZNQCLSA-N 1 2 271.763 3.760 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cc(O)cc(Cl)c2)C1 ZINC001140787725 1131920300 /nfs/dbraw/zinc/92/03/00/1131920300.db2.gz RJAXMENBDZSZBC-AWEZNQCLSA-N 1 2 271.763 3.760 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cnccc3C2)c(Cl)c1 ZINC001140796305 1131921486 /nfs/dbraw/zinc/92/14/86/1131921486.db2.gz ARDYXZXYBOQSJA-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cnccc3C2)c(Cl)c1 ZINC001140796305 1131921489 /nfs/dbraw/zinc/92/14/89/1131921489.db2.gz ARDYXZXYBOQSJA-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Fc1c[nH]c2nc[nH+]c(NCc3cccc4sccc43)c12 ZINC001171376330 1131932966 /nfs/dbraw/zinc/93/29/66/1131932966.db2.gz STQNLKVWYWGPNZ-UHFFFAOYSA-N 1 2 298.346 3.875 20 0 CHADLO CCCC1CC[NH+](Cc2ccc(O)c(F)c2F)CC1 ZINC001140894410 1131957590 /nfs/dbraw/zinc/95/75/90/1131957590.db2.gz XETUQQZAKDQKLK-UHFFFAOYSA-N 1 2 269.335 3.683 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(O)c(F)c2F)CCC1(F)F ZINC001140890054 1131958818 /nfs/dbraw/zinc/95/88/18/1131958818.db2.gz SWJAMAWKSWDGNA-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(O)c(F)c2F)CCC1(F)F ZINC001140890054 1131958824 /nfs/dbraw/zinc/95/88/24/1131958824.db2.gz SWJAMAWKSWDGNA-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO CCCC[N@H+](Cc1cc(C)[nH]n1)Cc1ccccc1 ZINC001203076213 1131961727 /nfs/dbraw/zinc/96/17/27/1131961727.db2.gz YPZVYYZMLSRAOO-UHFFFAOYSA-N 1 2 257.381 3.520 20 0 CHADLO CCCC[N@@H+](Cc1cc(C)[nH]n1)Cc1ccccc1 ZINC001203076213 1131961733 /nfs/dbraw/zinc/96/17/33/1131961733.db2.gz YPZVYYZMLSRAOO-UHFFFAOYSA-N 1 2 257.381 3.520 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@H+](C)Cc1ncco1 ZINC001141012687 1131985612 /nfs/dbraw/zinc/98/56/12/1131985612.db2.gz WBQBQNWWDRVKCJ-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@@H+](C)Cc1ncco1 ZINC001141012687 1131985619 /nfs/dbraw/zinc/98/56/19/1131985619.db2.gz WBQBQNWWDRVKCJ-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO CCCn1cc([C@H](C)[NH2+][C@H](C)c2ccccc2Cl)nn1 ZINC000715877898 1131993961 /nfs/dbraw/zinc/99/39/61/1131993961.db2.gz POIPPYITDSZGRL-NEPJUHHUSA-N 1 2 292.814 3.753 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCC[C@H]1c1cc(F)ccc1F ZINC001141053027 1131998187 /nfs/dbraw/zinc/99/81/87/1131998187.db2.gz YRIADTGHCKSBMW-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncoc1C[N@H+]1CCC[C@H]1c1cc(F)ccc1F ZINC001141053027 1131998192 /nfs/dbraw/zinc/99/81/92/1131998192.db2.gz YRIADTGHCKSBMW-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@H+](C)Cc1cocn1 ZINC001141132212 1132024402 /nfs/dbraw/zinc/02/44/02/1132024402.db2.gz ZQLMWPXLDVBSLE-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@@H+](C)Cc1cocn1 ZINC001141132212 1132024410 /nfs/dbraw/zinc/02/44/10/1132024410.db2.gz ZQLMWPXLDVBSLE-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO CCCn1c[nH+]cc1CNc1cc(C)c(C)cc1OC ZINC001203097303 1132027116 /nfs/dbraw/zinc/02/71/16/1132027116.db2.gz AVTJVXVVOLWQKO-UHFFFAOYSA-N 1 2 273.380 3.531 20 0 CHADLO Cc1cc(NCc2cccc3ncoc32)ccc1[NH+](C)C ZINC001171456668 1132032810 /nfs/dbraw/zinc/03/28/10/1132032810.db2.gz INMBSYZNMWMREF-UHFFFAOYSA-N 1 2 281.359 3.814 20 0 CHADLO CCC1(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)CCCCC1 ZINC001141177094 1132035072 /nfs/dbraw/zinc/03/50/72/1132035072.db2.gz UTUKQARMEJBTDG-HNNXBMFYSA-N 1 2 291.439 3.699 20 0 CHADLO CC(=O)CC(C)(C)Nc1cc[nH+]c(OCc2ccccc2)c1 ZINC001171498162 1132040152 /nfs/dbraw/zinc/04/01/52/1132040152.db2.gz GYRUONSUHJKGFU-UHFFFAOYSA-N 1 2 298.386 3.830 20 0 CHADLO FC(F)(F)OCCC[N@@H+]1CCc2sc(Cl)cc2C1 ZINC001171533023 1132043757 /nfs/dbraw/zinc/04/37/57/1132043757.db2.gz NQYDRJKDLFJUCW-UHFFFAOYSA-N 1 2 299.745 3.686 20 0 CHADLO FC(F)(F)OCCC[N@H+]1CCc2sc(Cl)cc2C1 ZINC001171533023 1132043763 /nfs/dbraw/zinc/04/37/63/1132043763.db2.gz NQYDRJKDLFJUCW-UHFFFAOYSA-N 1 2 299.745 3.686 20 0 CHADLO CCCCCCc1csc(C[N@@H+]2CCO[C@H]3C[C@H]32)c1 ZINC001248686922 1132050302 /nfs/dbraw/zinc/05/03/02/1132050302.db2.gz ABFAIZMFQPYTME-CVEARBPZSA-N 1 2 279.449 3.844 20 0 CHADLO CCCCCCc1csc(C[N@H+]2CCO[C@H]3C[C@H]32)c1 ZINC001248686922 1132050308 /nfs/dbraw/zinc/05/03/08/1132050308.db2.gz ABFAIZMFQPYTME-CVEARBPZSA-N 1 2 279.449 3.844 20 0 CHADLO OCCc1cccc(Nc2cc[nH+]c3ccc(Cl)cc23)c1 ZINC001203107249 1132058859 /nfs/dbraw/zinc/05/88/59/1132058859.db2.gz GNMMAXOSCRUJLH-UHFFFAOYSA-N 1 2 298.773 3.589 20 0 CHADLO CCOC(=O)[C@H]([NH2+][C@H]1C=CCCC1)C1CCCCCC1 ZINC001171612812 1132064689 /nfs/dbraw/zinc/06/46/89/1132064689.db2.gz GEXWDGYIARNCKS-JKSUJKDBSA-N 1 2 279.424 3.587 20 0 CHADLO CC(C)CCCCC(=O)N[C@@H](Cn1cc[nH+]c1)C(C)(C)C ZINC000837358901 1132069347 /nfs/dbraw/zinc/06/93/47/1132069347.db2.gz CMASLHZFVYFDFF-HNNXBMFYSA-N 1 2 293.455 3.630 20 0 CHADLO COc1ccsc1C[N@@H+]1CCc2cc(F)c(F)cc2C1 ZINC001141331908 1132089754 /nfs/dbraw/zinc/08/97/54/1132089754.db2.gz AIHMODDMAJKMCQ-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO COc1ccsc1C[N@H+]1CCc2cc(F)c(F)cc2C1 ZINC001141331908 1132089762 /nfs/dbraw/zinc/08/97/62/1132089762.db2.gz AIHMODDMAJKMCQ-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ccc(F)c(Cl)c2)[C@@H](CC)CO1 ZINC001171778579 1132095929 /nfs/dbraw/zinc/09/59/29/1132095929.db2.gz NYWWWWRXAFNSCM-STQMWFEESA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ccc(F)c(Cl)c2)[C@@H](CC)CO1 ZINC001171778579 1132095937 /nfs/dbraw/zinc/09/59/37/1132095937.db2.gz NYWWWWRXAFNSCM-STQMWFEESA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(F)c(Cl)c2)[C@H](CC)CO1 ZINC001171778576 1132096296 /nfs/dbraw/zinc/09/62/96/1132096296.db2.gz NYWWWWRXAFNSCM-CHWSQXEVSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(F)c(Cl)c2)[C@H](CC)CO1 ZINC001171778576 1132096304 /nfs/dbraw/zinc/09/63/04/1132096304.db2.gz NYWWWWRXAFNSCM-CHWSQXEVSA-N 1 2 285.790 3.869 20 0 CHADLO C[C@@]1(C2CC2)C[N@H+](Cc2ccccc2C(F)(F)F)CCO1 ZINC001171920970 1132107952 /nfs/dbraw/zinc/10/79/52/1132107952.db2.gz PLCMONGAVMTEFV-HNNXBMFYSA-N 1 2 299.336 3.706 20 0 CHADLO C[C@@]1(C2CC2)C[N@@H+](Cc2ccccc2C(F)(F)F)CCO1 ZINC001171920970 1132107959 /nfs/dbraw/zinc/10/79/59/1132107959.db2.gz PLCMONGAVMTEFV-HNNXBMFYSA-N 1 2 299.336 3.706 20 0 CHADLO C[C@]1(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2)CC=CCC1 ZINC000838180496 1132118324 /nfs/dbraw/zinc/11/83/24/1132118324.db2.gz FHJACJKVTXYOSH-SFHVURJKSA-N 1 2 296.370 3.662 20 0 CHADLO c1cn(-c2ccc(O[C@@H]3CCc4ccccc43)cc2)c[nH+]1 ZINC001229952103 1132135498 /nfs/dbraw/zinc/13/54/98/1132135498.db2.gz YCXNEVGYEFYXCU-GOSISDBHSA-N 1 2 276.339 3.939 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2c2ccncc2)c(Cl)n1 ZINC000838904044 1132156696 /nfs/dbraw/zinc/15/66/96/1132156696.db2.gz FLCQGQDOOLNKBZ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2c2ccncc2)c(Cl)n1 ZINC000838904044 1132156699 /nfs/dbraw/zinc/15/66/99/1132156699.db2.gz FLCQGQDOOLNKBZ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO CC[C@H](CC(C)C)[N@H+]1Cc2cc(Br)cnc2C1 ZINC001172404046 1132196763 /nfs/dbraw/zinc/19/67/63/1132196763.db2.gz RFKIWYWJNSGGID-CYBMUJFWSA-N 1 2 297.240 3.984 20 0 CHADLO c1c[nH]c(C[N@@H+]2CC3(CCCCC3)[C@@H]2c2ccccc2)n1 ZINC000839182475 1132187974 /nfs/dbraw/zinc/18/79/74/1132187974.db2.gz KGMSPHUGCKRURK-KRWDZBQOSA-N 1 2 281.403 3.917 20 0 CHADLO c1c[nH]c(C[N@H+]2CC3(CCCCC3)[C@@H]2c2ccccc2)n1 ZINC000839182475 1132187978 /nfs/dbraw/zinc/18/79/78/1132187978.db2.gz KGMSPHUGCKRURK-KRWDZBQOSA-N 1 2 281.403 3.917 20 0 CHADLO O[C@H](C[N@@H+]1Cc2ccc(Cl)cc2C1)c1ccccc1F ZINC000839194443 1132190165 /nfs/dbraw/zinc/19/01/65/1132190165.db2.gz QAELVCVUZYIPHO-MRXNPFEDSA-N 1 2 291.753 3.528 20 0 CHADLO O[C@H](C[N@H+]1Cc2ccc(Cl)cc2C1)c1ccccc1F ZINC000839194443 1132190167 /nfs/dbraw/zinc/19/01/67/1132190167.db2.gz QAELVCVUZYIPHO-MRXNPFEDSA-N 1 2 291.753 3.528 20 0 CHADLO CC[C@H](CC(C)C)[N@@H+]1Cc2cc(Br)cnc2C1 ZINC001172404046 1132196759 /nfs/dbraw/zinc/19/67/59/1132196759.db2.gz RFKIWYWJNSGGID-CYBMUJFWSA-N 1 2 297.240 3.984 20 0 CHADLO CCOCC[C@@H](C)[N@@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001172431193 1132206286 /nfs/dbraw/zinc/20/62/86/1132206286.db2.gz MINSKTZDBRHKOQ-ZBFHGGJFSA-N 1 2 297.389 3.926 20 0 CHADLO CCOCC[C@@H](C)[N@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001172431193 1132206293 /nfs/dbraw/zinc/20/62/93/1132206293.db2.gz MINSKTZDBRHKOQ-ZBFHGGJFSA-N 1 2 297.389 3.926 20 0 CHADLO Oc1cc2c(cc1O[C@H]1CCC=CCCC1)CC[NH+]=C2 ZINC001230920545 1132227162 /nfs/dbraw/zinc/22/71/62/1132227162.db2.gz OZVDFEJFGYCGDN-HNNXBMFYSA-N 1 2 271.360 3.635 20 0 CHADLO CC[C@H](Oc1ccc(-c2c[nH+]cn2C)cc1)C(C)C ZINC001231083642 1132252753 /nfs/dbraw/zinc/25/27/53/1132252753.db2.gz MLLDIIBNSQAWLU-INIZCTEOSA-N 1 2 258.365 3.901 20 0 CHADLO C[C@@H](Cc1ccco1)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231084014 1132254761 /nfs/dbraw/zinc/25/47/61/1132254761.db2.gz SKWOBEZHHLSDHG-ZDUSSCGKSA-N 1 2 282.343 3.690 20 0 CHADLO CCCCCC[C@H](CCCC)[NH2+]C1(C(=O)OC)CC1 ZINC001172510502 1132257101 /nfs/dbraw/zinc/25/71/01/1132257101.db2.gz DIPNOXBQVYBIHE-AWEZNQCLSA-N 1 2 269.429 3.811 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH2+][C@H]1CC[C@@H](c2ccccc2)C1 ZINC001172551141 1132264148 /nfs/dbraw/zinc/26/41/48/1132264148.db2.gz MVJDTKGBWWOKKA-UAGQMJEPSA-N 1 2 289.419 3.644 20 0 CHADLO FC1(F)COCC[N@@H+]([C@@H]2CCC[C@@H](c3ccccc3)C2)C1 ZINC001172546430 1132278596 /nfs/dbraw/zinc/27/85/96/1132278596.db2.gz PRDWENSUVCZSSB-HZPDHXFCSA-N 1 2 295.373 3.680 20 0 CHADLO FC1(F)COCC[N@H+]([C@@H]2CCC[C@@H](c3ccccc3)C2)C1 ZINC001172546430 1132278601 /nfs/dbraw/zinc/27/86/01/1132278601.db2.gz PRDWENSUVCZSSB-HZPDHXFCSA-N 1 2 295.373 3.680 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1cc2n(n1)CCC2 ZINC000840734495 1132279251 /nfs/dbraw/zinc/27/92/51/1132279251.db2.gz YYNDOOIDSBOBLD-AWEZNQCLSA-N 1 2 296.418 3.603 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2CCc3sccc3C2)c1F ZINC001231474495 1132297065 /nfs/dbraw/zinc/29/70/65/1132297065.db2.gz CORSFCSSQVUDSZ-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2CCc3sccc3C2)c1F ZINC001231474495 1132297071 /nfs/dbraw/zinc/29/70/71/1132297071.db2.gz CORSFCSSQVUDSZ-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO OC[C@@H]1CCC[N@@H+]1Cc1c(Cl)ccc(Cl)c1Cl ZINC001231480635 1132298524 /nfs/dbraw/zinc/29/85/24/1132298524.db2.gz UPQNYFQMSWZLND-QMMMGPOBSA-N 1 2 294.609 3.604 20 0 CHADLO OC[C@@H]1CCC[N@H+]1Cc1c(Cl)ccc(Cl)c1Cl ZINC001231480635 1132298531 /nfs/dbraw/zinc/29/85/31/1132298531.db2.gz UPQNYFQMSWZLND-QMMMGPOBSA-N 1 2 294.609 3.604 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1c[nH]c3cccnc13)C2 ZINC001231492303 1132302664 /nfs/dbraw/zinc/30/26/64/1132302664.db2.gz KTQFORRSHXPONM-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1c[nH]c3cccnc13)C2 ZINC001231492303 1132302672 /nfs/dbraw/zinc/30/26/72/1132302672.db2.gz KTQFORRSHXPONM-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO COc1cc(C)c([C@@H](C)[NH2+][C@H](C)c2csnn2)cc1C ZINC000840885915 1132303474 /nfs/dbraw/zinc/30/34/74/1132303474.db2.gz IKTKKYVUYHOQHJ-VXGBXAGGSA-N 1 2 291.420 3.575 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cc(O)cc1Cl)C1CC1 ZINC001142105516 1132325981 /nfs/dbraw/zinc/32/59/81/1132325981.db2.gz DJBUWSNGKLYCSK-UHFFFAOYSA-N 1 2 260.164 3.683 20 0 CHADLO CC(=O)C[N@@H+](C)Cc1ccc(F)c(Oc2ccccc2)c1 ZINC001231536203 1132315792 /nfs/dbraw/zinc/31/57/92/1132315792.db2.gz FLVHLSBXEKLKBM-UHFFFAOYSA-N 1 2 287.334 3.639 20 0 CHADLO CC(=O)C[N@H+](C)Cc1ccc(F)c(Oc2ccccc2)c1 ZINC001231536203 1132315799 /nfs/dbraw/zinc/31/57/99/1132315799.db2.gz FLVHLSBXEKLKBM-UHFFFAOYSA-N 1 2 287.334 3.639 20 0 CHADLO Cc1ccc2c(c1)C[C@H]([N@@H+]1CC[C@H](CF)C(F)(F)C1)CC2 ZINC001172826712 1132323420 /nfs/dbraw/zinc/32/34/20/1132323420.db2.gz FJHAXMJONXBDSA-HZPDHXFCSA-N 1 2 297.364 3.779 20 0 CHADLO Cc1ccc2c(c1)C[C@H]([N@H+]1CC[C@H](CF)C(F)(F)C1)CC2 ZINC001172826712 1132323427 /nfs/dbraw/zinc/32/34/27/1132323427.db2.gz FJHAXMJONXBDSA-HZPDHXFCSA-N 1 2 297.364 3.779 20 0 CHADLO CC[N@H+](Cc1c(Cl)cc(O)cc1Cl)C1CC1 ZINC001142105516 1132325972 /nfs/dbraw/zinc/32/59/72/1132325972.db2.gz DJBUWSNGKLYCSK-UHFFFAOYSA-N 1 2 260.164 3.683 20 0 CHADLO Cc1sc2ccccc2c1C[N@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001231644894 1132333349 /nfs/dbraw/zinc/33/33/49/1132333349.db2.gz MCKSSOBHQJOKLF-BETUJISGSA-N 1 2 267.344 3.702 20 0 CHADLO Cc1sc2ccccc2c1C[N@@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001231644894 1132333355 /nfs/dbraw/zinc/33/33/55/1132333355.db2.gz MCKSSOBHQJOKLF-BETUJISGSA-N 1 2 267.344 3.702 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCOCC12CCC2 ZINC001172856449 1132341368 /nfs/dbraw/zinc/34/13/68/1132341368.db2.gz JMWDTEUCOBPHFV-GFCCVEGCSA-N 1 2 297.801 3.665 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCOCC12CCC2 ZINC001172856449 1132341372 /nfs/dbraw/zinc/34/13/72/1132341372.db2.gz JMWDTEUCOBPHFV-GFCCVEGCSA-N 1 2 297.801 3.665 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1[C@@H](C)Cc1c(F)cccc1Cl ZINC001172855507 1132342249 /nfs/dbraw/zinc/34/22/49/1132342249.db2.gz FNXHEXHAUGUUAL-ZBEGNZNMSA-N 1 2 297.801 3.854 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1[C@@H](C)Cc1c(F)cccc1Cl ZINC001172855507 1132342257 /nfs/dbraw/zinc/34/22/57/1132342257.db2.gz FNXHEXHAUGUUAL-ZBEGNZNMSA-N 1 2 297.801 3.854 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1nc(-c2ccccc2)cs1 ZINC001231680733 1132344144 /nfs/dbraw/zinc/34/41/44/1132344144.db2.gz VLDDKLDQOVUJGT-DGCLKSJQSA-N 1 2 276.380 3.742 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1nc(-c2ccccc2)cs1 ZINC001231680733 1132344151 /nfs/dbraw/zinc/34/41/51/1132344151.db2.gz VLDDKLDQOVUJGT-DGCLKSJQSA-N 1 2 276.380 3.742 20 0 CHADLO CC(C)Cc1ccc(C[N@@H+]2Cc3cccnc3C2)cc1 ZINC001231787313 1132362668 /nfs/dbraw/zinc/36/26/68/1132362668.db2.gz WRPBSICTHKYVFQ-UHFFFAOYSA-N 1 2 266.388 3.796 20 0 CHADLO CC(C)Cc1ccc(C[N@H+]2Cc3cccnc3C2)cc1 ZINC001231787313 1132362675 /nfs/dbraw/zinc/36/26/75/1132362675.db2.gz WRPBSICTHKYVFQ-UHFFFAOYSA-N 1 2 266.388 3.796 20 0 CHADLO CCCc1ccc(C[N@@H+]2Cc3cnc(C)cc3C2)cc1 ZINC001142367593 1132374859 /nfs/dbraw/zinc/37/48/59/1132374859.db2.gz SEAFVGZMBUOVLL-UHFFFAOYSA-N 1 2 266.388 3.858 20 0 CHADLO CCCc1ccc(C[N@H+]2Cc3cnc(C)cc3C2)cc1 ZINC001142367593 1132374866 /nfs/dbraw/zinc/37/48/66/1132374866.db2.gz SEAFVGZMBUOVLL-UHFFFAOYSA-N 1 2 266.388 3.858 20 0 CHADLO Cc1c(F)ccc(N)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212698864 1132376878 /nfs/dbraw/zinc/37/68/78/1132376878.db2.gz AXAFVPJSCQNNFB-UHFFFAOYSA-N 1 2 296.349 3.705 20 0 CHADLO Cc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(C)cc1N ZINC001212697894 1132377798 /nfs/dbraw/zinc/37/77/98/1132377798.db2.gz ZVTBJQKCRKEAAX-UHFFFAOYSA-N 1 2 292.386 3.874 20 0 CHADLO CC(C)c1ccccc1C[N@@H+]1C[C@@H](F)C[C@H]1CF ZINC001231873492 1132384253 /nfs/dbraw/zinc/38/42/53/1132384253.db2.gz APTJLFOUHYZRQN-KBPBESRZSA-N 1 2 253.336 3.692 20 0 CHADLO CC(C)c1ccccc1C[N@H+]1C[C@@H](F)C[C@H]1CF ZINC001231873492 1132384260 /nfs/dbraw/zinc/38/42/60/1132384260.db2.gz APTJLFOUHYZRQN-KBPBESRZSA-N 1 2 253.336 3.692 20 0 CHADLO Clc1cccnc1C[N@@H+]1CCOC[C@@H]1C1CCCCC1 ZINC001231961952 1132403795 /nfs/dbraw/zinc/40/37/95/1132403795.db2.gz ZLPBXMAOYXQPHS-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cccnc1C[N@H+]1CCOC[C@@H]1C1CCCCC1 ZINC001231961952 1132403800 /nfs/dbraw/zinc/40/38/00/1132403800.db2.gz ZLPBXMAOYXQPHS-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO C[N@H+](C/C=C/c1ccccc1)Cc1ncccc1Cl ZINC001231958776 1132404333 /nfs/dbraw/zinc/40/43/33/1132404333.db2.gz DEXPGQVSTYYSGG-RMKNXTFCSA-N 1 2 272.779 3.880 20 0 CHADLO C[N@@H+](C/C=C/c1ccccc1)Cc1ncccc1Cl ZINC001231958776 1132404339 /nfs/dbraw/zinc/40/43/39/1132404339.db2.gz DEXPGQVSTYYSGG-RMKNXTFCSA-N 1 2 272.779 3.880 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1cc(Cl)cnc1F ZINC001231993730 1132407152 /nfs/dbraw/zinc/40/71/52/1132407152.db2.gz WPMUJALIEABBAP-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1cc(Cl)cnc1F ZINC001231993730 1132407154 /nfs/dbraw/zinc/40/71/54/1132407154.db2.gz WPMUJALIEABBAP-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO CCCOC1C[NH+](Cc2ccc(C(F)(F)F)cc2C)C1 ZINC001232006732 1132410415 /nfs/dbraw/zinc/41/04/15/1132410415.db2.gz MMUXEUOKFYDXHI-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO C[N@H+](Cc1ccc(Cl)c(Cl)c1)Cc1cncc(O)c1 ZINC001232040441 1132415361 /nfs/dbraw/zinc/41/53/61/1132415361.db2.gz IHOQECUCSDLYOF-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)c(Cl)c1)Cc1cncc(O)c1 ZINC001232040441 1132415367 /nfs/dbraw/zinc/41/53/67/1132415367.db2.gz IHOQECUCSDLYOF-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO FC(F)(F)c1cccc(C[N@H+](Cc2ccco2)C2CC2)n1 ZINC001232074158 1132418611 /nfs/dbraw/zinc/41/86/11/1132418611.db2.gz UQEGPEQNSGIAAG-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1cccc(C[N@@H+](Cc2ccco2)C2CC2)n1 ZINC001232074158 1132418614 /nfs/dbraw/zinc/41/86/14/1132418614.db2.gz UQEGPEQNSGIAAG-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO C[N@H+](Cc1cnc(-c2cccnc2)o1)Cc1ccccc1F ZINC001232134384 1132426251 /nfs/dbraw/zinc/42/62/51/1132426251.db2.gz CBEQFCLMPJEKIJ-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1cnc(-c2cccnc2)o1)Cc1ccccc1F ZINC001232134384 1132426257 /nfs/dbraw/zinc/42/62/57/1132426257.db2.gz CBEQFCLMPJEKIJ-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO c1ccc(-c2cccc(C[N@@H+]3Cc4cccnc4C3)c2)nc1 ZINC001142828378 1132454414 /nfs/dbraw/zinc/45/44/14/1132454414.db2.gz CAYVTGYORIUKSB-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(-c2cccc(C[N@H+]3Cc4cccnc4C3)c2)nc1 ZINC001142828378 1132454419 /nfs/dbraw/zinc/45/44/19/1132454419.db2.gz CAYVTGYORIUKSB-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO Cc1cccc(C[NH+]2CC3(C2)CCCCO3)c1OC(C)C ZINC001232394356 1132464056 /nfs/dbraw/zinc/46/40/56/1132464056.db2.gz ZOMAXHWZSJWMEW-UHFFFAOYSA-N 1 2 289.419 3.537 20 0 CHADLO Cc1ccc(OC(C)C)c(C[NH+]2CC3(C2)CCCCO3)c1 ZINC001232395432 1132466078 /nfs/dbraw/zinc/46/60/78/1132466078.db2.gz MPMSMJJUNALMMM-UHFFFAOYSA-N 1 2 289.419 3.537 20 0 CHADLO Cc1c(F)cc(C[NH+]2CC3(C2)CC(F)(F)C3)cc1F ZINC001232421168 1132468252 /nfs/dbraw/zinc/46/82/52/1132468252.db2.gz YZRYGUYALYEDMZ-UHFFFAOYSA-N 1 2 273.273 3.504 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)c1cc2n(n1)CCCC2 ZINC000842856327 1132470718 /nfs/dbraw/zinc/47/07/18/1132470718.db2.gz UAPINNKNRRSMCN-CQSZACIVSA-N 1 2 298.434 3.767 20 0 CHADLO Cc1[nH]c(CN(C)Cc2c(Cl)cccc2Cl)c[nH+]1 ZINC001232463215 1132473779 /nfs/dbraw/zinc/47/37/79/1132473779.db2.gz FDOSHLQBSMJRKE-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1[nH]cc(CN(C)Cc2c(Cl)cccc2Cl)[nH+]1 ZINC001232463215 1132473782 /nfs/dbraw/zinc/47/37/82/1132473782.db2.gz FDOSHLQBSMJRKE-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO CCc1csc(C[N@H+](C)Cc2ccc(CC)cc2)n1 ZINC001232474611 1132475311 /nfs/dbraw/zinc/47/53/11/1132475311.db2.gz FBPWDKOAANSTIO-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO CCc1csc(C[N@@H+](C)Cc2ccc(CC)cc2)n1 ZINC001232474611 1132475313 /nfs/dbraw/zinc/47/53/13/1132475313.db2.gz FBPWDKOAANSTIO-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO CCc1csc(C[N@H+](Cc2ccccc2)C2CC2)n1 ZINC001232475593 1132475543 /nfs/dbraw/zinc/47/55/43/1132475543.db2.gz PSYONTSVKUSKCU-UHFFFAOYSA-N 1 2 272.417 3.870 20 0 CHADLO CCc1csc(C[N@@H+](Cc2ccccc2)C2CC2)n1 ZINC001232475593 1132475548 /nfs/dbraw/zinc/47/55/48/1132475548.db2.gz PSYONTSVKUSKCU-UHFFFAOYSA-N 1 2 272.417 3.870 20 0 CHADLO C[N@H+](Cc1cccc(CF)n1)Cc1ccccc1Cl ZINC001232535684 1132483975 /nfs/dbraw/zinc/48/39/75/1132483975.db2.gz ZZJZOFLLLUTFDN-UHFFFAOYSA-N 1 2 278.758 3.837 20 0 CHADLO C[N@@H+](Cc1cccc(CF)n1)Cc1ccccc1Cl ZINC001232535684 1132483977 /nfs/dbraw/zinc/48/39/77/1132483977.db2.gz ZZJZOFLLLUTFDN-UHFFFAOYSA-N 1 2 278.758 3.837 20 0 CHADLO CCOc1cc(Nc2cccnc2C2CC2)cc(C)[nH+]1 ZINC001212737087 1132492302 /nfs/dbraw/zinc/49/23/02/1132492302.db2.gz PEJTZORGGSWMAT-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1ccc3nccn3c1)C2 ZINC001143027150 1132494012 /nfs/dbraw/zinc/49/40/12/1132494012.db2.gz LIBOFOLUADEEQF-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1ccc3nccn3c1)C2 ZINC001143027150 1132494019 /nfs/dbraw/zinc/49/40/19/1132494019.db2.gz LIBOFOLUADEEQF-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1ccc3nccn3c1)C2 ZINC001143027126 1132494906 /nfs/dbraw/zinc/49/49/06/1132494906.db2.gz KIYRKJPWCUOBEP-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1ccc3nccn3c1)C2 ZINC001143027126 1132494910 /nfs/dbraw/zinc/49/49/10/1132494910.db2.gz KIYRKJPWCUOBEP-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO CC[NH2+]Cc1noc(C2(c3ccccc3C)CCCCC2)n1 ZINC000843141073 1132496198 /nfs/dbraw/zinc/49/61/98/1132496198.db2.gz IANCTTSWOWOQPV-UHFFFAOYSA-N 1 2 299.418 3.738 20 0 CHADLO CC[NH2+]Cc1noc([C@@H](CC)CC2CCCCC2)n1 ZINC000843144553 1132496814 /nfs/dbraw/zinc/49/68/14/1132496814.db2.gz ZXYMIMLLTKKHIP-ZDUSSCGKSA-N 1 2 265.401 3.643 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(Br)co2)CCC1(F)F ZINC001232850143 1132531485 /nfs/dbraw/zinc/53/14/85/1132531485.db2.gz JOBLXONCSLLSRE-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(Br)co2)CCC1(F)F ZINC001232850143 1132531490 /nfs/dbraw/zinc/53/14/90/1132531490.db2.gz JOBLXONCSLLSRE-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO CO[C@@H]1CCC[N@H+](Cc2cc(F)c(Cl)cc2Cl)C1 ZINC001232697958 1132507136 /nfs/dbraw/zinc/50/71/36/1132507136.db2.gz HBBCBUHMHLRLMI-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@@H]1CCC[N@@H+](Cc2cc(F)c(Cl)cc2Cl)C1 ZINC001232697958 1132507141 /nfs/dbraw/zinc/50/71/41/1132507141.db2.gz HBBCBUHMHLRLMI-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1cccc3ncsc31)C2 ZINC001232736024 1132511257 /nfs/dbraw/zinc/51/12/57/1132511257.db2.gz VNGLHLBKELFTMQ-UHFFFAOYSA-N 1 2 281.384 3.516 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1cccc3ncsc31)C2 ZINC001232736024 1132511261 /nfs/dbraw/zinc/51/12/61/1132511261.db2.gz VNGLHLBKELFTMQ-UHFFFAOYSA-N 1 2 281.384 3.516 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1cc(F)cc(OC(F)(F)F)c1 ZINC001143256336 1132526252 /nfs/dbraw/zinc/52/62/52/1132526252.db2.gz ICQIRLMQAZHXQT-KCJUWKMLSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1cc(F)cc(OC(F)(F)F)c1 ZINC001143256336 1132526258 /nfs/dbraw/zinc/52/62/58/1132526258.db2.gz ICQIRLMQAZHXQT-KCJUWKMLSA-N 1 2 295.251 3.657 20 0 CHADLO FC(F)[C@H]1CCC[N@H+](Cc2cc(Br)co2)C1 ZINC001232854682 1132530538 /nfs/dbraw/zinc/53/05/38/1132530538.db2.gz OVOOMHJBGJRWKF-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+](Cc2cc(Br)co2)C1 ZINC001232854682 1132530543 /nfs/dbraw/zinc/53/05/43/1132530543.db2.gz OVOOMHJBGJRWKF-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+](Cc2cc(Br)co2)C1 ZINC001232854681 1132531413 /nfs/dbraw/zinc/53/14/13/1132531413.db2.gz OVOOMHJBGJRWKF-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+](Cc2cc(Br)co2)C1 ZINC001232854681 1132531415 /nfs/dbraw/zinc/53/14/15/1132531415.db2.gz OVOOMHJBGJRWKF-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO CC1(F)CC[NH+](Cc2ccc(F)c(F)c2Cl)CC1 ZINC001232874182 1132533836 /nfs/dbraw/zinc/53/38/36/1132533836.db2.gz PDNBASLPQWJLLS-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](C)OC3(CCCC3)C2)cnc1Cl ZINC000843435025 1132533938 /nfs/dbraw/zinc/53/39/38/1132533938.db2.gz PTOQNPFFGWQIKZ-ZDUSSCGKSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](C)OC3(CCCC3)C2)cnc1Cl ZINC000843435025 1132533945 /nfs/dbraw/zinc/53/39/45/1132533945.db2.gz PTOQNPFFGWQIKZ-ZDUSSCGKSA-N 1 2 294.826 3.577 20 0 CHADLO CC(C)Oc1cc(-c2ccc(-n3cc[nH+]c3)cc2)c(F)cn1 ZINC001206630448 1132540244 /nfs/dbraw/zinc/54/02/44/1132540244.db2.gz QIKZPGJAVZPYPJ-UHFFFAOYSA-N 1 2 297.333 3.861 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccccc1-n1cccc1 ZINC001232910107 1132542200 /nfs/dbraw/zinc/54/22/00/1132542200.db2.gz FFXPHDBRNNDZHH-UHFFFAOYSA-N 1 2 283.400 3.566 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccccc1-n1cccc1 ZINC001232910107 1132542204 /nfs/dbraw/zinc/54/22/04/1132542204.db2.gz FFXPHDBRNNDZHH-UHFFFAOYSA-N 1 2 283.400 3.566 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(F)c(O)c2F)cc1C ZINC001232939156 1132543732 /nfs/dbraw/zinc/54/37/32/1132543732.db2.gz GVOPBFFUZUUQCB-UHFFFAOYSA-N 1 2 291.341 3.919 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(F)c(O)c2F)cc1C ZINC001232939156 1132543739 /nfs/dbraw/zinc/54/37/39/1132543739.db2.gz GVOPBFFUZUUQCB-UHFFFAOYSA-N 1 2 291.341 3.919 20 0 CHADLO CC[N@H+](Cc1ccc(F)cc1)Cc1ccc(F)c(O)c1F ZINC001232937202 1132545004 /nfs/dbraw/zinc/54/50/04/1132545004.db2.gz JUUDZSLZUTZFPV-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cc1)Cc1ccc(F)c(O)c1F ZINC001232937202 1132545012 /nfs/dbraw/zinc/54/50/12/1132545012.db2.gz JUUDZSLZUTZFPV-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO Oc1c(F)ccc(C[NH+]2CCC3(CC[C@H](F)C3)CC2)c1F ZINC001232937212 1132546003 /nfs/dbraw/zinc/54/60/03/1132546003.db2.gz KJAFYAKEWAGTKH-LBPRGKRZSA-N 1 2 299.336 3.775 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1csc2c1CCCC2 ZINC001150976646 1132562873 /nfs/dbraw/zinc/56/28/73/1132562873.db2.gz UAMFRMIOBLAGBB-UHFFFAOYSA-N 1 2 297.383 3.527 20 0 CHADLO COc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1F ZINC001173862612 1132565227 /nfs/dbraw/zinc/56/52/27/1132565227.db2.gz BKIPOSIJHAFPND-UHFFFAOYSA-N 1 2 258.296 3.850 20 0 CHADLO CC(C)C[NH+](Cc1cc(Cl)ncc1O)CC(C)C ZINC001233033928 1132565488 /nfs/dbraw/zinc/56/54/88/1132565488.db2.gz YCQRTTVIZHMBOL-UHFFFAOYSA-N 1 2 270.804 3.555 20 0 CHADLO Fc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1F ZINC001173867176 1132569075 /nfs/dbraw/zinc/56/90/75/1132569075.db2.gz IDEKIWKFUJLQHY-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(OC)cc2OC)cc1 ZINC001173893313 1132597951 /nfs/dbraw/zinc/59/79/51/1132597951.db2.gz YZECROYKKOALAQ-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(OC)cc2OC)cc1 ZINC001173893313 1132597956 /nfs/dbraw/zinc/59/79/56/1132597956.db2.gz YZECROYKKOALAQ-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(C(C)=O)c2)c1 ZINC001173899219 1132603954 /nfs/dbraw/zinc/60/39/54/1132603954.db2.gz BSLBEYJTYCCOQW-UHFFFAOYSA-N 1 2 254.333 3.980 20 0 CHADLO c1c2cccnc2sc1C[NH+]1CC(Oc2ccccc2)C1 ZINC001233348300 1132606475 /nfs/dbraw/zinc/60/64/75/1132606475.db2.gz VMUCYXROJLAIIG-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cc(OC)ccc1OC ZINC001173916205 1132611757 /nfs/dbraw/zinc/61/17/57/1132611757.db2.gz MOLQSRNLUADDNN-UHFFFAOYSA-N 1 2 272.348 3.713 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001154411520 1132615335 /nfs/dbraw/zinc/61/53/35/1132615335.db2.gz WFKAIXKLKBBFOF-NSHDSACASA-N 1 2 297.333 3.501 20 0 CHADLO C[C@@H]1CCC[C@@H](C)[NH+]1Cc1c(Cl)ncnc1Cl ZINC001233407093 1132616633 /nfs/dbraw/zinc/61/66/33/1132616633.db2.gz QOVIAIVLFUHRNB-RKDXNWHRSA-N 1 2 274.195 3.546 20 0 CHADLO Cc1cc(NCc2nc3ccccc3o2)nc(C(C)(C)C)[nH+]1 ZINC001154656130 1132622632 /nfs/dbraw/zinc/62/26/32/1132622632.db2.gz NIKGMXVQDXOOMZ-UHFFFAOYSA-N 1 2 296.374 3.836 20 0 CHADLO CCOc1ccc[nH+]c1NCc1ccc2c(ccn2C)c1 ZINC001154805764 1132625861 /nfs/dbraw/zinc/62/58/61/1132625861.db2.gz HPPKASHKGUWBMS-UHFFFAOYSA-N 1 2 281.359 3.584 20 0 CHADLO COC(=O)CCCCCCNc1[nH+]c(C)cc(Cl)c1C ZINC001155007341 1132634799 /nfs/dbraw/zinc/63/47/99/1132634799.db2.gz JTCXUAMOABWNFE-UHFFFAOYSA-N 1 2 298.814 3.887 20 0 CHADLO Cc1cc(N[C@@H]2CCCC[C@H]2F)nc(C(C)C)[nH+]1 ZINC001155063126 1132638401 /nfs/dbraw/zinc/63/84/01/1132638401.db2.gz YIOLIARRKABKMO-VXGBXAGGSA-N 1 2 251.349 3.601 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)N1CCC[C@H]1c1[nH]c(C)c(C)[nH+]1 ZINC001155174191 1132645504 /nfs/dbraw/zinc/64/55/04/1132645504.db2.gz LOVBDMNWIXLSHS-ABAIWWIYSA-N 1 2 291.439 3.762 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1ccc(-c2cccs2)nn1 ZINC001155180269 1132645891 /nfs/dbraw/zinc/64/58/91/1132645891.db2.gz OUKPEQPTYLAJQY-UHFFFAOYSA-N 1 2 260.366 3.640 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1nc2[nH]ccc2cc1Cl ZINC001155180221 1132646059 /nfs/dbraw/zinc/64/60/59/1132646059.db2.gz MOGOWCBNYJCYSD-UHFFFAOYSA-N 1 2 250.733 3.603 20 0 CHADLO Cc1cc(NCc2cccn2C)[nH+]c2c(C)cccc12 ZINC001155210455 1132647566 /nfs/dbraw/zinc/64/75/66/1132647566.db2.gz IIAUDARQKUEBKT-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)ccc1OC ZINC001173984998 1132663262 /nfs/dbraw/zinc/66/32/62/1132663262.db2.gz JLUFWOYTPXXKMZ-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO Brc1cc(NC2=CCCC2)c2[nH+]ccn2c1 ZINC001173996822 1132668987 /nfs/dbraw/zinc/66/89/87/1132668987.db2.gz PGUGWVRLAXKTQY-UHFFFAOYSA-N 1 2 278.153 3.577 20 0 CHADLO CCc1nccnc1NC(=[NH2+])C12CC3CC(CC(C3)C1)C2 ZINC001155960735 1132672315 /nfs/dbraw/zinc/67/23/15/1132672315.db2.gz ZNOAFCKIKNRXSL-UHFFFAOYSA-N 1 2 284.407 3.645 20 0 CHADLO CSc1cc[nH+]c(N(C)CC/C=C/c2cccnc2)c1 ZINC001155976014 1132675014 /nfs/dbraw/zinc/67/50/14/1132675014.db2.gz BNKKAIAZZYPYGK-ZZXKWVIFSA-N 1 2 285.416 3.738 20 0 CHADLO CSCc1ccc(NCCOc2ccccc2F)[nH+]c1 ZINC001156023236 1132677709 /nfs/dbraw/zinc/67/77/09/1132677709.db2.gz FLXYKKDZEGHXGW-UHFFFAOYSA-N 1 2 292.379 3.575 20 0 CHADLO COc1c[nH+]c(NCCCOc2ccccc2C)c(C)c1 ZINC001156034480 1132679259 /nfs/dbraw/zinc/67/92/59/1132679259.db2.gz NOJCANQEHMPIPE-UHFFFAOYSA-N 1 2 286.375 3.588 20 0 CHADLO CSCc1ccc(NCCSCc2ccco2)[nH+]c1 ZINC001156198005 1132687842 /nfs/dbraw/zinc/68/78/42/1132687842.db2.gz GNVBZYCEOPGLMC-UHFFFAOYSA-N 1 2 294.445 3.883 20 0 CHADLO COC(=O)c1c(F)ccc(F)c1Nc1ccc(C)[nH+]c1C ZINC001203376930 1132690637 /nfs/dbraw/zinc/69/06/37/1132690637.db2.gz XBUITZKQWGKOMK-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO COc1ccc2cc(Nc3[nH+]cccc3CCO)ccc2c1 ZINC001174030441 1132700605 /nfs/dbraw/zinc/70/06/05/1132700605.db2.gz FBCRNBFJWIRBQN-UHFFFAOYSA-N 1 2 294.354 3.522 20 0 CHADLO COc1ncccc1Nc1ccc2c(C)cc[nH+]c2c1 ZINC001174043788 1132706487 /nfs/dbraw/zinc/70/64/87/1132706487.db2.gz WEFRWMQDEGKGRG-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO CN(Cc1ccc(-n2cc[nH+]c2)cc1)c1cc(Cl)ccn1 ZINC001156776188 1132708228 /nfs/dbraw/zinc/70/82/28/1132708228.db2.gz VZCQIPJOMKBDRQ-UHFFFAOYSA-N 1 2 298.777 3.557 20 0 CHADLO COCc1cccc(Nc2[nH+]cccc2C2CC2)c1 ZINC001174094362 1132711824 /nfs/dbraw/zinc/71/18/24/1132711824.db2.gz NGVHKSVYKOEAII-UHFFFAOYSA-N 1 2 254.333 3.849 20 0 CHADLO COCc1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001174094743 1132712795 /nfs/dbraw/zinc/71/27/95/1132712795.db2.gz FBKVUGIAJNYARU-UHFFFAOYSA-N 1 2 293.370 3.821 20 0 CHADLO COc1c[nH+]c(N[C@@H]2C[C@H]2c2ccc(F)c(F)c2)c(C)c1 ZINC001157299461 1132726905 /nfs/dbraw/zinc/72/69/05/1132726905.db2.gz UUPJKBJWLOUMJR-SWLSCSKDSA-N 1 2 290.313 3.645 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(OC(C)C)c1 ZINC001174112877 1132727602 /nfs/dbraw/zinc/72/76/02/1132727602.db2.gz RURPAEWMMFKJMX-UHFFFAOYSA-N 1 2 258.321 3.621 20 0 CHADLO Cc1c[nH+]c(Nc2cccc(CO)c2Cl)c(C)c1 ZINC001212761681 1132733222 /nfs/dbraw/zinc/73/32/22/1132733222.db2.gz VEIGDLZIQVHONI-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO C=Cc1ccc(CNc2[nH+]c(C)nc3[nH]ccc32)cc1 ZINC001157771772 1132741473 /nfs/dbraw/zinc/74/14/73/1132741473.db2.gz ZDLLWKZYTCDSSM-UHFFFAOYSA-N 1 2 264.332 3.521 20 0 CHADLO CCOC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)cc1F ZINC001203379933 1132749537 /nfs/dbraw/zinc/74/95/37/1132749537.db2.gz FHBHNXXUHAKKQA-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1[nH+]cc(C)cc1C(OC)OC ZINC001157886804 1132752430 /nfs/dbraw/zinc/75/24/30/1132752430.db2.gz KCEWZAMCWIWGEU-DGCLKSJQSA-N 1 2 280.412 3.918 20 0 CHADLO COc1ccc(F)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001174209099 1132757087 /nfs/dbraw/zinc/75/70/87/1132757087.db2.gz CZPURIXUENMTSD-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO Cc1n[nH]c(C)c1Nc1cc[nH+]c2cc(Cl)ccc12 ZINC001174216520 1132761241 /nfs/dbraw/zinc/76/12/41/1132761241.db2.gz VJXINSILYJMFTP-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO CC(=O)NCc1ccc(Nc2cccc(C3CCC3)[nH+]2)cc1 ZINC001174233006 1132768589 /nfs/dbraw/zinc/76/85/89/1132768589.db2.gz ZIPFTVNOESHHCD-UHFFFAOYSA-N 1 2 295.386 3.729 20 0 CHADLO CCSc1cc[nH+]c(NCCCc2ccc(C)nc2)c1 ZINC001158377950 1132772807 /nfs/dbraw/zinc/77/28/07/1132772807.db2.gz YGYDSYXBYYRQGP-UHFFFAOYSA-N 1 2 287.432 3.942 20 0 CHADLO Cc1cccc2c(C)cc(N[C@H]3CCC[C@@H](O)C3)[nH+]c12 ZINC001158364224 1132773219 /nfs/dbraw/zinc/77/32/19/1132773219.db2.gz RHDCCRPACMLYCS-UONOGXRCSA-N 1 2 270.376 3.567 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(-n3cccn3)c2)c1 ZINC001174298041 1132777856 /nfs/dbraw/zinc/77/78/56/1132777856.db2.gz CEYDYIDJTODHDT-UHFFFAOYSA-N 1 2 278.359 3.963 20 0 CHADLO CC(C)N(c1cc(N(C)C)[nH+]cn1)[C@@H](C)c1ccccc1 ZINC001158471318 1132779098 /nfs/dbraw/zinc/77/90/98/1132779098.db2.gz WGFIATGDAIGJQM-AWEZNQCLSA-N 1 2 284.407 3.519 20 0 CHADLO CC(C)N(c1cc(N(C)C)nc[nH+]1)[C@@H](C)c1ccccc1 ZINC001158471318 1132779100 /nfs/dbraw/zinc/77/91/00/1132779100.db2.gz WGFIATGDAIGJQM-AWEZNQCLSA-N 1 2 284.407 3.519 20 0 CHADLO CC(C)N(c1ccc(N)c[nH+]1)[C@@H](C)c1ccccc1 ZINC001158474660 1132780325 /nfs/dbraw/zinc/78/03/25/1132780325.db2.gz YJEMHCGSAWTKFK-ZDUSSCGKSA-N 1 2 255.365 3.640 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2n[nH]cc2c1 ZINC001174272582 1132782398 /nfs/dbraw/zinc/78/23/98/1132782398.db2.gz DKVCHWOEZLUZEL-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CC(C)(Nc1cc(N)nc[nH+]1)c1cc(Cl)cc(Cl)c1 ZINC001158543789 1132782532 /nfs/dbraw/zinc/78/25/32/1132782532.db2.gz GKQIAEIQZYCKIG-UHFFFAOYSA-N 1 2 297.189 3.713 20 0 CHADLO CSc1cc[nH+]c(N2CCc3cc(F)c(F)cc3C2)c1 ZINC001158809460 1132793539 /nfs/dbraw/zinc/79/35/39/1132793539.db2.gz QRWMZCNLDHJQJQ-UHFFFAOYSA-N 1 2 292.354 3.644 20 0 CHADLO Cc1c(F)cc[nH+]c1N(C)[C@@H]1CCc2ccccc21 ZINC001158920591 1132798434 /nfs/dbraw/zinc/79/84/34/1132798434.db2.gz CZICXHJLODLSGI-OAHLLOKOSA-N 1 2 256.324 3.653 20 0 CHADLO Cc1cn2c(cccc2Nc2c(Cl)cccc2CO)[nH+]1 ZINC001159108637 1132807124 /nfs/dbraw/zinc/80/71/24/1132807124.db2.gz JERLNYSSJHBNEO-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO Cc1csc(N=C2CCC[N@H+](Cc3ccccc3)C2)n1 ZINC001174392931 1132808260 /nfs/dbraw/zinc/80/82/60/1132808260.db2.gz NAUMEIWFZVYDAM-UHFFFAOYSA-N 1 2 285.416 3.653 20 0 CHADLO Cc1csc(N=C2CCC[N@@H+](Cc3ccccc3)C2)n1 ZINC001174392931 1132808268 /nfs/dbraw/zinc/80/82/68/1132808268.db2.gz NAUMEIWFZVYDAM-UHFFFAOYSA-N 1 2 285.416 3.653 20 0 CHADLO Cc1cc(NC2=CCC[N@H+](Cc3ccccc3)C2)sn1 ZINC001174393126 1132809964 /nfs/dbraw/zinc/80/99/64/1132809964.db2.gz RHNOVGOCGBIWJG-UHFFFAOYSA-N 1 2 285.416 3.653 20 0 CHADLO Cc1cc(NC2=CCC[N@@H+](Cc3ccccc3)C2)sn1 ZINC001174393126 1132809977 /nfs/dbraw/zinc/80/99/77/1132809977.db2.gz RHNOVGOCGBIWJG-UHFFFAOYSA-N 1 2 285.416 3.653 20 0 CHADLO Cc1cc(N=C2CCC[N@H+](Cc3ccccc3)C2)sn1 ZINC001174393126 1132809985 /nfs/dbraw/zinc/80/99/85/1132809985.db2.gz RHNOVGOCGBIWJG-UHFFFAOYSA-N 1 2 285.416 3.653 20 0 CHADLO Cc1cc(N=C2CCC[N@@H+](Cc3ccccc3)C2)sn1 ZINC001174393126 1132809993 /nfs/dbraw/zinc/80/99/93/1132809993.db2.gz RHNOVGOCGBIWJG-UHFFFAOYSA-N 1 2 285.416 3.653 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(F)(F)F)cc1F ZINC001174398881 1132813410 /nfs/dbraw/zinc/81/34/10/1132813410.db2.gz CDNNQWSIAQMRHT-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO Nc1ccc(Nc2c(F)ccc(Cl)c2Cl)c[nH+]1 ZINC001159282127 1132823620 /nfs/dbraw/zinc/82/36/20/1132823620.db2.gz OCZPLYYMILXYSG-UHFFFAOYSA-N 1 2 272.110 3.853 20 0 CHADLO CN(c1ccc(Nc2ccc(N)[nH+]c2)cn1)C1CCCCC1 ZINC001159282144 1132823810 /nfs/dbraw/zinc/82/38/10/1132823810.db2.gz OXMMTMHPYGGDRQ-UHFFFAOYSA-N 1 2 297.406 3.571 20 0 CHADLO CCn1cc(Nc2ccc3c(c2)[nH+]cn3C(C)C)cn1 ZINC001174381047 1132829140 /nfs/dbraw/zinc/82/91/40/1132829140.db2.gz RHFFUDCZCLIBHQ-UHFFFAOYSA-N 1 2 269.352 3.577 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cnncc3Cl)ccc21 ZINC001174382086 1132829466 /nfs/dbraw/zinc/82/94/66/1132829466.db2.gz XKMCMSSNOHIRFG-UHFFFAOYSA-N 1 2 287.754 3.804 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2ccc(Cl)nc2N)cc1 ZINC001159378347 1132835252 /nfs/dbraw/zinc/83/52/52/1132835252.db2.gz LWYWDIIGIRNGRH-UHFFFAOYSA-N 1 2 276.771 3.881 20 0 CHADLO COc1ccc(F)c(F)c1Nc1cccc2[nH+]c(C)cn21 ZINC001174421030 1132836879 /nfs/dbraw/zinc/83/68/79/1132836879.db2.gz FPDLPBQFUYKITH-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO COc1ccc(F)c(F)c1Nc1ccc2c(c1)[nH+]cn2C ZINC001174423976 1132841424 /nfs/dbraw/zinc/84/14/24/1132841424.db2.gz OYGHQDSZFSEVDU-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccnc2[nH]ccc21 ZINC001174408949 1132847235 /nfs/dbraw/zinc/84/72/35/1132847235.db2.gz BNKUQJROKUYOLX-UHFFFAOYSA-N 1 2 252.321 3.524 20 0 CHADLO CCOC(=O)c1cccc(Nc2cc[nH+]c3[nH]ccc32)c1C ZINC001174411600 1132849933 /nfs/dbraw/zinc/84/99/33/1132849933.db2.gz FKFBJDCMRXRQFL-UHFFFAOYSA-N 1 2 295.342 3.743 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c[nH]nc2c1 ZINC001174498730 1132863328 /nfs/dbraw/zinc/86/33/28/1132863328.db2.gz LIJIGRVPUAMRCI-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2cn[nH]c2c1 ZINC001174498730 1132863320 /nfs/dbraw/zinc/86/33/20/1132863320.db2.gz LIJIGRVPUAMRCI-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CCSc1cc[nH+]c(N[C@@H](C)c2cccc(N)c2)c1 ZINC001159639010 1132881420 /nfs/dbraw/zinc/88/14/20/1132881420.db2.gz GAIWTBWZISLQBV-NSHDSACASA-N 1 2 273.405 3.949 20 0 CHADLO COc1cc(Nc2cccc(-c3cc[nH]n3)c2)cc(C)[nH+]1 ZINC001174506434 1132904300 /nfs/dbraw/zinc/90/43/00/1132904300.db2.gz HMWSRKKLHSVTJY-UHFFFAOYSA-N 1 2 280.331 3.532 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3nc(N)sc3c2)c1 ZINC001159820251 1132911969 /nfs/dbraw/zinc/91/19/69/1132911969.db2.gz ATFFUIMDLUKYIF-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO COc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)ccc1O ZINC001174524593 1132913672 /nfs/dbraw/zinc/91/36/72/1132913672.db2.gz OTVVIVFVPFUHBU-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO Oc1ccc2c(c1)C[C@H](Nc1cc3ccccc3c[nH+]1)CC2 ZINC001159891458 1132919214 /nfs/dbraw/zinc/91/92/14/1132919214.db2.gz KRYZNKIWWKXTML-QGZVFWFLSA-N 1 2 290.366 3.910 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cncc(C(C)(C)O)c1 ZINC001174592528 1132919428 /nfs/dbraw/zinc/91/94/28/1132919428.db2.gz UNVXQKJBJKSIJA-UHFFFAOYSA-N 1 2 299.418 3.955 20 0 CHADLO Cc1ccc2c(Nc3cncc(C(C)(C)O)c3)cccc2[nH+]1 ZINC001174593772 1132919711 /nfs/dbraw/zinc/91/97/11/1132919711.db2.gz KQZAKXHRGSCROO-UHFFFAOYSA-N 1 2 293.370 3.909 20 0 CHADLO Cc1cc(O)ccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001174563806 1132924564 /nfs/dbraw/zinc/92/45/64/1132924564.db2.gz FQNCMGLACDIOBM-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CCSc1cc[nH+]c(N[C@@H]2COCc3ccccc32)c1 ZINC001160123174 1132940565 /nfs/dbraw/zinc/94/05/65/1132940565.db2.gz AMFAJNIBYNRSEI-OAHLLOKOSA-N 1 2 286.400 3.877 20 0 CHADLO c1ccc2cc(NCCOC3CCCCC3)[nH+]cc2c1 ZINC001160276117 1132956127 /nfs/dbraw/zinc/95/61/27/1132956127.db2.gz BCVKAFAWRQWGPO-UHFFFAOYSA-N 1 2 270.376 3.996 20 0 CHADLO Cc1cc(C)c(Nc2cc(Br)ccc2N)c[nH+]1 ZINC001160277380 1132956246 /nfs/dbraw/zinc/95/62/46/1132956246.db2.gz BXEZMSGVDAZPSN-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(N2CCOCC2)cc1 ZINC001174707433 1132959871 /nfs/dbraw/zinc/95/98/71/1132959871.db2.gz IYQMIGNLOANXGH-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3c(c2)NCCC3(C)C)cc1N ZINC001160323045 1132962609 /nfs/dbraw/zinc/96/26/09/1132962609.db2.gz KMJJNRCCRYUGCN-UHFFFAOYSA-N 1 2 282.391 3.809 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2c(c1)C(C)(C)CCN2 ZINC001160331628 1132963025 /nfs/dbraw/zinc/96/30/25/1132963025.db2.gz AGYUORXFQWMEBS-UHFFFAOYSA-N 1 2 283.375 3.927 20 0 CHADLO Cn1cc(-c2ccc(Nc3cccc4[nH+]c[nH]c43)cc2)cn1 ZINC001213030756 1132994203 /nfs/dbraw/zinc/99/42/03/1132994203.db2.gz PQGBCFAQRXFWPL-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO FC(F)(F)Oc1ccc(Nc2cccc3[nH+]c[nH]c32)cn1 ZINC001213029709 1132994676 /nfs/dbraw/zinc/99/46/76/1132994676.db2.gz SHBVBICPKQRVHL-UHFFFAOYSA-N 1 2 294.236 3.600 20 0 CHADLO O=c1[nH]c2ccc(Nc3cccc4[nH+]c[nH]c43)cc2s1 ZINC001213031718 1132996521 /nfs/dbraw/zinc/99/65/21/1132996521.db2.gz OCYVCXUIVCNZPW-UHFFFAOYSA-N 1 2 282.328 3.622 20 0 CHADLO c1nc2c(cccc2Nc2cccc3cc[nH+]cc32)[nH]1 ZINC001213031849 1132998290 /nfs/dbraw/zinc/99/82/90/1132998290.db2.gz PFDYTNJQCJTYDW-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO Cc1cc2c(cccc2Nc2cccc3[nH+]c[nH]c32)nn1 ZINC001213032215 1132998677 /nfs/dbraw/zinc/99/86/77/1132998677.db2.gz YGECGJCZSMBKHZ-UHFFFAOYSA-N 1 2 275.315 3.558 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3nccnc32)[nH+]c2ccccc12 ZINC001161406771 1133000030 /nfs/dbraw/zinc/00/00/30/1133000030.db2.gz FWIAJUHBLPHDHN-MRXNPFEDSA-N 1 2 290.370 3.823 20 0 CHADLO CCOC(=O)c1ccc(F)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213032471 1133000127 /nfs/dbraw/zinc/00/01/27/1133000127.db2.gz HYJRYAGCCPLDCG-UHFFFAOYSA-N 1 2 299.305 3.622 20 0 CHADLO c1[nH]c2c(cccc2Nc2ccc(-c3ccncn3)cc2)[nH+]1 ZINC001213032579 1133000151 /nfs/dbraw/zinc/00/01/51/1133000151.db2.gz NAQPSLSTOCCIBA-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO c1ncc(-c2ccccc2Nc2cccc3[nH+]c[nH]c32)o1 ZINC001213032464 1133000707 /nfs/dbraw/zinc/00/07/07/1133000707.db2.gz HOJZXKVAYQDIJB-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO COc1ccc2ccc(NC3CC4(CCC4)C3)[nH+]c2c1 ZINC001161447060 1133003051 /nfs/dbraw/zinc/00/30/51/1133003051.db2.gz WOABGYHMKWOVEZ-UHFFFAOYSA-N 1 2 268.360 3.988 20 0 CHADLO CCC(C)(C)c1ccc(CN2CCC(F)(F)[C@H]([NH3+])C2)cc1 ZINC001206675682 1133005394 /nfs/dbraw/zinc/00/53/94/1133005394.db2.gz KWXUNJODFSOJHM-OAHLLOKOSA-N 1 2 296.405 3.543 20 0 CHADLO CSc1ccnc(Cl)c1NCc1c[nH+]cn1C(C)C ZINC001161564959 1133006223 /nfs/dbraw/zinc/00/62/23/1133006223.db2.gz HUFNYMHBIBZLOK-UHFFFAOYSA-N 1 2 296.827 3.846 20 0 CHADLO Fc1ccc(Nc2cccc3[nH+]c[nH]c32)c2ccncc12 ZINC001213033820 1133007408 /nfs/dbraw/zinc/00/74/08/1133007408.db2.gz ZBTRSVFCTXLZKS-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO CC(=O)c1cc(Nc2cccc3[nH+]c[nH]c32)cc(C(C)=O)c1 ZINC001213033605 1133007819 /nfs/dbraw/zinc/00/78/19/1133007819.db2.gz OUNROWQSKGWLGX-UHFFFAOYSA-N 1 2 293.326 3.712 20 0 CHADLO Cc1ccccc1CNc1cc[nH+]c(OC(C)C)c1 ZINC001161621209 1133010931 /nfs/dbraw/zinc/01/09/31/1133010931.db2.gz ZLPLBERTHSORLG-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO Cc1c(F)cc[nH+]c1NCC1(CC2CC2)CCOCC1 ZINC001161651901 1133014070 /nfs/dbraw/zinc/01/40/70/1133014070.db2.gz MCEBSJPRSUNUQD-UHFFFAOYSA-N 1 2 278.371 3.538 20 0 CHADLO Cc1cccc2c(C)cc(N[C@@H]3CCO[C@@H](C)C3)[nH+]c12 ZINC001161687488 1133015896 /nfs/dbraw/zinc/01/58/96/1133015896.db2.gz WABHVPXYSURNLK-UONOGXRCSA-N 1 2 270.376 3.831 20 0 CHADLO Cc1cccc2c(C)cc(N[C@@H]3CCO[C@H](C)C3)[nH+]c12 ZINC001161687489 1133016179 /nfs/dbraw/zinc/01/61/79/1133016179.db2.gz WABHVPXYSURNLK-ZIAGYGMSSA-N 1 2 270.376 3.831 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NCCOC(C)C)c2)cc1 ZINC001161788186 1133028565 /nfs/dbraw/zinc/02/85/65/1133028565.db2.gz KGFKUSIYXQHLFJ-UHFFFAOYSA-N 1 2 270.376 3.894 20 0 CHADLO Cc1ccc(C)c(CCNc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001161832346 1133033809 /nfs/dbraw/zinc/03/38/09/1133033809.db2.gz NKBGWCOOVZBTHW-UHFFFAOYSA-N 1 2 295.430 3.953 20 0 CHADLO Cc1cc(N[C@H](c2ccnc(F)c2)C2CC2)nc(C2CC2)[nH+]1 ZINC001161846940 1133035079 /nfs/dbraw/zinc/03/50/79/1133035079.db2.gz FNGZLEYYFWLYGS-INIZCTEOSA-N 1 2 298.365 3.760 20 0 CHADLO c1ccc([C@@H](Nc2ccc3c([nH+]2)CCOC3)C2CC2)cc1 ZINC001161954171 1133040921 /nfs/dbraw/zinc/04/09/21/1133040921.db2.gz AHGKLVSQWCTLNX-GOSISDBHSA-N 1 2 280.371 3.718 20 0 CHADLO Fc1c[nH]c2nc[nH+]c(N[C@@H](c3ccccc3)C3CC3)c12 ZINC001161954817 1133042000 /nfs/dbraw/zinc/04/20/00/1133042000.db2.gz GDYZSZORUKFYJV-AWEZNQCLSA-N 1 2 282.322 3.660 20 0 CHADLO c1cn2ccc(N[C@H](c3ccccc3)C3CC3)cc2[nH+]1 ZINC001161958382 1133042564 /nfs/dbraw/zinc/04/25/64/1133042564.db2.gz ORZOEIBTIYQKGY-QGZVFWFLSA-N 1 2 263.344 3.898 20 0 CHADLO Cc1nc(NCc2nccnc2C)cc(C2CCCCC2)[nH+]1 ZINC001162002582 1133048125 /nfs/dbraw/zinc/04/81/25/1133048125.db2.gz SODUGXORZWNNBQ-UHFFFAOYSA-N 1 2 297.406 3.543 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1NC[C@H]1CCC(C)(C)C1 ZINC001162123218 1133051932 /nfs/dbraw/zinc/05/19/32/1133051932.db2.gz PBUBXGLVFCZZAN-ZDUSSCGKSA-N 1 2 292.423 3.920 20 0 CHADLO COc1cc[nH+]c(C(C)(C)CNc2ccc(Cl)cn2)c1 ZINC001162127985 1133053617 /nfs/dbraw/zinc/05/36/17/1133053617.db2.gz FPBPGVCDCNCFQW-UHFFFAOYSA-N 1 2 291.782 3.528 20 0 CHADLO Cc1cc(NCCOc2ccccc2C)nc(C2CCC2)[nH+]1 ZINC001162225431 1133061061 /nfs/dbraw/zinc/06/10/61/1133061061.db2.gz BBVWXBQFFUVCES-UHFFFAOYSA-N 1 2 297.402 3.852 20 0 CHADLO Cc1cccc2c(C)cc(N[C@H]3C[C@@]34CCCOC4)[nH+]c12 ZINC001162367997 1133071931 /nfs/dbraw/zinc/07/19/31/1133071931.db2.gz NCJYWUUOMSRENH-MAUKXSAKSA-N 1 2 282.387 3.833 20 0 CHADLO COC(=O)[C@H](C)c1ccc(NC[C@H]2CCCC[C@@H]2C)[nH+]c1 ZINC001162525159 1133087821 /nfs/dbraw/zinc/08/78/21/1133087821.db2.gz KGEIXNWMVSSRGI-BFHYXJOUSA-N 1 2 290.407 3.596 20 0 CHADLO COc1ccc[nH+]c1NC[C@H]1CCCc2ccccc21 ZINC001162551375 1133090872 /nfs/dbraw/zinc/09/08/72/1133090872.db2.gz HYNQVZPLJGHBID-CQSZACIVSA-N 1 2 268.360 3.622 20 0 CHADLO Fc1ccc(NC[C@H]2CCC(F)(F)C2)cc1-n1cc[nH+]c1 ZINC001162655385 1133101205 /nfs/dbraw/zinc/10/12/05/1133101205.db2.gz KVBNMBMVGZFFEM-NSHDSACASA-N 1 2 295.308 3.859 20 0 CHADLO Cc1ccc(-c2ccc(NC(=[NH2+])C(C)(C)C)nn2)s1 ZINC001162662458 1133102773 /nfs/dbraw/zinc/10/27/73/1133102773.db2.gz VEYZLKBHDQAXRI-UHFFFAOYSA-N 1 2 274.393 3.949 20 0 CHADLO Cc1cnccc1CNc1cc2cc(F)ccc2c[nH+]1 ZINC001162715607 1133105598 /nfs/dbraw/zinc/10/55/98/1133105598.db2.gz IGDDYIPVPLTCCZ-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO CSc1nc(NCc2cncc3ccccc32)cc(C)[nH+]1 ZINC001162745702 1133107769 /nfs/dbraw/zinc/10/77/69/1133107769.db2.gz ZOUZOBHWSQVOCD-UHFFFAOYSA-N 1 2 296.399 3.667 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1cncc2ccccc21 ZINC001162749442 1133108873 /nfs/dbraw/zinc/10/88/73/1133108873.db2.gz JVFRRGCKXUUJLP-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CC[C@@H]1CCC[C@@H]1Nc1nc(C)[nH+]c2c1CCCC2 ZINC001162818736 1133114374 /nfs/dbraw/zinc/11/43/74/1133114374.db2.gz JZUYTMASQDOZQW-OCCSQVGLSA-N 1 2 259.397 3.654 20 0 CHADLO COc1cccc2c1OC[C@H]2Nc1ccc(C(C)(C)C)c[nH+]1 ZINC001163233470 1133139662 /nfs/dbraw/zinc/13/96/62/1133139662.db2.gz QZHRJXDBUGYXNO-CQSZACIVSA-N 1 2 298.386 3.933 20 0 CHADLO COc1c(F)cccc1[C@@H](C)Nc1[nH+]ccc(F)c1C ZINC001163337932 1133143706 /nfs/dbraw/zinc/14/37/06/1133143706.db2.gz NWHDQSBMPMLRSF-SNVBAGLBSA-N 1 2 278.302 3.850 20 0 CHADLO CCN(Cc1ccncc1)c1[nH+]ccc2ccccc21 ZINC001163607954 1133162534 /nfs/dbraw/zinc/16/25/34/1133162534.db2.gz OJUMHMUWWZADSY-UHFFFAOYSA-N 1 2 263.344 3.656 20 0 CHADLO CCOc1cccc(CCNc2c[nH+]c(C)c(C)c2)c1 ZINC001163709077 1133166391 /nfs/dbraw/zinc/16/63/91/1133166391.db2.gz OMTASVLCZSLCHJ-UHFFFAOYSA-N 1 2 270.376 3.752 20 0 CHADLO Cc1cc(NCCc2cccc(O)c2)[nH+]cc1C(F)(F)F ZINC001163698316 1133166421 /nfs/dbraw/zinc/16/64/21/1133166421.db2.gz IDXDBHSTSFUZBK-UHFFFAOYSA-N 1 2 296.292 3.769 20 0 CHADLO COc1cc[nH+]cc1NC(C)(C)Cc1ccc(F)cc1 ZINC001163852778 1133179096 /nfs/dbraw/zinc/17/90/96/1133179096.db2.gz GAAKHCNTYXWNKR-UHFFFAOYSA-N 1 2 274.339 3.663 20 0 CHADLO Cc1ccc2cc(NCc3ccccc3N)[nH+]cc2c1 ZINC001163881268 1133182238 /nfs/dbraw/zinc/18/22/38/1133182238.db2.gz XHZZDVOMUHJCMA-UHFFFAOYSA-N 1 2 263.344 3.738 20 0 CHADLO CC(C)c1cc(N[C@H]2C[C@@H](C)O[C@@H]2C)nc(C(C)C)[nH+]1 ZINC001163906267 1133183876 /nfs/dbraw/zinc/18/38/76/1133183876.db2.gz BSAFUKIGABTTJX-BZPMIXESSA-N 1 2 277.412 3.701 20 0 CHADLO COC1(C)CCN(c2[nH+]ccc3cc(Cl)ccc32)CC1 ZINC001163943223 1133185697 /nfs/dbraw/zinc/18/56/97/1133185697.db2.gz VMLQRCCCASHUBO-UHFFFAOYSA-N 1 2 290.794 3.894 20 0 CHADLO COc1ccc(C)[nH+]c1N1CCc2ccccc2[C@H]1C ZINC001163954933 1133187738 /nfs/dbraw/zinc/18/77/38/1133187738.db2.gz GNNMYRZXILIKDC-CYBMUJFWSA-N 1 2 268.360 3.522 20 0 CHADLO Cc1c(F)cc[nH+]c1N1CCc2ccccc2[C@H]1C ZINC001163956095 1133187841 /nfs/dbraw/zinc/18/78/41/1133187841.db2.gz JLWCEAKHTFTWOE-GFCCVEGCSA-N 1 2 256.324 3.653 20 0 CHADLO Cc1nc(N2CCCC(F)(F)CC2)cc(C(C)C)[nH+]1 ZINC001163977120 1133190342 /nfs/dbraw/zinc/19/03/42/1133190342.db2.gz LBBFZYDEBNTPME-UHFFFAOYSA-N 1 2 269.339 3.534 20 0 CHADLO c1ccc([C@H](Nc2cccc[nH+]2)c2ccncc2)cc1 ZINC001164065507 1133195868 /nfs/dbraw/zinc/19/58/68/1133195868.db2.gz AMLPRVHRFLKZRP-KRWDZBQOSA-N 1 2 261.328 3.678 20 0 CHADLO Cc1cc(N2CC[C@H](CF)C(F)(F)C2)[nH+]c2ccccc12 ZINC001164093346 1133196848 /nfs/dbraw/zinc/19/68/48/1133196848.db2.gz CCPCOIJLGCIRPC-GFCCVEGCSA-N 1 2 294.320 3.974 20 0 CHADLO Cc1cc2cc(NCCSCc3ccco3)[nH+]cc2[nH]1 ZINC001164220485 1133208550 /nfs/dbraw/zinc/20/85/50/1133208550.db2.gz PZGVWNFAPCTDSK-UHFFFAOYSA-N 1 2 287.388 3.810 20 0 CHADLO COC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)c(F)c1F ZINC001203381415 1133225423 /nfs/dbraw/zinc/22/54/23/1133225423.db2.gz XDZKIRMTZYKALR-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1ccc(Nc2cccc3c2CC(C)(C)C(=O)N3)c(C)[nH+]1 ZINC001203381375 1133225692 /nfs/dbraw/zinc/22/56/92/1133225692.db2.gz VZDCSSLPCNQICV-UHFFFAOYSA-N 1 2 295.386 3.963 20 0 CHADLO Cc1ccc2cc(NC[C@H]3CCCCO3)[nH+]cc2c1 ZINC001164674146 1133226247 /nfs/dbraw/zinc/22/62/47/1133226247.db2.gz SSISBNXFFFTVAH-OAHLLOKOSA-N 1 2 256.349 3.524 20 0 CHADLO CC1(C)CN(c2cccc(C3CC3)[nH+]2)CCC1(F)F ZINC001165218794 1133231503 /nfs/dbraw/zinc/23/15/03/1133231503.db2.gz KJOTZXJDJSUGBW-UHFFFAOYSA-N 1 2 266.335 3.831 20 0 CHADLO CSc1cc[nH+]c(N2CCC(F)(F)C(C)(C)C2)c1 ZINC001165216936 1133230426 /nfs/dbraw/zinc/23/04/26/1133230426.db2.gz RESWQPLSLRAZDC-UHFFFAOYSA-N 1 2 272.364 3.675 20 0 CHADLO COc1ccc(C)[nH+]c1N1Cc2ccccc2[C@@H](C)C1 ZINC001165217241 1133230729 /nfs/dbraw/zinc/23/07/29/1133230729.db2.gz JSYYXUOCFWRTBK-LBPRGKRZSA-N 1 2 268.360 3.522 20 0 CHADLO COc1cc2[nH+]c(N3CCC34CCCC4)ccc2c(OC)c1 ZINC001165280739 1133236188 /nfs/dbraw/zinc/23/61/88/1133236188.db2.gz KCDXFHNPLBDWQJ-UHFFFAOYSA-N 1 2 298.386 3.775 20 0 CHADLO F[C@@H]1CN(c2cc(-c3ccccc3)cc[nH+]2)C[C@@H]2C[C@@H]21 ZINC001165365403 1133241290 /nfs/dbraw/zinc/24/12/90/1133241290.db2.gz PEMHPILOTDPWKQ-HRCADAONSA-N 1 2 268.335 3.543 20 0 CHADLO Cc1c(F)cc[nH+]c1N1CCCC[C@H]1c1cccnc1 ZINC001166575501 1133272474 /nfs/dbraw/zinc/27/24/74/1133272474.db2.gz IWWCOWLZZYKVSK-HNNXBMFYSA-N 1 2 271.339 3.656 20 0 CHADLO CSCc1cc[nH+]c(N(C)CCc2ccc(F)cc2)c1 ZINC001166820891 1133289412 /nfs/dbraw/zinc/28/94/12/1133289412.db2.gz CUCGWMMCEFSQGD-UHFFFAOYSA-N 1 2 290.407 3.763 20 0 CHADLO CCOc1cccc(Cl)c1Cn1c[nH+]c(CC)c1 ZINC001166821695 1133290068 /nfs/dbraw/zinc/29/00/68/1133290068.db2.gz FAGFWTUOBVZURH-UHFFFAOYSA-N 1 2 264.756 3.546 20 0 CHADLO CCc1cn(Cc2cc(C(C)C)ccc2OC)c[nH+]1 ZINC001166822899 1133290490 /nfs/dbraw/zinc/29/04/90/1133290490.db2.gz OOHARJXLICZNKU-UHFFFAOYSA-N 1 2 258.365 3.626 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(C(=O)C(F)(F)F)c1 ZINC001203336440 1133316016 /nfs/dbraw/zinc/31/60/16/1133316016.db2.gz GXRYEPSPISXDAB-UHFFFAOYSA-N 1 2 296.248 3.579 20 0 CHADLO Cc1ccc(Nc2ccc(OCC(F)(F)F)nc2)c(C)[nH+]1 ZINC001203370546 1133319625 /nfs/dbraw/zinc/31/96/25/1133319625.db2.gz NSBWBTIJAFGZJD-UHFFFAOYSA-N 1 2 297.280 3.778 20 0 CHADLO Cc1ccc(Nc2cccc(-n3ccnc3)c2)c(C)[nH+]1 ZINC001203373396 1133320040 /nfs/dbraw/zinc/32/00/40/1133320040.db2.gz MTXIEEJWOWVQIP-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1ccc(Nc2nc(F)ccc2Br)c(C)[nH+]1 ZINC001203370760 1133320323 /nfs/dbraw/zinc/32/03/23/1133320323.db2.gz UOFLEKDSGYSWOY-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO COCCNc1cccc(F)c1Nc1ccc(C)[nH+]c1C ZINC001203381088 1133321156 /nfs/dbraw/zinc/32/11/56/1133321156.db2.gz OWAWNLBRUSRINB-UHFFFAOYSA-N 1 2 289.354 3.639 20 0 CHADLO Clc1cccc(Cl)c1C[NH+]1CC(c2cccnc2)C1 ZINC001203423220 1133327283 /nfs/dbraw/zinc/32/72/83/1133327283.db2.gz WYAIUNAQBLMRKL-UHFFFAOYSA-N 1 2 293.197 3.988 20 0 CHADLO CCC[C@@H](C)c1cc(Nc2ccc(NC)[nH+]c2)on1 ZINC001203448102 1133329737 /nfs/dbraw/zinc/32/97/37/1133329737.db2.gz VADVZKSAIKIMDH-SNVBAGLBSA-N 1 2 260.341 3.759 20 0 CHADLO CNc1ccc(Nc2ccc(C(C)=O)cc2Cl)c[nH+]1 ZINC001203462248 1133331285 /nfs/dbraw/zinc/33/12/85/1133331285.db2.gz MCAGBPGAGHHJIR-UHFFFAOYSA-N 1 2 275.739 3.723 20 0 CHADLO COc1ccc(CNc2c[nH+]c(C)c(C)c2)c(C)c1OC ZINC001203485516 1133332629 /nfs/dbraw/zinc/33/26/29/1133332629.db2.gz LYWBJCZWIYNDNW-UHFFFAOYSA-N 1 2 286.375 3.636 20 0 CHADLO CC(C)(C)n1c2ccccc2[nH+]c1NCc1ccc(=O)[nH]c1 ZINC001203517052 1133333646 /nfs/dbraw/zinc/33/36/46/1133333646.db2.gz JOBKSNJHHSDKDG-UHFFFAOYSA-N 1 2 296.374 3.504 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(Br)nc2C)c1 ZINC001203654422 1133347809 /nfs/dbraw/zinc/34/78/09/1133347809.db2.gz JOJQTKLYYDLQLN-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnn(Cc3ccccc3)c2)c1 ZINC001203654337 1133348330 /nfs/dbraw/zinc/34/83/30/1133348330.db2.gz HPKOETMEGDDNHD-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(Br)cc2C)c1 ZINC001203656610 1133349167 /nfs/dbraw/zinc/34/91/67/1133349167.db2.gz XDNONVUMJTXCNI-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCOC(=O)c1cccc(Nc2cc(C)c[nH+]c2C)c1 ZINC001203663180 1133349229 /nfs/dbraw/zinc/34/92/29/1133349229.db2.gz BIKGEITVECJNMZ-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Br)ccc2O)c1 ZINC001203661721 1133349540 /nfs/dbraw/zinc/34/95/40/1133349540.db2.gz ZZMXLSQQTIWUPW-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO COCCOc1ccc(F)cc1Nc1cc(C)c[nH+]c1C ZINC001203663037 1133349567 /nfs/dbraw/zinc/34/95/67/1133349567.db2.gz FLRCXTUEDMIQKO-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(Br)c(C)c2)c1 ZINC001203656465 1133349658 /nfs/dbraw/zinc/34/96/58/1133349658.db2.gz UWVUHVGRTNBGFB-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnn2-c2ccccc2C)c1 ZINC001203656953 1133350270 /nfs/dbraw/zinc/35/02/70/1133350270.db2.gz FPGHYKIWJWQACQ-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(-c3ccccc3O)no2)c1 ZINC001203656869 1133350333 /nfs/dbraw/zinc/35/03/33/1133350333.db2.gz AMDQPVAFXROSJZ-UHFFFAOYSA-N 1 2 281.315 3.803 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc3c(c2)CCCC3)c1 ZINC001203655815 1133350366 /nfs/dbraw/zinc/35/03/66/1133350366.db2.gz BOTVNYJXMAAHHG-UHFFFAOYSA-N 1 2 253.349 3.716 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3[nH]c(=O)sc3c2)c1 ZINC001203663588 1133350664 /nfs/dbraw/zinc/35/06/64/1133350664.db2.gz STERUHZKEHTSPM-UHFFFAOYSA-N 1 2 271.345 3.757 20 0 CHADLO Cc1cn(-c2cc(C)c[nH+]c2C)c2cccc(N)c12 ZINC001203667151 1133351888 /nfs/dbraw/zinc/35/18/88/1133351888.db2.gz JEEIGACZOBVPFG-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1coc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC001203712927 1133357910 /nfs/dbraw/zinc/35/79/10/1133357910.db2.gz QWMZPVVKQWVESQ-UHFFFAOYSA-N 1 2 257.337 3.717 20 0 CHADLO F[C@@H]1CCCC[N@H+](Cc2cc(Br)cs2)C1 ZINC001204026194 1133401509 /nfs/dbraw/zinc/40/15/09/1133401509.db2.gz AZFDUDQQNSRDKW-SNVBAGLBSA-N 1 2 292.217 3.835 20 0 CHADLO Clc1nnccc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203717372 1133358959 /nfs/dbraw/zinc/35/89/59/1133358959.db2.gz AUKDIUYQGALUOF-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO Nc1ccc2cnn(-c3ccc([NH+]4CCCCC4)cc3)c2c1 ZINC001203718340 1133359206 /nfs/dbraw/zinc/35/92/06/1133359206.db2.gz DHJDRWMRFHKJFJ-UHFFFAOYSA-N 1 2 292.386 3.598 20 0 CHADLO Nc1cccc2cn(-c3ccc([NH+]4CCCCC4)cc3)nc21 ZINC001203719277 1133359466 /nfs/dbraw/zinc/35/94/66/1133359466.db2.gz NCYOPBZNKFQSBU-UHFFFAOYSA-N 1 2 292.386 3.598 20 0 CHADLO F[C@@H]1CCCC[N@@H+](Cc2cc(Br)cs2)C1 ZINC001204026194 1133401514 /nfs/dbraw/zinc/40/15/14/1133401514.db2.gz AZFDUDQQNSRDKW-SNVBAGLBSA-N 1 2 292.217 3.835 20 0 CHADLO Cc1ccc(C)c(C[N@H+]2CC[C@@H](C)C(F)(F)C2)c1 ZINC001203742328 1133363856 /nfs/dbraw/zinc/36/38/56/1133363856.db2.gz BIYYDCNBPZEDKG-CYBMUJFWSA-N 1 2 253.336 3.781 20 0 CHADLO Cc1ccc(C)c(C[N@@H+]2CC[C@@H](C)C(F)(F)C2)c1 ZINC001203742328 1133363861 /nfs/dbraw/zinc/36/38/61/1133363861.db2.gz BIYYDCNBPZEDKG-CYBMUJFWSA-N 1 2 253.336 3.781 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1cccc(F)c1)CC2 ZINC001203789894 1133368877 /nfs/dbraw/zinc/36/88/77/1133368877.db2.gz NVZQKPNRPYDDIU-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1cccc(F)c1)CC2 ZINC001203789894 1133368880 /nfs/dbraw/zinc/36/88/80/1133368880.db2.gz NVZQKPNRPYDDIU-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3nc(Cl)ccc3C2)c1 ZINC001203892264 1133381884 /nfs/dbraw/zinc/38/18/84/1133381884.db2.gz YNIKITFIAGOMCD-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3nc(Cl)ccc3C2)c1 ZINC001203892264 1133381889 /nfs/dbraw/zinc/38/18/89/1133381889.db2.gz YNIKITFIAGOMCD-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3cccc(F)c3C2)cc1C ZINC001203899550 1133383582 /nfs/dbraw/zinc/38/35/82/1133383582.db2.gz MVBXTHZKLIUVPD-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(C[N@H+]2Cc3cccc(F)c3C2)cc1C ZINC001203899550 1133383587 /nfs/dbraw/zinc/38/35/87/1133383587.db2.gz MVBXTHZKLIUVPD-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2c(F)cccc2F)cc1 ZINC001204201126 1133418093 /nfs/dbraw/zinc/41/80/93/1133418093.db2.gz CIKNVYAMJYGQDM-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2c(F)cccc2F)cc1 ZINC001204201126 1133418095 /nfs/dbraw/zinc/41/80/95/1133418095.db2.gz CIKNVYAMJYGQDM-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Fc1ccc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)c(F)c1 ZINC001204212174 1133420136 /nfs/dbraw/zinc/42/01/36/1133420136.db2.gz CDTXRMXEBKDECN-QGZVFWFLSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)c(F)c1 ZINC001204212174 1133420138 /nfs/dbraw/zinc/42/01/38/1133420138.db2.gz CDTXRMXEBKDECN-QGZVFWFLSA-N 1 2 295.373 3.746 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1cc(F)cc(F)c1)C2 ZINC001204227624 1133421752 /nfs/dbraw/zinc/42/17/52/1133421752.db2.gz NLZBPBUBYASPSW-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1cc(F)cc(F)c1)C2 ZINC001204227624 1133421757 /nfs/dbraw/zinc/42/17/57/1133421757.db2.gz NLZBPBUBYASPSW-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@@H+]1Cc1ccoc1 ZINC001204244289 1133423567 /nfs/dbraw/zinc/42/35/67/1133423567.db2.gz WISNXFUWCNBSEG-CQSZACIVSA-N 1 2 263.287 3.895 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@H+]1Cc1ccoc1 ZINC001204244289 1133423569 /nfs/dbraw/zinc/42/35/69/1133423569.db2.gz WISNXFUWCNBSEG-CQSZACIVSA-N 1 2 263.287 3.895 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@H+](Cc1ccoc1)CC2 ZINC001204244286 1133423875 /nfs/dbraw/zinc/42/38/75/1133423875.db2.gz WGRARWOFXNJAQP-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@@H+](Cc1ccoc1)CC2 ZINC001204244286 1133423882 /nfs/dbraw/zinc/42/38/82/1133423882.db2.gz WGRARWOFXNJAQP-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO Fc1cc(C[N@H+]2CC[C@H](F)C(F)(F)CC2)cc(F)c1F ZINC001204527458 1133458243 /nfs/dbraw/zinc/45/82/43/1133458243.db2.gz UTVIPLUSJIZCQY-NSHDSACASA-N 1 2 297.242 3.673 20 0 CHADLO Fc1cc(C[N@@H+]2CC[C@H](F)C(F)(F)CC2)cc(F)c1F ZINC001204527458 1133458245 /nfs/dbraw/zinc/45/82/45/1133458245.db2.gz UTVIPLUSJIZCQY-NSHDSACASA-N 1 2 297.242 3.673 20 0 CHADLO COCOc1cc(C)c(-c2cn3cc[nH+]c3cc2C)c(C)c1 ZINC001204598458 1133465273 /nfs/dbraw/zinc/46/52/73/1133465273.db2.gz IZVZAOMCCWFTFY-UHFFFAOYSA-N 1 2 296.370 3.909 20 0 CHADLO CC(=O)C[N@@H+](C)Cc1ccc(-c2ccccc2Cl)o1 ZINC001204717560 1133478373 /nfs/dbraw/zinc/47/83/73/1133478373.db2.gz OFMRKAHXOZQBCQ-UHFFFAOYSA-N 1 2 277.751 3.621 20 0 CHADLO CC(=O)C[N@H+](C)Cc1ccc(-c2ccccc2Cl)o1 ZINC001204717560 1133478376 /nfs/dbraw/zinc/47/83/76/1133478376.db2.gz OFMRKAHXOZQBCQ-UHFFFAOYSA-N 1 2 277.751 3.621 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3cccc(C)c3C2)c(F)c1 ZINC001204900075 1133497222 /nfs/dbraw/zinc/49/72/22/1133497222.db2.gz PEHOXNZEBPFGDI-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(C[N@H+]2Cc3cccc(C)c3C2)c(F)c1 ZINC001204900075 1133497225 /nfs/dbraw/zinc/49/72/25/1133497225.db2.gz PEHOXNZEBPFGDI-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1cc2cc(Nc3cccc(-n4cc[nH+]c4)c3)cnc2[nH]1 ZINC001204920518 1133499898 /nfs/dbraw/zinc/49/98/98/1133499898.db2.gz MJLQUJKYIQTGJE-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3cc(F)ccc3C2)c(F)c1 ZINC001204934053 1133502959 /nfs/dbraw/zinc/50/29/59/1133502959.db2.gz MUTVMBYSKLAIQI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3cc(F)ccc3C2)c(F)c1 ZINC001204934053 1133502960 /nfs/dbraw/zinc/50/29/60/1133502960.db2.gz MUTVMBYSKLAIQI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(F)c(Nc2ccn3cc[nH+]c3c2)c1Cl ZINC001204982677 1133511363 /nfs/dbraw/zinc/51/13/63/1133511363.db2.gz DSYBFSOCWWKXJG-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO Cc1ccc2cccc(Nc3ccn4cc[nH+]c4c3)c2n1 ZINC001204978616 1133511622 /nfs/dbraw/zinc/51/16/22/1133511622.db2.gz WSDFWDRRDKSWSR-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Oc1ccc(Nc2ccn3cc[nH+]c3c2)c2ccccc12 ZINC001204982678 1133511875 /nfs/dbraw/zinc/51/18/75/1133511875.db2.gz DTIJLBAFXLQLQN-UHFFFAOYSA-N 1 2 275.311 3.937 20 0 CHADLO Cc1ccc(Nc2ccn3cc[nH+]c3c2)cc1OC(F)F ZINC001204990884 1133514028 /nfs/dbraw/zinc/51/40/28/1133514028.db2.gz HXWYEMWLGYUDEZ-UHFFFAOYSA-N 1 2 289.285 3.988 20 0 CHADLO CNc1ccc(Cl)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204992015 1133514247 /nfs/dbraw/zinc/51/42/47/1133514247.db2.gz XKTMAZRRWAAOBY-UHFFFAOYSA-N 1 2 272.739 3.773 20 0 CHADLO Fc1cnc2c(cccc2Nc2ccn3cc[nH+]c3c2)c1 ZINC001204998035 1133515341 /nfs/dbraw/zinc/51/53/41/1133515341.db2.gz QQPDINXPGYGMPW-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO COc1cc(Cl)cc(-c2cn3cc[nH+]c3cc2OC)c1 ZINC001205140732 1133536299 /nfs/dbraw/zinc/53/62/99/1133536299.db2.gz OYNBGDKHZREPID-UHFFFAOYSA-N 1 2 288.734 3.672 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC(F)(F)[C@@H](F)C2)ccc1F ZINC001205162999 1133539522 /nfs/dbraw/zinc/53/95/22/1133539522.db2.gz ZEIISURITKMOGT-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cc(C[N@H+]2CCCC(F)(F)[C@@H](F)C2)ccc1F ZINC001205162999 1133539525 /nfs/dbraw/zinc/53/95/25/1133539525.db2.gz ZEIISURITKMOGT-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)ccc1F ZINC001205206367 1133546025 /nfs/dbraw/zinc/54/60/25/1133546025.db2.gz WTQBPQXYNIHVBO-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(F)c(F)cc3C2)ccc1F ZINC001205206367 1133546029 /nfs/dbraw/zinc/54/60/29/1133546029.db2.gz WTQBPQXYNIHVBO-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCO[C@@H](Cc3ccccc3)C2)c1 ZINC001205655428 1133599469 /nfs/dbraw/zinc/59/94/69/1133599469.db2.gz MTHOMAFHLHKOQB-SFHVURJKSA-N 1 2 299.389 3.578 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCO[C@@H](Cc3ccccc3)C2)c1 ZINC001205655428 1133599474 /nfs/dbraw/zinc/59/94/74/1133599474.db2.gz MTHOMAFHLHKOQB-SFHVURJKSA-N 1 2 299.389 3.578 20 0 CHADLO CNc1cc(-c2ccc3cc(O)ccc3c2)cc[nH+]1 ZINC001205786418 1133613442 /nfs/dbraw/zinc/61/34/42/1133613442.db2.gz GKKNOLUVAYEGBY-UHFFFAOYSA-N 1 2 250.301 3.649 20 0 CHADLO CCOc1c(F)c(F)ccc1-c1cccc2[nH+]c(C)cn21 ZINC001205805204 1133616413 /nfs/dbraw/zinc/61/64/13/1133616413.db2.gz QBGKUWYFXDGAIJ-UHFFFAOYSA-N 1 2 288.297 3.987 20 0 CHADLO CCc1ccc[nH+]c1Nc1cnc2[nH]cc(C)c2c1 ZINC001206029380 1133644082 /nfs/dbraw/zinc/64/40/82/1133644082.db2.gz ZENHHWQUUZXQKK-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CCCOc1ccc(-c2cc(-n3ccnc3)cc[nH+]2)c(F)c1 ZINC001206136005 1133662612 /nfs/dbraw/zinc/66/26/12/1133662612.db2.gz CSYGCTMEAXEOFD-UHFFFAOYSA-N 1 2 297.333 3.862 20 0 CHADLO CC[C@@H]1C[N@@H+](C/C=C\c2ccc(F)cc2F)C[C@H](CC)O1 ZINC001206351420 1133693844 /nfs/dbraw/zinc/69/38/44/1133693844.db2.gz VCLPTWLAJQPWCB-HNXFAEETSA-N 1 2 295.373 3.867 20 0 CHADLO CC[C@@H]1C[N@H+](C/C=C\c2ccc(F)cc2F)C[C@H](CC)O1 ZINC001206351420 1133693845 /nfs/dbraw/zinc/69/38/45/1133693845.db2.gz VCLPTWLAJQPWCB-HNXFAEETSA-N 1 2 295.373 3.867 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1ncccc1F)CC1CC1 ZINC001206708470 1133733956 /nfs/dbraw/zinc/73/39/56/1133733956.db2.gz SSZILTLZMMVHAB-UHFFFAOYSA-N 1 2 288.341 3.772 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1ncccc1F)CC1CC1 ZINC001206708470 1133733964 /nfs/dbraw/zinc/73/39/64/1133733964.db2.gz SSZILTLZMMVHAB-UHFFFAOYSA-N 1 2 288.341 3.772 20 0 CHADLO COc1cc(C)ccc1C[N@@H+]1CCCC(F)(F)[C@@H](F)C1 ZINC001206740396 1133739016 /nfs/dbraw/zinc/73/90/16/1133739016.db2.gz FBUBBTVYVSKXLK-AWEZNQCLSA-N 1 2 287.325 3.573 20 0 CHADLO COc1cc(C)ccc1C[N@H+]1CCCC(F)(F)[C@@H](F)C1 ZINC001206740396 1133739020 /nfs/dbraw/zinc/73/90/20/1133739020.db2.gz FBUBBTVYVSKXLK-AWEZNQCLSA-N 1 2 287.325 3.573 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)s2)cn1 ZINC001207078311 1133765516 /nfs/dbraw/zinc/76/55/16/1133765516.db2.gz FJXYQBOYJPBNLG-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2ccc(Cl)s2)cn1 ZINC001207078311 1133765520 /nfs/dbraw/zinc/76/55/20/1133765520.db2.gz FJXYQBOYJPBNLG-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCc3cccnc3C2)c1 ZINC001207131429 1133770938 /nfs/dbraw/zinc/77/09/38/1133770938.db2.gz JFEFQSVLIKILRF-UHFFFAOYSA-N 1 2 274.314 3.578 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCc3cccnc3C2)c1 ZINC001207131429 1133770941 /nfs/dbraw/zinc/77/09/41/1133770941.db2.gz JFEFQSVLIKILRF-UHFFFAOYSA-N 1 2 274.314 3.578 20 0 CHADLO Cc1cc(N[C@@H]2CS[C@H](C(C)C)C2)c[nH+]c1C ZINC001207325163 1133791725 /nfs/dbraw/zinc/79/17/25/1133791725.db2.gz BFOGIOWQEYQQBJ-KBPBESRZSA-N 1 2 250.411 3.640 20 0 CHADLO Cc1cc(N[C@@H]2CS[C@@H](C(C)C)C2)c[nH+]c1C ZINC001207325170 1133792271 /nfs/dbraw/zinc/79/22/71/1133792271.db2.gz BFOGIOWQEYQQBJ-UONOGXRCSA-N 1 2 250.411 3.640 20 0 CHADLO Fc1ccccc1OC1C[NH+](CC2(c3ccccc3)CC2)C1 ZINC001207839305 1133853265 /nfs/dbraw/zinc/85/32/65/1133853265.db2.gz MBBZPUDQVVFTTN-UHFFFAOYSA-N 1 2 297.373 3.621 20 0 CHADLO CC[NH+]1CCN(C[C@H](C)c2cccc3ccccc32)CC1 ZINC001208706556 1133933300 /nfs/dbraw/zinc/93/33/00/1133933300.db2.gz PPNPBDQGGCIHRX-INIZCTEOSA-N 1 2 282.431 3.581 20 0 CHADLO C[C@H](C[N@@H+]1CCCC(=O)C1)c1cccc2ccccc21 ZINC001208713895 1133934316 /nfs/dbraw/zinc/93/43/16/1133934316.db2.gz DTYFEGAAVPATNZ-CQSZACIVSA-N 1 2 267.372 3.608 20 0 CHADLO C[C@H](C[N@H+]1CCCC(=O)C1)c1cccc2ccccc21 ZINC001208713895 1133934320 /nfs/dbraw/zinc/93/43/20/1133934320.db2.gz DTYFEGAAVPATNZ-CQSZACIVSA-N 1 2 267.372 3.608 20 0 CHADLO C[C@@]1(F)CC[N@H+](CCc2ccc(F)cc2Cl)C[C@@H]1F ZINC001209109909 1133975495 /nfs/dbraw/zinc/97/54/95/1133975495.db2.gz ZFGPJSADUUBJDP-UONOGXRCSA-N 1 2 291.744 3.794 20 0 CHADLO C[C@@]1(F)CC[N@@H+](CCc2ccc(F)cc2Cl)C[C@@H]1F ZINC001209109909 1133975500 /nfs/dbraw/zinc/97/55/00/1133975500.db2.gz ZFGPJSADUUBJDP-UONOGXRCSA-N 1 2 291.744 3.794 20 0 CHADLO COCCCC[N@@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001209223776 1133990821 /nfs/dbraw/zinc/99/08/21/1133990821.db2.gz NNIJHKWUBCYALA-HNNXBMFYSA-N 1 2 283.362 3.538 20 0 CHADLO COCCCC[N@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001209223776 1133990826 /nfs/dbraw/zinc/99/08/26/1133990826.db2.gz NNIJHKWUBCYALA-HNNXBMFYSA-N 1 2 283.362 3.538 20 0 CHADLO FC1(F)CCC[N@H+](CCCCOCc2ccccc2)C1 ZINC001209257088 1133998223 /nfs/dbraw/zinc/99/82/23/1133998223.db2.gz QXQLCIBTROLXMC-UHFFFAOYSA-N 1 2 283.362 3.715 20 0 CHADLO FC1(F)CCC[N@@H+](CCCCOCc2ccccc2)C1 ZINC001209257088 1133998225 /nfs/dbraw/zinc/99/82/25/1133998225.db2.gz QXQLCIBTROLXMC-UHFFFAOYSA-N 1 2 283.362 3.715 20 0 CHADLO Cc1cccc2c(C[N@@H+]3CCn4cccc4[C@H]3C)c[nH]c21 ZINC001209488580 1134027845 /nfs/dbraw/zinc/02/78/45/1134027845.db2.gz YJDXIUKUYMZTCI-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1cccc2c(C[N@H+]3CCn4cccc4[C@H]3C)c[nH]c21 ZINC001209488580 1134027846 /nfs/dbraw/zinc/02/78/46/1134027846.db2.gz YJDXIUKUYMZTCI-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO c1cc2ccc(C[NH+]3CC(OCc4ccccc4)C3)cc2[nH]1 ZINC001209512150 1134032334 /nfs/dbraw/zinc/03/23/34/1134032334.db2.gz AROKCSIJPLOEPU-UHFFFAOYSA-N 1 2 292.382 3.569 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)[nH]1 ZINC001209566077 1134036988 /nfs/dbraw/zinc/03/69/88/1134036988.db2.gz GXRFRDWLKACHEG-UHFFFAOYSA-N 1 2 260.768 3.535 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccc(Cl)cc3C2)[nH]1 ZINC001209566077 1134036998 /nfs/dbraw/zinc/03/69/98/1134036998.db2.gz GXRFRDWLKACHEG-UHFFFAOYSA-N 1 2 260.768 3.535 20 0 CHADLO Cn1cc(C[N@@H+]2Cc3ccc(Cl)cc3C2)c2cccnc21 ZINC001209750713 1134057282 /nfs/dbraw/zinc/05/72/82/1134057282.db2.gz MGRDJWYTTKTAAB-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1cc(C[N@H+]2Cc3ccc(Cl)cc3C2)c2cccnc21 ZINC001209750713 1134057290 /nfs/dbraw/zinc/05/72/90/1134057290.db2.gz MGRDJWYTTKTAAB-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2[nH]nc3ccc(Cl)cc32)C1 ZINC001209754217 1134058715 /nfs/dbraw/zinc/05/87/15/1134058715.db2.gz YENBUIPEWJVIDE-HNNXBMFYSA-N 1 2 295.789 3.930 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2[nH]nc3ccc(Cl)cc32)C1 ZINC001209754217 1134058721 /nfs/dbraw/zinc/05/87/21/1134058721.db2.gz YENBUIPEWJVIDE-HNNXBMFYSA-N 1 2 295.789 3.930 20 0 CHADLO c1[nH]c2ccc(Nc3cc(-c4ccccc4)no3)cc2[nH+]1 ZINC001209841576 1134078428 /nfs/dbraw/zinc/07/84/28/1134078428.db2.gz VZAZQIXVUNTULL-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO CC(C)c1cccnc1Nc1ccc2[nH]c[nH+]c2c1 ZINC001209848825 1134082793 /nfs/dbraw/zinc/08/27/93/1134082793.db2.gz RTQHFYWUCRVCCG-UHFFFAOYSA-N 1 2 252.321 3.825 20 0 CHADLO CCCOc1ccc(Nc2[nH+]cccc2N(C)C)cc1 ZINC001209865365 1134088568 /nfs/dbraw/zinc/08/85/68/1134088568.db2.gz QRYOEIBNAISDHR-UHFFFAOYSA-N 1 2 271.364 3.680 20 0 CHADLO CCCOc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)cc1 ZINC001209864367 1134089593 /nfs/dbraw/zinc/08/95/93/1134089593.db2.gz IVYGPVOPXPCRIR-UHFFFAOYSA-N 1 2 281.359 3.705 20 0 CHADLO CO[C@H](C)c1cccc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001209950226 1134108039 /nfs/dbraw/zinc/10/80/39/1134108039.db2.gz XCQAUBGIGFKWJP-GFCCVEGCSA-N 1 2 267.332 3.785 20 0 CHADLO CCN(C)c1ccc(Nc2cccc([C@H](C)OC)c2)c[nH+]1 ZINC001209951194 1134109110 /nfs/dbraw/zinc/10/91/10/1134109110.db2.gz UGSSYWGRWBZTAR-ZDUSSCGKSA-N 1 2 285.391 3.989 20 0 CHADLO Cc1cc(Nc2cccc(-n3cc[nH+]c3)c2)ccc1O ZINC001209976568 1134114369 /nfs/dbraw/zinc/11/43/69/1134114369.db2.gz PTMKXQSPOUXKDL-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CN(C)c1ccc(Nc2ccc(O)c(C(F)(F)F)c2)c[nH+]1 ZINC001209985074 1134116684 /nfs/dbraw/zinc/11/66/84/1134116684.db2.gz UCXLQAKQMDIWBI-UHFFFAOYSA-N 1 2 297.280 3.616 20 0 CHADLO COc1cc[nH+]c(Nc2ccccc2OC(C)C)c1 ZINC001210021130 1134124074 /nfs/dbraw/zinc/12/40/74/1134124074.db2.gz UIKOHEVICNSTNW-UHFFFAOYSA-N 1 2 258.321 3.621 20 0 CHADLO Cc1cc(Nc2ccc3c(cnn3C)c2)ccc1[NH+](C)C ZINC001210041093 1134131474 /nfs/dbraw/zinc/13/14/74/1134131474.db2.gz HXVLHJVATOTEKI-UHFFFAOYSA-N 1 2 280.375 3.691 20 0 CHADLO CC(C)(C)c1nnc(Nc2ccc3c[nH+]ccc3c2)o1 ZINC001210045246 1134133197 /nfs/dbraw/zinc/13/31/97/1134133197.db2.gz ZGSQFWXGKXLVLY-UHFFFAOYSA-N 1 2 268.320 3.659 20 0 CHADLO c1c(Nc2ccc3c[nH+]ccc3c2)nc2ccccn12 ZINC001210046600 1134134194 /nfs/dbraw/zinc/13/41/94/1134134194.db2.gz IHCTWCBRAJMWPN-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1cc(C)n2nc(Nc3ccc4c[nH+]ccc4c3)cc2n1 ZINC001210046136 1134134385 /nfs/dbraw/zinc/13/43/85/1134134385.db2.gz UWMBJDBQOLUXDJ-UHFFFAOYSA-N 1 2 289.342 3.638 20 0 CHADLO COc1ccc(C(C)=O)cc1Nc1cccn2cc(C)[nH+]c12 ZINC001210075110 1134141285 /nfs/dbraw/zinc/14/12/85/1134141285.db2.gz AOBRYYKPBSNRQO-UHFFFAOYSA-N 1 2 295.342 3.598 20 0 CHADLO COc1cc[nH+]c(Nc2cc(Cl)c(F)cc2F)c1 ZINC001210109167 1134150110 /nfs/dbraw/zinc/15/01/10/1134150110.db2.gz FYNQGVNTHJEKTR-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO c1cnn(-c2ncccc2Nc2cccc3cc[nH+]cc32)c1 ZINC001210222423 1134170814 /nfs/dbraw/zinc/17/08/14/1134170814.db2.gz HAELIABHKZOXTF-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO CC(=O)c1cccnc1Nc1cccc2cc[nH+]cc21 ZINC001210224094 1134171136 /nfs/dbraw/zinc/17/11/36/1134171136.db2.gz PSKUHSTUMCVUJR-UHFFFAOYSA-N 1 2 263.300 3.576 20 0 CHADLO COc1nc(C)ccc1Nc1cccc2cc[nH+]cc21 ZINC001210224238 1134171159 /nfs/dbraw/zinc/17/11/59/1134171159.db2.gz UOUOQGIDYFJQTE-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO CCOC(=O)c1cncc(Nc2cccc3cc[nH+]cc32)c1 ZINC001210224132 1134171234 /nfs/dbraw/zinc/17/12/34/1134171234.db2.gz QJKQPRPSZPXEPN-UHFFFAOYSA-N 1 2 293.326 3.550 20 0 CHADLO Oc1cc(Cl)cnc1Nc1cccc2cc[nH+]cc21 ZINC001210224330 1134171816 /nfs/dbraw/zinc/17/18/16/1134171816.db2.gz XKEWWWFSUMJLKB-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO c1cnc2snc(Nc3cccc4cc[nH+]cc43)c2c1 ZINC001210224350 1134171913 /nfs/dbraw/zinc/17/19/13/1134171913.db2.gz KSSXSDSDWKEUBV-UHFFFAOYSA-N 1 2 278.340 3.983 20 0 CHADLO COc1cccc(O)c1Nc1cccc2cc[nH+]cc21 ZINC001210230958 1134173698 /nfs/dbraw/zinc/17/36/98/1134173698.db2.gz LDVQQLDIJFQEEO-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO c1cc2c(c(Nc3cccc4cc[nH+]cc43)c1)OCO2 ZINC001210230848 1134173984 /nfs/dbraw/zinc/17/39/84/1134173984.db2.gz HQDHEVPPAJLZKH-UHFFFAOYSA-N 1 2 264.284 3.707 20 0 CHADLO c1ccc(OC2COC2)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210232664 1134174800 /nfs/dbraw/zinc/17/48/00/1134174800.db2.gz SNCCHFKKXQMIAO-UHFFFAOYSA-N 1 2 292.338 3.756 20 0 CHADLO Cc1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc1CO ZINC001210248420 1134178739 /nfs/dbraw/zinc/17/87/39/1134178739.db2.gz OXHQELFYXQZQSB-UHFFFAOYSA-N 1 2 298.264 3.525 20 0 CHADLO Cc1ccc(Nc2c[nH+]c(C)c(N)c2)c(Br)c1 ZINC001210288295 1134186932 /nfs/dbraw/zinc/18/69/32/1134186932.db2.gz KZFUMVJEMYMDEI-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1[nH+]cc(Nc2nc3cccc(Cl)c3s2)cc1N ZINC001210283958 1134185376 /nfs/dbraw/zinc/18/53/76/1134185376.db2.gz DLAIYBPXHWJNEM-UHFFFAOYSA-N 1 2 290.779 3.979 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(C(=O)OC(C)(C)C)cc2)cc1N ZINC001210288025 1134185625 /nfs/dbraw/zinc/18/56/25/1134185625.db2.gz HQNTWNAXYRTKHK-UHFFFAOYSA-N 1 2 299.374 3.671 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)cc(Cl)c2)cc1N ZINC001210287790 1134185823 /nfs/dbraw/zinc/18/58/23/1134185823.db2.gz FJWNADTWEQPEEK-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO COc1cc(Nc2c[nH+]c(C)c(N)c2)cc2ccccc21 ZINC001210290636 1134186128 /nfs/dbraw/zinc/18/61/28/1134186128.db2.gz BSZFOLGRYFJFPZ-UHFFFAOYSA-N 1 2 279.343 3.878 20 0 CHADLO CC(=O)c1cc(Cl)ccc1Nc1c[nH+]c(C)c(N)c1 ZINC001210290166 1134186208 /nfs/dbraw/zinc/18/62/08/1134186208.db2.gz FKFWIJAYAJXUMZ-UHFFFAOYSA-N 1 2 275.739 3.572 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)c(F)c2Cl)cc1N ZINC001210290641 1134186315 /nfs/dbraw/zinc/18/63/15/1134186315.db2.gz CAWWYRBUWAOXDK-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO Cc1ccc2cccnc2c1Nc1c[nH+]c(C)c(N)c1 ZINC001210288213 1134186525 /nfs/dbraw/zinc/18/65/25/1134186525.db2.gz NRGPEBZTTLNVTJ-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO COc1ccc(C(F)(F)F)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210288622 1134186577 /nfs/dbraw/zinc/18/65/77/1134186577.db2.gz QPUUBFROBGOLAM-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)cc3ncoc32)cc1N ZINC001210293996 1134187953 /nfs/dbraw/zinc/18/79/53/1134187953.db2.gz QCXFBXBPFNYWKC-UHFFFAOYSA-N 1 2 274.711 3.510 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3nccc(Cl)c3c2)cc1N ZINC001210291752 1134188015 /nfs/dbraw/zinc/18/80/15/1134188015.db2.gz TWKXYEWUSNOSEH-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)cc3cnccc32)cc1N ZINC001210290957 1134188097 /nfs/dbraw/zinc/18/80/97/1134188097.db2.gz GXVIMCYLKSYOOG-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO c1cc(N2CCOCC2)[nH+]cc1NC1=CCCCCCC1 ZINC001210450323 1134217453 /nfs/dbraw/zinc/21/74/53/1134217453.db2.gz UOSPPCGLJOVHMH-UHFFFAOYSA-N 1 2 287.407 3.568 20 0 CHADLO CCc1ncccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001210467710 1134222619 /nfs/dbraw/zinc/22/26/19/1134222619.db2.gz JEVLNYBBBHSUIJ-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO COCOc1ccc(Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC001210489974 1134228379 /nfs/dbraw/zinc/22/83/79/1134228379.db2.gz JXBZSVSJLWTXGQ-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OCOC)cc1C ZINC001210491917 1134228387 /nfs/dbraw/zinc/22/83/87/1134228387.db2.gz GHHWZEKYWYTLIB-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO C[C@@H](O)c1cccc(Nc2[nH+]cccc2N2CCCCC2)c1 ZINC001210508156 1134234667 /nfs/dbraw/zinc/23/46/67/1134234667.db2.gz KENUYDMMXYMKHB-CQSZACIVSA-N 1 2 297.402 3.869 20 0 CHADLO C[C@@H](O)c1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001210509140 1134235629 /nfs/dbraw/zinc/23/56/29/1134235629.db2.gz SAEZFGAZBOSAGO-CYBMUJFWSA-N 1 2 279.343 3.669 20 0 CHADLO COc1cc(F)c(F)cc1Nc1c[nH+]c(C)cc1C ZINC001210545641 1134244472 /nfs/dbraw/zinc/24/44/72/1134244472.db2.gz AJROPGCITAJJRG-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Clc1cc(Nc2ccc3ocnc3c2)cn2cc[nH+]c12 ZINC001210571086 1134252610 /nfs/dbraw/zinc/25/26/10/1134252610.db2.gz PLLFXHLAIZAJEO-UHFFFAOYSA-N 1 2 284.706 3.873 20 0 CHADLO Cc1ccc(CO)cc1Nc1[nH+]cccc1N1CCCCC1 ZINC001210676872 1134271959 /nfs/dbraw/zinc/27/19/59/1134271959.db2.gz CHQAKRMCNSZTJM-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO CCc1cc(OC)ccc1Nc1cccc2[nH+]c(C)cn21 ZINC001210710981 1134277577 /nfs/dbraw/zinc/27/75/77/1134277577.db2.gz HZQGJQFNEJOIJK-UHFFFAOYSA-N 1 2 281.359 3.957 20 0 CHADLO CCc1cc(OC)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001210716666 1134282081 /nfs/dbraw/zinc/28/20/81/1134282081.db2.gz UORNRBPMTYPGCM-UHFFFAOYSA-N 1 2 281.359 3.888 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc2cc(F)ccc2o1 ZINC001210728396 1134284342 /nfs/dbraw/zinc/28/43/42/1134284342.db2.gz JDAWRJSQVZEBNJ-UHFFFAOYSA-N 1 2 281.290 3.801 20 0 CHADLO COc1cc(Nc2csc3ncccc23)cc(C)[nH+]1 ZINC001210774935 1134293350 /nfs/dbraw/zinc/29/33/50/1134293350.db2.gz CMOMRCMTWKVURN-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO COc1cc(Nc2c(O)cccc2Cl)cc(C)[nH+]1 ZINC001210781579 1134295233 /nfs/dbraw/zinc/29/52/33/1134295233.db2.gz WUSSAVYQHKMYOA-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO COc1cc(Nc2c(N)cc(F)cc2Cl)cc(C)[nH+]1 ZINC001210780401 1134295349 /nfs/dbraw/zinc/29/53/49/1134295349.db2.gz IXABDXCHYLIQKP-UHFFFAOYSA-N 1 2 281.718 3.517 20 0 CHADLO COc1cc(Nc2c(C)ccc3cccnc32)cc(C)[nH+]1 ZINC001210781637 1134295631 /nfs/dbraw/zinc/29/56/31/1134295631.db2.gz ZGSRWMBKWWBYLD-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1cn2cccc(Nc3ccc4ccccc4n3)c2[nH+]1 ZINC001210813548 1134301889 /nfs/dbraw/zinc/30/18/89/1134301889.db2.gz LNXIXSOTKNVXSE-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(CO)c2C)cc1 ZINC001211169174 1134383689 /nfs/dbraw/zinc/38/36/89/1134383689.db2.gz KAMQKURFCTWTMP-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(CO)c2C)cc1 ZINC001211169174 1134383694 /nfs/dbraw/zinc/38/36/94/1134383694.db2.gz KAMQKURFCTWTMP-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO Cc1cc(N[C@@H](C)[C@@H]2CCCO2)[nH+]c2ccccc12 ZINC000091427477 1134400854 /nfs/dbraw/zinc/40/08/54/1134400854.db2.gz JKZWKADOZUZJDD-WFASDCNBSA-N 1 2 256.349 3.523 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2cc(F)c(O)cc2F)c1 ZINC001211360265 1134412343 /nfs/dbraw/zinc/41/23/43/1134412343.db2.gz QOBDHRYNBURSAX-UHFFFAOYSA-N 1 2 286.281 3.738 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2cc(F)c(O)cc2F)cc1 ZINC001211359469 1134412946 /nfs/dbraw/zinc/41/29/46/1134412946.db2.gz HWFZICKTCDZQLB-UHFFFAOYSA-N 1 2 286.281 3.738 20 0 CHADLO CC(C)n1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001213086699 1134424073 /nfs/dbraw/zinc/42/40/73/1134424073.db2.gz VZDGCVKZENMYNU-UHFFFAOYSA-N 1 2 267.336 3.598 20 0 CHADLO Cc1nc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cs1 ZINC001213087976 1134424158 /nfs/dbraw/zinc/42/41/58/1134424158.db2.gz JLXIFHPZJNCEIU-UHFFFAOYSA-N 1 2 256.334 3.585 20 0 CHADLO COc1cc(F)ccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088855 1134424432 /nfs/dbraw/zinc/42/44/32/1134424432.db2.gz HRVVINSNTMVRGE-UHFFFAOYSA-N 1 2 283.306 3.968 20 0 CHADLO O=C1CCc2ccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cc21 ZINC001213088780 1134424724 /nfs/dbraw/zinc/42/47/24/1134424724.db2.gz DBMUHDRHPKJTMX-UHFFFAOYSA-N 1 2 289.338 3.949 20 0 CHADLO Cc1cnc(Cl)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1N ZINC001213086899 1134424942 /nfs/dbraw/zinc/42/49/42/1134424942.db2.gz FJCVOYVZRMHFTM-UHFFFAOYSA-N 1 2 299.765 3.759 20 0 CHADLO CC(C)(C)c1nc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)no1 ZINC001213085736 1134424966 /nfs/dbraw/zinc/42/49/66/1134424966.db2.gz UVIPNXZHSXUSPK-UHFFFAOYSA-N 1 2 283.335 3.501 20 0 CHADLO Cc1cc(N)ccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089899 1134425282 /nfs/dbraw/zinc/42/52/82/1134425282.db2.gz KDCNJAXYKXRAAR-UHFFFAOYSA-N 1 2 264.332 3.711 20 0 CHADLO COc1cccc(F)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090037 1134426184 /nfs/dbraw/zinc/42/61/84/1134426184.db2.gz QSKJRNBOTLEOPY-UHFFFAOYSA-N 1 2 283.306 3.968 20 0 CHADLO COC(=O)c1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213089185 1134426212 /nfs/dbraw/zinc/42/62/12/1134426212.db2.gz YCNYNIBENDWOMD-UHFFFAOYSA-N 1 2 293.326 3.607 20 0 CHADLO CCOC(=O)c1ccccc1Nc1cccn2cc(C)[nH+]c12 ZINC001213170475 1134434389 /nfs/dbraw/zinc/43/43/89/1134434389.db2.gz HVKRIPMCEOSFSI-UHFFFAOYSA-N 1 2 295.342 3.563 20 0 CHADLO COc1cc(F)c(F)cc1Nc1c[nH+]cc(C)c1C ZINC001213532377 1134461411 /nfs/dbraw/zinc/46/14/11/1134461411.db2.gz FGQKNPZTPBDNHQ-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO COC(=O)c1ccc(Nc2cc(C3CC3)c[nH+]c2C)s1 ZINC001213488959 1134453066 /nfs/dbraw/zinc/45/30/66/1134453066.db2.gz HZZPBJOIBUCHGB-UHFFFAOYSA-N 1 2 288.372 3.859 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnn(C(C)(C)C)c1 ZINC001213491151 1134454068 /nfs/dbraw/zinc/45/40/68/1134454068.db2.gz AFSYJZHFTYZTNW-UHFFFAOYSA-N 1 2 270.380 3.963 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)c(O)c(F)c1 ZINC001213499086 1134455029 /nfs/dbraw/zinc/45/50/29/1134455029.db2.gz BFQYAZGFVUKUHS-UHFFFAOYSA-N 1 2 276.286 3.995 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)OCCO2 ZINC001213497535 1134455367 /nfs/dbraw/zinc/45/53/67/1134455367.db2.gz UPKPYYGBQQYNCS-UHFFFAOYSA-N 1 2 282.343 3.782 20 0 CHADLO COc1cc(F)ncc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213496876 1134455374 /nfs/dbraw/zinc/45/53/74/1134455374.db2.gz BSOMXSJBELTMOI-UHFFFAOYSA-N 1 2 273.311 3.554 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnn2c1OCC(C)(C)C2 ZINC001213497278 1134455637 /nfs/dbraw/zinc/45/56/37/1134455637.db2.gz LPGIGIVMPSHFOB-UHFFFAOYSA-N 1 2 298.390 3.626 20 0 CHADLO Cc1ccc2c(c1Nc1cc(C3CC3)c[nH+]c1C)CNC2=O ZINC001213497339 1134455697 /nfs/dbraw/zinc/45/56/97/1134455697.db2.gz OKAQSXVHEZLWCI-UHFFFAOYSA-N 1 2 293.370 3.563 20 0 CHADLO COc1ccc(Nc2cc(C3CC3)c[nH+]c2C)c(CO)c1 ZINC001213501297 1134456290 /nfs/dbraw/zinc/45/62/90/1134456290.db2.gz RKCBIAKNXFKGFH-UHFFFAOYSA-N 1 2 284.359 3.512 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2o[nH]c(=O)c2c1 ZINC001213501232 1134456692 /nfs/dbraw/zinc/45/66/92/1134456692.db2.gz NRYLYOBKKUITJO-UHFFFAOYSA-N 1 2 281.315 3.858 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)CC(=O)N2C ZINC001213501178 1134456772 /nfs/dbraw/zinc/45/67/72/1134456772.db2.gz LEKFBMOJTWHYOC-UHFFFAOYSA-N 1 2 293.370 3.530 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(CO)c(F)c1 ZINC001213503604 1134456877 /nfs/dbraw/zinc/45/68/77/1134456877.db2.gz OJABLBNRBTZIBV-UHFFFAOYSA-N 1 2 272.323 3.642 20 0 CHADLO COC(=O)c1cccc(Nc2c[nH+]c(C)cc2C)c1C ZINC001213513487 1134457558 /nfs/dbraw/zinc/45/75/58/1134457558.db2.gz NXYYPXQUPKKKMV-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1cc(C)c(Nc2csc(-c3ccncc3)n2)c[nH+]1 ZINC001213510774 1134457905 /nfs/dbraw/zinc/45/79/05/1134457905.db2.gz KEBLVANFFYHDMV-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO COc1ccc(Nc2c[nH+]c(C)cc2C)c2ccncc12 ZINC001213520578 1134458898 /nfs/dbraw/zinc/45/88/98/1134458898.db2.gz FIDPKTLCASPAEC-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COC(=O)[C@H]1CCc2cc(Nc3c[nH+]c(C)cc3C)ccc21 ZINC001213521077 1134458942 /nfs/dbraw/zinc/45/89/42/1134458942.db2.gz SAWKSHIGXRCKIL-INIZCTEOSA-N 1 2 296.370 3.645 20 0 CHADLO C=CC(=O)Nc1cccc(Nc2c[nH+]c(C)cc2C)c1 ZINC001213520494 1134459122 /nfs/dbraw/zinc/45/91/22/1134459122.db2.gz CCEFARVLSYVIHF-UHFFFAOYSA-N 1 2 267.332 3.567 20 0 CHADLO Cc1cc(C)c(Nc2ccc(OC3CCOCC3)cc2)c[nH+]1 ZINC001213518619 1134459533 /nfs/dbraw/zinc/45/95/33/1134459533.db2.gz YDGHDBAVFMJNIG-UHFFFAOYSA-N 1 2 298.386 4.000 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnn2Cc2cccc(F)c2)c1C ZINC001213525397 1134460169 /nfs/dbraw/zinc/46/01/69/1134460169.db2.gz UMIFFQYHKSGGPX-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Br)cnc2F)c1C ZINC001213522853 1134460244 /nfs/dbraw/zinc/46/02/44/1134460244.db2.gz HYOPUTQPVQIJHY-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO COC(=O)c1cc(Cl)cc(Nc2c[nH+]cc(C)c2C)c1 ZINC001213532736 1134461491 /nfs/dbraw/zinc/46/14/91/1134461491.db2.gz RTLGULXGCYYUIE-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc3cc(C(C)(C)C)nn3c2)c1C ZINC001213528867 1134461819 /nfs/dbraw/zinc/46/18/19/1134461819.db2.gz OXXOBQOJHOILSB-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(F)c(F)c(F)c2F)c1C ZINC001213530663 1134461963 /nfs/dbraw/zinc/46/19/63/1134461963.db2.gz JXTSJHMYZRGNQQ-UHFFFAOYSA-N 1 2 270.229 3.998 20 0 CHADLO COc1ccc(Nc2c[nH+]cc(C)c2C)c(OC)c1F ZINC001213534134 1134462673 /nfs/dbraw/zinc/46/26/73/1134462673.db2.gz NZDQHIGQALFTMJ-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)[C@H](O)CCC3)c1C ZINC001213534328 1134462719 /nfs/dbraw/zinc/46/27/19/1134462719.db2.gz XBXULSZXENLNKN-QGZVFWFLSA-N 1 2 268.360 3.812 20 0 CHADLO COC(=O)/C=C/c1ccc(Nc2c[nH+]cc(C)c2C)cc1 ZINC001213537233 1134462828 /nfs/dbraw/zinc/46/28/28/1134462828.db2.gz XVJJQZAUJTXTLM-RMKNXTFCSA-N 1 2 282.343 3.628 20 0 CHADLO Nc1cc(Cl)ccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001213646594 1134472823 /nfs/dbraw/zinc/47/28/23/1134472823.db2.gz SNWHYNLODMCVTO-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3[nH]c(=O)ccc3c2)cc1 ZINC001213784715 1134483938 /nfs/dbraw/zinc/48/39/38/1134483938.db2.gz CBDQLNUPCVRPLT-UHFFFAOYSA-N 1 2 293.370 3.728 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3[nH]c(=O)ccc3c2)cc1 ZINC001213784715 1134483940 /nfs/dbraw/zinc/48/39/40/1134483940.db2.gz CBDQLNUPCVRPLT-UHFFFAOYSA-N 1 2 293.370 3.728 20 0 CHADLO COc1cccc(Nc2cccn3cc[nH+]c23)c1C1CC1 ZINC001213800601 1134485524 /nfs/dbraw/zinc/48/55/24/1134485524.db2.gz CXPIZVUWAMYBED-UHFFFAOYSA-N 1 2 279.343 3.964 20 0 CHADLO COc1cc[nH+]cc1Nc1c(Cl)ccc(F)c1F ZINC001213945823 1134499155 /nfs/dbraw/zinc/49/91/55/1134499155.db2.gz USCIMYULVXECEB-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc2cc[nH]c(=O)c2c1 ZINC001213953644 1134500040 /nfs/dbraw/zinc/50/00/40/1134500040.db2.gz DQBOKDMBLCWTFO-UHFFFAOYSA-N 1 2 265.316 3.641 20 0 CHADLO Oc1cc(Cl)ccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001214407462 1134535946 /nfs/dbraw/zinc/53/59/46/1134535946.db2.gz SWMRAJDEOVYIOS-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Oc1c(F)cc(Nc2ccn3cc[nH+]c3c2)cc1Cl ZINC001214486669 1134543219 /nfs/dbraw/zinc/54/32/19/1134543219.db2.gz TUHSOVXUYBUUPC-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)ccc(C)c1OC ZINC001214529960 1134546810 /nfs/dbraw/zinc/54/68/10/1134546810.db2.gz JNBBBYSBUNMPLN-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(CO)cc2C)c1 ZINC001214663172 1134562966 /nfs/dbraw/zinc/56/29/66/1134562966.db2.gz WVYYJHYPJMWWNI-UHFFFAOYSA-N 1 2 256.349 3.578 20 0 CHADLO CC(=O)c1cc(Nc2cccc(Cn3cc[nH+]c3)c2)cs1 ZINC001214782807 1134571360 /nfs/dbraw/zinc/57/13/60/1134571360.db2.gz ISPCJDQLRGBNCB-UHFFFAOYSA-N 1 2 297.383 3.939 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1csc(C(C)=O)c1 ZINC001214780445 1134571658 /nfs/dbraw/zinc/57/16/58/1134571658.db2.gz AWVVPVCSMLURSA-UHFFFAOYSA-N 1 2 260.362 3.960 20 0 CHADLO COc1c(F)cc(Nc2[nH+]cc(O)cc2C)cc1Cl ZINC001214963755 1134588033 /nfs/dbraw/zinc/58/80/33/1134588033.db2.gz IIGICGGSRCYFGU-UHFFFAOYSA-N 1 2 282.702 3.640 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C(C)=O)c(F)c1F ZINC001214978634 1134590342 /nfs/dbraw/zinc/59/03/42/1134590342.db2.gz PEAXSDHNGLXGFC-UHFFFAOYSA-N 1 2 292.285 3.705 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)cc1 ZINC000401617302 1134618045 /nfs/dbraw/zinc/61/80/45/1134618045.db2.gz RZWKJPZDQJVKQU-MLGOLLRUSA-N 1 2 285.391 3.537 20 0 CHADLO COc1c(F)c(C)ccc1Nc1cccc2[nH+]ccn21 ZINC001215599452 1134650466 /nfs/dbraw/zinc/65/04/66/1134650466.db2.gz HWHINTSXCUPVPU-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1[nH+]c2ccc(F)cn2c1Nc1ccc(C)c2[nH]ncc21 ZINC001215756609 1134675397 /nfs/dbraw/zinc/67/53/97/1134675397.db2.gz CYCVNQMMTCEQGJ-UHFFFAOYSA-N 1 2 295.321 3.710 20 0 CHADLO CCOCc1cncc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001215788341 1134686728 /nfs/dbraw/zinc/68/67/28/1134686728.db2.gz HAYXHSUBRKRDHV-UHFFFAOYSA-N 1 2 294.358 3.547 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cnc(SC)c(C)c1 ZINC001215827257 1134696322 /nfs/dbraw/zinc/69/63/22/1134696322.db2.gz MTAJRJAVQDWUPI-UHFFFAOYSA-N 1 2 275.377 3.649 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(OC(C)C)nc2C)[nH+]1 ZINC001215917424 1134718755 /nfs/dbraw/zinc/71/87/55/1134718755.db2.gz UUDMJKUKQHUTAS-UHFFFAOYSA-N 1 2 296.374 3.877 20 0 CHADLO Cc1nc(OC(C)C)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001215920038 1134719982 /nfs/dbraw/zinc/71/99/82/1134719982.db2.gz HCMUGHHQCXWJHG-UHFFFAOYSA-N 1 2 296.374 3.808 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC)c(SC)c1 ZINC001215932300 1134724407 /nfs/dbraw/zinc/72/44/07/1134724407.db2.gz ZAESIXZOBOTDQN-UHFFFAOYSA-N 1 2 290.388 3.954 20 0 CHADLO COc1c(C)cc(C)cc1Nc1cccn2cc[nH+]c12 ZINC001215996504 1134746036 /nfs/dbraw/zinc/74/60/36/1134746036.db2.gz AJSKIAHHHUCVEU-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COc1ccc(Nc2[nH+]cc(O)cc2C)cc1C(C)C ZINC001216000393 1134747050 /nfs/dbraw/zinc/74/70/50/1134747050.db2.gz JIRYJNAQRGANPV-UHFFFAOYSA-N 1 2 272.348 3.971 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cc(C(C)C)ccc1N ZINC001216019497 1134750922 /nfs/dbraw/zinc/75/09/22/1134750922.db2.gz QUFQQMPZEHUVRT-UHFFFAOYSA-N 1 2 257.337 3.545 20 0 CHADLO Cc1ccc(Nc2ccc(N)c(OC(F)(F)F)c2)c(C)[nH+]1 ZINC001216029808 1134753743 /nfs/dbraw/zinc/75/37/43/1134753743.db2.gz VMZMDLQINQUXNU-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO CCc1cc(Nc2cc[nH+]c(SC)c2)ccc1N ZINC001216037148 1134754838 /nfs/dbraw/zinc/75/48/38/1134754838.db2.gz GHXCAQJHCUWUND-UHFFFAOYSA-N 1 2 259.378 3.692 20 0 CHADLO CCc1cc(Nc2cc(OC(F)(F)F)cc[nH+]2)ccc1N ZINC001216037726 1134756028 /nfs/dbraw/zinc/75/60/28/1134756028.db2.gz TTWXZTKSMQBECL-UHFFFAOYSA-N 1 2 297.280 3.868 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)cc(O)c1F ZINC001216078657 1134769781 /nfs/dbraw/zinc/76/97/81/1134769781.db2.gz NXXMHHTYYXKHLR-UHFFFAOYSA-N 1 2 287.338 3.579 20 0 CHADLO CSc1cc(Nc2cc(C)cc(C)c2N)cc[nH+]1 ZINC001216097786 1134776332 /nfs/dbraw/zinc/77/63/32/1134776332.db2.gz DSJDBHCHAITMME-UHFFFAOYSA-N 1 2 259.378 3.746 20 0 CHADLO COc1ncc(Nc2ccc([NH+]3CCCCC3)cc2)cc1O ZINC001216208569 1134808985 /nfs/dbraw/zinc/80/89/85/1134808985.db2.gz UBJHNTSMNBCELF-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO CCOc1ccc(C)c(-n2c(C)[nH+]c3ccc(N)cc32)c1 ZINC001216235985 1134817987 /nfs/dbraw/zinc/81/79/87/1134817987.db2.gz PKNBUBCUEYLNHC-UHFFFAOYSA-N 1 2 281.359 3.623 20 0 CHADLO COc1cc(-n2c(C)[nH+]c3ccc(N)cc32)ccc1C1CC1 ZINC001216251700 1134827194 /nfs/dbraw/zinc/82/71/94/1134827194.db2.gz HKUCHRHWXCTVKI-UHFFFAOYSA-N 1 2 293.370 3.802 20 0 CHADLO COc1ccc(Nc2ccc3c(C)cc[nH+]c3c2)cc1N ZINC001216260461 1134829893 /nfs/dbraw/zinc/82/98/93/1134829893.db2.gz BEQXLDOVGICYGJ-UHFFFAOYSA-N 1 2 279.343 3.878 20 0 CHADLO COc1cc(C)c(C)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001216372866 1134871568 /nfs/dbraw/zinc/87/15/68/1134871568.db2.gz RXYIERBVRVJMPK-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO COc1cc(C)c(C)cc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001216375833 1134872975 /nfs/dbraw/zinc/87/29/75/1134872975.db2.gz SPABTSGIQJCXMO-UHFFFAOYSA-N 1 2 281.359 3.542 20 0 CHADLO COc1cc(Nc2ccc(O)cc2Cl)cc(C)[nH+]1 ZINC001216426424 1134892247 /nfs/dbraw/zinc/89/22/47/1134892247.db2.gz DCYIDZQJZCAING-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO CCOC(=O)/C=C/c1ccc(Nc2cccc(CC)[nH+]2)cc1 ZINC001216475692 1134901658 /nfs/dbraw/zinc/90/16/58/1134901658.db2.gz SNKRFIWMZSWISI-JLHYYAGUSA-N 1 2 296.370 3.964 20 0 CHADLO Cc1cn2cccc(Nc3cc(F)cc(C(C)(C)O)c3)c2[nH+]1 ZINC001216489447 1134906210 /nfs/dbraw/zinc/90/62/10/1134906210.db2.gz XTERKJAOTQJCMZ-UHFFFAOYSA-N 1 2 299.349 3.753 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(Cl)c3N)ccc21 ZINC001216528235 1134915954 /nfs/dbraw/zinc/91/59/54/1134915954.db2.gz WLQLALUQJRYXLL-UHFFFAOYSA-N 1 2 272.739 3.553 20 0 CHADLO CSc1cc(Nc2ccc3onc(C)c3c2)cc[nH+]1 ZINC001216640535 1134937749 /nfs/dbraw/zinc/93/77/49/1134937749.db2.gz VGOQKUWBBJBALM-UHFFFAOYSA-N 1 2 271.345 3.997 20 0 CHADLO Cc1cc(CNc2ccc([NH+]3CCCC3)cc2)sn1 ZINC000404450715 1134946386 /nfs/dbraw/zinc/94/63/86/1134946386.db2.gz MJFNJJRGMQNMEF-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO C[C@H](Oc1cc(N)cc[nH+]1)c1ccccc1C(F)(F)F ZINC001218176727 1135063605 /nfs/dbraw/zinc/06/36/05/1135063605.db2.gz HVCJIWWBQTWFRZ-VIFPVBQESA-N 1 2 282.265 3.823 20 0 CHADLO C[C@H](Oc1cc(N)cc[nH+]1)c1ccc(C(F)(F)F)cc1 ZINC001218197588 1135068483 /nfs/dbraw/zinc/06/84/83/1135068483.db2.gz YLRXIGSJOZBGQU-VIFPVBQESA-N 1 2 282.265 3.823 20 0 CHADLO Cc1ccc2cc(O[C@H]3C[NH2+]CC(F)(F)C3)ccc2c1 ZINC001218275222 1135078010 /nfs/dbraw/zinc/07/80/10/1135078010.db2.gz KRLVUMZCDJORDH-OAHLLOKOSA-N 1 2 277.314 3.524 20 0 CHADLO Cc1ccc(C)c2c1CCC[C@H]2Oc1ccc[nH+]c1N ZINC001218304095 1135082597 /nfs/dbraw/zinc/08/25/97/1135082597.db2.gz GAQOHHGZNNBOHB-CQSZACIVSA-N 1 2 268.360 3.737 20 0 CHADLO Cc1ccc(C)c2c1CCC[C@@H]2Oc1cc(N)cc[nH+]1 ZINC001218306709 1135083185 /nfs/dbraw/zinc/08/31/85/1135083185.db2.gz WHGOWVSFGWVURR-HNNXBMFYSA-N 1 2 268.360 3.737 20 0 CHADLO Cc1cc(N)nc(SC[C@H](C)C2CCCCC2)[nH+]1 ZINC000311067751 1135128544 /nfs/dbraw/zinc/12/85/44/1135128544.db2.gz LKMZUKRTPPMFGW-JTQLQIEISA-N 1 2 265.426 3.676 20 0 CHADLO CCC[C@@H](OCCn1cc[nH+]c1)C1CCCCC1 ZINC001222135544 1135198391 /nfs/dbraw/zinc/19/83/91/1135198391.db2.gz ZQBQCMOEZKZILS-OAHLLOKOSA-N 1 2 250.386 3.649 20 0 CHADLO c1cn(CCOC2c3ccccc3Oc3ccccc32)c[nH+]1 ZINC001222134630 1135198398 /nfs/dbraw/zinc/19/83/98/1135198398.db2.gz IJBYWXAYLDQOHK-UHFFFAOYSA-N 1 2 292.338 3.795 20 0 CHADLO CCCC[C@H](CC)C[C@H](C)OCCn1cc[nH+]c1 ZINC001222139439 1135198753 /nfs/dbraw/zinc/19/87/53/1135198753.db2.gz RUVNHCZUAFPHGJ-GJZGRUSLSA-N 1 2 252.402 3.895 20 0 CHADLO Cc1cc(COC[C@@H](C)OCc2ccccc2)cc(C)[nH+]1 ZINC001223420073 1135342100 /nfs/dbraw/zinc/34/21/00/1135342100.db2.gz HLALWYGDTCXJJJ-MRXNPFEDSA-N 1 2 285.387 3.820 20 0 CHADLO Cc1cc(CO[C@H]2C[C@@H](OCc3ccccc3)C2)cc(C)[nH+]1 ZINC001223424086 1135343604 /nfs/dbraw/zinc/34/36/04/1135343604.db2.gz GIUFBKOLEODZKY-KDURUIRLSA-N 1 2 297.398 3.963 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H]1CCCc2cccnc21 ZINC001224963711 1135496958 /nfs/dbraw/zinc/49/69/58/1135496958.db2.gz PIFWGYSHCNIMEN-AWEZNQCLSA-N 1 2 276.380 3.660 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H]1CCC(=O)c2ccccc21 ZINC001224963207 1135497556 /nfs/dbraw/zinc/49/75/56/1135497556.db2.gz JDLGCHUPYLENKN-INIZCTEOSA-N 1 2 289.375 3.905 20 0 CHADLO C[C@@H](Oc1cc[nH+]cc1)c1cc(-c2ccccc2)no1 ZINC001225296219 1135537892 /nfs/dbraw/zinc/53/78/92/1135537892.db2.gz RKOAWUUNHTYNBD-GFCCVEGCSA-N 1 2 266.300 3.877 20 0 CHADLO c1ccc(CO[C@@H]2CC[C@@H](Oc3cc[nH+]cc3)C2)cc1 ZINC001225296494 1135538184 /nfs/dbraw/zinc/53/81/84/1135538184.db2.gz WEUBFHKLXJPVFD-IAGOWNOFSA-N 1 2 269.344 3.598 20 0 CHADLO CC(C)[NH+]1CC(Oc2ccccc2Oc2ccccc2)C1 ZINC001225509927 1135580962 /nfs/dbraw/zinc/58/09/62/1135580962.db2.gz ZJEXTIUYGPRANJ-UHFFFAOYSA-N 1 2 283.371 3.950 20 0 CHADLO CSc1ccccc1OC1C[NH+](Cc2ccccc2)C1 ZINC001225670777 1135606407 /nfs/dbraw/zinc/60/64/07/1135606407.db2.gz FOJHAQIRCRKCFQ-UHFFFAOYSA-N 1 2 285.412 3.672 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H]1CCCN(c2ccccc2)C1 ZINC001227263343 1135811903 /nfs/dbraw/zinc/81/19/03/1135811903.db2.gz FICGAMBUENQBBG-QGZVFWFLSA-N 1 2 282.387 3.746 20 0 CHADLO COc1ccc([C@@H](C)Oc2c(C)cc[nH+]c2C)cc1OC ZINC001227263659 1135812032 /nfs/dbraw/zinc/81/20/32/1135812032.db2.gz GNKWOENKKNGWCQ-CYBMUJFWSA-N 1 2 287.359 3.856 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H](C)c1cc(Br)no1 ZINC001227262497 1135812134 /nfs/dbraw/zinc/81/21/34/1135812134.db2.gz QCTJMKSPDTUUDI-SECBINFHSA-N 1 2 297.152 3.589 20 0 CHADLO Cc1cnc(F)c([C@H](C)Oc2c(C)cc[nH+]c2C)c1 ZINC001227266130 1135812286 /nfs/dbraw/zinc/81/22/86/1135812286.db2.gz WXCHAKDFKRPASD-LBPRGKRZSA-N 1 2 260.312 3.681 20 0 CHADLO COc1cccc(OC[C@H](C)Oc2c(C)cc[nH+]c2C)c1 ZINC001227266250 1135812328 /nfs/dbraw/zinc/81/23/28/1135812328.db2.gz ZUWFBMYIOUCHHE-ZDUSSCGKSA-N 1 2 287.359 3.553 20 0 CHADLO COc1ccccc1OC[C@H](C)Oc1c(C)cc[nH+]c1C ZINC001227263303 1135812905 /nfs/dbraw/zinc/81/29/05/1135812905.db2.gz CVBBGFRKJRORQK-ZDUSSCGKSA-N 1 2 287.359 3.553 20 0 CHADLO Cc1ccc(NC(=O)NCC(C)(C)C2=CCCC2)c(C)[nH+]1 ZINC000573298867 334925265 /nfs/dbraw/zinc/92/52/65/334925265.db2.gz RRBPHBMEPLIWJD-UHFFFAOYSA-N 1 2 287.407 3.956 20 0 CHADLO Cc1ccc(NCc2sccc2Br)c[nH+]1 ZINC000096033618 185068000 /nfs/dbraw/zinc/06/80/00/185068000.db2.gz KFLRPGKIQBGMTQ-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1cscc1C(F)(F)F ZINC000356091595 529414329 /nfs/dbraw/zinc/41/43/29/529414329.db2.gz GLNCKIBYJFEWGH-GXSJLCMTSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@H+]1Cc1cscc1C(F)(F)F ZINC000356091595 529414331 /nfs/dbraw/zinc/41/43/31/529414331.db2.gz GLNCKIBYJFEWGH-GXSJLCMTSA-N 1 2 293.354 3.766 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1c(Cl)cccc1Cl ZINC000336632472 529502981 /nfs/dbraw/zinc/50/29/81/529502981.db2.gz CPZNNXBHYBLXKH-UHFFFAOYSA-N 1 2 295.169 3.625 20 0 CHADLO CCc1ccc(C[NH2+]Cc2coc(-c3cccs3)n2)cn1 ZINC000352766999 529653373 /nfs/dbraw/zinc/65/33/73/529653373.db2.gz ZZSGJIQISCGUPE-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO CC[C@H](C)CSc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000301904415 529674703 /nfs/dbraw/zinc/67/47/03/529674703.db2.gz YBMHXZZNLFGCJL-JTQLQIEISA-N 1 2 278.425 3.720 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347672593 529714294 /nfs/dbraw/zinc/71/42/94/529714294.db2.gz CSDXSRJRRCUKGZ-ZDUSSCGKSA-N 1 2 295.333 3.771 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347672593 529714297 /nfs/dbraw/zinc/71/42/97/529714297.db2.gz CSDXSRJRRCUKGZ-ZDUSSCGKSA-N 1 2 295.333 3.771 20 0 CHADLO CCc1nc(C)c(C(=O)Nc2c(C)cc(C)[nH+]c2C)s1 ZINC000334900949 529720442 /nfs/dbraw/zinc/72/04/42/529720442.db2.gz PBVZPQQCLDQURK-UHFFFAOYSA-N 1 2 289.404 3.586 20 0 CHADLO CCc1nc(C[NH2+][C@H](CC(C)C)c2cccs2)no1 ZINC000347607345 529740720 /nfs/dbraw/zinc/74/07/20/529740720.db2.gz IEYQFXGOLNOJSP-LLVKDONJSA-N 1 2 279.409 3.571 20 0 CHADLO CCc1nnc(C[N@@H+]2CCC[C@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000355056843 529736377 /nfs/dbraw/zinc/73/63/77/529736377.db2.gz MQZYJJPUUHRYPD-INIZCTEOSA-N 1 2 298.434 3.828 20 0 CHADLO CCc1nnc(C[N@H+]2CCC[C@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000355056843 529736378 /nfs/dbraw/zinc/73/63/78/529736378.db2.gz MQZYJJPUUHRYPD-INIZCTEOSA-N 1 2 298.434 3.828 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@H](C)CC[C@@H]2c2ccccc2)no1 ZINC000352797037 529737468 /nfs/dbraw/zinc/73/74/68/529737468.db2.gz ADXGTGCTIGFHKO-UKRRQHHQSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1nc(C[N@H+]2C[C@H](C)CC[C@@H]2c2ccccc2)no1 ZINC000352797037 529737469 /nfs/dbraw/zinc/73/74/69/529737469.db2.gz ADXGTGCTIGFHKO-UKRRQHHQSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(CC)nc2)cs1 ZINC000352785450 529746829 /nfs/dbraw/zinc/74/68/29/529746829.db2.gz GMIXQXFLRPXNHK-NSHDSACASA-N 1 2 275.421 3.514 20 0 CHADLO C=Cn1cc(C[NH2+]Cc2cc(Cl)sc2Cl)cn1 ZINC000312785775 260202456 /nfs/dbraw/zinc/20/24/56/260202456.db2.gz UIJTZTGHHVUOHK-UHFFFAOYSA-N 1 2 288.203 3.642 20 0 CHADLO C[N@@H+](Cc1cc[nH]n1)Cc1cc(-c2ccccc2)cs1 ZINC000353531800 529982083 /nfs/dbraw/zinc/98/20/83/529982083.db2.gz PHSMFMQKLAHOLA-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO C[N@H+](Cc1cc[nH]n1)Cc1cc(-c2ccccc2)cs1 ZINC000353531800 529982084 /nfs/dbraw/zinc/98/20/84/529982084.db2.gz PHSMFMQKLAHOLA-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO C[N@H+](Cc1cc(O)cc(F)c1)Cc1ccccc1Cl ZINC000352000828 529980283 /nfs/dbraw/zinc/98/02/83/529980283.db2.gz AJKOJTRYVGRDAG-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cc(O)cc(F)c1)Cc1ccccc1Cl ZINC000352000828 529980284 /nfs/dbraw/zinc/98/02/84/529980284.db2.gz AJKOJTRYVGRDAG-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@H+](Cc1ncc(-c2ccccc2)s1)Cc1ccccn1 ZINC000350360076 530024595 /nfs/dbraw/zinc/02/45/95/530024595.db2.gz UEJNBDWSMMZXNI-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1ncc(-c2ccccc2)s1)Cc1ccccn1 ZINC000350360076 530024596 /nfs/dbraw/zinc/02/45/96/530024596.db2.gz UEJNBDWSMMZXNI-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@H+](Cc1ncc(Cl)s1)[C@@H]1CCc2ccccc21 ZINC000351994947 530025819 /nfs/dbraw/zinc/02/58/19/530025819.db2.gz IFCKYGVURAWPGU-GFCCVEGCSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1ncc(Cl)s1)[C@@H]1CCc2ccccc21 ZINC000351994947 530025821 /nfs/dbraw/zinc/02/58/21/530025821.db2.gz IFCKYGVURAWPGU-GFCCVEGCSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc2occc2c1 ZINC000347859832 530028579 /nfs/dbraw/zinc/02/85/79/530028579.db2.gz ITUNALKDNNYRKT-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc2occc2c1 ZINC000347859832 530028580 /nfs/dbraw/zinc/02/85/80/530028580.db2.gz ITUNALKDNNYRKT-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccc(Cl)cc1 ZINC000084221198 260361772 /nfs/dbraw/zinc/36/17/72/260361772.db2.gz ODQYRYWOASUQEC-NSHDSACASA-N 1 2 283.799 3.502 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000084221198 260361773 /nfs/dbraw/zinc/36/17/73/260361773.db2.gz ODQYRYWOASUQEC-NSHDSACASA-N 1 2 283.799 3.502 20 0 CHADLO CCOc1cccc(CSCCc2cccc(C)[nH+]2)n1 ZINC000574277723 335006198 /nfs/dbraw/zinc/00/61/98/335006198.db2.gz SJLHJFRZOHCHSC-UHFFFAOYSA-N 1 2 288.416 3.660 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2cc(C(C)(C)C)on2)cc1 ZINC000574643828 335036620 /nfs/dbraw/zinc/03/66/20/335036620.db2.gz WQKDUFKGAVKNMF-LBPRGKRZSA-N 1 2 288.391 3.832 20 0 CHADLO COc1ccc([C@@H](C)N(C)c2cc[nH+]c(C3CC3)n2)cc1 ZINC000112964174 533100822 /nfs/dbraw/zinc/10/08/22/533100822.db2.gz CXJDOUJIIPLXTL-GFCCVEGCSA-N 1 2 283.375 3.560 20 0 CHADLO Clc1cccc(Cl)c1C1([NH2+]Cc2cnccn2)CC1 ZINC000574856044 335052522 /nfs/dbraw/zinc/05/25/22/335052522.db2.gz FHWMMNIVNFORCF-UHFFFAOYSA-N 1 2 294.185 3.562 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CC[C@H](C)c2ccccc21 ZINC000171137497 335056573 /nfs/dbraw/zinc/05/65/73/335056573.db2.gz FWUJPGSUVFSNGC-AWEZNQCLSA-N 1 2 269.392 3.978 20 0 CHADLO CCCOc1cccc2c(N[C@@H](CC)CCO)cc[nH+]c12 ZINC000497128024 260039274 /nfs/dbraw/zinc/03/92/74/260039274.db2.gz KSBDDLLRUUNHFC-ZDUSSCGKSA-N 1 2 288.391 3.597 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)cc(F)c1F ZINC000179621972 260058711 /nfs/dbraw/zinc/05/87/11/260058711.db2.gz CDLPGXPOKCTSFZ-VIFPVBQESA-N 1 2 297.242 3.878 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)cc(F)c1F ZINC000179621972 260058712 /nfs/dbraw/zinc/05/87/12/260058712.db2.gz CDLPGXPOKCTSFZ-VIFPVBQESA-N 1 2 297.242 3.878 20 0 CHADLO COc1ccc2nc(CNc3ccc[nH+]c3C)sc2c1 ZINC000352317923 533347650 /nfs/dbraw/zinc/34/76/50/533347650.db2.gz RLNOMSCDZMWZTH-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2c(Cl)cccc21)c1csnn1 ZINC000334846003 533524127 /nfs/dbraw/zinc/52/41/27/533524127.db2.gz DWUGMEYRFBQPFO-NOZJJQNGSA-N 1 2 293.823 3.920 20 0 CHADLO C[C@@H](CC(C)(C)C)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000355983954 533461566 /nfs/dbraw/zinc/46/15/66/533461566.db2.gz JDFQZEAHQHBTSJ-QWHCGFSZSA-N 1 2 277.412 3.536 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2F)on1 ZINC000334690160 533604566 /nfs/dbraw/zinc/60/45/66/533604566.db2.gz PEBJFPKMDKDSCZ-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2F)on1 ZINC000334690160 533604577 /nfs/dbraw/zinc/60/45/77/533604577.db2.gz PEBJFPKMDKDSCZ-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2ccccc2Cl)C(C)C)s1 ZINC000341868038 130116333 /nfs/dbraw/zinc/11/63/33/130116333.db2.gz LXAWHJAPIDLJLH-AWEZNQCLSA-N 1 2 295.839 3.987 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](c2ccccc2Cl)C(C)C)n1 ZINC000341867883 130117354 /nfs/dbraw/zinc/11/73/54/130117354.db2.gz KKCJCEALQVFPFM-MRXNPFEDSA-N 1 2 289.810 3.925 20 0 CHADLO Cn1c2ccccc2[nH+]c1CCSCC1CCC1 ZINC000341914686 130126658 /nfs/dbraw/zinc/12/66/58/130126658.db2.gz COKXGGPFBZRZMH-UHFFFAOYSA-N 1 2 260.406 3.649 20 0 CHADLO Cc1cc[nH+]c(NCc2ccccc2-n2cccn2)c1Cl ZINC000341926866 130157709 /nfs/dbraw/zinc/15/77/09/130157709.db2.gz JIELMXSHWVXUCJ-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Cc1nnc([C@H](C)[NH+]2CCC(CC(F)(F)F)CC2)s1 ZINC000341982163 130167927 /nfs/dbraw/zinc/16/79/27/130167927.db2.gz DDUSIHQENSREJA-QMMMGPOBSA-N 1 2 293.358 3.572 20 0 CHADLO Cc1[nH+]c2ccccc2n1CC(=O)Nc1ccc(C)c(C)c1 ZINC000005509824 170105909 /nfs/dbraw/zinc/10/59/09/170105909.db2.gz MHMIRPWZSUMBDL-UHFFFAOYSA-N 1 2 293.370 3.600 20 0 CHADLO Cc1[nH+]c2ccccc2n1Cc1nc(-c2ccsc2)no1 ZINC000008457833 170336884 /nfs/dbraw/zinc/33/68/84/170336884.db2.gz OKXQJVZNFCSNKT-UHFFFAOYSA-N 1 2 296.355 3.505 20 0 CHADLO c1coc(C[NH2+][C@H](c2ccco2)c2ccccc2)c1 ZINC000022148672 171357479 /nfs/dbraw/zinc/35/74/79/171357479.db2.gz PXOUUOXQURLJOU-INIZCTEOSA-N 1 2 253.301 3.752 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccco1 ZINC000035739011 172393313 /nfs/dbraw/zinc/39/33/13/172393313.db2.gz LJOHPYIHMAEMIE-UWVGGRQHSA-N 1 2 251.276 3.970 20 0 CHADLO Cc1csc(C[NH2+][C@H](C)c2c(F)cccc2F)n1 ZINC000038090757 174103976 /nfs/dbraw/zinc/10/39/76/174103976.db2.gz APDBDICIMHSNLF-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO C[N@H+](Cc1sccc1Br)Cc1ccncc1 ZINC000043677750 175089117 /nfs/dbraw/zinc/08/91/17/175089117.db2.gz LWJAQEOUHYOCHE-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO C[N@@H+](Cc1sccc1Br)Cc1ccncc1 ZINC000043677750 175089119 /nfs/dbraw/zinc/08/91/19/175089119.db2.gz LWJAQEOUHYOCHE-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO Cc1cccnc1C[NH2+]Cc1c(Cl)cccc1Cl ZINC000071339500 176129808 /nfs/dbraw/zinc/12/98/08/176129808.db2.gz NXTCUVAZPYUZBN-UHFFFAOYSA-N 1 2 281.186 3.987 20 0 CHADLO Cc1cc(C)c(NC(=O)Cc2ccc(C)c(C)c2)c(C)[nH+]1 ZINC000521343376 260082265 /nfs/dbraw/zinc/08/22/65/260082265.db2.gz AHVMIFBQBKARHZ-UHFFFAOYSA-N 1 2 282.387 3.805 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2ccc(Cl)o2)C2CC2)n1 ZINC000344614664 225118198 /nfs/dbraw/zinc/11/81/98/225118198.db2.gz FYOPBYJDIHBJOO-GFCCVEGCSA-N 1 2 282.796 3.939 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2c(C)cccc2Cl)c[nH+]1 ZINC000334741751 225298263 /nfs/dbraw/zinc/29/82/63/225298263.db2.gz HLYSLYLRBHYENW-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H]2CCCc3ccccc32)nn1C ZINC000334984888 225385893 /nfs/dbraw/zinc/38/58/93/225385893.db2.gz JDSGUOYDTAZVOA-AWEZNQCLSA-N 1 2 289.810 3.549 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335040426 225399815 /nfs/dbraw/zinc/39/98/15/225399815.db2.gz KYVSLUHQAZRKIF-BUXKBTBVSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335040426 225399820 /nfs/dbraw/zinc/39/98/20/225399820.db2.gz KYVSLUHQAZRKIF-BUXKBTBVSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccco1)c1nccn1-c1ccccc1 ZINC000347171299 226066761 /nfs/dbraw/zinc/06/67/61/226066761.db2.gz QEXSELROQNMNOT-UONOGXRCSA-N 1 2 281.359 3.877 20 0 CHADLO Cc1[nH+]cccc1Cc1nc([C@@H]2C[C@@H]2c2ccccc2)no1 ZINC000347245922 226077919 /nfs/dbraw/zinc/07/79/19/226077919.db2.gz OMEPBFKWROWZOL-HZPDHXFCSA-N 1 2 291.354 3.635 20 0 CHADLO Cc1cccc(CN(Cc2ccco2)c2cc[nH+]c(C)n2)c1 ZINC000347742838 226376501 /nfs/dbraw/zinc/37/65/01/226376501.db2.gz RNOOCDUMKXURRU-UHFFFAOYSA-N 1 2 293.370 3.893 20 0 CHADLO Cc1nc2ccc(C(=O)Nc3c(C)cc(C)[nH+]c3C)cc2o1 ZINC000348010185 226395628 /nfs/dbraw/zinc/39/56/28/226395628.db2.gz KHTMXRAKVYCCOA-UHFFFAOYSA-N 1 2 295.342 3.709 20 0 CHADLO C[C@H]1CCCN1c1[nH+]cccc1OCc1ccccc1 ZINC000354565261 227035032 /nfs/dbraw/zinc/03/50/32/227035032.db2.gz JZMUYGYUPRGTFD-AWEZNQCLSA-N 1 2 268.360 3.649 20 0 CHADLO Cc1ccc(CNc2cc(-n3cccn3)ccc2C)c(C)[nH+]1 ZINC000352536540 227043432 /nfs/dbraw/zinc/04/34/32/227043432.db2.gz HASMOVKLQDSAIK-UHFFFAOYSA-N 1 2 292.386 3.805 20 0 CHADLO O=c1[nH]c2ccccc2cc1C[N@H+]1CCCC[C@H]1C(F)F ZINC000336513449 227080834 /nfs/dbraw/zinc/08/08/34/227080834.db2.gz RBLSEVDJFJUPTD-AWEZNQCLSA-N 1 2 292.329 3.560 20 0 CHADLO O=c1[nH]c2ccccc2cc1C[N@@H+]1CCCC[C@H]1C(F)F ZINC000336513449 227080838 /nfs/dbraw/zinc/08/08/38/227080838.db2.gz RBLSEVDJFJUPTD-AWEZNQCLSA-N 1 2 292.329 3.560 20 0 CHADLO COc1cc2cc[nH+]c(N3C[C@H](C)C[C@@H]3C)c2cc1F ZINC000575210822 335081512 /nfs/dbraw/zinc/08/15/12/335081512.db2.gz VDXKYKIOMQXTEH-MNOVXSKESA-N 1 2 274.339 3.617 20 0 CHADLO CC(C)c1nc(N[C@H]2CCc3cc(F)ccc32)cc[nH+]1 ZINC000171862241 335083075 /nfs/dbraw/zinc/08/30/75/335083075.db2.gz HROPJAPJTNODFZ-AWEZNQCLSA-N 1 2 271.339 3.839 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC[C@H]3CCC[C@H]32)n1 ZINC000336610630 227179950 /nfs/dbraw/zinc/17/99/50/227179950.db2.gz VTJQDACNKMFYBY-RKDXNWHRSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC[C@H]3CCC[C@H]32)n1 ZINC000336610630 227179955 /nfs/dbraw/zinc/17/99/55/227179955.db2.gz VTJQDACNKMFYBY-RKDXNWHRSA-N 1 2 276.327 3.536 20 0 CHADLO CCn1cncc1C[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000417936409 227235737 /nfs/dbraw/zinc/23/57/37/227235737.db2.gz HNGUHCQIHZAQNE-SNVBAGLBSA-N 1 2 281.762 3.546 20 0 CHADLO C[C@H]1c2cc(Cl)c(Cl)cc2CCN1Cc1c[nH+]c[nH]1 ZINC000355027249 227325141 /nfs/dbraw/zinc/32/51/41/227325141.db2.gz ZMJFKBPLENRSIR-VIFPVBQESA-N 1 2 296.201 3.836 20 0 CHADLO C[C@H]1c2cc(Cl)c(Cl)cc2CCN1Cc1c[nH]c[nH+]1 ZINC000355027249 227325147 /nfs/dbraw/zinc/32/51/47/227325147.db2.gz ZMJFKBPLENRSIR-VIFPVBQESA-N 1 2 296.201 3.836 20 0 CHADLO Cc1cc(N[C@@H]2CCCSC2)c2cccc(F)c2[nH+]1 ZINC000301639003 227620532 /nfs/dbraw/zinc/62/05/32/227620532.db2.gz ATIUHGYPBZUUCQ-LLVKDONJSA-N 1 2 276.380 3.990 20 0 CHADLO C[C@H](c1ccc(F)cc1)N(C)c1nc(N)c2ccccc2[nH+]1 ZINC000301871592 227714170 /nfs/dbraw/zinc/71/41/70/227714170.db2.gz IVNSUPXRBDVUAJ-LLVKDONJSA-N 1 2 296.349 3.549 20 0 CHADLO Cc1sccc1C[N@@H+]1CCO[C@H](c2cccc(F)c2)C1 ZINC000351308551 227760239 /nfs/dbraw/zinc/76/02/39/227760239.db2.gz VOBBNLLQMJDAKE-INIZCTEOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1sccc1C[N@H+]1CCO[C@H](c2cccc(F)c2)C1 ZINC000351308551 227760245 /nfs/dbraw/zinc/76/02/45/227760245.db2.gz VOBBNLLQMJDAKE-INIZCTEOSA-N 1 2 291.391 3.769 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@H]1CC12CCCC2 ZINC000329990820 228009274 /nfs/dbraw/zinc/00/92/74/228009274.db2.gz JIHCJFPKADYHQL-CQSZACIVSA-N 1 2 281.359 3.596 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1C(C)(F)F ZINC000418080607 228014974 /nfs/dbraw/zinc/01/49/74/228014974.db2.gz ZWXRUCHTRVXLBV-UHFFFAOYSA-N 1 2 265.307 3.627 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2ccccc2SC)s1 ZINC000353548341 228118747 /nfs/dbraw/zinc/11/87/47/228118747.db2.gz MFWYGXVHDNCAPG-SNVBAGLBSA-N 1 2 293.461 3.673 20 0 CHADLO Cn1c[nH+]cc1CSCc1ccc(C(F)(F)F)cc1 ZINC000355927456 228054099 /nfs/dbraw/zinc/05/40/99/228054099.db2.gz ITVCHGHHYQUZEZ-UHFFFAOYSA-N 1 2 286.322 3.872 20 0 CHADLO FC(F)(C[NH2+]Cc1cc[nH]c1)c1ccc(Cl)cc1 ZINC000353097236 228059290 /nfs/dbraw/zinc/05/92/90/228059290.db2.gz OFMQCVZKGKDRBH-UHFFFAOYSA-N 1 2 270.710 3.550 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CS[C@@H]1CCCOC1 ZINC000355957472 228066968 /nfs/dbraw/zinc/06/69/68/228066968.db2.gz YQZFVYQECPCXSY-MRXNPFEDSA-N 1 2 288.416 3.593 20 0 CHADLO O=C(Nc1ccc(Oc2cc[nH+]cc2)cc1)c1cncs1 ZINC000353207342 228069165 /nfs/dbraw/zinc/06/91/65/228069165.db2.gz RXFRDBJPTOMNRC-UHFFFAOYSA-N 1 2 297.339 3.583 20 0 CHADLO Cc1cc(NC(=O)C2(C)CC2)c[nH+]c1N1CCCC[C@@H]1C ZINC000356027934 228092355 /nfs/dbraw/zinc/09/23/55/228092355.db2.gz GENNXULQGSTCTB-ZDUSSCGKSA-N 1 2 287.407 3.507 20 0 CHADLO Fc1cccc(N2CCCC2)c1C[NH+]1Cc2ccccc2C1 ZINC000353391741 228094427 /nfs/dbraw/zinc/09/44/27/228094427.db2.gz DGLSHKQWCUCBAT-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO Cc1ccc(Cc2noc(/C=C/c3cccc(F)c3)n2)c[nH+]1 ZINC000356111897 228125058 /nfs/dbraw/zinc/12/50/58/228125058.db2.gz OFOFBPYKSCFOMR-BQYQJAHWSA-N 1 2 295.317 3.673 20 0 CHADLO Cc1ccc(NC(=O)Nc2cc(C)c(O)cc2C)c(C)[nH+]1 ZINC000336183898 228208491 /nfs/dbraw/zinc/20/84/91/228208491.db2.gz BOGZWDJPRCTTED-UHFFFAOYSA-N 1 2 285.347 3.665 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2ccc3c(c2)CCC3)o1 ZINC000353668779 228142152 /nfs/dbraw/zinc/14/21/52/228142152.db2.gz AROXYJYDQFLTSK-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2ccc3c(c2)CCC3)o1 ZINC000353668779 228142153 /nfs/dbraw/zinc/14/21/53/228142153.db2.gz AROXYJYDQFLTSK-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2cccc(C)c2C)o1 ZINC000353672177 228143432 /nfs/dbraw/zinc/14/34/32/228143432.db2.gz MXGGGRPKZHTNJR-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2cccc(C)c2C)o1 ZINC000353672177 228143434 /nfs/dbraw/zinc/14/34/34/228143434.db2.gz MXGGGRPKZHTNJR-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1cc([C@H](C)Nc2ccc(N3CCCCC3)c[nH+]2)no1 ZINC000332173478 228150970 /nfs/dbraw/zinc/15/09/70/228150970.db2.gz TXASPNHFVUBLQF-ZDUSSCGKSA-N 1 2 286.379 3.541 20 0 CHADLO Cc1ccc(CCCNc2cc(F)cc(F)c2)c[nH+]1 ZINC000353792425 228154025 /nfs/dbraw/zinc/15/40/25/228154025.db2.gz MVKKYTRTWZCKKP-UHFFFAOYSA-N 1 2 262.303 3.713 20 0 CHADLO Cc1nn(-c2ccccc2)c(C)c1C[N@@H+]1CCC=C(F)C1 ZINC000351975063 228170728 /nfs/dbraw/zinc/17/07/28/228170728.db2.gz MNYDSDZNUHCOMG-UHFFFAOYSA-N 1 2 285.366 3.548 20 0 CHADLO Cc1nn(-c2ccccc2)c(C)c1C[N@H+]1CCC=C(F)C1 ZINC000351975063 228170729 /nfs/dbraw/zinc/17/07/29/228170729.db2.gz MNYDSDZNUHCOMG-UHFFFAOYSA-N 1 2 285.366 3.548 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@@]2(CC[C@H](C)C2)C1 ZINC000335964104 228171776 /nfs/dbraw/zinc/17/17/76/228171776.db2.gz PJFXSDLLESNAHO-YVEFUNNKSA-N 1 2 287.407 3.742 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2ncc(Cl)s2)cc1 ZINC000352002592 228173650 /nfs/dbraw/zinc/17/36/50/228173650.db2.gz MOCYVBLZZYIEAE-JTQLQIEISA-N 1 2 296.823 3.998 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2ncc(Cl)s2)cc1 ZINC000352002592 228173651 /nfs/dbraw/zinc/17/36/51/228173651.db2.gz MOCYVBLZZYIEAE-JTQLQIEISA-N 1 2 296.823 3.998 20 0 CHADLO Cc1ccc(COc2ccc3c(c2)CCCO3)c(C)[nH+]1 ZINC000352042914 228176823 /nfs/dbraw/zinc/17/68/23/228176823.db2.gz XKTNDWDHBDAKOU-UHFFFAOYSA-N 1 2 269.344 3.602 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc(C(C)C)n2)c(C)[nH+]1 ZINC000336060587 228183879 /nfs/dbraw/zinc/18/38/79/228183879.db2.gz KLURYYQBPDMAJX-UHFFFAOYSA-N 1 2 283.375 3.778 20 0 CHADLO Cc1nc(C(C)C)oc1C[NH+]1Cc2ccccc2C1 ZINC000336110999 228189736 /nfs/dbraw/zinc/18/97/36/228189736.db2.gz VUJICQKDFKNMFV-UHFFFAOYSA-N 1 2 256.349 3.622 20 0 CHADLO Cc1cc(NC(=O)c2c(Cl)cc(F)cc2Cl)cc[nH+]1 ZINC000336141838 228196475 /nfs/dbraw/zinc/19/64/75/228196475.db2.gz XAWHWJQSIOALGG-UHFFFAOYSA-N 1 2 299.132 3.510 20 0 CHADLO Cc1cc(NC2C[C@@H](C)C[C@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000352241214 228205076 /nfs/dbraw/zinc/20/50/76/228205076.db2.gz IVSMUFGPKUSUHE-STQMWFEESA-N 1 2 296.418 3.506 20 0 CHADLO COc1cc(C)ccc1NCCCc1ccc(C)[nH+]c1 ZINC000353836857 533684777 /nfs/dbraw/zinc/68/47/77/533684777.db2.gz JKTRYSJUTRZFAZ-UHFFFAOYSA-N 1 2 270.376 3.752 20 0 CHADLO CCCOc1cccc2c(N[C@H](CC)CCO)cc[nH+]c12 ZINC000497127994 260039440 /nfs/dbraw/zinc/03/94/40/260039440.db2.gz KSBDDLLRUUNHFC-CYBMUJFWSA-N 1 2 288.391 3.597 20 0 CHADLO Fc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)cc1F ZINC000036982119 260060559 /nfs/dbraw/zinc/06/05/59/260060559.db2.gz RFEXEHXGGVTNDT-UHFFFAOYSA-N 1 2 289.329 3.572 20 0 CHADLO Cc1cc(NC(=O)N2CCC(C(C)(C)C)CC2)cc[nH+]1 ZINC000179809993 260074633 /nfs/dbraw/zinc/07/46/33/260074633.db2.gz WEALKPAYUZOEQH-UHFFFAOYSA-N 1 2 275.396 3.680 20 0 CHADLO CC[C@H](CNC(=O)Nc1cc[nH+]cc1C)c1ccccc1 ZINC000179863982 260078399 /nfs/dbraw/zinc/07/83/99/260078399.db2.gz JIVCNFBONASGQG-CQSZACIVSA-N 1 2 283.375 3.705 20 0 CHADLO Clc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)o1 ZINC000037508354 260113694 /nfs/dbraw/zinc/11/36/94/260113694.db2.gz AGJDOQQWOQYLTP-UHFFFAOYSA-N 1 2 277.755 3.540 20 0 CHADLO Cc1cc[nH+]cc1NCc1cccc(OC(C)C)c1 ZINC000071413897 260162683 /nfs/dbraw/zinc/16/26/83/260162683.db2.gz WMKMPVBKJRRHTA-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)c(F)c(F)c1)c1nccs1 ZINC000080260086 260178591 /nfs/dbraw/zinc/17/85/91/260178591.db2.gz NOXLBZIXJMUHNC-LLVKDONJSA-N 1 2 286.322 3.801 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)c1ccccn1)c1nccs1 ZINC000080266432 260179336 /nfs/dbraw/zinc/17/93/36/260179336.db2.gz ZOQYONSGRUTAEU-XJKSGUPXSA-N 1 2 295.411 3.978 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccccc2OC(F)F)n1 ZINC000080275251 260179879 /nfs/dbraw/zinc/17/98/79/260179879.db2.gz TYLZTDZQNBNCBJ-SNVBAGLBSA-N 1 2 298.358 3.904 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)[C@H]2C[C@H](C)CC(C)(C)C2)[nH+]1 ZINC000517576152 260195411 /nfs/dbraw/zinc/19/54/11/260195411.db2.gz RQVFFDJLHLODMM-AAEUAGOBSA-N 1 2 291.439 3.532 20 0 CHADLO CCCc1nsc(Nc2ccc3c(c2)CCC[NH2+]3)n1 ZINC000087642660 260216332 /nfs/dbraw/zinc/21/63/32/260216332.db2.gz DSNXQEWCNQMSIZ-UHFFFAOYSA-N 1 2 274.393 3.592 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc(-c2cnco2)c1 ZINC000090208613 260239002 /nfs/dbraw/zinc/23/90/02/260239002.db2.gz WOBXYUCVKQDGOJ-UHFFFAOYSA-N 1 2 282.347 3.731 20 0 CHADLO CCCOc1ccccc1NCc1c[nH+]cn1C(C)C ZINC000090207911 260239221 /nfs/dbraw/zinc/23/92/21/260239221.db2.gz LDQRJXLUAAXMFX-UHFFFAOYSA-N 1 2 273.380 3.865 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@H]2Cc3ccccc3O2)c1 ZINC000090803000 260262299 /nfs/dbraw/zinc/26/22/99/260262299.db2.gz IKBUCHRYPIHRTQ-GFCCVEGCSA-N 1 2 294.276 3.516 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@@H]2Cc3ccccc3O2)c1 ZINC000090803002 260262433 /nfs/dbraw/zinc/26/24/33/260262433.db2.gz IKBUCHRYPIHRTQ-LBPRGKRZSA-N 1 2 294.276 3.516 20 0 CHADLO CC(C)CCOCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000090803309 260262510 /nfs/dbraw/zinc/26/25/10/260262510.db2.gz GKMGVSWYOSQPEZ-UHFFFAOYSA-N 1 2 276.302 3.575 20 0 CHADLO COc1ccc([C@@H](C)Nc2[nH+]c3ccccc3n2C)cc1 ZINC000090340455 260343948 /nfs/dbraw/zinc/34/39/48/260343948.db2.gz FBOZRLSISMXZLP-GFCCVEGCSA-N 1 2 281.359 3.755 20 0 CHADLO Cl/C=C(/Cl)C[NH2+]C1(c2nccs2)CCCC1 ZINC000129230918 260386291 /nfs/dbraw/zinc/38/62/91/260386291.db2.gz DVSCPMSHMJEUCA-VQHVLOKHSA-N 1 2 277.220 3.821 20 0 CHADLO COC1CCC(Nc2ccc([NH+]3CCCC3)cc2)CC1 ZINC000129958639 260391066 /nfs/dbraw/zinc/39/10/66/260391066.db2.gz XKDQEEHPSFVKHA-UHFFFAOYSA-N 1 2 274.408 3.656 20 0 CHADLO COC1CCC([NH2+]c2ccc(N3CCCC3)cc2)CC1 ZINC000129958639 260391067 /nfs/dbraw/zinc/39/10/67/260391067.db2.gz XKDQEEHPSFVKHA-UHFFFAOYSA-N 1 2 274.408 3.656 20 0 CHADLO CC(C)(C)OCC[N@@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000171676776 260945932 /nfs/dbraw/zinc/94/59/32/260945932.db2.gz RFDQNIRZHUJEMX-OAHLLOKOSA-N 1 2 297.826 3.528 20 0 CHADLO CC(C)(C)OCC[N@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000171676776 260945934 /nfs/dbraw/zinc/94/59/34/260945934.db2.gz RFDQNIRZHUJEMX-OAHLLOKOSA-N 1 2 297.826 3.528 20 0 CHADLO CC[C@H]1CCC[C@H]1C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000448742534 260967065 /nfs/dbraw/zinc/96/70/65/260967065.db2.gz ZVIDAXKTPJLNRY-XJKSGUPXSA-N 1 2 297.402 3.852 20 0 CHADLO COC[C@@H]([NH2+]Cc1cccc(Cl)c1F)c1ccc(C)o1 ZINC000151061450 260977233 /nfs/dbraw/zinc/97/72/33/260977233.db2.gz SSXIDIGHUWQAAK-CYBMUJFWSA-N 1 2 297.757 3.858 20 0 CHADLO c1csc(C[NH2+][C@H]2CC3(CCC3)Oc3ccccc32)n1 ZINC000151612331 260982908 /nfs/dbraw/zinc/98/29/08/260982908.db2.gz NJSPUVPWOWFFPJ-ZDUSSCGKSA-N 1 2 286.400 3.679 20 0 CHADLO C[C@H]([NH2+]Cc1ccnn1C)c1cc(Cl)sc1Cl ZINC000152191546 261061207 /nfs/dbraw/zinc/06/12/07/261061207.db2.gz BAAHMKBEMHUBIE-ZETCQYMHSA-N 1 2 290.219 3.639 20 0 CHADLO Cc1noc(C[NH2+][C@H](c2ccc(CC(C)C)cc2)C2CC2)n1 ZINC000152569287 261066028 /nfs/dbraw/zinc/06/60/28/261066028.db2.gz ONGMZYINZKICEU-GOSISDBHSA-N 1 2 299.418 3.817 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cc(F)ccc1F)c1nccs1 ZINC000152663138 261067382 /nfs/dbraw/zinc/06/73/82/261067382.db2.gz QZCRMXKTNGIQLM-CQSZACIVSA-N 1 2 282.359 3.836 20 0 CHADLO Cc1nc(N[C@H]2CCc3c2cccc3Cl)cc[nH+]1 ZINC000360529736 261073494 /nfs/dbraw/zinc/07/34/94/261073494.db2.gz NGLBKNMNRZORRM-ZDUSSCGKSA-N 1 2 259.740 3.538 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)no1 ZINC000154328798 261090194 /nfs/dbraw/zinc/09/01/94/261090194.db2.gz RMCINYDQXWNJCL-ZDUSSCGKSA-N 1 2 299.418 3.752 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nccn1C(F)F ZINC000155004263 261097038 /nfs/dbraw/zinc/09/70/38/261097038.db2.gz ZRLFRDXKQQPGKB-JLHYYAGUSA-N 1 2 291.345 3.814 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nccn1C(F)F ZINC000155004263 261097039 /nfs/dbraw/zinc/09/70/39/261097039.db2.gz ZRLFRDXKQQPGKB-JLHYYAGUSA-N 1 2 291.345 3.814 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1ccccc1F)c1ccc(C)o1 ZINC000156359942 261120691 /nfs/dbraw/zinc/12/06/91/261120691.db2.gz IZFJNFKEOZZIAI-SWLSCSKDSA-N 1 2 277.339 3.765 20 0 CHADLO Clc1cc(NCc2[nH]cc[nH+]2)ccc1OC1CCCC1 ZINC000157348286 261145266 /nfs/dbraw/zinc/14/52/66/261145266.db2.gz LCTFOVUOLYFVOY-UHFFFAOYSA-N 1 2 291.782 3.997 20 0 CHADLO Cc1ccc(NC(=O)c2coc3ccccc23)c(C)[nH+]1 ZINC000176192254 261268297 /nfs/dbraw/zinc/26/82/97/261268297.db2.gz HDVRWDSNKKNEQT-UHFFFAOYSA-N 1 2 266.300 3.697 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(F)c(C)c2)c(C)[nH+]1 ZINC000103363790 261279406 /nfs/dbraw/zinc/27/94/06/261279406.db2.gz RPWUOIWBTJPKRE-UHFFFAOYSA-N 1 2 272.323 3.707 20 0 CHADLO C[C@@H](Nc1cc[nH+]c(C2CC2)n1)c1cccc(F)c1F ZINC000162876188 261297264 /nfs/dbraw/zinc/29/72/64/261297264.db2.gz FKTSZUUGNLTWGM-SECBINFHSA-N 1 2 275.302 3.805 20 0 CHADLO CC(C)c1nc(N(CCC(F)(F)F)CC2CC2)cc[nH+]1 ZINC000176855270 261313334 /nfs/dbraw/zinc/31/33/34/261313334.db2.gz YLBAWMCKODJFJS-UHFFFAOYSA-N 1 2 287.329 3.769 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@H](C)c2ccc(C)o2)cs1 ZINC000165274913 261367506 /nfs/dbraw/zinc/36/75/06/261367506.db2.gz ZRZXQHJWFKZOQJ-MNOVXSKESA-N 1 2 280.393 3.603 20 0 CHADLO c1ccc2sc(C[N@H+](Cc3cccnc3)C3CC3)nc2c1 ZINC000180284030 261851798 /nfs/dbraw/zinc/85/17/98/261851798.db2.gz OVOQCWGHOCLCOD-UHFFFAOYSA-N 1 2 295.411 3.856 20 0 CHADLO c1ccc2sc(C[N@@H+](Cc3cccnc3)C3CC3)nc2c1 ZINC000180284030 261851799 /nfs/dbraw/zinc/85/17/99/261851799.db2.gz OVOQCWGHOCLCOD-UHFFFAOYSA-N 1 2 295.411 3.856 20 0 CHADLO C[C@@H]([NH2+]c1ccc(OC(C)(C)C)cc1)[C@@H]1CCOC1 ZINC000182013211 261919515 /nfs/dbraw/zinc/91/95/15/261919515.db2.gz DPMSPOUKQARDIZ-CHWSQXEVSA-N 1 2 263.381 3.701 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](CC)c2cccc(Cl)c2)n1 ZINC000182583382 261944156 /nfs/dbraw/zinc/94/41/56/261944156.db2.gz UDJNVKKUTJSHKT-ZDUSSCGKSA-N 1 2 293.798 3.916 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2cc3ccccc3s2)o1 ZINC000184351784 262017163 /nfs/dbraw/zinc/01/71/63/262017163.db2.gz RMZZSQLQNYCNKG-JTQLQIEISA-N 1 2 287.388 3.698 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@H](CO)c2ccccc2)cs1 ZINC000186340683 262089551 /nfs/dbraw/zinc/08/95/51/262089551.db2.gz PEQXAURERZZWQC-GXTWGEPZSA-N 1 2 290.432 3.651 20 0 CHADLO Fc1cccc(N2CCCC2)c1CNc1cc[nH+]cc1F ZINC000186427085 262092623 /nfs/dbraw/zinc/09/26/23/262092623.db2.gz JJXCQMXFQAPDNZ-UHFFFAOYSA-N 1 2 289.329 3.572 20 0 CHADLO C[C@@H](c1ccc(F)c(Cl)c1)[N@@H+]1CCOC(C)(C)C1 ZINC000497611206 262181024 /nfs/dbraw/zinc/18/10/24/262181024.db2.gz MFNJKOXFNNNQFW-JTQLQIEISA-N 1 2 271.763 3.651 20 0 CHADLO C[C@@H](c1ccc(F)c(Cl)c1)[N@H+]1CCOC(C)(C)C1 ZINC000497611206 262181025 /nfs/dbraw/zinc/18/10/25/262181025.db2.gz MFNJKOXFNNNQFW-JTQLQIEISA-N 1 2 271.763 3.651 20 0 CHADLO c1cnn(-c2ccc([NH2+][C@@H]3CCCC34CCOCC4)cc2)c1 ZINC000319230029 262186741 /nfs/dbraw/zinc/18/67/41/262186741.db2.gz VCBHKAFCKHPMJF-QGZVFWFLSA-N 1 2 297.402 3.634 20 0 CHADLO C[N@@H+](Cc1c(Cl)cccc1Cl)C[C@@H]1CCCCO1 ZINC000497732718 262197486 /nfs/dbraw/zinc/19/74/86/262197486.db2.gz OXCZKAHCPMUAFP-NSHDSACASA-N 1 2 288.218 3.994 20 0 CHADLO C[N@H+](Cc1c(Cl)cccc1Cl)C[C@@H]1CCCCO1 ZINC000497732718 262197487 /nfs/dbraw/zinc/19/74/87/262197487.db2.gz OXCZKAHCPMUAFP-NSHDSACASA-N 1 2 288.218 3.994 20 0 CHADLO Cc1nc2ccc(CNc3cccc[nH+]3)cc2s1 ZINC000492298719 262202917 /nfs/dbraw/zinc/20/29/17/262202917.db2.gz YGCGUEINOZRWME-UHFFFAOYSA-N 1 2 255.346 3.612 20 0 CHADLO Fc1cccc(F)c1C[NH2+]Cc1ccccc1OC(F)F ZINC000020131555 262230307 /nfs/dbraw/zinc/23/03/07/262230307.db2.gz XZQFCHLVFYPASI-UHFFFAOYSA-N 1 2 299.267 3.856 20 0 CHADLO CC[C@H]([NH2+]C/C(Cl)=C/Cl)c1nc(C)cs1 ZINC000184347059 262282218 /nfs/dbraw/zinc/28/22/18/262282218.db2.gz KFEYEIVDHBAXMC-OTOXVQDCSA-N 1 2 265.209 3.811 20 0 CHADLO Cc1cccc(CN(C)c2[nH+]c(C)nc3[nH]ccc32)c1C ZINC000488440962 262321834 /nfs/dbraw/zinc/32/18/34/262321834.db2.gz CBQHLWVPYMWNOJ-UHFFFAOYSA-N 1 2 280.375 3.520 20 0 CHADLO c1ccc2[nH+]c(NCc3ccc4c(c3)OCO4)ccc2c1 ZINC000060880442 262391646 /nfs/dbraw/zinc/39/16/46/262391646.db2.gz PSQSGVXTUXMWBU-UHFFFAOYSA-N 1 2 278.311 3.576 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(OC)cc1C)C(F)F ZINC000414149865 262810689 /nfs/dbraw/zinc/81/06/89/262810689.db2.gz VEQDYRUFTXHQIU-GXFFZTMASA-N 1 2 257.324 3.698 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCc4ncsc4C3)cc2c1 ZINC000438362368 263054232 /nfs/dbraw/zinc/05/42/32/263054232.db2.gz ZDQWTTBFPYANLK-UHFFFAOYSA-N 1 2 288.347 3.587 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCc4ncsc4C3)cc2c1 ZINC000438362368 263054233 /nfs/dbraw/zinc/05/42/33/263054233.db2.gz ZDQWTTBFPYANLK-UHFFFAOYSA-N 1 2 288.347 3.587 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC000281044031 263066149 /nfs/dbraw/zinc/06/61/49/263066149.db2.gz HBPGZPGNRSZHCB-MRXNPFEDSA-N 1 2 287.382 3.769 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC000281044031 263066150 /nfs/dbraw/zinc/06/61/50/263066150.db2.gz HBPGZPGNRSZHCB-MRXNPFEDSA-N 1 2 287.382 3.769 20 0 CHADLO c1ccc2c(c1)CC(Nc1ccc3c(c1)CCC[NH2+]3)C2 ZINC000414851224 263067677 /nfs/dbraw/zinc/06/76/77/263067677.db2.gz NVZPFDQRPXUSQJ-UHFFFAOYSA-N 1 2 264.372 3.624 20 0 CHADLO c1ccc2c(c1)CC([NH2+]c1ccc3c(c1)CCCN3)C2 ZINC000414851224 263067678 /nfs/dbraw/zinc/06/76/78/263067678.db2.gz NVZPFDQRPXUSQJ-UHFFFAOYSA-N 1 2 264.372 3.624 20 0 CHADLO CCOC1CC(CSCc2cn3cccc(C)c3[nH+]2)C1 ZINC000285050769 263089529 /nfs/dbraw/zinc/08/95/29/263089529.db2.gz KKXJPHFMAXWRCB-UHFFFAOYSA-N 1 2 290.432 3.691 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@H](C)c1c(F)cncc1F ZINC000285499280 263093337 /nfs/dbraw/zinc/09/33/37/263093337.db2.gz AFQIOZNWMKLOCM-GHMZBOCLSA-N 1 2 292.329 3.780 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccnc1Cl)c1c(F)cncc1F ZINC000285662403 263094969 /nfs/dbraw/zinc/09/49/69/263094969.db2.gz MJZZZCZMXVYYHA-DTWKUNHWSA-N 1 2 297.736 3.820 20 0 CHADLO Clc1csc(C[N@@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000293862319 263151442 /nfs/dbraw/zinc/15/14/42/263151442.db2.gz CDTBLQPCRGBGFV-KGLIPLIRSA-N 1 2 283.824 3.545 20 0 CHADLO Clc1csc(C[N@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000293862319 263151444 /nfs/dbraw/zinc/15/14/44/263151444.db2.gz CDTBLQPCRGBGFV-KGLIPLIRSA-N 1 2 283.824 3.545 20 0 CHADLO CC[C@H]([NH2+][C@H](C)CCCc1cccnc1)C(F)(F)F ZINC000453234617 263206000 /nfs/dbraw/zinc/20/60/00/263206000.db2.gz WYFSETKRKOWTKK-YPMHNXCESA-N 1 2 274.330 3.723 20 0 CHADLO CC(C)[N@H+](Cc1nccn1C(F)F)Cc1ccc(F)cc1 ZINC000299328937 263239647 /nfs/dbraw/zinc/23/96/47/263239647.db2.gz BJZPGHZMOZCCTL-UHFFFAOYSA-N 1 2 297.324 3.828 20 0 CHADLO CC(C)[N@@H+](Cc1nccn1C(F)F)Cc1ccc(F)cc1 ZINC000299328937 263239650 /nfs/dbraw/zinc/23/96/50/263239650.db2.gz BJZPGHZMOZCCTL-UHFFFAOYSA-N 1 2 297.324 3.828 20 0 CHADLO Fc1ccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccc(F)cc2)cc1 ZINC000187672303 263265851 /nfs/dbraw/zinc/26/58/51/263265851.db2.gz DCIAYJCMXXLBKF-MRXNPFEDSA-N 1 2 299.324 3.567 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cccc3c1NCC3)C2 ZINC000415105840 263273713 /nfs/dbraw/zinc/27/37/13/263273713.db2.gz GMTWHKUWYKPHLN-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cccc3c1NCC3)C2 ZINC000415105840 263273714 /nfs/dbraw/zinc/27/37/14/263273714.db2.gz GMTWHKUWYKPHLN-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO COc1cc[nH+]cc1CSCCOc1ccc(F)cc1 ZINC000341963947 263371553 /nfs/dbraw/zinc/37/15/53/263371553.db2.gz JQAYPSBLZLDBQO-UHFFFAOYSA-N 1 2 293.363 3.542 20 0 CHADLO CC1(C)CC[C@@H]2C[C@H]([NH2+]Cc3csnn3)c3cccc1c32 ZINC000334218541 263509943 /nfs/dbraw/zinc/50/99/43/263509943.db2.gz IKAKHYPQFJZOID-ABAIWWIYSA-N 1 2 299.443 3.928 20 0 CHADLO COCC[C@@H](C)Nc1cc(C)[nH+]c2c(F)cccc12 ZINC000123938984 263817667 /nfs/dbraw/zinc/81/76/67/263817667.db2.gz UDCHQZUOTOWYLT-SNVBAGLBSA-N 1 2 262.328 3.519 20 0 CHADLO Cc1cc(C)c(NC(=O)CO[C@H]2CCCC[C@H]2C)c(C)[nH+]1 ZINC000330725376 264025809 /nfs/dbraw/zinc/02/58/09/264025809.db2.gz ZJHNMTQGZXHYNR-ABAIWWIYSA-N 1 2 290.407 3.541 20 0 CHADLO CC[C@H](NC(=O)C[C@H]1C[C@@H](C)CC(C)(C)C1)c1[nH]cc[nH+]1 ZINC000331010820 264107024 /nfs/dbraw/zinc/10/70/24/264107024.db2.gz VKTNXXGRWYIYCF-MCIONIFRSA-N 1 2 291.439 3.830 20 0 CHADLO COC[C@H]1CCCN(c2cc(C)[nH+]c3c(F)cccc23)C1 ZINC000125968642 264122384 /nfs/dbraw/zinc/12/23/84/264122384.db2.gz IIQXCIBJGKCEQK-ZDUSSCGKSA-N 1 2 288.366 3.545 20 0 CHADLO CC[C@H](F)C[NH2+][C@@H](C)c1nc(C2CCCCC2)no1 ZINC000331314196 264187400 /nfs/dbraw/zinc/18/74/00/264187400.db2.gz WTSVFRAVIJINNT-JQWIXIFHSA-N 1 2 269.364 3.516 20 0 CHADLO CC[C@@H](C)[C@@H](C)NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334105571 264235779 /nfs/dbraw/zinc/23/57/79/264235779.db2.gz TZKOTLPDVDEXDA-BXKDBHETSA-N 1 2 263.385 3.563 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nc(C(F)F)no2)c(C)c1 ZINC000425405056 264283315 /nfs/dbraw/zinc/28/33/15/264283315.db2.gz KSVOVAOGHTWZNO-LLVKDONJSA-N 1 2 295.333 3.817 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nc(C(F)F)no2)c(C)c1 ZINC000425405056 264283316 /nfs/dbraw/zinc/28/33/16/264283316.db2.gz KSVOVAOGHTWZNO-LLVKDONJSA-N 1 2 295.333 3.817 20 0 CHADLO Cc1nc(N2CCC[C@](C)(c3ccccc3)CC2)cc[nH+]1 ZINC000343268773 264285389 /nfs/dbraw/zinc/28/53/89/264285389.db2.gz GKXIGFLKYYPZBO-SFHVURJKSA-N 1 2 281.403 3.733 20 0 CHADLO COc1cccc2c(NCCCCOC(C)C)cc[nH+]c12 ZINC000189619328 264310824 /nfs/dbraw/zinc/31/08/24/264310824.db2.gz HMBUOXQTYROBIF-UHFFFAOYSA-N 1 2 288.391 3.861 20 0 CHADLO Cc1noc(C)c1[C@@H](C)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000129491528 264324865 /nfs/dbraw/zinc/32/48/65/264324865.db2.gz OTYRCEAPPHQETE-JTQLQIEISA-N 1 2 288.395 3.729 20 0 CHADLO CC[C@H](CCO)CNc1cc(C)[nH+]c2c(F)cccc12 ZINC000129472330 264324947 /nfs/dbraw/zinc/32/49/47/264324947.db2.gz HFUKQOJKCFFKPY-GFCCVEGCSA-N 1 2 276.355 3.503 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@H+](Cc2nc(C(F)F)no2)C1 ZINC000425461636 264333739 /nfs/dbraw/zinc/33/37/39/264333739.db2.gz VDSVODYXJPEXPY-JQWIXIFHSA-N 1 2 293.317 3.590 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2nc(C(F)F)no2)C1 ZINC000425461636 264333741 /nfs/dbraw/zinc/33/37/41/264333741.db2.gz VDSVODYXJPEXPY-JQWIXIFHSA-N 1 2 293.317 3.590 20 0 CHADLO Cc1cc(C)c(CNC(=O)Nc2cccc(C)c2C)c[nH+]1 ZINC000334508393 264385780 /nfs/dbraw/zinc/38/57/80/264385780.db2.gz XJVCPGVCTLVOBO-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO c1cc2c(cccc2CNc2ccc(N3CCCC3)[nH+]c2)[nH]1 ZINC000134247085 264503475 /nfs/dbraw/zinc/50/34/75/264503475.db2.gz FWABXYOKNBSDPL-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1cccc(O)c1Cl ZINC000294081667 265006775 /nfs/dbraw/zinc/00/67/75/265006775.db2.gz LHWCGQBJUMUQAD-LLVKDONJSA-N 1 2 291.778 3.905 20 0 CHADLO CO[C@H](c1ccccc1Cl)[C@@H](C)Nc1cccc[nH+]1 ZINC000349901642 265214422 /nfs/dbraw/zinc/21/44/22/265214422.db2.gz CVUJFHDTSITHDC-ABAIWWIYSA-N 1 2 276.767 3.923 20 0 CHADLO CC(=O)Nc1ccc(-c2[nH]c3cc(C)c(C)cc3[nH+]2)cc1 ZINC000096555590 265373975 /nfs/dbraw/zinc/37/39/75/265373975.db2.gz QITFYIYIVTXFKF-UHFFFAOYSA-N 1 2 279.343 3.805 20 0 CHADLO CCCc1csc(C[NH2+][C@H]2COc3ccc(C)cc32)n1 ZINC000520312345 265765627 /nfs/dbraw/zinc/76/56/27/265765627.db2.gz AABWPMLRCLJLNF-AWEZNQCLSA-N 1 2 288.416 3.627 20 0 CHADLO CC[C@@H]1CCC[C@H](NC(=O)c2ccc3[nH+]c(C)n(C)c3c2)C1 ZINC000356168822 266061158 /nfs/dbraw/zinc/06/11/58/266061158.db2.gz MBRAREBLSZRZQL-HIFRSBDPSA-N 1 2 299.418 3.580 20 0 CHADLO C[C@H]1CCC[C@H](c2noc(C[N@H+](C)Cc3ccccc3)n2)C1 ZINC000356195279 266068516 /nfs/dbraw/zinc/06/85/16/266068516.db2.gz PUUGHMRPYUXHIO-HOCLYGCPSA-N 1 2 299.418 3.995 20 0 CHADLO C[C@H]1CCC[C@H](c2noc(C[N@@H+](C)Cc3ccccc3)n2)C1 ZINC000356195279 266068519 /nfs/dbraw/zinc/06/85/19/266068519.db2.gz PUUGHMRPYUXHIO-HOCLYGCPSA-N 1 2 299.418 3.995 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc(C)[nH+]c1C ZINC000356374039 266104192 /nfs/dbraw/zinc/10/41/92/266104192.db2.gz GLOOCQGFGXZYPQ-KGLIPLIRSA-N 1 2 260.381 3.853 20 0 CHADLO CCc1cc(N(C)[C@H](C)C2CCC2)nc(-c2ccncc2)[nH+]1 ZINC000356790144 266175114 /nfs/dbraw/zinc/17/51/14/266175114.db2.gz GZXOXAPSJRNEAW-CYBMUJFWSA-N 1 2 296.418 3.726 20 0 CHADLO CC1(CNC(=O)c2cccc(-n3cc[nH+]c3)c2)CCCCC1 ZINC000356799867 266177480 /nfs/dbraw/zinc/17/74/80/266177480.db2.gz IBDFQUMKIOQPFF-UHFFFAOYSA-N 1 2 297.402 3.573 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(-c3ccccc3)s2)n1 ZINC000356891115 266206871 /nfs/dbraw/zinc/20/68/71/266206871.db2.gz HQIVZCCHTRVMHV-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H]1[C@H](C)OCC[N@@H+]1Cc1ccc(Oc2ccccc2)o1 ZINC000356960015 266218201 /nfs/dbraw/zinc/21/82/01/266218201.db2.gz BWWIERIRRJEJIF-KGLIPLIRSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@@H]1[C@H](C)OCC[N@H+]1Cc1ccc(Oc2ccccc2)o1 ZINC000356960015 266218204 /nfs/dbraw/zinc/21/82/04/266218204.db2.gz BWWIERIRRJEJIF-KGLIPLIRSA-N 1 2 287.359 3.681 20 0 CHADLO CCc1ccc(C2=CCN(c3cc[nH+]c(C)n3)CC2)cc1 ZINC000357287202 266272048 /nfs/dbraw/zinc/27/20/48/266272048.db2.gz LJBYONMVSBYIOJ-UHFFFAOYSA-N 1 2 279.387 3.641 20 0 CHADLO COCc1cc(N2C[C@@H](C)[C@H](C)C2)c2cc(F)ccc2[nH+]1 ZINC000357538403 266317446 /nfs/dbraw/zinc/31/74/46/266317446.db2.gz QYCJXOBQGSVZHI-VXGBXAGGSA-N 1 2 288.366 3.613 20 0 CHADLO Fc1ccc2cc[nH+]c(NCc3ccc4cc[nH]c4n3)c2c1 ZINC000357560563 266325418 /nfs/dbraw/zinc/32/54/18/266325418.db2.gz ALFVBISZHBKIHR-UHFFFAOYSA-N 1 2 292.317 3.862 20 0 CHADLO CC(C)c1nc(N2CCC(C)(C3CC3)CC2)cc[nH+]1 ZINC000357563304 266325506 /nfs/dbraw/zinc/32/55/06/266325506.db2.gz HGMUMDVLLPUIRO-UHFFFAOYSA-N 1 2 259.397 3.617 20 0 CHADLO CC[C@H](CNc1cc(C)[nH+]c2c(F)cccc12)OC ZINC000357823007 266372187 /nfs/dbraw/zinc/37/21/87/266372187.db2.gz ZYBDMNZZBHGIDV-LLVKDONJSA-N 1 2 262.328 3.519 20 0 CHADLO Cc1nnc(C[N@H+](C2CC2)[C@@H]2CCCc3ccccc32)s1 ZINC000358495961 266469250 /nfs/dbraw/zinc/46/92/50/266469250.db2.gz IJHMGMIVSCBLCM-MRXNPFEDSA-N 1 2 299.443 3.889 20 0 CHADLO Cc1nnc(C[N@@H+](C2CC2)[C@@H]2CCCc3ccccc32)s1 ZINC000358495961 266469251 /nfs/dbraw/zinc/46/92/51/266469251.db2.gz IJHMGMIVSCBLCM-MRXNPFEDSA-N 1 2 299.443 3.889 20 0 CHADLO CCCCN(C(=O)CCc1c[nH+]c[nH]1)[C@@H]1CCC[C@@H](C)C1 ZINC000359272450 266557796 /nfs/dbraw/zinc/55/77/96/266557796.db2.gz ZDBKMKFJSBURBF-GDBMZVCRSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCN(C(=O)CCc1c[nH]c[nH+]1)[C@@H]1CCC[C@@H](C)C1 ZINC000359272450 266557800 /nfs/dbraw/zinc/55/78/00/266557800.db2.gz ZDBKMKFJSBURBF-GDBMZVCRSA-N 1 2 291.439 3.550 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccc(F)c(F)c3C2)c(C)n1 ZINC000359905681 266655347 /nfs/dbraw/zinc/65/53/47/266655347.db2.gz DHHDONUWNZBZMP-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccc(F)c(F)c3C2)c(C)n1 ZINC000359905681 266655349 /nfs/dbraw/zinc/65/53/49/266655349.db2.gz DHHDONUWNZBZMP-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO COc1ccc(F)cc1C[N@@H+]1Cc2ccc(C)cc2C1 ZINC000361135872 266790672 /nfs/dbraw/zinc/79/06/72/266790672.db2.gz JHFJPVNFTWNLBY-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(F)cc1C[N@H+]1Cc2ccc(C)cc2C1 ZINC000361135872 266790673 /nfs/dbraw/zinc/79/06/73/266790673.db2.gz JHFJPVNFTWNLBY-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H](C)[C@H]3CCCOC3)cc2)c1C ZINC000361253355 266810426 /nfs/dbraw/zinc/81/04/26/266810426.db2.gz UWRUOUXXXVKPBY-ZBFHGGJFSA-N 1 2 299.418 3.716 20 0 CHADLO Clc1sccc1CN1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000361546873 266844146 /nfs/dbraw/zinc/84/41/46/266844146.db2.gz DIJCQYKKRNBGBF-NSHDSACASA-N 1 2 281.812 3.852 20 0 CHADLO c1cn2c(cccc2CN2CC3(CCC3)c3ccccc32)[nH+]1 ZINC000361731684 266875917 /nfs/dbraw/zinc/87/59/17/266875917.db2.gz HJTBYIBTNIZNIJ-UHFFFAOYSA-N 1 2 289.382 3.776 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@@H+]1CCO[C@H](C2CC2)C1 ZINC000362555673 267006848 /nfs/dbraw/zinc/00/68/48/267006848.db2.gz LTGKHZSUNUEILQ-GUYCJALGSA-N 1 2 298.386 3.513 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@H+]1CCO[C@H](C2CC2)C1 ZINC000362555673 267006852 /nfs/dbraw/zinc/00/68/52/267006852.db2.gz LTGKHZSUNUEILQ-GUYCJALGSA-N 1 2 298.386 3.513 20 0 CHADLO Cc1c(Cl)cccc1C(=O)Nc1cccc2[nH+]ccn21 ZINC000362606783 267020004 /nfs/dbraw/zinc/02/00/04/267020004.db2.gz RSLBSSOZMNRIFA-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO COc1ccc(COc2cc(C)[nH+]c3ccccc32)cn1 ZINC000362747958 267041523 /nfs/dbraw/zinc/04/15/23/267041523.db2.gz NRLDYXAFBZCFIM-UHFFFAOYSA-N 1 2 280.327 3.526 20 0 CHADLO Cc1ccc(NCc2cc3ccc(F)cc3[nH]2)c[nH+]1 ZINC000362793574 267046376 /nfs/dbraw/zinc/04/63/76/267046376.db2.gz HDEDKGLQHLUFBZ-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO Cc1c[nH+]cc(NCc2cc3ccc(F)cc3[nH]2)c1 ZINC000362797436 267047317 /nfs/dbraw/zinc/04/73/17/267047317.db2.gz ZXLHUSCGKNKXEQ-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO CC1(C)C[C@H]([NH2+][C@H]2CCCc3[nH]ncc32)c2ccccc21 ZINC000367612622 267104817 /nfs/dbraw/zinc/10/48/17/267104817.db2.gz DPCSANHLMZHPLQ-RDJZCZTQSA-N 1 2 281.403 3.799 20 0 CHADLO CC(C)(C)CCCCC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000367719468 267113196 /nfs/dbraw/zinc/11/31/96/267113196.db2.gz GQNCKGFRLONNBI-OAHLLOKOSA-N 1 2 291.439 3.653 20 0 CHADLO CCc1ccc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)cc1 ZINC000117565598 267216936 /nfs/dbraw/zinc/21/69/36/267216936.db2.gz PJCSEDKADPAMLH-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO CCC(CC)C(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000370124138 267293391 /nfs/dbraw/zinc/29/33/91/267293391.db2.gz XSWQYAFARMOBNF-UHFFFAOYSA-N 1 2 271.364 3.760 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@@H+](C)Cc1cccnc1 ZINC000119050056 267294333 /nfs/dbraw/zinc/29/43/33/267294333.db2.gz HYECXXSOGVNKCW-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@H+](C)Cc1cccnc1 ZINC000119050056 267294335 /nfs/dbraw/zinc/29/43/35/267294335.db2.gz HYECXXSOGVNKCW-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccc(NC(=O)c2ccccc2C(F)(F)F)c(C)[nH+]1 ZINC000119110832 267301319 /nfs/dbraw/zinc/30/13/19/267301319.db2.gz KYTZWYVJXCRYCY-UHFFFAOYSA-N 1 2 294.276 3.970 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@H+](Cc2cscn2)C1 ZINC000370312692 267308640 /nfs/dbraw/zinc/30/86/40/267308640.db2.gz AXFGKMQXKRZWDQ-OAHLLOKOSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@@H+](Cc2cscn2)C1 ZINC000370312692 267308643 /nfs/dbraw/zinc/30/86/43/267308643.db2.gz AXFGKMQXKRZWDQ-OAHLLOKOSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)c2ccccc2F)c(C)[nH+]1 ZINC000119221202 267311024 /nfs/dbraw/zinc/31/10/24/267311024.db2.gz DRIJJVXTCCHCSS-NSHDSACASA-N 1 2 272.323 3.580 20 0 CHADLO C[C@H]1CC[C@H](CC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000119291633 267314295 /nfs/dbraw/zinc/31/42/95/267314295.db2.gz VLXJDFMIPONCSF-KBPBESRZSA-N 1 2 283.375 3.637 20 0 CHADLO CCn1cc[nH+]c1[C@H]1CCCCN1c1ccnc(C(C)C)n1 ZINC000370666153 267336752 /nfs/dbraw/zinc/33/67/52/267336752.db2.gz SVRQDJMFRMLCDT-CQSZACIVSA-N 1 2 299.422 3.548 20 0 CHADLO Cc1ccc(NC(=O)c2cc(F)cc3cccnc32)c(C)[nH+]1 ZINC000119505593 267343842 /nfs/dbraw/zinc/34/38/42/267343842.db2.gz MCDIPGDXBOAGRK-UHFFFAOYSA-N 1 2 295.317 3.638 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1ccc(F)cc1F ZINC000120042530 267353198 /nfs/dbraw/zinc/35/31/98/267353198.db2.gz MTTWMGMFMIFBMJ-UHFFFAOYSA-N 1 2 253.317 3.658 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1ccc(F)cc1F ZINC000120042530 267353201 /nfs/dbraw/zinc/35/32/01/267353201.db2.gz MTTWMGMFMIFBMJ-UHFFFAOYSA-N 1 2 253.317 3.658 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)CCC(C)C)[nH+]1 ZINC000371248679 267377691 /nfs/dbraw/zinc/37/76/91/267377691.db2.gz WVEMOJUZXARTBC-UHFFFAOYSA-N 1 2 271.364 3.760 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+]1CCC[C@H]1c1ncon1 ZINC000371382681 267397161 /nfs/dbraw/zinc/39/71/61/267397161.db2.gz KHANZJRYKDMLSD-AWEZNQCLSA-N 1 2 297.358 3.715 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+]1CCC[C@H]1c1ncon1 ZINC000371382681 267397162 /nfs/dbraw/zinc/39/71/62/267397162.db2.gz KHANZJRYKDMLSD-AWEZNQCLSA-N 1 2 297.358 3.715 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H](C)c1cccc(Cl)c1 ZINC000121473514 267659615 /nfs/dbraw/zinc/65/96/15/267659615.db2.gz GATVDMYLLFINQP-LLVKDONJSA-N 1 2 289.766 3.926 20 0 CHADLO Cc1ccc(NC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)cc1C ZINC000175278782 327780794 /nfs/dbraw/zinc/78/07/94/327780794.db2.gz ODWXNLHUEZQZLP-OAHLLOKOSA-N 1 2 298.390 3.786 20 0 CHADLO Cc1ccc(NC(=O)N(C)[C@H]2CCc3ccccc32)c(C)[nH+]1 ZINC000176780023 327786204 /nfs/dbraw/zinc/78/62/04/327786204.db2.gz UBMOUXYMUPTOFS-KRWDZBQOSA-N 1 2 295.386 3.850 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@@H](C)c2cc3ccccc3o2)n1 ZINC000182624716 327814440 /nfs/dbraw/zinc/81/44/40/327814440.db2.gz XIVPGKNFOMFSDK-LBPRGKRZSA-N 1 2 299.374 3.865 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cccc(Cl)c2OC)c1 ZINC000347686703 533701254 /nfs/dbraw/zinc/70/12/54/533701254.db2.gz PVGSUVLEZGOMSU-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO Cc1ccccc1[C@H]1CCCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000563238003 327991509 /nfs/dbraw/zinc/99/15/09/327991509.db2.gz RXOHRHGBCCISCZ-DOTOQJQBSA-N 1 2 297.402 3.506 20 0 CHADLO Cc1cc(N2CC3C[C@@H]4CC2C[C@H](C3)C4)nc(C(C)C)[nH+]1 ZINC000531599780 328020328 /nfs/dbraw/zinc/02/03/28/328020328.db2.gz FZUFYMFIUCMCCU-PJPHBNEVSA-N 1 2 285.435 3.923 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[NH+]1CCSCC1 ZINC000047994150 328034375 /nfs/dbraw/zinc/03/43/75/328034375.db2.gz UGHUTYLNGHQQGJ-SNVBAGLBSA-N 1 2 297.374 3.541 20 0 CHADLO CC[C@]1(C)COCC[N@@H+]1Cc1ccccc1C(F)(F)F ZINC000534118113 328036114 /nfs/dbraw/zinc/03/61/14/328036114.db2.gz OKGVZDYFMPUBRX-CQSZACIVSA-N 1 2 287.325 3.706 20 0 CHADLO CC[C@]1(C)COCC[N@H+]1Cc1ccccc1C(F)(F)F ZINC000534118113 328036116 /nfs/dbraw/zinc/03/61/16/328036116.db2.gz OKGVZDYFMPUBRX-CQSZACIVSA-N 1 2 287.325 3.706 20 0 CHADLO CCC[N@@H+](Cc1ccnc2ccccc12)CC(F)F ZINC000534348000 328047344 /nfs/dbraw/zinc/04/73/44/328047344.db2.gz OCYIOEPIAXSRMB-UHFFFAOYSA-N 1 2 264.319 3.712 20 0 CHADLO CCC[N@H+](Cc1ccnc2ccccc12)CC(F)F ZINC000534348000 328047345 /nfs/dbraw/zinc/04/73/45/328047345.db2.gz OCYIOEPIAXSRMB-UHFFFAOYSA-N 1 2 264.319 3.712 20 0 CHADLO CCOC(=O)c1c[nH+]c2ccccc2c1N1CC=C(C)CC1 ZINC000534395003 328050635 /nfs/dbraw/zinc/05/06/35/328050635.db2.gz RKTVURZTWJFLGF-UHFFFAOYSA-N 1 2 296.370 3.568 20 0 CHADLO Cc1ccc(NC(=O)N[C@H](c2ccccc2)C2CC2)c(C)[nH+]1 ZINC000152155444 328075725 /nfs/dbraw/zinc/07/57/25/328075725.db2.gz SEOHNQXEGSWYRU-QGZVFWFLSA-N 1 2 295.386 3.971 20 0 CHADLO Cc1cc(CNC(=O)[C@@H]2CCCC[C@H]2C2CC2)cc(C)[nH+]1 ZINC000571089303 328085659 /nfs/dbraw/zinc/08/56/59/328085659.db2.gz QTRAKKTUBLVWRI-DLBZAZTESA-N 1 2 286.419 3.531 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(Cl)c1F)c1csnn1 ZINC000412044259 328102524 /nfs/dbraw/zinc/10/25/24/328102524.db2.gz QLDODFJCBDLSKY-JGVFFNPUSA-N 1 2 285.775 3.742 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H](C)CC(F)F ZINC000412313457 328108221 /nfs/dbraw/zinc/10/82/21/328108221.db2.gz UVLODTJQUOGDDI-SNVBAGLBSA-N 1 2 293.317 3.615 20 0 CHADLO c1ccc2c(c1)C[NH2+][C@@H](c1nc(C3CCCCCC3)no1)C2 ZINC000319319735 328123056 /nfs/dbraw/zinc/12/30/56/328123056.db2.gz QJAWIMHQBAXDFW-MRXNPFEDSA-N 1 2 297.402 3.894 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3ccc(Cl)cc3)CC2)no1 ZINC000571486635 328124796 /nfs/dbraw/zinc/12/47/96/328124796.db2.gz JOERGEUQRXDUBM-LLVKDONJSA-N 1 2 276.767 3.976 20 0 CHADLO C[C@@H]1CCCC[C@@H]1CC[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000571598736 328136348 /nfs/dbraw/zinc/13/63/48/328136348.db2.gz WUNASWFWUKZQFS-INMHGKMJSA-N 1 2 298.434 3.700 20 0 CHADLO CC[C@@H]1C[C@H]1c1nc(-c2ccc(C)[nH+]c2N2CCCC2)no1 ZINC000571614245 328137781 /nfs/dbraw/zinc/13/77/81/328137781.db2.gz NVILBRKBTGGSFN-TZMCWYRMSA-N 1 2 298.390 3.554 20 0 CHADLO CSCCCSCCc1[nH+]c2ccccc2n1C ZINC000413009461 328139277 /nfs/dbraw/zinc/13/92/77/328139277.db2.gz RKFLZYGANWGEOC-UHFFFAOYSA-N 1 2 280.462 3.602 20 0 CHADLO c1ccc([C@@H]2CN(c3cccc[nH+]3)CC23CCC3)cc1 ZINC000413529549 328148561 /nfs/dbraw/zinc/14/85/61/328148561.db2.gz ITHBRIBZWZJCFY-INIZCTEOSA-N 1 2 264.372 3.856 20 0 CHADLO CC(C)CCC(=O)N(Cc1[nH]cc[nH+]1)c1cccc(F)c1 ZINC000267257403 328176177 /nfs/dbraw/zinc/17/61/77/328176177.db2.gz VZIYFRVKOGZBPR-UHFFFAOYSA-N 1 2 289.354 3.518 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2noc(C(C)(C)C)n2)cc1C ZINC000271811459 328197523 /nfs/dbraw/zinc/19/75/23/328197523.db2.gz UMLYHDQRIGMWGE-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO CCO[C@H](C)c1nc(C[N@H+]2CC(C)=C[C@@H](C)C2)cs1 ZINC000430265499 328202560 /nfs/dbraw/zinc/20/25/60/328202560.db2.gz VJPKKKSYIRRRFH-DGCLKSJQSA-N 1 2 280.437 3.639 20 0 CHADLO CCO[C@H](C)c1nc(C[N@@H+]2CC(C)=C[C@@H](C)C2)cs1 ZINC000430265499 328202561 /nfs/dbraw/zinc/20/25/61/328202561.db2.gz VJPKKKSYIRRRFH-DGCLKSJQSA-N 1 2 280.437 3.639 20 0 CHADLO CCCNc1ccc(CNc2cc[nH+]c(C3CC3)n2)cc1 ZINC000277336252 328221137 /nfs/dbraw/zinc/22/11/37/328221137.db2.gz FBVUNOAYPQJVFR-UHFFFAOYSA-N 1 2 282.391 3.788 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc([NH+](C)C)cc2C)c1 ZINC000285983331 328248647 /nfs/dbraw/zinc/24/86/47/328248647.db2.gz GSAANLDXSMQWAD-CQSZACIVSA-N 1 2 269.392 3.938 20 0 CHADLO Cc1nc(CC[N@@H+]2Cc3cccc(Cl)c3C2)cs1 ZINC000287501124 328251372 /nfs/dbraw/zinc/25/13/72/328251372.db2.gz UAQQBKAQNKFTMQ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1nc(CC[N@H+]2Cc3cccc(Cl)c3C2)cs1 ZINC000287501124 328251373 /nfs/dbraw/zinc/25/13/73/328251373.db2.gz UAQQBKAQNKFTMQ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@H](C)c1cscn1 ZINC000287507702 328251732 /nfs/dbraw/zinc/25/17/32/328251732.db2.gz OAWDZVLKFCTFOD-SECBINFHSA-N 1 2 282.409 3.855 20 0 CHADLO CCCC[C@@H](C)N(C)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000289720391 328255861 /nfs/dbraw/zinc/25/58/61/328255861.db2.gz URTTXHYLCWOAQA-CYBMUJFWSA-N 1 2 288.395 3.685 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ncc(Cl)s2)c(C)o1 ZINC000225332498 329251069 /nfs/dbraw/zinc/25/10/69/329251069.db2.gz RSXWFODEJJGHKG-QMMMGPOBSA-N 1 2 270.785 3.857 20 0 CHADLO COCc1cc(N2CCCCC2)c2cc(F)ccc2[nH+]1 ZINC000290592173 328259654 /nfs/dbraw/zinc/25/96/54/328259654.db2.gz XRNHXERHFBUCAQ-UHFFFAOYSA-N 1 2 274.339 3.511 20 0 CHADLO COc1ccccc1[C@@H](C)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000366701263 328282665 /nfs/dbraw/zinc/28/26/65/328282665.db2.gz YONDLPXIXAHWHG-KDOFPFPSSA-N 1 2 296.414 3.533 20 0 CHADLO COc1ccccc1[C@@H](C)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000366701263 328282667 /nfs/dbraw/zinc/28/26/67/328282667.db2.gz YONDLPXIXAHWHG-KDOFPFPSSA-N 1 2 296.414 3.533 20 0 CHADLO CC1=CC[N@H+](CC(=O)Nc2cc(Cl)ccc2Cl)CC1 ZINC000534471622 328301243 /nfs/dbraw/zinc/30/12/43/328301243.db2.gz VMGAKBNGVZGEOG-UHFFFAOYSA-N 1 2 299.201 3.584 20 0 CHADLO CC1=CC[N@@H+](CC(=O)Nc2cc(Cl)ccc2Cl)CC1 ZINC000534471622 328301244 /nfs/dbraw/zinc/30/12/44/328301244.db2.gz VMGAKBNGVZGEOG-UHFFFAOYSA-N 1 2 299.201 3.584 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000534475589 328302625 /nfs/dbraw/zinc/30/26/25/328302625.db2.gz UVZAWNOSUFWBQD-OWCLPIDISA-N 1 2 286.419 3.611 20 0 CHADLO Clc1ccc([C@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000110601135 328313143 /nfs/dbraw/zinc/31/31/43/328313143.db2.gz VOIOZBATYHGUMU-ZDUSSCGKSA-N 1 2 258.752 3.729 20 0 CHADLO Cc1ccc(F)c(NCc2c[nH+]cn2Cc2ccccc2)c1 ZINC000506278794 332767986 /nfs/dbraw/zinc/76/79/86/332767986.db2.gz AIVQGHRTKNXUEP-UHFFFAOYSA-N 1 2 295.361 3.991 20 0 CHADLO CCOCc1ccc(NC(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000152278269 328360384 /nfs/dbraw/zinc/36/03/84/328360384.db2.gz XAQXFFVSRRPVKO-UHFFFAOYSA-N 1 2 299.374 3.879 20 0 CHADLO C[C@@H]1[C@H](c2ccccc2)CCN1c1[nH+]c2ccccc2n1C ZINC000534980191 328372987 /nfs/dbraw/zinc/37/29/87/328372987.db2.gz WKCOZCIWNDCRKM-GDBMZVCRSA-N 1 2 291.398 3.956 20 0 CHADLO CCC[N@@H+](Cc1ccc(OC(C)C)cc1)CC(F)F ZINC000535083616 328385546 /nfs/dbraw/zinc/38/55/46/328385546.db2.gz LXGUMAQCDKUCNY-UHFFFAOYSA-N 1 2 271.351 3.951 20 0 CHADLO CCC[N@H+](Cc1ccc(OC(C)C)cc1)CC(F)F ZINC000535083616 328385548 /nfs/dbraw/zinc/38/55/48/328385548.db2.gz LXGUMAQCDKUCNY-UHFFFAOYSA-N 1 2 271.351 3.951 20 0 CHADLO CC(C)CCSc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000102080051 328393142 /nfs/dbraw/zinc/39/31/42/328393142.db2.gz IRDSJDQGAOXARZ-UHFFFAOYSA-N 1 2 298.415 3.954 20 0 CHADLO Cc1ccc([C@H](C)NC(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000152296876 328457645 /nfs/dbraw/zinc/45/76/45/328457645.db2.gz QTCKQDDYWOVACS-ZDUSSCGKSA-N 1 2 283.375 3.890 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCCCC(C)(C)C ZINC000563707920 328483490 /nfs/dbraw/zinc/48/34/90/328483490.db2.gz JEQZXCVGRMKWHR-UHFFFAOYSA-N 1 2 262.397 3.611 20 0 CHADLO CC(C)OC1CCC(Nc2cc[nH+]c(C3CC3)n2)CC1 ZINC000130090587 328487684 /nfs/dbraw/zinc/48/76/84/328487684.db2.gz HRGFYGGSCKJVAS-UHFFFAOYSA-N 1 2 275.396 3.502 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccc(Cl)s1 ZINC000193110900 328523177 /nfs/dbraw/zinc/52/31/77/328523177.db2.gz GPVFNRIRORDBPO-MRVPVSSYSA-N 1 2 299.827 3.933 20 0 CHADLO Cc1ccc(NC(=O)NCc2cc3ccccc3[nH]2)c(C)[nH+]1 ZINC000152412549 328528978 /nfs/dbraw/zinc/52/89/78/328528978.db2.gz ZDDYZPJNBYGYRQ-UHFFFAOYSA-N 1 2 294.358 3.501 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cccc3c2OC(C)(C)C3)c1 ZINC000530436497 326799219 /nfs/dbraw/zinc/79/92/19/326799219.db2.gz VNEQZAAAWWAQNO-UHFFFAOYSA-N 1 2 298.386 3.724 20 0 CHADLO Cc1cc(NC[C@H]2CCCC[C@@H]2C)nc(-c2cccnc2)[nH+]1 ZINC000519965808 326823543 /nfs/dbraw/zinc/82/35/43/326823543.db2.gz GIHRWXZVKAVCJZ-DZGCQCFKSA-N 1 2 296.418 3.507 20 0 CHADLO C/C(Cl)=C\C[NH+]1CC(c2nc3ccccc3s2)C1 ZINC000528426110 326874698 /nfs/dbraw/zinc/87/46/98/326874698.db2.gz CUTFXRWNBPOARS-UXBLZVDNSA-N 1 2 278.808 3.838 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)c1ccncc1)c1nccs1 ZINC000080267084 326928213 /nfs/dbraw/zinc/92/82/13/326928213.db2.gz DRTUNTQJGPZYMP-XJKSGUPXSA-N 1 2 295.411 3.978 20 0 CHADLO CC[C@@H](C)C(=O)Nc1ccc2c(c1)[nH+]c(C(C)(C)C)n2C ZINC000536319192 326936020 /nfs/dbraw/zinc/93/60/20/326936020.db2.gz GMNQQPQAUVKXGW-LLVKDONJSA-N 1 2 287.407 3.855 20 0 CHADLO C[C@@H](CC(=O)Nc1cccc2ccccc21)n1cc[nH+]c1 ZINC000556686701 326958515 /nfs/dbraw/zinc/95/85/15/326958515.db2.gz HTOGNIKEULPFIU-ZDUSSCGKSA-N 1 2 279.343 3.626 20 0 CHADLO Cc1cc(NC(=O)CC/C=C\c2ccccc2)cc[nH+]1 ZINC000255685110 327004549 /nfs/dbraw/zinc/00/45/49/327004549.db2.gz WSUQQSASVGAUCH-UITAMQMPSA-N 1 2 266.344 3.822 20 0 CHADLO Fc1ccc2cc[nH+]c(NCc3noc4c3CCCC4)c2c1 ZINC000557490394 327008402 /nfs/dbraw/zinc/00/84/02/327008402.db2.gz JLQMALGLKGPUTE-UHFFFAOYSA-N 1 2 297.333 3.853 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1coc2ccc(C(C)C)cc12 ZINC000557501699 327009694 /nfs/dbraw/zinc/00/96/94/327009694.db2.gz GXFMDTRDMOBQGG-UHFFFAOYSA-N 1 2 294.354 3.934 20 0 CHADLO Cc1nc([C@@](C)([NH2+]Cc2ccc(Cl)s2)C2CC2)no1 ZINC000584209752 327067332 /nfs/dbraw/zinc/06/73/32/327067332.db2.gz LOSUHYIGVPWWEK-ZDUSSCGKSA-N 1 2 297.811 3.508 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@H+](C)Cc1cc(C)cc(C)c1 ZINC000558343523 327088323 /nfs/dbraw/zinc/08/83/23/327088323.db2.gz XSKBVDKKWSHOFT-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@@H+](C)Cc1cc(C)cc(C)c1 ZINC000558343523 327088325 /nfs/dbraw/zinc/08/83/25/327088325.db2.gz XSKBVDKKWSHOFT-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(C(C)(C)C)n2)CCC1(F)F ZINC000558467507 327096514 /nfs/dbraw/zinc/09/65/14/327096514.db2.gz XWDFTWJFZMQFEC-SNVBAGLBSA-N 1 2 288.407 3.918 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(C(C)(C)C)n2)CCC1(F)F ZINC000558467507 327096515 /nfs/dbraw/zinc/09/65/15/327096515.db2.gz XWDFTWJFZMQFEC-SNVBAGLBSA-N 1 2 288.407 3.918 20 0 CHADLO COc1ccc(CNc2ccc[nH+]c2C)cc1OC(F)F ZINC000091495344 327102675 /nfs/dbraw/zinc/10/26/75/327102675.db2.gz GFNUSQWRXOBNFC-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO COc1cc(CNc2ccc[nH+]c2C)cc(Cl)c1OC ZINC000091495816 327102958 /nfs/dbraw/zinc/10/29/58/327102958.db2.gz VOMPRQHRNVEPBH-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO FC(F)(F)c1cccc(C[NH+]2CC3(CCC3(F)F)C2)c1 ZINC000558577715 327106875 /nfs/dbraw/zinc/10/68/75/327106875.db2.gz TZRYNJUCWYQPFD-UHFFFAOYSA-N 1 2 291.263 3.937 20 0 CHADLO CC1C[NH+](Cc2ccc(Br)c(Cl)c2)C1 ZINC000559073052 327140500 /nfs/dbraw/zinc/14/05/00/327140500.db2.gz VDDTUIPUGBDJQP-UHFFFAOYSA-N 1 2 274.589 3.554 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3sc(Cl)cc3C2)nc1 ZINC000564915780 327142033 /nfs/dbraw/zinc/14/20/33/327142033.db2.gz LTVFGZXJGMAIIT-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3sc(Cl)cc3C2)nc1 ZINC000564915780 327142034 /nfs/dbraw/zinc/14/20/34/327142034.db2.gz LTVFGZXJGMAIIT-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO COc1ccc(F)c(C[NH2+]Cc2c(F)cccc2Cl)c1 ZINC000559255506 327151023 /nfs/dbraw/zinc/15/10/23/327151023.db2.gz CAEPXNJBKMBFBN-UHFFFAOYSA-N 1 2 297.732 3.917 20 0 CHADLO Cc1nc(SCCCc2[nH]c3ccccc3[nH+]2)oc1C ZINC000569369302 327167630 /nfs/dbraw/zinc/16/76/30/327167630.db2.gz XXHWKAUQKBHKAE-UHFFFAOYSA-N 1 2 287.388 3.893 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc(C(C)(C)C)s1)C(F)F ZINC000449356575 327169643 /nfs/dbraw/zinc/16/96/43/327169643.db2.gz AICIIAVPKUMDLC-VIFPVBQESA-N 1 2 262.369 3.574 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)c(F)c2)[C@@H]1[C@H]1CCCO1 ZINC000569412255 327174235 /nfs/dbraw/zinc/17/42/35/327174235.db2.gz RPQPYEUZXGDOHV-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)c(F)c2)[C@@H]1[C@H]1CCCO1 ZINC000569412255 327174236 /nfs/dbraw/zinc/17/42/36/327174236.db2.gz RPQPYEUZXGDOHV-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+]Cc2nc(C3CC3)cs2)cn1 ZINC000090460673 327179091 /nfs/dbraw/zinc/17/90/91/327179091.db2.gz SBDCIOJSRQJALV-NSHDSACASA-N 1 2 290.436 3.649 20 0 CHADLO Cc1cc(F)ccc1-c1ccc(C[NH2+]C(CF)CF)o1 ZINC000449382160 327184190 /nfs/dbraw/zinc/18/41/90/327184190.db2.gz VDQCNQMGCQCCHK-UHFFFAOYSA-N 1 2 283.293 3.791 20 0 CHADLO CC[N@H+](Cc1cnc[nH]1)Cc1ccc(Cl)c(Cl)c1 ZINC000092377178 327193397 /nfs/dbraw/zinc/19/33/97/327193397.db2.gz ODOATUGGVAKORA-UHFFFAOYSA-N 1 2 284.190 3.739 20 0 CHADLO CC[N@@H+](Cc1cnc[nH]1)Cc1ccc(Cl)c(Cl)c1 ZINC000092377178 327193398 /nfs/dbraw/zinc/19/33/98/327193398.db2.gz ODOATUGGVAKORA-UHFFFAOYSA-N 1 2 284.190 3.739 20 0 CHADLO CC[N@H+](Cc1c[nH]cn1)Cc1ccc(Cl)c(Cl)c1 ZINC000092377178 327193399 /nfs/dbraw/zinc/19/33/99/327193399.db2.gz ODOATUGGVAKORA-UHFFFAOYSA-N 1 2 284.190 3.739 20 0 CHADLO CC[N@@H+](Cc1c[nH]cn1)Cc1ccc(Cl)c(Cl)c1 ZINC000092377178 327193400 /nfs/dbraw/zinc/19/34/00/327193400.db2.gz ODOATUGGVAKORA-UHFFFAOYSA-N 1 2 284.190 3.739 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1nccc2ccccc21 ZINC000569597308 327208339 /nfs/dbraw/zinc/20/83/39/327208339.db2.gz UCHRGRJZXZYIOS-JTQLQIEISA-N 1 2 250.292 3.541 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1cc2c(s1)CCCC2 ZINC000559878154 327267252 /nfs/dbraw/zinc/26/72/52/327267252.db2.gz KBZQPOHOPULPAS-VIFPVBQESA-N 1 2 259.365 3.933 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)CN1c1[nH+]c2ccccc2n1C ZINC000536501898 327241925 /nfs/dbraw/zinc/24/19/25/327241925.db2.gz JINWPDMHYPJMDX-HOCLYGCPSA-N 1 2 291.398 3.956 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000559409993 327243754 /nfs/dbraw/zinc/24/37/54/327243754.db2.gz LFWSMQCYKRECFW-JTQLQIEISA-N 1 2 262.155 3.927 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000559409993 327243755 /nfs/dbraw/zinc/24/37/55/327243755.db2.gz LFWSMQCYKRECFW-JTQLQIEISA-N 1 2 262.155 3.927 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000559409994 327243807 /nfs/dbraw/zinc/24/38/07/327243807.db2.gz LFWSMQCYKRECFW-SNVBAGLBSA-N 1 2 262.155 3.927 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000559409994 327243808 /nfs/dbraw/zinc/24/38/08/327243808.db2.gz LFWSMQCYKRECFW-SNVBAGLBSA-N 1 2 262.155 3.927 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)c1cc[nH]c1C1CC1 ZINC000559544795 327253292 /nfs/dbraw/zinc/25/32/92/327253292.db2.gz KLKIXFGYDMJISW-UHFFFAOYSA-N 1 2 292.342 3.535 20 0 CHADLO Cc1cccn2cc(CSc3cccs3)[nH+]c12 ZINC000109707808 327263125 /nfs/dbraw/zinc/26/31/25/327263125.db2.gz QLKMWEDIFCMKOB-UHFFFAOYSA-N 1 2 260.387 3.997 20 0 CHADLO COC(=O)CCC[C@H]1CCC[C@@H](Nc2c[nH+]cc(C)c2)C1 ZINC000559821767 327263428 /nfs/dbraw/zinc/26/34/28/327263428.db2.gz VVJSQUBONNJXTR-HUUCEWRRSA-N 1 2 290.407 3.704 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCCOc2c(Cl)cccc21 ZINC000559879384 327267959 /nfs/dbraw/zinc/26/79/59/327267959.db2.gz RNJVNFMXASSCBJ-LLVKDONJSA-N 1 2 275.726 3.799 20 0 CHADLO COc1ccc2c(c1)[C@H]([NH2+]CC(C)(F)F)CCCS2 ZINC000559891656 327268581 /nfs/dbraw/zinc/26/85/81/327268581.db2.gz RLJHEMPHAMXUCU-GFCCVEGCSA-N 1 2 287.375 3.867 20 0 CHADLO CN(Cc1c[nH+]cn1Cc1ccccc1)c1ccc(F)cc1 ZINC000559919454 327271213 /nfs/dbraw/zinc/27/12/13/327271213.db2.gz AXCILRNUYNFAJP-UHFFFAOYSA-N 1 2 295.361 3.707 20 0 CHADLO c1cn(-c2ccc(CSC[C@H]3CCCCO3)cc2)c[nH+]1 ZINC000531111889 327280677 /nfs/dbraw/zinc/28/06/77/327280677.db2.gz DKWFUBUSNMOEEL-MRXNPFEDSA-N 1 2 288.416 3.675 20 0 CHADLO CC[C@H](CCO)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000561236092 327378001 /nfs/dbraw/zinc/37/80/01/327378001.db2.gz DGDMJYFFPWHCFK-LLVKDONJSA-N 1 2 278.783 3.709 20 0 CHADLO C[C@@H]([NH2+][C@H]1C[C@H](C)Sc2sccc21)c1csnn1 ZINC000398321073 327383752 /nfs/dbraw/zinc/38/37/52/327383752.db2.gz IJIDLZGBWGKBNS-XKSSXDPKSA-N 1 2 297.474 3.876 20 0 CHADLO FC(F)c1ccc(C[NH2+]Cc2noc3c2CCCC3)cc1 ZINC000561383371 327390383 /nfs/dbraw/zinc/39/03/83/327390383.db2.gz JBMKBNUTTSEJFW-UHFFFAOYSA-N 1 2 292.329 3.781 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1cnn(C2CCCC2)c1 ZINC000566501408 327401539 /nfs/dbraw/zinc/40/15/39/327401539.db2.gz QSVYDRGGGAOTHK-NEPJUHHUSA-N 1 2 290.436 3.867 20 0 CHADLO C[C@H]([NH2+][C@H](CC(C)(C)C)C(F)(F)F)[C@@H]1CCCOC1 ZINC000507439213 332805346 /nfs/dbraw/zinc/80/53/46/332805346.db2.gz CGHCVJFYTCTYSE-QJPTWQEYSA-N 1 2 281.362 3.758 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1ccc(Cl)o1 ZINC000525695326 327507132 /nfs/dbraw/zinc/50/71/32/327507132.db2.gz RAHHDPDUCUFIAW-VIFPVBQESA-N 1 2 283.730 3.932 20 0 CHADLO CCc1cc(C[NH2+][C@@H](C)c2ccc(Cl)cc2OC)on1 ZINC000562951164 327528453 /nfs/dbraw/zinc/52/84/53/327528453.db2.gz JPUOLXXZTDKZHC-JTQLQIEISA-N 1 2 294.782 3.750 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2cscn2)c(Cl)c1 ZINC000581381068 327535565 /nfs/dbraw/zinc/53/55/65/327535565.db2.gz OLRBWNKQPZKPGE-SNVBAGLBSA-N 1 2 266.797 3.956 20 0 CHADLO Cc1ccc(F)c(OCc2cn3cccc(C)c3[nH+]2)c1 ZINC000569705746 327538346 /nfs/dbraw/zinc/53/83/46/327538346.db2.gz ZRKQFDVSLJCELE-UHFFFAOYSA-N 1 2 270.307 3.669 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCCc2c3ccccc3[nH]c21 ZINC000570064820 327566406 /nfs/dbraw/zinc/56/64/06/327566406.db2.gz ILUPVHZAXRMLNB-ZDUSSCGKSA-N 1 2 264.319 3.790 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](C)CC1CCCCC1 ZINC000114331949 328644152 /nfs/dbraw/zinc/64/41/52/328644152.db2.gz DRQFCQXRZBZWPS-LBPRGKRZSA-N 1 2 260.381 3.935 20 0 CHADLO CCn1cc[nH+]c1CN(C)[C@H](c1ccccc1)C(F)(F)F ZINC000536657513 328676674 /nfs/dbraw/zinc/67/66/74/328676674.db2.gz QVPRQRCFBDMKEP-CQSZACIVSA-N 1 2 297.324 3.638 20 0 CHADLO COc1ccc[nH+]c1N[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000526113166 328739752 /nfs/dbraw/zinc/73/97/52/328739752.db2.gz OPPMVXZFZVYAFE-UWVGGRQHSA-N 1 2 274.286 3.623 20 0 CHADLO COc1ccc[nH+]c1N[C@H]1CC[C@H](c2ccccc2)C1 ZINC000526113048 328739793 /nfs/dbraw/zinc/73/97/93/328739793.db2.gz ANNDIANTGWQSDE-GJZGRUSLSA-N 1 2 268.360 3.838 20 0 CHADLO CC[C@H](CC(F)(F)F)NC(=O)Nc1c(C)cc[nH+]c1C ZINC000351930987 328740798 /nfs/dbraw/zinc/74/07/98/328740798.db2.gz MURCYESSOGBTMT-SNVBAGLBSA-N 1 2 289.301 3.551 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000532674000 328804714 /nfs/dbraw/zinc/80/47/14/328804714.db2.gz KNPOMDUJOOVLCU-DLBZAZTESA-N 1 2 296.414 3.796 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(F)(F)F)cc1)C(C)(F)F ZINC000389456131 328846983 /nfs/dbraw/zinc/84/69/83/328846983.db2.gz YFMFDNDOXFMHQV-QMMMGPOBSA-N 1 2 267.241 3.839 20 0 CHADLO Cc1ccc(CSCC(=O)Nc2ccccc2)c(C)[nH+]1 ZINC000358654717 328889555 /nfs/dbraw/zinc/88/95/55/328889555.db2.gz SCYRCPBEMAAOBS-UHFFFAOYSA-N 1 2 286.400 3.570 20 0 CHADLO CCCCN(C(=O)CCc1[nH]cc[nH+]1)[C@@H]1CCC[C@@H](C)C1 ZINC000359320447 328897106 /nfs/dbraw/zinc/89/71/06/328897106.db2.gz DDHKAFMSKVKEQX-HUUCEWRRSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCCC[C@H](C)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000361430058 328925566 /nfs/dbraw/zinc/92/55/66/328925566.db2.gz LWNRWOIVNQNYBU-LSDHHAIUSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1cc(N(C)CCOCc2ccccc2)nc(C(C)C)[nH+]1 ZINC000362561689 328929083 /nfs/dbraw/zinc/92/90/83/328929083.db2.gz STYQVLYIFBOTNP-UHFFFAOYSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N(C)[C@@H](C)c1ccccc1F ZINC000121953639 328991977 /nfs/dbraw/zinc/99/19/77/328991977.db2.gz KETPXQGFPSSHQM-LBPRGKRZSA-N 1 2 287.338 3.754 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)[N@H+](C)Cc1cnn(C)c1 ZINC000122304138 329000988 /nfs/dbraw/zinc/00/09/88/329000988.db2.gz XFXWHXMTHZRTCH-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)[N@@H+](C)Cc1cnn(C)c1 ZINC000122304138 329000989 /nfs/dbraw/zinc/00/09/89/329000989.db2.gz XFXWHXMTHZRTCH-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO CCCCC[N@@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000377794612 329029866 /nfs/dbraw/zinc/02/98/66/329029866.db2.gz KQPVFGITZOLFMY-CYBMUJFWSA-N 1 2 263.385 3.664 20 0 CHADLO CCCCC[N@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000377794612 329029867 /nfs/dbraw/zinc/02/98/67/329029867.db2.gz KQPVFGITZOLFMY-CYBMUJFWSA-N 1 2 263.385 3.664 20 0 CHADLO c1cc2cc(C[NH2+][C@H]3CCCc4[nH]ncc43)ccc2s1 ZINC000377802392 329030132 /nfs/dbraw/zinc/03/01/32/329030132.db2.gz JFVCTWFWCZBCIA-AWEZNQCLSA-N 1 2 283.400 3.792 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccoc1 ZINC000507206116 329058839 /nfs/dbraw/zinc/05/88/39/329058839.db2.gz AVPDYKCRMFTTDJ-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccoc1 ZINC000507206116 329058840 /nfs/dbraw/zinc/05/88/40/329058840.db2.gz AVPDYKCRMFTTDJ-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO C=Cn1cc(C[N@@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000193681528 329060753 /nfs/dbraw/zinc/06/07/53/329060753.db2.gz ZRWKANZIKMWSTC-CXAGYDPISA-N 1 2 297.402 3.865 20 0 CHADLO C=Cn1cc(C[N@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000193681528 329060755 /nfs/dbraw/zinc/06/07/55/329060755.db2.gz ZRWKANZIKMWSTC-CXAGYDPISA-N 1 2 297.402 3.865 20 0 CHADLO C=Cn1cc(C[N@@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000193681510 329060989 /nfs/dbraw/zinc/06/09/89/329060989.db2.gz ZRWKANZIKMWSTC-GUYCJALGSA-N 1 2 297.402 3.865 20 0 CHADLO C=Cn1cc(C[N@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000193681510 329060991 /nfs/dbraw/zinc/06/09/91/329060991.db2.gz ZRWKANZIKMWSTC-GUYCJALGSA-N 1 2 297.402 3.865 20 0 CHADLO Clc1ccc2c(c1Cl)OC[C@H]2[NH2+]Cc1ccco1 ZINC000360024300 329070071 /nfs/dbraw/zinc/07/00/71/329070071.db2.gz VIEOYQYGRONHGG-LLVKDONJSA-N 1 2 284.142 3.810 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(C3CCCC3)n2)CCS1 ZINC000171686315 329078152 /nfs/dbraw/zinc/07/81/52/329078152.db2.gz AWZZCJJBQDRFQA-LLVKDONJSA-N 1 2 282.478 3.738 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(C3CCCC3)n2)CCS1 ZINC000171686315 329078153 /nfs/dbraw/zinc/07/81/53/329078153.db2.gz AWZZCJJBQDRFQA-LLVKDONJSA-N 1 2 282.478 3.738 20 0 CHADLO CC[C@H]([NH2+]Cc1ccn[nH]1)c1ccc(OC(F)(F)F)cc1 ZINC000582562685 329112057 /nfs/dbraw/zinc/11/20/57/329112057.db2.gz HPDFIRFPGKYBRQ-ZDUSSCGKSA-N 1 2 299.296 3.549 20 0 CHADLO Cc1cc[nH+]c(NCc2cc(F)cc(F)c2)c1Cl ZINC000582669723 329122338 /nfs/dbraw/zinc/12/23/38/329122338.db2.gz WNRKNOGUDPBATA-UHFFFAOYSA-N 1 2 268.694 3.934 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@@H](c1[nH+]ccn1C)C1CC1 ZINC000582683459 329127908 /nfs/dbraw/zinc/12/79/08/329127908.db2.gz ULTLGRUECBNIRG-XJKSGUPXSA-N 1 2 291.439 3.594 20 0 CHADLO Cc1ccc(C(=O)N(C)C2CCC2)cc1Oc1cc[nH+]cc1 ZINC000172697804 329136785 /nfs/dbraw/zinc/13/67/85/329136785.db2.gz DMRLSGYYOMTUFX-UHFFFAOYSA-N 1 2 296.370 3.807 20 0 CHADLO CCC(CC)(CC)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000172731913 329138243 /nfs/dbraw/zinc/13/82/43/329138243.db2.gz MPSOGQXXVKXKLI-UHFFFAOYSA-N 1 2 285.391 3.571 20 0 CHADLO CC(C)c1nc(N2C[C@H](c3ccccc3)OC[C@@H]2C)cc[nH+]1 ZINC000174922682 329161692 /nfs/dbraw/zinc/16/16/92/329161692.db2.gz ZRYMDPCSXZRHIE-GOEBONIOSA-N 1 2 297.402 3.566 20 0 CHADLO CCS[C@@H]1CCC[C@H]1Nc1cc[nH+]c(C(C)C)n1 ZINC000175057515 329162569 /nfs/dbraw/zinc/16/25/69/329162569.db2.gz NUZWKQCRXNYAQF-VXGBXAGGSA-N 1 2 265.426 3.686 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@H]2CCc3ccc(F)cc32)s1 ZINC000177844889 329193151 /nfs/dbraw/zinc/19/31/51/329193151.db2.gz DSQIJYBACPTJBZ-HNNXBMFYSA-N 1 2 290.407 3.964 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@H]2CCc3ccc(F)cc32)s1 ZINC000177844889 329193153 /nfs/dbraw/zinc/19/31/53/329193153.db2.gz DSQIJYBACPTJBZ-HNNXBMFYSA-N 1 2 290.407 3.964 20 0 CHADLO CC(C)c1ccc(C[N@H+](Cc2cnc[nH]2)C2CC2)cc1 ZINC000179750502 329211985 /nfs/dbraw/zinc/21/19/85/329211985.db2.gz PQQDPBBQRGKYQQ-UHFFFAOYSA-N 1 2 269.392 3.698 20 0 CHADLO CC(C)c1ccc(C[N@@H+](Cc2cnc[nH]2)C2CC2)cc1 ZINC000179750502 329211987 /nfs/dbraw/zinc/21/19/87/329211987.db2.gz PQQDPBBQRGKYQQ-UHFFFAOYSA-N 1 2 269.392 3.698 20 0 CHADLO C[N@H+](C/C=C/c1ccc(Cl)cc1)Cc1nccs1 ZINC000179835779 329212769 /nfs/dbraw/zinc/21/27/69/329212769.db2.gz VHGLGPRFQFFJPT-NSCUHMNNSA-N 1 2 278.808 3.942 20 0 CHADLO C[N@@H+](C/C=C/c1ccc(Cl)cc1)Cc1nccs1 ZINC000179835779 329212771 /nfs/dbraw/zinc/21/27/71/329212771.db2.gz VHGLGPRFQFFJPT-NSCUHMNNSA-N 1 2 278.808 3.942 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cscn2)ccc1Cl ZINC000180425199 329216626 /nfs/dbraw/zinc/21/66/26/329216626.db2.gz UQGKCGOXAUVJAQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cscn2)ccc1Cl ZINC000180425199 329216628 /nfs/dbraw/zinc/21/66/28/329216628.db2.gz UQGKCGOXAUVJAQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Fc1ccc2oc(C[N@H+](Cc3cccnc3)C3CC3)nc2c1 ZINC000180730953 329222635 /nfs/dbraw/zinc/22/26/35/329222635.db2.gz TWZIFVLYGMEJKS-UHFFFAOYSA-N 1 2 297.333 3.527 20 0 CHADLO Fc1ccc2oc(C[N@@H+](Cc3cccnc3)C3CC3)nc2c1 ZINC000180730953 329222636 /nfs/dbraw/zinc/22/26/36/329222636.db2.gz TWZIFVLYGMEJKS-UHFFFAOYSA-N 1 2 297.333 3.527 20 0 CHADLO CCC[C@@H]1C[C@@H](C(=O)Nc2c(C)cc(C)[nH+]c2C)CCO1 ZINC000182787754 329244544 /nfs/dbraw/zinc/24/45/44/329244544.db2.gz XGKLXEHWXCQIFO-LSDHHAIUSA-N 1 2 290.407 3.541 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)NCc1ccc(C(F)F)cc1 ZINC000184040375 329252718 /nfs/dbraw/zinc/25/27/18/329252718.db2.gz MFYNSZFGKXCNPR-UHFFFAOYSA-N 1 2 291.301 3.649 20 0 CHADLO Cc1nc(C(=O)Nc2cc[nH+]c(C)c2)c(-c2ccccc2)o1 ZINC000185279034 329270001 /nfs/dbraw/zinc/27/00/01/329270001.db2.gz YAKXGXVQPUXFBO-UHFFFAOYSA-N 1 2 293.326 3.606 20 0 CHADLO CC(=O)c1ccc(C)cc1OCc1ccc(C)[nH+]c1C ZINC000185301276 329272782 /nfs/dbraw/zinc/27/27/82/329272782.db2.gz JUURZZQEAMFHKB-UHFFFAOYSA-N 1 2 269.344 3.788 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2oc3ccccc3c2C)[nH]1 ZINC000392359787 329326780 /nfs/dbraw/zinc/32/67/80/329326780.db2.gz WTHAVIUJKJVNID-WDEREUQCSA-N 1 2 284.363 3.580 20 0 CHADLO CCOc1cccc(CNc2[nH+]cccc2OC(F)F)c1 ZINC000185912505 329332663 /nfs/dbraw/zinc/33/26/63/329332663.db2.gz HOPSSMBLIGIHCH-UHFFFAOYSA-N 1 2 294.301 3.694 20 0 CHADLO CC(C)[C@]1(C)C[C@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000185917114 329332701 /nfs/dbraw/zinc/33/27/01/329332701.db2.gz FNAAXRLSBKJUHM-YOEHRIQHSA-N 1 2 283.375 3.697 20 0 CHADLO COCCn1c2ccccc2[nH+]c1NCc1ccccc1C ZINC000185845888 329333362 /nfs/dbraw/zinc/33/33/62/329333362.db2.gz BPEZXRJXLVDERT-UHFFFAOYSA-N 1 2 295.386 3.603 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1Cc2ccccc2C2(CC2)C1 ZINC000186229311 329340017 /nfs/dbraw/zinc/34/00/17/329340017.db2.gz AZOANOYZJBFJJC-UHFFFAOYSA-N 1 2 281.403 3.511 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1Cc2ccccc2C2(CC2)C1 ZINC000186229311 329340018 /nfs/dbraw/zinc/34/00/18/329340018.db2.gz AZOANOYZJBFJJC-UHFFFAOYSA-N 1 2 281.403 3.511 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2cc(Cl)ccc2Cl)[nH]1 ZINC000392454933 329341334 /nfs/dbraw/zinc/34/13/34/329341334.db2.gz JTYOENUCPIVEFY-JGVFFNPUSA-N 1 2 299.205 3.832 20 0 CHADLO Fc1ccc(CNc2cc[nH+]cc2F)cc1C(F)(F)F ZINC000186425813 329342058 /nfs/dbraw/zinc/34/20/58/329342058.db2.gz DPFROGFYSMOQQY-UHFFFAOYSA-N 1 2 288.219 3.991 20 0 CHADLO Cc1ccc(CSCC(=O)C(C)(C)C)c(C)[nH+]1 ZINC000186627669 329346847 /nfs/dbraw/zinc/34/68/47/329346847.db2.gz AVXDCSQBQHNVEI-UHFFFAOYSA-N 1 2 251.395 3.547 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1nccn1C(C)C)CC2 ZINC000186735123 329348215 /nfs/dbraw/zinc/34/82/15/329348215.db2.gz HEPQVDWBPUVINF-UHFFFAOYSA-N 1 2 283.419 3.639 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1nccn1C(C)C)CC2 ZINC000186735123 329348216 /nfs/dbraw/zinc/34/82/16/329348216.db2.gz HEPQVDWBPUVINF-UHFFFAOYSA-N 1 2 283.419 3.639 20 0 CHADLO Cc1cc(C)cc(C[S@@](=O)Cc2ccc(C)[nH+]c2C)c1 ZINC000187076286 329352207 /nfs/dbraw/zinc/35/22/07/329352207.db2.gz OWMNLTXHAKLGRK-HXUWFJFHSA-N 1 2 287.428 3.764 20 0 CHADLO Cc1ccc(CNc2ccc(NC(=O)C3CC3)cc2)c(C)[nH+]1 ZINC000187707776 329362530 /nfs/dbraw/zinc/36/25/30/329362530.db2.gz FWVKJJLTHMFPHJ-UHFFFAOYSA-N 1 2 295.386 3.659 20 0 CHADLO Fc1ccc(C[NH2+][C@H](c2nccs2)c2ccccc2)cn1 ZINC000189018144 329375132 /nfs/dbraw/zinc/37/51/32/329375132.db2.gz KWMCISZTFQFNLG-HNNXBMFYSA-N 1 2 299.374 3.556 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(C2CCC2)cc1 ZINC000191457513 329414782 /nfs/dbraw/zinc/41/47/82/329414782.db2.gz OBRXJAACCNLTGD-UHFFFAOYSA-N 1 2 266.344 3.910 20 0 CHADLO C[C@H]([NH2+]Cc1ncccn1)c1ccc(Cl)c(Cl)c1 ZINC000192147148 329429306 /nfs/dbraw/zinc/42/93/06/329429306.db2.gz TXJPHUSNVNUGJX-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO CC(C)Cc1ccc([C@@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000192146623 329429444 /nfs/dbraw/zinc/42/94/44/329429444.db2.gz FTTDKRDNKWYYGL-CQSZACIVSA-N 1 2 269.392 3.526 20 0 CHADLO C[C@H]([NH2+]Cc1ncccn1)c1cccc(Cl)c1Cl ZINC000192157684 329429813 /nfs/dbraw/zinc/42/98/13/329429813.db2.gz FKZBBMBSDZHADC-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[NH+]1Cc2ccccc2C1 ZINC000114720657 329544004 /nfs/dbraw/zinc/54/40/04/329544004.db2.gz LXHGQDIGAIHKEX-CYBMUJFWSA-N 1 2 291.354 3.813 20 0 CHADLO Cc1c[nH+]cc(NCc2cccc(Br)c2)c1 ZINC000125082853 329577444 /nfs/dbraw/zinc/57/74/44/329577444.db2.gz TVYAOMSNEIRCDI-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO Cc1ccc(C)c([C@@H]2CCCN2c2cc[nH+]c(C)n2)c1 ZINC000131538870 329636912 /nfs/dbraw/zinc/63/69/12/329636912.db2.gz YTSMSEOZGKQBLO-INIZCTEOSA-N 1 2 267.376 3.743 20 0 CHADLO Cc1cc(N2[C@@H]3CC[C@H]2C[C@@H](c2ccccc2)C3)nc[nH+]1 ZINC000509477789 332871272 /nfs/dbraw/zinc/87/12/72/332871272.db2.gz HYMWNZUOSXONRL-BJWYYQGGSA-N 1 2 279.387 3.700 20 0 CHADLO CCCCCOc1cc(C)ccc1C[NH2+]Cc1ncc[nH]1 ZINC000152514008 329675572 /nfs/dbraw/zinc/67/55/72/329675572.db2.gz IEAWPHXIPZNWNV-UHFFFAOYSA-N 1 2 287.407 3.577 20 0 CHADLO c1c(CSCCOCC2CCCC2)[nH+]c2ccccn12 ZINC000419427873 329728140 /nfs/dbraw/zinc/72/81/40/329728140.db2.gz PQNSYFKVZZNMLL-UHFFFAOYSA-N 1 2 290.432 3.774 20 0 CHADLO COC1(CCSCc2cn3ccccc3[nH+]2)CCC1 ZINC000419432739 329728688 /nfs/dbraw/zinc/72/86/88/329728688.db2.gz YHGCKOWADKBQQU-UHFFFAOYSA-N 1 2 276.405 3.527 20 0 CHADLO Cc1nnc(CSCc2ccc(C(C)C)[nH+]c2C)o1 ZINC000419491129 329737152 /nfs/dbraw/zinc/73/71/52/329737152.db2.gz JPDRWQKTFWBCDD-UHFFFAOYSA-N 1 2 277.393 3.638 20 0 CHADLO c1cc2[nH+]ccc(N3CC[C@@H](C4CCCC4)C3)c2cn1 ZINC000420620422 329779273 /nfs/dbraw/zinc/77/92/73/329779273.db2.gz QEWJPSIAYHVGJF-CQSZACIVSA-N 1 2 267.376 3.646 20 0 CHADLO CC[C@@H]1C[C@@H](Nc2[nH+]ccc(C)c2Br)CCO1 ZINC000420624169 329779768 /nfs/dbraw/zinc/77/97/68/329779768.db2.gz ACWVKUSQDOSEDK-WDEREUQCSA-N 1 2 299.212 3.522 20 0 CHADLO Cc1ccc([C@H](C)NC(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000420783273 329783368 /nfs/dbraw/zinc/78/33/68/329783368.db2.gz RXRGHJBOQBUTIY-HNNXBMFYSA-N 1 2 297.402 3.567 20 0 CHADLO CC[C@@H]1C[NH+]([C@@H](C)c2nc3ccccc3o2)C[C@@H](CC)O1 ZINC000420956207 329791171 /nfs/dbraw/zinc/79/11/71/329791171.db2.gz MSLULGPJFDWVHQ-BFHYXJOUSA-N 1 2 288.391 3.778 20 0 CHADLO C[C@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(C2CC2)cc1 ZINC000420980360 329795061 /nfs/dbraw/zinc/79/50/61/329795061.db2.gz WOYJLAGRFPEKRC-NSHDSACASA-N 1 2 289.810 3.802 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2cccc(Cl)c2)nn1C ZINC000421335614 329813315 /nfs/dbraw/zinc/81/33/15/329813315.db2.gz SXJZGFMNMWPUBA-SECBINFHSA-N 1 2 298.217 3.886 20 0 CHADLO Cc1cc(CNC(=O)[C@H](C)CC2CCCCC2)cc(C)[nH+]1 ZINC000421573380 329848156 /nfs/dbraw/zinc/84/81/56/329848156.db2.gz ALIBNOAPJFNQGV-CYBMUJFWSA-N 1 2 288.435 3.921 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCc1ccc(C(C)C)cc1 ZINC000509756818 332880883 /nfs/dbraw/zinc/88/08/83/332880883.db2.gz VGINMDJSEZZBHS-UHFFFAOYSA-N 1 2 296.414 3.761 20 0 CHADLO Cc1ccc(C[NH2+]Cc2coc(-c3ccc(C)cc3)n2)o1 ZINC000072101010 329857654 /nfs/dbraw/zinc/85/76/54/329857654.db2.gz YTNMTJRXKCNDSS-UHFFFAOYSA-N 1 2 282.343 3.841 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000073948839 329862987 /nfs/dbraw/zinc/86/29/87/329862987.db2.gz NETUGMARBNJGQH-PBHICJAKSA-N 1 2 297.402 3.571 20 0 CHADLO C[C@H]([NH2+]Cc1cc2ccccc2o1)c1nccs1 ZINC000070942508 329933256 /nfs/dbraw/zinc/93/32/56/329933256.db2.gz WDFIWUHAUJQMTL-JTQLQIEISA-N 1 2 258.346 3.740 20 0 CHADLO CSc1ccc(C)c(NC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000572246435 329958009 /nfs/dbraw/zinc/95/80/09/329958009.db2.gz BMDDGIGGHJPRHB-GFCCVEGCSA-N 1 2 289.404 3.503 20 0 CHADLO C[C@@H](CC(=O)Nc1ccccc1-n1cc[nH+]c1)C(C)(C)C ZINC000261444939 329969728 /nfs/dbraw/zinc/96/97/28/329969728.db2.gz NTUFHBKPBFPPSG-ZDUSSCGKSA-N 1 2 285.391 3.883 20 0 CHADLO C[C@@H](CC(=O)N1CCC[C@H](C(C)(C)C)CC1)n1cc[nH+]c1 ZINC000572368053 330011684 /nfs/dbraw/zinc/01/16/84/330011684.db2.gz RQNRJTMCHHDCFG-GJZGRUSLSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CCC(F)(F)C1 ZINC000572430390 330075557 /nfs/dbraw/zinc/07/55/57/330075557.db2.gz FOPHURJKDRETQX-LBPRGKRZSA-N 1 2 276.330 3.812 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1ccncc1Cl)c1ccc(C)o1 ZINC000421828004 330083993 /nfs/dbraw/zinc/08/39/93/330083993.db2.gz AMMDBISCKORGDP-FZMZJTMJSA-N 1 2 294.782 3.675 20 0 CHADLO CCCCn1ncc(N[C@H]2CCc3ccc[nH+]c3CC2)c1C ZINC000421836632 330091949 /nfs/dbraw/zinc/09/19/49/330091949.db2.gz OWYZOQXLDORSRN-INIZCTEOSA-N 1 2 298.434 3.746 20 0 CHADLO COc1ccccc1CSCCc1cc[nH+]cc1C ZINC000421837202 330092154 /nfs/dbraw/zinc/09/21/54/330092154.db2.gz QAKNSDAVCIDSRP-UHFFFAOYSA-N 1 2 273.401 3.875 20 0 CHADLO Cc1ccccc1N[C@@H]1CCc2ccc[nH+]c2CC1 ZINC000421837962 330092450 /nfs/dbraw/zinc/09/24/50/330092450.db2.gz SUILSGFPARKDPH-OAHLLOKOSA-N 1 2 252.361 3.750 20 0 CHADLO Cc1c[nH+]ccc1CCSc1nccc(C(F)(F)F)n1 ZINC000421846974 330095210 /nfs/dbraw/zinc/09/52/10/330095210.db2.gz VNYXDJCDLNLEKT-UHFFFAOYSA-N 1 2 299.321 3.534 20 0 CHADLO FC(F)[C@@H]([NH2+]CCc1ccccc1)c1ccccc1 ZINC000433054604 330138572 /nfs/dbraw/zinc/13/85/72/330138572.db2.gz VIRPELMNYMIOLU-HNNXBMFYSA-N 1 2 261.315 3.825 20 0 CHADLO CCn1cc(N(Cc2ccc(C)[nH+]c2C)CC(C)C)cn1 ZINC000580446294 330139684 /nfs/dbraw/zinc/13/96/84/330139684.db2.gz HKXNVVQSZZYSMK-UHFFFAOYSA-N 1 2 286.423 3.577 20 0 CHADLO COc1cccc(C2([NH2+]Cc3nc4ccccc4o3)CC2)c1 ZINC000510200298 332896949 /nfs/dbraw/zinc/89/69/49/332896949.db2.gz QBHWAPGIHWHCIJ-UHFFFAOYSA-N 1 2 294.354 3.615 20 0 CHADLO FC(F)[C@@H](Cc1ccccc1)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423212644 330178077 /nfs/dbraw/zinc/17/80/77/330178077.db2.gz IULIXSLMGYVKPD-MRXNPFEDSA-N 1 2 288.341 3.943 20 0 CHADLO COc1ccccc1[C@@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423213945 330178268 /nfs/dbraw/zinc/17/82/68/330178268.db2.gz KSVNWUKVHKCQKL-GFCCVEGCSA-N 1 2 268.360 3.836 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc2c(c1)COC2 ZINC000423212967 330178496 /nfs/dbraw/zinc/17/84/96/330178496.db2.gz JKKJYWWSWALDAM-GFCCVEGCSA-N 1 2 280.371 3.858 20 0 CHADLO CC(C)O[C@H]1C[C@H]([NH2+]c2ccc3c(c2)CCN3)C1(C)C ZINC000423215697 330178539 /nfs/dbraw/zinc/17/85/39/330178539.db2.gz NLOOOJIPNZZQSH-HOTGVXAUSA-N 1 2 274.408 3.659 20 0 CHADLO CC(C)O[C@H]1C[C@H](Nc2ccc3c(c2)CC[NH2+]3)C1(C)C ZINC000423215697 330178540 /nfs/dbraw/zinc/17/85/40/330178540.db2.gz NLOOOJIPNZZQSH-HOTGVXAUSA-N 1 2 274.408 3.659 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1ncccc1Cl ZINC000528400742 330257149 /nfs/dbraw/zinc/25/71/49/330257149.db2.gz QXRUAOBHOMQUDQ-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1ncccc1Cl ZINC000528400742 330257150 /nfs/dbraw/zinc/25/71/50/330257150.db2.gz QXRUAOBHOMQUDQ-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[N@H+]1[C@H](C)C[C@@H]1C ZINC000527529374 330258009 /nfs/dbraw/zinc/25/80/09/330258009.db2.gz DMUHIJAEFGFNHE-DTORHVGOSA-N 1 2 274.191 3.985 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[N@@H+]1[C@H](C)C[C@@H]1C ZINC000527529374 330258010 /nfs/dbraw/zinc/25/80/10/330258010.db2.gz DMUHIJAEFGFNHE-DTORHVGOSA-N 1 2 274.191 3.985 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nc3ccccc3s2)ccn1 ZINC000527532526 330262396 /nfs/dbraw/zinc/26/23/96/330262396.db2.gz GRLHPDJCNQZFAR-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nc3ccccc3s2)ccn1 ZINC000527532526 330262397 /nfs/dbraw/zinc/26/23/97/330262397.db2.gz GRLHPDJCNQZFAR-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc(F)cc2F)C2CC2)ccn1 ZINC000527535536 330263451 /nfs/dbraw/zinc/26/34/51/330263451.db2.gz VSGZTVIUBGTPTI-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc(F)cc2F)C2CC2)ccn1 ZINC000527535536 330263453 /nfs/dbraw/zinc/26/34/53/330263453.db2.gz VSGZTVIUBGTPTI-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1[nH+]c2cc(-c3ccc4c(c3)CCOC4)ccc2n1C ZINC000527590604 330265002 /nfs/dbraw/zinc/26/50/02/330265002.db2.gz UXCGTKQJXPLVDE-UHFFFAOYSA-N 1 2 278.355 3.621 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@H+]([C@@H](C)c1cccc(F)c1)C2 ZINC000527622645 330266150 /nfs/dbraw/zinc/26/61/50/330266150.db2.gz VCPRNVCXZBHILB-ZDUSSCGKSA-N 1 2 299.393 3.858 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@@H+]([C@@H](C)c1cccc(F)c1)C2 ZINC000527622645 330266151 /nfs/dbraw/zinc/26/61/51/330266151.db2.gz VCPRNVCXZBHILB-ZDUSSCGKSA-N 1 2 299.393 3.858 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2ccncc2Cl)C1 ZINC000527629827 330266960 /nfs/dbraw/zinc/26/69/60/330266960.db2.gz NFCQJRCVKBIBPJ-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2ccncc2Cl)n1 ZINC000527672813 330270133 /nfs/dbraw/zinc/27/01/33/330270133.db2.gz AXNFVJKOABXBJB-UHFFFAOYSA-N 1 2 281.812 3.605 20 0 CHADLO Cc1ncc(C[NH2+]Cc2c(F)ccc(F)c2Cl)s1 ZINC000527653525 330272686 /nfs/dbraw/zinc/27/26/86/330272686.db2.gz LWRNOLDZDKVECM-UHFFFAOYSA-N 1 2 288.750 3.673 20 0 CHADLO Cc1ccc2c(c1C)OC[C@H]2[NH2+]Cc1ccncc1Cl ZINC000527655364 330273132 /nfs/dbraw/zinc/27/31/32/330273132.db2.gz CIDGWKJCGQSVPA-OAHLLOKOSA-N 1 2 288.778 3.575 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3C[C@H]3c3ccsc3)cc2[nH+]1 ZINC000527760207 330281297 /nfs/dbraw/zinc/28/12/97/330281297.db2.gz ADKIWFIVDISUAI-QWHCGFSZSA-N 1 2 297.383 3.675 20 0 CHADLO CCc1nc(C[NH2+][C@@H](c2ccccn2)C2CCC2)cs1 ZINC000527772410 330282064 /nfs/dbraw/zinc/28/20/64/330282064.db2.gz MGSAFPLPEAIIAT-MRXNPFEDSA-N 1 2 287.432 3.732 20 0 CHADLO CCOc1ccccc1C[NH2+]Cc1c(F)cc(C)cc1F ZINC000424142801 330285608 /nfs/dbraw/zinc/28/56/08/330285608.db2.gz FICXNDTYPQESAV-UHFFFAOYSA-N 1 2 291.341 3.962 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H](C)c2ncccc2F)s1 ZINC000527828388 330286803 /nfs/dbraw/zinc/28/68/03/330286803.db2.gz SZRBTWHHDKITKR-JTQLQIEISA-N 1 2 279.384 3.651 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC(OCC2CC2)CC1 ZINC000527914602 330295077 /nfs/dbraw/zinc/29/50/77/330295077.db2.gz WFZYGHNPCWDOAH-UHFFFAOYSA-N 1 2 282.387 3.630 20 0 CHADLO CC(C)c1ncc(CN(C)c2[nH+]ccc3ccccc32)cn1 ZINC000527999334 330299761 /nfs/dbraw/zinc/29/97/61/330299761.db2.gz DCSWMWMTOFGYGC-UHFFFAOYSA-N 1 2 292.386 3.785 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@@H](C)c2ncccc2F)o1 ZINC000528034939 330302587 /nfs/dbraw/zinc/30/25/87/330302587.db2.gz CBIMINCMDKRIPK-QWRGUYRKSA-N 1 2 262.328 3.788 20 0 CHADLO C[C@H]1CC(F)(F)CCN1c1[nH+]ccc2ccc(F)cc21 ZINC000528210519 330313348 /nfs/dbraw/zinc/31/33/48/330313348.db2.gz WLDIRUVFLCCRIG-JTQLQIEISA-N 1 2 280.293 3.998 20 0 CHADLO CCC(F)(F)C(C)(C)CNc1cc(N2CCCC2)nc[nH+]1 ZINC000528181730 330313940 /nfs/dbraw/zinc/31/39/40/330313940.db2.gz BZOMJBHOYKSTBB-UHFFFAOYSA-N 1 2 298.381 3.560 20 0 CHADLO CCC(F)(F)C(C)(C)CNc1cc(N2CCCC2)[nH+]cn1 ZINC000528181730 330313941 /nfs/dbraw/zinc/31/39/41/330313941.db2.gz BZOMJBHOYKSTBB-UHFFFAOYSA-N 1 2 298.381 3.560 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ncccc1Cl ZINC000528338281 330319451 /nfs/dbraw/zinc/31/94/51/330319451.db2.gz NLCJMTKLQQKIMH-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ncccc1Cl ZINC000528338281 330319452 /nfs/dbraw/zinc/31/94/52/330319452.db2.gz NLCJMTKLQQKIMH-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO F[C@]1(c2ccccc2)C[C@H]1[NH2+]Cc1ccc(Cl)o1 ZINC000424187258 330320070 /nfs/dbraw/zinc/32/00/70/330320070.db2.gz APBCPLRBUVHPLC-OCCSQVGLSA-N 1 2 265.715 3.660 20 0 CHADLO CCc1cc(NCc2c[nH+]cn2C(C)C)ccc1F ZINC000424183708 330320096 /nfs/dbraw/zinc/32/00/96/330320096.db2.gz WLHFICRYWXOPBW-UHFFFAOYSA-N 1 2 261.344 3.778 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2scnc2c1 ZINC000424190897 330323064 /nfs/dbraw/zinc/32/30/64/330323064.db2.gz NCZVZOUOYJLOBN-UHFFFAOYSA-N 1 2 272.377 3.686 20 0 CHADLO COc1ccc(Cl)cc1C(C)(C)[NH2+]Cc1cscn1 ZINC000424194565 330324396 /nfs/dbraw/zinc/32/43/96/330324396.db2.gz BJBKWARMXWEYFP-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO Cc1cccc(C[N@H+](Cc2ccco2)Cc2ccno2)c1 ZINC000533028453 330324792 /nfs/dbraw/zinc/32/47/92/330324792.db2.gz OGRYVEDKHBHPPO-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2ccco2)Cc2ccno2)c1 ZINC000533028453 330324793 /nfs/dbraw/zinc/32/47/93/330324793.db2.gz OGRYVEDKHBHPPO-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO CC(C)(C)OC(=O)C1([NH2+]Cc2ccc(F)cc2)CCCC1 ZINC000533057547 330325334 /nfs/dbraw/zinc/32/53/34/330325334.db2.gz WNRQLZHRYWTNPN-UHFFFAOYSA-N 1 2 293.382 3.570 20 0 CHADLO c1ccc([N@H+](CC2CCOCC2)C2CCCC2)cc1 ZINC000533060774 330325487 /nfs/dbraw/zinc/32/54/87/330325487.db2.gz IWWUIVFQWLRHKQ-UHFFFAOYSA-N 1 2 259.393 3.862 20 0 CHADLO c1ccc([N@@H+](CC2CCOCC2)C2CCCC2)cc1 ZINC000533060774 330325488 /nfs/dbraw/zinc/32/54/88/330325488.db2.gz IWWUIVFQWLRHKQ-UHFFFAOYSA-N 1 2 259.393 3.862 20 0 CHADLO COC(=O)[C@@H](c1cccc(Cl)c1)[N@H+](C)CC(C)(C)C ZINC000533278464 330356293 /nfs/dbraw/zinc/35/62/93/330356293.db2.gz UYMRGIIGXKPZCZ-CYBMUJFWSA-N 1 2 283.799 3.532 20 0 CHADLO COC(=O)[C@@H](c1cccc(Cl)c1)[N@@H+](C)CC(C)(C)C ZINC000533278464 330356294 /nfs/dbraw/zinc/35/62/94/330356294.db2.gz UYMRGIIGXKPZCZ-CYBMUJFWSA-N 1 2 283.799 3.532 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1cc(F)ccc1F ZINC000118448986 330367327 /nfs/dbraw/zinc/36/73/27/330367327.db2.gz RWNVQSWPRLWDEK-UHFFFAOYSA-N 1 2 297.732 3.917 20 0 CHADLO Cc1cccc(CCC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000119203803 330371094 /nfs/dbraw/zinc/37/10/94/330371094.db2.gz GXKZLLJHXPRMJX-UHFFFAOYSA-N 1 2 268.360 3.578 20 0 CHADLO Cc1cc(NC(=O)N(CC2CC2)C2CCCCC2)cc[nH+]1 ZINC000119387609 330374277 /nfs/dbraw/zinc/37/42/77/330374277.db2.gz GPNRAZYXWKYGRN-UHFFFAOYSA-N 1 2 287.407 3.967 20 0 CHADLO C[C@H]1CCCC[C@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000424564818 330378618 /nfs/dbraw/zinc/37/86/18/330378618.db2.gz MGQSDGYFVLUPAI-XJKSGUPXSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cc(C)c(NC(=O)c2scnc2C2CC2)c(C)[nH+]1 ZINC000089750004 330387565 /nfs/dbraw/zinc/38/75/65/330387565.db2.gz FKZOBCXSBPOEBT-UHFFFAOYSA-N 1 2 287.388 3.593 20 0 CHADLO COc1ccccc1[C@@H]([NH2+]Cc1ccco1)c1ccccn1 ZINC000089797016 330388159 /nfs/dbraw/zinc/38/81/59/330388159.db2.gz AQDCFEQZZVFVOG-GOSISDBHSA-N 1 2 294.354 3.562 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C)cc1Br ZINC000090169665 330390696 /nfs/dbraw/zinc/39/06/96/330390696.db2.gz CYJQALCGOKHNND-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(Br)ccc1C ZINC000090171444 330390719 /nfs/dbraw/zinc/39/07/19/330390719.db2.gz MCQPOZDGSGTTOA-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO c1coc(-c2nc(CSCCn3cc[nH+]c3)cs2)c1 ZINC000090200122 330391202 /nfs/dbraw/zinc/39/12/02/330391202.db2.gz YVGNXOXNZDNXEP-UHFFFAOYSA-N 1 2 291.401 3.533 20 0 CHADLO Brc1cccc(CSCCn2cc[nH+]c2)c1 ZINC000090199471 330391218 /nfs/dbraw/zinc/39/12/18/330391218.db2.gz UHEXZVCHNLCHKJ-UHFFFAOYSA-N 1 2 297.221 3.579 20 0 CHADLO CC[C@H](SCCn1cc[nH+]c1)c1ccc(F)cc1 ZINC000090201517 330391334 /nfs/dbraw/zinc/39/13/34/330391334.db2.gz QOGUMXNWODJXNR-AWEZNQCLSA-N 1 2 264.369 3.907 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccccc1Br ZINC000090207547 330391420 /nfs/dbraw/zinc/39/14/20/330391420.db2.gz SGVHRSQJYPWPOR-UHFFFAOYSA-N 1 2 294.196 3.839 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+]Cc1ncc(CC)o1 ZINC000537052877 330395033 /nfs/dbraw/zinc/39/50/33/330395033.db2.gz VMAVGQWAGNTLPK-HNNXBMFYSA-N 1 2 288.391 3.877 20 0 CHADLO CCc1ccc(CC)c(N[C@@H](C)c2[nH+]ccn2C)c1 ZINC000537536109 330440603 /nfs/dbraw/zinc/44/06/03/330440603.db2.gz JTQDXKKNJSHEGF-LBPRGKRZSA-N 1 2 257.381 3.718 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2ncc(C)s2)o1 ZINC000428431367 330523198 /nfs/dbraw/zinc/52/31/98/330523198.db2.gz SMSNTCOXYDHGBD-LLVKDONJSA-N 1 2 279.409 3.551 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2ccccc2F)c(C)s1 ZINC000429253255 330541135 /nfs/dbraw/zinc/54/11/35/330541135.db2.gz NNNLVEIUAAOQQQ-UHFFFAOYSA-N 1 2 278.396 3.924 20 0 CHADLO COc1cc(C)[nH+]c(COc2c(C)cccc2C)c1 ZINC000149355262 330574061 /nfs/dbraw/zinc/57/40/61/330574061.db2.gz AFXUWIYWTLHNKL-UHFFFAOYSA-N 1 2 257.333 3.594 20 0 CHADLO Cc1ccc(NCc2ccccc2-c2ccnn2C)c(C)[nH+]1 ZINC000431032057 330577882 /nfs/dbraw/zinc/57/78/82/330577882.db2.gz HKEFZALFTGRQDK-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO CC(C)c1nc(N2CCC[C@@H](c3ccccc3)C2)cc[nH+]1 ZINC000431493378 330585571 /nfs/dbraw/zinc/58/55/71/330585571.db2.gz HJPFVIRLJKTMQF-MRXNPFEDSA-N 1 2 281.403 3.984 20 0 CHADLO CC(C)c1nc(N2CCC[C@H](c3ccccc3)C2)cc[nH+]1 ZINC000431493376 330585611 /nfs/dbraw/zinc/58/56/11/330585611.db2.gz HJPFVIRLJKTMQF-INIZCTEOSA-N 1 2 281.403 3.984 20 0 CHADLO Cc1ccc(C)c(OCCCOc2cc[nH+]cc2)c1 ZINC000431507074 330585908 /nfs/dbraw/zinc/58/59/08/330585908.db2.gz KVOXBDPKNHXFAL-UHFFFAOYSA-N 1 2 257.333 3.546 20 0 CHADLO Cc1cc(N2CC3(CCC3)C[C@H]2C)nc(C(C)C)[nH+]1 ZINC000432846482 330609042 /nfs/dbraw/zinc/60/90/42/330609042.db2.gz OEWHZRFWBBWSLC-CYBMUJFWSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc(N(C)Cc2cc(F)cc(F)c2)nc(C2CC2)[nH+]1 ZINC000433808780 330631689 /nfs/dbraw/zinc/63/16/89/330631689.db2.gz QTOGFFJIUJFETG-UHFFFAOYSA-N 1 2 289.329 3.577 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]Cc2noc(C3CC3)n2)cc1 ZINC000438327180 330721773 /nfs/dbraw/zinc/72/17/73/330721773.db2.gz KVTNZTPEHXPRBW-UHFFFAOYSA-N 1 2 285.391 3.534 20 0 CHADLO Cc1cc2[nH+]cn(CC3(O)CCC(C)CC3)c2cc1C ZINC000438782278 330729249 /nfs/dbraw/zinc/72/92/49/330729249.db2.gz NNDRBXRPYZODCS-UHFFFAOYSA-N 1 2 272.392 3.594 20 0 CHADLO c1ccc2[nH+]c(NC3CCC4(CCOCC4)CC3)ccc2c1 ZINC000439614143 330741162 /nfs/dbraw/zinc/74/11/62/330741162.db2.gz OUUVUFPKJJKUHE-UHFFFAOYSA-N 1 2 296.414 3.808 20 0 CHADLO CC(C)CNc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000444017856 330809549 /nfs/dbraw/zinc/80/95/49/330809549.db2.gz SCDIPQGTQUOAIV-UHFFFAOYSA-N 1 2 269.392 3.954 20 0 CHADLO COCc1cccc(COc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000446963359 330858712 /nfs/dbraw/zinc/85/87/12/330858712.db2.gz XHIRZEUJASYIAN-UHFFFAOYSA-N 1 2 294.354 3.598 20 0 CHADLO Cc1ccc(NC(=O)NC[C@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC000447180316 330862516 /nfs/dbraw/zinc/86/25/16/330862516.db2.gz HFDZYDCOUZSBCQ-FZMZJTMJSA-N 1 2 275.396 3.646 20 0 CHADLO C[C@@H]1CCC[C@]1(C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448840075 330885474 /nfs/dbraw/zinc/88/54/74/330885474.db2.gz HYPWNICRRGPKBF-PXAZEXFGSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@@H]1CCC[C@@]1(C)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000448900099 330887381 /nfs/dbraw/zinc/88/73/81/330887381.db2.gz PYUUTQYKOWMGQB-SJKOYZFVSA-N 1 2 283.375 3.842 20 0 CHADLO CCC1(O)CC[NH+](Cc2cc(Cl)sc2Cl)CC1 ZINC000449026278 330893089 /nfs/dbraw/zinc/89/30/89/330893089.db2.gz FFAIKOJSMTYWON-UHFFFAOYSA-N 1 2 294.247 3.792 20 0 CHADLO COc1cc(C)ccc1C[NH2+]Cc1ccn(C2CCCC2)n1 ZINC000449195650 330905572 /nfs/dbraw/zinc/90/55/72/330905572.db2.gz RBFDTIOZEQQKRD-UHFFFAOYSA-N 1 2 299.418 3.605 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(Br)c(F)c1)C(F)F ZINC000449356848 330918278 /nfs/dbraw/zinc/91/82/78/330918278.db2.gz AZVGSKIEYWQQJH-JTQLQIEISA-N 1 2 296.130 3.722 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(OC(C)C)c1)C(F)F ZINC000449365214 330919027 /nfs/dbraw/zinc/91/90/27/330919027.db2.gz VLDWUSHYPAYHBE-CYBMUJFWSA-N 1 2 257.324 3.607 20 0 CHADLO CCCn1ncc(NCCCc2c[nH+]ccc2C)c1C1CC1 ZINC000449416418 330923974 /nfs/dbraw/zinc/92/39/74/330923974.db2.gz UBFNDFOSPWQWLH-UHFFFAOYSA-N 1 2 298.434 3.919 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000449819113 330951241 /nfs/dbraw/zinc/95/12/41/330951241.db2.gz HKSHGTHLFCFMPB-HNNXBMFYSA-N 1 2 291.439 3.509 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000449892742 330953792 /nfs/dbraw/zinc/95/37/92/330953792.db2.gz QJNYVRTXURIDOX-DLBZAZTESA-N 1 2 294.398 3.588 20 0 CHADLO COCCC(C)(C)CNc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000450436719 330979442 /nfs/dbraw/zinc/97/94/42/330979442.db2.gz HZXCOBQPBJVBKQ-UHFFFAOYSA-N 1 2 298.390 3.586 20 0 CHADLO CN(c1cc[nH+]c2cc(F)c(Cl)cc21)[C@H]1CCCOC1 ZINC000450524973 330985067 /nfs/dbraw/zinc/98/50/67/330985067.db2.gz DNHWYPJHTMZGQD-JTQLQIEISA-N 1 2 294.757 3.643 20 0 CHADLO Cc1nc(N[C@@H]2CCCc3c(F)cc(F)cc32)cc[nH+]1 ZINC000450566994 330987015 /nfs/dbraw/zinc/98/70/15/330987015.db2.gz VSPIDGXXBNABFC-CQSZACIVSA-N 1 2 275.302 3.553 20 0 CHADLO F[C@H]1CCN(c2cc[nH+]c3c(Br)cccc23)C1 ZINC000450603946 330988855 /nfs/dbraw/zinc/98/88/55/330988855.db2.gz VIFFCRADMBHVFT-VIFPVBQESA-N 1 2 295.155 3.546 20 0 CHADLO CO[C@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C[C@H]1C ZINC000450757126 330998210 /nfs/dbraw/zinc/99/82/10/330998210.db2.gz KSZIUJVTWNJWDY-HIFRSBDPSA-N 1 2 291.439 3.585 20 0 CHADLO Cc1cc(N2C[C@@H](C3CC3)[C@@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC000450796827 331000075 /nfs/dbraw/zinc/00/00/75/331000075.db2.gz PVQSSDVVDIRJOX-HOCLYGCPSA-N 1 2 271.408 3.533 20 0 CHADLO Cc1cc(N2CC3(CCC3)[C@@H]2c2ccco2)nc(C2CC2)[nH+]1 ZINC000450866681 331003765 /nfs/dbraw/zinc/00/37/65/331003765.db2.gz XPFPSXRNVLYCKW-INIZCTEOSA-N 1 2 295.386 3.987 20 0 CHADLO Cc1cc2[nH+]cn([C@H]3C[C@@H](C)CCC3=O)c2cc1C ZINC000450916356 331007109 /nfs/dbraw/zinc/00/71/09/331007109.db2.gz UZXMBNTUPGKMNB-BONVTDFDSA-N 1 2 256.349 3.583 20 0 CHADLO CCO[C@@H]1CCC[C@H]1Nc1ccc2ccccc2[nH+]1 ZINC000450944637 331008346 /nfs/dbraw/zinc/00/83/46/331008346.db2.gz UQEUKBMPGAJCCG-HUUCEWRRSA-N 1 2 256.349 3.604 20 0 CHADLO Cc1cc(N2CC(C)(CC(F)(F)F)C2)nc(C(C)C)[nH+]1 ZINC000450950011 331008430 /nfs/dbraw/zinc/00/84/30/331008430.db2.gz CCCXMHRBSOBFBM-UHFFFAOYSA-N 1 2 287.329 3.687 20 0 CHADLO Cc1ccc(CSC2(CO)CCCCC2)c(C)[nH+]1 ZINC000451058154 331013982 /nfs/dbraw/zinc/01/39/82/331013982.db2.gz RZCYQLBXQRYMRW-UHFFFAOYSA-N 1 2 265.422 3.627 20 0 CHADLO C[C@@H](c1nc(-c2cccs2)no1)[N@@H+]1CCC[C@](C)(F)C1 ZINC000451121029 331016368 /nfs/dbraw/zinc/01/63/68/331016368.db2.gz MVSSGCREXHOFAT-HZMBPMFUSA-N 1 2 295.383 3.683 20 0 CHADLO C[C@@H](c1nc(-c2cccs2)no1)[N@H+]1CCC[C@](C)(F)C1 ZINC000451121029 331016369 /nfs/dbraw/zinc/01/63/69/331016369.db2.gz MVSSGCREXHOFAT-HZMBPMFUSA-N 1 2 295.383 3.683 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@@H](c1ccccc1)c1ccccn1 ZINC000194779267 331048032 /nfs/dbraw/zinc/04/80/32/331048032.db2.gz YFGHAVAFRPFUIV-SFHVURJKSA-N 1 2 293.370 3.566 20 0 CHADLO Cc1[nH+]c2ccccc2n1C[C@H](O)[C@H](C)c1ccccc1 ZINC000453014795 331083611 /nfs/dbraw/zinc/08/36/11/331083611.db2.gz LGLIHKDLKCRYQR-ACJLOTCBSA-N 1 2 280.371 3.509 20 0 CHADLO CCCOc1cc(C)ccc1C[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000453125556 331091780 /nfs/dbraw/zinc/09/17/80/331091780.db2.gz JHIGEOFPWQNNOV-ZBFHGGJFSA-N 1 2 299.418 3.776 20 0 CHADLO C[C@@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000453143285 331093734 /nfs/dbraw/zinc/09/37/34/331093734.db2.gz DRKXMDVZXROZLD-IMSIIYSGSA-N 1 2 277.318 3.518 20 0 CHADLO CC(C)c1nc([C@H](C)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cs1 ZINC000453143685 331093766 /nfs/dbraw/zinc/09/37/66/331093766.db2.gz LNVQCJQKVGOPDC-GRYCIOLGSA-N 1 2 290.436 3.820 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]Cc2ccccc2OC2CCC2)c2nccn21 ZINC000453151236 331094554 /nfs/dbraw/zinc/09/45/54/331094554.db2.gz WECNJFSZXRQTGH-CJNGLKHVSA-N 1 2 297.402 3.610 20 0 CHADLO CC[C@H](Nc1ccc(N(CC)CC)[nH+]c1)c1ccncc1 ZINC000453167560 331096357 /nfs/dbraw/zinc/09/63/57/331096357.db2.gz DHBLDKRJFJUBRL-INIZCTEOSA-N 1 2 284.407 3.886 20 0 CHADLO C[C@@H]1C[C@@H](N[C@H](CC(C)(C)C)C(F)(F)F)c2[nH+]ccn21 ZINC000453193600 331099684 /nfs/dbraw/zinc/09/96/84/331099684.db2.gz FLZAMLIMBAXZQJ-GMTAPVOTSA-N 1 2 289.345 3.846 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C[C@H](C)CC(C)C)n1 ZINC000453225402 331103774 /nfs/dbraw/zinc/10/37/74/331103774.db2.gz OCMVBUDWELMFKV-CYBMUJFWSA-N 1 2 287.407 3.783 20 0 CHADLO CCCC(C)(C)Cc1nc(CCc2[nH+]cccc2C)no1 ZINC000453225445 331103785 /nfs/dbraw/zinc/10/37/85/331103785.db2.gz OHCYFVGRVNFPMH-UHFFFAOYSA-N 1 2 287.407 3.927 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)c1cccc(OC)c1)C(F)F ZINC000453243821 331106518 /nfs/dbraw/zinc/10/65/18/331106518.db2.gz JVGDUIISAWTTOB-QWHCGFSZSA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(OC)cc1F)C(F)F ZINC000453246170 331106805 /nfs/dbraw/zinc/10/68/05/331106805.db2.gz MNONFWWNNCOCEE-UFBFGSQYSA-N 1 2 261.287 3.529 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C2CC2)ccc1F)c1ccn(C)n1 ZINC000453265105 331109180 /nfs/dbraw/zinc/10/91/80/331109180.db2.gz WWMZEFZAJKCDCA-INIZCTEOSA-N 1 2 287.382 3.678 20 0 CHADLO CCc1nc(C)c([C@H](C)[NH2+][C@H](C)C(C)(F)F)s1 ZINC000453300207 331111963 /nfs/dbraw/zinc/11/19/63/331111963.db2.gz VNXNBZBVSRTFGL-IONNQARKSA-N 1 2 262.369 3.708 20 0 CHADLO COc1cc(C)c([C@@H](C)[NH2+][C@@H](C)C(C)(F)F)cc1OC ZINC000453293674 331112121 /nfs/dbraw/zinc/11/21/21/331112121.db2.gz OXNPPTWBYMVDQT-MNOVXSKESA-N 1 2 287.350 3.707 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(C(=O)OC)cc1 ZINC000453297524 331112710 /nfs/dbraw/zinc/11/27/10/331112710.db2.gz RWVNLANVXKENAA-GXFFZTMASA-N 1 2 285.334 3.558 20 0 CHADLO C[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1cccc(C2CC2)c1 ZINC000453325173 331117005 /nfs/dbraw/zinc/11/70/05/331117005.db2.gz UDGJMIJQCWVJGD-LLVKDONJSA-N 1 2 289.810 3.802 20 0 CHADLO COc1cccnc1C[NH2+][C@@H](C)c1ccccc1Cl ZINC000453361811 331122597 /nfs/dbraw/zinc/12/25/97/331122597.db2.gz KXAWOONDYGFRAR-NSHDSACASA-N 1 2 276.767 3.594 20 0 CHADLO COc1cccnc1C[NH2+][C@H](C)c1csc(C(C)C)n1 ZINC000453368011 331123689 /nfs/dbraw/zinc/12/36/89/331123689.db2.gz PENMOIDPBGFLRR-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H](C)c1cc(C)c(C)o1 ZINC000453371943 331124278 /nfs/dbraw/zinc/12/42/78/331124278.db2.gz WFLZVPVDQFYCRV-RYUDHWBXSA-N 1 2 261.369 3.525 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H](C)c2ccc(CC)o2)o1 ZINC000453383868 331126246 /nfs/dbraw/zinc/12/62/46/331126246.db2.gz MRPFWEYVCDCLBM-NSHDSACASA-N 1 2 262.353 3.633 20 0 CHADLO C[C@@H](CC(C)(C)C)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000453424999 331130898 /nfs/dbraw/zinc/13/08/98/331130898.db2.gz NKNWEJJELYNQDG-JSGCOSHPSA-N 1 2 278.444 3.840 20 0 CHADLO CC[C@H]([NH2+]Cc1sc(C)cc1Br)C(F)F ZINC000453598949 331135744 /nfs/dbraw/zinc/13/57/44/331135744.db2.gz OXKICGSHADOHHU-QMMMGPOBSA-N 1 2 298.196 3.952 20 0 CHADLO Cn1ccnc1C[N@@H+]1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000453614394 331136697 /nfs/dbraw/zinc/13/66/97/331136697.db2.gz NRRQGIINLUJKIP-QGZVFWFLSA-N 1 2 281.403 3.537 20 0 CHADLO Cn1ccnc1C[N@H+]1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000453614394 331136698 /nfs/dbraw/zinc/13/66/98/331136698.db2.gz NRRQGIINLUJKIP-QGZVFWFLSA-N 1 2 281.403 3.537 20 0 CHADLO Cc1[nH]ncc1C[N@@H+]1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000453617248 331136735 /nfs/dbraw/zinc/13/67/35/331136735.db2.gz RFFCZWMNROWRDC-KRWDZBQOSA-N 1 2 281.403 3.835 20 0 CHADLO Cc1[nH]ncc1C[N@H+]1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000453617248 331136736 /nfs/dbraw/zinc/13/67/36/331136736.db2.gz RFFCZWMNROWRDC-KRWDZBQOSA-N 1 2 281.403 3.835 20 0 CHADLO CCCc1ccccc1NC(=O)NCc1c[nH+]c(C)cc1C ZINC000454387168 331145212 /nfs/dbraw/zinc/14/52/12/331145212.db2.gz QABSQDQUCKYEDC-UHFFFAOYSA-N 1 2 297.402 3.973 20 0 CHADLO Cc1ccc(NC(=O)N[C@H](C)CC(C)(C)C)c(C)[nH+]1 ZINC000455038377 331159418 /nfs/dbraw/zinc/15/94/18/331159418.db2.gz FPXBKBKKPKDFII-LLVKDONJSA-N 1 2 263.385 3.645 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)c(C)[nH+]1 ZINC000455054969 331159689 /nfs/dbraw/zinc/15/96/89/331159689.db2.gz VQYXNSVYXKDKFH-BASYENTBSA-N 1 2 299.418 3.598 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](c2ccccc2)c2ccccn2)n1 ZINC000195325984 331170614 /nfs/dbraw/zinc/17/06/14/331170614.db2.gz XJKBJDBHIAYAIG-IBGZPJMESA-N 1 2 289.382 3.664 20 0 CHADLO CCC[C@H](C(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456358304 331190700 /nfs/dbraw/zinc/19/07/00/331190700.db2.gz CKHBFNQSVXVBQB-VNQPRFMTSA-N 1 2 297.402 3.589 20 0 CHADLO CCC1(C(=O)NCc2c[nH+]c(C)cc2C)CCCCC1 ZINC000456831937 331206794 /nfs/dbraw/zinc/20/67/94/331206794.db2.gz FGZMBASBKCRWJV-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@H]2CCC[C@@H](C)C2)c[nH+]1 ZINC000456840715 331207155 /nfs/dbraw/zinc/20/71/55/331207155.db2.gz FADIRAKMPRCKAM-DOMZBBRYSA-N 1 2 274.408 3.531 20 0 CHADLO CCCC[C@H](CC)CC(=O)Nc1nc(C[NH+](C)C)cs1 ZINC000457317169 331218898 /nfs/dbraw/zinc/21/88/98/331218898.db2.gz RPPRJFCERIVSJI-LBPRGKRZSA-N 1 2 297.468 3.750 20 0 CHADLO Cc1ccc(NC(=O)C2CC(C(C)(C)C)C2)c(C)[nH+]1 ZINC000457627327 331229487 /nfs/dbraw/zinc/22/94/87/331229487.db2.gz IEFHQWMARCOSCO-UHFFFAOYSA-N 1 2 260.381 3.709 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC000457876956 331236905 /nfs/dbraw/zinc/23/69/05/331236905.db2.gz NPTNOVIYFPOMTP-DCGLDWPTSA-N 1 2 291.439 3.543 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc(C)[nH+]c2)C12CCCC2 ZINC000164627927 331269269 /nfs/dbraw/zinc/26/92/69/331269269.db2.gz AMQZZVRMWSYMIV-CABCVRRESA-N 1 2 260.381 3.540 20 0 CHADLO C[N@H+](Cc1nccn1C(F)F)Cc1ccc(C2CC2)cc1 ZINC000459296389 331275099 /nfs/dbraw/zinc/27/50/99/331275099.db2.gz IDQXFWHBCYCCLD-UHFFFAOYSA-N 1 2 291.345 3.788 20 0 CHADLO C[N@@H+](Cc1nccn1C(F)F)Cc1ccc(C2CC2)cc1 ZINC000459296389 331275100 /nfs/dbraw/zinc/27/51/00/331275100.db2.gz IDQXFWHBCYCCLD-UHFFFAOYSA-N 1 2 291.345 3.788 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1cnc(C2CC2)nc1 ZINC000459315014 331275990 /nfs/dbraw/zinc/27/59/90/331275990.db2.gz RGWUIGHPPVPHAL-LBPRGKRZSA-N 1 2 285.366 3.686 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1cnc(C2CC2)nc1 ZINC000459315014 331275991 /nfs/dbraw/zinc/27/59/91/331275991.db2.gz RGWUIGHPPVPHAL-LBPRGKRZSA-N 1 2 285.366 3.686 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)[C@H](C)c2cnn(C)c2)c(Cl)c1 ZINC000459467931 331283141 /nfs/dbraw/zinc/28/31/41/331283141.db2.gz QERRYWYTSUCVOI-LLVKDONJSA-N 1 2 295.789 3.714 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)[C@H](C)c2cnn(C)c2)c(Cl)c1 ZINC000459467931 331283142 /nfs/dbraw/zinc/28/31/42/331283142.db2.gz QERRYWYTSUCVOI-LLVKDONJSA-N 1 2 295.789 3.714 20 0 CHADLO CC1(C)C[N@H+](Cc2cnc(C3CC3)nc2)[C@H]1c1cccs1 ZINC000459571036 331288491 /nfs/dbraw/zinc/28/84/91/331288491.db2.gz QREMQWHXNCXQJM-HNNXBMFYSA-N 1 2 299.443 3.999 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnc(C3CC3)nc2)[C@H]1c1cccs1 ZINC000459571036 331288492 /nfs/dbraw/zinc/28/84/92/331288492.db2.gz QREMQWHXNCXQJM-HNNXBMFYSA-N 1 2 299.443 3.999 20 0 CHADLO Brc1ccc(C[NH+]2CC3(C2)CCCCC3)nc1 ZINC000459591509 331289014 /nfs/dbraw/zinc/28/90/14/331289014.db2.gz FQGKDRSFCPIBHD-UHFFFAOYSA-N 1 2 295.224 3.610 20 0 CHADLO Clc1cccc(Cl)c1C[NH+]1CC2(C1)CCOCC2 ZINC000459617135 331290783 /nfs/dbraw/zinc/29/07/83/331290783.db2.gz JFHAJWLUPMULAB-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO CC(C)(C)C1C[NH+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000459642776 331291935 /nfs/dbraw/zinc/29/19/35/331291935.db2.gz NSAXSIUQBYMCMV-UHFFFAOYSA-N 1 2 278.343 3.640 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[N@@H+]1C[C@@H]2C[C@H]1CS2 ZINC000459744257 331297692 /nfs/dbraw/zinc/29/76/92/331297692.db2.gz DKSKYEDHINFEHM-QWRGUYRKSA-N 1 2 291.313 3.534 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[N@H+]1C[C@@H]2C[C@H]1CS2 ZINC000459744257 331297693 /nfs/dbraw/zinc/29/76/93/331297693.db2.gz DKSKYEDHINFEHM-QWRGUYRKSA-N 1 2 291.313 3.534 20 0 CHADLO C[C@@H]([NH2+]Cc1c(Cl)cccc1Cl)c1ccn(C)n1 ZINC000459783032 331300798 /nfs/dbraw/zinc/30/07/98/331300798.db2.gz ICVOZMQXQVIFAA-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(F)c1Cl)c1nccs1 ZINC000166367338 331307091 /nfs/dbraw/zinc/30/70/91/331307091.db2.gz VVIQNSALBHJWQP-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CCCC[C@@H](CC)CC(=O)Nc1cccc2[nH+]ccn21 ZINC000460324967 331309166 /nfs/dbraw/zinc/30/91/66/331309166.db2.gz NEHBCPLNIKGPJP-CYBMUJFWSA-N 1 2 273.380 3.879 20 0 CHADLO CCN(C[C@@H](C)OC)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000462087333 331317186 /nfs/dbraw/zinc/31/71/86/331317186.db2.gz BVNRMZQCWXEOFE-CYBMUJFWSA-N 1 2 279.428 3.585 20 0 CHADLO CCCC[C@H]([NH2+]Cc1cccs1)C(=O)OC(C)(C)C ZINC000462523688 331323111 /nfs/dbraw/zinc/32/31/11/331323111.db2.gz FOGQGRWFVDYGIN-ZDUSSCGKSA-N 1 2 283.437 3.738 20 0 CHADLO Cc1ccc(NCc2ccc(C(=O)OC(C)(C)C)cc2)c[nH+]1 ZINC000462798978 331329565 /nfs/dbraw/zinc/32/95/65/331329565.db2.gz UVCNNYIFSVJZQE-UHFFFAOYSA-N 1 2 298.386 3.957 20 0 CHADLO CC[C@H](c1ccccc1)[C@@H]1CCCN1c1cc[nH+]c(C)n1 ZINC000462801273 331329806 /nfs/dbraw/zinc/32/98/06/331329806.db2.gz BNHQPRMXOBPWHR-SJORKVTESA-N 1 2 281.403 3.948 20 0 CHADLO CCC[C@H](CCc1ccccc1)Nc1cc[nH+]c(C)n1 ZINC000462873446 331333507 /nfs/dbraw/zinc/33/35/07/331333507.db2.gz JCAAXOCAXJMTEA-MRXNPFEDSA-N 1 2 269.392 3.998 20 0 CHADLO CCC[C@@H](CCc1ccccc1)Nc1cc[nH+]c(C)n1 ZINC000462873445 331333563 /nfs/dbraw/zinc/33/35/63/331333563.db2.gz JCAAXOCAXJMTEA-INIZCTEOSA-N 1 2 269.392 3.998 20 0 CHADLO CC1(C)[C@H](Nc2ccc3ccccc3[nH+]2)[C@H]2CCCO[C@H]21 ZINC000462909679 331334754 /nfs/dbraw/zinc/33/47/54/331334754.db2.gz AXFDCEYTVSDOTM-KBRIMQKVSA-N 1 2 282.387 3.850 20 0 CHADLO CC1(C)[C@H](Nc2ccc3ccccc3[nH+]2)[C@H]2CCCO[C@@H]21 ZINC000462909683 331334870 /nfs/dbraw/zinc/33/48/70/331334870.db2.gz AXFDCEYTVSDOTM-XYPHTWIQSA-N 1 2 282.387 3.850 20 0 CHADLO CC(C)[C@H](Cc1ccc(F)cc1)Nc1cc[nH+]c(C2CC2)n1 ZINC000463063125 331339148 /nfs/dbraw/zinc/33/91/48/331339148.db2.gz SVZFNWJFQMSURO-INIZCTEOSA-N 1 2 299.393 3.594 20 0 CHADLO C[C@H](CNc1ccc2ccccc2[nH+]1)Oc1ccc(F)cc1 ZINC000463072936 331339537 /nfs/dbraw/zinc/33/95/37/331339537.db2.gz XUCPIOTVLWLQIM-CYBMUJFWSA-N 1 2 296.345 3.675 20 0 CHADLO CCOC(=O)C[N@H+](C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464761213 331366569 /nfs/dbraw/zinc/36/65/69/331366569.db2.gz MNWCZGFVTTYPOK-OAHLLOKOSA-N 1 2 297.398 3.910 20 0 CHADLO CCOC(=O)C[N@@H+](C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464761213 331366570 /nfs/dbraw/zinc/36/65/70/331366570.db2.gz MNWCZGFVTTYPOK-OAHLLOKOSA-N 1 2 297.398 3.910 20 0 CHADLO CCCc1nc(C[N@@H+]2CCCC3(C2)CCCCCC3)no1 ZINC000464764134 331366630 /nfs/dbraw/zinc/36/66/30/331366630.db2.gz UUQZFWASPBXTIJ-UHFFFAOYSA-N 1 2 291.439 3.959 20 0 CHADLO CCCc1nc(C[N@H+]2CCCC3(C2)CCCCCC3)no1 ZINC000464764134 331366631 /nfs/dbraw/zinc/36/66/31/331366631.db2.gz UUQZFWASPBXTIJ-UHFFFAOYSA-N 1 2 291.439 3.959 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+][C@@H]2COc3ccc(F)cc32)o1 ZINC000466471562 331397921 /nfs/dbraw/zinc/39/79/21/331397921.db2.gz SXCTZQQSMCAXRQ-WDBKCZKBSA-N 1 2 287.334 3.765 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(C)cc1)C(=O)OC(C)(C)C ZINC000479449286 331730363 /nfs/dbraw/zinc/73/03/63/331730363.db2.gz CNYATITWFFUUTP-ZFWWWQNUSA-N 1 2 277.408 3.766 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@H](C)[C@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000480392350 331771371 /nfs/dbraw/zinc/77/13/71/331771371.db2.gz GXSULKHNDUMGJI-JGGQBBKZSA-N 1 2 296.418 3.506 20 0 CHADLO Cc1c[nH+]cc(N[C@H]2CS[C@H](C(C)(C)C)C2)c1 ZINC000488449193 332010173 /nfs/dbraw/zinc/01/01/73/332010173.db2.gz NPSLPXDSXKPLIL-OLZOCXBDSA-N 1 2 250.411 3.722 20 0 CHADLO CCC[C@](C)([NH2+]CCc1ccc2ccccc2c1)C(=O)OC ZINC000511642455 332938068 /nfs/dbraw/zinc/93/80/68/332938068.db2.gz XBTWZIAIQLAELY-IBGZPJMESA-N 1 2 299.414 3.704 20 0 CHADLO Cc1cc(NC[C@@H]2CCCC[C@@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000489226833 332043748 /nfs/dbraw/zinc/04/37/48/332043748.db2.gz SGYCAPCCHBQEJV-BBRMVZONSA-N 1 2 296.418 3.507 20 0 CHADLO CC[C@H]1CCCC[C@@H]1Nc1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000489245389 332044302 /nfs/dbraw/zinc/04/43/02/332044302.db2.gz FVJLCMCVFYAFAF-HOCLYGCPSA-N 1 2 296.418 3.650 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)C=CC(C)(C)C)[nH+]1 ZINC000492182174 332386198 /nfs/dbraw/zinc/38/61/98/332386198.db2.gz OCCRVPQAIKZKOJ-KTKRTIGZSA-N 1 2 283.375 3.926 20 0 CHADLO CCCC[C@@H](CCC)[NH2+][C@@H](C(=O)OC)c1ccsc1 ZINC000493736693 332451945 /nfs/dbraw/zinc/45/19/45/332451945.db2.gz PBNVIHBAFRHUMN-ZIAGYGMSSA-N 1 2 283.437 3.911 20 0 CHADLO CCOc1ccc(/C=C/C(=O)Nc2c(C)cc[nH+]c2C)cc1 ZINC000493769713 332453359 /nfs/dbraw/zinc/45/33/59/332453359.db2.gz HEXCBLIVUMXNLV-JXMROGBWSA-N 1 2 296.370 3.749 20 0 CHADLO CSc1ccc(N[C@H]2[C@H]3CCO[C@H]3C23CCCC3)[nH+]c1 ZINC000494654940 332481627 /nfs/dbraw/zinc/48/16/27/332481627.db2.gz OVUXTGANHCPCKD-VHDGCEQUSA-N 1 2 290.432 3.563 20 0 CHADLO CCCN(Cc1c(F)cccc1Cl)c1cc(C)[nH+]cn1 ZINC000495444589 332519208 /nfs/dbraw/zinc/51/92/08/332519208.db2.gz JPAABTDQURROLH-UHFFFAOYSA-N 1 2 293.773 3.994 20 0 CHADLO Cc1cc(N[C@@H]2CC[C@H](C)C[C@H]2C)nc(-c2cccnc2)[nH+]1 ZINC000498917011 332575427 /nfs/dbraw/zinc/57/54/27/332575427.db2.gz FSFAKTYVEFJQPO-WOSRLPQWSA-N 1 2 296.418 3.506 20 0 CHADLO CC(C)c1noc(C[NH2+][C@H](c2ccc(F)cc2)C(C)C)n1 ZINC000502513956 332664212 /nfs/dbraw/zinc/66/42/12/332664212.db2.gz SQEPVYBCBUAOAT-HNNXBMFYSA-N 1 2 291.370 3.819 20 0 CHADLO CC[C@H]1C[C@]1([NH2+]Cc1cc(C)on1)c1cccc(C)c1 ZINC000502558439 332665617 /nfs/dbraw/zinc/66/56/17/332665617.db2.gz JKUFVYRQVPUGNZ-WMLDXEAASA-N 1 2 270.376 3.706 20 0 CHADLO CC[C@@H]1C[C@@]1([NH2+]Cc1nc(C(C)C)no1)c1cccc(C)c1 ZINC000502580971 332666226 /nfs/dbraw/zinc/66/62/26/332666226.db2.gz KOECNICGQHMLEC-KDOFPFPSSA-N 1 2 299.418 3.916 20 0 CHADLO CCCc1noc(C[NH2+][C@@]2(c3cccc(C)c3)C[C@H]2CC)n1 ZINC000502580391 332666341 /nfs/dbraw/zinc/66/63/41/332666341.db2.gz HFUFKJVZYPVBEH-KDOFPFPSSA-N 1 2 299.418 3.746 20 0 CHADLO CC(C)c1nc(N2CC[C@H](COc3ccccc3)C2)cc[nH+]1 ZINC000503316063 332686361 /nfs/dbraw/zinc/68/63/61/332686361.db2.gz QZTJRQYIJLLWPO-HNNXBMFYSA-N 1 2 297.402 3.505 20 0 CHADLO CC(C)(C)c1cccc(OCCNc2cccc[nH+]2)c1 ZINC000505787028 332752595 /nfs/dbraw/zinc/75/25/95/332752595.db2.gz JFWPRFXCXBFLSW-UHFFFAOYSA-N 1 2 270.376 3.870 20 0 CHADLO Cc1cc(C[NH2+]Cc2c(F)ccc(O)c2F)ccc1Cl ZINC000512481597 332969997 /nfs/dbraw/zinc/96/99/97/332969997.db2.gz VQEWPILBNYIFIM-UHFFFAOYSA-N 1 2 297.732 3.922 20 0 CHADLO Cc1ccccc1[C@@H]1C[C@@H](Nc2[nH+]c(C)nc3[nH]ccc32)C1 ZINC000513073555 333003043 /nfs/dbraw/zinc/00/30/43/333003043.db2.gz FJVWOKDZDYTWCU-HDJSIYSDSA-N 1 2 292.386 3.933 20 0 CHADLO CC(C)Cc1ccc(C[N@@H+]2CCOC[C@@H]2CC(F)F)cc1 ZINC000513372529 333015774 /nfs/dbraw/zinc/01/57/74/333015774.db2.gz UHEVHWYRNDWZGC-INIZCTEOSA-N 1 2 297.389 3.741 20 0 CHADLO CC(C)Cc1ccc(C[N@H+]2CCOC[C@@H]2CC(F)F)cc1 ZINC000513372529 333015776 /nfs/dbraw/zinc/01/57/76/333015776.db2.gz UHEVHWYRNDWZGC-INIZCTEOSA-N 1 2 297.389 3.741 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@H](c3ccc(CC)cc3)C2)no1 ZINC000513419437 333018515 /nfs/dbraw/zinc/01/85/15/333018515.db2.gz HPXMYXXUUXTKAD-INIZCTEOSA-N 1 2 299.418 3.574 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@H](c3ccc(CC)cc3)C2)no1 ZINC000513419437 333018516 /nfs/dbraw/zinc/01/85/16/333018516.db2.gz HPXMYXXUUXTKAD-INIZCTEOSA-N 1 2 299.418 3.574 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(O)c2Cl)[C@@H]2CCC[C@H]2O1 ZINC000513503872 333023450 /nfs/dbraw/zinc/02/34/50/333023450.db2.gz ZQURXJHZADSFQS-TZMCWYRMSA-N 1 2 295.810 3.578 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(O)c2Cl)[C@@H]2CCC[C@H]2O1 ZINC000513503872 333023451 /nfs/dbraw/zinc/02/34/51/333023451.db2.gz ZQURXJHZADSFQS-TZMCWYRMSA-N 1 2 295.810 3.578 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)o1 ZINC000176464496 333042281 /nfs/dbraw/zinc/04/22/81/333042281.db2.gz AFDRYRNMCXYQFW-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)c1F ZINC000177988648 333066299 /nfs/dbraw/zinc/06/62/99/333066299.db2.gz QBNBXFCDNZYWCY-JTQLQIEISA-N 1 2 279.252 3.739 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)c1F ZINC000177988648 333066301 /nfs/dbraw/zinc/06/63/01/333066301.db2.gz QBNBXFCDNZYWCY-JTQLQIEISA-N 1 2 279.252 3.739 20 0 CHADLO CCN(C)c1ccc(CNc2cccc3cc[nH]c32)c[nH+]1 ZINC000517065556 333091703 /nfs/dbraw/zinc/09/17/03/333091703.db2.gz DRYRLHJEIKYTOL-UHFFFAOYSA-N 1 2 280.375 3.631 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc[nH+]c(C(C)C)n2)cc1 ZINC000517655640 333105326 /nfs/dbraw/zinc/10/53/26/333105326.db2.gz MGSSYRZJZQNPKL-CYBMUJFWSA-N 1 2 255.365 3.503 20 0 CHADLO CCc1cc(N(CC)CC(C)C)nc(-c2ccncc2)[nH+]1 ZINC000520989635 333109460 /nfs/dbraw/zinc/10/94/60/333109460.db2.gz XBJPVXXJGLWWPM-UHFFFAOYSA-N 1 2 284.407 3.583 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1C[C@@H](C)c2ccccc21)C(=O)OC(C)(C)C ZINC000564148659 333113227 /nfs/dbraw/zinc/11/32/27/333113227.db2.gz RQEVQKAXFFYIEF-DAXOMENPSA-N 1 2 289.419 3.945 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(Cl)cc2)c1 ZINC000214069036 333116580 /nfs/dbraw/zinc/11/65/80/333116580.db2.gz SCRRZRVMKNBZGL-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C3(C4CCC4)CCC3)ccc2n1C ZINC000575841948 335122283 /nfs/dbraw/zinc/12/22/83/335122283.db2.gz WMJBBFQTPHDVPX-UHFFFAOYSA-N 1 2 297.402 3.791 20 0 CHADLO CC(C)C[C@H](C)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000185251761 333137440 /nfs/dbraw/zinc/13/74/40/333137440.db2.gz XSIAUDBFQHGGAJ-ZDUSSCGKSA-N 1 2 285.391 3.708 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+][C@@H](CC(C)(C)C)C(F)(F)F)C[C@H](C)O1 ZINC000564162860 333181523 /nfs/dbraw/zinc/18/15/23/333181523.db2.gz GPCMPQIKTISXLD-USZNOCQGSA-N 1 2 281.362 3.899 20 0 CHADLO Cn1c2ccccc2[nH+]c1N[C@H]1CCCc2c(O)cccc21 ZINC000189568478 333195406 /nfs/dbraw/zinc/19/54/06/333195406.db2.gz NHPRIZPQEATACQ-AWEZNQCLSA-N 1 2 293.370 3.768 20 0 CHADLO Cc1cnc(C[NH2+][C@H](CC(F)(F)F)c2ccccc2)o1 ZINC000223670029 333210641 /nfs/dbraw/zinc/21/06/41/333210641.db2.gz SVAWWVXXEJWZCT-GFCCVEGCSA-N 1 2 284.281 3.766 20 0 CHADLO COc1cc(C)ccc1NCc1ccc(N(C)C(C)C)[nH+]c1 ZINC000191406759 333219230 /nfs/dbraw/zinc/21/92/30/333219230.db2.gz OTLZNRGISAWJKE-UHFFFAOYSA-N 1 2 299.418 3.855 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCC[C@@H]2c2ncon2)cc1 ZINC000522229514 333267874 /nfs/dbraw/zinc/26/78/74/333267874.db2.gz QMNUVWDKJRGKEZ-OAHLLOKOSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCC[C@@H]2c2ncon2)cc1 ZINC000522229514 333267875 /nfs/dbraw/zinc/26/78/75/333267875.db2.gz QMNUVWDKJRGKEZ-OAHLLOKOSA-N 1 2 285.391 3.704 20 0 CHADLO CC[C@@H](C(=O)Nc1ccc2[nH+]c(C)cn2c1)c1ccccc1 ZINC000522248908 333271980 /nfs/dbraw/zinc/27/19/80/333271980.db2.gz QTUJYQPGTWPLOS-MRXNPFEDSA-N 1 2 293.370 3.775 20 0 CHADLO Cc1cc(C)c([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)cc1C ZINC000393355425 333273308 /nfs/dbraw/zinc/27/33/08/333273308.db2.gz PVGUANRFOZKVHL-CYBMUJFWSA-N 1 2 291.826 3.850 20 0 CHADLO COc1cc[nH+]cc1NC(=O)C1(c2ccccc2)CCCC1 ZINC000194836131 333277996 /nfs/dbraw/zinc/27/79/96/333277996.db2.gz CVQKDDLAMYQRRZ-UHFFFAOYSA-N 1 2 296.370 3.541 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cc(-c2ccco2)on1 ZINC000194980911 333280562 /nfs/dbraw/zinc/28/05/62/333280562.db2.gz ARVQDPGBJGLGDF-LLVKDONJSA-N 1 2 272.304 3.721 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cc(-c2ccco2)on1 ZINC000194980911 333280563 /nfs/dbraw/zinc/28/05/63/333280563.db2.gz ARVQDPGBJGLGDF-LLVKDONJSA-N 1 2 272.304 3.721 20 0 CHADLO Cc1[nH+]cccc1NCc1cccc(OC(F)(F)F)c1 ZINC000227599585 333286568 /nfs/dbraw/zinc/28/65/68/333286568.db2.gz LUYAXTTTXUOEIC-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO Cc1cc(Nc2cccc(COC(C)C)c2)nc(C2CC2)[nH+]1 ZINC000522567768 333290362 /nfs/dbraw/zinc/29/03/62/333290362.db2.gz VEYHSXPBSJSTPD-UHFFFAOYSA-N 1 2 297.402 3.753 20 0 CHADLO Cc1cccc([C@H]2CCCN2C(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000575927142 335132171 /nfs/dbraw/zinc/13/21/71/335132171.db2.gz VQKGKOKHPVSDPR-NVXWUHKLSA-N 1 2 297.402 3.506 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](CC1CCCCC1)C(C)C ZINC000523748008 333343634 /nfs/dbraw/zinc/34/36/34/333343634.db2.gz UAULAZIDFQRHPE-INIZCTEOSA-N 1 2 288.435 3.993 20 0 CHADLO CC(C)[C@@H](CC1CCCCC1)C(=O)N(C)Cc1[nH]cc[nH+]1 ZINC000523759828 333344295 /nfs/dbraw/zinc/34/42/95/333344295.db2.gz OJJOQHDNJITATO-OAHLLOKOSA-N 1 2 291.439 3.611 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(C)c2C)nc(C2CC2)[nH+]1 ZINC000523874614 333348812 /nfs/dbraw/zinc/34/88/12/333348812.db2.gz PXQVKXOPNDGYKU-AWEZNQCLSA-N 1 2 281.403 3.874 20 0 CHADLO Cc1cc(N(C)C2CCCCCC2)nc(C2CC2)[nH+]1 ZINC000523872004 333348815 /nfs/dbraw/zinc/34/88/15/333348815.db2.gz SQZLHOIBSQCGJX-UHFFFAOYSA-N 1 2 259.397 3.821 20 0 CHADLO CC(C)(C)[C@H]1CCCC[C@H]1NC(=O)c1ccc2[nH+]ccn2c1 ZINC000524444255 333362298 /nfs/dbraw/zinc/36/22/98/333362298.db2.gz SWQJZVLBZBOVLJ-LSDHHAIUSA-N 1 2 299.418 3.669 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@@H](CC)C1 ZINC000524591258 333367729 /nfs/dbraw/zinc/36/77/29/333367729.db2.gz ADBYDFZSCBANGK-SWLSCSKDSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1CCO[C@@H](CC)C1 ZINC000524591258 333367731 /nfs/dbraw/zinc/36/77/31/333367731.db2.gz ADBYDFZSCBANGK-SWLSCSKDSA-N 1 2 269.335 3.527 20 0 CHADLO c1ccc(N2CCC(Nc3ccc4c(c3)CCC4)CC2)[nH+]c1 ZINC000236602302 333374047 /nfs/dbraw/zinc/37/40/47/333374047.db2.gz MYURKLSDPVISFG-UHFFFAOYSA-N 1 2 293.414 3.651 20 0 CHADLO CCO[C@@H]1C[C@@H]([NH2+]c2ccc(-n3cccn3)cc2)C12CCC2 ZINC000315932468 333384688 /nfs/dbraw/zinc/38/46/88/333384688.db2.gz RJRCKCBTLCMAFM-IAGOWNOFSA-N 1 2 297.402 3.632 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3cc(F)ccc32)CCC1(F)F ZINC000575984116 335138072 /nfs/dbraw/zinc/13/80/72/335138072.db2.gz NBYZSCCBYATLLK-JTQLQIEISA-N 1 2 280.293 3.855 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ncccc2Cl)n1 ZINC000528418131 333435274 /nfs/dbraw/zinc/43/52/74/333435274.db2.gz SIEWCBUGCRLVEJ-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ncccc3Cl)CCC2)n1 ZINC000528448950 333438559 /nfs/dbraw/zinc/43/85/59/333438559.db2.gz MWIPTRKRNPPJEX-UHFFFAOYSA-N 1 2 293.823 3.669 20 0 CHADLO Cc1cc(C[NH2+]C(C)(C)c2nc3ccccc3s2)no1 ZINC000528603468 333448851 /nfs/dbraw/zinc/44/88/51/333448851.db2.gz PLBVJGNPCOWIBJ-UHFFFAOYSA-N 1 2 287.388 3.618 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](c2cccc(C)c2)C(C)C)no1 ZINC000528769760 333456690 /nfs/dbraw/zinc/45/66/90/333456690.db2.gz VGKAAVYIYYCYKV-QGZVFWFLSA-N 1 2 287.407 3.817 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1[C@@H](C)[C@@H]1C1CC1 ZINC000529225765 333478137 /nfs/dbraw/zinc/47/81/37/333478137.db2.gz MIYXRHHSKFZRGG-IUIKQTSFSA-N 1 2 295.386 3.616 20 0 CHADLO CCCCc1nc(C[NH+]2CCC(C(C)(C)C)CC2)no1 ZINC000530361687 333544540 /nfs/dbraw/zinc/54/45/40/333544540.db2.gz ZXSNNXUSLAUABM-UHFFFAOYSA-N 1 2 279.428 3.670 20 0 CHADLO Clc1ccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccccc2)cc1 ZINC000125508158 333567427 /nfs/dbraw/zinc/56/74/27/333567427.db2.gz ZJOHKHXNZYSYAB-MRXNPFEDSA-N 1 2 297.789 3.942 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccccc2OC(C)C)o1 ZINC000539224362 333662039 /nfs/dbraw/zinc/66/20/39/333662039.db2.gz DBQIFFHHAGJFLP-ZDUSSCGKSA-N 1 2 274.364 3.621 20 0 CHADLO CC[C@H](Nc1ccc(N(CC)CC)[nH+]c1)C1CCOCC1 ZINC000539659526 333684200 /nfs/dbraw/zinc/68/42/00/333684200.db2.gz PNDSPGKZPGOWGY-INIZCTEOSA-N 1 2 291.439 3.545 20 0 CHADLO CCc1ccc(N[C@@H](CC)c2[nH+]ccn2C)cc1C ZINC000539691739 333685913 /nfs/dbraw/zinc/68/59/13/333685913.db2.gz RQRVCWRERXKWSY-HNNXBMFYSA-N 1 2 257.381 3.854 20 0 CHADLO Cc1c[nH+]cc(N[C@@H]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000128779805 333706715 /nfs/dbraw/zinc/70/67/15/333706715.db2.gz SNPHQAUFZLSUJL-GHMZBOCLSA-N 1 2 258.287 3.923 20 0 CHADLO CC[C@H]1CC[C@H](C)N1c1cc(C(F)(F)F)cc[nH+]1 ZINC000540078379 333708373 /nfs/dbraw/zinc/70/83/73/333708373.db2.gz OYWQHIMVFUXWEW-ONGXEEELSA-N 1 2 258.287 3.868 20 0 CHADLO Cc1cc(NCc2ccc(F)cc2)nc(-c2ccncc2)[nH+]1 ZINC000068873752 333736528 /nfs/dbraw/zinc/73/65/28/333736528.db2.gz XNHYGOCDTWWDHR-UHFFFAOYSA-N 1 2 294.333 3.598 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@H](C)c2cncnc2C)on1 ZINC000576121885 335157822 /nfs/dbraw/zinc/15/78/22/335157822.db2.gz PRGGEPNHJDQHND-LLVKDONJSA-N 1 2 288.395 3.527 20 0 CHADLO Cc1cnc(C[NH2+]Cc2c(F)cc(C)cc2Cl)s1 ZINC000576123113 335158206 /nfs/dbraw/zinc/15/82/06/335158206.db2.gz BUTASNUPAWBYDP-UHFFFAOYSA-N 1 2 284.787 3.842 20 0 CHADLO COc1ccc(CSc2[nH+]cc3ccccn32)cc1 ZINC000541409576 333775631 /nfs/dbraw/zinc/77/56/31/333775631.db2.gz XZDCCWBHQUHTGL-UHFFFAOYSA-N 1 2 270.357 3.635 20 0 CHADLO Fc1ccc(/C=C/C[NH+]2Cc3ccccc3C2)c(F)c1 ZINC000542703299 333837721 /nfs/dbraw/zinc/83/77/21/333837721.db2.gz LUXBTNWSXIPLNK-ZZXKWVIFSA-N 1 2 271.310 3.994 20 0 CHADLO CC(C)C[C@@H](C)CC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000543125765 333859345 /nfs/dbraw/zinc/85/93/45/333859345.db2.gz IBCLMPFRRBGPMA-CQSZACIVSA-N 1 2 299.418 3.765 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC[C@H]1CCO[C@@H](C)C1 ZINC000543207284 333864610 /nfs/dbraw/zinc/86/46/10/333864610.db2.gz ODGVQRKAXXTESE-GJZGRUSLSA-N 1 2 284.403 3.582 20 0 CHADLO COc1cc[nH+]cc1COc1ccc(Cl)cc1C ZINC000341179941 335166445 /nfs/dbraw/zinc/16/64/45/335166445.db2.gz SHBHBBFGCBCBHM-UHFFFAOYSA-N 1 2 263.724 3.631 20 0 CHADLO Cc1ccc(Cc2noc(Cc3c(C)cccc3C)n2)c[nH+]1 ZINC000545632116 333992457 /nfs/dbraw/zinc/99/24/57/333992457.db2.gz LOOUYPGIBJDGOZ-UHFFFAOYSA-N 1 2 293.370 3.571 20 0 CHADLO CCc1[nH+]c2ccccc2n1Cc1noc(C2=CCCC2)n1 ZINC000545888882 334004200 /nfs/dbraw/zinc/00/42/00/334004200.db2.gz XZLJTDLMFKBSMB-UHFFFAOYSA-N 1 2 294.358 3.597 20 0 CHADLO CCc1cc(C[NH2+][C@H](C)c2csc(C(C)C)n2)on1 ZINC000545984936 334010586 /nfs/dbraw/zinc/01/05/86/334010586.db2.gz WFGJYJIFFKUXKL-SNVBAGLBSA-N 1 2 279.409 3.668 20 0 CHADLO Cc1ccc(/C=C/c2nc(Cc3ccc(C)[nH+]c3)no2)cc1 ZINC000546343126 334028489 /nfs/dbraw/zinc/02/84/89/334028489.db2.gz RQZHLHWYZRQHSW-MDZDMXLPSA-N 1 2 291.354 3.843 20 0 CHADLO Cc1ccc(Cc2noc(/C=C/C3CCCCC3)n2)c[nH+]1 ZINC000546345090 334028704 /nfs/dbraw/zinc/02/87/04/334028704.db2.gz DPIPAQIHEHPXTR-MDZDMXLPSA-N 1 2 283.375 3.957 20 0 CHADLO Cc1cc(C[N@H+](C)CCSc2ccc(Cl)cc2)no1 ZINC000075684324 334048921 /nfs/dbraw/zinc/04/89/21/334048921.db2.gz ZRAPUMSITFRUEO-UHFFFAOYSA-N 1 2 296.823 3.861 20 0 CHADLO Cc1cc(C[N@@H+](C)CCSc2ccc(Cl)cc2)no1 ZINC000075684324 334048923 /nfs/dbraw/zinc/04/89/23/334048923.db2.gz ZRAPUMSITFRUEO-UHFFFAOYSA-N 1 2 296.823 3.861 20 0 CHADLO CO[C@@H](C)c1cccc(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000133721518 334051319 /nfs/dbraw/zinc/05/13/19/334051319.db2.gz BDFVHHJGUQVOOP-AWEZNQCLSA-N 1 2 295.386 3.962 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@]1(C)CCO[C@@H]1C1CC1 ZINC000547341038 334081437 /nfs/dbraw/zinc/08/14/37/334081437.db2.gz BEYGFXZCAUGNRZ-IEBWSBKVSA-N 1 2 296.414 3.582 20 0 CHADLO Cc1cc(NC(=O)c2ccc(F)cc2C(F)(F)F)cc[nH+]1 ZINC000134230702 334085078 /nfs/dbraw/zinc/08/50/78/334085078.db2.gz BJHHTUIPHQIKAO-UHFFFAOYSA-N 1 2 298.239 3.800 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1CCOC(C)(C)C1 ZINC000547410543 334086548 /nfs/dbraw/zinc/08/65/48/334086548.db2.gz BXLFQCDCRSVRRF-AWEZNQCLSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1CCOC(C)(C)C1 ZINC000547410543 334086550 /nfs/dbraw/zinc/08/65/50/334086550.db2.gz BXLFQCDCRSVRRF-AWEZNQCLSA-N 1 2 269.335 3.527 20 0 CHADLO C[C@H]1CCC[C@H]1CC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000547424493 334087327 /nfs/dbraw/zinc/08/73/27/334087327.db2.gz ZNGOEBMIIHOAOD-ZFWWWQNUSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](Cc1nccn1C)C1CC1 ZINC000076745492 334088377 /nfs/dbraw/zinc/08/83/77/334088377.db2.gz BWTWQKCUPPXWIJ-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](Cc1nccn1C)C1CC1 ZINC000076745492 334088378 /nfs/dbraw/zinc/08/83/78/334088378.db2.gz BWTWQKCUPPXWIJ-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO CC(C)=CC[N@@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000547513361 334096721 /nfs/dbraw/zinc/09/67/21/334096721.db2.gz ZBEVBMOIPOMCHC-HNNXBMFYSA-N 1 2 283.774 3.819 20 0 CHADLO CC(C)=CC[N@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000547513361 334096722 /nfs/dbraw/zinc/09/67/22/334096722.db2.gz ZBEVBMOIPOMCHC-HNNXBMFYSA-N 1 2 283.774 3.819 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1cccc2cc[nH]c21 ZINC000547529670 334099084 /nfs/dbraw/zinc/09/90/84/334099084.db2.gz OCLWMMGPEQTECU-UHFFFAOYSA-N 1 2 276.343 3.736 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ccon2)c2ccccc2)cc1 ZINC000077245184 334099791 /nfs/dbraw/zinc/09/97/91/334099791.db2.gz ZSBCLIVPHDGYLG-QGZVFWFLSA-N 1 2 282.318 3.693 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2ccon2)c2ccccc2)cc1 ZINC000077247313 334100035 /nfs/dbraw/zinc/10/00/35/334100035.db2.gz KTISQDYEZDRPQQ-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2nc3c(s2)CCC3)s1 ZINC000135033627 334113241 /nfs/dbraw/zinc/11/32/41/334113241.db2.gz AZCDYVYQUKYCGA-SECBINFHSA-N 1 2 293.461 3.502 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@H](C)CC(C)(C)C)ccc2n1C ZINC000547797408 334119636 /nfs/dbraw/zinc/11/96/36/334119636.db2.gz ZMNBVHOGOODOAO-LLVKDONJSA-N 1 2 287.407 3.893 20 0 CHADLO Cc1cc(NC(=O)CCC(=O)c2ccc(C)c(C)c2)cc[nH+]1 ZINC000078231010 334128852 /nfs/dbraw/zinc/12/88/52/334128852.db2.gz VGBZYJMXZPHJBL-UHFFFAOYSA-N 1 2 296.370 3.608 20 0 CHADLO FC(F)n1ccnc1C[N@H+](Cc1cccs1)C1CC1 ZINC000078339710 334131345 /nfs/dbraw/zinc/13/13/45/334131345.db2.gz KHLMUKRPZVKDFN-UHFFFAOYSA-N 1 2 283.347 3.504 20 0 CHADLO FC(F)n1ccnc1C[N@@H+](Cc1cccs1)C1CC1 ZINC000078339710 334131347 /nfs/dbraw/zinc/13/13/47/334131347.db2.gz KHLMUKRPZVKDFN-UHFFFAOYSA-N 1 2 283.347 3.504 20 0 CHADLO CC/C=C/CC[N@@H+]1CCO[C@H](c2ccc(F)cc2F)C1 ZINC000548300351 334149646 /nfs/dbraw/zinc/14/96/46/334149646.db2.gz CDBPOWHEKWZZNP-CWDCEQMOSA-N 1 2 281.346 3.694 20 0 CHADLO CC/C=C/CC[N@H+]1CCO[C@H](c2ccc(F)cc2F)C1 ZINC000548300351 334149648 /nfs/dbraw/zinc/14/96/48/334149648.db2.gz CDBPOWHEKWZZNP-CWDCEQMOSA-N 1 2 281.346 3.694 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2c(C)cc(Cl)cc2C)n1 ZINC000564349912 334155043 /nfs/dbraw/zinc/15/50/43/334155043.db2.gz QHGYNOQIMXAJRQ-NSHDSACASA-N 1 2 293.798 3.841 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2c(C)cc(Cl)cc2C)n1 ZINC000564349912 334155044 /nfs/dbraw/zinc/15/50/44/334155044.db2.gz QHGYNOQIMXAJRQ-NSHDSACASA-N 1 2 293.798 3.841 20 0 CHADLO Cc1ccc(CSc2ncnc3sccc32)c(C)[nH+]1 ZINC000548476682 334159178 /nfs/dbraw/zinc/15/91/78/334159178.db2.gz PZBSKWCTJOGUQJ-UHFFFAOYSA-N 1 2 287.413 3.995 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1cc(F)cc(Br)c1 ZINC000548754521 334170153 /nfs/dbraw/zinc/17/01/53/334170153.db2.gz SLHIADQFPAHUQW-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1cc(F)cc(Br)c1 ZINC000548754521 334170154 /nfs/dbraw/zinc/17/01/54/334170154.db2.gz SLHIADQFPAHUQW-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@H]1CC[C@H](C)C1 ZINC000080458308 334171924 /nfs/dbraw/zinc/17/19/24/334171924.db2.gz UDRQZUICZCDWKK-JSGCOSHPSA-N 1 2 263.381 3.621 20 0 CHADLO FC(F)O[C@H]1CC[N@H+](Cc2cccc(Cl)c2Cl)C1 ZINC000549082256 334185013 /nfs/dbraw/zinc/18/50/13/334185013.db2.gz RHMXEABBBGAJBV-VIFPVBQESA-N 1 2 296.144 3.807 20 0 CHADLO FC(F)O[C@H]1CC[N@@H+](Cc2cccc(Cl)c2Cl)C1 ZINC000549082256 334185015 /nfs/dbraw/zinc/18/50/15/334185015.db2.gz RHMXEABBBGAJBV-VIFPVBQESA-N 1 2 296.144 3.807 20 0 CHADLO C[C@H](C[N@@H+]1CC[C@@](F)(c2ccccc2F)C1)C(F)(F)F ZINC000549414030 334193336 /nfs/dbraw/zinc/19/33/36/334193336.db2.gz IDVJMEXASLXFAN-MFKMUULPSA-N 1 2 293.279 3.895 20 0 CHADLO C[C@H](C[N@H+]1CC[C@@](F)(c2ccccc2F)C1)C(F)(F)F ZINC000549414030 334193337 /nfs/dbraw/zinc/19/33/37/334193337.db2.gz IDVJMEXASLXFAN-MFKMUULPSA-N 1 2 293.279 3.895 20 0 CHADLO CCn1nccc1C[N@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000245512024 334199076 /nfs/dbraw/zinc/19/90/76/334199076.db2.gz ZRCQLUVLAKFEFB-CXAGYDPISA-N 1 2 299.418 3.784 20 0 CHADLO CCn1nccc1C[N@@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000245512024 334199078 /nfs/dbraw/zinc/19/90/78/334199078.db2.gz ZRCQLUVLAKFEFB-CXAGYDPISA-N 1 2 299.418 3.784 20 0 CHADLO Cc1cc(Nc2ccc([C@@H]3CCOC3)cc2)nc(C2CC2)[nH+]1 ZINC000549636787 334200584 /nfs/dbraw/zinc/20/05/84/334200584.db2.gz FOINVVHEFZKZAZ-OAHLLOKOSA-N 1 2 295.386 3.910 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1cccc(F)c1Cl ZINC000549865742 334207516 /nfs/dbraw/zinc/20/75/16/334207516.db2.gz VITRJOGIRLSGER-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1cccc(F)c1Cl ZINC000549865742 334207517 /nfs/dbraw/zinc/20/75/17/334207517.db2.gz VITRJOGIRLSGER-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@@H+]1CCC[C@@H]1CF ZINC000550029542 334211583 /nfs/dbraw/zinc/21/15/83/334211583.db2.gz VLDYABWVEPWTIU-VHSXEESVSA-N 1 2 259.727 3.974 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@H+]1CCC[C@@H]1CF ZINC000550029542 334211584 /nfs/dbraw/zinc/21/15/84/334211584.db2.gz VLDYABWVEPWTIU-VHSXEESVSA-N 1 2 259.727 3.974 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cc(Cl)ccc1Cl ZINC000550028548 334211682 /nfs/dbraw/zinc/21/16/82/334211682.db2.gz GZFLGBLVDMIAKL-LLVKDONJSA-N 1 2 262.155 3.927 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cc(Cl)ccc1Cl ZINC000550028548 334211684 /nfs/dbraw/zinc/21/16/84/334211684.db2.gz GZFLGBLVDMIAKL-LLVKDONJSA-N 1 2 262.155 3.927 20 0 CHADLO Cc1cc(NC(=O)N2[C@H](C)C[C@H]3CCCC[C@H]32)cc[nH+]1 ZINC000245715331 334214740 /nfs/dbraw/zinc/21/47/40/334214740.db2.gz UAZQLHXSNISISD-UMVBOHGHSA-N 1 2 273.380 3.575 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CCC[C@@H]2CCC[C@H]21 ZINC000246059635 334229065 /nfs/dbraw/zinc/22/90/65/334229065.db2.gz ARFPNHFKCJEZIS-DZGCQCFKSA-N 1 2 289.423 3.882 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CCC[C@@H]2CCC[C@H]21 ZINC000246059635 334229067 /nfs/dbraw/zinc/22/90/67/334229067.db2.gz ARFPNHFKCJEZIS-DZGCQCFKSA-N 1 2 289.423 3.882 20 0 CHADLO CCC[C@H]([NH2+]CC(C)(F)F)c1ccc(OC)cc1 ZINC000550860699 334230912 /nfs/dbraw/zinc/23/09/12/334230912.db2.gz MWUKXHGVHGYHMZ-ZDUSSCGKSA-N 1 2 257.324 3.781 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+][C@@H]2CCC[C@@H]2F)cs1 ZINC000550934096 334234230 /nfs/dbraw/zinc/23/42/30/334234230.db2.gz VIAXHWDCSWAXFF-OUAUKWLOSA-N 1 2 256.390 3.808 20 0 CHADLO Fc1cc(F)cc([C@@]2(F)CC[N@@H+]([C@@H]3C=CCCC3)C2)c1 ZINC000551407735 334249596 /nfs/dbraw/zinc/24/95/96/334249596.db2.gz SNPCDHBKOYFLSD-HZPDHXFCSA-N 1 2 281.321 3.944 20 0 CHADLO Fc1cc(F)cc([C@@]2(F)CC[N@H+]([C@@H]3C=CCCC3)C2)c1 ZINC000551407735 334249597 /nfs/dbraw/zinc/24/95/97/334249597.db2.gz SNPCDHBKOYFLSD-HZPDHXFCSA-N 1 2 281.321 3.944 20 0 CHADLO CC(C)[C@H]1CC[C@H]1Nc1ccccc1OCCn1cc[nH+]c1 ZINC000552070909 334285758 /nfs/dbraw/zinc/28/57/58/334285758.db2.gz IVQVYNTWKYOCJE-HZPDHXFCSA-N 1 2 299.418 3.809 20 0 CHADLO CC[N@H+](CCCNC(=O)c1cccc(C)c1)c1ccccc1 ZINC000248125044 334295437 /nfs/dbraw/zinc/29/54/37/334295437.db2.gz UEPDAGXVCQIDEF-UHFFFAOYSA-N 1 2 296.414 3.641 20 0 CHADLO CC[N@@H+](CCCNC(=O)c1cccc(C)c1)c1ccccc1 ZINC000248125044 334295438 /nfs/dbraw/zinc/29/54/38/334295438.db2.gz UEPDAGXVCQIDEF-UHFFFAOYSA-N 1 2 296.414 3.641 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)ccc1Cl ZINC000552147925 334295470 /nfs/dbraw/zinc/29/54/70/334295470.db2.gz HYABGILQQWSGHJ-CVEARBPZSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1cc(C[N@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)ccc1Cl ZINC000552147925 334295471 /nfs/dbraw/zinc/29/54/71/334295471.db2.gz HYABGILQQWSGHJ-CVEARBPZSA-N 1 2 295.785 3.623 20 0 CHADLO Cc1cc(Cl)c(C(=O)Nc2ccn3cc[nH+]c3c2)cc1C ZINC000552503231 334332427 /nfs/dbraw/zinc/33/24/27/334332427.db2.gz OPROUDWKNJPSMR-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO CC[C@H](C)n1ncc(C(=O)Nc2cc[nH+]c(C)c2)c1C1CC1 ZINC000089641900 334347822 /nfs/dbraw/zinc/34/78/22/334347822.db2.gz MIHHJHLNYXJNBZ-LBPRGKRZSA-N 1 2 298.390 3.687 20 0 CHADLO CCC1(C(=O)Nc2ccc3c(c2)[nH+]c(C2CC2)n3C)CCC1 ZINC000089870398 334352750 /nfs/dbraw/zinc/35/27/50/334352750.db2.gz KMJIVNOWYSSMGS-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO Cn1c2ccc(NC(=O)C3CC=CC3)cc2[nH+]c1C(C)(C)C ZINC000089871118 334352762 /nfs/dbraw/zinc/35/27/62/334352762.db2.gz IZCHHGYTCAKMDB-UHFFFAOYSA-N 1 2 297.402 3.776 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2ccc(C)cc2)cc(C)[nH+]1 ZINC000552976074 334354274 /nfs/dbraw/zinc/35/42/74/334354274.db2.gz FRNGEBQUFAEJGY-INIZCTEOSA-N 1 2 281.403 3.997 20 0 CHADLO Cc1cc(CNc2cc[nH+]c3c(Cl)cccc23)no1 ZINC000552999381 334355273 /nfs/dbraw/zinc/35/52/73/334355273.db2.gz BRZWCGIGWXNLIT-UHFFFAOYSA-N 1 2 273.723 3.797 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC000090612509 334364835 /nfs/dbraw/zinc/36/48/35/334364835.db2.gz CWSKFALSNLGTID-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CCc2ccc(Cl)cc2C1 ZINC000090612509 334364836 /nfs/dbraw/zinc/36/48/36/334364836.db2.gz CWSKFALSNLGTID-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO Cc1cc[nH+]c(NC[C@@H](CCO)CC(C)C)c1Cl ZINC000553488796 334388026 /nfs/dbraw/zinc/38/80/26/334388026.db2.gz CPQDTCJJPOOPLE-LBPRGKRZSA-N 1 2 270.804 3.500 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2nc3ccccc3[nH]2)C2CC2)s1 ZINC000553846570 334400585 /nfs/dbraw/zinc/40/05/85/334400585.db2.gz IKYYZOXFICCRGB-OAHLLOKOSA-N 1 2 298.415 3.569 20 0 CHADLO Cc1ccc(CCCN2CCc3cccc(F)c32)c[nH+]1 ZINC000554889798 334458948 /nfs/dbraw/zinc/45/89/48/334458948.db2.gz IPWXCGWXYQVMLH-UHFFFAOYSA-N 1 2 270.351 3.524 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@H]1CC=C(C)CC1 ZINC000556255338 334504154 /nfs/dbraw/zinc/50/41/54/334504154.db2.gz YQXCTNCAXIEXFO-INIZCTEOSA-N 1 2 286.419 3.742 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCCC[C@H]3CC(C)C)ccn12 ZINC000565430252 334563076 /nfs/dbraw/zinc/56/30/76/334563076.db2.gz CZNQZIIVTVDVHU-INIZCTEOSA-N 1 2 299.418 3.684 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C[C@@H]2CCC[C@@H]2C)n1 ZINC000565523024 334570937 /nfs/dbraw/zinc/57/09/37/334570937.db2.gz RUVGAKNMOZQSSZ-JSGCOSHPSA-N 1 2 285.391 3.537 20 0 CHADLO Cc1[nH]c(CNc2ccc(C)c(-c3ncco3)c2)[nH+]c1C ZINC000565667249 334586409 /nfs/dbraw/zinc/58/64/09/334586409.db2.gz MCTDFJALKJWQDQ-UHFFFAOYSA-N 1 2 282.347 3.602 20 0 CHADLO CC[C@H](C)[C@H](C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000565676176 334587070 /nfs/dbraw/zinc/58/70/70/334587070.db2.gz VIRJIWZBSAGYTC-RYUDHWBXSA-N 1 2 271.364 3.697 20 0 CHADLO Cc1c[nH+]ccc1CCN(CC1CCC1)CC(F)(F)F ZINC000567106609 334681423 /nfs/dbraw/zinc/68/14/23/334681423.db2.gz VYDGKEQOYWPEDD-UHFFFAOYSA-N 1 2 286.341 3.597 20 0 CHADLO Fc1ccccc1SCc1c[nH+]c2ccccn12 ZINC000155851007 334730581 /nfs/dbraw/zinc/73/05/81/334730581.db2.gz KWJCUXDTNVIALT-UHFFFAOYSA-N 1 2 258.321 3.766 20 0 CHADLO C[NH+](Cc1nc2ccccc2o1)Cc1nc2ccccc2o1 ZINC000156208733 334736666 /nfs/dbraw/zinc/73/66/66/334736666.db2.gz IDXDCZFSXGOWIG-UHFFFAOYSA-N 1 2 293.326 3.601 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1cccs1 ZINC000158435076 334801185 /nfs/dbraw/zinc/80/11/85/334801185.db2.gz RWBLKRDEVVSOQB-UHFFFAOYSA-N 1 2 262.378 3.933 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(C)c(C(C)=O)c2)c1 ZINC000568244646 334808068 /nfs/dbraw/zinc/80/80/68/334808068.db2.gz SLWGMHKEUBTTCX-UHFFFAOYSA-N 1 2 284.359 3.522 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1cncc(Br)c1 ZINC000568276435 334811769 /nfs/dbraw/zinc/81/17/69/334811769.db2.gz LKCPLBPSIYYTOM-HTQZYQBOSA-N 1 2 293.155 3.538 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)c(F)c1)c1cscn1 ZINC000569121106 334856390 /nfs/dbraw/zinc/85/63/90/334856390.db2.gz BZUPHYYYVQQOIP-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1ncsc1COc1cc(C)[nH+]c2ccccc21 ZINC000573169547 334914323 /nfs/dbraw/zinc/91/43/23/334914323.db2.gz JILPGYFKMAMWSC-UHFFFAOYSA-N 1 2 270.357 3.887 20 0 CHADLO Cc1ccc(NC(=O)Nc2ccc(Cl)cc2)c(C)[nH+]1 ZINC000176313359 335261818 /nfs/dbraw/zinc/26/18/18/335261818.db2.gz UJKRCUWUHGSURK-UHFFFAOYSA-N 1 2 275.739 3.996 20 0 CHADLO Cc1cc(C)cc(N(C)C(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000580567409 335283688 /nfs/dbraw/zinc/28/36/88/335283688.db2.gz YGDGLNJAOHGYKW-UHFFFAOYSA-N 1 2 283.375 3.984 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(CC(C)C)no1)c1ccccc1 ZINC000192823425 335307338 /nfs/dbraw/zinc/30/73/38/335307338.db2.gz IUIBRNSTDYYPBW-HNNXBMFYSA-N 1 2 287.407 3.899 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCCC34CCCCC4)ccn12 ZINC000577189543 335319506 /nfs/dbraw/zinc/31/95/06/335319506.db2.gz YDBJROZKBUXUFI-UHFFFAOYSA-N 1 2 297.402 3.582 20 0 CHADLO CC(C)CC1(CNC(=O)C[C@H](C)n2cc[nH+]c2)CCCC1 ZINC000577283019 335335276 /nfs/dbraw/zinc/33/52/76/335335276.db2.gz HMBPPMWXWVCTNB-HNNXBMFYSA-N 1 2 291.439 3.557 20 0 CHADLO CC(C)CC1(CNC(=O)C[C@@H](C)n2cc[nH+]c2)CCCC1 ZINC000577283020 335335304 /nfs/dbraw/zinc/33/53/04/335335304.db2.gz HMBPPMWXWVCTNB-OAHLLOKOSA-N 1 2 291.439 3.557 20 0 CHADLO O=C1CC[C@H](Nc2ccc3c(c2)CC[NH2+]3)c2ccccc2N1 ZINC000577720003 335392017 /nfs/dbraw/zinc/39/20/17/335392017.db2.gz ICLVAYCJTMASQL-KRWDZBQOSA-N 1 2 293.370 3.540 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1nccs1 ZINC000179918713 335532017 /nfs/dbraw/zinc/53/20/17/335532017.db2.gz ZCZIBSFTAUFVQN-LBPRGKRZSA-N 1 2 288.420 3.702 20 0 CHADLO Cc1cc(NC(=O)c2ccccc2OC(F)(F)F)cc[nH+]1 ZINC000180271906 335565325 /nfs/dbraw/zinc/56/53/25/335565325.db2.gz WBYOIMXWMJLXHB-UHFFFAOYSA-N 1 2 296.248 3.541 20 0 CHADLO C[C@@H](Cc1ccoc1)[NH2+]CC(F)(F)c1ccc(F)cc1 ZINC000578643999 335658353 /nfs/dbraw/zinc/65/83/53/335658353.db2.gz JNINSSQDXGAJMZ-NSHDSACASA-N 1 2 283.293 3.731 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000579014269 335700597 /nfs/dbraw/zinc/70/05/97/335700597.db2.gz QENIWIOBPUMBCL-AWEZNQCLSA-N 1 2 285.391 3.905 20 0 CHADLO CC1=C(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)CCC1 ZINC000579311186 335733215 /nfs/dbraw/zinc/73/32/15/335733215.db2.gz RVRDIPCMYGCKCD-UHFFFAOYSA-N 1 2 267.332 3.516 20 0 CHADLO CC(C)[C@H]1CC[C@@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000579336225 335735387 /nfs/dbraw/zinc/73/53/87/335735387.db2.gz HMPAORWWDQOGEO-CABCVRRESA-N 1 2 259.397 3.528 20 0 CHADLO Cc1scc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c1C ZINC000181434557 335762148 /nfs/dbraw/zinc/76/21/48/335762148.db2.gz RCWUQOOCIDSNRG-UHFFFAOYSA-N 1 2 274.389 3.938 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(CCC(C)C)no2)cc1 ZINC000182322864 335810483 /nfs/dbraw/zinc/81/04/83/335810483.db2.gz JKILVRVYYZLLRI-CQSZACIVSA-N 1 2 287.407 3.817 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(C)cc2OC)cs1 ZINC000380928141 336039594 /nfs/dbraw/zinc/03/95/94/336039594.db2.gz NKNDNFSOVHJHNE-GFCCVEGCSA-N 1 2 290.432 3.873 20 0 CHADLO CCCCCC(=O)Nc1ccc2[nH+]c(N(C)C)ccc2c1 ZINC000195705203 336058503 /nfs/dbraw/zinc/05/85/03/336058503.db2.gz XXNOANQBDSOHTK-UHFFFAOYSA-N 1 2 285.391 3.820 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1cccc2c(OC)ccnc12 ZINC000581411296 336071770 /nfs/dbraw/zinc/07/17/70/336071770.db2.gz IBGSUJRTWBDZRQ-GFCCVEGCSA-N 1 2 296.374 3.633 20 0 CHADLO Cc1c[nH+]cc(NCc2cccc(F)c2Br)c1 ZINC000382645813 336095524 /nfs/dbraw/zinc/09/55/24/336095524.db2.gz OXEUARXMYAOGRD-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cc1ccc2oc(C[NH2+][C@@H]3C[C@H](C)n4ccnc43)cc2c1 ZINC000581529028 336095624 /nfs/dbraw/zinc/09/56/24/336095624.db2.gz AASDUGZMRRKBFY-SWLSCSKDSA-N 1 2 281.359 3.733 20 0 CHADLO CC(C)[N@H+](Cc1nc(C2CC2)nn1C)[C@@H](C)c1ccccc1 ZINC000581817852 336147084 /nfs/dbraw/zinc/14/70/84/336147084.db2.gz YIFPVLZHTDWNNN-AWEZNQCLSA-N 1 2 298.434 3.664 20 0 CHADLO CC(C)[N@@H+](Cc1nc(C2CC2)nn1C)[C@@H](C)c1ccccc1 ZINC000581817852 336147086 /nfs/dbraw/zinc/14/70/86/336147086.db2.gz YIFPVLZHTDWNNN-AWEZNQCLSA-N 1 2 298.434 3.664 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000581833191 336149802 /nfs/dbraw/zinc/14/98/02/336149802.db2.gz FXGWYDGQWQALST-ZDUSSCGKSA-N 1 2 285.391 3.659 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000581833191 336149803 /nfs/dbraw/zinc/14/98/03/336149803.db2.gz FXGWYDGQWQALST-ZDUSSCGKSA-N 1 2 285.391 3.659 20 0 CHADLO CC(C)CN(Cc1ccccc1)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000581946478 336174511 /nfs/dbraw/zinc/17/45/11/336174511.db2.gz OWIPQSUDMRJFEE-MRXNPFEDSA-N 1 2 299.418 3.519 20 0 CHADLO C[C@@H]1CCCN1c1[nH+]cccc1OCc1ccccc1 ZINC000354565263 533720491 /nfs/dbraw/zinc/72/04/91/533720491.db2.gz JZMUYGYUPRGTFD-CQSZACIVSA-N 1 2 268.360 3.649 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccnn2-c2ccccc2C)o1 ZINC000353381706 533878225 /nfs/dbraw/zinc/87/82/25/533878225.db2.gz NSPSWTDSFQGMQR-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccnn2-c2ccccc2C)o1 ZINC000353381706 533878230 /nfs/dbraw/zinc/87/82/30/533878230.db2.gz NSPSWTDSFQGMQR-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CCC[C@H]1C ZINC000302666103 533909342 /nfs/dbraw/zinc/90/93/42/533909342.db2.gz QESGDQDJIFRPOE-GFCCVEGCSA-N 1 2 256.349 3.541 20 0 CHADLO COc1ccc(OC(F)F)c(C[N@H+](C)Cc2ccoc2)c1 ZINC000352644877 534217242 /nfs/dbraw/zinc/21/72/42/534217242.db2.gz QNFUBBOGBXGMSC-UHFFFAOYSA-N 1 2 297.301 3.522 20 0 CHADLO COc1ccc(OC(F)F)c(C[N@@H+](C)Cc2ccoc2)c1 ZINC000352644877 534217250 /nfs/dbraw/zinc/21/72/50/534217250.db2.gz QNFUBBOGBXGMSC-UHFFFAOYSA-N 1 2 297.301 3.522 20 0 CHADLO CC(C)CCC(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000072052259 517412498 /nfs/dbraw/zinc/41/24/98/517412498.db2.gz UPJZFFQMMYJWNF-UHFFFAOYSA-N 1 2 291.782 3.900 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ncc[nH]2)c2ccccc2)cc1F ZINC000125631828 518188929 /nfs/dbraw/zinc/18/89/29/518188929.db2.gz SICKGNQKRKOWSZ-KRWDZBQOSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2nc(-c3ccccc3)c[nH]2)cs1 ZINC000447846503 518192831 /nfs/dbraw/zinc/19/28/31/518192831.db2.gz AUVBMEFTJKDDPJ-LLVKDONJSA-N 1 2 298.415 3.692 20 0 CHADLO CC(C)(C)C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000264828309 518591492 /nfs/dbraw/zinc/59/14/92/518591492.db2.gz WLEXSNBGKKJOEF-UHFFFAOYSA-N 1 2 270.332 3.859 20 0 CHADLO CC(C)([NH2+]Cc1ncc(C2CC2)o1)c1ccccc1F ZINC000292218260 519325462 /nfs/dbraw/zinc/32/54/62/519325462.db2.gz URSPLJGIEBBFJN-UHFFFAOYSA-N 1 2 274.339 3.716 20 0 CHADLO CC(C)Cn1cc(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)cn1 ZINC000292815739 519503842 /nfs/dbraw/zinc/50/38/42/519503842.db2.gz PGDYWXLQNRAPDT-QGZVFWFLSA-N 1 2 298.434 3.517 20 0 CHADLO CC(C)Cn1cc(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)cn1 ZINC000292815739 519503853 /nfs/dbraw/zinc/50/38/53/519503853.db2.gz PGDYWXLQNRAPDT-QGZVFWFLSA-N 1 2 298.434 3.517 20 0 CHADLO CC(C)N(C(=O)c1cccc(Oc2cc[nH+]cc2)c1)C1CC1 ZINC000264933137 519581781 /nfs/dbraw/zinc/58/17/81/519581781.db2.gz YMAZZJJPNBDCIV-UHFFFAOYSA-N 1 2 296.370 3.887 20 0 CHADLO CC(C)N(C)c1ccc([NH2+][C@H]2CCO[C@H](C)C2)cc1F ZINC000070349506 519634086 /nfs/dbraw/zinc/63/40/86/519634086.db2.gz WEDAJZYCHYCNST-OCCSQVGLSA-N 1 2 280.387 3.650 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](C)c1nc2c(s1)CCCC2 ZINC000344584705 534449335 /nfs/dbraw/zinc/44/93/35/534449335.db2.gz ODFBFADCCJCYLC-LLVKDONJSA-N 1 2 276.405 3.774 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ccn[nH]1)c1ccc(Cl)cc1 ZINC000036975547 519799211 /nfs/dbraw/zinc/79/92/11/519799211.db2.gz MGXYXUKBRPNPEN-CQSZACIVSA-N 1 2 263.772 3.550 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000279349594 519825143 /nfs/dbraw/zinc/82/51/43/519825143.db2.gz FVBLFZHXGNZUGV-SECBINFHSA-N 1 2 278.343 3.782 20 0 CHADLO CC(C)[C@H]1CCC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000279349594 519825144 /nfs/dbraw/zinc/82/51/44/519825144.db2.gz FVBLFZHXGNZUGV-SECBINFHSA-N 1 2 278.343 3.782 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2cc3ccccc3o2)[nH]1 ZINC000292969657 519864259 /nfs/dbraw/zinc/86/42/59/519864259.db2.gz WOMWJZJQTJNVCF-LLVKDONJSA-N 1 2 284.363 3.525 20 0 CHADLO CC(C)c1nnc([C@H](C)[NH2+]Cc2ccc(Cl)cc2F)[nH]1 ZINC000275651259 519867602 /nfs/dbraw/zinc/86/76/02/519867602.db2.gz UEFICHYPHNWJSY-VIFPVBQESA-N 1 2 296.777 3.571 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)o1 ZINC000112669883 519886025 /nfs/dbraw/zinc/88/60/25/519886025.db2.gz HUAQUDXKOLUERO-CYBMUJFWSA-N 1 2 289.379 3.903 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCCC[C@@H]2c2ccco2)o1 ZINC000112669883 519886028 /nfs/dbraw/zinc/88/60/28/519886028.db2.gz HUAQUDXKOLUERO-CYBMUJFWSA-N 1 2 289.379 3.903 20 0 CHADLO CCCC1(C(=O)Nc2ccc3c(c2)[nH+]c(C2CC2)n3C)CC1 ZINC000340664498 519888425 /nfs/dbraw/zinc/88/84/25/519888425.db2.gz FVVSDQNJVWIQKK-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1ccc([C@H]2CCOC2)cc1 ZINC000297931118 520035415 /nfs/dbraw/zinc/03/54/15/520035415.db2.gz XPLBKXYJUNBINL-HNNXBMFYSA-N 1 2 285.391 3.580 20 0 CHADLO C1=CC[C@@]2(CC1)CCCN(c1cc[nH+]c(C3CC3)n1)C2 ZINC000114451327 534471361 /nfs/dbraw/zinc/47/13/61/534471361.db2.gz HDMTYPZEQQQZJX-QGZVFWFLSA-N 1 2 269.392 3.681 20 0 CHADLO Fc1ccc(COc2cc[nH+]cc2)cc1Br ZINC000356103487 534472256 /nfs/dbraw/zinc/47/22/56/534472256.db2.gz YSXSPHQLPVBLIB-UHFFFAOYSA-N 1 2 282.112 3.562 20 0 CHADLO CCC=C(C)C(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000366353237 520194562 /nfs/dbraw/zinc/19/45/62/520194562.db2.gz CXEXSDWZUBVCMQ-YRNVUSSQSA-N 1 2 269.348 3.680 20 0 CHADLO Cc1noc([C@H]2CCC[N@@H+]2Cc2ccc(C)cc2Cl)n1 ZINC000353542392 534481071 /nfs/dbraw/zinc/48/10/71/534481071.db2.gz KVIIJCWQOCCHMF-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@H]2CCC[N@H+]2Cc2ccc(C)cc2Cl)n1 ZINC000353542392 534481075 /nfs/dbraw/zinc/48/10/75/534481075.db2.gz KVIIJCWQOCCHMF-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO CCCOc1ccc([NH2+][C@H]2CCOC3(CCC3)C2)cc1 ZINC000094371775 520403765 /nfs/dbraw/zinc/40/37/65/520403765.db2.gz FZZYEUSHKSSWNT-HNNXBMFYSA-N 1 2 275.392 3.989 20 0 CHADLO Cc1nocc1C[N@@H+]1CC[C@](F)(c2cc(C)cc(F)c2)C1 ZINC000353351697 534493984 /nfs/dbraw/zinc/49/39/84/534493984.db2.gz NBSJTBFJZZTSLK-MRXNPFEDSA-N 1 2 292.329 3.501 20 0 CHADLO Cc1nocc1C[N@H+]1CC[C@](F)(c2cc(C)cc(F)c2)C1 ZINC000353351697 534493989 /nfs/dbraw/zinc/49/39/89/534493989.db2.gz NBSJTBFJZZTSLK-MRXNPFEDSA-N 1 2 292.329 3.501 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@@H]2c2cccnc2)c1F ZINC000346976117 534517382 /nfs/dbraw/zinc/51/73/82/534517382.db2.gz RKQVINYJOBKVDX-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@@H]2c2cccnc2)c1F ZINC000346976117 534517387 /nfs/dbraw/zinc/51/73/87/534517387.db2.gz RKQVINYJOBKVDX-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO CC1=CCC[N@H+](Cc2csc(-c3ccco3)n2)C1 ZINC000280791983 520861842 /nfs/dbraw/zinc/86/18/42/520861842.db2.gz JQNACSYHGNQLHF-UHFFFAOYSA-N 1 2 260.362 3.555 20 0 CHADLO CC1=CCC[N@@H+](Cc2csc(-c3ccco3)n2)C1 ZINC000280791983 520861850 /nfs/dbraw/zinc/86/18/50/520861850.db2.gz JQNACSYHGNQLHF-UHFFFAOYSA-N 1 2 260.362 3.555 20 0 CHADLO CCC(=CC(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1)CC ZINC000128427974 521064320 /nfs/dbraw/zinc/06/43/20/521064320.db2.gz QNCSYSDXDJCORV-UHFFFAOYSA-N 1 2 269.348 3.762 20 0 CHADLO CCC(=CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)CC ZINC000126623364 521064570 /nfs/dbraw/zinc/06/45/70/521064570.db2.gz KFGPHBWNPUKBCJ-UHFFFAOYSA-N 1 2 269.348 3.762 20 0 CHADLO CCOc1ccc([NH2+]C2CCC3(CCCO3)CC2)cc1 ZINC000364953003 521126245 /nfs/dbraw/zinc/12/62/45/521126245.db2.gz PTTJJTGOXVUAFE-UHFFFAOYSA-N 1 2 275.392 3.989 20 0 CHADLO CCCC[C@H](C)N(C)C(=O)Nc1c(C)cc[nH+]c1C ZINC000290800840 521140551 /nfs/dbraw/zinc/14/05/51/521140551.db2.gz VLHRHXGLEAQBIV-LBPRGKRZSA-N 1 2 263.385 3.741 20 0 CHADLO Cc1cnc(C[N@@H+]2[C@H](C)Cc3cc(F)ccc3[C@H]2C)o1 ZINC000354826919 534553441 /nfs/dbraw/zinc/55/34/41/534553441.db2.gz FLHIYZHKEDZCOO-ZYHUDNBSSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1cnc(C[N@H+]2[C@H](C)Cc3cc(F)ccc3[C@H]2C)o1 ZINC000354826919 534553445 /nfs/dbraw/zinc/55/34/45/534553445.db2.gz FLHIYZHKEDZCOO-ZYHUDNBSSA-N 1 2 274.339 3.630 20 0 CHADLO CCCCc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncn(C)n2)cc1 ZINC000275794090 521286127 /nfs/dbraw/zinc/28/61/27/521286127.db2.gz XPLXCWNXPOLSDW-KGLIPLIRSA-N 1 2 286.423 3.570 20 0 CHADLO CC[N@H+](Cc1cnns1)[C@@H](C)c1cc2ccccc2o1 ZINC000289574977 521443751 /nfs/dbraw/zinc/44/37/51/521443751.db2.gz HAGDODQAJJBXDO-NSHDSACASA-N 1 2 287.388 3.867 20 0 CHADLO CC[N@@H+](Cc1cnns1)[C@@H](C)c1cc2ccccc2o1 ZINC000289574977 521443760 /nfs/dbraw/zinc/44/37/60/521443760.db2.gz HAGDODQAJJBXDO-NSHDSACASA-N 1 2 287.388 3.867 20 0 CHADLO CC[N@H+](Cc1nc(C2CC2)no1)Cc1ccc(Cl)cc1 ZINC000299323972 521449810 /nfs/dbraw/zinc/44/98/10/521449810.db2.gz AHOBACNPLPEFIA-UHFFFAOYSA-N 1 2 291.782 3.623 20 0 CHADLO CC[N@@H+](Cc1nc(C2CC2)no1)Cc1ccc(Cl)cc1 ZINC000299323972 521449823 /nfs/dbraw/zinc/44/98/23/521449823.db2.gz AHOBACNPLPEFIA-UHFFFAOYSA-N 1 2 291.782 3.623 20 0 CHADLO CCC(CC)([NH2+]Cc1nnc(C)o1)c1ccc(Cl)cc1 ZINC000103480440 521477967 /nfs/dbraw/zinc/47/79/67/521477967.db2.gz RZFOWAMETWURBS-UHFFFAOYSA-N 1 2 293.798 3.837 20 0 CHADLO CCCc1noc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)n1 ZINC000077177120 521581724 /nfs/dbraw/zinc/58/17/24/521581724.db2.gz GJYNSOPCROCZQC-CYBMUJFWSA-N 1 2 289.379 3.732 20 0 CHADLO CCCc1noc(C[N@H+]2CCCCC[C@@H]2c2ccco2)n1 ZINC000077177120 521581727 /nfs/dbraw/zinc/58/17/27/521581727.db2.gz GJYNSOPCROCZQC-CYBMUJFWSA-N 1 2 289.379 3.732 20 0 CHADLO CCSCC[N@H+](C)Cc1c(F)cccc1Cl ZINC000340761600 521706877 /nfs/dbraw/zinc/70/68/77/521706877.db2.gz QCDDXZJFSKONAY-UHFFFAOYSA-N 1 2 261.793 3.664 20 0 CHADLO CCSCC[N@@H+](C)Cc1c(F)cccc1Cl ZINC000340761600 521706878 /nfs/dbraw/zinc/70/68/78/521706878.db2.gz QCDDXZJFSKONAY-UHFFFAOYSA-N 1 2 261.793 3.664 20 0 CHADLO CCSc1ccc(Cl)cc1NCc1[nH]cc[nH+]1 ZINC000130707732 521748604 /nfs/dbraw/zinc/74/86/04/521748604.db2.gz RFXOXWMNSQZWCG-UHFFFAOYSA-N 1 2 267.785 3.787 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(C)o2)[nH]1 ZINC000277072981 522052628 /nfs/dbraw/zinc/05/26/28/522052628.db2.gz FBOLZQCTBYNJMV-MVWJERBFSA-N 1 2 276.384 3.631 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H]2CCCc3occc32)[nH]1 ZINC000278812706 522057353 /nfs/dbraw/zinc/05/73/53/522057353.db2.gz RZOLHXDVVLTTLT-GVXVVHGQSA-N 1 2 288.395 3.639 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@H](C)c1cccc(F)c1 ZINC000265246095 522079677 /nfs/dbraw/zinc/07/96/77/522079677.db2.gz DXGBIFSXHPPIJM-LBPRGKRZSA-N 1 2 286.350 3.915 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H]2CCc3c2c(F)ccc3F)o1 ZINC000291881724 522212395 /nfs/dbraw/zinc/21/23/95/522212395.db2.gz JNVOPDPTRMAHPC-ZDUSSCGKSA-N 1 2 292.329 3.601 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC000335946221 534645879 /nfs/dbraw/zinc/64/58/79/534645879.db2.gz CTEBCGGOHFDGPE-NSHDSACASA-N 1 2 276.767 3.756 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC000335946221 534645882 /nfs/dbraw/zinc/64/58/82/534645882.db2.gz CTEBCGGOHFDGPE-NSHDSACASA-N 1 2 276.767 3.756 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cc(C)on1)c1ccc(Cl)cc1 ZINC000282720848 522758595 /nfs/dbraw/zinc/75/85/95/522758595.db2.gz LXPMMWHCNREPHV-NHYWBVRUSA-N 1 2 294.782 3.675 20 0 CHADLO CC[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000297785204 522761658 /nfs/dbraw/zinc/76/16/58/522761658.db2.gz PTSNSLDNUXJFPZ-ONERCXAPSA-N 1 2 291.345 3.908 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C)n1)c1nc(-c2ccccc2)c[nH]1 ZINC000340775550 522775771 /nfs/dbraw/zinc/77/57/71/522775771.db2.gz ISBDAJAGIODNQH-AWEZNQCLSA-N 1 2 293.374 3.738 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C(C)(C)C)on1)c1nc(C)cs1 ZINC000289616923 522868462 /nfs/dbraw/zinc/86/84/62/522868462.db2.gz UTDXTKWSYUVQPT-GFCCVEGCSA-N 1 2 293.436 3.978 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)c(Cl)cc1F)c1nccn1C ZINC000278599605 522872577 /nfs/dbraw/zinc/87/25/77/522872577.db2.gz BBNMOSSPWUMKEB-CYBMUJFWSA-N 1 2 299.752 3.593 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000114745984 522907819 /nfs/dbraw/zinc/90/78/19/522907819.db2.gz FLPONMXROSEXIP-CHWSQXEVSA-N 1 2 299.418 3.923 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nc(C)no1)c1ccc(Cl)cc1 ZINC000341187231 522916901 /nfs/dbraw/zinc/91/69/01/522916901.db2.gz BQSQPIBJGKEJPK-TVQRCGJNSA-N 1 2 279.771 3.833 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(C)ccn1)c1nccs1 ZINC000353523282 522935194 /nfs/dbraw/zinc/93/51/94/522935194.db2.gz AAKLNYKCOOAEJP-VXGBXAGGSA-N 1 2 261.394 3.648 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@H+]2C/C(Cl)=C/Cl)o1 ZINC000131260505 523016032 /nfs/dbraw/zinc/01/60/32/523016032.db2.gz IBOVTHRTUIPBNH-UMAGTOLTSA-N 1 2 290.190 3.882 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@@H+]2C/C(Cl)=C/Cl)o1 ZINC000131260505 523016025 /nfs/dbraw/zinc/01/60/25/523016025.db2.gz IBOVTHRTUIPBNH-UMAGTOLTSA-N 1 2 290.190 3.882 20 0 CHADLO CC[C@@H](c1cccc(OC)c1)[N@H+](C)Cc1cscn1 ZINC000275730211 523093640 /nfs/dbraw/zinc/09/36/40/523093640.db2.gz UHLAGEDNINOGFS-HNNXBMFYSA-N 1 2 276.405 3.735 20 0 CHADLO CC[C@@H](c1cccc(OC)c1)[N@@H+](C)Cc1cscn1 ZINC000275730211 523093649 /nfs/dbraw/zinc/09/36/49/523093649.db2.gz UHLAGEDNINOGFS-HNNXBMFYSA-N 1 2 276.405 3.735 20 0 CHADLO CN(Cc1c(F)cccc1F)c1cc[nH+]c2ccncc21 ZINC000338780622 523156560 /nfs/dbraw/zinc/15/65/60/523156560.db2.gz CXQHPPKZPZWOGG-UHFFFAOYSA-N 1 2 285.297 3.544 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1cncc(F)c1 ZINC000275123449 523239737 /nfs/dbraw/zinc/23/97/37/523239737.db2.gz JWTONWHOKIOJGW-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1cncc(F)c1 ZINC000275123449 523239746 /nfs/dbraw/zinc/23/97/46/523239746.db2.gz JWTONWHOKIOJGW-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1cc(Br)c(OC)s1 ZINC000292899530 523338893 /nfs/dbraw/zinc/33/88/93/523338893.db2.gz ZTADIMJTVXTDLK-MRVPVSSYSA-N 1 2 290.226 3.504 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1cc(Br)c(OC)s1 ZINC000292899530 523338899 /nfs/dbraw/zinc/33/88/99/523338899.db2.gz ZTADIMJTVXTDLK-MRVPVSSYSA-N 1 2 290.226 3.504 20 0 CHADLO C[N@H+](Cc1cscc1Br)Cc1ccccn1 ZINC000290542327 523527703 /nfs/dbraw/zinc/52/77/03/523527703.db2.gz YSGKWEHBOWGJNJ-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO C[N@@H+](Cc1cscc1Br)Cc1ccccn1 ZINC000290542327 523527723 /nfs/dbraw/zinc/52/77/23/523527723.db2.gz YSGKWEHBOWGJNJ-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO CCn1cc[nH+]c1CN1CC[C@H](C)Sc2ccccc21 ZINC000109130398 523535258 /nfs/dbraw/zinc/53/52/58/523535258.db2.gz PHKQIYTVBCTTKN-ZDUSSCGKSA-N 1 2 287.432 3.794 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc(C(F)(F)F)c1 ZINC000052047960 523540964 /nfs/dbraw/zinc/54/09/64/523540964.db2.gz VVCIZKMBKCTUPF-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc(C(F)(F)F)c1 ZINC000052047960 523540974 /nfs/dbraw/zinc/54/09/74/523540974.db2.gz VVCIZKMBKCTUPF-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO CCn1ncc2ccc(NCCCc3c[nH+]ccc3C)cc21 ZINC000290214938 523702701 /nfs/dbraw/zinc/70/27/01/523702701.db2.gz FFXIETAJUOFETP-UHFFFAOYSA-N 1 2 294.402 3.804 20 0 CHADLO CC[C@H](C)Cc1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000290080978 523905698 /nfs/dbraw/zinc/90/56/98/523905698.db2.gz KUMVAJPIGAVVJC-ZDUSSCGKSA-N 1 2 296.374 3.570 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@@H+]2[C@H](C)c2nc(CC)no2)o1 ZINC000265384643 524038099 /nfs/dbraw/zinc/03/80/99/524038099.db2.gz DKUGWKWVCOWFLQ-YPMHNXCESA-N 1 2 289.379 3.686 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@H+]2[C@H](C)c2nc(CC)no2)o1 ZINC000265384643 524038102 /nfs/dbraw/zinc/03/81/02/524038102.db2.gz DKUGWKWVCOWFLQ-YPMHNXCESA-N 1 2 289.379 3.686 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2nnc(C)o2)o1 ZINC000076145022 524040387 /nfs/dbraw/zinc/04/03/87/524040387.db2.gz WCVKKTVMFIEQKN-RISCZKNCSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2nnc(C)o2)o1 ZINC000076145022 524040392 /nfs/dbraw/zinc/04/03/92/524040392.db2.gz WCVKKTVMFIEQKN-RISCZKNCSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@H](C)c2nnc([C@@H](C)CC)[nH]2)o1 ZINC000277188929 524047759 /nfs/dbraw/zinc/04/77/59/524047759.db2.gz IMJTXZDWISKFOC-SDDRHHMPSA-N 1 2 290.411 3.885 20 0 CHADLO CCc1cccc(C[NH2+]C2(c3noc(C)n3)CCCCC2)c1 ZINC000276160766 524070251 /nfs/dbraw/zinc/07/02/51/524070251.db2.gz DGCAROHSAZYOEA-UHFFFAOYSA-N 1 2 299.418 3.890 20 0 CHADLO COc1cccc2c(N[C@H](C)c3cncs3)cc[nH+]c12 ZINC000340925666 524202221 /nfs/dbraw/zinc/20/22/21/524202221.db2.gz OIEXIQRBTZVDBA-SNVBAGLBSA-N 1 2 285.372 3.873 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)COc1cc[nH+]cc1 ZINC000276423877 524235169 /nfs/dbraw/zinc/23/51/69/524235169.db2.gz HSSGZCUITWZURX-UHFFFAOYSA-N 1 2 284.359 3.531 20 0 CHADLO C[C@H]1CCN(c2ccc3ccccc3n2)C[C@H]1n1cc[nH+]c1 ZINC000268286844 524307618 /nfs/dbraw/zinc/30/76/18/524307618.db2.gz TYWXCGPEBWNXSY-WMLDXEAASA-N 1 2 292.386 3.519 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000086509365 524329931 /nfs/dbraw/zinc/32/99/31/524329931.db2.gz UPFBQBDAJQQHDQ-ZJUUUORDSA-N 1 2 280.368 3.703 20 0 CHADLO Cc1ccc(CC[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)cc1 ZINC000292185031 524335549 /nfs/dbraw/zinc/33/55/49/524335549.db2.gz OTGTUXYTUAVCNT-KRWDZBQOSA-N 1 2 298.434 3.567 20 0 CHADLO C[C@@H](C1CCCCC1)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000337809787 524336606 /nfs/dbraw/zinc/33/66/06/524336606.db2.gz LWKDHXFLHYPRLC-LBPRGKRZSA-N 1 2 259.397 3.759 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCCC[C@@H]2[C@H]2CCOC2)cc1 ZINC000365073420 524704270 /nfs/dbraw/zinc/70/42/70/524704270.db2.gz RPBDJRPQMQLGNA-IAOVAPTHSA-N 1 2 275.392 3.702 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)no1 ZINC000044693017 524776603 /nfs/dbraw/zinc/77/66/03/524776603.db2.gz HGZQGMGFZBUVKQ-SECBINFHSA-N 1 2 268.719 3.626 20 0 CHADLO Cc1ccc(F)c(NC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000037245380 524824710 /nfs/dbraw/zinc/82/47/10/524824710.db2.gz GRUYMIUIBYYXDR-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO COc1ccncc1C[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000340399852 524859396 /nfs/dbraw/zinc/85/93/96/524859396.db2.gz HPQHWQACUJJAEX-GOSISDBHSA-N 1 2 294.354 3.562 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)N(c2cc[nH+]c3ccncc32)C1 ZINC000363780351 524945554 /nfs/dbraw/zinc/94/55/54/524945554.db2.gz VOZSHYBTXWQJIG-SCLBCKFNSA-N 1 2 290.370 3.612 20 0 CHADLO Cc1cc(N2CC=C(C(C)(C)C)CC2)nc(C2CC2)[nH+]1 ZINC000340044324 525052960 /nfs/dbraw/zinc/05/29/60/525052960.db2.gz AHXIQXAKFIKVOV-UHFFFAOYSA-N 1 2 271.408 3.845 20 0 CHADLO Cc1ccccc1C(C)(C)[NH2+]Cc1nnc(C(C)C)o1 ZINC000293110800 525073368 /nfs/dbraw/zinc/07/33/68/525073368.db2.gz YMSWPJYWNWQLEG-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1ccccc1C(C)(C)[NH2+]Cc1nc(C(C)C)no1 ZINC000293079413 525073660 /nfs/dbraw/zinc/07/36/60/525073660.db2.gz WDDQMDKZHJAJEV-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1cc(NC(=O)N2C[C@@H](C)[C@@H]2c2ccccc2)cc[nH+]1 ZINC000120469544 525184308 /nfs/dbraw/zinc/18/43/08/525184308.db2.gz LSZSNWWUSFZAHW-MLGOLLRUSA-N 1 2 281.359 3.615 20 0 CHADLO Cc1ccccc1NC(=O)C[N@@H+]([C@H](C)c1ccco1)C1CC1 ZINC000086234788 525235845 /nfs/dbraw/zinc/23/58/45/525235845.db2.gz PGDOIPRJWJACRK-CQSZACIVSA-N 1 2 298.386 3.752 20 0 CHADLO Cc1ccccc1NC(=O)C[N@H+]([C@H](C)c1ccco1)C1CC1 ZINC000086234788 525235851 /nfs/dbraw/zinc/23/58/51/525235851.db2.gz PGDOIPRJWJACRK-CQSZACIVSA-N 1 2 298.386 3.752 20 0 CHADLO Cc1cc(NCCc2ccccn2)c2cccc(F)c2[nH+]1 ZINC000119742725 525272267 /nfs/dbraw/zinc/27/22/67/525272267.db2.gz SQNTZHDLMGDSRA-UHFFFAOYSA-N 1 2 281.334 3.732 20 0 CHADLO Cc1ccc(NCc2ccc(Br)c(F)c2)c[nH+]1 ZINC000149810187 525282701 /nfs/dbraw/zinc/28/27/01/525282701.db2.gz MYLITCGSTRVINV-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1c1cc[nH+]c(C2CC2)n1 ZINC000119948748 525285916 /nfs/dbraw/zinc/28/59/16/525285916.db2.gz ZPHFYAIGONXLSK-IUODEOHRSA-N 1 2 297.377 3.876 20 0 CHADLO Cc1ccc(NCc2ncc(C(F)(F)F)s2)c(C)[nH+]1 ZINC000278772249 525287391 /nfs/dbraw/zinc/28/73/91/525287391.db2.gz NTCMTKZEJYIMJV-UHFFFAOYSA-N 1 2 287.310 3.786 20 0 CHADLO Cc1ccc(NCc2conc2Cc2ccccc2)c[nH+]1 ZINC000339305613 525288287 /nfs/dbraw/zinc/28/82/87/525288287.db2.gz PENJVHOZLMYCSJ-UHFFFAOYSA-N 1 2 279.343 3.581 20 0 CHADLO Cc1ccccc1[C@@H](C)CC(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000276612770 525347178 /nfs/dbraw/zinc/34/71/78/525347178.db2.gz MXCNPUUTTQGQBN-YOEHRIQHSA-N 1 2 299.418 3.725 20 0 CHADLO Cc1ccccc1[C@@]1(F)CCN(c2cc[nH+]c(C3CC3)n2)C1 ZINC000336917053 525398790 /nfs/dbraw/zinc/39/87/90/525398790.db2.gz CRVGJWNTOCMDJY-GOSISDBHSA-N 1 2 297.377 3.738 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc3c(c2)CC(C)(C)O3)c2[nH+]ccn21 ZINC000296409313 525449612 /nfs/dbraw/zinc/44/96/12/525449612.db2.gz KUTUOKBBASLSSQ-RISCZKNCSA-N 1 2 283.375 3.715 20 0 CHADLO C[C@H]([NH2+]Cc1ccno1)c1ccc(Cl)cc1Cl ZINC000071016054 525471966 /nfs/dbraw/zinc/47/19/66/525471966.db2.gz AWQJDNRUOHFTFR-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(F)c(Cl)cc1F)c1cscn1 ZINC000278881190 525512811 /nfs/dbraw/zinc/51/28/11/525512811.db2.gz SNKULAPKGXVUPO-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](CO)c2c(F)cccc2F)c(C)o1 ZINC000278673016 525524873 /nfs/dbraw/zinc/52/48/73/525524873.db2.gz JHMUZYNVEMIYLQ-BONVTDFDSA-N 1 2 295.329 3.559 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1ccccc1Cl ZINC000040420813 525556127 /nfs/dbraw/zinc/55/61/27/525556127.db2.gz MCCSEPAGJBCCCV-SECBINFHSA-N 1 2 252.770 3.647 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1C(F)F)c1ccccc1Cl ZINC000042336513 525562071 /nfs/dbraw/zinc/56/20/71/525562071.db2.gz TZHSWUGOWYHJCW-SECBINFHSA-N 1 2 285.725 3.782 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1ccccn1 ZINC000037102295 525706133 /nfs/dbraw/zinc/70/61/33/525706133.db2.gz ABIIOYBMJBZSBX-CQSZACIVSA-N 1 2 267.376 3.855 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccc(Cl)cn1 ZINC000274810825 525707041 /nfs/dbraw/zinc/70/70/41/525707041.db2.gz XVEUEQUDOHHUGX-LLVKDONJSA-N 1 2 275.783 3.974 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1cccc(Cl)n1 ZINC000075612156 525735732 /nfs/dbraw/zinc/73/57/32/525735732.db2.gz LOUPBYLGSYKFGO-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cccc(Cl)n1 ZINC000075612156 525735736 /nfs/dbraw/zinc/73/57/36/525735736.db2.gz LOUPBYLGSYKFGO-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000290354368 526021249 /nfs/dbraw/zinc/02/12/49/526021249.db2.gz GANNKHOJMQLDTN-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000290354368 526021255 /nfs/dbraw/zinc/02/12/55/526021255.db2.gz GANNKHOJMQLDTN-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000290354368 526021264 /nfs/dbraw/zinc/02/12/64/526021264.db2.gz GANNKHOJMQLDTN-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1c[nH]nc1C[N@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000290354368 526021269 /nfs/dbraw/zinc/02/12/69/526021269.db2.gz GANNKHOJMQLDTN-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2ncc(C)o2)o1 ZINC000076120290 526031954 /nfs/dbraw/zinc/03/19/54/526031954.db2.gz JDZHAHMKERLKRI-SMDDNHRTSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2ncc(C)o2)o1 ZINC000076120290 526031962 /nfs/dbraw/zinc/03/19/62/526031962.db2.gz JDZHAHMKERLKRI-SMDDNHRTSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@H](C)c1nc(-c2ccccc2)cs1 ZINC000289572560 526034512 /nfs/dbraw/zinc/03/45/12/526034512.db2.gz RXZHGOBAKDWXRM-GFCCVEGCSA-N 1 2 298.415 3.692 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@H](C)c1nc(-c2ccccc2)cs1 ZINC000289572560 526034520 /nfs/dbraw/zinc/03/45/20/526034520.db2.gz RXZHGOBAKDWXRM-GFCCVEGCSA-N 1 2 298.415 3.692 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1cnc([C@H](C)O)s1 ZINC000289215245 526116578 /nfs/dbraw/zinc/11/65/78/526116578.db2.gz XAAMSZAAPRDNFH-MNOVXSKESA-N 1 2 294.395 3.529 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1cnc([C@H](C)O)s1 ZINC000289215245 526116587 /nfs/dbraw/zinc/11/65/87/526116587.db2.gz XAAMSZAAPRDNFH-MNOVXSKESA-N 1 2 294.395 3.529 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1cc(-c2cccs2)on1 ZINC000127793215 526132018 /nfs/dbraw/zinc/13/20/18/526132018.db2.gz OUHWMSRUEKIQLZ-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1cc(-c2cccs2)on1 ZINC000127793215 526132027 /nfs/dbraw/zinc/13/20/27/526132027.db2.gz OUHWMSRUEKIQLZ-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1nnc(C(C)C)[nH]1 ZINC000292836148 526192756 /nfs/dbraw/zinc/19/27/56/526192756.db2.gz MYAPNHSNLPTRQT-NSHDSACASA-N 1 2 290.436 3.501 20 0 CHADLO C[C@H]1CC=CC[C@@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000340894285 526235688 /nfs/dbraw/zinc/23/56/88/526235688.db2.gz UBECFTADSNRTRM-JSGCOSHPSA-N 1 2 299.349 3.552 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C1(c2ccccc2F)CCCC1 ZINC000264547965 526237324 /nfs/dbraw/zinc/23/73/24/526237324.db2.gz RGHQBXKAKYTLBC-UHFFFAOYSA-N 1 2 298.361 3.980 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1csc(-c2ccsc2)n1 ZINC000293090384 526286568 /nfs/dbraw/zinc/28/65/68/526286568.db2.gz PKWPQLSZCBRFJN-LBPRGKRZSA-N 1 2 282.409 3.806 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1csc(-c2ccsc2)n1 ZINC000293090384 526286572 /nfs/dbraw/zinc/28/65/72/526286572.db2.gz PKWPQLSZCBRFJN-LBPRGKRZSA-N 1 2 282.409 3.806 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2cc(C)ccc2F)n1 ZINC000116918188 526321522 /nfs/dbraw/zinc/32/15/22/526321522.db2.gz JPCLSENDLZCTFK-UHFFFAOYSA-N 1 2 278.396 3.924 20 0 CHADLO Cc1csc(C2([NH2+][C@@H](C)c3ccc(F)cn3)CCC2)n1 ZINC000271963228 526328632 /nfs/dbraw/zinc/32/86/32/526328632.db2.gz LPSRIDRDWMBVGZ-NSHDSACASA-N 1 2 291.395 3.716 20 0 CHADLO Cc1csc(C[N@@H+](C)[C@@H](C)c2sc(C)nc2C)n1 ZINC000088598615 526342265 /nfs/dbraw/zinc/34/22/65/526342265.db2.gz UUNCLXWMIXCFPL-JTQLQIEISA-N 1 2 281.450 3.718 20 0 CHADLO Cc1csc(C[N@H+](C)[C@@H](C)c2sc(C)nc2C)n1 ZINC000088598615 526342271 /nfs/dbraw/zinc/34/22/71/526342271.db2.gz UUNCLXWMIXCFPL-JTQLQIEISA-N 1 2 281.450 3.718 20 0 CHADLO Fc1ccc2cc[nH+]c(NC[C@@H]3CCCSC3)c2c1 ZINC000340943781 526377177 /nfs/dbraw/zinc/37/71/77/526377177.db2.gz VBEHUOGKZJNOFS-NSHDSACASA-N 1 2 276.380 3.929 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2nccc3ccccc32)s1 ZINC000290746520 526396805 /nfs/dbraw/zinc/39/68/05/526396805.db2.gz QMTWEGYZSAPQFA-GHMZBOCLSA-N 1 2 298.415 3.807 20 0 CHADLO Cc1csc([C@@H]2COCC[N@H+]2C[C@H](C)CC(C)C)n1 ZINC000337205272 526424674 /nfs/dbraw/zinc/42/46/74/526424674.db2.gz KEQCJFFSBIQSCD-OCCSQVGLSA-N 1 2 282.453 3.507 20 0 CHADLO Cc1csc([C@@H]2COCC[N@@H+]2C[C@H](C)CC(C)C)n1 ZINC000337205272 526424678 /nfs/dbraw/zinc/42/46/78/526424678.db2.gz KEQCJFFSBIQSCD-OCCSQVGLSA-N 1 2 282.453 3.507 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1cccc(O)c1Cl ZINC000293034828 526475757 /nfs/dbraw/zinc/47/57/57/526475757.db2.gz RTISFENUSNPIHV-UHFFFAOYSA-N 1 2 294.782 3.675 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2noc(C3CC3)n2)C2CCC2)cc1 ZINC000104702299 526491538 /nfs/dbraw/zinc/49/15/38/526491538.db2.gz MUXPRDZKUUVPQK-MRXNPFEDSA-N 1 2 283.375 3.578 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@H]1CCO[C@@H]1C ZINC000338558619 526669517 /nfs/dbraw/zinc/66/95/17/526669517.db2.gz XGRYXCAEAPFLJW-DOMZBBRYSA-N 1 2 265.422 3.924 20 0 CHADLO Cc1nocc1C[NH2+][C@H](c1cccc(C)c1)c1ccccn1 ZINC000339333390 526697956 /nfs/dbraw/zinc/69/79/56/526697956.db2.gz NIGRSMMIKXNDMT-GOSISDBHSA-N 1 2 293.370 3.566 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000065603204 526825800 /nfs/dbraw/zinc/82/58/00/526825800.db2.gz FCSJJDNAMHICJQ-UHFFFAOYSA-N 1 2 262.353 3.506 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000065603204 526825807 /nfs/dbraw/zinc/82/58/07/526825807.db2.gz FCSJJDNAMHICJQ-UHFFFAOYSA-N 1 2 262.353 3.506 20 0 CHADLO Cc1nc(C[N@H+](C)CCOc2ccccc2Cl)cs1 ZINC000052711186 526839234 /nfs/dbraw/zinc/83/92/34/526839234.db2.gz VMKHIGQZWYJZNC-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1nc(C[N@@H+](C)CCOc2ccccc2Cl)cs1 ZINC000052711186 526839240 /nfs/dbraw/zinc/83/92/40/526839240.db2.gz VMKHIGQZWYJZNC-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2cccc3ccccc32)no1 ZINC000066468810 526860433 /nfs/dbraw/zinc/86/04/33/526860433.db2.gz NBUKABSNVSSPAC-QGZVFWFLSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2cccc3ccccc32)no1 ZINC000066468810 526860434 /nfs/dbraw/zinc/86/04/34/526860434.db2.gz NBUKABSNVSSPAC-QGZVFWFLSA-N 1 2 293.370 3.868 20 0 CHADLO CC(=O)Nc1cc(NCCCc2ccc(C)[nH+]c2)ccc1C ZINC000353793627 526927006 /nfs/dbraw/zinc/92/70/06/526927006.db2.gz QORVUMPSUNFJOQ-UHFFFAOYSA-N 1 2 297.402 3.702 20 0 CHADLO Clc1ccc(C2([NH2+]Cc3ccon3)CC2)cc1Cl ZINC000265867798 526942074 /nfs/dbraw/zinc/94/20/74/526942074.db2.gz USYMSNFRLSJETC-UHFFFAOYSA-N 1 2 283.158 3.760 20 0 CHADLO CC(=O)Nc1ccc(Nc2cc(C)[nH+]c(C(C)C)n2)cc1 ZINC000301089122 527017020 /nfs/dbraw/zinc/01/70/20/527017020.db2.gz RNNKKVWRWVUZRX-UHFFFAOYSA-N 1 2 284.363 3.610 20 0 CHADLO Cc1nc([C@@H]2CCC[N@@H+]2Cc2cc(O)cc(F)c2)cs1 ZINC000275146057 527052690 /nfs/dbraw/zinc/05/26/90/527052690.db2.gz QLZRHRMAIJOPKR-HNNXBMFYSA-N 1 2 292.379 3.633 20 0 CHADLO Cc1nc([C@@H]2CCC[N@H+]2Cc2cc(O)cc(F)c2)cs1 ZINC000275146057 527052696 /nfs/dbraw/zinc/05/26/96/527052696.db2.gz QLZRHRMAIJOPKR-HNNXBMFYSA-N 1 2 292.379 3.633 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccccc2Cl)cs1 ZINC000036914460 527064507 /nfs/dbraw/zinc/06/45/07/527064507.db2.gz VKZCDBSWOQKPLT-VIFPVBQESA-N 1 2 266.797 3.956 20 0 CHADLO Cn1c2ccc(NC(=O)C3(C)CCCC3)cc2[nH+]c1C1CC1 ZINC000340667182 527101622 /nfs/dbraw/zinc/10/16/22/527101622.db2.gz OROLGTMFKZIVHD-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO Cc1[nH+]c2ccccc2n1C1CCN(C(=O)C(C)(C)C)CC1 ZINC000279859663 527162692 /nfs/dbraw/zinc/16/26/92/527162692.db2.gz UYUUWEVPAXTHEB-UHFFFAOYSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cccc(Cl)c2Cl)cn1 ZINC000338411186 527252192 /nfs/dbraw/zinc/25/21/92/527252192.db2.gz UPCLAZZPKIJRGG-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cccc(Cl)c2Cl)cn1 ZINC000338411186 527252200 /nfs/dbraw/zinc/25/22/00/527252200.db2.gz UPCLAZZPKIJRGG-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1nc(NCc2ccc(C(C)(F)F)cc2)cc[nH+]1 ZINC000293283031 527351403 /nfs/dbraw/zinc/35/14/03/527351403.db2.gz YAYGFHCQGGHMFK-UHFFFAOYSA-N 1 2 263.291 3.509 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1ccc(Cl)cn1 ZINC000364858418 527409624 /nfs/dbraw/zinc/40/96/24/527409624.db2.gz GBYNGUDAFOUFAO-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1ccc(Cl)cn1 ZINC000364858418 527409630 /nfs/dbraw/zinc/40/96/30/527409630.db2.gz GBYNGUDAFOUFAO-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000366546697 527592729 /nfs/dbraw/zinc/59/27/29/527592729.db2.gz VROJZIKBMVKMSH-QGZVFWFLSA-N 1 2 298.434 3.751 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000366546697 527592737 /nfs/dbraw/zinc/59/27/37/527592737.db2.gz VROJZIKBMVKMSH-QGZVFWFLSA-N 1 2 298.434 3.751 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@H](C)c1ccc(F)cc1 ZINC000299516251 527665985 /nfs/dbraw/zinc/66/59/85/527665985.db2.gz KAYLHZIVUMVZJW-LLVKDONJSA-N 1 2 295.789 3.714 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@H](C)c1ccc(F)cc1 ZINC000299516251 527665987 /nfs/dbraw/zinc/66/59/87/527665987.db2.gz KAYLHZIVUMVZJW-LLVKDONJSA-N 1 2 295.789 3.714 20 0 CHADLO CCC[C@@H]1C[N@H+](Cc2cscc2C(F)(F)F)CCO1 ZINC000356125793 527786073 /nfs/dbraw/zinc/78/60/73/527786073.db2.gz SNAUJCBTARSGGS-LLVKDONJSA-N 1 2 293.354 3.768 20 0 CHADLO CCC[C@@H]1C[N@@H+](Cc2cscc2C(F)(F)F)CCO1 ZINC000356125793 527786077 /nfs/dbraw/zinc/78/60/77/527786077.db2.gz SNAUJCBTARSGGS-LLVKDONJSA-N 1 2 293.354 3.768 20 0 CHADLO CC(C)c1ccc(C(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)s1 ZINC000334750119 528076681 /nfs/dbraw/zinc/07/66/81/528076681.db2.gz QLVSRZMIXFOSAX-WDEREUQCSA-N 1 2 289.404 3.504 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc(SC)c[nH+]2)C12CCCC2 ZINC000347257765 528105905 /nfs/dbraw/zinc/10/59/05/528105905.db2.gz MWWDOKHFJRJMHW-KGLIPLIRSA-N 1 2 292.448 3.953 20 0 CHADLO CC1=CCC[C@H](C)[C@H]1CNc1ccc(Cn2cc[nH+]c2)cn1 ZINC000353141424 528109742 /nfs/dbraw/zinc/10/97/42/528109742.db2.gz QXMXFCAHCMNCTL-RDJZCZTQSA-N 1 2 296.418 3.731 20 0 CHADLO CCC(=O)Nc1ccc2[nH]c(C3CCCCC3)[nH+]c2c1 ZINC000352279984 528439246 /nfs/dbraw/zinc/43/92/46/528439246.db2.gz NVFKHNNHGSCLLN-UHFFFAOYSA-N 1 2 271.364 3.959 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc([C@H]3C[C@@H]3C)o2)s1 ZINC000344627903 528442814 /nfs/dbraw/zinc/44/28/14/528442814.db2.gz GVJKGGXQOSFZPY-JQWIXIFHSA-N 1 2 291.420 3.668 20 0 CHADLO CC(C)[C@@H](NC(=O)CC/C=C/c1ccccc1)c1[nH]cc[nH+]1 ZINC000352141478 528543397 /nfs/dbraw/zinc/54/33/97/528543397.db2.gz RBYWETKBYMXXQR-DKISHCGFSA-N 1 2 297.402 3.717 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ncc(Cl)s1 ZINC000352001649 528854794 /nfs/dbraw/zinc/85/47/94/528854794.db2.gz GZVJCEWTMBOLEJ-UHFFFAOYSA-N 1 2 272.826 3.880 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ncc(Cl)s1 ZINC000352001649 528854796 /nfs/dbraw/zinc/85/47/96/528854796.db2.gz GZVJCEWTMBOLEJ-UHFFFAOYSA-N 1 2 272.826 3.880 20 0 CHADLO CC[N@H+](Cc1ncc(Cl)s1)Cc1ccc(OC)cc1 ZINC000352002096 528888650 /nfs/dbraw/zinc/88/86/50/528888650.db2.gz KIOOZNDQEUVAEJ-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC[N@@H+](Cc1ncc(Cl)s1)Cc1ccc(OC)cc1 ZINC000352002096 528888653 /nfs/dbraw/zinc/88/86/53/528888653.db2.gz KIOOZNDQEUVAEJ-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCC1(C)CC[NH+](Cc2ncc(Cl)s2)CC1 ZINC000352001459 529023252 /nfs/dbraw/zinc/02/32/52/529023252.db2.gz GFGLBUFGHFZNKB-UHFFFAOYSA-N 1 2 258.818 3.809 20 0 CHADLO CC(C)[C@H](NC(=O)CC/C=C/c1ccccc1)c1[nH]cc[nH+]1 ZINC000352141472 529080801 /nfs/dbraw/zinc/08/08/01/529080801.db2.gz RBYWETKBYMXXQR-BHMZLHOFSA-N 1 2 297.402 3.717 20 0 CHADLO CC[C@H](C)c1cc(-c2nc(Cc3ccc(C)[nH+]c3)no2)on1 ZINC000356110893 529271081 /nfs/dbraw/zinc/27/10/81/529271081.db2.gz MPDCLKQSCHZUNW-JTQLQIEISA-N 1 2 298.346 3.532 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)c1ccccc1 ZINC000127108919 1125460018 /nfs/dbraw/zinc/46/00/18/1125460018.db2.gz AQLHFJCNSOBZTF-AWEZNQCLSA-N 1 2 291.354 3.615 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2[C@H](C)c2nc(C(C)C)no2)o1 ZINC000331401499 1125460115 /nfs/dbraw/zinc/46/01/15/1125460115.db2.gz MZXSJLARBLXRJL-OLZOCXBDSA-N 1 2 289.379 3.993 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2[C@H](C)c2nc(C(C)C)no2)o1 ZINC000331401499 1125460117 /nfs/dbraw/zinc/46/01/17/1125460117.db2.gz MZXSJLARBLXRJL-OLZOCXBDSA-N 1 2 289.379 3.993 20 0 CHADLO Cc1cccc(C)c1[C@H](C)OC(=O)CCCn1cc[nH+]c1 ZINC000133495274 1125462426 /nfs/dbraw/zinc/46/24/26/1125462426.db2.gz MYMYVECMACIAJK-HNNXBMFYSA-N 1 2 286.375 3.585 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1ccc2nc(C)ccc2c1 ZINC000623679039 1117888350 /nfs/dbraw/zinc/88/83/50/1117888350.db2.gz GJKADLQQOLTUFS-UHFFFAOYSA-N 1 2 264.319 3.678 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1ccc(SC)s1 ZINC000623679114 1117888403 /nfs/dbraw/zinc/88/84/03/1117888403.db2.gz JBQPRBGPNFMVQD-UHFFFAOYSA-N 1 2 251.367 3.605 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2ccnc(Cl)c2)C1 ZINC000111146009 1125480724 /nfs/dbraw/zinc/48/07/24/1125480724.db2.gz XWOHDYABONMSBU-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2ccnc(Cl)c2)C1 ZINC000111146009 1125480728 /nfs/dbraw/zinc/48/07/28/1125480728.db2.gz XWOHDYABONMSBU-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO CC1(C)CN(c2[nH+]ccc3ccccc32)CCS1 ZINC000624025745 1117974673 /nfs/dbraw/zinc/97/46/73/1117974673.db2.gz LQNRKGMWOPVOKT-UHFFFAOYSA-N 1 2 258.390 3.567 20 0 CHADLO CNc1ccc(Nc2cc(Cl)c(O)cc2Cl)c[nH+]1 ZINC001203457950 1118214581 /nfs/dbraw/zinc/21/45/81/1118214581.db2.gz FBVBFLQCLDJVQZ-UHFFFAOYSA-N 1 2 284.146 3.879 20 0 CHADLO CC(C)(C)c1ncc(C[NH+]2CC(CC(F)(F)F)C2)s1 ZINC000656686623 1118635724 /nfs/dbraw/zinc/63/57/24/1118635724.db2.gz RDGPLDCUOGZFQV-UHFFFAOYSA-N 1 2 292.370 3.825 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc(F)c(OC(F)(F)F)c1 ZINC001143435398 1119004795 /nfs/dbraw/zinc/00/47/95/1119004795.db2.gz AZGKMKLXJRVOGP-SCZZXKLOSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc(F)c(OC(F)(F)F)c1 ZINC001143435398 1119004797 /nfs/dbraw/zinc/00/47/97/1119004797.db2.gz AZGKMKLXJRVOGP-SCZZXKLOSA-N 1 2 295.251 3.657 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2cccnc2)cs1 ZINC000112547087 1125487379 /nfs/dbraw/zinc/48/73/79/1125487379.db2.gz QDIZYGNKUBGNTF-MNOVXSKESA-N 1 2 261.394 3.512 20 0 CHADLO CC(C)[C@H](c1ccccc1)[N@H+](C)Cc1noc(C2CC2)n1 ZINC000112699012 1125489124 /nfs/dbraw/zinc/48/91/24/1125489124.db2.gz QNFBVCUHUGVKTJ-MRXNPFEDSA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)[C@H](c1ccccc1)[N@@H+](C)Cc1noc(C2CC2)n1 ZINC000112699012 1125489128 /nfs/dbraw/zinc/48/91/28/1125489128.db2.gz QNFBVCUHUGVKTJ-MRXNPFEDSA-N 1 2 285.391 3.776 20 0 CHADLO C[N@@H+](Cc1cc2ccccc2o1)Cc1nc2ccccc2[nH]1 ZINC000131298408 1125490624 /nfs/dbraw/zinc/49/06/24/1125490624.db2.gz HGCBHNBVOMGUNW-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO C[N@H+](Cc1cc2ccccc2o1)Cc1nc2ccccc2[nH]1 ZINC000131298408 1125490619 /nfs/dbraw/zinc/49/06/19/1125490619.db2.gz HGCBHNBVOMGUNW-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO CC[N@H+](Cc1nc(C)c(C)[nH]1)Cc1cccc(Cl)c1 ZINC000628135353 1119429834 /nfs/dbraw/zinc/42/98/34/1119429834.db2.gz UCELZZFHBZCUSW-UHFFFAOYSA-N 1 2 277.799 3.702 20 0 CHADLO CC[N@@H+](Cc1nc(C)c(C)[nH]1)Cc1cccc(Cl)c1 ZINC000628135353 1119429835 /nfs/dbraw/zinc/42/98/35/1119429835.db2.gz UCELZZFHBZCUSW-UHFFFAOYSA-N 1 2 277.799 3.702 20 0 CHADLO CCN(Cc1[nH]c(C)c(C)[nH+]1)Cc1cccc(Cl)c1 ZINC000628135353 1119429837 /nfs/dbraw/zinc/42/98/37/1119429837.db2.gz UCELZZFHBZCUSW-UHFFFAOYSA-N 1 2 277.799 3.702 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001137999508 1131448939 /nfs/dbraw/zinc/44/89/39/1131448939.db2.gz LCARNQFJTQJAFO-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001137999508 1131448940 /nfs/dbraw/zinc/44/89/40/1131448940.db2.gz LCARNQFJTQJAFO-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO Cc1ccc2c(Nc3c(N)cccc3O)cccc2[nH+]1 ZINC001212798844 1125495341 /nfs/dbraw/zinc/49/53/41/1125495341.db2.gz VYAFERCTEOSSKS-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO OCC[C@@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000648368712 1120091959 /nfs/dbraw/zinc/09/19/59/1120091959.db2.gz NGXCIMRVUODKMQ-VIFPVBQESA-N 1 2 294.247 3.649 20 0 CHADLO OCC[C@@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000648368712 1120091964 /nfs/dbraw/zinc/09/19/64/1120091964.db2.gz NGXCIMRVUODKMQ-VIFPVBQESA-N 1 2 294.247 3.649 20 0 CHADLO CC[N@H+](Cc1coc(C)n1)Cc1ccc(Cl)cc1 ZINC000659856945 1120198204 /nfs/dbraw/zinc/19/82/04/1120198204.db2.gz IGPMDRODAFPGJZ-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1coc(C)n1)Cc1ccc(Cl)cc1 ZINC000659856945 1120198208 /nfs/dbraw/zinc/19/82/08/1120198208.db2.gz IGPMDRODAFPGJZ-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC(C)CC[C@@H]1CCCC[N@@H+]1Cc1noc(C(C)C)n1 ZINC000472839760 1120203780 /nfs/dbraw/zinc/20/37/80/1120203780.db2.gz RJRZRLAIFKRUBT-AWEZNQCLSA-N 1 2 279.428 3.984 20 0 CHADLO CC(C)CC[C@@H]1CCCC[N@H+]1Cc1noc(C(C)C)n1 ZINC000472839760 1120203784 /nfs/dbraw/zinc/20/37/84/1120203784.db2.gz RJRZRLAIFKRUBT-AWEZNQCLSA-N 1 2 279.428 3.984 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1ccc(Cl)cc1F ZINC000179490571 1120248751 /nfs/dbraw/zinc/24/87/51/1120248751.db2.gz SYRMXQGNSMKMRN-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO CC[N@H+](Cc1ccc(F)nc1)Cc1ccccc1Cl ZINC000189297973 1120479469 /nfs/dbraw/zinc/47/94/69/1120479469.db2.gz KJAFXKNERMYHJG-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccc(F)nc1)Cc1ccccc1Cl ZINC000189297973 1120479471 /nfs/dbraw/zinc/47/94/71/1120479471.db2.gz KJAFXKNERMYHJG-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC(C)([NH2+]CC(F)F)c1ccc(Br)cc1 ZINC000309085693 1120957368 /nfs/dbraw/zinc/95/73/68/1120957368.db2.gz XDKSPGBRMALWPA-UHFFFAOYSA-N 1 2 278.140 3.539 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1nc(Cl)ccc1Cl ZINC000708509214 1121468351 /nfs/dbraw/zinc/46/83/51/1121468351.db2.gz ZFUAGJSUSLTZMV-UHFFFAOYSA-N 1 2 291.222 3.635 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1nc(Cl)ccc1Cl ZINC000708509214 1121468355 /nfs/dbraw/zinc/46/83/55/1121468355.db2.gz ZFUAGJSUSLTZMV-UHFFFAOYSA-N 1 2 291.222 3.635 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@H+](Cc2cnc(Cl)s2)C1 ZINC000708694843 1121464978 /nfs/dbraw/zinc/46/49/78/1121464978.db2.gz WUQFADIPZOOPFK-SECBINFHSA-N 1 2 284.734 3.571 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC000708694843 1121464981 /nfs/dbraw/zinc/46/49/81/1121464981.db2.gz WUQFADIPZOOPFK-SECBINFHSA-N 1 2 284.734 3.571 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc(C)ccc2C)oc1C ZINC000429312336 1121522209 /nfs/dbraw/zinc/52/22/09/1121522209.db2.gz SMOJGJDLRZXAIM-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc(C)ccc2C)oc1C ZINC000429312336 1121522217 /nfs/dbraw/zinc/52/22/17/1121522217.db2.gz SMOJGJDLRZXAIM-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1nc(C(C)(C)C)co1 ZINC000894141779 1121653432 /nfs/dbraw/zinc/65/34/32/1121653432.db2.gz JHNLEJMBZKTAHB-ZJUUUORDSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1nc(C(C)(C)C)co1 ZINC000894141779 1121653438 /nfs/dbraw/zinc/65/34/38/1121653438.db2.gz JHNLEJMBZKTAHB-ZJUUUORDSA-N 1 2 290.329 3.745 20 0 CHADLO Cc1ccc(CSCc2nn(C)cc2Cl)c(C)[nH+]1 ZINC000421217854 1122083098 /nfs/dbraw/zinc/08/30/98/1122083098.db2.gz HIYQSNXSXYWPDR-UHFFFAOYSA-N 1 2 281.812 3.519 20 0 CHADLO CC[C@@H](C)Nc1cc[nH+]c2[nH]cc(C(F)(F)F)c21 ZINC001167998256 1123138858 /nfs/dbraw/zinc/13/88/58/1123138858.db2.gz BXHBAYOEEQGKEH-SSDOTTSWSA-N 1 2 257.259 3.792 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)CCC(F)(F)F ZINC001202356031 1123201277 /nfs/dbraw/zinc/20/12/77/1123201277.db2.gz IQODYJVXTNNJNL-ZDUSSCGKSA-N 1 2 287.325 3.922 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)CCC(F)(F)F ZINC001202356031 1123201279 /nfs/dbraw/zinc/20/12/79/1123201279.db2.gz IQODYJVXTNNJNL-ZDUSSCGKSA-N 1 2 287.325 3.922 20 0 CHADLO CC(C)(C)c1nnc(C[N@H+]2CC=C(C(C)(C)C)CC2)o1 ZINC000759270115 1123503500 /nfs/dbraw/zinc/50/35/00/1123503500.db2.gz YSFIVBPVURVZAK-UHFFFAOYSA-N 1 2 277.412 3.545 20 0 CHADLO CC(C)(C)c1nnc(C[N@@H+]2CC=C(C(C)(C)C)CC2)o1 ZINC000759270115 1123503505 /nfs/dbraw/zinc/50/35/05/1123503505.db2.gz YSFIVBPVURVZAK-UHFFFAOYSA-N 1 2 277.412 3.545 20 0 CHADLO CCc1cnc(C[N@H+](Cc2ccco2)C(C)C)s1 ZINC000346169333 1123669402 /nfs/dbraw/zinc/66/94/02/1123669402.db2.gz JCHNWSRYJGLQED-UHFFFAOYSA-N 1 2 264.394 3.709 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2ccco2)C(C)C)s1 ZINC000346169333 1123669405 /nfs/dbraw/zinc/66/94/05/1123669405.db2.gz JCHNWSRYJGLQED-UHFFFAOYSA-N 1 2 264.394 3.709 20 0 CHADLO Cc1csc(C[N@H+]2CCC[C@H](C(F)(F)F)[C@H]2C)n1 ZINC000336477311 1123772450 /nfs/dbraw/zinc/77/24/50/1123772450.db2.gz MSTYQXADFKJGDW-ZJUUUORDSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1csc(C[N@@H+]2CCC[C@H](C(F)(F)F)[C@H]2C)n1 ZINC000336477311 1123772454 /nfs/dbraw/zinc/77/24/54/1123772454.db2.gz MSTYQXADFKJGDW-ZJUUUORDSA-N 1 2 278.343 3.614 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1csc(C2CC2)n1 ZINC000348244842 1125429438 /nfs/dbraw/zinc/42/94/38/1125429438.db2.gz NUFOINXTEDHSRK-KCJUWKMLSA-N 1 2 290.354 3.793 20 0 CHADLO Oc1ccc(Br)c(C[NH+]2CC3(C2)CCCC3)c1 ZINC001138142960 1131471838 /nfs/dbraw/zinc/47/18/38/1131471838.db2.gz SYUBKTXCKQXFLT-UHFFFAOYSA-N 1 2 296.208 3.531 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1ccc(F)cc1Cl ZINC000125371420 1125448113 /nfs/dbraw/zinc/44/81/13/1125448113.db2.gz YLMYEVVSSOMGEL-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1ccc(F)cc1Cl ZINC000125371420 1125448118 /nfs/dbraw/zinc/44/81/18/1125448118.db2.gz YLMYEVVSSOMGEL-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC001143758105 1131532212 /nfs/dbraw/zinc/53/22/12/1131532212.db2.gz WXGOTKKABOOTMC-AWEZNQCLSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@H+]1CCC[C@](C)(F)C1 ZINC001143758105 1131532217 /nfs/dbraw/zinc/53/22/17/1131532217.db2.gz WXGOTKKABOOTMC-AWEZNQCLSA-N 1 2 257.299 3.597 20 0 CHADLO FC1(F)C[C@@H]1[NH2+][C@H]1CCc2cc(Cl)c(Cl)cc2C1 ZINC001171139486 1131584902 /nfs/dbraw/zinc/58/49/02/1131584902.db2.gz MVOXMULOQGWCLR-CABZTGNLSA-N 1 2 292.156 3.848 20 0 CHADLO Cc1nc([C@@H](C)Nc2ccc([NH+](C)C)cc2C)cs1 ZINC000036934964 1131983545 /nfs/dbraw/zinc/98/35/45/1131983545.db2.gz VMNGDRPNUMONKN-LLVKDONJSA-N 1 2 275.421 3.999 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCC[C@@H](F)C1 ZINC001172853141 1132339549 /nfs/dbraw/zinc/33/95/49/1132339549.db2.gz JAJRMARUCRVQGQ-GHMZBOCLSA-N 1 2 273.754 3.844 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCC[C@@H](F)C1 ZINC001172853141 1132339557 /nfs/dbraw/zinc/33/95/57/1132339557.db2.gz JAJRMARUCRVQGQ-GHMZBOCLSA-N 1 2 273.754 3.844 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cnc2cc(Cl)ccc2n1 ZINC001155181277 1132646541 /nfs/dbraw/zinc/64/65/41/1132646541.db2.gz NFFVDVCVEDLKQX-UHFFFAOYSA-N 1 2 262.744 3.718 20 0 CHADLO CSCc1ccc(N[C@H](C)CCCC(C)(C)O)[nH+]c1 ZINC001157898599 1132755150 /nfs/dbraw/zinc/75/51/50/1132755150.db2.gz YFMDAGZKEYUOIL-GFCCVEGCSA-N 1 2 282.453 3.686 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Br)ncc2F)c1 ZINC001203657569 1133349843 /nfs/dbraw/zinc/34/98/43/1133349843.db2.gz PDSATMPCUWPFKZ-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Fc1c(F)c(F)c(C[N@H+](CC2CC2)C2CC2)c(F)c1F ZINC001203372017 1133319471 /nfs/dbraw/zinc/31/94/71/1133319471.db2.gz HQOHSGZTCVLTAR-UHFFFAOYSA-N 1 2 291.263 3.757 20 0 CHADLO Fc1c(F)c(F)c(C[N@@H+](CC2CC2)C2CC2)c(F)c1F ZINC001203372017 1133319475 /nfs/dbraw/zinc/31/94/75/1133319475.db2.gz HQOHSGZTCVLTAR-UHFFFAOYSA-N 1 2 291.263 3.757 20 0 CHADLO Clc1cccc(C[NH+]2CC3(CCC3)C2)c1Cl ZINC001204181652 1133416600 /nfs/dbraw/zinc/41/66/00/1133416600.db2.gz XLAFJRMTUNNGAO-UHFFFAOYSA-N 1 2 256.176 3.979 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2ccccc2OC(F)(F)F)C1 ZINC001204424239 1133446064 /nfs/dbraw/zinc/44/60/64/1133446064.db2.gz XLZCTGTWPYDHQB-JQWIXIFHSA-N 1 2 291.288 3.765 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2ccccc2OC(F)(F)F)C1 ZINC001204424239 1133446078 /nfs/dbraw/zinc/44/60/78/1133446078.db2.gz XLZCTGTWPYDHQB-JQWIXIFHSA-N 1 2 291.288 3.765 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](C(C)C)C2)cc1Cl ZINC001204974740 1133509883 /nfs/dbraw/zinc/50/98/83/1133509883.db2.gz BCVISNQNDFOUAH-HNNXBMFYSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](C(C)C)C2)cc1Cl ZINC001204974740 1133509884 /nfs/dbraw/zinc/50/98/84/1133509884.db2.gz BCVISNQNDFOUAH-HNNXBMFYSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCC(F)(F)[C@H](F)C2)cc1F ZINC001205214805 1133546798 /nfs/dbraw/zinc/54/67/98/1133546798.db2.gz CBTWOGOMMIOPOU-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1ccc(C[N@H+]2CCCC(F)(F)[C@H](F)C2)cc1F ZINC001205214805 1133546799 /nfs/dbraw/zinc/54/67/99/1133546799.db2.gz CBTWOGOMMIOPOU-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO C[C@@H](CC[N@@H+]1CC(F)(F)C[C@@]1(C)CO)CC(C)(C)C ZINC001208656082 1133922987 /nfs/dbraw/zinc/92/29/87/1133922987.db2.gz VBBYXPBGVCAICR-JSGCOSHPSA-N 1 2 277.399 3.541 20 0 CHADLO C[C@@H](CC[N@H+]1CC(F)(F)C[C@@]1(C)CO)CC(C)(C)C ZINC001208656082 1133922994 /nfs/dbraw/zinc/92/29/94/1133922994.db2.gz VBBYXPBGVCAICR-JSGCOSHPSA-N 1 2 277.399 3.541 20 0 CHADLO Cc1cc(Cl)ccc1Nc1[nH+]cccc1N(C)C ZINC001216433006 1134896004 /nfs/dbraw/zinc/89/60/04/1134896004.db2.gz MMVMFGNCZJULLS-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H](O)c1cccc(F)c1 ZINC000133962928 1125514458 /nfs/dbraw/zinc/51/44/58/1125514458.db2.gz HFOKRQWPAMLVSJ-KRWDZBQOSA-N 1 2 296.345 3.828 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@H]1CCC12CCC2 ZINC000282904475 1125518842 /nfs/dbraw/zinc/51/88/42/1125518842.db2.gz WZELDKVQUDWLJM-KRWDZBQOSA-N 1 2 286.419 3.576 20 0 CHADLO CCc1cnc(C[NH2+]Cc2cccc(Cl)c2OC)s1 ZINC000897482151 1125528634 /nfs/dbraw/zinc/52/86/34/1125528634.db2.gz MFYRPFRLPYISHY-UHFFFAOYSA-N 1 2 296.823 3.657 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1cn2ccccc2n1)C1CC1 ZINC000119120934 1125528768 /nfs/dbraw/zinc/52/87/68/1125528768.db2.gz JRBXOFWQQWYDBU-CYBMUJFWSA-N 1 2 281.359 3.653 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1cn2ccccc2n1)C1CC1 ZINC000119120934 1125528771 /nfs/dbraw/zinc/52/87/71/1125528771.db2.gz JRBXOFWQQWYDBU-CYBMUJFWSA-N 1 2 281.359 3.653 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3ccccc3[C@@H]2C)cs1 ZINC000120746465 1125550550 /nfs/dbraw/zinc/55/05/50/1125550550.db2.gz ZRXXIDVCSXNBTK-NSHDSACASA-N 1 2 258.390 3.571 20 0 CHADLO Cc1nc(C[N@H+]2CCc3ccccc3[C@@H]2C)cs1 ZINC000120746465 1125550554 /nfs/dbraw/zinc/55/05/54/1125550554.db2.gz ZRXXIDVCSXNBTK-NSHDSACASA-N 1 2 258.390 3.571 20 0 CHADLO COc1ncc(Nc2[nH+]cc(C)cc2C)cc1C(F)(F)F ZINC001212800686 1125565674 /nfs/dbraw/zinc/56/56/74/1125565674.db2.gz XINUSYRNGBXWGF-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO Oc1ccc(F)c(C[NH2+]Cc2cccc(Cl)c2)c1F ZINC000509666877 1125574588 /nfs/dbraw/zinc/57/45/88/1125574588.db2.gz PSASCVIEGCQNOL-UHFFFAOYSA-N 1 2 283.705 3.614 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC000065602794 1125595796 /nfs/dbraw/zinc/59/57/96/1125595796.db2.gz SSVVGHHCXCBIEU-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000065602794 1125595799 /nfs/dbraw/zinc/59/57/99/1125595799.db2.gz SSVVGHHCXCBIEU-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1nnc([C@H]2Cc3ccccc3C[N@@H+]2[C@@H]2C=CCCC2)o1 ZINC000246210015 1125611853 /nfs/dbraw/zinc/61/18/53/1125611853.db2.gz BDSQAEZXKQESLV-IAGOWNOFSA-N 1 2 295.386 3.586 20 0 CHADLO Cc1nnc([C@H]2Cc3ccccc3C[N@H+]2[C@@H]2C=CCCC2)o1 ZINC000246210015 1125611855 /nfs/dbraw/zinc/61/18/55/1125611855.db2.gz BDSQAEZXKQESLV-IAGOWNOFSA-N 1 2 295.386 3.586 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@@H]2CCCc3nc(C)sc32)o1 ZINC000533522711 1125613693 /nfs/dbraw/zinc/61/36/93/1125613693.db2.gz FQTRDNHZHAIZBR-CYBMUJFWSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@@H]2CCCc3nc(C)sc32)o1 ZINC000533522711 1125613696 /nfs/dbraw/zinc/61/36/96/1125613696.db2.gz FQTRDNHZHAIZBR-CYBMUJFWSA-N 1 2 291.420 3.511 20 0 CHADLO C[C@@H](CC(=O)NCc1ccccc1-n1cc[nH+]c1)C(C)(C)C ZINC000066621940 1125624859 /nfs/dbraw/zinc/62/48/59/1125624859.db2.gz REKCABKQTNASFH-AWEZNQCLSA-N 1 2 299.418 3.561 20 0 CHADLO CC(C)(C)c1noc(/C=C/c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000074122360 1125641165 /nfs/dbraw/zinc/64/11/65/1125641165.db2.gz ITROSRUNLVEMPT-RMKNXTFCSA-N 1 2 294.358 3.723 20 0 CHADLO COc1c2ccccc2oc1C[NH2+]Cc1cc(C)co1 ZINC000886086201 1125645101 /nfs/dbraw/zinc/64/51/01/1125645101.db2.gz HZNOUAFRQQKFHA-UHFFFAOYSA-N 1 2 271.316 3.633 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247012151 1125651833 /nfs/dbraw/zinc/65/18/33/1125651833.db2.gz RYILBLYZENADCP-BLLLJJGKSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247012151 1125651842 /nfs/dbraw/zinc/65/18/42/1125651842.db2.gz RYILBLYZENADCP-BLLLJJGKSA-N 1 2 275.323 3.553 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@@H]1CC[C@H](C3CC3)O1)C2 ZINC000886206319 1125661363 /nfs/dbraw/zinc/66/13/63/1125661363.db2.gz QCMMSPQMZGLDHX-XJKSGUPXSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@@H]1CC[C@H](C3CC3)O1)C2 ZINC000886206319 1125661367 /nfs/dbraw/zinc/66/13/67/1125661367.db2.gz QCMMSPQMZGLDHX-XJKSGUPXSA-N 1 2 277.795 3.613 20 0 CHADLO Cn1c(Nc2cccc3[nH+]ccn32)cc2ccccc21 ZINC001212040682 1125659153 /nfs/dbraw/zinc/65/91/53/1125659153.db2.gz AVUTVBRGTDBUKO-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO Cc1coc(C[NH2+][C@H]2c3ccccc3CC[C@H]2F)c1 ZINC000886187758 1125659927 /nfs/dbraw/zinc/65/99/27/1125659927.db2.gz BEPQKWQMRJAJKB-CVEARBPZSA-N 1 2 259.324 3.703 20 0 CHADLO C[C@H]([NH2+][C@@H](CN(C)C)c1ccc(Cl)cc1)c1ccco1 ZINC000282953865 1125662906 /nfs/dbraw/zinc/66/29/06/1125662906.db2.gz CBPIIIWZLOTPHD-WFASDCNBSA-N 1 2 292.810 3.887 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncco1)c1ccc(Cl)cc1 ZINC000886272074 1125664099 /nfs/dbraw/zinc/66/40/99/1125664099.db2.gz GJBCXKRGSYWKIH-ZJUUUORDSA-N 1 2 250.729 3.740 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1C(F)(F)F)c1ncco1 ZINC000886275757 1125666156 /nfs/dbraw/zinc/66/61/56/1125666156.db2.gz LCGRIAWTAALNSD-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO Cc1cnn(C)c1CNc1ccc2[nH+]c(C)cc(C)c2c1 ZINC000886369289 1125674104 /nfs/dbraw/zinc/67/41/04/1125674104.db2.gz BMKUNNFZQJKQLJ-UHFFFAOYSA-N 1 2 280.375 3.506 20 0 CHADLO COCc1cc(CNc2ccc3[nH+]c(C)cc(C)c3c2)no1 ZINC000886370228 1125674464 /nfs/dbraw/zinc/67/44/64/1125674464.db2.gz ZOGWDPCUABWUQV-UHFFFAOYSA-N 1 2 297.358 3.598 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+][C@H]1CCc2cccnc21 ZINC000886519614 1125682979 /nfs/dbraw/zinc/68/29/79/1125682979.db2.gz ZAAKJVATIRPLKR-AWEZNQCLSA-N 1 2 276.742 3.651 20 0 CHADLO Cc1ccc(Br)cc1C[NH2+][C@@H](C)c1ncco1 ZINC000886538387 1125685344 /nfs/dbraw/zinc/68/53/44/1125685344.db2.gz HEMDDOQQYTWLFU-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO c1coc([C@H]2CCCCC[N@H+]2Cc2nc(C3CC3)no2)c1 ZINC000076804322 1125685442 /nfs/dbraw/zinc/68/54/42/1125685442.db2.gz RYPYYEVOBHDATD-CYBMUJFWSA-N 1 2 287.363 3.657 20 0 CHADLO c1coc([C@H]2CCCCC[N@@H+]2Cc2nc(C3CC3)no2)c1 ZINC000076804322 1125685445 /nfs/dbraw/zinc/68/54/45/1125685445.db2.gz RYPYYEVOBHDATD-CYBMUJFWSA-N 1 2 287.363 3.657 20 0 CHADLO CC(C)[N@H+](Cc1ccon1)Cc1cccc(Cl)c1 ZINC000077266255 1125690574 /nfs/dbraw/zinc/69/05/74/1125690574.db2.gz JDKFMJOSDCDYFF-UHFFFAOYSA-N 1 2 264.756 3.739 20 0 CHADLO CC(C)[N@@H+](Cc1ccon1)Cc1cccc(Cl)c1 ZINC000077266255 1125690579 /nfs/dbraw/zinc/69/05/79/1125690579.db2.gz JDKFMJOSDCDYFF-UHFFFAOYSA-N 1 2 264.756 3.739 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@@H]3CCc4cccnc43)ccc21 ZINC000886583963 1125693918 /nfs/dbraw/zinc/69/39/18/1125693918.db2.gz GMFMWUZEGLMRCK-MRXNPFEDSA-N 1 2 279.387 3.563 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@@H]3CCc4cccnc43)ccc21 ZINC000886583963 1125693923 /nfs/dbraw/zinc/69/39/23/1125693923.db2.gz GMFMWUZEGLMRCK-MRXNPFEDSA-N 1 2 279.387 3.563 20 0 CHADLO O=C(/C=C/c1[nH]cc[nH+]1)c1ccc(-c2ccccc2)cc1 ZINC000067647668 1125694078 /nfs/dbraw/zinc/69/40/78/1125694078.db2.gz FLSVNQUSKPEOJN-ZHACJKMWSA-N 1 2 274.323 3.973 20 0 CHADLO Cc1cc(N[C@H]2CCc3cccnc32)ccc1[NH+](C)C ZINC000886584207 1125694110 /nfs/dbraw/zinc/69/41/10/1125694110.db2.gz KNQUUDVEIBSAGD-HNNXBMFYSA-N 1 2 267.376 3.555 20 0 CHADLO CO[C@H]1CCC[C@H](Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000886584596 1125694214 /nfs/dbraw/zinc/69/42/14/1125694214.db2.gz RUPUMCDPARILBY-YOEHRIQHSA-N 1 2 285.391 3.632 20 0 CHADLO CC[C@H]([NH2+]Cc1noc(C)n1)c1cccc2ccccc21 ZINC000886918189 1125724489 /nfs/dbraw/zinc/72/44/89/1125724489.db2.gz TZLPRTKVOIRQPN-INIZCTEOSA-N 1 2 281.359 3.772 20 0 CHADLO CC1CCC([N@H+](C)Cn2nc(C(C)(C)C)[nH]c2=S)CC1 ZINC000054513917 1125726344 /nfs/dbraw/zinc/72/63/44/1125726344.db2.gz JDXVBQCLQGVFGW-UHFFFAOYSA-N 1 2 296.484 3.706 20 0 CHADLO CC1CCC([N@@H+](C)Cn2nc(C(C)(C)C)[nH]c2=S)CC1 ZINC000054513917 1125726347 /nfs/dbraw/zinc/72/63/47/1125726347.db2.gz JDXVBQCLQGVFGW-UHFFFAOYSA-N 1 2 296.484 3.706 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1cnccc1Cl ZINC001137238390 1125755977 /nfs/dbraw/zinc/75/59/77/1125755977.db2.gz WETFBYDKGREBHZ-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1cnccc1Cl ZINC001137238390 1125755986 /nfs/dbraw/zinc/75/59/86/1125755986.db2.gz WETFBYDKGREBHZ-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@@H+]2Cc2cn[nH]c2C)o1 ZINC000093559157 1125771774 /nfs/dbraw/zinc/77/17/74/1125771774.db2.gz QLUQFOXQZXVVIO-OAHLLOKOSA-N 1 2 273.380 3.737 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@H+]2Cc2cn[nH]c2C)o1 ZINC000093559157 1125771781 /nfs/dbraw/zinc/77/17/81/1125771781.db2.gz QLUQFOXQZXVVIO-OAHLLOKOSA-N 1 2 273.380 3.737 20 0 CHADLO COc1cc(C)[nH+]c(CSc2nc3ccccc3n2C)c1 ZINC000533807652 1125786656 /nfs/dbraw/zinc/78/66/56/1125786656.db2.gz QOMMGTMZOCWPSF-UHFFFAOYSA-N 1 2 299.399 3.578 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+](C)Cc1cccnc1 ZINC001238695132 1131232701 /nfs/dbraw/zinc/23/27/01/1131232701.db2.gz CGAOFILABKGRCS-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+](C)Cc1cccnc1 ZINC001238695132 1131232706 /nfs/dbraw/zinc/23/27/06/1131232706.db2.gz CGAOFILABKGRCS-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO C[N@@H+]1CCC=C(c2cc(F)ccc2C(F)(F)F)C1 ZINC001241569612 1129583627 /nfs/dbraw/zinc/58/36/27/1129583627.db2.gz ITHXDANBVHKEEO-UHFFFAOYSA-N 1 2 259.246 3.563 20 0 CHADLO C[N@H+]1CCC=C(c2cc(F)ccc2C(F)(F)F)C1 ZINC001241569612 1129583630 /nfs/dbraw/zinc/58/36/30/1129583630.db2.gz ITHXDANBVHKEEO-UHFFFAOYSA-N 1 2 259.246 3.563 20 0 CHADLO COc1cc(Nc2ccc(Cl)c(O)c2)cc(C)[nH+]1 ZINC001212590262 1129727512 /nfs/dbraw/zinc/72/75/12/1129727512.db2.gz DEQCUSWVGZLYPD-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)nc2)[C@H]1c1ccccc1 ZINC000245434635 1129207283 /nfs/dbraw/zinc/20/72/83/1129207283.db2.gz PVMZLIHXOQSBGI-MLGOLLRUSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)nc2)[C@H]1c1ccccc1 ZINC000245434635 1129207287 /nfs/dbraw/zinc/20/72/87/1129207287.db2.gz PVMZLIHXOQSBGI-MLGOLLRUSA-N 1 2 272.779 3.928 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cccc(F)c2F)s1 ZINC000134907847 1126705042 /nfs/dbraw/zinc/70/50/42/1126705042.db2.gz TXMJPNCNPYICEJ-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO c1cc(N[C@@H]2CC[C@@H]2C2CCC2)[nH+]cc1N1CCCC1 ZINC000337987733 1126706904 /nfs/dbraw/zinc/70/69/04/1126706904.db2.gz GYUSUYKVKMSZFS-HZPDHXFCSA-N 1 2 271.408 3.672 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccc(F)cc1Cl)c1ccc(C)o1 ZINC000136270620 1126724613 /nfs/dbraw/zinc/72/46/13/1126724613.db2.gz VXIPBQJBKHQLFS-CQSZACIVSA-N 1 2 297.757 3.858 20 0 CHADLO CCO[C@H](CSCc1ccc(C)[nH+]c1C)C1CC1 ZINC000600629243 1126728923 /nfs/dbraw/zinc/72/89/23/1126728923.db2.gz KGJCDOGKUAHYKQ-OAHLLOKOSA-N 1 2 265.422 3.747 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1ncnn1C(C)(C)C ZINC000338236231 1126726461 /nfs/dbraw/zinc/72/64/61/1126726461.db2.gz YDJNHNVRZXKRSN-CQSZACIVSA-N 1 2 286.423 3.535 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1ncnn1C(C)(C)C ZINC000338236231 1126726464 /nfs/dbraw/zinc/72/64/64/1126726464.db2.gz YDJNHNVRZXKRSN-CQSZACIVSA-N 1 2 286.423 3.535 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)c(C)c2)s1 ZINC000338274004 1126729006 /nfs/dbraw/zinc/72/90/06/1126729006.db2.gz IKADNSKQYZJJDF-UWVGGRQHSA-N 1 2 279.384 3.706 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2ccccc2)no1)c1ccncc1F ZINC000338339263 1126735570 /nfs/dbraw/zinc/73/55/70/1126735570.db2.gz CJVDHDJFHJYASL-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C(F)(F)F)cc2)c(C)o1 ZINC000659815930 1126736783 /nfs/dbraw/zinc/73/67/83/1126736783.db2.gz MOGCRHOEXMWZNC-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C(F)(F)F)cc2)c(C)o1 ZINC000659815930 1126736787 /nfs/dbraw/zinc/73/67/87/1126736787.db2.gz MOGCRHOEXMWZNC-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO C[N@H+](Cc1noc(Cc2ccccc2)n1)[C@H]1CCC(C)(C)C1 ZINC000483119435 1126768202 /nfs/dbraw/zinc/76/82/02/1126768202.db2.gz SESYVOFLXNVZKA-HNNXBMFYSA-N 1 2 299.418 3.671 20 0 CHADLO C[N@@H+](Cc1noc(Cc2ccccc2)n1)[C@H]1CCC(C)(C)C1 ZINC000483119435 1126768206 /nfs/dbraw/zinc/76/82/06/1126768206.db2.gz SESYVOFLXNVZKA-HNNXBMFYSA-N 1 2 299.418 3.671 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)c(F)cc(F)c1F)c1cncs1 ZINC000678594768 1130435600 /nfs/dbraw/zinc/43/56/00/1130435600.db2.gz HNWCMILBLFZDFW-ZCFIWIBFSA-N 1 2 290.285 3.550 20 0 CHADLO CC[N@@H+](Cc1noc(Cc2ccccc2C)n1)CC1CCC1 ZINC000483118088 1126768645 /nfs/dbraw/zinc/76/86/45/1126768645.db2.gz HVGAKFQMRLZGDO-UHFFFAOYSA-N 1 2 299.418 3.591 20 0 CHADLO CC[N@H+](Cc1noc(Cc2ccccc2C)n1)CC1CCC1 ZINC000483118088 1126768646 /nfs/dbraw/zinc/76/86/46/1126768646.db2.gz HVGAKFQMRLZGDO-UHFFFAOYSA-N 1 2 299.418 3.591 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH2+][C@H](C)Cc1ccccc1Cl ZINC001170250253 1130474419 /nfs/dbraw/zinc/47/44/19/1130474419.db2.gz IQYJAOCBYFEWJQ-OLZOCXBDSA-N 1 2 297.826 3.592 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679221792 1130503584 /nfs/dbraw/zinc/50/35/84/1130503584.db2.gz HSVMJWYNIOOOKN-BFVZDQMLSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679221792 1130503589 /nfs/dbraw/zinc/50/35/89/1130503589.db2.gz HSVMJWYNIOOOKN-BFVZDQMLSA-N 1 2 257.299 3.706 20 0 CHADLO CCS[C@@H](C)c1noc([C@H]2CC[N@@H+]2C2CCCC2)n1 ZINC000923951732 1126792814 /nfs/dbraw/zinc/79/28/14/1126792814.db2.gz AQKLIAWMJRQNEK-CMPLNLGQSA-N 1 2 281.425 3.573 20 0 CHADLO CCS[C@@H](C)c1noc([C@H]2CC[N@H+]2C2CCCC2)n1 ZINC000923951732 1126792820 /nfs/dbraw/zinc/79/28/20/1126792820.db2.gz AQKLIAWMJRQNEK-CMPLNLGQSA-N 1 2 281.425 3.573 20 0 CHADLO C[C@@H](c1ccccc1Cl)N(Cc1c[nH+]cn1C)C1CC1 ZINC000177259546 1126799692 /nfs/dbraw/zinc/79/96/92/1126799692.db2.gz OGMFFTVCZAIDLC-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](Cc1cncn1C)C1CC1 ZINC000177259546 1126799697 /nfs/dbraw/zinc/79/96/97/1126799697.db2.gz OGMFFTVCZAIDLC-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](Cc1cncn1C)C1CC1 ZINC000177259546 1126799700 /nfs/dbraw/zinc/79/97/00/1126799700.db2.gz OGMFFTVCZAIDLC-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1C[C@@H](C)[C@H]1c1ccccc1 ZINC000177824028 1126805638 /nfs/dbraw/zinc/80/56/38/1126805638.db2.gz IHEOGINJMZIXDU-PBHICJAKSA-N 1 2 269.392 3.657 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1C[C@@H](C)[C@H]1c1ccccc1 ZINC000177824028 1126805641 /nfs/dbraw/zinc/80/56/41/1126805641.db2.gz IHEOGINJMZIXDU-PBHICJAKSA-N 1 2 269.392 3.657 20 0 CHADLO COc1ccc2oc([C@@H](C)[NH2+]Cc3ccon3)c(C)c2c1 ZINC000178263135 1126810925 /nfs/dbraw/zinc/81/09/25/1126810925.db2.gz ZQRUXBUVSDTDJR-LLVKDONJSA-N 1 2 286.331 3.589 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1c(F)cccc1F)CCO2 ZINC000178498958 1126814986 /nfs/dbraw/zinc/81/49/86/1126814986.db2.gz QCJLCGNXJCJMPV-OAHLLOKOSA-N 1 2 293.288 3.717 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2cc(C)sc2C)n1 ZINC000179371947 1126827033 /nfs/dbraw/zinc/82/70/33/1126827033.db2.gz KAOYUHRZYJDACN-UWVGGRQHSA-N 1 2 279.409 3.722 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1)C1CCC1 ZINC000179949981 1126836433 /nfs/dbraw/zinc/83/64/33/1126836433.db2.gz ZHCVQBKMHWCYGS-KBPBESRZSA-N 1 2 274.408 3.512 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc([C@@H]2CCC=CCCC2)n1 ZINC000904264351 1126840913 /nfs/dbraw/zinc/84/09/13/1126840913.db2.gz IQPPJEXXYAMNFC-GFCCVEGCSA-N 1 2 284.363 3.626 20 0 CHADLO CC[N@H+](Cc1ncc(C)s1)[C@H](C)c1cccc(O)c1 ZINC000180533075 1126845776 /nfs/dbraw/zinc/84/57/76/1126845776.db2.gz QKDAQODPGMTFLV-GFCCVEGCSA-N 1 2 276.405 3.740 20 0 CHADLO CC[N@@H+](Cc1ncc(C)s1)[C@H](C)c1cccc(O)c1 ZINC000180533075 1126845777 /nfs/dbraw/zinc/84/57/77/1126845777.db2.gz QKDAQODPGMTFLV-GFCCVEGCSA-N 1 2 276.405 3.740 20 0 CHADLO Cc1cnc(C[N@@H+]2CCSC[C@@H]2c2ccccc2)s1 ZINC000180497123 1126845854 /nfs/dbraw/zinc/84/58/54/1126845854.db2.gz AFRWXAQUDCCKLT-CQSZACIVSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1cnc(C[N@H+]2CCSC[C@@H]2c2ccccc2)s1 ZINC000180497123 1126845857 /nfs/dbraw/zinc/84/58/57/1126845857.db2.gz AFRWXAQUDCCKLT-CQSZACIVSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@@H](C)c2csc(C(C)C)n2)cnn1C ZINC000180908300 1126850082 /nfs/dbraw/zinc/85/00/82/1126850082.db2.gz GNPVSMWEJIGMNZ-MNOVXSKESA-N 1 2 292.452 3.720 20 0 CHADLO C[NH2+]c1ccc(NCc2ccc3c(c2)CCCO3)cc1 ZINC000904380595 1126850820 /nfs/dbraw/zinc/85/08/20/1126850820.db2.gz NOZAAPUJUGSLNG-UHFFFAOYSA-N 1 2 268.360 3.665 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cc(C)on1)c1ccc(F)cc1F ZINC000181676588 1126859485 /nfs/dbraw/zinc/85/94/85/1126859485.db2.gz KHXUEKKPZUNTAC-OAHLLOKOSA-N 1 2 280.318 3.892 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(-c3cccs3)no2)cc1 ZINC000182326302 1126870449 /nfs/dbraw/zinc/87/04/49/1126870449.db2.gz RXCBICAVOHNBKK-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2ncnn2CC(C)C)c1 ZINC000182683206 1126876903 /nfs/dbraw/zinc/87/69/03/1126876903.db2.gz SCWSLZKFLSWJEJ-QGZVFWFLSA-N 1 2 298.434 3.580 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2ncnn2CC(C)C)c1 ZINC000182683206 1126876905 /nfs/dbraw/zinc/87/69/05/1126876905.db2.gz SCWSLZKFLSWJEJ-QGZVFWFLSA-N 1 2 298.434 3.580 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@H](C)c2cc(C)oc2C)cs1 ZINC000183787928 1126891781 /nfs/dbraw/zinc/89/17/81/1126891781.db2.gz YZCHAQVFQZEZNY-ZYHUDNBSSA-N 1 2 294.420 3.911 20 0 CHADLO Cn1cc(CNc2cc3cc[nH]c3c[nH+]2)c(C2CCCC2)n1 ZINC000905414693 1126900738 /nfs/dbraw/zinc/90/07/38/1126900738.db2.gz MAMPAWWHBHWAFM-UHFFFAOYSA-N 1 2 295.390 3.566 20 0 CHADLO CC(C)c1ccc(NCc2c[nH+]cn2C(C)C)cn1 ZINC000905430552 1126902303 /nfs/dbraw/zinc/90/23/03/1126902303.db2.gz ADJJDVHZWHTTHQ-UHFFFAOYSA-N 1 2 258.369 3.595 20 0 CHADLO Cc1ncccc1CNc1[nH+]c2ccccc2n1CC1CC1 ZINC000905430671 1126901970 /nfs/dbraw/zinc/90/19/70/1126901970.db2.gz ZQKWALCSCGOMAV-UHFFFAOYSA-N 1 2 292.386 3.762 20 0 CHADLO CCCc1nc(C[N@H+](CC)[C@H](C)Cc2ccsc2)no1 ZINC000187788679 1126914404 /nfs/dbraw/zinc/91/44/04/1126914404.db2.gz NUSIGKGYKYIIPH-GFCCVEGCSA-N 1 2 293.436 3.537 20 0 CHADLO CCCc1nc(C[N@@H+](CC)[C@H](C)Cc2ccsc2)no1 ZINC000187788679 1126914408 /nfs/dbraw/zinc/91/44/08/1126914408.db2.gz NUSIGKGYKYIIPH-GFCCVEGCSA-N 1 2 293.436 3.537 20 0 CHADLO CCCn1nc(C)c(-c2cccc(Cn3cc[nH+]c3)c2)c1C ZINC000906157659 1126930949 /nfs/dbraw/zinc/93/09/49/1126930949.db2.gz GHKOFAMKYAKPRZ-UHFFFAOYSA-N 1 2 294.402 3.822 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@@H+]1CCO[C@H](C2CC2)C1 ZINC000485688005 1126943404 /nfs/dbraw/zinc/94/34/04/1126943404.db2.gz XQRQSKBUHLAAIC-KRWDZBQOSA-N 1 2 299.370 3.683 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@H+]1CCO[C@H](C2CC2)C1 ZINC000485688005 1126943407 /nfs/dbraw/zinc/94/34/07/1126943407.db2.gz XQRQSKBUHLAAIC-KRWDZBQOSA-N 1 2 299.370 3.683 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)C1(C2CC2)CCC1 ZINC000906348366 1126949749 /nfs/dbraw/zinc/94/97/49/1126949749.db2.gz CWLMLVZCJYBNJN-UHFFFAOYSA-N 1 2 299.349 3.530 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000191892005 1126956436 /nfs/dbraw/zinc/95/64/36/1126956436.db2.gz OPOYTZBXEQJOAV-LBPRGKRZSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000191892005 1126956439 /nfs/dbraw/zinc/95/64/39/1126956439.db2.gz OPOYTZBXEQJOAV-LBPRGKRZSA-N 1 2 285.391 3.621 20 0 CHADLO CCOc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1cocn1 ZINC000192321607 1126960865 /nfs/dbraw/zinc/96/08/65/1126960865.db2.gz HROVVBJUDZKQCF-MRXNPFEDSA-N 1 2 288.391 3.950 20 0 CHADLO COc1ccc([NH2+]C2CCC3(CC3)CC2)c(OC)c1 ZINC000696002753 1130811666 /nfs/dbraw/zinc/81/16/66/1130811666.db2.gz YUIDJWICVYTRLV-UHFFFAOYSA-N 1 2 261.365 3.839 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccnn1C)c1c(F)cccc1Cl ZINC000193012938 1126965597 /nfs/dbraw/zinc/96/55/97/1126965597.db2.gz KVTZRLSORXHVJV-VHSXEESVSA-N 1 2 281.762 3.624 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1cscn1 ZINC000194874631 1126976766 /nfs/dbraw/zinc/97/67/66/1126976766.db2.gz AUUBFFQTZOKYAQ-SNVBAGLBSA-N 1 2 264.419 3.716 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](CC)Cc2cccc(Cl)c2)[nH]1 ZINC000659843554 1126979853 /nfs/dbraw/zinc/97/98/53/1126979853.db2.gz WHNGNIASXLOOTH-LLVKDONJSA-N 1 2 292.814 3.604 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](CC)Cc2cccc(Cl)c2)[nH]1 ZINC000659843554 1126979856 /nfs/dbraw/zinc/97/98/56/1126979856.db2.gz WHNGNIASXLOOTH-LLVKDONJSA-N 1 2 292.814 3.604 20 0 CHADLO CC(C)([NH2+]Cc1noc(-c2ccsc2)n1)c1ccccc1 ZINC000267023253 1126991635 /nfs/dbraw/zinc/99/16/35/1126991635.db2.gz KQBXFCUAXBXCLN-UHFFFAOYSA-N 1 2 299.399 3.823 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)nc(C)n1 ZINC000659847978 1126994653 /nfs/dbraw/zinc/99/46/53/1126994653.db2.gz UOHBVMFZMGPXEC-LBPRGKRZSA-N 1 2 289.810 3.940 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)nc(C)n1 ZINC000659847978 1126994655 /nfs/dbraw/zinc/99/46/55/1126994655.db2.gz UOHBVMFZMGPXEC-LBPRGKRZSA-N 1 2 289.810 3.940 20 0 CHADLO Cc1cc(C)c(C(=O)N2CC3(CCC3)[C@H]2C(C)C)c(C)[nH+]1 ZINC000908344347 1126999310 /nfs/dbraw/zinc/99/93/10/1126999310.db2.gz NJENOAKHCJXFOW-MRXNPFEDSA-N 1 2 286.419 3.658 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@@H]2C[C@H]2c2ccccc2)c(C)[nH+]1 ZINC000908431253 1127002929 /nfs/dbraw/zinc/00/29/29/1127002929.db2.gz AXFXLTODWMAYKR-IRXDYDNUSA-N 1 2 294.398 3.540 20 0 CHADLO Cc1occc1C[NH2+][C@@H]1COCc2cccc(Cl)c21 ZINC000924826594 1127039493 /nfs/dbraw/zinc/03/94/93/1127039493.db2.gz PWNCJUOHMLHAPX-CQSZACIVSA-N 1 2 277.751 3.603 20 0 CHADLO Cc1csc(C[NH2+][C@@H]2CCc3c2c(F)ccc3F)n1 ZINC000269866583 1127047006 /nfs/dbraw/zinc/04/70/06/1127047006.db2.gz LAKKODVTEPZJQU-GFCCVEGCSA-N 1 2 280.343 3.507 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccc(Cl)cc2Cl)ncn1 ZINC000270105704 1127050095 /nfs/dbraw/zinc/05/00/95/1127050095.db2.gz DSFGSWCCJKKUHU-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1CC=C(C(C)(C)C)CC1 ZINC000270399427 1127054520 /nfs/dbraw/zinc/05/45/20/1127054520.db2.gz UYMXFOMCHYRTOJ-NSHDSACASA-N 1 2 275.396 3.686 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1CC=C(C(C)(C)C)CC1 ZINC000270399427 1127054524 /nfs/dbraw/zinc/05/45/24/1127054524.db2.gz UYMXFOMCHYRTOJ-NSHDSACASA-N 1 2 275.396 3.686 20 0 CHADLO COc1cc(C)nc(C[N@H+]([C@H](C)c2ccco2)C2CC2)c1 ZINC000270210846 1127052004 /nfs/dbraw/zinc/05/20/04/1127052004.db2.gz DPOJMQWNIQBWBA-CYBMUJFWSA-N 1 2 286.375 3.717 20 0 CHADLO COc1cc(C)nc(C[N@@H+]([C@H](C)c2ccco2)C2CC2)c1 ZINC000270210846 1127052009 /nfs/dbraw/zinc/05/20/09/1127052009.db2.gz DPOJMQWNIQBWBA-CYBMUJFWSA-N 1 2 286.375 3.717 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@H+]1CC[C@](C)(CF)C1 ZINC001236600111 1130982750 /nfs/dbraw/zinc/98/27/50/1130982750.db2.gz VCOVAFKQDUHPMS-CYBMUJFWSA-N 1 2 291.197 3.878 20 0 CHADLO CC[N@H+](Cc1c(F)cccc1F)[C@@H](C)c1cccnc1 ZINC000270754606 1127061908 /nfs/dbraw/zinc/06/19/08/1127061908.db2.gz VJWVKFDSYBKBGC-LBPRGKRZSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@@H+](Cc1c(F)cccc1F)[C@@H](C)c1cccnc1 ZINC000270754606 1127061920 /nfs/dbraw/zinc/06/19/20/1127061920.db2.gz VJWVKFDSYBKBGC-LBPRGKRZSA-N 1 2 276.330 3.943 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@H+](Cc1ccco1)C(C)C ZINC000271045251 1127065650 /nfs/dbraw/zinc/06/56/50/1127065650.db2.gz LNMYLZGTQVQBQJ-UHFFFAOYSA-N 1 2 295.814 3.640 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@@H+](Cc1ccco1)C(C)C ZINC000271045251 1127065653 /nfs/dbraw/zinc/06/56/53/1127065653.db2.gz LNMYLZGTQVQBQJ-UHFFFAOYSA-N 1 2 295.814 3.640 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](C)c2cscn2)cc1F ZINC000271059442 1127067449 /nfs/dbraw/zinc/06/74/49/1127067449.db2.gz BPQBMMDVAOZTAD-SNVBAGLBSA-N 1 2 280.368 3.532 20 0 CHADLO Cc1c(F)ccc(C[N@H+](C)Cc2cccnc2)c1Cl ZINC001236712708 1130997531 /nfs/dbraw/zinc/99/75/31/1130997531.db2.gz IROGVCDJJSRXGH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1c(F)ccc(C[N@@H+](C)Cc2cccnc2)c1Cl ZINC001236712708 1130997536 /nfs/dbraw/zinc/99/75/36/1130997536.db2.gz IROGVCDJJSRXGH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@H]1C[C@@H]1CNc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000488301645 1127069694 /nfs/dbraw/zinc/06/96/94/1127069694.db2.gz RFTGKAZFJDHBJC-DZGCQCFKSA-N 1 2 281.403 3.954 20 0 CHADLO CC(C)(C)C[N@H+](Cc1csnn1)Cc1ccccc1 ZINC000271165755 1127071405 /nfs/dbraw/zinc/07/14/05/1127071405.db2.gz NONSWYNAKFQQBU-UHFFFAOYSA-N 1 2 275.421 3.586 20 0 CHADLO CC(C)(C)C[N@@H+](Cc1csnn1)Cc1ccccc1 ZINC000271165755 1127071407 /nfs/dbraw/zinc/07/14/07/1127071407.db2.gz NONSWYNAKFQQBU-UHFFFAOYSA-N 1 2 275.421 3.586 20 0 CHADLO COc1cc(C)[nH+]c(CSC/C(C)=C\Cl)c1 ZINC000797812101 1131005343 /nfs/dbraw/zinc/00/53/43/1131005343.db2.gz GXJRFXOFMNNAML-TWGQIWQCSA-N 1 2 257.786 3.774 20 0 CHADLO Cc1nnc(C[N@H+](Cc2ccccc2)CC(C)(C)C)s1 ZINC000271364856 1127076990 /nfs/dbraw/zinc/07/69/90/1127076990.db2.gz ALMKBEFYZIDTGH-UHFFFAOYSA-N 1 2 289.448 3.895 20 0 CHADLO Cc1nnc(C[N@@H+](Cc2ccccc2)CC(C)(C)C)s1 ZINC000271364856 1127076993 /nfs/dbraw/zinc/07/69/93/1127076993.db2.gz ALMKBEFYZIDTGH-UHFFFAOYSA-N 1 2 289.448 3.895 20 0 CHADLO Cc1ccc2c(c1C)OC[C@@H]2[NH2+][C@H](C)c1nccs1 ZINC000271470518 1127085089 /nfs/dbraw/zinc/08/50/89/1127085089.db2.gz LVESSKNXVARNNT-YPMHNXCESA-N 1 2 274.389 3.544 20 0 CHADLO Cc1cccc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)c1Cl ZINC001236851501 1131023829 /nfs/dbraw/zinc/02/38/29/1131023829.db2.gz LIHGOEFRDZRQHD-JSGCOSHPSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cccc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)c1Cl ZINC001236851501 1131023835 /nfs/dbraw/zinc/02/38/35/1131023835.db2.gz LIHGOEFRDZRQHD-JSGCOSHPSA-N 1 2 273.754 3.920 20 0 CHADLO CCc1ncc(CNc2[nH+]c3ccccc3n2CC)s1 ZINC000273983060 1127104674 /nfs/dbraw/zinc/10/46/74/1127104674.db2.gz UYQRJZHTNJLFJK-UHFFFAOYSA-N 1 2 286.404 3.687 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2Cc3ccccc3[C@@H](C)C2)n1 ZINC000912885383 1127115623 /nfs/dbraw/zinc/11/56/23/1127115623.db2.gz RHZLISGLRJVVAR-QWHCGFSZSA-N 1 2 285.391 3.873 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2Cc3ccccc3[C@@H](C)C2)n1 ZINC000912885383 1127115626 /nfs/dbraw/zinc/11/56/26/1127115626.db2.gz RHZLISGLRJVVAR-QWHCGFSZSA-N 1 2 285.391 3.873 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)o1 ZINC000348710393 1127125807 /nfs/dbraw/zinc/12/58/07/1127125807.db2.gz OULPMTZJEPUAOM-INWMFGNUSA-N 1 2 285.391 3.853 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)o1 ZINC000348710393 1127125810 /nfs/dbraw/zinc/12/58/10/1127125810.db2.gz OULPMTZJEPUAOM-INWMFGNUSA-N 1 2 285.391 3.853 20 0 CHADLO Clc1cccc2c1CCCCN2CCCn1cc[nH+]c1 ZINC000348823984 1127129251 /nfs/dbraw/zinc/12/92/51/1127129251.db2.gz ZCNAWCJQPJTBCB-UHFFFAOYSA-N 1 2 289.810 3.770 20 0 CHADLO CC[C@@H]1CCC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000348848000 1127131472 /nfs/dbraw/zinc/13/14/72/1127131472.db2.gz LRDPWQWAKSBQJC-ZBFHGGJFSA-N 1 2 284.407 3.707 20 0 CHADLO C[C@@H](CCC(C)(C)C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348838778 1127131531 /nfs/dbraw/zinc/13/15/31/1127131531.db2.gz QUZMDPMVUYZOAF-AWEZNQCLSA-N 1 2 286.423 3.953 20 0 CHADLO C[C@@H](CC1CCCC1)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348839637 1127131698 /nfs/dbraw/zinc/13/16/98/1127131698.db2.gz XDQKBCDPGHRWGP-AWEZNQCLSA-N 1 2 284.407 3.707 20 0 CHADLO CC(C)c1ncc(C[N@H+](Cc2ccccn2)C2CC2)s1 ZINC000349278342 1127144968 /nfs/dbraw/zinc/14/49/68/1127144968.db2.gz MSIYIWMSBKYBLE-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CC(C)c1ncc(C[N@@H+](Cc2ccccn2)C2CC2)s1 ZINC000349278342 1127144971 /nfs/dbraw/zinc/14/49/71/1127144971.db2.gz MSIYIWMSBKYBLE-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(-c2ccccc2)s1)c1ccon1 ZINC000349250722 1127145464 /nfs/dbraw/zinc/14/54/64/1127145464.db2.gz ZBUWDBHHROCEGR-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@@H](CO)c2ccsc2)cs1 ZINC000349339780 1127148435 /nfs/dbraw/zinc/14/84/35/1127148435.db2.gz FAXRAPLTSLLSSH-JQWIXIFHSA-N 1 2 296.461 3.712 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1ccc(C)cn1 ZINC000349679653 1127157384 /nfs/dbraw/zinc/15/73/84/1127157384.db2.gz WZJRFWOZFQQKDJ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1ccc(C)cn1 ZINC000349679653 1127157386 /nfs/dbraw/zinc/15/73/86/1127157386.db2.gz WZJRFWOZFQQKDJ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Oc1cc(F)cc(C[NH2+]Cc2c(F)cccc2Cl)c1 ZINC000349700645 1127158286 /nfs/dbraw/zinc/15/82/86/1127158286.db2.gz JSCZEWFJQZIZBF-UHFFFAOYSA-N 1 2 283.705 3.614 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc2ccccc2o1)c1ccon1 ZINC000349836325 1127164522 /nfs/dbraw/zinc/16/45/22/1127164522.db2.gz RUMIRKSJQFIFQI-GHMZBOCLSA-N 1 2 256.305 3.833 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCc3sccc3C2)s1 ZINC000349971865 1127169273 /nfs/dbraw/zinc/16/92/73/1127169273.db2.gz LMGOGWALQRPAND-UHFFFAOYSA-N 1 2 278.446 3.886 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCc3sccc3C2)s1 ZINC000349971865 1127169276 /nfs/dbraw/zinc/16/92/76/1127169276.db2.gz LMGOGWALQRPAND-UHFFFAOYSA-N 1 2 278.446 3.886 20 0 CHADLO CC[C@H]1CCCC[N@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237522200 1131109573 /nfs/dbraw/zinc/10/95/73/1131109573.db2.gz FLWAFOYTGKERQV-JTQLQIEISA-N 1 2 289.206 3.859 20 0 CHADLO Cc1ccc(Cl)cc1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000350178105 1127175226 /nfs/dbraw/zinc/17/52/26/1127175226.db2.gz WXGONAJOZOUKDX-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO c1onc(Cc2ccccc2)c1C[NH+]1Cc2ccccc2C1 ZINC000351475363 1127188720 /nfs/dbraw/zinc/18/87/20/1127188720.db2.gz DBRYVLMPRPQRIJ-UHFFFAOYSA-N 1 2 290.366 3.781 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccncc1Cl ZINC001212152878 1127191764 /nfs/dbraw/zinc/19/17/64/1127191764.db2.gz BMMYXBJREDDTMT-UHFFFAOYSA-N 1 2 261.756 3.727 20 0 CHADLO Cc1nc(N[C@H]2C[C@@H](OCc3ccccc3)C2(C)C)cc[nH+]1 ZINC000351566271 1127194118 /nfs/dbraw/zinc/19/41/18/1127194118.db2.gz YYJRFRSECMXWBZ-JKSUJKDBSA-N 1 2 297.402 3.581 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(-c2cccs2)s1)c1ccon1 ZINC000351584779 1127196357 /nfs/dbraw/zinc/19/63/57/1127196357.db2.gz WUGGEBUROGTDPQ-SECBINFHSA-N 1 2 291.401 3.710 20 0 CHADLO CC(C)(C)CCc1noc(-c2cccc(-n3cc[nH+]c3)c2)n1 ZINC000351623561 1127199473 /nfs/dbraw/zinc/19/94/73/1127199473.db2.gz RMAIIZNICGMUAU-UHFFFAOYSA-N 1 2 296.374 3.901 20 0 CHADLO c1csc(C[N@H+](Cc2cc[nH]n2)Cc2ccccc2)c1 ZINC000351661924 1127202234 /nfs/dbraw/zinc/20/22/34/1127202234.db2.gz RFXXRSWFBJJDCK-UHFFFAOYSA-N 1 2 283.400 3.674 20 0 CHADLO c1csc(C[N@@H+](Cc2cc[nH]n2)Cc2ccccc2)c1 ZINC000351661924 1127202235 /nfs/dbraw/zinc/20/22/35/1127202235.db2.gz RFXXRSWFBJJDCK-UHFFFAOYSA-N 1 2 283.400 3.674 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2ncc(C3CC3)o2)c1 ZINC000351750906 1127207786 /nfs/dbraw/zinc/20/77/86/1127207786.db2.gz CLAFIIWCTCLHBF-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2ncc(C3CC3)o2)c1 ZINC000351750906 1127207791 /nfs/dbraw/zinc/20/77/91/1127207791.db2.gz CLAFIIWCTCLHBF-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO CC(C)Cc1ccc(C(=O)Nc2ccn3cc[nH+]c3c2)s1 ZINC001274968229 1127210963 /nfs/dbraw/zinc/21/09/63/1127210963.db2.gz AOHLNUCDWWVMTB-UHFFFAOYSA-N 1 2 299.399 3.847 20 0 CHADLO Cc1cc([C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)no1 ZINC000285217030 1127219835 /nfs/dbraw/zinc/21/98/35/1127219835.db2.gz OTMYVSHBRFKBRT-GFCCVEGCSA-N 1 2 259.353 3.531 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2c(F)cncc2F)cc1F ZINC000285370622 1127224001 /nfs/dbraw/zinc/22/40/01/1127224001.db2.gz BZHOQFPIAZQESW-JTQLQIEISA-N 1 2 280.293 3.658 20 0 CHADLO c1csc([C@@H]([NH2+]Cc2n[nH]c3ccccc32)C2CC2)c1 ZINC000589639061 1127232568 /nfs/dbraw/zinc/23/25/68/1127232568.db2.gz JMTGUMIOBAUMHM-INIZCTEOSA-N 1 2 283.400 3.865 20 0 CHADLO Clc1cccc2c1CC[C@H]2[NH2+]Cc1n[nH]c2ccccc21 ZINC000589648042 1127232890 /nfs/dbraw/zinc/23/28/90/1127232890.db2.gz RPYMWZVGSFCKMQ-OAHLLOKOSA-N 1 2 297.789 3.993 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2cc(F)ccc2C)n1 ZINC000285640556 1127239689 /nfs/dbraw/zinc/23/96/89/1127239689.db2.gz LTTLUHTYZSZTDP-OAHLLOKOSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2cc(F)ccc2C)n1 ZINC000285640556 1127239691 /nfs/dbraw/zinc/23/96/91/1127239691.db2.gz LTTLUHTYZSZTDP-OAHLLOKOSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1c(F)cccc1F ZINC000285712735 1127241266 /nfs/dbraw/zinc/24/12/66/1127241266.db2.gz PRKZKRCQGAISTC-UHFFFAOYSA-N 1 2 280.318 3.595 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC000286325133 1127265774 /nfs/dbraw/zinc/26/57/74/1127265774.db2.gz YDLLSUBCXHBUGR-CQSZACIVSA-N 1 2 269.392 3.938 20 0 CHADLO COCC1=CCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000286727163 1127273134 /nfs/dbraw/zinc/27/31/34/1127273134.db2.gz FJIDQEKRVGVIHB-UHFFFAOYSA-N 1 2 288.778 3.671 20 0 CHADLO CC(C)Cc1ncc(C[N@H+]2CCOC[C@@H]2CC(C)C)s1 ZINC000353590981 1127270865 /nfs/dbraw/zinc/27/08/65/1127270865.db2.gz IDDJMXFNKOUBDI-AWEZNQCLSA-N 1 2 296.480 3.589 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+]2CCOC[C@@H]2CC(C)C)s1 ZINC000353590981 1127270868 /nfs/dbraw/zinc/27/08/68/1127270868.db2.gz IDDJMXFNKOUBDI-AWEZNQCLSA-N 1 2 296.480 3.589 20 0 CHADLO COC(=O)[C@H](c1ccccc1C)[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000466813736 1127275852 /nfs/dbraw/zinc/27/58/52/1127275852.db2.gz FHHXHYGEWUAPSX-MSOLQXFVSA-N 1 2 295.382 3.656 20 0 CHADLO COC(=O)[C@H](c1ccccc1C)[N@H+]1CC[C@@H]1c1ccccc1 ZINC000466813736 1127275854 /nfs/dbraw/zinc/27/58/54/1127275854.db2.gz FHHXHYGEWUAPSX-MSOLQXFVSA-N 1 2 295.382 3.656 20 0 CHADLO C[C@H]1OCC[N@H+](Cc2c(Cl)oc3ccccc32)[C@H]1C ZINC000353916880 1127277884 /nfs/dbraw/zinc/27/78/84/1127277884.db2.gz RKEJAMNCEDBRFS-WDEREUQCSA-N 1 2 279.767 3.695 20 0 CHADLO C[C@H]1OCC[N@@H+](Cc2c(Cl)oc3ccccc32)[C@H]1C ZINC000353916880 1127277886 /nfs/dbraw/zinc/27/78/86/1127277886.db2.gz RKEJAMNCEDBRFS-WDEREUQCSA-N 1 2 279.767 3.695 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](C)c2c(F)cncc2F)o1 ZINC000353929926 1127279494 /nfs/dbraw/zinc/27/94/94/1127279494.db2.gz FCAGSOOPGIXZBK-NXEZZACHSA-N 1 2 266.291 3.673 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@H+]1Cc1nc2ccccc2o1 ZINC000590938110 1127280467 /nfs/dbraw/zinc/28/04/67/1127280467.db2.gz GINBVGXYRRUTES-CYBMUJFWSA-N 1 2 294.354 3.783 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@@H+]1Cc1nc2ccccc2o1 ZINC000590938110 1127280468 /nfs/dbraw/zinc/28/04/68/1127280468.db2.gz GINBVGXYRRUTES-CYBMUJFWSA-N 1 2 294.354 3.783 20 0 CHADLO COc1ccccc1C[N@@H+]1CCc2c(F)cc(F)cc2C1 ZINC000354059290 1127289075 /nfs/dbraw/zinc/28/90/75/1127289075.db2.gz QHPHKHCHXUXFLM-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccccc1C[N@H+]1CCc2c(F)cc(F)cc2C1 ZINC000354059290 1127289076 /nfs/dbraw/zinc/28/90/76/1127289076.db2.gz QHPHKHCHXUXFLM-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(Cl)c1)C1CCCC1 ZINC000354123072 1127294928 /nfs/dbraw/zinc/29/49/28/1127294928.db2.gz RYGVAJFNLKARBG-UHFFFAOYSA-N 1 2 289.766 3.654 20 0 CHADLO c1nc(C[N@@H+]2CCc3ccccc3[C@H]2c2ccccc2)co1 ZINC000354436719 1127308150 /nfs/dbraw/zinc/30/81/50/1127308150.db2.gz PAQLXDPJQSOCJT-LJQANCHMSA-N 1 2 290.366 3.822 20 0 CHADLO c1nc(C[N@H+]2CCc3ccccc3[C@H]2c2ccccc2)co1 ZINC000354436719 1127308153 /nfs/dbraw/zinc/30/81/53/1127308153.db2.gz PAQLXDPJQSOCJT-LJQANCHMSA-N 1 2 290.366 3.822 20 0 CHADLO O[C@@H](C[N@@H+]1Cc2cccc(Cl)c2C1)c1ccc(F)cc1 ZINC000287511043 1127308372 /nfs/dbraw/zinc/30/83/72/1127308372.db2.gz UMEHLPFZFRZCEC-INIZCTEOSA-N 1 2 291.753 3.528 20 0 CHADLO O[C@@H](C[N@H+]1Cc2cccc(Cl)c2C1)c1ccc(F)cc1 ZINC000287511043 1127308374 /nfs/dbraw/zinc/30/83/74/1127308374.db2.gz UMEHLPFZFRZCEC-INIZCTEOSA-N 1 2 291.753 3.528 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@@H]2c2ccccc2)c(F)c1 ZINC000466818440 1127315344 /nfs/dbraw/zinc/31/53/44/1127315344.db2.gz ZXSWNVVAMHQTOI-MRXNPFEDSA-N 1 2 259.299 3.912 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@@H]2c2ccccc2)c(F)c1 ZINC000466818440 1127315348 /nfs/dbraw/zinc/31/53/48/1127315348.db2.gz ZXSWNVVAMHQTOI-MRXNPFEDSA-N 1 2 259.299 3.912 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000355123052 1127318871 /nfs/dbraw/zinc/31/88/71/1127318871.db2.gz ABDVPASPRXSMOD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000355123052 1127318876 /nfs/dbraw/zinc/31/88/76/1127318876.db2.gz ABDVPASPRXSMOD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@@H](O)[C@@H](C)Nc1ccc([NH2+]C2CCCCC2)cc1 ZINC001118091934 1131210074 /nfs/dbraw/zinc/21/00/74/1131210074.db2.gz BJDMEWISUYLERU-CHWSQXEVSA-N 1 2 262.397 3.612 20 0 CHADLO CC(C)Oc1c(F)ccc(C[NH+]2CCC(F)CC2)c1F ZINC001238528620 1131213875 /nfs/dbraw/zinc/21/38/75/1131213875.db2.gz WOAYQKSRGCVJTP-UHFFFAOYSA-N 1 2 287.325 3.686 20 0 CHADLO Cc1ncsc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000355269843 1127329561 /nfs/dbraw/zinc/32/95/61/1127329561.db2.gz IHIADOVWDUWVLC-JTQLQIEISA-N 1 2 294.370 3.849 20 0 CHADLO Cc1ncsc1C[N@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000355269843 1127329562 /nfs/dbraw/zinc/32/95/62/1127329562.db2.gz IHIADOVWDUWVLC-JTQLQIEISA-N 1 2 294.370 3.849 20 0 CHADLO C[C@@H]1c2cc(F)cc(F)c2CC[N@H+]1Cc1ccco1 ZINC000355278044 1127330840 /nfs/dbraw/zinc/33/08/40/1127330840.db2.gz XPUZDOKLLNCEJQ-SNVBAGLBSA-N 1 2 263.287 3.677 20 0 CHADLO C[C@@H]1c2cc(F)cc(F)c2CC[N@@H+]1Cc1ccco1 ZINC000355278044 1127330842 /nfs/dbraw/zinc/33/08/42/1127330842.db2.gz XPUZDOKLLNCEJQ-SNVBAGLBSA-N 1 2 263.287 3.677 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1ccc2ccccc2c1 ZINC000355293000 1127331299 /nfs/dbraw/zinc/33/12/99/1127331299.db2.gz SJPHTDFGLCAUOJ-UHFFFAOYSA-N 1 2 287.322 3.740 20 0 CHADLO Cc1c2ccccc2oc1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000355305484 1127332635 /nfs/dbraw/zinc/33/26/35/1127332635.db2.gz IMGOXUQDDGLXGE-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO Cc1cc(C)c(C[NH2+]Cc2nccc(C(F)F)n2)cc1C ZINC000288409195 1127337495 /nfs/dbraw/zinc/33/74/95/1127337495.db2.gz ISQANRSSKDCZBC-UHFFFAOYSA-N 1 2 291.345 3.629 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2nc(-c3ccccc3)cs2)C1 ZINC000355358751 1127335937 /nfs/dbraw/zinc/33/59/37/1127335937.db2.gz YYMGSOBCNIEJRR-HNNXBMFYSA-N 1 2 276.380 3.744 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2nc(-c3ccccc3)cs2)C1 ZINC000355358751 1127335940 /nfs/dbraw/zinc/33/59/40/1127335940.db2.gz YYMGSOBCNIEJRR-HNNXBMFYSA-N 1 2 276.380 3.744 20 0 CHADLO Cc1ccc(C[NH2+]Cc2noc3c2CCCC3)cc1Cl ZINC000288394063 1127336384 /nfs/dbraw/zinc/33/63/84/1127336384.db2.gz HICHKHLLDZRSAV-UHFFFAOYSA-N 1 2 290.794 3.805 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@H](C)CF)cs2)cc1 ZINC000288459233 1127340476 /nfs/dbraw/zinc/34/04/76/1127340476.db2.gz NTUMRAPNXXOQOO-LLVKDONJSA-N 1 2 264.369 3.566 20 0 CHADLO COc1c(C)c[nH+]c(CSCCC[C@@H]2CCOC2)c1C ZINC000592454128 1127350336 /nfs/dbraw/zinc/35/03/36/1127350336.db2.gz GMRUOKKYYLASNZ-CQSZACIVSA-N 1 2 295.448 3.757 20 0 CHADLO Cc1c[nH+]c(CCSCCC[C@H]2CCOC2)c(C)c1 ZINC000592460005 1127350825 /nfs/dbraw/zinc/35/08/25/1127350825.db2.gz VKJMFFDSIBAJOV-HNNXBMFYSA-N 1 2 279.449 3.791 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+]Cc1n[nH]c3ccccc31)CC2 ZINC000592463154 1127351595 /nfs/dbraw/zinc/35/15/95/1127351595.db2.gz SIVDKKJLLCYDML-INIZCTEOSA-N 1 2 277.371 3.648 20 0 CHADLO CCCOc1cccc2c(NCCC[C@@H](C)O)cc[nH+]c12 ZINC000355686166 1127358476 /nfs/dbraw/zinc/35/84/76/1127358476.db2.gz TYNQOIMRURKGTN-CYBMUJFWSA-N 1 2 288.391 3.597 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1CCCc2sccc2C1 ZINC000592983794 1127366406 /nfs/dbraw/zinc/36/64/06/1127366406.db2.gz RDKLTNVJYZYKRV-JTQLQIEISA-N 1 2 289.404 3.518 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1CCCc2sccc2C1 ZINC000592983794 1127366409 /nfs/dbraw/zinc/36/64/09/1127366409.db2.gz RDKLTNVJYZYKRV-JTQLQIEISA-N 1 2 289.404 3.518 20 0 CHADLO CC[C@]1(C)CCC[C@H]1Nc1cc(CSCCO)cc[nH+]1 ZINC000593612225 1127390944 /nfs/dbraw/zinc/39/09/44/1127390944.db2.gz ZEZZXARAIXPZHB-GDBMZVCRSA-N 1 2 294.464 3.688 20 0 CHADLO Cc1ccc2c(c1)CCN(c1cc(C)[nH+]c(C3CC3)n1)C2 ZINC000357888268 1127395776 /nfs/dbraw/zinc/39/57/76/1127395776.db2.gz ZOBRXZGCPRSSAV-UHFFFAOYSA-N 1 2 279.387 3.534 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2cccs2)n1)c1cncs1 ZINC000358086078 1127401939 /nfs/dbraw/zinc/40/19/39/1127401939.db2.gz WEGFPWWUYZYXJW-VIFPVBQESA-N 1 2 291.401 3.710 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2ccc3c(c2)CCC3)c(C)[nH+]1 ZINC000358131576 1127403848 /nfs/dbraw/zinc/40/38/48/1127403848.db2.gz VVIIILDGJLAMPL-OAQYLSRUSA-N 1 2 299.439 3.636 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1csc(C)n1)c1ccc(F)cc1 ZINC000358180479 1127407222 /nfs/dbraw/zinc/40/72/22/1127407222.db2.gz AEJBYSIXXQVEJC-HZMBPMFUSA-N 1 2 294.395 3.629 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H]2CCc3cc(F)ccc32)s1 ZINC000358282759 1127412760 /nfs/dbraw/zinc/41/27/60/1127412760.db2.gz FWITZANJBQYXLL-ZDUSSCGKSA-N 1 2 291.395 3.578 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CC[C@H](C(F)(F)F)C2)cs1 ZINC000761054960 1127414239 /nfs/dbraw/zinc/41/42/39/1127414239.db2.gz KXEUXVZHMKSCFD-VIFPVBQESA-N 1 2 292.370 3.825 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CC[C@H](C(F)(F)F)C2)cs1 ZINC000761054960 1127414242 /nfs/dbraw/zinc/41/42/42/1127414242.db2.gz KXEUXVZHMKSCFD-VIFPVBQESA-N 1 2 292.370 3.825 20 0 CHADLO CCCn1nccc1CNc1cc(CSCC)cc[nH+]1 ZINC000594935228 1127438914 /nfs/dbraw/zinc/43/89/14/1127438914.db2.gz IJSADVKNZVUOFF-UHFFFAOYSA-N 1 2 290.436 3.553 20 0 CHADLO CSc1ccc([C@H](C)Nc2[nH+]c(C)nc3[nH]ccc32)cc1 ZINC000359294421 1127457739 /nfs/dbraw/zinc/45/77/39/1127457739.db2.gz GIZFIZSTOOWAAG-JTQLQIEISA-N 1 2 298.415 3.583 20 0 CHADLO CCCCn1cc(C[NH2+][C@@H](C)c2csc(C)n2)c(C)n1 ZINC000359321526 1127458783 /nfs/dbraw/zinc/45/87/83/1127458783.db2.gz GKCXVGFLYOCDBW-LBPRGKRZSA-N 1 2 292.452 3.607 20 0 CHADLO CC(C)(C)CCCC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000360009337 1127486300 /nfs/dbraw/zinc/48/63/00/1127486300.db2.gz CRJDPTIISOXETK-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO Cc1cc(N2CC[C@@H](c3ccc(F)cc3)C2)nc(C2CC2)[nH+]1 ZINC000360052190 1127487816 /nfs/dbraw/zinc/48/78/16/1127487816.db2.gz HJOZUNNXEHECBG-OAHLLOKOSA-N 1 2 297.377 3.795 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CC[C@H](C(C)(C)C)C[C@H]2C)c1C ZINC001308803453 1127487966 /nfs/dbraw/zinc/48/79/66/1127487966.db2.gz OQHYYRUTOIZCIE-RISCZKNCSA-N 1 2 263.429 3.673 20 0 CHADLO Cc1nc(N[C@H]2CC[C@@H](c3ccccc3F)C2)cc[nH+]1 ZINC000360273277 1127495110 /nfs/dbraw/zinc/49/51/10/1127495110.db2.gz GKNUKEPODOELHL-OLZOCXBDSA-N 1 2 271.339 3.672 20 0 CHADLO Fc1ccccc1C[NH2+]Cc1ncoc1-c1ccccc1 ZINC000360324591 1127496253 /nfs/dbraw/zinc/49/62/53/1127496253.db2.gz WQQJONKUBVURMY-UHFFFAOYSA-N 1 2 282.318 3.771 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2cc(C)c(C)o2)o1 ZINC000293772759 1127519443 /nfs/dbraw/zinc/51/94/43/1127519443.db2.gz STJLSIGCEYMGIE-LLVKDONJSA-N 1 2 276.380 3.996 20 0 CHADLO Cc1ccsc1C[N@@H+](C)Cc1nccn1-c1ccccc1 ZINC000361017019 1127530167 /nfs/dbraw/zinc/53/01/67/1127530167.db2.gz KRADDODWJBQWBG-UHFFFAOYSA-N 1 2 297.427 3.874 20 0 CHADLO Cc1ccsc1C[N@H+](C)Cc1nccn1-c1ccccc1 ZINC000361017019 1127530168 /nfs/dbraw/zinc/53/01/68/1127530168.db2.gz KRADDODWJBQWBG-UHFFFAOYSA-N 1 2 297.427 3.874 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2CCCc2ccsc2)o1 ZINC000360965682 1127524976 /nfs/dbraw/zinc/52/49/76/1127524976.db2.gz IALWWGFWMMTXQI-OAHLLOKOSA-N 1 2 291.416 3.656 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2CCCc2ccsc2)o1 ZINC000360965682 1127524978 /nfs/dbraw/zinc/52/49/78/1127524978.db2.gz IALWWGFWMMTXQI-OAHLLOKOSA-N 1 2 291.416 3.656 20 0 CHADLO C[C@@H](C(=O)N(C)c1ccccc1)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000361073376 1127535341 /nfs/dbraw/zinc/53/53/41/1127535341.db2.gz KCYOSRDRYFCSDS-CABCVRRESA-N 1 2 294.398 3.615 20 0 CHADLO C[C@@H](C(=O)N(C)c1ccccc1)[N@H+]1Cc2ccccc2[C@H]1C ZINC000361073376 1127535343 /nfs/dbraw/zinc/53/53/43/1127535343.db2.gz KCYOSRDRYFCSDS-CABCVRRESA-N 1 2 294.398 3.615 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@H](C(F)(F)F)[C@H]2C)s1 ZINC000361141247 1127541666 /nfs/dbraw/zinc/54/16/66/1127541666.db2.gz MVLCHJPFTGZZFK-KOLCDFICSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@H](C(F)(F)F)[C@H]2C)s1 ZINC000361141247 1127541668 /nfs/dbraw/zinc/54/16/68/1127541668.db2.gz MVLCHJPFTGZZFK-KOLCDFICSA-N 1 2 292.370 3.868 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cc(-c3ccccc3)n[nH]1)C2 ZINC000361110717 1127538657 /nfs/dbraw/zinc/53/86/57/1127538657.db2.gz QNXLVQBWVQMDSF-UHFFFAOYSA-N 1 2 289.382 3.901 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cc(-c3ccccc3)n[nH]1)C2 ZINC000361110717 1127538659 /nfs/dbraw/zinc/53/86/59/1127538659.db2.gz QNXLVQBWVQMDSF-UHFFFAOYSA-N 1 2 289.382 3.901 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCOc2c(F)ccc(F)c21)c1cncs1 ZINC000361186328 1127545472 /nfs/dbraw/zinc/54/54/72/1127545472.db2.gz FVMSHBOIPKIRBA-GZMMTYOYSA-N 1 2 296.342 3.596 20 0 CHADLO CC[N@H+](Cc1c(Cl)cccc1Cl)[C@H]1CCOC1 ZINC000361251043 1127550661 /nfs/dbraw/zinc/55/06/61/1127550661.db2.gz LAYHFUSZHJDANM-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cccc1Cl)[C@H]1CCOC1 ZINC000361251043 1127550665 /nfs/dbraw/zinc/55/06/65/1127550665.db2.gz LAYHFUSZHJDANM-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO Cc1ccc(C[N@H+](CCO)Cc2ccsc2Cl)cc1 ZINC000361585160 1127574133 /nfs/dbraw/zinc/57/41/33/1127574133.db2.gz HNVLUNVZIDTPCB-UHFFFAOYSA-N 1 2 295.835 3.704 20 0 CHADLO Cc1ccc(C[N@@H+](CCO)Cc2ccsc2Cl)cc1 ZINC000361585160 1127574135 /nfs/dbraw/zinc/57/41/35/1127574135.db2.gz HNVLUNVZIDTPCB-UHFFFAOYSA-N 1 2 295.835 3.704 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2ccoc2)c1 ZINC000361694722 1127582374 /nfs/dbraw/zinc/58/23/74/1127582374.db2.gz ZKUQVWDDIFLTOK-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2ccoc2)c1 ZINC000361694722 1127582376 /nfs/dbraw/zinc/58/23/76/1127582376.db2.gz ZKUQVWDDIFLTOK-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO CN1CCCc2cc([NH2+][C@H]3CCOC4(CCC4)C3)ccc21 ZINC000294669449 1127590673 /nfs/dbraw/zinc/59/06/73/1127590673.db2.gz AJNREUKFYOQGMD-INIZCTEOSA-N 1 2 286.419 3.583 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@H]3CCOC4(CCC4)C3)ccc21 ZINC000294669449 1127590675 /nfs/dbraw/zinc/59/06/75/1127590675.db2.gz AJNREUKFYOQGMD-INIZCTEOSA-N 1 2 286.419 3.583 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@H]3CCOC4(CCC4)C3)ccc21 ZINC000294669449 1127590678 /nfs/dbraw/zinc/59/06/78/1127590678.db2.gz AJNREUKFYOQGMD-INIZCTEOSA-N 1 2 286.419 3.583 20 0 CHADLO CCSCC[C@H](C)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000602556400 1127592816 /nfs/dbraw/zinc/59/28/16/1127592816.db2.gz FRBXDCZXYAIIOY-GXTWGEPZSA-N 1 2 296.484 3.547 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2cnns2)o1 ZINC000294827216 1127602113 /nfs/dbraw/zinc/60/21/13/1127602113.db2.gz AIXAMTMCQZRYEH-RISCZKNCSA-N 1 2 291.420 3.667 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2cnns2)o1 ZINC000294827216 1127602115 /nfs/dbraw/zinc/60/21/15/1127602115.db2.gz AIXAMTMCQZRYEH-RISCZKNCSA-N 1 2 291.420 3.667 20 0 CHADLO CCC(CC)(CC)C[NH2+][C@@H](c1cccs1)c1nnc[nH]1 ZINC000294878496 1127605932 /nfs/dbraw/zinc/60/59/32/1127605932.db2.gz UQKJJJSNZLPHOH-ZDUSSCGKSA-N 1 2 292.452 3.762 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+]1CC(C)(C)[C@H]1c1nccn1C ZINC000294870302 1127605450 /nfs/dbraw/zinc/60/54/50/1127605450.db2.gz URQCNDDRBPCDGT-OKACTXMXSA-N 1 2 295.430 3.907 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+]1CC(C)(C)[C@H]1c1nccn1C ZINC000294870302 1127605454 /nfs/dbraw/zinc/60/54/54/1127605454.db2.gz URQCNDDRBPCDGT-OKACTXMXSA-N 1 2 295.430 3.907 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](c3ccsc3)C2)cs1 ZINC000294914890 1127607571 /nfs/dbraw/zinc/60/75/71/1127607571.db2.gz STDYXOAHOVTCEB-CQSZACIVSA-N 1 2 279.430 3.692 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](c3ccsc3)C2)cs1 ZINC000294914890 1127607573 /nfs/dbraw/zinc/60/75/73/1127607573.db2.gz STDYXOAHOVTCEB-CQSZACIVSA-N 1 2 279.430 3.692 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)cs1 ZINC000294920171 1127608757 /nfs/dbraw/zinc/60/87/57/1127608757.db2.gz TVWXPESDBWNEQQ-CYBMUJFWSA-N 1 2 261.394 3.507 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)cs1 ZINC000294920171 1127608759 /nfs/dbraw/zinc/60/87/59/1127608759.db2.gz TVWXPESDBWNEQQ-CYBMUJFWSA-N 1 2 261.394 3.507 20 0 CHADLO CSCc1cnc(C[NH+]2CCC(CCF)CC2)s1 ZINC000602735184 1127610672 /nfs/dbraw/zinc/61/06/72/1127610672.db2.gz SDSHJKQNSMXUKL-UHFFFAOYSA-N 1 2 288.457 3.578 20 0 CHADLO CSC1CC[NH+](Cc2ncc(Cl)cc2Cl)CC1 ZINC000602921948 1127622357 /nfs/dbraw/zinc/62/23/57/1127622357.db2.gz COFAUMFKKZISAO-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO Cc1scnc1C[N@@H+]1CCC[C@@H]1c1cccc(F)c1 ZINC001232204218 1127635143 /nfs/dbraw/zinc/63/51/43/1127635143.db2.gz XCHDGCWDHZXZNL-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1scnc1C[N@H+]1CCC[C@@H]1c1cccc(F)c1 ZINC001232204218 1127635145 /nfs/dbraw/zinc/63/51/45/1127635145.db2.gz XCHDGCWDHZXZNL-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO CCC1(C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)CCCC1 ZINC000362462070 1127637872 /nfs/dbraw/zinc/63/78/72/1127637872.db2.gz BROXNLRWENJNBV-UHFFFAOYSA-N 1 2 271.364 3.780 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[N@@H+]1CCC[C@@](C)(F)C1 ZINC000295391298 1127640557 /nfs/dbraw/zinc/64/05/57/1127640557.db2.gz LFMHPAPRCHSFNN-MLGOLLRUSA-N 1 2 289.354 3.622 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[N@H+]1CCC[C@@](C)(F)C1 ZINC000295391298 1127640559 /nfs/dbraw/zinc/64/05/59/1127640559.db2.gz LFMHPAPRCHSFNN-MLGOLLRUSA-N 1 2 289.354 3.622 20 0 CHADLO Cc1cn2cc(NC(=O)C3(CC(C)C)CCC3)ccc2[nH+]1 ZINC000362498387 1127640672 /nfs/dbraw/zinc/64/06/72/1127640672.db2.gz PWXITAPAJKEVEQ-UHFFFAOYSA-N 1 2 285.391 3.798 20 0 CHADLO CCC[N@H+](Cc1cnns1)[C@@H](C)c1ccccc1OC ZINC000295471288 1127643831 /nfs/dbraw/zinc/64/38/31/1127643831.db2.gz IQIDXCCBTYMOKP-LBPRGKRZSA-N 1 2 291.420 3.520 20 0 CHADLO CCC[N@@H+](Cc1cnns1)[C@@H](C)c1ccccc1OC ZINC000295471288 1127643834 /nfs/dbraw/zinc/64/38/34/1127643834.db2.gz IQIDXCCBTYMOKP-LBPRGKRZSA-N 1 2 291.420 3.520 20 0 CHADLO CC[N@H+](Cc1conc1C)Cc1ccccc1Cl ZINC000295504218 1127645023 /nfs/dbraw/zinc/64/50/23/1127645023.db2.gz YPQLGWRKSYQFJP-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1conc1C)Cc1ccccc1Cl ZINC000295504218 1127645026 /nfs/dbraw/zinc/64/50/26/1127645026.db2.gz YPQLGWRKSYQFJP-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2c(C3CC3)nc3sccn23)C1 ZINC000295608310 1127648394 /nfs/dbraw/zinc/64/83/94/1127648394.db2.gz DOTNVHJBCGRLMR-OAHLLOKOSA-N 1 2 293.411 3.597 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2c(C3CC3)nc3sccn23)C1 ZINC000295608310 1127648396 /nfs/dbraw/zinc/64/83/96/1127648396.db2.gz DOTNVHJBCGRLMR-OAHLLOKOSA-N 1 2 293.411 3.597 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)[C@H]2CCCCO2)c1 ZINC000599037806 1127653502 /nfs/dbraw/zinc/65/35/02/1127653502.db2.gz DWQWLNIKXGOZHT-TZMCWYRMSA-N 1 2 280.437 3.704 20 0 CHADLO COc1ccc[nH+]c1NCc1cccc(CSC)c1 ZINC000599072531 1127655459 /nfs/dbraw/zinc/65/54/59/1127655459.db2.gz IOQRJQNSGWCDMK-UHFFFAOYSA-N 1 2 274.389 3.565 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H](C)c1ccc(F)cc1F ZINC000295872172 1127655959 /nfs/dbraw/zinc/65/59/59/1127655959.db2.gz HDOAFZCYCSKURW-MNOVXSKESA-N 1 2 279.334 3.593 20 0 CHADLO Fc1cc(F)c2c(c1)[C@@H]([NH2+]Cc1cscn1)CCC2 ZINC000295944804 1127658269 /nfs/dbraw/zinc/65/82/69/1127658269.db2.gz GORDVGFYRZDNLC-AWEZNQCLSA-N 1 2 280.343 3.589 20 0 CHADLO Fc1cc(Cl)cc(C[NH2+]Cc2ncc(Cl)s2)c1 ZINC000719445114 1127669322 /nfs/dbraw/zinc/66/93/22/1127669322.db2.gz YRYPUOMJUZEVGG-UHFFFAOYSA-N 1 2 291.178 3.879 20 0 CHADLO CC/C=C(\C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000171033106 1127678143 /nfs/dbraw/zinc/67/81/43/1127678143.db2.gz BDQVHHSSBPZSMJ-NYYWCZLTSA-N 1 2 289.766 3.821 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1nnc(C(C)C)[nH]1 ZINC000296659146 1127681135 /nfs/dbraw/zinc/68/11/35/1127681135.db2.gz ZQNFCSFJCHGALA-GFCCVEGCSA-N 1 2 298.390 3.834 20 0 CHADLO Cc1cccc(OCC[N@@H+](C)Cc2cccnc2Cl)c1 ZINC000171075876 1127682566 /nfs/dbraw/zinc/68/25/66/1127682566.db2.gz LMYDZIROKYQRFQ-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO Cc1cccc(OCC[N@H+](C)Cc2cccnc2Cl)c1 ZINC000171075876 1127682570 /nfs/dbraw/zinc/68/25/70/1127682570.db2.gz LMYDZIROKYQRFQ-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO CC(C)=C[C@@H]1[C@H](C(=O)Nc2ccc3[nH+]ccn3c2)C1(C)C ZINC000603882817 1127679445 /nfs/dbraw/zinc/67/94/45/1127679445.db2.gz YUBYBSHABPGUSO-UKRRQHHQSA-N 1 2 283.375 3.511 20 0 CHADLO Cc1ccc2oc(C(=O)Nc3ccc4[nH+]ccn4c3)cc2c1 ZINC000603883681 1127680588 /nfs/dbraw/zinc/68/05/88/1127680588.db2.gz AYDJMBGGHXPUIV-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1nc(C(C)(C)C)no1 ZINC000603942376 1127684392 /nfs/dbraw/zinc/68/43/92/1127684392.db2.gz LFZSMRVHRUEGLK-OAHLLOKOSA-N 1 2 299.418 3.877 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1nc(C(C)(C)C)no1 ZINC000603942376 1127684394 /nfs/dbraw/zinc/68/43/94/1127684394.db2.gz LFZSMRVHRUEGLK-OAHLLOKOSA-N 1 2 299.418 3.877 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2cccc(C)c2F)[nH]1 ZINC000296966817 1127686574 /nfs/dbraw/zinc/68/65/74/1127686574.db2.gz URJCKSKBITVHIG-CMPLNLGQSA-N 1 2 290.386 3.617 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2ccc(C3CC3)cc2F)c2nccn21 ZINC000297288650 1127693290 /nfs/dbraw/zinc/69/32/90/1127693290.db2.gz LQRTYFXZFWRMIO-MEDUHNTESA-N 1 2 285.366 3.695 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cc(F)cnc2Cl)oc1C ZINC000297340655 1127693892 /nfs/dbraw/zinc/69/38/92/1127693892.db2.gz OLEVMWDPCDLNGA-VIFPVBQESA-N 1 2 282.746 3.935 20 0 CHADLO COc1cccc(C[N@@H+]2CCc3cc(F)ccc3C2)c1F ZINC000669711956 1127696639 /nfs/dbraw/zinc/69/66/39/1127696639.db2.gz IWBUHCBHRTWVLW-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc(C[N@H+]2CCc3cc(F)ccc3C2)c1F ZINC000669711956 1127696640 /nfs/dbraw/zinc/69/66/40/1127696640.db2.gz IWBUHCBHRTWVLW-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(CC[N@H+](CC(F)F)CC(C)(C)C)cc1 ZINC000604390029 1127704444 /nfs/dbraw/zinc/70/44/44/1127704444.db2.gz OQCRMSMBEZLUKH-UHFFFAOYSA-N 1 2 285.378 3.851 20 0 CHADLO COc1ccc(CC[N@@H+](CC(F)F)CC(C)(C)C)cc1 ZINC000604390029 1127704447 /nfs/dbraw/zinc/70/44/47/1127704447.db2.gz OQCRMSMBEZLUKH-UHFFFAOYSA-N 1 2 285.378 3.851 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1C[C@@H]1CCOc2ccccc21 ZINC000669716708 1127709203 /nfs/dbraw/zinc/70/92/03/1127709203.db2.gz ZIBZGIQLEULCAR-JSGCOSHPSA-N 1 2 281.346 3.672 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1C[C@@H]1CCOc2ccccc21 ZINC000669716708 1127709206 /nfs/dbraw/zinc/70/92/06/1127709206.db2.gz ZIBZGIQLEULCAR-JSGCOSHPSA-N 1 2 281.346 3.672 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(C)c2C)oc1C ZINC000298412114 1127722934 /nfs/dbraw/zinc/72/29/34/1127722934.db2.gz XDRJYAHEHCCGHV-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(C)c2C)oc1C ZINC000298412114 1127722937 /nfs/dbraw/zinc/72/29/37/1127722937.db2.gz XDRJYAHEHCCGHV-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(CN(C)Cc2cn3c([nH+]2)CCCC3)o1 ZINC000365446557 1127729236 /nfs/dbraw/zinc/72/92/36/1127729236.db2.gz IJSLLNPJIHOUSB-CJNGLKHVSA-N 1 2 299.418 3.568 20 0 CHADLO FCc1cccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)n1 ZINC001232536027 1127748876 /nfs/dbraw/zinc/74/88/76/1127748876.db2.gz UYDXDDXTSCZOKR-UHFFFAOYSA-N 1 2 290.769 3.763 20 0 CHADLO FCc1cccc(C[N@H+]2CCc3ccc(Cl)cc3C2)n1 ZINC001232536027 1127748877 /nfs/dbraw/zinc/74/88/77/1127748877.db2.gz UYDXDDXTSCZOKR-UHFFFAOYSA-N 1 2 290.769 3.763 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)N1CCc2c([nH+]cn2C)C1 ZINC000369177061 1127760618 /nfs/dbraw/zinc/76/06/18/1127760618.db2.gz NYFYFSWTPUIHEF-HNNXBMFYSA-N 1 2 289.810 3.583 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@@H](C)[C@@H]2c2ccc(C)cc2)no1 ZINC000606452290 1127764255 /nfs/dbraw/zinc/76/42/55/1127764255.db2.gz SXOCMWYBLWBYEC-RDTXWAMCSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@@H](C)[C@@H]2c2ccc(C)cc2)no1 ZINC000606452290 1127764261 /nfs/dbraw/zinc/76/42/61/1127764261.db2.gz SXOCMWYBLWBYEC-RDTXWAMCSA-N 1 2 299.418 3.914 20 0 CHADLO Cc1ccc([C@@H](C)NC(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000606580686 1127767826 /nfs/dbraw/zinc/76/78/26/1127767826.db2.gz AILYMIFXOYWTNR-CYBMUJFWSA-N 1 2 294.358 3.525 20 0 CHADLO C[C@H](c1ccccc1)N(C)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606580642 1127767837 /nfs/dbraw/zinc/76/78/37/1127767837.db2.gz XVELUTLFNTZPAE-CYBMUJFWSA-N 1 2 294.358 3.559 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCC[C@H]2C2CC2)n1 ZINC000372132026 1127788741 /nfs/dbraw/zinc/78/87/41/1127788741.db2.gz NGXWQRAXOVHSCU-VIFPVBQESA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCC[C@H]2C2CC2)n1 ZINC000372132026 1127788743 /nfs/dbraw/zinc/78/87/43/1127788743.db2.gz NGXWQRAXOVHSCU-VIFPVBQESA-N 1 2 276.327 3.536 20 0 CHADLO COc1cc(C)c(C)cc1C[NH+]1CC(Oc2ccccc2)C1 ZINC001238761380 1131241111 /nfs/dbraw/zinc/24/11/11/1131241111.db2.gz CSEJHSSMXLCOHE-UHFFFAOYSA-N 1 2 297.398 3.575 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)c1ccsc1 ZINC000373617799 1127853817 /nfs/dbraw/zinc/85/38/17/1127853817.db2.gz GOWOZENFPAQOHZ-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO CC[C@@]1(C)C[C@H]1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000373697422 1127857051 /nfs/dbraw/zinc/85/70/51/1127857051.db2.gz QVTLDZZREBZZMW-GUYCJALGSA-N 1 2 283.375 3.760 20 0 CHADLO Cc1ccc2ncc(C[N@@H+]3CCC[C@@H]3c3ncc[nH]3)cc2c1 ZINC000411427372 1127859980 /nfs/dbraw/zinc/85/99/80/1127859980.db2.gz IJFDLADPGGVPAG-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2ncc(C[N@H+]3CCC[C@@H]3c3ncc[nH]3)cc2c1 ZINC000411427372 1127859981 /nfs/dbraw/zinc/85/99/81/1127859981.db2.gz IJFDLADPGGVPAG-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc([C@H]2CCC[C@@H]2[N@H+](C)CC(F)F)cc1 ZINC000608501476 1127871844 /nfs/dbraw/zinc/87/18/44/1127871844.db2.gz WJYPEFHAXZQQAB-KGLIPLIRSA-N 1 2 253.336 3.828 20 0 CHADLO Cc1ccc([C@H]2CCC[C@@H]2[N@@H+](C)CC(F)F)cc1 ZINC000608501476 1127871846 /nfs/dbraw/zinc/87/18/46/1127871846.db2.gz WJYPEFHAXZQQAB-KGLIPLIRSA-N 1 2 253.336 3.828 20 0 CHADLO CO[C@@H](C[N@@H+]1Cc2ccc(C(C)=O)cc2C1)c1ccccc1 ZINC000411964071 1127882360 /nfs/dbraw/zinc/88/23/60/1127882360.db2.gz WCIVRRFVSOZTFR-IBGZPJMESA-N 1 2 295.382 3.593 20 0 CHADLO CO[C@@H](C[N@H+]1Cc2ccc(C(C)=O)cc2C1)c1ccccc1 ZINC000411964071 1127882367 /nfs/dbraw/zinc/88/23/67/1127882367.db2.gz WCIVRRFVSOZTFR-IBGZPJMESA-N 1 2 295.382 3.593 20 0 CHADLO Cc1cccc([C@H](C)[N@@H+]2C[C@@H](C)O[C@H](C(F)(F)F)C2)c1 ZINC000608749987 1127885172 /nfs/dbraw/zinc/88/51/72/1127885172.db2.gz PEDMUJJBCUOJOZ-DYEKYZERSA-N 1 2 287.325 3.708 20 0 CHADLO Cc1cccc([C@H](C)[N@H+]2C[C@@H](C)O[C@H](C(F)(F)F)C2)c1 ZINC000608749987 1127885174 /nfs/dbraw/zinc/88/51/74/1127885174.db2.gz PEDMUJJBCUOJOZ-DYEKYZERSA-N 1 2 287.325 3.708 20 0 CHADLO C[N@H+](Cc1ccc(F)c(F)c1Cl)C1CC(F)(F)C1 ZINC001232874536 1127895146 /nfs/dbraw/zinc/89/51/46/1127895146.db2.gz VTVQBGFZMKACRC-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO C[N@@H+](Cc1ccc(F)c(F)c1Cl)C1CC(F)(F)C1 ZINC001232874536 1127895151 /nfs/dbraw/zinc/89/51/51/1127895151.db2.gz VTVQBGFZMKACRC-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000374821017 1127914327 /nfs/dbraw/zinc/91/43/27/1127914327.db2.gz DHVHVGRNHSFBDT-MRXNPFEDSA-N 1 2 292.329 3.501 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000374821017 1127914329 /nfs/dbraw/zinc/91/43/29/1127914329.db2.gz DHVHVGRNHSFBDT-MRXNPFEDSA-N 1 2 292.329 3.501 20 0 CHADLO C[C@H](Nc1cc(NCC2CC2)[nH+]cn1)c1ccsc1 ZINC000669827256 1127925906 /nfs/dbraw/zinc/92/59/06/1127925906.db2.gz APNRRTWVFZQHKL-JTQLQIEISA-N 1 2 274.393 3.533 20 0 CHADLO C[C@H](Nc1cc(NCC2CC2)nc[nH+]1)c1ccsc1 ZINC000669827256 1127925910 /nfs/dbraw/zinc/92/59/10/1127925910.db2.gz APNRRTWVFZQHKL-JTQLQIEISA-N 1 2 274.393 3.533 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccsc1)c1nc2ccccc2c(=O)[nH]1 ZINC000669828146 1127927553 /nfs/dbraw/zinc/92/75/53/1127927553.db2.gz CYGVUCXKGGYSJC-WDEREUQCSA-N 1 2 299.399 3.809 20 0 CHADLO Cn1cccc1C[N@H+](C)Cc1cc(Cl)ccc1Cl ZINC000539820616 1127943506 /nfs/dbraw/zinc/94/35/06/1127943506.db2.gz BWXZLEZSTVGIRW-UHFFFAOYSA-N 1 2 283.202 3.964 20 0 CHADLO Cn1cccc1C[N@@H+](C)Cc1cc(Cl)ccc1Cl ZINC000539820616 1127943507 /nfs/dbraw/zinc/94/35/07/1127943507.db2.gz BWXZLEZSTVGIRW-UHFFFAOYSA-N 1 2 283.202 3.964 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCOC[C@@H]1C1CC1 ZINC001233353167 1127955292 /nfs/dbraw/zinc/95/52/92/1127955292.db2.gz JCOMLONDRIUYOS-CQSZACIVSA-N 1 2 297.851 3.673 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCOC[C@@H]1C1CC1 ZINC001233353167 1127955296 /nfs/dbraw/zinc/95/52/96/1127955296.db2.gz JCOMLONDRIUYOS-CQSZACIVSA-N 1 2 297.851 3.673 20 0 CHADLO CCOCC[N@@H+]1CCc2cc(Cl)c(Cl)cc2[C@H]1C ZINC000540054232 1127958953 /nfs/dbraw/zinc/95/89/53/1127958953.db2.gz OSJTUSVUMKMHJY-SNVBAGLBSA-N 1 2 288.218 3.949 20 0 CHADLO CCOCC[N@H+]1CCc2cc(Cl)c(Cl)cc2[C@H]1C ZINC000540054232 1127958955 /nfs/dbraw/zinc/95/89/55/1127958955.db2.gz OSJTUSVUMKMHJY-SNVBAGLBSA-N 1 2 288.218 3.949 20 0 CHADLO CCC[C@H](C)Cc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000544768267 1127983728 /nfs/dbraw/zinc/98/37/28/1127983728.db2.gz MGDRUWWWBHIXDV-ZDUSSCGKSA-N 1 2 296.374 3.901 20 0 CHADLO Cc1cc(OCC[C@@H]2CCCO2)c2cccc(C)c2[nH+]1 ZINC000468391880 1128005401 /nfs/dbraw/zinc/00/54/01/1128005401.db2.gz IVITZSCPBPOWJB-AWEZNQCLSA-N 1 2 271.360 3.800 20 0 CHADLO CCCCc1nc(C[N@@H+]2CC[C@H](c3cccc(C)c3)C2)no1 ZINC000541392537 1128021859 /nfs/dbraw/zinc/02/18/59/1128021859.db2.gz GNXQFYAVXHWKLA-INIZCTEOSA-N 1 2 299.418 3.710 20 0 CHADLO CCCCc1nc(C[N@H+]2CC[C@H](c3cccc(C)c3)C2)no1 ZINC000541392537 1128021864 /nfs/dbraw/zinc/02/18/64/1128021864.db2.gz GNXQFYAVXHWKLA-INIZCTEOSA-N 1 2 299.418 3.710 20 0 CHADLO CC(C)CCN(CCC(C)C)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC000469514681 1128045546 /nfs/dbraw/zinc/04/55/46/1128045546.db2.gz ACCMKPPXZHSUDH-UHFFFAOYSA-N 1 2 293.455 3.608 20 0 CHADLO CCC[C@@H]1C[N@H+](Cc2cccc(C(F)F)c2)CCO1 ZINC000470776322 1128076914 /nfs/dbraw/zinc/07/69/14/1128076914.db2.gz GYDFCHOKIRDZRX-CQSZACIVSA-N 1 2 269.335 3.625 20 0 CHADLO CCC[C@@H]1C[N@@H+](Cc2cccc(C(F)F)c2)CCO1 ZINC000470776322 1128076919 /nfs/dbraw/zinc/07/69/19/1128076919.db2.gz GYDFCHOKIRDZRX-CQSZACIVSA-N 1 2 269.335 3.625 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470909636 1128079746 /nfs/dbraw/zinc/07/97/46/1128079746.db2.gz UEJXOBFHHIOWON-GDLVEWKHSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470909636 1128079751 /nfs/dbraw/zinc/07/97/51/1128079751.db2.gz UEJXOBFHHIOWON-GDLVEWKHSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000470953367 1128084297 /nfs/dbraw/zinc/08/42/97/1128084297.db2.gz NYGOMALUOSCZMF-NXEZZACHSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000470953367 1128084302 /nfs/dbraw/zinc/08/43/02/1128084302.db2.gz NYGOMALUOSCZMF-NXEZZACHSA-N 1 2 290.329 3.745 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@H+](C)Cc1ccccc1SC ZINC000470992502 1128084580 /nfs/dbraw/zinc/08/45/80/1128084580.db2.gz IDGZUFXULSADKI-AWEZNQCLSA-N 1 2 295.448 3.572 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@@H+](C)Cc1ccccc1SC ZINC000470992502 1128084584 /nfs/dbraw/zinc/08/45/84/1128084584.db2.gz IDGZUFXULSADKI-AWEZNQCLSA-N 1 2 295.448 3.572 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+]2CCS[C@H](C(C)C)C2)n1 ZINC000174332149 1128100150 /nfs/dbraw/zinc/10/01/50/1128100150.db2.gz QXRAZMKIHGZVEO-OLZOCXBDSA-N 1 2 297.468 3.547 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+]2CCS[C@H](C(C)C)C2)n1 ZINC000174332149 1128100152 /nfs/dbraw/zinc/10/01/52/1128100152.db2.gz QXRAZMKIHGZVEO-OLZOCXBDSA-N 1 2 297.468 3.547 20 0 CHADLO Cc1csc(C[NH2+][C@@H]2CCCc3c(Cl)ccnc32)n1 ZINC000924614902 1128107882 /nfs/dbraw/zinc/10/78/82/1128107882.db2.gz KSIAGUILMPGRPG-GFCCVEGCSA-N 1 2 293.823 3.667 20 0 CHADLO FC1=CCC[N@H+](CCOc2ccc(C(F)(F)F)cc2)C1 ZINC000492995911 1128119077 /nfs/dbraw/zinc/11/90/77/1128119077.db2.gz TWTYPJGCIJEWDZ-UHFFFAOYSA-N 1 2 289.272 3.643 20 0 CHADLO FC1=CCC[N@@H+](CCOc2ccc(C(F)(F)F)cc2)C1 ZINC000492995911 1128119078 /nfs/dbraw/zinc/11/90/78/1128119078.db2.gz TWTYPJGCIJEWDZ-UHFFFAOYSA-N 1 2 289.272 3.643 20 0 CHADLO CC(C)c1cc(C[NH2+][C@@H](C)c2ccnn2C2CCC2)on1 ZINC000925069803 1128121128 /nfs/dbraw/zinc/12/11/28/1128121128.db2.gz WTDOWIYGHVARJC-LBPRGKRZSA-N 1 2 288.395 3.570 20 0 CHADLO CC(C)[C@@H]1COCC[C@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000925728806 1128139083 /nfs/dbraw/zinc/13/90/83/1128139083.db2.gz WMANVOLBFPVTDD-ZWKOTPCHSA-N 1 2 288.435 3.760 20 0 CHADLO CC(C)[C@@H]1COCC[C@H]1[NH2+]c1ccc(N2CCCC2)cc1 ZINC000925728806 1128139086 /nfs/dbraw/zinc/13/90/86/1128139086.db2.gz WMANVOLBFPVTDD-ZWKOTPCHSA-N 1 2 288.435 3.760 20 0 CHADLO CCCCOc1ccc(NC(=O)/C=C\c2[nH]cc[nH+]2)c(C)c1 ZINC000493629120 1128145781 /nfs/dbraw/zinc/14/57/81/1128145781.db2.gz RFRBHHUPHOOCPX-FPLPWBNLSA-N 1 2 299.374 3.549 20 0 CHADLO CCCCOc1ccc(NC(=O)/C=C/c2[nH]cc[nH+]2)c(C)c1 ZINC000493629119 1128145818 /nfs/dbraw/zinc/14/58/18/1128145818.db2.gz RFRBHHUPHOOCPX-BQYQJAHWSA-N 1 2 299.374 3.549 20 0 CHADLO CCOCc1cccc(N[C@H](C)c2cn3c([nH+]2)CCCC3)c1 ZINC000925917474 1128146034 /nfs/dbraw/zinc/14/60/34/1128146034.db2.gz FUPQZNXIMJJFAU-CQSZACIVSA-N 1 2 299.418 3.929 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1ccns1 ZINC000925759014 1128141095 /nfs/dbraw/zinc/14/10/95/1128141095.db2.gz JWGHDYVGCLDHMS-LBPRGKRZSA-N 1 2 288.420 3.702 20 0 CHADLO Cc1ccc(F)c(N[C@H](C)c2cn3c([nH+]2)CCCC3)c1 ZINC000925955840 1128148025 /nfs/dbraw/zinc/14/80/25/1128148025.db2.gz CKNCOCTZBSDTFG-GFCCVEGCSA-N 1 2 273.355 3.840 20 0 CHADLO Cc1nnc(C[NH2+][C@H](C)c2c(C)oc3ccccc32)s1 ZINC000926117978 1128156079 /nfs/dbraw/zinc/15/60/79/1128156079.db2.gz BERFSNDKBVJJIU-SECBINFHSA-N 1 2 287.388 3.752 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000494230699 1128166364 /nfs/dbraw/zinc/16/63/64/1128166364.db2.gz MHDVCZHZILSFPD-KAMYIIQDSA-N 1 2 299.418 3.902 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000494230699 1128166368 /nfs/dbraw/zinc/16/63/68/1128166368.db2.gz MHDVCZHZILSFPD-KAMYIIQDSA-N 1 2 299.418 3.902 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nccn1C(C)C ZINC000494261049 1128167833 /nfs/dbraw/zinc/16/78/33/1128167833.db2.gz BMYCSVZASRFEBQ-VBKFSLOCSA-N 1 2 283.419 3.999 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nccn1C(C)C ZINC000494261049 1128167835 /nfs/dbraw/zinc/16/78/35/1128167835.db2.gz BMYCSVZASRFEBQ-VBKFSLOCSA-N 1 2 283.419 3.999 20 0 CHADLO C[C@H](Cc1cccc(F)c1)[NH2+]CC(F)(F)c1ccccn1 ZINC001168466089 1128179791 /nfs/dbraw/zinc/17/97/91/1128179791.db2.gz CRBWZYDLQPRSJG-GFCCVEGCSA-N 1 2 294.320 3.533 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@@H]1F)c1ccns1 ZINC000926860989 1128198593 /nfs/dbraw/zinc/19/85/93/1128198593.db2.gz LMMWNKWWTQETRQ-VZJVUDMVSA-N 1 2 276.380 3.819 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCc3cccc(C)c32)c1 ZINC000926659811 1128186807 /nfs/dbraw/zinc/18/68/07/1128186807.db2.gz HLHVHVWXJNUBKH-HNNXBMFYSA-N 1 2 268.360 3.636 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+]Cc1cc(Cl)cc(Cl)c1 ZINC000926673590 1128187325 /nfs/dbraw/zinc/18/73/25/1128187325.db2.gz RTPSPXTWWOKPGK-SSDOTTSWSA-N 1 2 286.162 3.536 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)c1ncco1)c1ccccc1F ZINC000926695267 1128188502 /nfs/dbraw/zinc/18/85/02/1128188502.db2.gz ZJXPIUSJSYHJOF-SMDDNHRTSA-N 1 2 262.328 3.862 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CC[C@@H]3SCCS[C@H]23)cc1 ZINC000777602733 1128190287 /nfs/dbraw/zinc/19/02/87/1128190287.db2.gz VMLOVDLDWUYHQM-QLFBSQMISA-N 1 2 294.489 3.544 20 0 CHADLO C[C@H](NCC(F)(F)C(C)(C)C)c1cn2c([nH+]1)CCCC2 ZINC000926730105 1128190391 /nfs/dbraw/zinc/19/03/91/1128190391.db2.gz ZYELYSZBZPPHOW-NSHDSACASA-N 1 2 285.382 3.551 20 0 CHADLO Cc1nc(N2Cc3ccccc3[C@H](C)C2)c(C)c(C)[nH+]1 ZINC000761875045 1128250160 /nfs/dbraw/zinc/25/01/60/1128250160.db2.gz SDMVETAUDCAUJY-LLVKDONJSA-N 1 2 267.376 3.526 20 0 CHADLO Cl/C=C/C[NH+](C/C=C/Cl)Cc1ccccc1 ZINC000914875171 1128258430 /nfs/dbraw/zinc/25/84/30/1128258430.db2.gz YZOVFQDVVYVSBI-KBXRYBNXSA-N 1 2 256.176 3.994 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1c(F)cncc1F ZINC000929325275 1128269228 /nfs/dbraw/zinc/26/92/28/1128269228.db2.gz ICFDLIDCYBDEHT-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1c(F)cncc1F ZINC000929325275 1128269232 /nfs/dbraw/zinc/26/92/32/1128269232.db2.gz ICFDLIDCYBDEHT-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H](C)CC(C)(C)OC)cc1 ZINC001234590885 1128209923 /nfs/dbraw/zinc/20/99/23/1128209923.db2.gz VHSLGADVFOBBJL-GFCCVEGCSA-N 1 2 279.380 3.631 20 0 CHADLO COc1ncc(C[N@H+](C)[C@@H](C)c2ccc(F)c(F)c2)s1 ZINC000637887347 1128266061 /nfs/dbraw/zinc/26/60/61/1128266061.db2.gz YGLUPEQKDSLMJH-VIFPVBQESA-N 1 2 298.358 3.623 20 0 CHADLO COc1ncc(C[N@@H+](C)[C@@H](C)c2ccc(F)c(F)c2)s1 ZINC000637887347 1128266063 /nfs/dbraw/zinc/26/60/63/1128266063.db2.gz YGLUPEQKDSLMJH-VIFPVBQESA-N 1 2 298.358 3.623 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2CCCc3cccnc32)c(C)s1 ZINC000927059132 1128212683 /nfs/dbraw/zinc/21/26/83/1128212683.db2.gz BMXSVFCEASTPAN-QMTHXVAHSA-N 1 2 287.432 3.883 20 0 CHADLO C[C@@H]1CCC[C@@H](C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000494925330 1128213164 /nfs/dbraw/zinc/21/31/64/1128213164.db2.gz UCRLOLRLPBRIHA-FMKPAKJESA-N 1 2 290.455 3.842 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCc3sccc3C2)cc1F ZINC000494963054 1128217324 /nfs/dbraw/zinc/21/73/24/1128217324.db2.gz WZZZGFPEKCELQC-UHFFFAOYSA-N 1 2 291.391 3.844 20 0 CHADLO CCOc1ccc(C[N@H+]2CCc3sccc3C2)cc1F ZINC000494963054 1128217326 /nfs/dbraw/zinc/21/73/26/1128217326.db2.gz WZZZGFPEKCELQC-UHFFFAOYSA-N 1 2 291.391 3.844 20 0 CHADLO FC(F)C[N@@H+](Cc1ccccc1)C[C@@H]1CCSC1 ZINC000494973605 1128218203 /nfs/dbraw/zinc/21/82/03/1128218203.db2.gz BNUJGXYPYIKRGC-ZDUSSCGKSA-N 1 2 271.376 3.507 20 0 CHADLO FC(F)C[N@H+](Cc1ccccc1)C[C@@H]1CCSC1 ZINC000494973605 1128218204 /nfs/dbraw/zinc/21/82/04/1128218204.db2.gz BNUJGXYPYIKRGC-ZDUSSCGKSA-N 1 2 271.376 3.507 20 0 CHADLO CC1(C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CCCCC1 ZINC000494998072 1128222476 /nfs/dbraw/zinc/22/24/76/1128222476.db2.gz OVUVPQJGLRIILE-HNNXBMFYSA-N 1 2 290.455 3.986 20 0 CHADLO Cc1[nH]c(CN(Cc2ccc(Cl)cc2)C2CC2)c(C)[nH+]1 ZINC000929284979 1128267045 /nfs/dbraw/zinc/26/70/45/1128267045.db2.gz IRIKHCVPZZAWGZ-UHFFFAOYSA-N 1 2 289.810 3.845 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc(N3CCCCC3)c[nH+]2)[C@H]1C ZINC000495079404 1128229314 /nfs/dbraw/zinc/22/93/14/1128229314.db2.gz OETFXIFWXZFAMK-IPYPFGDCSA-N 1 2 259.397 3.528 20 0 CHADLO CCCC[C@H](COC)Nc1ccc([NH+](C)C)c(C)c1 ZINC000777644086 1128233397 /nfs/dbraw/zinc/23/33/97/1128233397.db2.gz ZODYNCLLQFXNSM-OAHLLOKOSA-N 1 2 264.413 3.678 20 0 CHADLO CCCC[C@H](COC)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000777658519 1128234304 /nfs/dbraw/zinc/23/43/04/1128234304.db2.gz ZUVLWKNJBJNVGJ-MRXNPFEDSA-N 1 2 276.424 3.686 20 0 CHADLO CCCC[C@H](COC)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000777658519 1128234306 /nfs/dbraw/zinc/23/43/06/1128234306.db2.gz ZUVLWKNJBJNVGJ-MRXNPFEDSA-N 1 2 276.424 3.686 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccco1 ZINC000056267227 1128243008 /nfs/dbraw/zinc/24/30/08/1128243008.db2.gz MHPMUNPDZGEKGX-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccco1 ZINC000056267227 1128243013 /nfs/dbraw/zinc/24/30/13/1128243013.db2.gz MHPMUNPDZGEKGX-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000929788451 1128287309 /nfs/dbraw/zinc/28/73/09/1128287309.db2.gz SGIQFWVCZLEZFE-IAQYHMDHSA-N 1 2 274.314 3.868 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000929788451 1128287311 /nfs/dbraw/zinc/28/73/11/1128287311.db2.gz SGIQFWVCZLEZFE-IAQYHMDHSA-N 1 2 274.314 3.868 20 0 CHADLO CC(C)c1nsc(C[NH2+]C2(c3ccccc3)CCC2)n1 ZINC000929864461 1128290831 /nfs/dbraw/zinc/29/08/31/1128290831.db2.gz BYFAQLFTPBYBTG-UHFFFAOYSA-N 1 2 287.432 3.831 20 0 CHADLO Cc1ccc(CC[N@H+](C/C=C/Cl)C/C=C\Cl)o1 ZINC000915260157 1128297705 /nfs/dbraw/zinc/29/77/05/1128297705.db2.gz PHKVVFFEWCLWJI-LHRDSYOJSA-N 1 2 274.191 3.938 20 0 CHADLO Cc1ccc(CC[N@@H+](C/C=C/Cl)C/C=C\Cl)o1 ZINC000915260157 1128297707 /nfs/dbraw/zinc/29/77/07/1128297707.db2.gz PHKVVFFEWCLWJI-LHRDSYOJSA-N 1 2 274.191 3.938 20 0 CHADLO CCc1onc(C)c1C[NH2+][C@](C)(CC)c1nc(C)cs1 ZINC000930011024 1128297729 /nfs/dbraw/zinc/29/77/29/1128297729.db2.gz YMGXMBNBPQVCSJ-OAHLLOKOSA-N 1 2 293.436 3.725 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2cc(C)cc(C)n2)sc1C ZINC000930010759 1128297909 /nfs/dbraw/zinc/29/79/09/1128297909.db2.gz XLWAZCHKCDXRJS-UHFFFAOYSA-N 1 2 289.448 3.797 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(C(F)(F)F)c1)c1ncccn1 ZINC000930182961 1128304132 /nfs/dbraw/zinc/30/41/32/1128304132.db2.gz LSSBFVDKPMQPSY-WDEREUQCSA-N 1 2 295.308 3.907 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(F)cncc1F)c1ccccc1OC ZINC000930201214 1128306329 /nfs/dbraw/zinc/30/63/29/1128306329.db2.gz MILNWXBBTFIRDY-OAHLLOKOSA-N 1 2 292.329 3.609 20 0 CHADLO CC(C)(C)c1ccc(C(C)(C)[NH2+]Cc2nncs2)cc1 ZINC000930216278 1128307032 /nfs/dbraw/zinc/30/70/32/1128307032.db2.gz CMUSSAZTUIEYPH-UHFFFAOYSA-N 1 2 289.448 3.861 20 0 CHADLO Fc1ccccc1[C@@H]([NH2+]Cc1nncs1)C1CCCC1 ZINC000930233893 1128310189 /nfs/dbraw/zinc/31/01/89/1128310189.db2.gz XBGXZKYALRTUIR-HNNXBMFYSA-N 1 2 291.395 3.698 20 0 CHADLO C[C@H]1CC[C@H](c2ccccc2)[N@H+](Cc2nncs2)C1 ZINC000930456311 1128319530 /nfs/dbraw/zinc/31/95/30/1128319530.db2.gz FYFNBGRQWGVPRP-GXTWGEPZSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@H]1CC[C@H](c2ccccc2)[N@@H+](Cc2nncs2)C1 ZINC000930456311 1128319534 /nfs/dbraw/zinc/31/95/34/1128319534.db2.gz FYFNBGRQWGVPRP-GXTWGEPZSA-N 1 2 273.405 3.511 20 0 CHADLO CNc1ccc(Nc2cc3c(s2)CCCC3)c[nH+]1 ZINC001203452971 1128328496 /nfs/dbraw/zinc/32/84/96/1128328496.db2.gz UYMCEXHDAJGYBV-UHFFFAOYSA-N 1 2 259.378 3.807 20 0 CHADLO CCCC[N@H+](Cc1nnc(C)o1)Cc1c(C)oc(C)c1C ZINC000932498279 1128412543 /nfs/dbraw/zinc/41/25/43/1128412543.db2.gz VBDBJKQZRSSHDH-UHFFFAOYSA-N 1 2 291.395 3.699 20 0 CHADLO CCCC[N@@H+](Cc1nnc(C)o1)Cc1c(C)oc(C)c1C ZINC000932498279 1128412545 /nfs/dbraw/zinc/41/25/45/1128412545.db2.gz VBDBJKQZRSSHDH-UHFFFAOYSA-N 1 2 291.395 3.699 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2ccccc2C(C)C)n1 ZINC000930889292 1128338802 /nfs/dbraw/zinc/33/88/02/1128338802.db2.gz MAVKLRNECRWUSF-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2ccccc2C(C)C)n1 ZINC000930889292 1128338805 /nfs/dbraw/zinc/33/88/05/1128338805.db2.gz MAVKLRNECRWUSF-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000930970542 1128342291 /nfs/dbraw/zinc/34/22/91/1128342291.db2.gz ZRWZVFSEKNNIES-IAQYHMDHSA-N 1 2 274.314 3.868 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000930970542 1128342296 /nfs/dbraw/zinc/34/22/96/1128342296.db2.gz ZRWZVFSEKNNIES-IAQYHMDHSA-N 1 2 274.314 3.868 20 0 CHADLO CCc1onc(C)c1C[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000931083400 1128346346 /nfs/dbraw/zinc/34/63/46/1128346346.db2.gz KPKSUASZKNBTJF-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1onc(C)c1C[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000931083400 1128346349 /nfs/dbraw/zinc/34/63/49/1128346349.db2.gz KPKSUASZKNBTJF-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO C[C@H]1Oc2cc(F)ccc2[C@@H]1[NH2+]Cc1ccc(Cl)o1 ZINC000561748954 1128351568 /nfs/dbraw/zinc/35/15/68/1128351568.db2.gz RHTPOPUEZMOBQP-XLKFXECMSA-N 1 2 281.714 3.684 20 0 CHADLO CC(C)(C)CC(C)(C)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000931226467 1128353368 /nfs/dbraw/zinc/35/33/68/1128353368.db2.gz MXFNZJGFICWLHY-UHFFFAOYSA-N 1 2 291.439 3.578 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@H](C)c2ccns2)c1F ZINC000931332353 1128356340 /nfs/dbraw/zinc/35/63/40/1128356340.db2.gz NMGKWKXFHSIWGF-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO Fc1ccc([C@@H]2c3[nH]cnc3CC[N@H+]2CC2=CCCC2)cc1 ZINC000931385290 1128359066 /nfs/dbraw/zinc/35/90/66/1128359066.db2.gz JHNZXUIVLDMXFQ-GOSISDBHSA-N 1 2 297.377 3.607 20 0 CHADLO Fc1ccc([C@@H]2c3[nH]cnc3CC[N@@H+]2CC2=CCCC2)cc1 ZINC000931385290 1128359069 /nfs/dbraw/zinc/35/90/69/1128359069.db2.gz JHNZXUIVLDMXFQ-GOSISDBHSA-N 1 2 297.377 3.607 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2C(F)(F)F)Cc2c[nH]nc21 ZINC000931452408 1128361755 /nfs/dbraw/zinc/36/17/55/1128361755.db2.gz FOPBMLGKYUCTFG-JTQLQIEISA-N 1 2 295.308 3.548 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)Cc2c[nH]nc21 ZINC000931452408 1128361758 /nfs/dbraw/zinc/36/17/58/1128361758.db2.gz FOPBMLGKYUCTFG-JTQLQIEISA-N 1 2 295.308 3.548 20 0 CHADLO COc1ccc([C@@H]2COCC[N@@H+]2CCCC(C)(F)F)cc1 ZINC000931562815 1128369719 /nfs/dbraw/zinc/36/97/19/1128369719.db2.gz IIVVGEOZBQHISN-HNNXBMFYSA-N 1 2 299.361 3.504 20 0 CHADLO COc1ccc([C@@H]2COCC[N@H+]2CCCC(C)(F)F)cc1 ZINC000931562815 1128369723 /nfs/dbraw/zinc/36/97/23/1128369723.db2.gz IIVVGEOZBQHISN-HNNXBMFYSA-N 1 2 299.361 3.504 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC000932567095 1128415460 /nfs/dbraw/zinc/41/54/60/1128415460.db2.gz LTGJUPRUABMISR-NSHDSACASA-N 1 2 291.370 3.665 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccc(Cl)cn1)c1nccs1 ZINC000562263833 1128391712 /nfs/dbraw/zinc/39/17/12/1128391712.db2.gz ZJMYRDYBZGZSEO-CYBMUJFWSA-N 1 2 281.812 3.607 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncccc2Cl)CC2(CCCCC2)O1 ZINC000528539830 1128396535 /nfs/dbraw/zinc/39/65/35/1128396535.db2.gz OWXDNXXWXSTBTN-ZDUSSCGKSA-N 1 2 294.826 3.659 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncccc2Cl)CC2(CCCCC2)O1 ZINC000528539830 1128396538 /nfs/dbraw/zinc/39/65/38/1128396538.db2.gz OWXDNXXWXSTBTN-ZDUSSCGKSA-N 1 2 294.826 3.659 20 0 CHADLO Fc1cccc(CNc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC000036983287 1128401602 /nfs/dbraw/zinc/40/16/02/1128401602.db2.gz FRRYGMXMPMLLKV-UHFFFAOYSA-N 1 2 285.366 3.823 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccc(F)cc1 ZINC000036982225 1128401854 /nfs/dbraw/zinc/40/18/54/1128401854.db2.gz QKRAHNKTLVBYRF-CYBMUJFWSA-N 1 2 285.366 3.994 20 0 CHADLO CCCCN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@H](C)CC ZINC000932326539 1128404544 /nfs/dbraw/zinc/40/45/44/1128404544.db2.gz QRBVOXVQPKMRRW-GFCCVEGCSA-N 1 2 273.380 3.604 20 0 CHADLO C[C@H](CCc1ccco1)[NH2+]c1ccc(N(C)C)cc1 ZINC000036335471 1128406626 /nfs/dbraw/zinc/40/66/26/1128406626.db2.gz LYDSFDUDPSJVDI-CYBMUJFWSA-N 1 2 258.365 3.779 20 0 CHADLO C[C@H](CCc1ccco1)Nc1ccc([NH+](C)C)cc1 ZINC000036335471 1128406627 /nfs/dbraw/zinc/40/66/27/1128406627.db2.gz LYDSFDUDPSJVDI-CYBMUJFWSA-N 1 2 258.365 3.779 20 0 CHADLO Cc1csc(C[N@H+](Cc2ccccn2)CC(C)C)n1 ZINC000932447171 1128410167 /nfs/dbraw/zinc/41/01/67/1128410167.db2.gz YCWHTXSNILRALL-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO Cc1csc(C[N@@H+](Cc2ccccn2)CC(C)C)n1 ZINC000932447171 1128410170 /nfs/dbraw/zinc/41/01/70/1128410170.db2.gz YCWHTXSNILRALL-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO CC(C)C[N@H+](Cc1ncc(C(C)C)o1)Cc1ccccn1 ZINC000932444245 1128410312 /nfs/dbraw/zinc/41/03/12/1128410312.db2.gz FQJSCULKJKEOQD-UHFFFAOYSA-N 1 2 287.407 3.851 20 0 CHADLO CC(C)C[N@@H+](Cc1ncc(C(C)C)o1)Cc1ccccn1 ZINC000932444245 1128410313 /nfs/dbraw/zinc/41/03/13/1128410313.db2.gz FQJSCULKJKEOQD-UHFFFAOYSA-N 1 2 287.407 3.851 20 0 CHADLO CC1=C(C)C[C@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000563164482 1128452673 /nfs/dbraw/zinc/45/26/73/1128452673.db2.gz HJMHUXZGNFUNSN-OAHLLOKOSA-N 1 2 295.386 3.947 20 0 CHADLO Cc1ccccc1C[NH2+][C@H](c1ncc[nH]1)c1ccccc1 ZINC000125542540 1128450651 /nfs/dbraw/zinc/45/06/51/1128450651.db2.gz MWJHNHVJMXNKIE-KRWDZBQOSA-N 1 2 277.371 3.597 20 0 CHADLO Cc1ccccc1[C@@H]1CCCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000563238005 1128456694 /nfs/dbraw/zinc/45/66/94/1128456694.db2.gz RXOHRHGBCCISCZ-RDJZCZTQSA-N 1 2 297.402 3.506 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCN(c3ccccc3)[C@@H](C)C2)o1 ZINC000934328622 1128458281 /nfs/dbraw/zinc/45/82/81/1128458281.db2.gz TWEIMIUYHXCFNY-HNNXBMFYSA-N 1 2 299.418 3.509 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCN(c3ccccc3)[C@@H](C)C2)o1 ZINC000934328622 1128458282 /nfs/dbraw/zinc/45/82/82/1128458282.db2.gz TWEIMIUYHXCFNY-HNNXBMFYSA-N 1 2 299.418 3.509 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)Cc1cccc2ccccc21 ZINC000127290203 1128474724 /nfs/dbraw/zinc/47/47/24/1128474724.db2.gz YWJGOGKNLJLJQS-UHFFFAOYSA-N 1 2 265.360 3.503 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000127693953 1128481759 /nfs/dbraw/zinc/48/17/59/1128481759.db2.gz PVELJTFAYIJUNA-BETUJISGSA-N 1 2 277.412 3.595 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000127693953 1128481761 /nfs/dbraw/zinc/48/17/61/1128481761.db2.gz PVELJTFAYIJUNA-BETUJISGSA-N 1 2 277.412 3.595 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1coc(C2CC2)n1 ZINC000780793634 1128485693 /nfs/dbraw/zinc/48/56/93/1128485693.db2.gz UKWYHFGTCFPZOU-ONGXEEELSA-N 1 2 288.313 3.715 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1coc(C2CC2)n1 ZINC000780793634 1128485697 /nfs/dbraw/zinc/48/56/97/1128485697.db2.gz UKWYHFGTCFPZOU-ONGXEEELSA-N 1 2 288.313 3.715 20 0 CHADLO CC1(C)Cc2nc(Cn3cc[nH+]c3)sc2C(C)(C)C1 ZINC000917358524 1128495146 /nfs/dbraw/zinc/49/51/46/1128495146.db2.gz ACFYQEKATFXFBQ-UHFFFAOYSA-N 1 2 275.421 3.638 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CCC1(C)CC1 ZINC000935759490 1128501698 /nfs/dbraw/zinc/50/16/98/1128501698.db2.gz JMUGOZVJSLYNRA-UHFFFAOYSA-N 1 2 283.375 3.904 20 0 CHADLO CC(C)[C@@H]1C[C@H]([NH2+]CC(F)(F)c2ccccc2)CS1 ZINC000564537111 1128511037 /nfs/dbraw/zinc/51/10/37/1128511037.db2.gz NVAWVIZFZSYJAO-KBPBESRZSA-N 1 2 285.403 3.898 20 0 CHADLO C[N@H+](Cc1nnc(C(C)(C)C)[nH]1)[C@@H]1CCCc2ccccc21 ZINC000564770996 1128515800 /nfs/dbraw/zinc/51/58/00/1128515800.db2.gz CCVVDVRBKNXHPP-OAHLLOKOSA-N 1 2 298.434 3.612 20 0 CHADLO C[N@@H+](Cc1nnc(C(C)(C)C)[nH]1)[C@@H]1CCCc2ccccc21 ZINC000564770996 1128515803 /nfs/dbraw/zinc/51/58/03/1128515803.db2.gz CCVVDVRBKNXHPP-OAHLLOKOSA-N 1 2 298.434 3.612 20 0 CHADLO C[C@H](CC(=O)N(C)[C@@H]1CCC[C@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000564803719 1128515837 /nfs/dbraw/zinc/51/58/37/1128515837.db2.gz SWPBLVRUWONBRZ-RBSFLKMASA-N 1 2 291.439 3.507 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OCc1ccc(C(F)F)cc1 ZINC000763422511 1128517289 /nfs/dbraw/zinc/51/72/89/1128517289.db2.gz DIPOPPRRKXAORR-UHFFFAOYSA-N 1 2 290.313 3.979 20 0 CHADLO CCCc1cccc(C[N@H+](C)[C@@H](C)c2nc(C)no2)c1 ZINC000565231217 1128522539 /nfs/dbraw/zinc/52/25/39/1128522539.db2.gz ZCXRPOIGCNAUOL-LBPRGKRZSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1cccc(C[N@@H+](C)[C@@H](C)c2nc(C)no2)c1 ZINC000565231217 1128522542 /nfs/dbraw/zinc/52/25/42/1128522542.db2.gz ZCXRPOIGCNAUOL-LBPRGKRZSA-N 1 2 273.380 3.524 20 0 CHADLO Cc1[nH]c(CNC(C)(C)c2cccc(Cl)c2F)[nH+]c1C ZINC000565237657 1128522603 /nfs/dbraw/zinc/52/26/03/1128522603.db2.gz KGKZGCYOJAWBCO-UHFFFAOYSA-N 1 2 295.789 3.844 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)[nH]c1C ZINC000565237657 1128522606 /nfs/dbraw/zinc/52/26/06/1128522606.db2.gz KGKZGCYOJAWBCO-UHFFFAOYSA-N 1 2 295.789 3.844 20 0 CHADLO CC(C)COc1ccccc1C[NH2+]Cc1ncccc1F ZINC000565461893 1128526725 /nfs/dbraw/zinc/52/67/25/1128526725.db2.gz YBDBYAFWMKZILN-UHFFFAOYSA-N 1 2 288.366 3.545 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@H](C)c1ccncc1Cl)CO2 ZINC000565593355 1128529215 /nfs/dbraw/zinc/52/92/15/1128529215.db2.gz RMTZTBXGSANZBW-IAQYHMDHSA-N 1 2 288.778 3.828 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2ncc(Cl)n2C)c(C)c1 ZINC000918024105 1128530669 /nfs/dbraw/zinc/53/06/69/1128530669.db2.gz TVSFNUDOMSOHPF-CYBMUJFWSA-N 1 2 291.826 3.883 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2ncc(Cl)n2C)c(C)c1 ZINC000918024105 1128530672 /nfs/dbraw/zinc/53/06/72/1128530672.db2.gz TVSFNUDOMSOHPF-CYBMUJFWSA-N 1 2 291.826 3.883 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(Cl)c2F)[C@H]1[C@@H]1CCCO1 ZINC000565709368 1128531300 /nfs/dbraw/zinc/53/13/00/1128531300.db2.gz SVIFWEGFVGIUFI-ZFWWWQNUSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(Cl)c2F)[C@H]1[C@@H]1CCCO1 ZINC000565709368 1128531303 /nfs/dbraw/zinc/53/13/03/1128531303.db2.gz SVIFWEGFVGIUFI-ZFWWWQNUSA-N 1 2 297.801 3.869 20 0 CHADLO Cc1oncc1C[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000129951296 1128559762 /nfs/dbraw/zinc/55/97/62/1128559762.db2.gz LQCBLWHRMYGAGA-QMMMGPOBSA-N 1 2 268.719 3.626 20 0 CHADLO CC[C@@H]1CCCC[C@H]1c1noc(C[C@@H](C)n2cc[nH+]c2)n1 ZINC000566319198 1128542118 /nfs/dbraw/zinc/54/21/18/1128542118.db2.gz JNYKOLREESVVDQ-MGPQQGTHSA-N 1 2 288.395 3.754 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC3(C2)CCCCC3)no1 ZINC000130001366 1128561299 /nfs/dbraw/zinc/56/12/99/1128561299.db2.gz QPMFFQIZLBOSLJ-UHFFFAOYSA-N 1 2 277.412 3.523 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC3(C2)CCCCC3)no1 ZINC000130001366 1128561304 /nfs/dbraw/zinc/56/13/04/1128561304.db2.gz QPMFFQIZLBOSLJ-UHFFFAOYSA-N 1 2 277.412 3.523 20 0 CHADLO CCN(CC)c1ccc(N[C@H]2CCO[C@H](C(C)C)C2)c[nH+]1 ZINC000070351683 1128562054 /nfs/dbraw/zinc/56/20/54/1128562054.db2.gz HIWIAUHTNVMENV-HOCLYGCPSA-N 1 2 291.439 3.543 20 0 CHADLO C[C@H](c1ccc(C(C)(C)C)cc1)[N@H+](C)Cc1ncc[nH]1 ZINC000660142129 1128562840 /nfs/dbraw/zinc/56/28/40/1128562840.db2.gz OMCMURBMXDPUAC-CYBMUJFWSA-N 1 2 271.408 3.900 20 0 CHADLO C[C@H](c1ccc(C(C)(C)C)cc1)[N@@H+](C)Cc1ncc[nH]1 ZINC000660142129 1128562845 /nfs/dbraw/zinc/56/28/45/1128562845.db2.gz OMCMURBMXDPUAC-CYBMUJFWSA-N 1 2 271.408 3.900 20 0 CHADLO FC(F)(F)[C@@H]1C[C@@H](C(F)(F)F)C[NH+](C/C=C\Cl)C1 ZINC000918778214 1128571563 /nfs/dbraw/zinc/57/15/63/1128571563.db2.gz IMFSVBJCBJODDH-LQKKCHKWSA-N 1 2 295.654 3.802 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H]3CCC(C)=C(C)C3)ccc2[nH+]1 ZINC000567924130 1128574661 /nfs/dbraw/zinc/57/46/61/1128574661.db2.gz SJKDMCJQNNLFMU-AWEZNQCLSA-N 1 2 283.375 3.718 20 0 CHADLO CCCc1noc(C[N@H+]2CC=C(c3ccc(C)cc3)CC2)n1 ZINC000042632496 1128580431 /nfs/dbraw/zinc/58/04/31/1128580431.db2.gz OZKLRYFODNMVJE-UHFFFAOYSA-N 1 2 297.402 3.620 20 0 CHADLO CCCc1noc(C[N@@H+]2CC=C(c3ccc(C)cc3)CC2)n1 ZINC000042632496 1128580436 /nfs/dbraw/zinc/58/04/36/1128580436.db2.gz OZKLRYFODNMVJE-UHFFFAOYSA-N 1 2 297.402 3.620 20 0 CHADLO CC[C@@H]1CCCC[C@H]1C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001127454922 1128581873 /nfs/dbraw/zinc/58/18/73/1128581873.db2.gz VKBMSKQMRBNGRL-ZIAGYGMSSA-N 1 2 289.423 3.611 20 0 CHADLO CCCC[C@H](CC)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000569264076 1128603030 /nfs/dbraw/zinc/60/30/30/1128603030.db2.gz ZCNPLLATAGZLBP-INIZCTEOSA-N 1 2 299.418 3.705 20 0 CHADLO CC1(C)[C@H](Oc2ccccc2)C[C@@H]1[NH2+]C1(C(F)F)CC1 ZINC000556575024 1128604991 /nfs/dbraw/zinc/60/49/91/1128604991.db2.gz XQXCNBCWQLSNNK-QWHCGFSZSA-N 1 2 281.346 3.620 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@H](C)c1cc(C)ccc1C ZINC000131387181 1128617317 /nfs/dbraw/zinc/61/73/17/1128617317.db2.gz RTWDIHPYATVJII-CQSZACIVSA-N 1 2 257.381 3.528 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@H](C)c1cc(C)ccc1C ZINC000131387181 1128617319 /nfs/dbraw/zinc/61/73/19/1128617319.db2.gz RTWDIHPYATVJII-CQSZACIVSA-N 1 2 257.381 3.528 20 0 CHADLO Cn1cccc1C[N@@H+]1CC[C@@](F)(c2cccc(Cl)c2)C1 ZINC000570169492 1128617635 /nfs/dbraw/zinc/61/76/35/1128617635.db2.gz WVHGYDFMNJUEPW-INIZCTEOSA-N 1 2 292.785 3.749 20 0 CHADLO Cn1cccc1C[N@H+]1CC[C@@](F)(c2cccc(Cl)c2)C1 ZINC000570169492 1128617637 /nfs/dbraw/zinc/61/76/37/1128617637.db2.gz WVHGYDFMNJUEPW-INIZCTEOSA-N 1 2 292.785 3.749 20 0 CHADLO Cc1nocc1C[N@@H+]1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000556808063 1128626703 /nfs/dbraw/zinc/62/67/03/1128626703.db2.gz HAROUYBWLMDVEM-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1nocc1C[N@H+]1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000556808063 1128626705 /nfs/dbraw/zinc/62/67/05/1128626705.db2.gz HAROUYBWLMDVEM-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO CCc1ccc(-c2nc(Cn3cc[nH+]c3)cs2)cc1 ZINC000073172258 1128640189 /nfs/dbraw/zinc/64/01/89/1128640189.db2.gz MMYUBVWYVJBQHG-UHFFFAOYSA-N 1 2 269.373 3.617 20 0 CHADLO Cc1cc(CSc2nc(N)cc(C)[nH+]2)c2ccccc2n1 ZINC000074015064 1128652683 /nfs/dbraw/zinc/65/26/83/1128652683.db2.gz QMRZZYFSMMPKRZ-UHFFFAOYSA-N 1 2 296.399 3.516 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C(C)C)C3CC(C)(C)C3)ccn12 ZINC000573932950 1128659958 /nfs/dbraw/zinc/65/99/58/1128659958.db2.gz ATLITEYFCLYCJF-UHFFFAOYSA-N 1 2 299.418 3.682 20 0 CHADLO CCc1nc(N2C[C@@H](C)[C@H]2c2ccccc2)cc(C)[nH+]1 ZINC000574144611 1128662138 /nfs/dbraw/zinc/66/21/38/1128662138.db2.gz GZHUHNKSHBBLHU-PXAZEXFGSA-N 1 2 267.376 3.545 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1cnc(Cl)cn1 ZINC000799122907 1128668136 /nfs/dbraw/zinc/66/81/36/1128668136.db2.gz IKECPYMZAVWJKW-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1cnc(Cl)cn1 ZINC000799122907 1128668139 /nfs/dbraw/zinc/66/81/39/1128668139.db2.gz IKECPYMZAVWJKW-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO Cc1ccccc1OCCSc1[nH+]cc2ccccn21 ZINC000047822526 1128673696 /nfs/dbraw/zinc/67/36/96/1128673696.db2.gz DJDRSLWAJRSVHR-UHFFFAOYSA-N 1 2 284.384 3.814 20 0 CHADLO CCC/C(C)=C/C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000920288174 1128676316 /nfs/dbraw/zinc/67/63/16/1128676316.db2.gz KYAPGLKWSUPZCE-ACCUITESSA-N 1 2 269.348 3.557 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2ccc(C)c(F)c2)c(C)[nH+]1 ZINC000600909868 1128686781 /nfs/dbraw/zinc/68/67/81/1128686781.db2.gz ZBJNUEJZMDXUOM-FQEVSTJZSA-N 1 2 291.391 3.595 20 0 CHADLO CCc1nc([C@H]2CCCC[N@@H+]2C[C@H]2CCC(F)(F)C2)no1 ZINC000649252386 1128691202 /nfs/dbraw/zinc/69/12/02/1128691202.db2.gz CGGGHIHJMNRDTP-NWDGAFQWSA-N 1 2 299.365 3.594 20 0 CHADLO CCc1nc([C@H]2CCCC[N@H+]2C[C@H]2CCC(F)(F)C2)no1 ZINC000649252386 1128691204 /nfs/dbraw/zinc/69/12/04/1128691204.db2.gz CGGGHIHJMNRDTP-NWDGAFQWSA-N 1 2 299.365 3.594 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](c1ccccc1)C1CCOCC1 ZINC000779065505 1128716055 /nfs/dbraw/zinc/71/60/55/1128716055.db2.gz PDKLXRDIFPBRFD-SCLBCKFNSA-N 1 2 299.418 3.537 20 0 CHADLO Cn1ccnc1[C@H](C1CC1)[N@@H+](C)Cc1ccc(Cl)s1 ZINC000637869544 1129232964 /nfs/dbraw/zinc/23/29/64/1129232964.db2.gz XFNSQQUPCPQCGA-ZDUSSCGKSA-N 1 2 295.839 3.718 20 0 CHADLO c1csc([C@H]2CCCN2c2cccc(C3CC3)[nH+]2)n1 ZINC001167048916 1117773265 /nfs/dbraw/zinc/77/32/65/1117773265.db2.gz VDQBQILPSFEOAH-CYBMUJFWSA-N 1 2 271.389 3.757 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ccco2)c2ccccc2)cn1 ZINC000062051081 1117780839 /nfs/dbraw/zinc/78/08/39/1117780839.db2.gz MMYDWTNBXCLYAE-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO CC1=C(C)C[N@H+]([C@H](C)c2noc(-c3ccccc3)n2)CC1 ZINC000671243960 1117783234 /nfs/dbraw/zinc/78/32/34/1117783234.db2.gz VCVQHINZFZBOGP-CQSZACIVSA-N 1 2 283.375 3.840 20 0 CHADLO CC1=C(C)C[N@@H+]([C@H](C)c2noc(-c3ccccc3)n2)CC1 ZINC000671243960 1117783239 /nfs/dbraw/zinc/78/32/39/1117783239.db2.gz VCVQHINZFZBOGP-CQSZACIVSA-N 1 2 283.375 3.840 20 0 CHADLO C[N@@H+](Cc1cc(Cl)c(O)cc1F)CC1CC(F)(F)C1 ZINC001238149102 1117793471 /nfs/dbraw/zinc/79/34/71/1117793471.db2.gz FVXZTJGOUMFGRH-UHFFFAOYSA-N 1 2 293.716 3.662 20 0 CHADLO C[N@H+](Cc1cc(Cl)c(O)cc1F)CC1CC(F)(F)C1 ZINC001238149102 1117793476 /nfs/dbraw/zinc/79/34/76/1117793476.db2.gz FVXZTJGOUMFGRH-UHFFFAOYSA-N 1 2 293.716 3.662 20 0 CHADLO CCc1noc(C)c1C[NH2+]Cc1ncc(C(C)(C)C)s1 ZINC000339440255 1117794930 /nfs/dbraw/zinc/79/49/30/1117794930.db2.gz VQGNNJYLMWMYPQ-UHFFFAOYSA-N 1 2 293.436 3.589 20 0 CHADLO CC[C@@H](c1ccccc1)N(CC)C(=O)CCCn1cc[nH+]c1 ZINC000623254011 1117826996 /nfs/dbraw/zinc/82/69/96/1117826996.db2.gz ZKKJNDKKJMRPIR-KRWDZBQOSA-N 1 2 299.418 3.663 20 0 CHADLO COCc1cnc(C[NH2+][C@H](C)c2ccccc2Cl)s1 ZINC000339551489 1117846509 /nfs/dbraw/zinc/84/65/09/1117846509.db2.gz ACYGEYHFKALNIF-SNVBAGLBSA-N 1 2 296.823 3.794 20 0 CHADLO Cc1cc(N2CC(c3ccccc3)C2)nc(C(C)(C)C)[nH+]1 ZINC001167065575 1117854526 /nfs/dbraw/zinc/85/45/26/1117854526.db2.gz SCMJMVQPEBESKT-UHFFFAOYSA-N 1 2 281.403 3.686 20 0 CHADLO Cc1[nH]c(CN[C@H](C)c2nc(C(C)(C)C)cs2)[nH+]c1C ZINC000579128469 1128739221 /nfs/dbraw/zinc/73/92/21/1128739221.db2.gz AUJQOUQBCLZASH-LLVKDONJSA-N 1 2 292.452 3.631 20 0 CHADLO COCc1cc[nH+]c(N2CC[C@@H](C3CCCCC3)C2)c1 ZINC001167066440 1117863078 /nfs/dbraw/zinc/86/30/78/1117863078.db2.gz ZEIIXOODFVUSSU-MRXNPFEDSA-N 1 2 274.408 3.635 20 0 CHADLO COc1cccc2cc(Nc3c[nH+]c(C)cc3C)cnc21 ZINC001213510747 1117864428 /nfs/dbraw/zinc/86/44/28/1117864428.db2.gz IQZHVRQXLGCHKV-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CC(C)CCc1nc(C[N@@H+]2C[C@@H](C)[C@H]2C)cs1 ZINC000339583151 1117888414 /nfs/dbraw/zinc/88/84/14/1117888414.db2.gz VLHBFWRKTMKACS-VXGBXAGGSA-N 1 2 252.427 3.572 20 0 CHADLO CC(C)CCc1nc(C[N@H+]2C[C@@H](C)[C@H]2C)cs1 ZINC000339583151 1117888422 /nfs/dbraw/zinc/88/84/22/1117888422.db2.gz VLHBFWRKTMKACS-VXGBXAGGSA-N 1 2 252.427 3.572 20 0 CHADLO CCC(F)(F)C[NH2+]C/C=C\c1ccc(F)c(F)c1 ZINC000623679204 1117888723 /nfs/dbraw/zinc/88/87/23/1117888723.db2.gz MBOIXOFMDLKRAW-ARJAWSKDSA-N 1 2 261.262 3.613 20 0 CHADLO Cc1nc([C@H](C)Nc2ccc([NH+](C)C)cc2)c(C)s1 ZINC000036335127 1117911340 /nfs/dbraw/zinc/91/13/40/1117911340.db2.gz JPKHVMBSJGOILQ-JTQLQIEISA-N 1 2 275.421 3.999 20 0 CHADLO C[NH+](C)[C@H](c1nc(C2(C)CCCC2)no1)c1ccccc1 ZINC000624757959 1117915530 /nfs/dbraw/zinc/91/55/30/1117915530.db2.gz JWIGBWQPEINTNA-AWEZNQCLSA-N 1 2 285.391 3.552 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1ccncc1Cl ZINC000179925744 1117917461 /nfs/dbraw/zinc/91/74/61/1117917461.db2.gz FRMIZEGOLHWDJZ-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO C(=C/[C@H]1CCCCC[N@H+]1Cc1csnn1)\c1ccccc1 ZINC000180553965 1117935381 /nfs/dbraw/zinc/93/53/81/1117935381.db2.gz JSZCIYVJOSFBHL-SXSDINLZSA-N 1 2 299.443 3.996 20 0 CHADLO C(=C/[C@H]1CCCCC[N@@H+]1Cc1csnn1)\c1ccccc1 ZINC000180553965 1117935383 /nfs/dbraw/zinc/93/53/83/1117935383.db2.gz JSZCIYVJOSFBHL-SXSDINLZSA-N 1 2 299.443 3.996 20 0 CHADLO Cc1ccc(C[N@@H+](CC(=O)OC(C)(C)C)C(C)C)s1 ZINC000065161987 1117946123 /nfs/dbraw/zinc/94/61/23/1117946123.db2.gz SCTUKIOURAQNCI-UHFFFAOYSA-N 1 2 283.437 3.609 20 0 CHADLO Cc1ccc(C[N@H+](CC(=O)OC(C)(C)C)C(C)C)s1 ZINC000065161987 1117946126 /nfs/dbraw/zinc/94/61/26/1117946126.db2.gz SCTUKIOURAQNCI-UHFFFAOYSA-N 1 2 283.437 3.609 20 0 CHADLO CC[N@H+](Cc1ccc2ccccc2c1)Cc1nccc(C)n1 ZINC000339653386 1117947976 /nfs/dbraw/zinc/94/79/76/1117947976.db2.gz PXFPSODRHHTPHC-UHFFFAOYSA-N 1 2 291.398 3.960 20 0 CHADLO CC[N@@H+](Cc1ccc2ccccc2c1)Cc1nccc(C)n1 ZINC000339653386 1117947979 /nfs/dbraw/zinc/94/79/79/1117947979.db2.gz PXFPSODRHHTPHC-UHFFFAOYSA-N 1 2 291.398 3.960 20 0 CHADLO Fc1ccc(C[NH2+][C@@H]2CCCc3scnc32)c(F)c1 ZINC000623879515 1117948193 /nfs/dbraw/zinc/94/81/93/1117948193.db2.gz IWPRYQCFCJHGSI-GFCCVEGCSA-N 1 2 280.343 3.589 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000339668107 1117951424 /nfs/dbraw/zinc/95/14/24/1117951424.db2.gz DGEJQUSCGITZPY-GFCCVEGCSA-N 1 2 261.262 3.639 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000339668107 1117951427 /nfs/dbraw/zinc/95/14/27/1117951427.db2.gz DGEJQUSCGITZPY-GFCCVEGCSA-N 1 2 261.262 3.639 20 0 CHADLO C[C@]1(CO)CCC[C@@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000579387878 1128746779 /nfs/dbraw/zinc/74/67/79/1128746779.db2.gz PSWLLEYSAMVSDZ-GOEBONIOSA-N 1 2 290.794 3.851 20 0 CHADLO CC/C=C\CC[N@@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000339802041 1117977471 /nfs/dbraw/zinc/97/74/71/1117977471.db2.gz CHAKYXMCXTXJNA-ARJAWSKDSA-N 1 2 267.376 3.544 20 0 CHADLO CC/C=C\CC[N@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000339802041 1117977473 /nfs/dbraw/zinc/97/74/73/1117977473.db2.gz CHAKYXMCXTXJNA-ARJAWSKDSA-N 1 2 267.376 3.544 20 0 CHADLO COC(=O)c1ccc2cc[nH+]c(N(C)C[C@@H]3CC3(C)C)c2c1 ZINC001167093157 1117980165 /nfs/dbraw/zinc/98/01/65/1117980165.db2.gz XSHKFOTWHBZBGB-AWEZNQCLSA-N 1 2 298.386 3.504 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@H+](C)Cc2nnc(C3CC3)n2C)c1 ZINC000339837074 1117983371 /nfs/dbraw/zinc/98/33/71/1117983371.db2.gz YUGIVMVBKPSIIZ-CQSZACIVSA-N 1 2 298.434 3.502 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@@H+](C)Cc2nnc(C3CC3)n2C)c1 ZINC000339837074 1117983373 /nfs/dbraw/zinc/98/33/73/1117983373.db2.gz YUGIVMVBKPSIIZ-CQSZACIVSA-N 1 2 298.434 3.502 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc2c(c1)OCCCO2 ZINC000624147839 1117988861 /nfs/dbraw/zinc/98/88/61/1117988861.db2.gz MCRKKUHRJSULFJ-NSHDSACASA-N 1 2 285.334 3.544 20 0 CHADLO CC(C)CCc1noc(C[NH2+][C@@H](C)c2ccccc2)n1 ZINC000181356210 1117998207 /nfs/dbraw/zinc/99/82/07/1117998207.db2.gz HGVCTECKFARLTI-ZDUSSCGKSA-N 1 2 273.380 3.509 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2cccc(OC(C)C)c2)[nH+]1 ZINC000080949267 1118020969 /nfs/dbraw/zinc/02/09/69/1118020969.db2.gz SIHUTGVIOKNEDV-UHFFFAOYSA-N 1 2 296.374 3.666 20 0 CHADLO COc1ccccc1[C@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001167106674 1118023297 /nfs/dbraw/zinc/02/32/97/1118023297.db2.gz CXOWFFFTCDWLGL-ZDUSSCGKSA-N 1 2 285.391 3.608 20 0 CHADLO O=C(CCC1CCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000045859943 1118029353 /nfs/dbraw/zinc/02/93/53/1118029353.db2.gz ULBASRZZLGTPSB-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO CC[C@H](C)[C@@H](C)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000182940661 1118033193 /nfs/dbraw/zinc/03/31/93/1118033193.db2.gz NNJAJWKJESMSGA-LSDHHAIUSA-N 1 2 287.407 3.809 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)c1 ZINC000182929166 1118033317 /nfs/dbraw/zinc/03/33/17/1118033317.db2.gz UIFNVFNNCCWTKE-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000535634325 1118036333 /nfs/dbraw/zinc/03/63/33/1118036333.db2.gz RHFRCMIRCXTINR-SNVBAGLBSA-N 1 2 273.380 3.882 20 0 CHADLO CC[C@@H](c1ccccc1)N(C)c1cc(N)cc(Cl)[nH+]1 ZINC001167128321 1118042959 /nfs/dbraw/zinc/04/29/59/1118042959.db2.gz HUAWMVPRGQGFTL-ZDUSSCGKSA-N 1 2 275.783 3.905 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ccoc2)c2ccccc2)nc1 ZINC000183392186 1118043443 /nfs/dbraw/zinc/04/34/43/1118043443.db2.gz MDYIHLBPIGRLKY-QGZVFWFLSA-N 1 2 282.318 3.693 20 0 CHADLO CC[C@H](c1ccccc1)N(C)c1[nH+]ccc(C)c1NC(C)=O ZINC001167128257 1118044408 /nfs/dbraw/zinc/04/44/08/1118044408.db2.gz QFJWXPHUJBRQAY-MRXNPFEDSA-N 1 2 297.402 3.936 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+][C@@H](c1ccccc1)C1CC1)CCC2 ZINC000367601596 1118055642 /nfs/dbraw/zinc/05/56/42/1118055642.db2.gz OOWYJJOXJJNKGF-WBVHZDCISA-N 1 2 267.376 3.528 20 0 CHADLO Cc1cc(N2CCc3cccc(F)c3[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000579660455 1128755018 /nfs/dbraw/zinc/75/50/18/1128755018.db2.gz UVFGZFZCRMJREF-LBPRGKRZSA-N 1 2 297.377 3.925 20 0 CHADLO CCC[N@H+](Cc1cnc(C)o1)Cc1ccc(F)cc1F ZINC001167237416 1118112727 /nfs/dbraw/zinc/11/27/27/1118112727.db2.gz FGJVCMNBGMWDRQ-UHFFFAOYSA-N 1 2 280.318 3.673 20 0 CHADLO CCC[N@@H+](Cc1cnc(C)o1)Cc1ccc(F)cc1F ZINC001167237416 1118112729 /nfs/dbraw/zinc/11/27/29/1118112729.db2.gz FGJVCMNBGMWDRQ-UHFFFAOYSA-N 1 2 280.318 3.673 20 0 CHADLO Oc1ccc(C(F)(F)F)cc1Nc1cccn2cc[nH+]c12 ZINC001209978372 1118126679 /nfs/dbraw/zinc/12/66/79/1118126679.db2.gz AKDNZXBQBYTOCD-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO CN(C)c1ccccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001209990397 1118129443 /nfs/dbraw/zinc/12/94/43/1118129443.db2.gz DDKYFGMZJNZNSC-UHFFFAOYSA-N 1 2 292.386 3.741 20 0 CHADLO C[N@H+](CCc1cscn1)Cc1c(F)cccc1Cl ZINC000340290759 1118134217 /nfs/dbraw/zinc/13/42/17/1118134217.db2.gz OGDZWETVBILUPP-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO C[N@@H+](CCc1cscn1)Cc1c(F)cccc1Cl ZINC000340290759 1118134219 /nfs/dbraw/zinc/13/42/19/1118134219.db2.gz OGDZWETVBILUPP-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](C)c1ccc(F)c2ccccc21 ZINC000340299225 1118135542 /nfs/dbraw/zinc/13/55/42/1118135542.db2.gz DKWQTJKJJHSCCP-LBPRGKRZSA-N 1 2 283.350 3.861 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCC[C@@H](c4ccn[nH]4)C3)cc2c1 ZINC000121176877 1118136758 /nfs/dbraw/zinc/13/67/58/1118136758.db2.gz XCLCCJOYEHSSPX-GFCCVEGCSA-N 1 2 299.349 3.675 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCC[C@@H](c4ccn[nH]4)C3)cc2c1 ZINC000121176877 1118136763 /nfs/dbraw/zinc/13/67/63/1118136763.db2.gz XCLCCJOYEHSSPX-GFCCVEGCSA-N 1 2 299.349 3.675 20 0 CHADLO C[NH+](C)c1ccc(NC2CC3(C2)COC(C)(C)C3)cc1 ZINC000926025099 1118147886 /nfs/dbraw/zinc/14/78/86/1118147886.db2.gz DEJTWMJZCVSDBE-UHFFFAOYSA-N 1 2 274.408 3.512 20 0 CHADLO CN(C)c1ccc([NH2+]C2CC3(C2)COC(C)(C)C3)cc1 ZINC000926025099 1118147887 /nfs/dbraw/zinc/14/78/87/1118147887.db2.gz DEJTWMJZCVSDBE-UHFFFAOYSA-N 1 2 274.408 3.512 20 0 CHADLO COc1cc(Nc2cnccc2C(F)(F)F)cc(C)[nH+]1 ZINC001210093198 1118150924 /nfs/dbraw/zinc/15/09/24/1118150924.db2.gz MXFSGHHWRKTKCV-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO COc1ccc[nH+]c1NCC[C@@H](C)c1ccccc1 ZINC000128030890 1118156639 /nfs/dbraw/zinc/15/66/39/1118156639.db2.gz WRUCGSWEMZFJSZ-CYBMUJFWSA-N 1 2 256.349 3.696 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)o1 ZINC000171977947 1118163478 /nfs/dbraw/zinc/16/34/78/1118163478.db2.gz ALLGEVLTLVRITA-RYUDHWBXSA-N 1 2 289.379 3.577 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)[C@@H](C)c2ccc(F)c(F)c2)n1 ZINC000172011384 1118167401 /nfs/dbraw/zinc/16/74/01/1118167401.db2.gz KFWCOJMYDFGCAT-LBPRGKRZSA-N 1 2 293.361 3.935 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)[C@@H](C)c2ccc(F)c(F)c2)n1 ZINC000172011384 1118167404 /nfs/dbraw/zinc/16/74/04/1118167404.db2.gz KFWCOJMYDFGCAT-LBPRGKRZSA-N 1 2 293.361 3.935 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCn2ccnc21)c1ccccc1Cl ZINC000655679066 1118183292 /nfs/dbraw/zinc/18/32/92/1118183292.db2.gz XVXDAIPOHYEWLJ-SMDDNHRTSA-N 1 2 275.783 3.722 20 0 CHADLO CCc1[nH]nc(Cl)c1C[NH2+]Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000921551230 1118191046 /nfs/dbraw/zinc/19/10/46/1118191046.db2.gz BFFSTAZINRGVNL-MWLCHTKSSA-N 1 2 293.798 3.632 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCn2ccnc21)c1cc(F)ccc1F ZINC000655729602 1118196319 /nfs/dbraw/zinc/19/63/19/1118196319.db2.gz LPFKSOIBIGMVFP-GJZGRUSLSA-N 1 2 291.345 3.737 20 0 CHADLO COc1c(C)cccc1C[NH2+]Cc1c(F)cccc1F ZINC000655831966 1118227665 /nfs/dbraw/zinc/22/76/65/1118227665.db2.gz OZEVLKSOVKYGKJ-UHFFFAOYSA-N 1 2 277.314 3.572 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)c(C)o1 ZINC001210280096 1118229766 /nfs/dbraw/zinc/22/97/66/1118229766.db2.gz FNVBPOUESSRQGS-UHFFFAOYSA-N 1 2 257.337 3.635 20 0 CHADLO Cc1ccnc(C(C)C)c1Nc1c[nH+]c(C)c(N)c1 ZINC001210288690 1118233781 /nfs/dbraw/zinc/23/37/81/1118233781.db2.gz SGNOYRJTKYGRMY-UHFFFAOYSA-N 1 2 256.353 3.543 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnc(C)c(N)c1 ZINC001210288690 1118233782 /nfs/dbraw/zinc/23/37/82/1118233782.db2.gz SGNOYRJTKYGRMY-UHFFFAOYSA-N 1 2 256.353 3.543 20 0 CHADLO Cc1cccc(-c2noc(C[N@H+](C)Cc3cccs3)n2)c1 ZINC000042122024 1118234474 /nfs/dbraw/zinc/23/44/74/1118234474.db2.gz YTZYOXOZLHJOOX-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1cccc(-c2noc(C[N@@H+](C)Cc3cccs3)n2)c1 ZINC000042122024 1118234477 /nfs/dbraw/zinc/23/44/77/1118234477.db2.gz YTZYOXOZLHJOOX-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(-n2ccc(NCc3c[nH+]cn3C(C)C)n2)cc1 ZINC000655847498 1118238071 /nfs/dbraw/zinc/23/80/71/1118238071.db2.gz WNPQHJOEDPIUHL-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2noc3ccccc23)o1 ZINC000655854397 1118244210 /nfs/dbraw/zinc/24/42/10/1118244210.db2.gz UZJHCVNXLIMMOZ-LLVKDONJSA-N 1 2 256.305 3.580 20 0 CHADLO COc1cc(NCc2c[nH+]cn2C)c(C2CC2)cc1Cl ZINC000655863774 1118248457 /nfs/dbraw/zinc/24/84/57/1118248457.db2.gz UQCCBWJTKPRJBT-UHFFFAOYSA-N 1 2 291.782 3.572 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]C[C@@H]2CCCC23CC3)C2CCCCC2)n1 ZINC000624316983 1118258270 /nfs/dbraw/zinc/25/82/70/1118258270.db2.gz VPLSAIBLNXWVGV-GJZGRUSLSA-N 1 2 288.439 3.596 20 0 CHADLO CC(=O)c1c(C)cc(C)c(C[NH2+][C@H](C)c2ccon2)c1C ZINC000349247661 1118263023 /nfs/dbraw/zinc/26/30/23/1118263023.db2.gz URBGHHZXEHKRJA-CYBMUJFWSA-N 1 2 286.375 3.653 20 0 CHADLO FC(F)C[NH2+][C@H](c1cccc(OC(F)(F)F)c1)C1CC1 ZINC000671708430 1118278839 /nfs/dbraw/zinc/27/88/39/1118278839.db2.gz KKYRRMYWEHBSRZ-LBPRGKRZSA-N 1 2 295.251 3.891 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2COc3cc(F)cc(F)c32)c(C)o1 ZINC000683692003 1118288199 /nfs/dbraw/zinc/28/81/99/1118288199.db2.gz MZRSFFAFULAJPT-OTYXRUKQSA-N 1 2 293.313 3.959 20 0 CHADLO CC(C)[N@H+](CC(=O)OC(C)(C)C)Cc1cccc(Cl)c1 ZINC000059038068 1118294601 /nfs/dbraw/zinc/29/46/01/1118294601.db2.gz DECPKBZTDVOEAN-UHFFFAOYSA-N 1 2 297.826 3.892 20 0 CHADLO CC(C)[N@@H+](CC(=O)OC(C)(C)C)Cc1cccc(Cl)c1 ZINC000059038068 1118294606 /nfs/dbraw/zinc/29/46/06/1118294606.db2.gz DECPKBZTDVOEAN-UHFFFAOYSA-N 1 2 297.826 3.892 20 0 CHADLO CC1(CNc2ccccc2OCCn2cc[nH+]c2)CCC1 ZINC000683701524 1118294629 /nfs/dbraw/zinc/29/46/29/1118294629.db2.gz UNEKPXJFSJRZCB-UHFFFAOYSA-N 1 2 285.391 3.564 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2nccn2CC)C2CC2)cc1 ZINC000060356092 1118298143 /nfs/dbraw/zinc/29/81/43/1118298143.db2.gz NCNJQWUGSDCJNY-UHFFFAOYSA-N 1 2 283.419 3.630 20 0 CHADLO CCc1ccc(C[N@H+](Cc2nccn2CC)C2CC2)cc1 ZINC000060356092 1118298144 /nfs/dbraw/zinc/29/81/44/1118298144.db2.gz NCNJQWUGSDCJNY-UHFFFAOYSA-N 1 2 283.419 3.630 20 0 CHADLO Cc1cccc([C@H]([NH2+][C@@H](C)c2cn[nH]c2)c2ccccn2)c1 ZINC000340531059 1118322246 /nfs/dbraw/zinc/32/22/46/1118322246.db2.gz RTJIEBPHUWPOCC-KSSFIOAISA-N 1 2 292.386 3.553 20 0 CHADLO Cc1cc(Oc2ccc(NC3=CCOC3)cc2)cc[nH+]1 ZINC001203394680 1118327100 /nfs/dbraw/zinc/32/71/00/1118327100.db2.gz HVQBPYZNYXUMMP-UHFFFAOYSA-N 1 2 268.316 3.508 20 0 CHADLO c1ccc([C@H]2CCCN(c3cccc[nH+]3)CC2)cc1 ZINC000066818541 1118348062 /nfs/dbraw/zinc/34/80/62/1118348062.db2.gz BNJARECPGFXFJU-INIZCTEOSA-N 1 2 252.361 3.856 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2c(F)cccc2F)c1 ZINC000083512461 1118355351 /nfs/dbraw/zinc/35/53/51/1118355351.db2.gz MDZFPXXXXFVRBC-UHFFFAOYSA-N 1 2 269.241 3.533 20 0 CHADLO CSCCc1ccc(Nc2[nH+]cnc3[nH]ccc32)cc1 ZINC000068906960 1118366969 /nfs/dbraw/zinc/36/69/69/1118366969.db2.gz VREZKQMQTPRANJ-UHFFFAOYSA-N 1 2 284.388 3.607 20 0 CHADLO CC1CCC([N@H+](C)Cc2noc(Cc3ccccc3)n2)CC1 ZINC000069220010 1118368259 /nfs/dbraw/zinc/36/82/59/1118368259.db2.gz SDHKGLZDSVMYAO-UHFFFAOYSA-N 1 2 299.418 3.671 20 0 CHADLO CC1CCC([N@@H+](C)Cc2noc(Cc3ccccc3)n2)CC1 ZINC000069220010 1118368264 /nfs/dbraw/zinc/36/82/64/1118368264.db2.gz SDHKGLZDSVMYAO-UHFFFAOYSA-N 1 2 299.418 3.671 20 0 CHADLO CC(C)c1ccc(C[N@H+](Cc2nccn2C)C2CC2)cc1 ZINC000071122508 1118372976 /nfs/dbraw/zinc/37/29/76/1118372976.db2.gz LAKRVXVPEIKXON-UHFFFAOYSA-N 1 2 283.419 3.708 20 0 CHADLO CC(C)c1ccc(C[N@@H+](Cc2nccn2C)C2CC2)cc1 ZINC000071122508 1118372980 /nfs/dbraw/zinc/37/29/80/1118372980.db2.gz LAKRVXVPEIKXON-UHFFFAOYSA-N 1 2 283.419 3.708 20 0 CHADLO COc1cc(Nc2cccnc2-c2ccccn2)cc(C)[nH+]1 ZINC001210780049 1118385375 /nfs/dbraw/zinc/38/53/75/1118385375.db2.gz DOZNVNMVWIOPJM-UHFFFAOYSA-N 1 2 292.342 3.599 20 0 CHADLO COc1cc(Nc2ccc(OC)c3cccnc23)cc(C)[nH+]1 ZINC001210781118 1118385940 /nfs/dbraw/zinc/38/59/40/1118385940.db2.gz XQTRKRDIKSBOPN-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(-c3ccco3)s2)C[C@@H]1F ZINC000683834822 1118401257 /nfs/dbraw/zinc/40/12/57/1118401257.db2.gz KFEJUWLDGUDIHR-JQWIXIFHSA-N 1 2 280.368 3.583 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(-c3ccco3)s2)C[C@@H]1F ZINC000683834822 1118401259 /nfs/dbraw/zinc/40/12/59/1118401259.db2.gz KFEJUWLDGUDIHR-JQWIXIFHSA-N 1 2 280.368 3.583 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)[C@@H]1CCCC12CC2 ZINC000624448976 1118404784 /nfs/dbraw/zinc/40/47/84/1118404784.db2.gz VCNDDZZINBTMDF-ZDUSSCGKSA-N 1 2 299.349 3.530 20 0 CHADLO CC1(C)C[C@@H](Sc2nc3ccccc3n3c[nH+]cc23)CO1 ZINC000624484874 1118409766 /nfs/dbraw/zinc/40/97/66/1118409766.db2.gz FVOXXNOFSBSFDP-LLVKDONJSA-N 1 2 299.399 3.542 20 0 CHADLO C[C@H]1COCCC[N@@H+]1Cc1csc(C2CCCCC2)n1 ZINC000676712923 1118439961 /nfs/dbraw/zinc/43/99/61/1118439961.db2.gz CBWVKOKEROJXDF-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@H]1COCCC[N@H+]1Cc1csc(C2CCCCC2)n1 ZINC000676712923 1118439962 /nfs/dbraw/zinc/43/99/62/1118439962.db2.gz CBWVKOKEROJXDF-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO c1c2ccccc2[nH]c1CNc1ccc(N2CCCC2)c[nH+]1 ZINC000683922668 1118443068 /nfs/dbraw/zinc/44/30/68/1118443068.db2.gz JLPVCPVIKGWUNL-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO c1cn(-c2ccc(NCc3cc4ccccc4[nH]3)cc2)c[nH+]1 ZINC000683926718 1118446101 /nfs/dbraw/zinc/44/61/01/1118446101.db2.gz KPWFREANSDFBMI-UHFFFAOYSA-N 1 2 288.354 3.966 20 0 CHADLO CSc1cncc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001211070728 1118455454 /nfs/dbraw/zinc/45/54/54/1118455454.db2.gz DZLXQOFGWBLGCF-UHFFFAOYSA-N 1 2 282.372 3.733 20 0 CHADLO CSc1ccc(Nc2cccn3cc[nH+]c23)cc1F ZINC001211109205 1118465643 /nfs/dbraw/zinc/46/56/43/1118465643.db2.gz YQDWIYNOLPKOBO-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO Clc1ccncc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001211210381 1118478521 /nfs/dbraw/zinc/47/85/21/1118478521.db2.gz CDOKWSLKPAEYBN-UHFFFAOYSA-N 1 2 284.750 3.723 20 0 CHADLO c1c2ccccc2[nH]c1C[N@@H+]1CCOC[C@H]1c1ccccc1 ZINC000684020694 1118490251 /nfs/dbraw/zinc/49/02/51/1118490251.db2.gz HUQFVGLTEGKQHN-IBGZPJMESA-N 1 2 292.382 3.741 20 0 CHADLO c1c2ccccc2[nH]c1C[N@H+]1CCOC[C@H]1c1ccccc1 ZINC000684020694 1118490252 /nfs/dbraw/zinc/49/02/52/1118490252.db2.gz HUQFVGLTEGKQHN-IBGZPJMESA-N 1 2 292.382 3.741 20 0 CHADLO Cc1ccc(CCC[NH+]2Cc3cc(F)c(F)cc3C2)cn1 ZINC000656275324 1118494012 /nfs/dbraw/zinc/49/40/12/1118494012.db2.gz LRVHXKRHTIMATF-UHFFFAOYSA-N 1 2 288.341 3.617 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(Cl)nc1Cl ZINC001211279223 1118496579 /nfs/dbraw/zinc/49/65/79/1118496579.db2.gz OXJGBCQAPFBPKU-UHFFFAOYSA-N 1 2 293.157 3.618 20 0 CHADLO Cc1noc(-c2ccc(Nc3c[nH+]c(C)cc3C)cc2)n1 ZINC001213518493 1118517382 /nfs/dbraw/zinc/51/73/82/1118517382.db2.gz QWUOMDRYQOIHST-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO COCCNc1cccc(F)c1Nc1c[nH+]c(C)cc1C ZINC001213520407 1118519538 /nfs/dbraw/zinc/51/95/38/1118519538.db2.gz BFKXGYVEELSVRE-UHFFFAOYSA-N 1 2 289.354 3.639 20 0 CHADLO COc1cc(F)c(F)cc1C[N@@H+]1CCCC[C@](C)(F)C1 ZINC001143387410 1118535082 /nfs/dbraw/zinc/53/50/82/1118535082.db2.gz HDNHDPXZOUDRSV-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO COc1cc(F)c(F)cc1C[N@H+]1CCCC[C@](C)(F)C1 ZINC001143387410 1118535085 /nfs/dbraw/zinc/53/50/85/1118535085.db2.gz HDNHDPXZOUDRSV-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO CCn1ncc2cc(Nc3c[nH+]cc(C)c3C)ccc21 ZINC001213533881 1118553916 /nfs/dbraw/zinc/55/39/16/1118553916.db2.gz AAEGQWRBWYRMKB-UHFFFAOYSA-N 1 2 266.348 3.812 20 0 CHADLO c1cnc2c(c1)CCC[C@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000316662669 1118556188 /nfs/dbraw/zinc/55/61/88/1118556188.db2.gz BYVYEOXADATSBH-MRXNPFEDSA-N 1 2 294.402 3.566 20 0 CHADLO COc1cc(Nc2c(F)cc(C)cc2OC)[nH+]cc1C ZINC001215708280 1118565203 /nfs/dbraw/zinc/56/52/03/1118565203.db2.gz VITGAVPJKCQXKF-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO CCc1sc(-c2nnc(C[NH+]3[C@H](C)C[C@H]3C)o2)cc1C ZINC000684209122 1118569186 /nfs/dbraw/zinc/56/91/86/1118569186.db2.gz YYKWCBQHBUSXAZ-GHMZBOCLSA-N 1 2 291.420 3.652 20 0 CHADLO CCn1c[nH+]c2c1CCN([C@@H](C)c1ccc(Cl)cc1)C2 ZINC000933253885 1118579355 /nfs/dbraw/zinc/57/93/55/1118579355.db2.gz VUAKIKQAPHAJEJ-LBPRGKRZSA-N 1 2 289.810 3.676 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](c1cccs1)C1(CO)CCC1 ZINC000639669960 1118585582 /nfs/dbraw/zinc/58/55/82/1118585582.db2.gz FIMMJKPEVNEOLV-LBPRGKRZSA-N 1 2 289.391 3.587 20 0 CHADLO C[N@H+](Cc1c(Cl)n[nH]c1C1CC1)Cc1ccccc1 ZINC000891390656 1118590307 /nfs/dbraw/zinc/59/03/07/1118590307.db2.gz PRUKUWIEUMPRDL-UHFFFAOYSA-N 1 2 275.783 3.573 20 0 CHADLO C[N@@H+](Cc1c(Cl)n[nH]c1C1CC1)Cc1ccccc1 ZINC000891390656 1118590318 /nfs/dbraw/zinc/59/03/18/1118590318.db2.gz PRUKUWIEUMPRDL-UHFFFAOYSA-N 1 2 275.783 3.573 20 0 CHADLO Cc1c[nH+]c(CN[C@@H](C)c2cccc(Cl)c2Cl)n1C ZINC000341045211 1118592870 /nfs/dbraw/zinc/59/28/70/1118592870.db2.gz QOQXXGVYLYVYPT-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](CC)c2ccc(C)cc2)o1 ZINC000341066598 1118598924 /nfs/dbraw/zinc/59/89/24/1118598924.db2.gz WMUBJMJUPMRIDM-GXTWGEPZSA-N 1 2 273.380 3.742 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](CC)c2ccc(C)cc2)o1 ZINC000341066630 1118599359 /nfs/dbraw/zinc/59/93/59/1118599359.db2.gz WMUBJMJUPMRIDM-TZMCWYRMSA-N 1 2 273.380 3.742 20 0 CHADLO CSc1ccc(Nc2cccn3cc(C)[nH+]c23)c(C)n1 ZINC001215908267 1118623708 /nfs/dbraw/zinc/62/37/08/1118623708.db2.gz LHERCAMYLPMTJR-UHFFFAOYSA-N 1 2 284.388 3.812 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3ccc(C)cc3)ccc2[nH+]1 ZINC000349453393 1118626580 /nfs/dbraw/zinc/62/65/80/1118626580.db2.gz KIZGFBHDYZSWRE-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO FC(F)Oc1ccc(C[NH+]2CC(C(F)F)C2)cc1Cl ZINC000656690777 1118638841 /nfs/dbraw/zinc/63/88/41/1118638841.db2.gz RGPHKDPICIDJBQ-UHFFFAOYSA-N 1 2 297.679 3.638 20 0 CHADLO Cc1nn(C)c(C[N@@H+](C)[C@H](C)c2ccccc2)c1Cl ZINC000891502815 1118696797 /nfs/dbraw/zinc/69/67/97/1118696797.db2.gz AGKAFEQGYOYVRD-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1nn(C)c(C[N@H+](C)[C@H](C)c2ccccc2)c1Cl ZINC000891502815 1118696799 /nfs/dbraw/zinc/69/67/99/1118696799.db2.gz AGKAFEQGYOYVRD-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2ccc(F)cc2)cc(C)[nH+]1 ZINC000341417378 1118701375 /nfs/dbraw/zinc/70/13/75/1118701375.db2.gz KPWGOGHKXYLUMN-OAHLLOKOSA-N 1 2 285.366 3.828 20 0 CHADLO CC(C)n1cc2c(n1)[C@H]([NH2+][C@H](C)c1ccns1)CCC2 ZINC000926184912 1118705647 /nfs/dbraw/zinc/70/56/47/1118705647.db2.gz UGXRKRVQWBUEJK-DGCLKSJQSA-N 1 2 290.436 3.649 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000341500326 1118717133 /nfs/dbraw/zinc/71/71/33/1118717133.db2.gz ONGHSNPCTUZHBQ-CYBMUJFWSA-N 1 2 285.391 3.883 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+]1Cc1csc(C(F)(F)F)n1 ZINC000891618349 1118722507 /nfs/dbraw/zinc/72/25/07/1118722507.db2.gz OYIYOOZMMGTNAP-SNVBAGLBSA-N 1 2 278.343 3.782 20 0 CHADLO CC(C)[C@H]1CCC[N@H+]1Cc1csc(C(F)(F)F)n1 ZINC000891618349 1118722510 /nfs/dbraw/zinc/72/25/10/1118722510.db2.gz OYIYOOZMMGTNAP-SNVBAGLBSA-N 1 2 278.343 3.782 20 0 CHADLO COCc1ccc(C)c(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001213578112 1118723424 /nfs/dbraw/zinc/72/34/24/1118723424.db2.gz CSDYVRVLNXVNNC-UHFFFAOYSA-N 1 2 281.359 3.772 20 0 CHADLO CC[N@H+](Cc1nccn1C1CC1)[C@H](C)c1ccc(F)cc1 ZINC000891734965 1118753512 /nfs/dbraw/zinc/75/35/12/1118753512.db2.gz ZTCMFWVVCCZYQI-CYBMUJFWSA-N 1 2 287.382 3.940 20 0 CHADLO CC[N@@H+](Cc1nccn1C1CC1)[C@H](C)c1ccc(F)cc1 ZINC000891734965 1118753516 /nfs/dbraw/zinc/75/35/16/1118753516.db2.gz ZTCMFWVVCCZYQI-CYBMUJFWSA-N 1 2 287.382 3.940 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)cc(Cl)c2N)c[nH+]1 ZINC001216092163 1118755137 /nfs/dbraw/zinc/75/51/37/1118755137.db2.gz GTSPRGDBNMGVKR-UHFFFAOYSA-N 1 2 290.798 3.825 20 0 CHADLO CC(C)Oc1ccc(C[NH2+]Cc2ncc(Cl)s2)cc1 ZINC000349572283 1118757197 /nfs/dbraw/zinc/75/71/97/1118757197.db2.gz YUZDGQAMVPKULV-UHFFFAOYSA-N 1 2 296.823 3.874 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+]C(C)(C)c2ccc(F)cc2)o1 ZINC000068826037 1128799512 /nfs/dbraw/zinc/79/95/12/1128799512.db2.gz QEMZCZDGVVLJMV-UHFFFAOYSA-N 1 2 291.370 3.531 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccccc1C(F)(F)F)C(F)F ZINC000449359002 1118792889 /nfs/dbraw/zinc/79/28/89/1118792889.db2.gz HCBRXIOHYPYGMN-SNVBAGLBSA-N 1 2 267.241 3.839 20 0 CHADLO Cc1cccc(C)c1C[N@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC000933768398 1118793151 /nfs/dbraw/zinc/79/31/51/1118793151.db2.gz PEBDQCHXLFSWLA-UHFFFAOYSA-N 1 2 287.407 3.616 20 0 CHADLO Cc1cccc(C)c1C[N@@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC000933768398 1118793154 /nfs/dbraw/zinc/79/31/54/1118793154.db2.gz PEBDQCHXLFSWLA-UHFFFAOYSA-N 1 2 287.407 3.616 20 0 CHADLO c1cc(C[NH2+][C@H](COc2ccccc2)c2ccccc2)no1 ZINC000341705670 1118804727 /nfs/dbraw/zinc/80/47/27/1118804727.db2.gz JIAURAHAVZLIFJ-GOSISDBHSA-N 1 2 294.354 3.585 20 0 CHADLO C[C@@H](Cc1ccsc1)[N@H+](C)C/C(Cl)=C/Cl ZINC000129424956 1118819571 /nfs/dbraw/zinc/81/95/71/1118819571.db2.gz YHOUHFAPSBXKEV-ISAHRAOESA-N 1 2 264.221 3.930 20 0 CHADLO C[C@@H](Cc1ccsc1)[N@@H+](C)C/C(Cl)=C/Cl ZINC000129424956 1118819577 /nfs/dbraw/zinc/81/95/77/1118819577.db2.gz YHOUHFAPSBXKEV-ISAHRAOESA-N 1 2 264.221 3.930 20 0 CHADLO COCc1ccc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)cc1 ZINC000926293826 1118852655 /nfs/dbraw/zinc/85/26/55/1118852655.db2.gz CNDDPCPHBKTDQS-ZDUSSCGKSA-N 1 2 285.391 3.539 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1cc(F)ccc1F ZINC000093233832 1118859224 /nfs/dbraw/zinc/85/92/24/1118859224.db2.gz LMKJMJRVOSORTQ-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1cc(F)ccc1F ZINC000093233832 1118859230 /nfs/dbraw/zinc/85/92/30/1118859230.db2.gz LMKJMJRVOSORTQ-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO COc1ccc(CO)cc1Nc1ccc2c(C)cc[nH+]c2c1 ZINC001211529235 1118869986 /nfs/dbraw/zinc/86/99/86/1118869986.db2.gz OOHPZDFORSBLCT-UHFFFAOYSA-N 1 2 294.354 3.788 20 0 CHADLO COc1ccccc1[C@@H]([NH2+]Cc1ccncc1)c1ccco1 ZINC000151124583 1118921630 /nfs/dbraw/zinc/92/16/30/1118921630.db2.gz KMEUHOKQWMSBEP-GOSISDBHSA-N 1 2 294.354 3.562 20 0 CHADLO CCS[C@H](C)c1noc(C[N@@H+]2CCC[C@](C)(CC)C2)n1 ZINC000151124209 1118921681 /nfs/dbraw/zinc/92/16/81/1118921681.db2.gz JPUZKLBUKWVVHV-DOMZBBRYSA-N 1 2 297.468 3.896 20 0 CHADLO CCS[C@H](C)c1noc(C[N@H+]2CCC[C@](C)(CC)C2)n1 ZINC000151124209 1118921682 /nfs/dbraw/zinc/92/16/82/1118921682.db2.gz JPUZKLBUKWVVHV-DOMZBBRYSA-N 1 2 297.468 3.896 20 0 CHADLO COC(C)(C)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000342261310 1118959031 /nfs/dbraw/zinc/95/90/31/1118959031.db2.gz ALPYGPZFBKNHHG-UHFFFAOYSA-N 1 2 264.756 3.725 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C2CCC2)nn1)c1cc2ccccc2o1 ZINC000657293458 1118963265 /nfs/dbraw/zinc/96/32/65/1118963265.db2.gz NEBAHLDUEWEVIB-LBPRGKRZSA-N 1 2 296.374 3.600 20 0 CHADLO Cc1ccc(-c2cnc(C[NH2+][C@@H](C)c3cncs3)o2)cc1 ZINC000934197542 1118963297 /nfs/dbraw/zinc/96/32/97/1118963297.db2.gz DLZKWKMJIFFMNT-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO CSCc1ccc(C[N@H+](C)Cc2nccs2)cc1 ZINC000892094511 1118963741 /nfs/dbraw/zinc/96/37/41/1118963741.db2.gz JAFWKIZKVILOGY-UHFFFAOYSA-N 1 2 278.446 3.638 20 0 CHADLO CSCc1ccc(C[N@@H+](C)Cc2nccs2)cc1 ZINC000892094511 1118963745 /nfs/dbraw/zinc/96/37/45/1118963745.db2.gz JAFWKIZKVILOGY-UHFFFAOYSA-N 1 2 278.446 3.638 20 0 CHADLO CCC[C@H]([NH2+]Cc1cc(C)n(C)n1)c1ccc(F)cc1F ZINC000342281394 1118966881 /nfs/dbraw/zinc/96/68/81/1118966881.db2.gz IJVFUJSCWAFNEJ-INIZCTEOSA-N 1 2 293.361 3.638 20 0 CHADLO CC1(C)CCCC[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000342290204 1118968461 /nfs/dbraw/zinc/96/84/61/1118968461.db2.gz OMEAKXSSOFCTPB-MRXNPFEDSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1ccccc1N(C(=O)C[C@@H](C)n1cc[nH+]c1)C(C)C ZINC000563221389 1118987302 /nfs/dbraw/zinc/98/73/02/1118987302.db2.gz AIUBVQBECGSYLV-OAHLLOKOSA-N 1 2 285.391 3.584 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2ccc(C(C)(C)C)cc2)[nH]1 ZINC000582048762 1118994658 /nfs/dbraw/zinc/99/46/58/1118994658.db2.gz CPJFGYRFYNYSLR-GFCCVEGCSA-N 1 2 286.423 3.604 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)[nH]1 ZINC000582048762 1118994662 /nfs/dbraw/zinc/99/46/62/1118994662.db2.gz CPJFGYRFYNYSLR-GFCCVEGCSA-N 1 2 286.423 3.604 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)[nH]c1C ZINC000628131702 1128814939 /nfs/dbraw/zinc/81/49/39/1128814939.db2.gz GVEBKZPYTTZICQ-LBPRGKRZSA-N 1 2 277.799 3.873 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)[nH]c1C ZINC000628131702 1128814943 /nfs/dbraw/zinc/81/49/43/1128814943.db2.gz GVEBKZPYTTZICQ-LBPRGKRZSA-N 1 2 277.799 3.873 20 0 CHADLO Cc1[nH]c(CN(C)[C@@H](C)c2cccc(Cl)c2)[nH+]c1C ZINC000628131702 1128814948 /nfs/dbraw/zinc/81/49/48/1128814948.db2.gz GVEBKZPYTTZICQ-LBPRGKRZSA-N 1 2 277.799 3.873 20 0 CHADLO C[C@H]1CSCCC[N@@H+]1Cc1c(Cl)cncc1Cl ZINC000934296970 1119012902 /nfs/dbraw/zinc/01/29/02/1119012902.db2.gz WEMLJTVKECIURH-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CSCCC[N@H+]1Cc1c(Cl)cncc1Cl ZINC000934296970 1119012908 /nfs/dbraw/zinc/01/29/08/1119012908.db2.gz WEMLJTVKECIURH-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(F)ccc2F)cc1F ZINC000051451014 1119015969 /nfs/dbraw/zinc/01/59/69/1119015969.db2.gz HIAVZPBCGXEBBT-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(F)ccc2F)cc1F ZINC000051451014 1119015973 /nfs/dbraw/zinc/01/59/73/1119015973.db2.gz HIAVZPBCGXEBBT-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO O=C(CC/C=C\c1ccccc1)Nc1ccn2cc[nH+]c2c1 ZINC000684820877 1119051165 /nfs/dbraw/zinc/05/11/65/1119051165.db2.gz QRKFCEFIXQUVNT-YWEYNIOJSA-N 1 2 291.354 3.766 20 0 CHADLO C/C(=C/C(C)(C)C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000588513971 1119054395 /nfs/dbraw/zinc/05/43/95/1119054395.db2.gz TXPQNXUEYHCHEI-LUAWRHEFSA-N 1 2 260.381 3.938 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2oc3ccccc3c2C)no1 ZINC000044692836 1119058828 /nfs/dbraw/zinc/05/88/28/1119058828.db2.gz RIYSZWRKCXPBRS-LBPRGKRZSA-N 1 2 270.332 3.888 20 0 CHADLO Cc1cc(C(=O)C[N@H+](Cc2cccs2)C2CC2)c(C)[nH]1 ZINC000047848363 1119077500 /nfs/dbraw/zinc/07/75/00/1119077500.db2.gz GRQWKAVGXIWFRI-UHFFFAOYSA-N 1 2 288.416 3.540 20 0 CHADLO Cc1cc(C(=O)C[N@@H+](Cc2cccs2)C2CC2)c(C)[nH]1 ZINC000047848363 1119077501 /nfs/dbraw/zinc/07/75/01/1119077501.db2.gz GRQWKAVGXIWFRI-UHFFFAOYSA-N 1 2 288.416 3.540 20 0 CHADLO Clc1ccc2c(c1)[C@@H](SCCn1cc[nH+]c1)CC2 ZINC000342554462 1119081913 /nfs/dbraw/zinc/08/19/13/1119081913.db2.gz HPXOLIJUBLAVBJ-AWEZNQCLSA-N 1 2 278.808 3.957 20 0 CHADLO CC(C)n1ccnc1C[NH2+]Cc1ccc(Cl)cc1F ZINC000657439744 1119091958 /nfs/dbraw/zinc/09/19/58/1119091958.db2.gz FDVBFUZUETYPRC-UHFFFAOYSA-N 1 2 281.762 3.546 20 0 CHADLO C[C@H]1CC[C@@H](CC(=O)Nc2ccccc2-n2cc[nH+]c2)C1 ZINC000119879495 1119097155 /nfs/dbraw/zinc/09/71/55/1119097155.db2.gz LVNYZKDLVKIFSW-UONOGXRCSA-N 1 2 283.375 3.637 20 0 CHADLO CCOc1ccc(Cl)cc1Nc1c[nH+]ccc1OC ZINC001216447471 1119103395 /nfs/dbraw/zinc/10/33/95/1119103395.db2.gz VBHCLUIIOFRWNQ-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C)[C@H]2c2cccc(F)c2)[nH]c1C ZINC000628185451 1128822809 /nfs/dbraw/zinc/82/28/09/1128822809.db2.gz ZHQRCOKGIYOCMR-DIFFPNOSSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C)[C@H]2c2cccc(F)c2)[nH]c1C ZINC000628185451 1128822815 /nfs/dbraw/zinc/82/28/15/1128822815.db2.gz ZHQRCOKGIYOCMR-DIFFPNOSSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1cncc([C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC000184467224 1119121225 /nfs/dbraw/zinc/12/12/25/1119121225.db2.gz LMAGYPBAYQPFIO-CQSZACIVSA-N 1 2 269.392 3.938 20 0 CHADLO COCc1cnc(C[N@@H+]2CCC[C@@H]2c2ccsc2)s1 ZINC000342677028 1119122106 /nfs/dbraw/zinc/12/21/06/1119122106.db2.gz PQXNVKZKCBJDIN-CYBMUJFWSA-N 1 2 294.445 3.688 20 0 CHADLO COCc1cnc(C[N@H+]2CCC[C@@H]2c2ccsc2)s1 ZINC000342677028 1119122107 /nfs/dbraw/zinc/12/21/07/1119122107.db2.gz PQXNVKZKCBJDIN-CYBMUJFWSA-N 1 2 294.445 3.688 20 0 CHADLO Cc1csc(CNc2ccc([NH+]3CCCC3)cc2)n1 ZINC000049867632 1119130001 /nfs/dbraw/zinc/13/00/01/1119130001.db2.gz GYBNDBMJUCQNEH-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H]2CCCc3cccnc32)cs1 ZINC000684956081 1119142221 /nfs/dbraw/zinc/14/22/21/1119142221.db2.gz YSAWZSUYHVTWSN-WCQYABFASA-N 1 2 287.432 3.829 20 0 CHADLO Cc1cn2cc(NC(=O)c3sc(C)cc3C)ccc2[nH+]1 ZINC001125613621 1119146430 /nfs/dbraw/zinc/14/64/30/1119146430.db2.gz KDTZDPHHXZVESM-UHFFFAOYSA-N 1 2 285.372 3.573 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(C3CC3)cs2)c1 ZINC000342764603 1119157268 /nfs/dbraw/zinc/15/72/68/1119157268.db2.gz IVXSTPUZGMUNAO-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(C3CC3)cs2)c1 ZINC000342764603 1119157271 /nfs/dbraw/zinc/15/72/71/1119157271.db2.gz IVXSTPUZGMUNAO-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@@H](C)C(C)(C)C)cc2[nH+]1 ZINC000342785867 1119166578 /nfs/dbraw/zinc/16/65/78/1119166578.db2.gz UCSRCANINBKTTE-SNVBAGLBSA-N 1 2 273.380 3.882 20 0 CHADLO Cc1c[nH+]c(CN2CCC[C@@H]2c2ccccc2Cl)n1C ZINC000342795617 1119173088 /nfs/dbraw/zinc/17/30/88/1119173088.db2.gz XUYQPOQTXUIWFZ-OAHLLOKOSA-N 1 2 289.810 3.719 20 0 CHADLO Clc1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n1 ZINC001213085357 1119185645 /nfs/dbraw/zinc/18/56/45/1119185645.db2.gz DGRALPJCANKJQF-UHFFFAOYSA-N 1 2 270.723 3.869 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C3CC=CC3)c2)[nH+]c1C ZINC000076961673 1119190058 /nfs/dbraw/zinc/19/00/58/1119190058.db2.gz MJYUBQQUSFDLSY-UHFFFAOYSA-N 1 2 281.359 3.598 20 0 CHADLO COc1cccc(F)c1CNc1[nH+]cccc1OC(C)C ZINC001167609483 1119198200 /nfs/dbraw/zinc/19/82/00/1119198200.db2.gz XNUVZHOKSDKALN-UHFFFAOYSA-N 1 2 290.338 3.629 20 0 CHADLO CCCC[C@H](C(=O)OC)[N@H+](C)Cc1cc2ccccc2o1 ZINC000472254051 1119198654 /nfs/dbraw/zinc/19/86/54/1119198654.db2.gz CEBZLAFGJAFYJZ-OAHLLOKOSA-N 1 2 289.375 3.596 20 0 CHADLO CCCC[C@H](C(=O)OC)[N@@H+](C)Cc1cc2ccccc2o1 ZINC000472254051 1119198658 /nfs/dbraw/zinc/19/86/58/1119198658.db2.gz CEBZLAFGJAFYJZ-OAHLLOKOSA-N 1 2 289.375 3.596 20 0 CHADLO Nc1cc(CNc2ccc3c(c2)[nH]c2ccccc23)cc[nH+]1 ZINC001167616930 1119212327 /nfs/dbraw/zinc/21/23/27/1119212327.db2.gz SNWJGBPYZOSWKI-UHFFFAOYSA-N 1 2 288.354 3.910 20 0 CHADLO Fc1cnc(F)c(F)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213085538 1119212828 /nfs/dbraw/zinc/21/28/28/1119212828.db2.gz KOVHVGBUHXSIEH-UHFFFAOYSA-N 1 2 290.248 3.633 20 0 CHADLO CC(C)CO[C@@H]1CC[N@@H+](Cc2csc(C(C)C)n2)C1 ZINC000119683059 1119219581 /nfs/dbraw/zinc/21/95/81/1119219581.db2.gz FEIVXILIQQTKSX-CQSZACIVSA-N 1 2 282.453 3.513 20 0 CHADLO CC(C)CO[C@@H]1CC[N@H+](Cc2csc(C(C)C)n2)C1 ZINC000119683059 1119219578 /nfs/dbraw/zinc/21/95/78/1119219578.db2.gz FEIVXILIQQTKSX-CQSZACIVSA-N 1 2 282.453 3.513 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cnc(C2CC2)o1)c1nc(C)cs1 ZINC000628217207 1128830551 /nfs/dbraw/zinc/83/05/51/1128830551.db2.gz OKLHDRJMBUPZBC-OAHLLOKOSA-N 1 2 291.420 3.732 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccc(C(C)(C)C)cc3C2)no1 ZINC000511204475 1119232541 /nfs/dbraw/zinc/23/25/41/1119232541.db2.gz ZDDYZDYSTCCQSB-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccc(C(C)(C)C)cc3C2)no1 ZINC000511204475 1119232544 /nfs/dbraw/zinc/23/25/44/1119232544.db2.gz ZDDYZDYSTCCQSB-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1nc(N2CCC[C@H](c3ccccc3)C2)c(C)c(C)[nH+]1 ZINC000431498833 1119237976 /nfs/dbraw/zinc/23/79/76/1119237976.db2.gz BTFCNUBTTORPJL-KRWDZBQOSA-N 1 2 281.403 3.786 20 0 CHADLO Cc1cn2cccc(Nc3ccc(-c4ncc[nH]4)cc3)c2[nH+]1 ZINC001213085998 1119239089 /nfs/dbraw/zinc/23/90/89/1119239089.db2.gz BZRNFFUMNWLGHK-UHFFFAOYSA-N 1 2 289.342 3.776 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccccc1OCOC ZINC001211561160 1119243867 /nfs/dbraw/zinc/24/38/67/1119243867.db2.gz UFYVMYWBQOPULE-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO C[N@@H+](Cc1nccs1)C[C@@H]1CCC2(CCCCCC2)O1 ZINC001167631893 1119244510 /nfs/dbraw/zinc/24/45/10/1119244510.db2.gz XNCZAMFKZSRZDY-AWEZNQCLSA-N 1 2 294.464 3.847 20 0 CHADLO C[N@H+](Cc1nccs1)C[C@@H]1CCC2(CCCCCC2)O1 ZINC001167631893 1119244512 /nfs/dbraw/zinc/24/45/12/1119244512.db2.gz XNCZAMFKZSRZDY-AWEZNQCLSA-N 1 2 294.464 3.847 20 0 CHADLO Cc1ccc([C@H]2OCCC[C@H]2CNc2cccc[nH+]2)cc1 ZINC000078678102 1119246330 /nfs/dbraw/zinc/24/63/30/1119246330.db2.gz HIZRAXMEXPUBMS-FUHWJXTLSA-N 1 2 282.387 3.970 20 0 CHADLO Oc1ccc2c(c1)[C@@H]([NH2+]Cc1csc(C3CC3)n1)CC2 ZINC000657692608 1119249611 /nfs/dbraw/zinc/24/96/11/1119249611.db2.gz ANVSSEWDCLEXEJ-HNNXBMFYSA-N 1 2 286.400 3.503 20 0 CHADLO C[C@H]([NH2+][C@H](CC(F)(F)F)c1ccccc1)c1ncc[nH]1 ZINC000138989627 1119254159 /nfs/dbraw/zinc/25/41/59/1119254159.db2.gz MOVZHXXDQVEOSY-CMPLNLGQSA-N 1 2 283.297 3.754 20 0 CHADLO CCc1nc(C)c([C@H](C)N(C)Cc2[nH]c(C)c(C)[nH+]2)s1 ZINC000628183192 1119265520 /nfs/dbraw/zinc/26/55/20/1119265520.db2.gz TVARWTDTBOKRQS-LBPRGKRZSA-N 1 2 292.452 3.547 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc(F)cc2F)s1 ZINC000122895033 1119288928 /nfs/dbraw/zinc/28/89/28/1119288928.db2.gz KOHGFGIRVQTKFG-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CC3CC(C)(C)C3)cc2[nH+]1 ZINC000343064089 1119295376 /nfs/dbraw/zinc/29/53/76/1119295376.db2.gz JNUPRJZSZXLVOZ-UHFFFAOYSA-N 1 2 271.364 3.636 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccon1)c1cc(C)ccc1OC ZINC000349838086 1119301134 /nfs/dbraw/zinc/30/11/34/1119301134.db2.gz WYYUMIOSZXXYLM-JSGCOSHPSA-N 1 2 274.364 3.794 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1ccccc1OC(F)F ZINC000349838492 1119302983 /nfs/dbraw/zinc/30/29/83/1119302983.db2.gz RDYBFLKQDJYCCN-ZJUUUORDSA-N 1 2 282.290 3.688 20 0 CHADLO Cc1ccc([C@H]([NH2+][C@@H]2CCCc3[nH]ncc32)C2CC2)cc1 ZINC000353446780 1128837440 /nfs/dbraw/zinc/83/74/40/1128837440.db2.gz KVZRKMHOSLCZJY-AEFFLSMTSA-N 1 2 281.403 3.836 20 0 CHADLO COC[C@@H]([NH2+]Cc1cc2cc(F)ccc2o1)c1ccco1 ZINC000080240288 1119349833 /nfs/dbraw/zinc/34/98/33/1119349833.db2.gz NCMWZEBMYXPMHE-CQSZACIVSA-N 1 2 289.306 3.642 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc(Cl)cn2)nc(C(C)C)[nH+]1 ZINC000343238190 1119361519 /nfs/dbraw/zinc/36/15/19/1119361519.db2.gz YLPPHPOAQUGMTP-LLVKDONJSA-N 1 2 290.798 3.552 20 0 CHADLO Cc1cc(F)ncc1CNc1ccc([NH+](C)C)c(C)c1 ZINC000892341175 1119370425 /nfs/dbraw/zinc/37/04/25/1119370425.db2.gz SIRYAJMNDLFNFQ-UHFFFAOYSA-N 1 2 273.355 3.516 20 0 CHADLO CN(C)c1ccc([NH2+][C@H]2CCO[C@@H](C(C)(C)C)C2)cc1 ZINC000721529740 1119375852 /nfs/dbraw/zinc/37/58/52/1119375852.db2.gz KJFPSNYTRHDZSX-GOEBONIOSA-N 1 2 276.424 3.758 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCO[C@@H](C(C)(C)C)C2)cc1 ZINC000721529740 1119375855 /nfs/dbraw/zinc/37/58/55/1119375855.db2.gz KJFPSNYTRHDZSX-GOEBONIOSA-N 1 2 276.424 3.758 20 0 CHADLO CC(C)C[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccncc1 ZINC000647304019 1119396533 /nfs/dbraw/zinc/39/65/33/1119396533.db2.gz SXSQUJHZYKMTEA-KGLIPLIRSA-N 1 2 268.351 3.946 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc3ccccc3o2)Cc2ccccc2O1 ZINC000429111959 1119403372 /nfs/dbraw/zinc/40/33/72/1119403372.db2.gz AHZXDPUEIKGUPR-CYBMUJFWSA-N 1 2 294.354 3.611 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc3ccccc3o2)Cc2ccccc2O1 ZINC000429111959 1119403376 /nfs/dbraw/zinc/40/33/76/1119403376.db2.gz AHZXDPUEIKGUPR-CYBMUJFWSA-N 1 2 294.354 3.611 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)ccc1Cl)c1nccn1C ZINC000657793200 1119412822 /nfs/dbraw/zinc/41/28/22/1119412822.db2.gz GRZPVHJUKFKIBC-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]CC1=Cc2ccccc2OC1 ZINC000657794579 1119413138 /nfs/dbraw/zinc/41/31/38/1119413138.db2.gz XLXNRLPQKZENDD-UHFFFAOYSA-N 1 2 281.346 3.733 20 0 CHADLO Cc1[nH]c(CN(C)[C@H](C)c2cc3ccccc3o2)[nH+]c1C ZINC000628129822 1119415363 /nfs/dbraw/zinc/41/53/63/1119415363.db2.gz WBQBQGWEMJKRLU-CYBMUJFWSA-N 1 2 283.375 3.966 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)[nH]c1C ZINC000628129822 1119415366 /nfs/dbraw/zinc/41/53/66/1119415366.db2.gz WBQBQGWEMJKRLU-CYBMUJFWSA-N 1 2 283.375 3.966 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)[nH]c1C ZINC000628129822 1119415367 /nfs/dbraw/zinc/41/53/67/1119415367.db2.gz WBQBQGWEMJKRLU-CYBMUJFWSA-N 1 2 283.375 3.966 20 0 CHADLO CC[C@H]1CC[C@@H]1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000583830675 1119420105 /nfs/dbraw/zinc/42/01/05/1119420105.db2.gz NAYQHNDCDKQXEF-ZFWWWQNUSA-N 1 2 259.397 3.672 20 0 CHADLO C[N@H+](Cc1nccs1)[C@H]1CCCc2ccc(F)cc21 ZINC000133303046 1119467705 /nfs/dbraw/zinc/46/77/05/1119467705.db2.gz FQZDSJTWEQSIDB-AWEZNQCLSA-N 1 2 276.380 3.792 20 0 CHADLO C[N@@H+](Cc1nccs1)[C@H]1CCCc2ccc(F)cc21 ZINC000133303046 1119467708 /nfs/dbraw/zinc/46/77/08/1119467708.db2.gz FQZDSJTWEQSIDB-AWEZNQCLSA-N 1 2 276.380 3.792 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)[nH]c1C ZINC000628180514 1119491835 /nfs/dbraw/zinc/49/18/35/1119491835.db2.gz SAWCEVIVXZPBDC-BDJLRTHQSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)[nH]c1C ZINC000628180514 1119491839 /nfs/dbraw/zinc/49/18/39/1119491839.db2.gz SAWCEVIVXZPBDC-BDJLRTHQSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1[nH]c(CN2C[C@H](C)C[C@@H]2c2ccccc2F)[nH+]c1C ZINC000628180514 1119491841 /nfs/dbraw/zinc/49/18/41/1119491841.db2.gz SAWCEVIVXZPBDC-BDJLRTHQSA-N 1 2 287.382 3.749 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nccs2)[C@H](c2ccco2)C1 ZINC000135113427 1119494516 /nfs/dbraw/zinc/49/45/16/1119494516.db2.gz FJGXUWLBOOHNNY-RYUDHWBXSA-N 1 2 262.378 3.709 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nccs2)[C@H](c2ccco2)C1 ZINC000135113427 1119494519 /nfs/dbraw/zinc/49/45/19/1119494519.db2.gz FJGXUWLBOOHNNY-RYUDHWBXSA-N 1 2 262.378 3.709 20 0 CHADLO Cc1cnc([C@H](Nc2[nH+]c(C)nc3[nH]ccc32)C2CC2)s1 ZINC000081019178 1119509783 /nfs/dbraw/zinc/50/97/83/1119509783.db2.gz VNFAHELQQMKLKE-GFCCVEGCSA-N 1 2 299.403 3.594 20 0 CHADLO Fc1ccc(C2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000081034609 1119510684 /nfs/dbraw/zinc/51/06/84/1119510684.db2.gz WHGJLDJGVZHRIY-UHFFFAOYSA-N 1 2 256.324 3.605 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC23CCC3)cc1Br ZINC000081545111 1119516609 /nfs/dbraw/zinc/51/66/09/1119516609.db2.gz AZUNZJVBOLTWGP-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Fc1ccc(C[N@H+]2CCC23CCC3)cc1Br ZINC000081545111 1119516613 /nfs/dbraw/zinc/51/66/13/1119516613.db2.gz AZUNZJVBOLTWGP-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)cs1)c1cccnc1Cl ZINC000090459279 1119544519 /nfs/dbraw/zinc/54/45/19/1119544519.db2.gz ULUZXCWHBFMWEH-SECBINFHSA-N 1 2 293.823 3.920 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2ccc(Cl)cn2)C2CC2)s1 ZINC000584437145 1119551091 /nfs/dbraw/zinc/55/10/91/1119551091.db2.gz UPUYAWNALMGYLP-CYBMUJFWSA-N 1 2 293.823 3.741 20 0 CHADLO Fc1cc([C@@H]2OCC[C@@H]2Nc2cccc[nH+]2)ccc1Cl ZINC000091025210 1119551746 /nfs/dbraw/zinc/55/17/46/1119551746.db2.gz SSUFQTMYUKCHIE-ZFWWWQNUSA-N 1 2 292.741 3.816 20 0 CHADLO Cc1ccnc([C@@H](Nc2cc(C)[nH+]c(C(C)C)n2)C2CC2)n1 ZINC000092692660 1119564514 /nfs/dbraw/zinc/56/45/14/1119564514.db2.gz MALBWNCIDYDLOP-HNNXBMFYSA-N 1 2 297.406 3.570 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc(C2CC2)o1)c1nc(C)cs1 ZINC000628213992 1119564591 /nfs/dbraw/zinc/56/45/91/1119564591.db2.gz GSCAESXBPKGIKS-LBPRGKRZSA-N 1 2 277.393 3.558 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@@H](C)c1ccc(F)cn1 ZINC000092753165 1119566513 /nfs/dbraw/zinc/56/65/13/1119566513.db2.gz KYCFPINBCWFKJM-QWRGUYRKSA-N 1 2 292.329 3.780 20 0 CHADLO FC(F)C[N@H+](C[C@@H]1C[C@H]1c1ccccc1)C1CC1 ZINC000093276601 1119570695 /nfs/dbraw/zinc/57/06/95/1119570695.db2.gz VOMAAKLPTMRMCN-JSGCOSHPSA-N 1 2 251.320 3.520 20 0 CHADLO FC(F)C[N@@H+](C[C@@H]1C[C@H]1c1ccccc1)C1CC1 ZINC000093276601 1119570697 /nfs/dbraw/zinc/57/06/97/1119570697.db2.gz VOMAAKLPTMRMCN-JSGCOSHPSA-N 1 2 251.320 3.520 20 0 CHADLO Nc1cc(Nc2cc[nH+]c3ccc(Cl)cc23)ccc1F ZINC001212330269 1128855060 /nfs/dbraw/zinc/85/50/60/1128855060.db2.gz KRKGWDKGUWDQJD-UHFFFAOYSA-N 1 2 287.725 3.775 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1cc2ccccc2[nH]1 ZINC000926595085 1119619544 /nfs/dbraw/zinc/61/95/44/1119619544.db2.gz CATKWHISUBSOAH-SNVBAGLBSA-N 1 2 298.415 3.748 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)o1 ZINC000685566445 1119632194 /nfs/dbraw/zinc/63/21/94/1119632194.db2.gz DERPGTXAJAZWPQ-JTQLQIEISA-N 1 2 292.329 3.689 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)o1 ZINC000685566445 1119632195 /nfs/dbraw/zinc/63/21/95/1119632195.db2.gz DERPGTXAJAZWPQ-JTQLQIEISA-N 1 2 292.329 3.689 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000628431311 1128859357 /nfs/dbraw/zinc/85/93/57/1128859357.db2.gz SURPBYFCBPXBMC-HIFRSBDPSA-N 1 2 297.402 3.598 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@@H]2CCc3c[nH+]cn3C2)c1 ZINC000628431554 1128860381 /nfs/dbraw/zinc/86/03/81/1128860381.db2.gz YVJCRSBHGBTKNN-UKRRQHHQSA-N 1 2 297.402 3.598 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)c1 ZINC000628430775 1128860760 /nfs/dbraw/zinc/86/07/60/1128860760.db2.gz HAPCMEOQXPNPDH-DAXOMENPSA-N 1 2 297.402 3.676 20 0 CHADLO COc1ccccc1C[C@@H](C)[N@H+](C)C/C(Cl)=C\Cl ZINC000255628510 1119664590 /nfs/dbraw/zinc/66/45/90/1119664590.db2.gz PAAPVGXFEOPMEF-VSXLWIIGSA-N 1 2 288.218 3.877 20 0 CHADLO COc1ccccc1C[C@@H](C)[N@@H+](C)C/C(Cl)=C\Cl ZINC000255628510 1119664593 /nfs/dbraw/zinc/66/45/93/1119664593.db2.gz PAAPVGXFEOPMEF-VSXLWIIGSA-N 1 2 288.218 3.877 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(C(F)F)nc2)c1 ZINC000658042704 1119671150 /nfs/dbraw/zinc/67/11/50/1119671150.db2.gz AHKWJCKJWAEGBP-SNVBAGLBSA-N 1 2 293.317 3.734 20 0 CHADLO CC(C)c1cccc(C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)c1 ZINC000612063878 1119689279 /nfs/dbraw/zinc/68/92/79/1119689279.db2.gz LKEGVKQISKIRDY-UHFFFAOYSA-N 1 2 297.402 3.553 20 0 CHADLO CC(C)c1cccc(C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)c1 ZINC000612063878 1119689281 /nfs/dbraw/zinc/68/92/81/1119689281.db2.gz LKEGVKQISKIRDY-UHFFFAOYSA-N 1 2 297.402 3.553 20 0 CHADLO COc1cccc(Nc2[nH+]cc(O)cc2C)c1C1CC1 ZINC001213797246 1119689294 /nfs/dbraw/zinc/68/92/94/1119689294.db2.gz UBIKWYYJXXJWJB-UHFFFAOYSA-N 1 2 270.332 3.725 20 0 CHADLO CC[N@H+](C/C(Cl)=C/Cl)Cc1ccc(OC)cc1 ZINC000763491362 1128862922 /nfs/dbraw/zinc/86/29/22/1128862922.db2.gz HCAQKATUIVJUIA-WQLSENKSSA-N 1 2 274.191 3.836 20 0 CHADLO CC[N@@H+](C/C(Cl)=C/Cl)Cc1ccc(OC)cc1 ZINC000763491362 1128862924 /nfs/dbraw/zinc/86/29/24/1128862924.db2.gz HCAQKATUIVJUIA-WQLSENKSSA-N 1 2 274.191 3.836 20 0 CHADLO COc1cccc2c1C[N@H+](C/C=C/c1ccccc1OC)C2 ZINC000628462437 1128863974 /nfs/dbraw/zinc/86/39/74/1128863974.db2.gz UIXGATCYRSADKR-RMKNXTFCSA-N 1 2 295.382 3.733 20 0 CHADLO COc1cccc2c1C[N@@H+](C/C=C/c1ccccc1OC)C2 ZINC000628462437 1128863977 /nfs/dbraw/zinc/86/39/77/1128863977.db2.gz UIXGATCYRSADKR-RMKNXTFCSA-N 1 2 295.382 3.733 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2ccc(F)c(Cl)c21)c1nccn1C ZINC000658339837 1119728505 /nfs/dbraw/zinc/72/85/05/1119728505.db2.gz WGERJNKQNFYXAE-BXKDBHETSA-N 1 2 293.773 3.551 20 0 CHADLO CCCC[C@H](CC)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000069393225 1128865265 /nfs/dbraw/zinc/86/52/65/1128865265.db2.gz YHSCYDYOVRHSON-INIZCTEOSA-N 1 2 299.418 3.705 20 0 CHADLO Cc1ccc(C[NH2+]Cc2csc(C(F)(F)F)n2)cc1 ZINC000892439803 1119737391 /nfs/dbraw/zinc/73/73/91/1119737391.db2.gz ZXZJQDWZMHQCNY-UHFFFAOYSA-N 1 2 286.322 3.760 20 0 CHADLO CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1Nc1cc[nH+]c(C)n1 ZINC000343810523 1119754815 /nfs/dbraw/zinc/75/48/15/1119754815.db2.gz OWJIBPDENOMGFA-YESZJQIVSA-N 1 2 297.402 3.548 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccnn1CC1CCC1)c1cscn1 ZINC000926690401 1119814666 /nfs/dbraw/zinc/81/46/66/1119814666.db2.gz AZWKQQLTDFEWFS-VXGBXAGGSA-N 1 2 290.436 3.552 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@H](c1ccccc1F)C(C)C ZINC000926693695 1119819132 /nfs/dbraw/zinc/81/91/32/1119819132.db2.gz OIAAACFTEGYENB-HZMBPMFUSA-N 1 2 277.343 3.565 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)n1 ZINC000628315913 1119824421 /nfs/dbraw/zinc/82/44/21/1119824421.db2.gz BHIGTEQARFUYSK-LBPRGKRZSA-N 1 2 263.410 3.751 20 0 CHADLO Cc1c[nH]c(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)n1 ZINC000628315913 1119824423 /nfs/dbraw/zinc/82/44/23/1119824423.db2.gz BHIGTEQARFUYSK-LBPRGKRZSA-N 1 2 263.410 3.751 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+]Cc2ccccc2CO)cs1 ZINC000545278134 1119843085 /nfs/dbraw/zinc/84/30/85/1119843085.db2.gz HHBIEINTTLYARQ-GFCCVEGCSA-N 1 2 290.432 3.610 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(F)c1F)c1cc2n(n1)CCC2 ZINC000414104184 1119900476 /nfs/dbraw/zinc/90/04/76/1119900476.db2.gz OCPFBJLWNBMUOJ-GHMZBOCLSA-N 1 2 291.345 3.519 20 0 CHADLO CC[C@@H]1CC[C@H](C)[N@H+](Cc2csc(Cl)n2)C1 ZINC000309316825 1119901208 /nfs/dbraw/zinc/90/12/08/1119901208.db2.gz PKOXFFQDBCMVDW-VHSXEESVSA-N 1 2 258.818 3.807 20 0 CHADLO CC[C@@H]1CC[C@H](C)[N@@H+](Cc2csc(Cl)n2)C1 ZINC000309316825 1119901210 /nfs/dbraw/zinc/90/12/10/1119901210.db2.gz PKOXFFQDBCMVDW-VHSXEESVSA-N 1 2 258.818 3.807 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2ccc(Cl)cc2)C2CC2)o1 ZINC000173184636 1119902695 /nfs/dbraw/zinc/90/26/95/1119902695.db2.gz RPFVFQRKIPRSRZ-OAHLLOKOSA-N 1 2 276.767 3.877 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1ccsc1Br ZINC000282376697 1119915316 /nfs/dbraw/zinc/91/53/16/1119915316.db2.gz YPXKKLJHRKUJLJ-UHFFFAOYSA-N 1 2 286.194 3.702 20 0 CHADLO Cc1ccc(-c2noc([C@H](CC(C)C)n3cc[nH+]c3)n2)cc1 ZINC000923766026 1119935041 /nfs/dbraw/zinc/93/50/41/1119935041.db2.gz ZSUGJSHXZQBIRM-HNNXBMFYSA-N 1 2 296.374 3.877 20 0 CHADLO CCn1ccc(C[NH2+][C@@H](C)c2cc3ccc(C)cc3o2)n1 ZINC000414205689 1119938872 /nfs/dbraw/zinc/93/88/72/1119938872.db2.gz HSRPVMZRUSYIOD-ZDUSSCGKSA-N 1 2 283.375 3.808 20 0 CHADLO CC[C@H]([NH2+]Cc1nccc(C(F)(F)F)n1)c1ccccc1 ZINC000414230241 1119948127 /nfs/dbraw/zinc/94/81/27/1119948127.db2.gz AUUZOAGEQASKRA-LBPRGKRZSA-N 1 2 295.308 3.736 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C1(C)CC=CC1 ZINC000935759081 1119960924 /nfs/dbraw/zinc/96/09/24/1119960924.db2.gz FUAPNLIMJNSPBG-UHFFFAOYSA-N 1 2 281.359 3.680 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@H](C)c1csnn1 ZINC000414309562 1119975189 /nfs/dbraw/zinc/97/51/89/1119975189.db2.gz VCLKBMZTXFEHBA-VHSXEESVSA-N 1 2 279.434 3.672 20 0 CHADLO CC1(C)COCC[N@@H+]1CCCc1c(F)cccc1Cl ZINC000472595363 1119997483 /nfs/dbraw/zinc/99/74/83/1119997483.db2.gz GXYUPRHGHZHNFH-UHFFFAOYSA-N 1 2 285.790 3.523 20 0 CHADLO CC1(C)COCC[N@H+]1CCCc1c(F)cccc1Cl ZINC000472595363 1119997486 /nfs/dbraw/zinc/99/74/86/1119997486.db2.gz GXYUPRHGHZHNFH-UHFFFAOYSA-N 1 2 285.790 3.523 20 0 CHADLO Fc1cc(C[NH2+]Cc2nccs2)ccc1C(F)F ZINC000892641744 1120002875 /nfs/dbraw/zinc/00/28/75/1120002875.db2.gz VAXXUEXQQARZQP-UHFFFAOYSA-N 1 2 272.295 3.510 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccn1)c1c(F)cccc1Cl ZINC000311072067 1120010827 /nfs/dbraw/zinc/01/08/27/1120010827.db2.gz LYNSEYPVFTXYIH-SNVBAGLBSA-N 1 2 264.731 3.725 20 0 CHADLO Cc1c[nH+]cc(NCc2nccc3ccccc32)c1C ZINC001167703348 1120013164 /nfs/dbraw/zinc/01/31/64/1120013164.db2.gz ZMQSHLVKUBZKHV-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO Cc1occc1C[N@H+]1C[C@H](C)OC[C@@H]1c1ccccc1 ZINC000414394058 1120017921 /nfs/dbraw/zinc/01/79/21/1120017921.db2.gz HPRXMAHVMDLTMP-SUMWQHHRSA-N 1 2 271.360 3.550 20 0 CHADLO Cc1occc1C[N@@H+]1C[C@H](C)OC[C@@H]1c1ccccc1 ZINC000414394058 1120017924 /nfs/dbraw/zinc/01/79/24/1120017924.db2.gz HPRXMAHVMDLTMP-SUMWQHHRSA-N 1 2 271.360 3.550 20 0 CHADLO Fc1cccc(F)c1-c1ccccc1Cn1cc[nH+]c1 ZINC001239574379 1120034691 /nfs/dbraw/zinc/03/46/91/1120034691.db2.gz BOZXUDUWEPMLKX-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO C[N@H+](Cn1c2ccccc2n(C(F)F)c1=S)C1CC1 ZINC000073586225 1120041861 /nfs/dbraw/zinc/04/18/61/1120041861.db2.gz JKJNLZFYFLYANL-UHFFFAOYSA-N 1 2 283.347 3.619 20 0 CHADLO C[N@@H+](Cn1c2ccccc2n(C(F)F)c1=S)C1CC1 ZINC000073586225 1120041863 /nfs/dbraw/zinc/04/18/63/1120041863.db2.gz JKJNLZFYFLYANL-UHFFFAOYSA-N 1 2 283.347 3.619 20 0 CHADLO CC(C)(C)c1csc(C[NH2+]Cc2ccccc2F)n1 ZINC000105498633 1120041894 /nfs/dbraw/zinc/04/18/94/1120041894.db2.gz GEHGXFWRRNRBSL-UHFFFAOYSA-N 1 2 278.396 3.870 20 0 CHADLO CC[C@@H]1C[C@H]1C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921655742 1120056302 /nfs/dbraw/zinc/05/63/02/1120056302.db2.gz KPYYOKMGGAGMJJ-IUODEOHRSA-N 1 2 283.375 3.678 20 0 CHADLO CC/C(C)=C\C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921656876 1120056761 /nfs/dbraw/zinc/05/67/61/1120056761.db2.gz POXVSYYBIHJTPI-KHPPLWFESA-N 1 2 283.375 3.988 20 0 CHADLO CCC(C)=CC(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921656876 1120056766 /nfs/dbraw/zinc/05/67/66/1120056766.db2.gz POXVSYYBIHJTPI-KHPPLWFESA-N 1 2 283.375 3.988 20 0 CHADLO CCC1(C(=O)Nc2ccc(-c3[nH]c(C)c(C)[nH+]3)cc2)CC1 ZINC000921660216 1120058862 /nfs/dbraw/zinc/05/88/62/1120058862.db2.gz LNGYAABHAPTSMS-UHFFFAOYSA-N 1 2 283.375 3.822 20 0 CHADLO CC/C=C(/C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921665688 1120060549 /nfs/dbraw/zinc/06/05/49/1120060549.db2.gz QIJPZCJROJSKGG-WDZFZDKYSA-N 1 2 283.375 3.988 20 0 CHADLO CCC=C(C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921665688 1120060551 /nfs/dbraw/zinc/06/05/51/1120060551.db2.gz QIJPZCJROJSKGG-WDZFZDKYSA-N 1 2 283.375 3.988 20 0 CHADLO O=C(CCc1c[nH]c[nH+]1)Nc1cccc(-c2cccs2)c1 ZINC000352810782 1120067333 /nfs/dbraw/zinc/06/73/33/1120067333.db2.gz PIGRNJQQFGTMRK-UHFFFAOYSA-N 1 2 297.383 3.710 20 0 CHADLO O=C(CCc1c[nH+]c[nH]1)Nc1cccc(-c2cccs2)c1 ZINC000352810782 1120067339 /nfs/dbraw/zinc/06/73/39/1120067339.db2.gz PIGRNJQQFGTMRK-UHFFFAOYSA-N 1 2 297.383 3.710 20 0 CHADLO CCCc1nc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2)no1 ZINC000353200644 1120119011 /nfs/dbraw/zinc/11/90/11/1120119011.db2.gz JYBBTRAPFNKVNJ-UKRRQHHQSA-N 1 2 285.391 3.605 20 0 CHADLO CCCc1nc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2)no1 ZINC000353200644 1120119014 /nfs/dbraw/zinc/11/90/14/1120119014.db2.gz JYBBTRAPFNKVNJ-UKRRQHHQSA-N 1 2 285.391 3.605 20 0 CHADLO Cc1ccc(OC(F)F)c([C@@H](C)[NH2+][C@@H](C)c2ccon2)c1 ZINC000542699177 1120121683 /nfs/dbraw/zinc/12/16/83/1120121683.db2.gz FFOPXRQCEYGNKP-MNOVXSKESA-N 1 2 296.317 3.996 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1cnc2ccsc2c1 ZINC000542698119 1120122067 /nfs/dbraw/zinc/12/20/67/1120122067.db2.gz DCEYLYRPTSSEDL-ZJUUUORDSA-N 1 2 273.361 3.696 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1Cl)c1nccn1C ZINC000182993681 1120127584 /nfs/dbraw/zinc/12/75/84/1120127584.db2.gz IUQDUMCFIPAQRZ-NXEZZACHSA-N 1 2 281.762 3.624 20 0 CHADLO COc1c[nH+]c(N[C@H]2CCNc3ccccc32)c2[nH]ccc21 ZINC001168640450 1120127835 /nfs/dbraw/zinc/12/78/35/1120127835.db2.gz ITQKOXZOGXKPDX-AWEZNQCLSA-N 1 2 294.358 3.540 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2cncc(F)c2)c[nH+]1 ZINC000183165330 1120130526 /nfs/dbraw/zinc/13/05/26/1120130526.db2.gz IXRXDWYXKTZPIY-LBPRGKRZSA-N 1 2 288.370 3.635 20 0 CHADLO c1ccc2c(c1)NCC[C@@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC001168644985 1120144643 /nfs/dbraw/zinc/14/46/43/1120144643.db2.gz IGPVLKPLBZURDW-KRWDZBQOSA-N 1 2 294.402 3.651 20 0 CHADLO CCC[C@@H](CC)[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000225649848 1120146969 /nfs/dbraw/zinc/14/69/69/1120146969.db2.gz WTMCFMJGQLIGJI-CQSZACIVSA-N 1 2 262.397 3.514 20 0 CHADLO CCn1nc(C)c([C@H](C)[NH2+][C@@H](C)c2ccc(Cl)s2)n1 ZINC000924607787 1120155079 /nfs/dbraw/zinc/15/50/79/1120155079.db2.gz BHLODOGLRDYWOQ-IUCAKERBSA-N 1 2 298.843 3.733 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1cc(C2CC2)no1 ZINC000659844703 1120169018 /nfs/dbraw/zinc/16/90/18/1120169018.db2.gz DRIJDLABFLGKBR-NSHDSACASA-N 1 2 274.339 3.884 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1cc(C2CC2)no1 ZINC000659844703 1120169021 /nfs/dbraw/zinc/16/90/21/1120169021.db2.gz DRIJDLABFLGKBR-NSHDSACASA-N 1 2 274.339 3.884 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1CCOc2ccccc2C1 ZINC000108721596 1120169978 /nfs/dbraw/zinc/16/99/78/1120169978.db2.gz LNTPRPSETWEEOF-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1CCOc2ccccc2C1 ZINC000108721596 1120169980 /nfs/dbraw/zinc/16/99/80/1120169980.db2.gz LNTPRPSETWEEOF-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCOc2ccccc2C1 ZINC000108721593 1120169995 /nfs/dbraw/zinc/16/99/95/1120169995.db2.gz BRPKSUNXDVOINB-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCOc2ccccc2C1 ZINC000108721593 1120169998 /nfs/dbraw/zinc/16/99/98/1120169998.db2.gz BRPKSUNXDVOINB-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)o1 ZINC000780386951 1120173053 /nfs/dbraw/zinc/17/30/53/1120173053.db2.gz QSKYOHSNOUWFIG-WDEREUQCSA-N 1 2 290.329 3.961 20 0 CHADLO CC(C)c1cnc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)o1 ZINC000780386951 1120173055 /nfs/dbraw/zinc/17/30/55/1120173055.db2.gz QSKYOHSNOUWFIG-WDEREUQCSA-N 1 2 290.329 3.961 20 0 CHADLO O=C(CCc1c[nH]c[nH+]1)Nc1ccccc1-c1cccs1 ZINC000354114379 1120184883 /nfs/dbraw/zinc/18/48/83/1120184883.db2.gz GRRFRZXXWLEPQT-UHFFFAOYSA-N 1 2 297.383 3.710 20 0 CHADLO O=C(CCc1c[nH+]c[nH]1)Nc1ccccc1-c1cccs1 ZINC000354114379 1120184886 /nfs/dbraw/zinc/18/48/86/1120184886.db2.gz GRRFRZXXWLEPQT-UHFFFAOYSA-N 1 2 297.383 3.710 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2cc(Cl)ccn2)CC1 ZINC000092416834 1120190346 /nfs/dbraw/zinc/19/03/46/1120190346.db2.gz URSHIAJXSQZFHB-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO CCc1nc(C)c(C[N@H+](Cc2ccc(C)o2)C2CC2)o1 ZINC000644657276 1120198644 /nfs/dbraw/zinc/19/86/44/1120198644.db2.gz PGKCCYKRHZXEPL-UHFFFAOYSA-N 1 2 274.364 3.611 20 0 CHADLO CCc1nc(C)c(C[N@@H+](Cc2ccc(C)o2)C2CC2)o1 ZINC000644657276 1120198647 /nfs/dbraw/zinc/19/86/47/1120198647.db2.gz PGKCCYKRHZXEPL-UHFFFAOYSA-N 1 2 274.364 3.611 20 0 CHADLO COCC[N@H+](Cc1nc(C)oc1C)[C@@H](C)c1ccccc1 ZINC000659859826 1120202657 /nfs/dbraw/zinc/20/26/57/1120202657.db2.gz OXBYSVWVAACGFI-ZDUSSCGKSA-N 1 2 288.391 3.501 20 0 CHADLO COCC[N@@H+](Cc1nc(C)oc1C)[C@@H](C)c1ccccc1 ZINC000659859826 1120202660 /nfs/dbraw/zinc/20/26/60/1120202660.db2.gz OXBYSVWVAACGFI-ZDUSSCGKSA-N 1 2 288.391 3.501 20 0 CHADLO COc1ccc2ccc(NC3C[C@@H](C)O[C@H](C)C3)[nH+]c2c1 ZINC000893070709 1120213487 /nfs/dbraw/zinc/21/34/87/1120213487.db2.gz IRFUEDSGTQQLBY-VXGBXAGGSA-N 1 2 286.375 3.611 20 0 CHADLO C[C@@H](CCC1CC1)[NH2+]c1ccc(N2CCOC[C@H]2C)cc1 ZINC000186489947 1120214530 /nfs/dbraw/zinc/21/45/30/1120214530.db2.gz COCGAWJRNMXJCN-LSDHHAIUSA-N 1 2 288.435 3.902 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2nccc3c2CCCC3)s1 ZINC000921815023 1120225425 /nfs/dbraw/zinc/22/54/25/1120225425.db2.gz GMZBCKTWNXJQBN-GFCCVEGCSA-N 1 2 287.432 3.576 20 0 CHADLO Cc1nc(N2CC[C@@H](c3ccccc3F)C2)c(C)c(C)[nH+]1 ZINC000338735506 1120228739 /nfs/dbraw/zinc/22/87/39/1120228739.db2.gz ZJJWNKZMFHGRHS-CQSZACIVSA-N 1 2 285.366 3.535 20 0 CHADLO Cc1nc2ccccc2nc1C[N@H+](C)Cc1ccccc1F ZINC000061780124 1120230621 /nfs/dbraw/zinc/23/06/21/1120230621.db2.gz BKENKRRWOKAXIL-UHFFFAOYSA-N 1 2 295.361 3.709 20 0 CHADLO Cc1nc2ccccc2nc1C[N@@H+](C)Cc1ccccc1F ZINC000061780124 1120230624 /nfs/dbraw/zinc/23/06/24/1120230624.db2.gz BKENKRRWOKAXIL-UHFFFAOYSA-N 1 2 295.361 3.709 20 0 CHADLO CC(C)(c1noc([C@H]2CC[N@@H+]2C2CCCC2)n1)C1CCC1 ZINC000924091625 1120232458 /nfs/dbraw/zinc/23/24/58/1120232458.db2.gz YWYAZYUAWWINIT-CQSZACIVSA-N 1 2 289.423 3.837 20 0 CHADLO CC(C)(c1noc([C@H]2CC[N@H+]2C2CCCC2)n1)C1CCC1 ZINC000924091625 1120232460 /nfs/dbraw/zinc/23/24/60/1120232460.db2.gz YWYAZYUAWWINIT-CQSZACIVSA-N 1 2 289.423 3.837 20 0 CHADLO C[C@@H](CNc1cc2cc[nH]c2c[nH+]1)c1ccccc1 ZINC000672142567 1120233085 /nfs/dbraw/zinc/23/30/85/1120233085.db2.gz FKOYWNKTVOKVHY-LBPRGKRZSA-N 1 2 251.333 3.779 20 0 CHADLO FC(F)n1ccnc1C[NH2+]C1(c2cccc(Cl)c2)CC1 ZINC000172686060 1120233647 /nfs/dbraw/zinc/23/36/47/1120233647.db2.gz DBVIGWDPDLHZBJ-UHFFFAOYSA-N 1 2 297.736 3.711 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1ccc(Cl)cc1F ZINC000179490571 1120248747 /nfs/dbraw/zinc/24/87/47/1120248747.db2.gz SYRMXQGNSMKMRN-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(CNc2cc3cc[nH]c3c[nH+]2)o1 ZINC000672168193 1120248642 /nfs/dbraw/zinc/24/86/42/1120248642.db2.gz AJXSJMBKSUCCFK-GWCFXTLKSA-N 1 2 267.332 3.891 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H](C)c1nc(C)sc1C ZINC000310205369 1120252963 /nfs/dbraw/zinc/25/29/63/1120252963.db2.gz CTWUDAXFEHZWBM-VIFPVBQESA-N 1 2 250.367 3.512 20 0 CHADLO CC[C@H]1C[C@H](CNc2c[nH+]c3c(c2)CCCC3)CCO1 ZINC000542924739 1120254060 /nfs/dbraw/zinc/25/40/60/1120254060.db2.gz NHWOJIJCAJFGHP-CJNGLKHVSA-N 1 2 274.408 3.578 20 0 CHADLO c1cc2cc(NCc3coc4ccccc34)[nH+]cc2[nH]1 ZINC000672216832 1120256297 /nfs/dbraw/zinc/25/62/97/1120256297.db2.gz BEECGHGRQUHOQA-UHFFFAOYSA-N 1 2 263.300 3.921 20 0 CHADLO Cc1cc(NCc2cnn(C3CCC3)c2)ccc1[NH+](C)C ZINC000921875026 1120261155 /nfs/dbraw/zinc/26/11/55/1120261155.db2.gz CQEGZRHVJSZDMC-UHFFFAOYSA-N 1 2 284.407 3.595 20 0 CHADLO CCc1cnc(C[N@@H+]2CCc3sccc3[C@@H]2CC)o1 ZINC000075637973 1120263210 /nfs/dbraw/zinc/26/32/10/1120263210.db2.gz PQPATHTYHISGQU-ZDUSSCGKSA-N 1 2 276.405 3.808 20 0 CHADLO CCc1cnc(C[N@H+]2CCc3sccc3[C@@H]2CC)o1 ZINC000075637973 1120263215 /nfs/dbraw/zinc/26/32/15/1120263215.db2.gz PQPATHTYHISGQU-ZDUSSCGKSA-N 1 2 276.405 3.808 20 0 CHADLO Cc1cccc(C)c1CNc1cc2cc[nH]c2c[nH+]1 ZINC000672239096 1120263414 /nfs/dbraw/zinc/26/34/14/1120263414.db2.gz KXPPDSSWTHYGAS-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO CCN(C[C@H]1CCOC1)c1cc[nH+]c2c(Cl)cccc12 ZINC000672240771 1120264736 /nfs/dbraw/zinc/26/47/36/1120264736.db2.gz NNEJTANRKNRDBU-GFCCVEGCSA-N 1 2 290.794 3.751 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@H]2c2ccccc2OC)o1 ZINC000075712290 1120265257 /nfs/dbraw/zinc/26/52/57/1120265257.db2.gz WHXCQKAABONKON-HNNXBMFYSA-N 1 2 286.375 3.583 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@H]2c2ccccc2OC)o1 ZINC000075712290 1120265261 /nfs/dbraw/zinc/26/52/61/1120265261.db2.gz WHXCQKAABONKON-HNNXBMFYSA-N 1 2 286.375 3.583 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)[C@@H](C)[C@H](C)O1 ZINC000672261180 1120268295 /nfs/dbraw/zinc/26/82/95/1120268295.db2.gz JTYZAJSKWHSADV-WOPDTQHZSA-N 1 2 290.794 3.890 20 0 CHADLO CCc1noc(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccc(C)o2)n1 ZINC000076120709 1120276752 /nfs/dbraw/zinc/27/67/52/1120276752.db2.gz AXGULKPKKMFOFQ-DGCLKSJQSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1noc(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccc(C)o2)n1 ZINC000076120709 1120276755 /nfs/dbraw/zinc/27/67/55/1120276755.db2.gz AXGULKPKKMFOFQ-DGCLKSJQSA-N 1 2 289.379 3.507 20 0 CHADLO Oc1ccc([C@H]2CCC[N@@H+]2C/C(Cl)=C\Cl)cc1 ZINC000763499911 1128904174 /nfs/dbraw/zinc/90/41/74/1128904174.db2.gz JGELWRKLLORGHZ-RUNBWSAHSA-N 1 2 272.175 3.848 20 0 CHADLO Oc1ccc([C@H]2CCC[N@H+]2C/C(Cl)=C\Cl)cc1 ZINC000763499911 1128904177 /nfs/dbraw/zinc/90/41/77/1128904177.db2.gz JGELWRKLLORGHZ-RUNBWSAHSA-N 1 2 272.175 3.848 20 0 CHADLO CCc1sc(C(=O)Nc2ccc3[nH+]c(C)cn3c2)cc1C ZINC000339117198 1120289870 /nfs/dbraw/zinc/28/98/70/1120289870.db2.gz DGYJRYIYKQRVLQ-UHFFFAOYSA-N 1 2 299.399 3.827 20 0 CHADLO Cc1cn2cc(NC(=O)c3ccc(C)cc3C)ccc2[nH+]1 ZINC000339120300 1120290708 /nfs/dbraw/zinc/29/07/08/1120290708.db2.gz NKAWUYKOSCVIAG-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO Cc1cc(Br)cc(CNc2cccc[nH+]2)c1 ZINC000309901326 1120295347 /nfs/dbraw/zinc/29/53/47/1120295347.db2.gz HUQPPSCCTQOVSH-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO Oc1ccc2c(c1)[C@H]([NH2+][C@H]1CCCC1(F)F)CCC2 ZINC000398082745 1120297036 /nfs/dbraw/zinc/29/70/36/1120297036.db2.gz SJXQNXDDXBBWJS-KGLIPLIRSA-N 1 2 267.319 3.547 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1cccnc1Cl ZINC000780387856 1120324376 /nfs/dbraw/zinc/32/43/76/1120324376.db2.gz WWHARPYAPSCDCQ-GXSJLCMTSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1cccnc1Cl ZINC000780387856 1120324380 /nfs/dbraw/zinc/32/43/80/1120324380.db2.gz WWHARPYAPSCDCQ-GXSJLCMTSA-N 1 2 292.732 3.898 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1cccc(OC)c1Cl ZINC000660000235 1120334173 /nfs/dbraw/zinc/33/41/73/1120334173.db2.gz QVCZWOBCCLNASX-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1cccc(OC)c1Cl ZINC000660000235 1120334178 /nfs/dbraw/zinc/33/41/78/1120334178.db2.gz QVCZWOBCCLNASX-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCC[C@H]([NH2+][C@H]1CCCC1(F)F)c1cccnc1 ZINC000672622512 1120335029 /nfs/dbraw/zinc/33/50/29/1120335029.db2.gz ZWLVGGOJULLKKC-STQMWFEESA-N 1 2 254.324 3.700 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc2c(c1)OCCO2 ZINC000672620693 1120335065 /nfs/dbraw/zinc/33/50/65/1120335065.db2.gz PRPUZERRKBFCNY-IUODEOHRSA-N 1 2 297.345 3.686 20 0 CHADLO Cc1ccc2c(c1)OCCC[C@@H]2[NH2+][C@H]1CCCC1(F)F ZINC000672622186 1120335858 /nfs/dbraw/zinc/33/58/58/1120335858.db2.gz BLFNMUWEIHLQLT-ZFWWWQNUSA-N 1 2 281.346 3.986 20 0 CHADLO CCOc1ccc(CNc2ccc([NH2+]C)cc2)cc1OC ZINC000629389396 1128910075 /nfs/dbraw/zinc/91/00/75/1128910075.db2.gz LXGDOVFKMFCCIE-UHFFFAOYSA-N 1 2 286.375 3.748 20 0 CHADLO C[NH2+]c1ccc(NCc2c(C3CC3)nc3sccn23)cc1 ZINC000629388850 1128910390 /nfs/dbraw/zinc/91/03/90/1128910390.db2.gz RSSOJORZDOXPTA-UHFFFAOYSA-N 1 2 298.415 3.927 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@H+]1Cc1cc(C2CC2)no1 ZINC000660058119 1120356145 /nfs/dbraw/zinc/35/61/45/1120356145.db2.gz WNHJYCBPWCLVGM-GFCCVEGCSA-N 1 2 284.359 3.508 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@@H+]1Cc1cc(C2CC2)no1 ZINC000660058119 1120356151 /nfs/dbraw/zinc/35/61/51/1120356151.db2.gz WNHJYCBPWCLVGM-GFCCVEGCSA-N 1 2 284.359 3.508 20 0 CHADLO C[C@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)c1ccsc1 ZINC000078077793 1120364552 /nfs/dbraw/zinc/36/45/52/1120364552.db2.gz BZABMMQYSRXDBK-LBPRGKRZSA-N 1 2 297.383 3.676 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1nnc(C(C)C)o1 ZINC000114492997 1120385308 /nfs/dbraw/zinc/38/53/08/1120385308.db2.gz XGIHYMQCFODBMO-OAHLLOKOSA-N 1 2 285.391 3.702 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1nnc(C(C)C)o1 ZINC000114492997 1120385314 /nfs/dbraw/zinc/38/53/14/1120385314.db2.gz XGIHYMQCFODBMO-OAHLLOKOSA-N 1 2 285.391 3.702 20 0 CHADLO CCc1cc(OCc2cnc(C)o2)c2ccccc2[nH+]1 ZINC000641718177 1120398928 /nfs/dbraw/zinc/39/89/28/1120398928.db2.gz KPQIKGANABOLBV-UHFFFAOYSA-N 1 2 268.316 3.673 20 0 CHADLO CCc1nnc([C@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)[nH]1 ZINC000660165369 1120408238 /nfs/dbraw/zinc/40/82/38/1120408238.db2.gz CRGGMNFULXXHFB-AWEZNQCLSA-N 1 2 296.418 3.526 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)[nH]1 ZINC000660165369 1120408241 /nfs/dbraw/zinc/40/82/41/1120408241.db2.gz CRGGMNFULXXHFB-AWEZNQCLSA-N 1 2 296.418 3.526 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]Cc1cc(C2CC2)no1 ZINC000660185614 1120423749 /nfs/dbraw/zinc/42/37/49/1120423749.db2.gz UBLVUHGNARPYDY-SNVBAGLBSA-N 1 2 290.338 3.551 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCSC(C)C ZINC000380721294 1120431600 /nfs/dbraw/zinc/43/16/00/1120431600.db2.gz GBXOUHCAAPAULD-UHFFFAOYSA-N 1 2 260.406 3.519 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccc(F)c(F)c2F)n1 ZINC000657345089 1120437893 /nfs/dbraw/zinc/43/78/93/1120437893.db2.gz JXGYVHBZPCPNNX-MRVPVSSYSA-N 1 2 286.322 3.720 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)C[N@@H+]1Cc1nnsc1Cl ZINC000115555387 1120440678 /nfs/dbraw/zinc/44/06/78/1120440678.db2.gz LTLQEBNMUJIVEX-PWSUYJOCSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)C[N@H+]1Cc1nnsc1Cl ZINC000115555387 1120440679 /nfs/dbraw/zinc/44/06/79/1120440679.db2.gz LTLQEBNMUJIVEX-PWSUYJOCSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)cc2cccnc21)C(F)F ZINC000641793158 1120450459 /nfs/dbraw/zinc/45/04/59/1120450459.db2.gz FQYLCHZCVNHIID-MRVPVSSYSA-N 1 2 270.710 3.631 20 0 CHADLO CCCCCOc1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000499684127 1120460944 /nfs/dbraw/zinc/46/09/44/1120460944.db2.gz XTBRVVYDHGKDMC-UHFFFAOYSA-N 1 2 298.386 3.633 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnc2ccccc2n1)c1nc(C)cs1 ZINC000660252285 1120465830 /nfs/dbraw/zinc/46/58/30/1120465830.db2.gz GNNOOYSGUFBXJB-CYBMUJFWSA-N 1 2 298.415 3.636 20 0 CHADLO CC(C)Oc1cc(N[C@@H](C)CCCC(C)(C)O)cc[nH+]1 ZINC001168711664 1120466569 /nfs/dbraw/zinc/46/65/69/1120466569.db2.gz LJRDKJKCEANVCD-ZDUSSCGKSA-N 1 2 280.412 3.610 20 0 CHADLO CCCc1noc(C[N@@H+]2CCCC[C@@H]2c2ccccc2)n1 ZINC000358023135 1120467367 /nfs/dbraw/zinc/46/73/67/1120467367.db2.gz PTLMDAZTWXFHCV-OAHLLOKOSA-N 1 2 285.391 3.749 20 0 CHADLO CCCc1noc(C[N@H+]2CCCC[C@@H]2c2ccccc2)n1 ZINC000358023135 1120467370 /nfs/dbraw/zinc/46/73/70/1120467370.db2.gz PTLMDAZTWXFHCV-OAHLLOKOSA-N 1 2 285.391 3.749 20 0 CHADLO CCn1c[nH+]cc1CN(C)Cc1cccc(Cl)c1Cl ZINC000417749083 1120479594 /nfs/dbraw/zinc/47/95/94/1120479594.db2.gz UIGPPJBSHXSFDM-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CSc1c(F)cccc1NCc1c[nH+]cn1C(C)C ZINC000657555917 1120495165 /nfs/dbraw/zinc/49/51/65/1120495165.db2.gz GTJPDASCXYVCDL-UHFFFAOYSA-N 1 2 279.384 3.937 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cc2sccc2nc1Cl ZINC001236869481 1128920566 /nfs/dbraw/zinc/92/05/66/1128920566.db2.gz LPOUJMFYAPPMIW-QMMMGPOBSA-N 1 2 252.770 3.544 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cc2sccc2nc1Cl ZINC001236869481 1128920568 /nfs/dbraw/zinc/92/05/68/1128920568.db2.gz LPOUJMFYAPPMIW-QMMMGPOBSA-N 1 2 252.770 3.544 20 0 CHADLO CCn1cncc1C[N@@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000418067192 1120513646 /nfs/dbraw/zinc/51/36/46/1120513646.db2.gz OOSRFLKKUXNTFK-AWEZNQCLSA-N 1 2 275.421 3.548 20 0 CHADLO CCn1cncc1C[N@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000418067192 1120513648 /nfs/dbraw/zinc/51/36/48/1120513648.db2.gz OOSRFLKKUXNTFK-AWEZNQCLSA-N 1 2 275.421 3.548 20 0 CHADLO COc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1Cl ZINC000397970598 1120538546 /nfs/dbraw/zinc/53/85/46/1120538546.db2.gz ISYFEHYOCPVYBG-LBPRGKRZSA-N 1 2 275.726 3.626 20 0 CHADLO CC(C)C[C@H](C(=O)OCc1ccc[nH+]c1N)c1ccccc1 ZINC000922480663 1120542019 /nfs/dbraw/zinc/54/20/19/1120542019.db2.gz YTEUGHRXQDMEFM-INIZCTEOSA-N 1 2 298.386 3.537 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)cs1 ZINC000922521747 1120546636 /nfs/dbraw/zinc/54/66/36/1120546636.db2.gz MXAKKFHMRZBCPI-DZGCQCFKSA-N 1 2 277.364 3.618 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)CC(=O)OC(C)(C)C ZINC000779419642 1128927248 /nfs/dbraw/zinc/92/72/48/1128927248.db2.gz YOTQUUBAIPRVIY-CYBMUJFWSA-N 1 2 292.423 3.593 20 0 CHADLO Fc1ccc2c(c1)CC[C@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000398035109 1120557454 /nfs/dbraw/zinc/55/74/54/1120557454.db2.gz MXSKJWVMIXBOQA-CHWSQXEVSA-N 1 2 255.283 3.590 20 0 CHADLO Cc1ncccc1C[NH2+][C@@H](C)c1csc(C(C)C)n1 ZINC000124320667 1120563383 /nfs/dbraw/zinc/56/33/83/1120563383.db2.gz LRUZDFWCUDYMMB-LBPRGKRZSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1nc2cc(CNc3c[nH+]c(C)c(C)c3)ccc2o1 ZINC001167757182 1120563664 /nfs/dbraw/zinc/56/36/64/1120563664.db2.gz QXAPOPUCRWXHJH-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCC[C@@H](C3CC3)C2)c1 ZINC000652069066 1120577305 /nfs/dbraw/zinc/57/73/05/1120577305.db2.gz YKDOYJFTNVPPNX-HUUCEWRRSA-N 1 2 260.381 3.609 20 0 CHADLO CC[C@H](Cc1ccccc1)Nc1cc(COC)cc[nH+]1 ZINC000652067656 1120577393 /nfs/dbraw/zinc/57/73/93/1120577393.db2.gz BZIWQNWKESBPGJ-MRXNPFEDSA-N 1 2 270.376 3.661 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)CCc2ccsc2)c1 ZINC000652067780 1120577797 /nfs/dbraw/zinc/57/77/97/1120577797.db2.gz HCGQYXRRLZAHIM-LBPRGKRZSA-N 1 2 276.405 3.723 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)s1 ZINC000347728233 1120580487 /nfs/dbraw/zinc/58/04/87/1120580487.db2.gz UYYLSNGFOTZVLG-LBPRGKRZSA-N 1 2 297.374 3.985 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)s1 ZINC000347728233 1120580489 /nfs/dbraw/zinc/58/04/89/1120580489.db2.gz UYYLSNGFOTZVLG-LBPRGKRZSA-N 1 2 297.374 3.985 20 0 CHADLO Cn1cnc(C[N@@H+]2CCCC[C@@H]2c2cccc(Cl)c2)c1 ZINC000660423116 1120595638 /nfs/dbraw/zinc/59/56/38/1120595638.db2.gz ITTSUJIMVITJLO-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cnc(C[N@H+]2CCCC[C@@H]2c2cccc(Cl)c2)c1 ZINC000660423116 1120595640 /nfs/dbraw/zinc/59/56/40/1120595640.db2.gz ITTSUJIMVITJLO-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Cc1cccc(-c2n[nH]cc2C[NH2+][C@H]2CCCC2(F)F)c1 ZINC000673618292 1120600579 /nfs/dbraw/zinc/60/05/79/1120600579.db2.gz ZVSVTXCTNNQWDC-AWEZNQCLSA-N 1 2 291.345 3.663 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CCCC2(F)F)cc2cccnc12 ZINC000673618642 1120607504 /nfs/dbraw/zinc/60/75/04/1120607504.db2.gz WQDGOBURSGMEPQ-CQSZACIVSA-N 1 2 276.330 3.821 20 0 CHADLO C[C@@H](CCO)[NH2+]c1ccc(N(C)Cc2ccccc2)cc1 ZINC000779423214 1128928395 /nfs/dbraw/zinc/92/83/95/1128928395.db2.gz ONJDIOFUHOHCSR-HNNXBMFYSA-N 1 2 284.403 3.506 20 0 CHADLO Cc1cc(N[C@H]2CCc3c2cccc3F)nc(C(C)C)[nH+]1 ZINC000511697405 1120626253 /nfs/dbraw/zinc/62/62/53/1120626253.db2.gz JNOZTMKUOBOLJG-HNNXBMFYSA-N 1 2 285.366 3.569 20 0 CHADLO CCC[C@H](C)CC(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000109716850 1120628597 /nfs/dbraw/zinc/62/85/97/1120628597.db2.gz ZKAWJIHGWRUCFB-LBPRGKRZSA-N 1 2 289.354 3.776 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2c(c1)CCCC2=O ZINC001213102074 1120640147 /nfs/dbraw/zinc/64/01/47/1120640147.db2.gz IHOXBGUMJDZZON-UHFFFAOYSA-N 1 2 282.343 3.743 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+](Cc2noc(C3CCC3)n2)CC1 ZINC000625171062 1120642201 /nfs/dbraw/zinc/64/22/01/1120642201.db2.gz YEBDWYZCQBRAKN-CYBMUJFWSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+](Cc2noc(C3CCC3)n2)CC1 ZINC000625171062 1120642203 /nfs/dbraw/zinc/64/22/03/1120642203.db2.gz YEBDWYZCQBRAKN-CYBMUJFWSA-N 1 2 277.412 3.595 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)c(C)[nH+]1 ZINC000636581557 1120645823 /nfs/dbraw/zinc/64/58/23/1120645823.db2.gz FESIVHVOXZDFFM-IXDOHACOSA-N 1 2 292.382 3.531 20 0 CHADLO Cc1nn(-c2cccc(C)c2)cc1C[N@H+](C)Cc1ccco1 ZINC000651608299 1120679529 /nfs/dbraw/zinc/67/95/29/1120679529.db2.gz UKBJXIXGMUAIMH-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1nn(-c2cccc(C)c2)cc1C[N@@H+](C)Cc1ccco1 ZINC000651608299 1120679530 /nfs/dbraw/zinc/67/95/30/1120679530.db2.gz UKBJXIXGMUAIMH-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)N1CCc2[nH+]c[nH]c2C1 ZINC000625435431 1120681436 /nfs/dbraw/zinc/68/14/36/1120681436.db2.gz PGBYXZRCAQCLOD-VIFPVBQESA-N 1 2 296.201 3.836 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2ccccc2OC(F)F)no1 ZINC000282454861 1120683742 /nfs/dbraw/zinc/68/37/42/1120683742.db2.gz FPJQIZRPBJWZRB-MNOVXSKESA-N 1 2 296.317 3.996 20 0 CHADLO O=C(C/C=C\c1ccc(F)cc1)Nc1ccc2[nH+]ccn2c1 ZINC000673838388 1120683950 /nfs/dbraw/zinc/68/39/50/1120683950.db2.gz LZUZZQRTQRVALO-UPHRSURJSA-N 1 2 295.317 3.515 20 0 CHADLO CC(C)C[C@@H](C)C[N@H+](C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660767033 1120686052 /nfs/dbraw/zinc/68/60/52/1120686052.db2.gz JHJUDKDUMZSSCM-ZBFHGGJFSA-N 1 2 286.423 3.508 20 0 CHADLO CC(C)C[C@@H](C)C[N@@H+](C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660767033 1120686055 /nfs/dbraw/zinc/68/60/55/1120686055.db2.gz JHJUDKDUMZSSCM-ZBFHGGJFSA-N 1 2 286.423 3.508 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2cc(C3CC3)no2)CCC1 ZINC000660899843 1120697880 /nfs/dbraw/zinc/69/78/80/1120697880.db2.gz NJLGNMBVRRYMGY-UHFFFAOYSA-N 1 2 286.350 3.860 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)ccc1F ZINC000334521092 1120709113 /nfs/dbraw/zinc/70/91/13/1120709113.db2.gz IDKZVPTXUZWINB-IAQYHMDHSA-N 1 2 273.355 3.585 20 0 CHADLO C[C@H]([NH2+]Cc1cccc2c1CCC2)c1nc(C(C)(C)C)no1 ZINC000651784501 1120744583 /nfs/dbraw/zinc/74/45/83/1120744583.db2.gz SHVMPBBTFCNUAA-LBPRGKRZSA-N 1 2 299.418 3.707 20 0 CHADLO Fc1c[nH+]ccc1NC1c2ccccc2-c2ccccc21 ZINC001167777083 1120749722 /nfs/dbraw/zinc/74/97/22/1120749722.db2.gz VUPJKYDRRMJNFJ-UHFFFAOYSA-N 1 2 276.314 3.825 20 0 CHADLO Cc1nc(C[N@H+]2CCc3ccc(C(C)C)cc3C2)co1 ZINC000661374927 1120757117 /nfs/dbraw/zinc/75/71/17/1120757117.db2.gz GLWHGIDGROGEEG-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3ccc(C(C)C)cc3C2)co1 ZINC000661374927 1120757122 /nfs/dbraw/zinc/75/71/22/1120757122.db2.gz GLWHGIDGROGEEG-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO COc1cc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)ccn1 ZINC000661435407 1120766487 /nfs/dbraw/zinc/76/64/87/1120766487.db2.gz GPUNDSYTTMHCCP-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO COc1cc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)ccn1 ZINC000661435407 1120766490 /nfs/dbraw/zinc/76/64/90/1120766490.db2.gz GPUNDSYTTMHCCP-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](c2ccccc2F)C(C)C)[nH]1 ZINC000661482801 1120769902 /nfs/dbraw/zinc/76/99/02/1120769902.db2.gz DMAOMQCDQHBTNV-HNNXBMFYSA-N 1 2 290.386 3.554 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](c2ccccc2F)C(C)C)[nH]1 ZINC000661485023 1120769952 /nfs/dbraw/zinc/76/99/52/1120769952.db2.gz NYMYUNVILMFBDJ-NHYWBVRUSA-N 1 2 290.386 3.554 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC[C@H]2c2ccc(F)cc2)o1 ZINC000661515082 1120772556 /nfs/dbraw/zinc/77/25/56/1120772556.db2.gz VNPAMMGOHIJUME-AWEZNQCLSA-N 1 2 274.339 3.632 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC[C@H]2c2ccc(F)cc2)o1 ZINC000661515082 1120772558 /nfs/dbraw/zinc/77/25/58/1120772558.db2.gz VNPAMMGOHIJUME-AWEZNQCLSA-N 1 2 274.339 3.632 20 0 CHADLO CCOc1ccc(C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)nc1 ZINC000661509978 1120773705 /nfs/dbraw/zinc/77/37/05/1120773705.db2.gz FOCHWEVZWDPIEP-QGZVFWFLSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1ccc(C[N@H+]2CC[C@@H]2c2ccc(F)cc2)nc1 ZINC000661509978 1120773709 /nfs/dbraw/zinc/77/37/09/1120773709.db2.gz FOCHWEVZWDPIEP-QGZVFWFLSA-N 1 2 286.350 3.566 20 0 CHADLO Fc1ccc2c[nH+]c(NCc3ccc4c(c3)CCO4)cc2c1 ZINC001167780193 1120776121 /nfs/dbraw/zinc/77/61/21/1120776121.db2.gz HHMNLVQUZWXCKL-UHFFFAOYSA-N 1 2 294.329 3.921 20 0 CHADLO Fc1ccc(C[NH2+]Cc2ccon2)cc1-c1cccs1 ZINC000093305850 1120776524 /nfs/dbraw/zinc/77/65/24/1120776524.db2.gz OMIHSSOAJVZYPP-UHFFFAOYSA-N 1 2 288.347 3.832 20 0 CHADLO CC[C@H](C)CCc1nc(-c2ccccc2-n2cc[nH+]c2)no1 ZINC001211671761 1120799974 /nfs/dbraw/zinc/79/99/74/1120799974.db2.gz GCYOBXSTQSCEEA-ZDUSSCGKSA-N 1 2 296.374 3.901 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]Cc1cccc2ccsc21 ZINC000652219646 1120801755 /nfs/dbraw/zinc/80/17/55/1120801755.db2.gz HDWPHTXEZTXCOO-SNVBAGLBSA-N 1 2 271.389 3.784 20 0 CHADLO CC[C@H](OCC[NH2+][C@H](C)c1cn[nH]c1C)c1ccccc1 ZINC000652236482 1120802313 /nfs/dbraw/zinc/80/23/13/1120802313.db2.gz OXKJPXJXZNPIDY-DYVFJYSZSA-N 1 2 287.407 3.537 20 0 CHADLO Cc1ccc(-c2noc(C[NH2+][C@H](C)c3ccccc3)n2)cc1 ZINC000102676764 1120824296 /nfs/dbraw/zinc/82/42/96/1120824296.db2.gz VDAJOMIPPDOLMQ-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCc3c2c(F)ccc3F)c1 ZINC000652330381 1120828003 /nfs/dbraw/zinc/82/80/03/1120828003.db2.gz DXNKGCXEMVGCKY-CQSZACIVSA-N 1 2 290.313 3.606 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCc3ccc(C)cc32)c1 ZINC000652330865 1120828030 /nfs/dbraw/zinc/82/80/30/1120828030.db2.gz RAQRFALNQGKWAJ-INIZCTEOSA-N 1 2 268.360 3.636 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(F)cc2F)c1 ZINC000652330833 1120828955 /nfs/dbraw/zinc/82/89/55/1120828955.db2.gz QMUBTDGIIAIWDB-SNVBAGLBSA-N 1 2 278.302 3.679 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cccc(F)c2F)c1 ZINC000652330756 1120828976 /nfs/dbraw/zinc/82/89/76/1120828976.db2.gz ONOVUSYPTAVMTI-SNVBAGLBSA-N 1 2 278.302 3.679 20 0 CHADLO CC(C)c1[nH+]cc(-c2cc(F)c(F)c(F)c2)n1C ZINC000630051515 1128945273 /nfs/dbraw/zinc/94/52/73/1128945273.db2.gz OMZWEDUHWMMNKQ-UHFFFAOYSA-N 1 2 254.255 3.628 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc(-c3cnoc3)cc2)n1C ZINC000630053595 1128946305 /nfs/dbraw/zinc/94/63/05/1128946305.db2.gz RMLYMVZYLLIPMM-UHFFFAOYSA-N 1 2 267.332 3.866 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000652565425 1120885524 /nfs/dbraw/zinc/88/55/24/1120885524.db2.gz SOCJVFZQFXWJKL-CYBMUJFWSA-N 1 2 286.379 3.591 20 0 CHADLO CCCCC[C@H]1CCCC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000662157986 1120888412 /nfs/dbraw/zinc/88/84/12/1120888412.db2.gz YVFNZHLXEXWWBI-AWEZNQCLSA-N 1 2 277.412 3.882 20 0 CHADLO CCCCC[C@H]1CCCC[N@H+]1Cc1noc(C2CC2)n1 ZINC000662157986 1120888417 /nfs/dbraw/zinc/88/84/17/1120888417.db2.gz YVFNZHLXEXWWBI-AWEZNQCLSA-N 1 2 277.412 3.882 20 0 CHADLO Cc1cc(C)c(-c2csc(Cn3cc[nH+]c3)n2)c(C)c1 ZINC000663220014 1120892696 /nfs/dbraw/zinc/89/26/96/1120892696.db2.gz MHXCQIJYCFKAJK-UHFFFAOYSA-N 1 2 283.400 3.980 20 0 CHADLO C[N@@H+](Cc1noc(C(C)(C)C)n1)C[C@@H]1CCc2ccccc21 ZINC000662200246 1120897556 /nfs/dbraw/zinc/89/75/56/1120897556.db2.gz ZNPGAOJAHNVVOT-AWEZNQCLSA-N 1 2 299.418 3.529 20 0 CHADLO C[N@H+](Cc1noc(C(C)(C)C)n1)C[C@@H]1CCc2ccccc21 ZINC000662200246 1120897558 /nfs/dbraw/zinc/89/75/58/1120897558.db2.gz ZNPGAOJAHNVVOT-AWEZNQCLSA-N 1 2 299.418 3.529 20 0 CHADLO Cc1cc(N2CC[C@@H](C(C)(C)C)C2)nc(C2CC2)[nH+]1 ZINC000343893301 1120903334 /nfs/dbraw/zinc/90/33/34/1120903334.db2.gz UERXNVROPLSVBP-CYBMUJFWSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1cccc([C@@H](C)[N@@H+]2CCO[C@@H](CC(F)(F)F)C2)c1 ZINC000662230576 1120906326 /nfs/dbraw/zinc/90/63/26/1120906326.db2.gz BPWIUWDSFLGFSK-OCCSQVGLSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1cccc([C@@H](C)[N@H+]2CCO[C@@H](CC(F)(F)F)C2)c1 ZINC000662230576 1120906328 /nfs/dbraw/zinc/90/63/28/1120906328.db2.gz BPWIUWDSFLGFSK-OCCSQVGLSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)O[C@H](CC(F)(F)F)C2)cs1 ZINC000662241969 1120909884 /nfs/dbraw/zinc/90/98/84/1120909884.db2.gz ZTMDBDODOOAOQF-BXKDBHETSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)O[C@H](CC(F)(F)F)C2)cs1 ZINC000662241969 1120909890 /nfs/dbraw/zinc/90/98/90/1120909890.db2.gz ZTMDBDODOOAOQF-BXKDBHETSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](C)O[C@@H](CC(F)(F)F)C2)cs1 ZINC000662241970 1120910046 /nfs/dbraw/zinc/91/00/46/1120910046.db2.gz ZTMDBDODOOAOQF-CABZTGNLSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](C)O[C@@H](CC(F)(F)F)C2)cs1 ZINC000662241970 1120910051 /nfs/dbraw/zinc/91/00/51/1120910051.db2.gz ZTMDBDODOOAOQF-CABZTGNLSA-N 1 2 293.354 3.598 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+](C)CC1=CCSC1 ZINC000662268923 1120914973 /nfs/dbraw/zinc/91/49/73/1120914973.db2.gz QAIFDUFPFLUWKD-NSHDSACASA-N 1 2 280.437 3.638 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+](C)CC1=CCSC1 ZINC000662268923 1120914976 /nfs/dbraw/zinc/91/49/76/1120914976.db2.gz QAIFDUFPFLUWKD-NSHDSACASA-N 1 2 280.437 3.638 20 0 CHADLO Cc1cc(Cl)ncc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000186058065 1120915462 /nfs/dbraw/zinc/91/54/62/1120915462.db2.gz PUPFUCDJRZZJJN-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1cc(F)ccc1F ZINC000161810974 1120927418 /nfs/dbraw/zinc/92/74/18/1120927418.db2.gz XQGFJSPXEABJKP-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662365110 1120930555 /nfs/dbraw/zinc/93/05/55/1120930555.db2.gz QAMZAQWHIVLGSV-ZETOZRRWSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662365110 1120930560 /nfs/dbraw/zinc/93/05/60/1120930560.db2.gz QAMZAQWHIVLGSV-ZETOZRRWSA-N 1 2 287.325 3.631 20 0 CHADLO CC(C)[C@@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC000078608907 1128950683 /nfs/dbraw/zinc/95/06/83/1128950683.db2.gz WZMGSHCXHZTEHW-WMZOPIPTSA-N 1 2 288.435 3.902 20 0 CHADLO CC(C)[C@@H]1C[C@@H]([NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC000078608907 1128950685 /nfs/dbraw/zinc/95/06/85/1128950685.db2.gz WZMGSHCXHZTEHW-WMZOPIPTSA-N 1 2 288.435 3.902 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)no1 ZINC000302736833 1120983674 /nfs/dbraw/zinc/98/36/74/1120983674.db2.gz FFIQCYLMGMJFEL-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(C(C)(C)C)cc2)no1 ZINC000302736833 1120983678 /nfs/dbraw/zinc/98/36/78/1120983678.db2.gz FFIQCYLMGMJFEL-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2cccc(Cl)c2)s1 ZINC000273722456 1120988123 /nfs/dbraw/zinc/98/81/23/1120988123.db2.gz RXVNBFZMHQIWHO-IUCAKERBSA-N 1 2 281.812 3.912 20 0 CHADLO CCN(C)c1ccc(Nc2cn(C)c3ccccc23)c[nH+]1 ZINC001203421235 1120991461 /nfs/dbraw/zinc/99/14/61/1120991461.db2.gz PGZVFEFRHWHGGB-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC(C)Oc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC001174763156 1121024126 /nfs/dbraw/zinc/02/41/26/1121024126.db2.gz YEWGPRHODHWNME-UHFFFAOYSA-N 1 2 298.390 3.608 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)cc1F ZINC000674008913 1121037787 /nfs/dbraw/zinc/03/77/87/1121037787.db2.gz CSKILFJVMPQLLE-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@H]2c2cccc(F)c2)cc1F ZINC000674008913 1121037795 /nfs/dbraw/zinc/03/77/95/1121037795.db2.gz CSKILFJVMPQLLE-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1O ZINC001211699469 1121038573 /nfs/dbraw/zinc/03/85/73/1121038573.db2.gz ABBGCQQPBMWDBT-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1cccc(Cl)c1 ZINC000059038012 1121050694 /nfs/dbraw/zinc/05/06/94/1121050694.db2.gz IERUOHCGENXDDR-UHFFFAOYSA-N 1 2 283.799 3.504 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1cccc(Cl)c1 ZINC000059038012 1121050701 /nfs/dbraw/zinc/05/07/01/1121050701.db2.gz IERUOHCGENXDDR-UHFFFAOYSA-N 1 2 283.799 3.504 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2)oc1C ZINC000049982974 1121072847 /nfs/dbraw/zinc/07/28/47/1121072847.db2.gz CBILDLBGUIMNMX-NSHDSACASA-N 1 2 262.328 3.624 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2ccc(F)cc2)oc1C ZINC000049982974 1121072854 /nfs/dbraw/zinc/07/28/54/1121072854.db2.gz CBILDLBGUIMNMX-NSHDSACASA-N 1 2 262.328 3.624 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2Cc3ccccc3OC(C)(C)C2)o1 ZINC000344103594 1121084461 /nfs/dbraw/zinc/08/44/61/1121084461.db2.gz FPKFXHQCRSZITH-CYBMUJFWSA-N 1 2 286.375 3.717 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2Cc3ccccc3OC(C)(C)C2)o1 ZINC000344103594 1121084467 /nfs/dbraw/zinc/08/44/67/1121084467.db2.gz FPKFXHQCRSZITH-CYBMUJFWSA-N 1 2 286.375 3.717 20 0 CHADLO CCOc1cccc(C[NH2+]C2(C(F)F)CCCC2)c1 ZINC000674135429 1121094965 /nfs/dbraw/zinc/09/49/65/1121094965.db2.gz RCGYCHXJUZYZOR-UHFFFAOYSA-N 1 2 269.335 3.753 20 0 CHADLO CCCc1ccc(Nc2ccc(N3CCOCC3)[nH+]c2)cc1 ZINC001174976904 1121101554 /nfs/dbraw/zinc/10/15/54/1121101554.db2.gz HEPKORBFCOICEM-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO CSc1cc(Nc2ccc3occc(=O)c3c2)cc[nH+]1 ZINC001174944193 1121106170 /nfs/dbraw/zinc/10/61/70/1121106170.db2.gz ODGBPMBKQGGMLE-UHFFFAOYSA-N 1 2 284.340 3.654 20 0 CHADLO CCOc1cc(Nc2cccc(N(C)C)c2)cc(C)[nH+]1 ZINC001174963239 1121115171 /nfs/dbraw/zinc/11/51/71/1121115171.db2.gz QYKMVSVCOHKGNZ-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO COc1c(C)cc(Nc2ccc(N(C)C)[nH+]c2)cc1C ZINC001174996770 1121124326 /nfs/dbraw/zinc/12/43/26/1121124326.db2.gz SCFNTXNQIPWYPW-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO CC(C)CCC[C@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348848003 1121124874 /nfs/dbraw/zinc/12/48/74/1121124874.db2.gz LRIWXVURKBGPQD-HNNXBMFYSA-N 1 2 286.423 3.953 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCCc3occc3C2)c1 ZINC000344233057 1121126362 /nfs/dbraw/zinc/12/63/62/1121126362.db2.gz HMNADVCEHSSLFH-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCCc3occc3C2)c1 ZINC000344233057 1121126368 /nfs/dbraw/zinc/12/63/68/1121126368.db2.gz HMNADVCEHSSLFH-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1noc2c1CCCC2 ZINC000171730243 1121127474 /nfs/dbraw/zinc/12/74/74/1121127474.db2.gz MYRZWHPVRWJREI-UHFFFAOYSA-N 1 2 276.405 3.637 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1noc2c1CCCC2 ZINC000171730243 1121127476 /nfs/dbraw/zinc/12/74/76/1121127476.db2.gz MYRZWHPVRWJREI-UHFFFAOYSA-N 1 2 276.405 3.637 20 0 CHADLO COc1cc(F)cc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001175007591 1121129992 /nfs/dbraw/zinc/12/99/92/1121129992.db2.gz FNMIBWOIHWMXBL-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO COc1cc(F)cc(Nc2cc[nH+]c(SC)c2)c1 ZINC001175009421 1121130830 /nfs/dbraw/zinc/13/08/30/1121130830.db2.gz LUHSIZNZBUPQRF-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO CCCCCc1ccc([N@H+]2C(=N)C=C(Cl)C=C2N)cc1 ZINC001175010514 1121132368 /nfs/dbraw/zinc/13/23/68/1121132368.db2.gz ITBUPGYMXWPSSS-UHFFFAOYSA-N 1 2 289.810 3.925 20 0 CHADLO CCCCCc1ccc([N@@H+]2C(=N)C=C(Cl)C=C2N)cc1 ZINC001175010514 1121132374 /nfs/dbraw/zinc/13/23/74/1121132374.db2.gz ITBUPGYMXWPSSS-UHFFFAOYSA-N 1 2 289.810 3.925 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(-c3ncco3)cc2F)C[C@@H]1F ZINC000663691323 1121136812 /nfs/dbraw/zinc/13/68/12/1121136812.db2.gz JATBSWZTGVKVLK-NHYWBVRUSA-N 1 2 292.329 3.661 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(-c3ncco3)cc2F)C[C@H]1F ZINC000663691322 1121136700 /nfs/dbraw/zinc/13/67/00/1121136700.db2.gz JATBSWZTGVKVLK-IAQYHMDHSA-N 1 2 292.329 3.661 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(-c3ncco3)cc2F)C[C@H]1F ZINC000663691322 1121136703 /nfs/dbraw/zinc/13/67/03/1121136703.db2.gz JATBSWZTGVKVLK-IAQYHMDHSA-N 1 2 292.329 3.661 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(-c3ncco3)cc2F)C[C@@H]1F ZINC000663691323 1121136814 /nfs/dbraw/zinc/13/68/14/1121136814.db2.gz JATBSWZTGVKVLK-NHYWBVRUSA-N 1 2 292.329 3.661 20 0 CHADLO Oc1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1 ZINC001175043587 1121156176 /nfs/dbraw/zinc/15/61/76/1121156176.db2.gz YTBJRUPLCAQINJ-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO CCOc1cc(Nc2ccn3cc[nH+]c3c2)ccc1F ZINC001175052118 1121161481 /nfs/dbraw/zinc/16/14/81/1121161481.db2.gz WQZUYHZDTDIZRJ-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO C[C@H]1C[C@H](C[NH2+][C@H](c2nnc[nH]2)c2ccccc2)C[C@@H](C)C1 ZINC000658126269 1121169956 /nfs/dbraw/zinc/16/99/56/1121169956.db2.gz FEQOEJJCCMWFFL-HWMZRRJGSA-N 1 2 298.434 3.556 20 0 CHADLO CCN(CCn1cc[nH+]c1)c1cccc2ccccc21 ZINC000674714552 1121171489 /nfs/dbraw/zinc/17/14/89/1121171489.db2.gz YUKLWDGNYUMAON-UHFFFAOYSA-N 1 2 265.360 3.563 20 0 CHADLO CCOc1ccc(F)cc1Nc1cccn2cc(C)[nH+]c12 ZINC001175080016 1121175684 /nfs/dbraw/zinc/17/56/84/1121175684.db2.gz ULGWKSPJAADZDE-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCOc1ccc(F)cc1Nc1ccc(N(C)CC)[nH+]c1 ZINC001175082399 1121177018 /nfs/dbraw/zinc/17/70/18/1121177018.db2.gz SLELQYSITGFZBU-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CCO[C@H](C(C)C)C1 ZINC000070349252 1128968045 /nfs/dbraw/zinc/96/80/45/1128968045.db2.gz HFXLHDQODFQAMI-PBHICJAKSA-N 1 2 276.424 3.677 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@@H]1CCO[C@H](C(C)C)C1 ZINC000070349252 1128968046 /nfs/dbraw/zinc/96/80/46/1128968046.db2.gz HFXLHDQODFQAMI-PBHICJAKSA-N 1 2 276.424 3.677 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc(Cl)cc1Cl ZINC000351622874 1121189854 /nfs/dbraw/zinc/18/98/54/1121189854.db2.gz SYACHFFATXFQLO-TXEJJXNPSA-N 1 2 284.186 3.689 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc(Cl)cc1Cl ZINC000351622874 1121189859 /nfs/dbraw/zinc/18/98/59/1121189859.db2.gz SYACHFFATXFQLO-TXEJJXNPSA-N 1 2 284.186 3.689 20 0 CHADLO CCCOCCNc1cc(-c2ccc(C)cc2)cc[nH+]1 ZINC001167872828 1121190580 /nfs/dbraw/zinc/19/05/80/1121190580.db2.gz VQUWDXPKNXPWDS-UHFFFAOYSA-N 1 2 270.376 3.896 20 0 CHADLO CCOc1cc(Nc2c(F)ccc(OC)c2F)cc(C)[nH+]1 ZINC001175120095 1121190949 /nfs/dbraw/zinc/19/09/49/1121190949.db2.gz GCKNGOXCDQIXSN-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO CCOc1ccc(C)cc1Nc1ccn2cc[nH+]c2c1 ZINC001175123958 1121192992 /nfs/dbraw/zinc/19/29/92/1121192992.db2.gz XZPYIQSUCUELNR-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO c1cc(C[NH2+]Cc2nc(-c3ccccc3)cs2)co1 ZINC000066973541 1121205524 /nfs/dbraw/zinc/20/55/24/1121205524.db2.gz LWLROGBRSAWFJT-UHFFFAOYSA-N 1 2 270.357 3.693 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+][C@H]1COC2(CCC2)C1 ZINC000658183738 1121207223 /nfs/dbraw/zinc/20/72/23/1121207223.db2.gz PUQUDMLNGWDCIF-CABCVRRESA-N 1 2 295.373 3.554 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(Cl)c(F)c1 ZINC001175204592 1121207517 /nfs/dbraw/zinc/20/75/17/1121207517.db2.gz OBMNVMNUPXDQJF-UHFFFAOYSA-N 1 2 252.676 3.632 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(Cl)c(F)c1 ZINC001175205212 1121207673 /nfs/dbraw/zinc/20/76/73/1121207673.db2.gz HERDDLXLPOVPIO-UHFFFAOYSA-N 1 2 275.714 3.709 20 0 CHADLO CC(=O)c1ccccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001175181442 1121220463 /nfs/dbraw/zinc/22/04/63/1121220463.db2.gz SRQQJWWHUWQMDW-UHFFFAOYSA-N 1 2 265.316 3.520 20 0 CHADLO CCOc1cccc(F)c1Nc1cccn2cc(C)[nH+]c12 ZINC001175222992 1121229297 /nfs/dbraw/zinc/22/92/97/1121229297.db2.gz VMDJPOXEVGDGAN-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCOc1cccc(Nc2ccc(C)[nH+]c2C)c1F ZINC001175234838 1121236577 /nfs/dbraw/zinc/23/65/77/1121236577.db2.gz QKGZMTXJKFKVTA-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCOc1ccc(Nc2[nH+]cc(O)cc2C)c(C)c1 ZINC001175242631 1121242390 /nfs/dbraw/zinc/24/23/90/1121242390.db2.gz MVLIIXLPBARENX-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OCC)c(C)c1 ZINC001175242422 1121242423 /nfs/dbraw/zinc/24/24/23/1121242423.db2.gz CCONFTSAAXYYKK-UHFFFAOYSA-N 1 2 272.348 3.931 20 0 CHADLO CCOc1ccc(Nc2ccn3cc[nH+]c3c2)c(F)c1 ZINC001175248816 1121246203 /nfs/dbraw/zinc/24/62/03/1121246203.db2.gz CQRFCILZPBKDKK-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOc1cc(F)ccc1Nc1[nH+]c(C)ccc1C ZINC001175286111 1121253902 /nfs/dbraw/zinc/25/39/02/1121253902.db2.gz AFIPEKSITGAANI-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(SC)c1 ZINC001175289398 1121257497 /nfs/dbraw/zinc/25/74/97/1121257497.db2.gz QFYBRHUNGQOPSK-UHFFFAOYSA-N 1 2 260.362 3.946 20 0 CHADLO Oc1ccc(F)c(Nc2cccc3cc[nH+]cc32)c1F ZINC001175268157 1121269596 /nfs/dbraw/zinc/26/95/96/1121269596.db2.gz IWOZEDQVOQWXGR-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2c(F)ccc(O)c2F)cc1 ZINC001175271564 1121270793 /nfs/dbraw/zinc/27/07/93/1121270793.db2.gz VKCGCJJOZOEUCZ-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2c(F)ccc(O)c2F)cc1 ZINC001175271564 1121270797 /nfs/dbraw/zinc/27/07/97/1121270797.db2.gz VKCGCJJOZOEUCZ-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)cc3ncoc32)c[nH+]1 ZINC001175343293 1121272123 /nfs/dbraw/zinc/27/21/23/1121272123.db2.gz FKEJTDPJRUOIHD-UHFFFAOYSA-N 1 2 288.738 3.686 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2[nH+]c3ccccc3n2C)c1 ZINC001175272677 1121272558 /nfs/dbraw/zinc/27/25/58/1121272558.db2.gz IAGJUDGGKMKPIC-UHFFFAOYSA-N 1 2 283.306 3.659 20 0 CHADLO Cc1ccc2cccc(Nc3ccc(N(C)C)[nH+]c3)c2n1 ZINC001175343474 1121272992 /nfs/dbraw/zinc/27/29/92/1121272992.db2.gz KGCCQESIOPCGBQ-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO CNc1ccc(Cl)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175345780 1121273910 /nfs/dbraw/zinc/27/39/10/1121273910.db2.gz HQGBOMWHCTVUBN-UHFFFAOYSA-N 1 2 276.771 3.586 20 0 CHADLO CN(C)c1ccc(Nc2c(F)ccc(Cl)c2F)c[nH+]1 ZINC001175345606 1121273957 /nfs/dbraw/zinc/27/39/57/1121273957.db2.gz YECAKVRPOVTHNM-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO Cc1cc(Nc2ccc(N(C)C)[nH+]c2)cc2scnc21 ZINC001175345691 1121274445 /nfs/dbraw/zinc/27/44/45/1121274445.db2.gz FWYSNELANCOISP-UHFFFAOYSA-N 1 2 284.388 3.809 20 0 CHADLO CN(C)c1ccc(Nc2cc(F)ccc2Cl)c[nH+]1 ZINC001175343861 1121274484 /nfs/dbraw/zinc/27/44/84/1121274484.db2.gz REXGNTDTOJMOBE-UHFFFAOYSA-N 1 2 265.719 3.684 20 0 CHADLO Cc1cn2cccc(Nc3ccc(C)cc3F)c2[nH+]1 ZINC001175320501 1121281802 /nfs/dbraw/zinc/28/18/02/1121281802.db2.gz HMHXKNOYBYRQAP-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2nc3ccccc3s2)s1 ZINC000124263599 1121290413 /nfs/dbraw/zinc/29/04/13/1121290413.db2.gz OUXHQRLDOOZOTB-JTQLQIEISA-N 1 2 289.429 3.912 20 0 CHADLO Cc1noc2ccc(Nc3ccc(N4CCCC4)[nH+]c3)cc12 ZINC001175350500 1121292222 /nfs/dbraw/zinc/29/22/22/1121292222.db2.gz GCIFQEJLVUKKRH-UHFFFAOYSA-N 1 2 294.358 3.875 20 0 CHADLO CC[C@H](CC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C(C)(C)C ZINC000630920062 1128975858 /nfs/dbraw/zinc/97/58/58/1128975858.db2.gz INYINKNCTCEJRZ-HUUCEWRRSA-N 1 2 291.439 3.509 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(-n2cccn2)cc1 ZINC001175369648 1121299652 /nfs/dbraw/zinc/29/96/52/1121299652.db2.gz CBAMFVXMGVJZQC-UHFFFAOYSA-N 1 2 278.359 3.882 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2ncccc2c1 ZINC001175372835 1121302977 /nfs/dbraw/zinc/30/29/77/1121302977.db2.gz PPSSRVWYUQNKCB-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO COCc1ccccc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000097393992 1121304403 /nfs/dbraw/zinc/30/44/03/1121304403.db2.gz OABVUGCRZFKSEH-UHFFFAOYSA-N 1 2 293.370 3.631 20 0 CHADLO COC(=O)c1cc(Cl)cc(Nc2cccc(C)[nH+]2)c1 ZINC001213329713 1121311190 /nfs/dbraw/zinc/31/11/90/1121311190.db2.gz ZNQIPCCIEDWYQN-UHFFFAOYSA-N 1 2 276.723 3.574 20 0 CHADLO Cn1ncc2cc(Nc3cc(Cl)c4[nH+]ccn4c3)ccc21 ZINC001175419410 1121316954 /nfs/dbraw/zinc/31/69/54/1121316954.db2.gz HXFABRGUBNRPKQ-UHFFFAOYSA-N 1 2 297.749 3.618 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1csc(C(F)(F)F)c1 ZINC000925870118 1121327355 /nfs/dbraw/zinc/32/73/55/1121327355.db2.gz UMQSPZWTOMTEFZ-SSDOTTSWSA-N 1 2 276.283 3.606 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc([S@@](C)=O)cc2)c1 ZINC001175477696 1121337433 /nfs/dbraw/zinc/33/74/33/1121337433.db2.gz BHTLJPBHYYCWOC-LJQANCHMSA-N 1 2 274.389 3.515 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccncc1Cl ZINC000704370205 1121341107 /nfs/dbraw/zinc/34/11/07/1121341107.db2.gz GVTYECXBKHMUTK-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](C)[C@@H]2CC[C@@H](C)C2)no1 ZINC000429580851 1121342833 /nfs/dbraw/zinc/34/28/33/1121342833.db2.gz RHGMXROFQAWEMQ-CZUORRHYSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](C)[C@@H]2CC[C@@H](C)C2)no1 ZINC000429580851 1121342841 /nfs/dbraw/zinc/34/28/41/1121342841.db2.gz RHGMXROFQAWEMQ-CZUORRHYSA-N 1 2 299.418 3.589 20 0 CHADLO COC(=O)c1cscc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491849 1121344080 /nfs/dbraw/zinc/34/40/80/1121344080.db2.gz SBVICXYSNPLVFY-UHFFFAOYSA-N 1 2 288.372 3.859 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ncc(Cl)cc1O ZINC001213491477 1121344513 /nfs/dbraw/zinc/34/45/13/1121344513.db2.gz KNGGEQRMZZYZQJ-UHFFFAOYSA-N 1 2 275.739 3.765 20 0 CHADLO CC[C@@](C)([NH2+]CCCc1cccc2ccccc21)C(=O)OC ZINC000512443827 1121348459 /nfs/dbraw/zinc/34/84/59/1121348459.db2.gz HVSLESWDPGKXEC-LJQANCHMSA-N 1 2 299.414 3.704 20 0 CHADLO C[N@H+](Cc1cn2c(n1)CCCC2)Cc1coc2ccccc12 ZINC000432785861 1121349664 /nfs/dbraw/zinc/34/96/64/1121349664.db2.gz AQIPXKNRHQZJRU-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO C[N@@H+](Cc1cn2c(n1)CCCC2)Cc1coc2ccccc12 ZINC000432785861 1121349673 /nfs/dbraw/zinc/34/96/73/1121349673.db2.gz AQIPXKNRHQZJRU-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc3ccccc3n2)cs1 ZINC000675762402 1121351259 /nfs/dbraw/zinc/35/12/59/1121351259.db2.gz RMEMJZPRSLUNSJ-LLVKDONJSA-N 1 2 283.400 3.851 20 0 CHADLO COC(=O)[C@H]1CCCCC[N@@H+]1Cc1cccc(C(F)F)c1 ZINC000619273023 1128979643 /nfs/dbraw/zinc/97/96/43/1128979643.db2.gz XFYPDSJZEPFBQF-CQSZACIVSA-N 1 2 297.345 3.542 20 0 CHADLO COC(=O)[C@H]1CCCCC[N@H+]1Cc1cccc(C(F)F)c1 ZINC000619273023 1128979646 /nfs/dbraw/zinc/97/96/46/1128979646.db2.gz XFYPDSJZEPFBQF-CQSZACIVSA-N 1 2 297.345 3.542 20 0 CHADLO CC(C)(C)c1c[nH]c(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)c1 ZINC001249477325 1121356845 /nfs/dbraw/zinc/35/68/45/1121356845.db2.gz ZGCUFOUFRXTXRX-HIFRSBDPSA-N 1 2 270.367 3.584 20 0 CHADLO CC(C)(C)c1c[nH]c(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)c1 ZINC001249477325 1121356854 /nfs/dbraw/zinc/35/68/54/1121356854.db2.gz ZGCUFOUFRXTXRX-HIFRSBDPSA-N 1 2 270.367 3.584 20 0 CHADLO Cc1ccc2n[nH]cc2c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175523082 1121361991 /nfs/dbraw/zinc/36/19/91/1121361991.db2.gz FIJDKHNJJKVJEQ-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H]2COc3ccc(Cl)cc32)n1 ZINC000675810868 1121366805 /nfs/dbraw/zinc/36/68/05/1121366805.db2.gz VPCWERNWAHSLHG-BXKDBHETSA-N 1 2 294.807 3.889 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2COc3ccc(Cl)cc32)n1 ZINC000675810869 1121367066 /nfs/dbraw/zinc/36/70/66/1121367066.db2.gz VPCWERNWAHSLHG-CABZTGNLSA-N 1 2 294.807 3.889 20 0 CHADLO COc1ccc(Nc2ccc3c(C)cc[nH+]c3c2)c(OC)n1 ZINC001175587169 1121372176 /nfs/dbraw/zinc/37/21/76/1121372176.db2.gz DOUDDJFTRIVCKA-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1-n1ccc2cc(N)ccc21 ZINC001213497264 1121373391 /nfs/dbraw/zinc/37/33/91/1121373391.db2.gz LISJVOVBBBMRON-UHFFFAOYSA-N 1 2 263.344 3.794 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCCc2sccc2C1 ZINC000763539576 1128981392 /nfs/dbraw/zinc/98/13/92/1128981392.db2.gz RCTWQERXNUDCFX-UXBLZVDNSA-N 1 2 262.205 3.815 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCCc2sccc2C1 ZINC000763539576 1128981395 /nfs/dbraw/zinc/98/13/95/1128981395.db2.gz RCTWQERXNUDCFX-UXBLZVDNSA-N 1 2 262.205 3.815 20 0 CHADLO CCc1cccc(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001175550693 1121382415 /nfs/dbraw/zinc/38/24/15/1121382415.db2.gz FFVNVDFBBFDTOL-UHFFFAOYSA-N 1 2 251.333 3.949 20 0 CHADLO Cc1cc(NC2=CCC[N@@H+](C)C2)c(Br)cc1F ZINC001175581150 1121399143 /nfs/dbraw/zinc/39/91/43/1121399143.db2.gz ZJAYWVUMEYLBEP-UHFFFAOYSA-N 1 2 299.187 3.528 20 0 CHADLO Cc1cc(NC2=CCC[N@H+](C)C2)c(Br)cc1F ZINC001175581150 1121399151 /nfs/dbraw/zinc/39/91/51/1121399151.db2.gz ZJAYWVUMEYLBEP-UHFFFAOYSA-N 1 2 299.187 3.528 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2c[nH]nc21 ZINC001175636767 1121403624 /nfs/dbraw/zinc/40/36/24/1121403624.db2.gz IEDHRKIRFSIOBJ-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CSc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cn1 ZINC001175655809 1121422248 /nfs/dbraw/zinc/42/22/48/1121422248.db2.gz QAZZNLILHYZQCM-UHFFFAOYSA-N 1 2 296.399 3.792 20 0 CHADLO Cc1n[nH]c2cc(Nc3c[nH+]c(C)cc3C)ccc12 ZINC001175657817 1121423334 /nfs/dbraw/zinc/42/33/34/1121423334.db2.gz ZSWDIQVFTWKELO-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1[nH]nc2cc(Nc3c(C)[nH+]c4ccccn43)ccc21 ZINC001175657695 1121423688 /nfs/dbraw/zinc/42/36/88/1121423688.db2.gz WXGBSWRVHNYRFC-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO COc1c(F)cccc1C[N@@H+]1CCc2cc(F)ccc2C1 ZINC001143536795 1121425900 /nfs/dbraw/zinc/42/59/00/1121425900.db2.gz JFCDJUGVWVCCKM-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1c(F)cccc1C[N@H+]1CCc2cc(F)ccc2C1 ZINC001143536795 1121425904 /nfs/dbraw/zinc/42/59/04/1121425904.db2.gz JFCDJUGVWVCCKM-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1cc(C)c(O)c(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175712907 1121435492 /nfs/dbraw/zinc/43/54/92/1121435492.db2.gz MKXWOEPQLSQIST-UHFFFAOYSA-N 1 2 297.280 3.749 20 0 CHADLO CCCc1ccc(Nc2[nH+]cccc2N2CCOCC2)cc1 ZINC001175716289 1121436575 /nfs/dbraw/zinc/43/65/75/1121436575.db2.gz NLCBJDJLWKIYQS-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO Cc1ncoc1C[N@@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000893928423 1121441720 /nfs/dbraw/zinc/44/17/20/1121441720.db2.gz QSNBKYNXWJWYND-IAQYHMDHSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ncoc1C[N@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000893928423 1121441721 /nfs/dbraw/zinc/44/17/21/1121441721.db2.gz QSNBKYNXWJWYND-IAQYHMDHSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2ccc(F)cc2F)nc1 ZINC000676556951 1121444019 /nfs/dbraw/zinc/44/40/19/1121444019.db2.gz BQSLPYRGKMQFTH-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2ccc(F)cc2F)nc1 ZINC000676556951 1121444023 /nfs/dbraw/zinc/44/40/23/1121444023.db2.gz BQSLPYRGKMQFTH-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000893944155 1121444948 /nfs/dbraw/zinc/44/49/48/1121444948.db2.gz SWROOBKBHSRCQU-CQSZACIVSA-N 1 2 275.421 3.932 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000893944155 1121444950 /nfs/dbraw/zinc/44/49/50/1121444950.db2.gz SWROOBKBHSRCQU-CQSZACIVSA-N 1 2 275.421 3.932 20 0 CHADLO CC(C)c1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)cn1 ZINC001175706739 1121448760 /nfs/dbraw/zinc/44/87/60/1121448760.db2.gz RPHZYYPYVILUPP-UHFFFAOYSA-N 1 2 296.296 3.945 20 0 CHADLO Cc1csc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175705890 1121449011 /nfs/dbraw/zinc/44/90/11/1121449011.db2.gz JEFRMJIYCFHEFE-UHFFFAOYSA-N 1 2 273.283 3.796 20 0 CHADLO CCCn1nc(C)cc1Nc1[nH+]c(C)ccc1CC ZINC001175799554 1121450726 /nfs/dbraw/zinc/45/07/26/1121450726.db2.gz SBNSZOMDCPNWNC-UHFFFAOYSA-N 1 2 258.369 3.611 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1nc(-c2ccccc2)c[nH]1 ZINC000676707298 1121459037 /nfs/dbraw/zinc/45/90/37/1121459037.db2.gz VEIBOVRCENKHKM-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1nc(-c2ccccc2)c[nH]1 ZINC000676707298 1121459043 /nfs/dbraw/zinc/45/90/43/1121459043.db2.gz VEIBOVRCENKHKM-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO COc1cc(Nc2[nH+]cc(O)cc2C)ccc1Cl ZINC001175770973 1121460687 /nfs/dbraw/zinc/46/06/87/1121460687.db2.gz RDLFNNRSHQSWLU-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO Cc1cc(NCc2csc(C3CC3)n2)nc(C(C)C)[nH+]1 ZINC000708224493 1121462642 /nfs/dbraw/zinc/46/26/42/1121462642.db2.gz JJFMTIPBMNSUMY-UHFFFAOYSA-N 1 2 288.420 3.854 20 0 CHADLO C/C=C\C[C@H]([NH2+][C@H](C)[C@@H](C)c1ccccc1)C(=O)OCC ZINC000432898345 1121471285 /nfs/dbraw/zinc/47/12/85/1121471285.db2.gz IGMVADAREPLGOF-OZGDGCCUSA-N 1 2 289.419 3.666 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1Cl)c1cn(C)cn1 ZINC000710143705 1121473677 /nfs/dbraw/zinc/47/36/77/1121473677.db2.gz CZKHVXYFCRQWOR-UWVGGRQHSA-N 1 2 281.762 3.624 20 0 CHADLO Cc1ccsc1CCCC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000410876532 1121482341 /nfs/dbraw/zinc/48/23/41/1121482341.db2.gz KDLWUDKESJAAGG-UHFFFAOYSA-N 1 2 299.399 3.666 20 0 CHADLO Cc1c[nH+]c(Nc2cccc3c2ccn3C)c(C)c1 ZINC001175866463 1121484088 /nfs/dbraw/zinc/48/40/88/1121484088.db2.gz HASWPMDTQCBLNI-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO Cc1cccc2nc(C3CC[NH+](Cc4cocn4)CC3)oc21 ZINC000432930694 1121484276 /nfs/dbraw/zinc/48/42/76/1121484276.db2.gz FTHUGRVLMBILIB-UHFFFAOYSA-N 1 2 297.358 3.504 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2cnn(C(C)(C)C)c2)c(F)c1 ZINC000922947992 1121495980 /nfs/dbraw/zinc/49/59/80/1121495980.db2.gz XATBRWLUHUWKNP-UHFFFAOYSA-N 1 2 293.361 3.515 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccccc1CC(F)(F)F ZINC000631144000 1128988147 /nfs/dbraw/zinc/98/81/47/1128988147.db2.gz XMPQCECOZWPZCL-UHFFFAOYSA-N 1 2 267.241 3.536 20 0 CHADLO Cc1cc(Nc2ccccc2-n2cc[nH+]c2)cc(C)c1N ZINC001175932212 1121499929 /nfs/dbraw/zinc/49/99/29/1121499929.db2.gz RGZPMFYNIBWSGB-UHFFFAOYSA-N 1 2 278.359 3.815 20 0 CHADLO COc1ccc(Nc2ccc3c(C)cc[nH+]c3c2)c(CO)c1 ZINC001211747760 1121500271 /nfs/dbraw/zinc/50/02/71/1121500271.db2.gz WQOONOOONOAXLN-UHFFFAOYSA-N 1 2 294.354 3.788 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cnn(C2CC2)c1 ZINC001175961999 1121504773 /nfs/dbraw/zinc/50/47/73/1121504773.db2.gz POBRUMYLECDKKS-UHFFFAOYSA-N 1 2 270.380 3.864 20 0 CHADLO COc1ccc(Nc2cccc(C3CCC3)[nH+]2)cc1CO ZINC001175983103 1121511698 /nfs/dbraw/zinc/51/16/98/1121511698.db2.gz FXHKVRSGLXOILS-UHFFFAOYSA-N 1 2 284.359 3.594 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2nc(C3CCCC3)no2)c1 ZINC000429311312 1121520691 /nfs/dbraw/zinc/52/06/91/1121520691.db2.gz ZJTSIJVDTQDCKV-UHFFFAOYSA-N 1 2 299.418 3.976 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2nc(C3CCCC3)no2)c1 ZINC000429311312 1121520699 /nfs/dbraw/zinc/52/06/99/1121520699.db2.gz ZJTSIJVDTQDCKV-UHFFFAOYSA-N 1 2 299.418 3.976 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2ccc3[nH]nnc3c2)cc1 ZINC001176006254 1121520897 /nfs/dbraw/zinc/52/08/97/1121520897.db2.gz YYRCLTFDDJFRME-UHFFFAOYSA-N 1 2 281.363 3.548 20 0 CHADLO CCC[N@H+](Cc1ccccc1)[C@H](C)c1csnn1 ZINC000411127523 1121520965 /nfs/dbraw/zinc/52/09/65/1121520965.db2.gz QBASSNOQNZRKHD-GFCCVEGCSA-N 1 2 261.394 3.511 20 0 CHADLO CCC[N@@H+](Cc1ccccc1)[C@H](C)c1csnn1 ZINC000411127523 1121520969 /nfs/dbraw/zinc/52/09/69/1121520969.db2.gz QBASSNOQNZRKHD-GFCCVEGCSA-N 1 2 261.394 3.511 20 0 CHADLO c1sc(C2CCCC2)nc1C[NH+](C1CC1)C1CC1 ZINC000625837418 1121521384 /nfs/dbraw/zinc/52/13/84/1121521384.db2.gz JZVHMWDTOCEJDO-UHFFFAOYSA-N 1 2 262.422 3.928 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2c1OCC2 ZINC001176074988 1121530299 /nfs/dbraw/zinc/53/02/99/1121530299.db2.gz FEDFZYSEKUOMFX-UHFFFAOYSA-N 1 2 254.333 3.631 20 0 CHADLO CCOC(=O)c1c2ccccc2sc1Nc1[nH]cc[nH+]1 ZINC001176080901 1121533850 /nfs/dbraw/zinc/53/38/50/1121533850.db2.gz ZRNWLTUVUVSUAP-UHFFFAOYSA-N 1 2 287.344 3.545 20 0 CHADLO FC(F)[C@@H]([NH2+]CCCO[C@@H]1CCCCO1)c1ccccc1 ZINC000433049327 1121534657 /nfs/dbraw/zinc/53/46/57/1121534657.db2.gz SRFFYEPABQPQHH-CABCVRRESA-N 1 2 299.361 3.516 20 0 CHADLO FC(F)[C@H]([NH2+]CC[C@H]1CCCCO1)c1ccccc1 ZINC000433049710 1121537809 /nfs/dbraw/zinc/53/78/09/1121537809.db2.gz XQITVUKVOLCTOI-ZIAGYGMSSA-N 1 2 269.335 3.542 20 0 CHADLO Cc1ccc(Nc2cccn3cc[nH+]c23)c(F)c1F ZINC001176093942 1121538063 /nfs/dbraw/zinc/53/80/63/1121538063.db2.gz TXIRUJYURQIWEE-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO COc1cc(Nc2ccc(C)[nH+]c2C)c(F)cc1F ZINC001176106404 1121551883 /nfs/dbraw/zinc/55/18/83/1121551883.db2.gz AWMKHVZPAHCTTR-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1ccc2cnccc2c1NCc1c[nH+]cn1C(C)C ZINC000631227037 1128993241 /nfs/dbraw/zinc/99/32/41/1128993241.db2.gz HLDNYAXFQHRKLQ-UHFFFAOYSA-N 1 2 280.375 3.933 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H](c3ccccc3)C2)no1 ZINC000433083373 1121556000 /nfs/dbraw/zinc/55/60/00/1121556000.db2.gz ONIDGGPFUOCYGN-HNNXBMFYSA-N 1 2 285.391 3.573 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H](c3ccccc3)C2)no1 ZINC000433083373 1121556005 /nfs/dbraw/zinc/55/60/05/1121556005.db2.gz ONIDGGPFUOCYGN-HNNXBMFYSA-N 1 2 285.391 3.573 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(F)c1Cl ZINC001176120624 1121556292 /nfs/dbraw/zinc/55/62/92/1121556292.db2.gz HBPVWCVSDOLSBM-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO COc1cc[nH+]cc1Nc1ccnc(Cl)c1Cl ZINC001176116718 1121556386 /nfs/dbraw/zinc/55/63/86/1121556386.db2.gz JUHQYQJJXSXSFV-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO COc1cc[nH+]cc1Nc1ccsc1Br ZINC001176120941 1121556674 /nfs/dbraw/zinc/55/66/74/1121556674.db2.gz BNQUBVMEFVHJOP-UHFFFAOYSA-N 1 2 285.166 3.658 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1-c1nccs1 ZINC001176124007 1121557769 /nfs/dbraw/zinc/55/77/69/1121557769.db2.gz GXDQQNVZWYVKIB-UHFFFAOYSA-N 1 2 283.356 3.957 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(O)c2ccccc12 ZINC001176121579 1121557954 /nfs/dbraw/zinc/55/79/54/1121557954.db2.gz YHXXQVBMDLODPM-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2oc(C)nc2cc1Cl ZINC001176123193 1121558323 /nfs/dbraw/zinc/55/83/23/1121558323.db2.gz OVPLOKYECZQSIY-UHFFFAOYSA-N 1 2 289.722 3.937 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)ccc1Cl ZINC001176121617 1121558584 /nfs/dbraw/zinc/55/85/84/1121558584.db2.gz ZYTMWOZZIFGLOJ-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(C)c1Cl ZINC001176123552 1121558590 /nfs/dbraw/zinc/55/85/90/1121558590.db2.gz BOJZZAABWMRYFY-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C)ccc1Br ZINC001176122875 1121558736 /nfs/dbraw/zinc/55/87/36/1121558736.db2.gz KJNLXSJKEZYHBC-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cl)c(F)c1F ZINC001176122786 1121559470 /nfs/dbraw/zinc/55/94/70/1121559470.db2.gz IEJGTNXQIORXRC-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Br)cc1C ZINC001176121556 1121559488 /nfs/dbraw/zinc/55/94/88/1121559488.db2.gz XONYRRSGMRAXMD-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OC)cc1Cl ZINC001176125291 1121560029 /nfs/dbraw/zinc/56/00/29/1121560029.db2.gz YIEUUBYMSKXSLV-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)cc2cccnc21 ZINC001176124537 1121560138 /nfs/dbraw/zinc/56/01/38/1121560138.db2.gz QKKSHVRKFKITBH-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO COc1cc[nH+]cc1Nc1c(N)cc(Cl)cc1Cl ZINC001176124531 1121560666 /nfs/dbraw/zinc/56/06/66/1121560666.db2.gz QJERSSSSYGJWSX-UHFFFAOYSA-N 1 2 284.146 3.723 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(F)c(Cl)cc1F)c1ccccn1 ZINC000433099760 1121567806 /nfs/dbraw/zinc/56/78/06/1121567806.db2.gz KHQBMMDIOIHMPJ-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(Cl)c1 ZINC001176220272 1121577644 /nfs/dbraw/zinc/57/76/44/1121577644.db2.gz AFEHTUKBLJGNAU-UHFFFAOYSA-N 1 2 257.724 3.570 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(Cl)c3)ccc21 ZINC001176220916 1121579134 /nfs/dbraw/zinc/57/91/34/1121579134.db2.gz SGBVBPPEMZWTMA-UHFFFAOYSA-N 1 2 257.724 3.970 20 0 CHADLO COc1cccc2c1C[N@@H+]([C@@H](C)c1ccccc1F)C2 ZINC000626021731 1121581521 /nfs/dbraw/zinc/58/15/21/1121581521.db2.gz NSCUVPAKJNDKOE-LBPRGKRZSA-N 1 2 271.335 3.911 20 0 CHADLO COc1cccc2c1C[N@H+]([C@@H](C)c1ccccc1F)C2 ZINC000626021731 1121581526 /nfs/dbraw/zinc/58/15/26/1121581526.db2.gz NSCUVPAKJNDKOE-LBPRGKRZSA-N 1 2 271.335 3.911 20 0 CHADLO Oc1cccc(C[NH2+]C2(c3ccccc3F)CC2)c1Cl ZINC000645158657 1121587381 /nfs/dbraw/zinc/58/73/81/1121587381.db2.gz JFYREPQRQPBBPM-UHFFFAOYSA-N 1 2 291.753 3.964 20 0 CHADLO COc1cc(F)cc(C[NH2+][C@@H](CF)c2ccc(F)cc2)c1 ZINC000631284893 1128996328 /nfs/dbraw/zinc/99/63/28/1128996328.db2.gz USENWKSBCSZAET-INIZCTEOSA-N 1 2 295.304 3.774 20 0 CHADLO Cc1[nH+]c2cc(OC[C@@H]3CCC(F)(F)C3)ccc2n1C ZINC000664046646 1121606717 /nfs/dbraw/zinc/60/67/17/1121606717.db2.gz KLVDJCRPZOEVPN-LLVKDONJSA-N 1 2 280.318 3.696 20 0 CHADLO Cc1cccc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)c1C ZINC000078264163 1121611994 /nfs/dbraw/zinc/61/19/94/1121611994.db2.gz OJEQWEXLWFFIRL-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CC[N@H+](Cc1cc(C)sn1)Cc1ccc(C)cc1 ZINC001249590462 1121612614 /nfs/dbraw/zinc/61/26/14/1121612614.db2.gz HNVOFUOAUZHDHV-UHFFFAOYSA-N 1 2 260.406 3.782 20 0 CHADLO CC[N@@H+](Cc1cc(C)sn1)Cc1ccc(C)cc1 ZINC001249590462 1121612617 /nfs/dbraw/zinc/61/26/17/1121612617.db2.gz HNVOFUOAUZHDHV-UHFFFAOYSA-N 1 2 260.406 3.782 20 0 CHADLO COC(=O)c1cc([C@H](C)[N@@H+]2Cc3ccc(C)cc3C2)oc1C ZINC000433194914 1121614048 /nfs/dbraw/zinc/61/40/48/1121614048.db2.gz ZYKDHXQQWVCASK-LBPRGKRZSA-N 1 2 299.370 3.760 20 0 CHADLO COC(=O)c1cc([C@H](C)[N@H+]2Cc3ccc(C)cc3C2)oc1C ZINC000433194914 1121614052 /nfs/dbraw/zinc/61/40/52/1121614052.db2.gz ZYKDHXQQWVCASK-LBPRGKRZSA-N 1 2 299.370 3.760 20 0 CHADLO CCN(C)c1cc(SCc2ccccc2)cc[nH+]1 ZINC001163583681 1121615258 /nfs/dbraw/zinc/61/52/58/1121615258.db2.gz SGBZDMAXCJZAHZ-UHFFFAOYSA-N 1 2 258.390 3.830 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc2ccccc21 ZINC000051993000 1121625450 /nfs/dbraw/zinc/62/54/50/1121625450.db2.gz RORYSCSINORFQR-UHFFFAOYSA-N 1 2 268.385 3.928 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc2ccccc21 ZINC000051993000 1121625453 /nfs/dbraw/zinc/62/54/53/1121625453.db2.gz RORYSCSINORFQR-UHFFFAOYSA-N 1 2 268.385 3.928 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2ccccc2OC)c1 ZINC000611131891 1121628191 /nfs/dbraw/zinc/62/81/91/1121628191.db2.gz XLSYVYBGLQWSDL-UHFFFAOYSA-N 1 2 289.400 3.841 20 0 CHADLO COc1cc(C[NH2+]C2(C(F)F)CCCCC2)cc(OC)c1 ZINC000512884611 1121631620 /nfs/dbraw/zinc/63/16/20/1121631620.db2.gz GELGSPIUSSYALU-UHFFFAOYSA-N 1 2 299.361 3.761 20 0 CHADLO c1cn2cccc(Nc3cccc(OCC4CC4)c3)c2[nH+]1 ZINC001176491817 1121638791 /nfs/dbraw/zinc/63/87/91/1121638791.db2.gz HXNTXXVEGRCDQO-UHFFFAOYSA-N 1 2 279.343 3.867 20 0 CHADLO CNc1ccc(Nc2cc(Cl)cnc2Cl)c[nH+]1 ZINC001203449012 1121660168 /nfs/dbraw/zinc/66/01/68/1121660168.db2.gz VQIJEGLZDKSTRN-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO CCOc1cc(Nc2cccc3cc[nH+]cc32)c(F)cn1 ZINC001176603221 1121670253 /nfs/dbraw/zinc/67/02/53/1121670253.db2.gz OVQVGNMNODBOGH-UHFFFAOYSA-N 1 2 283.306 3.911 20 0 CHADLO Cc1cc(C)c(Nc2c(F)cc(F)c(O)c2F)c[nH+]1 ZINC001176664115 1121671496 /nfs/dbraw/zinc/67/14/96/1121671496.db2.gz KWCYICBXHMNGFU-UHFFFAOYSA-N 1 2 268.238 3.565 20 0 CHADLO Cc1cc(NCc2ccc(C)c(C)n2)nc(C2CCC2)[nH+]1 ZINC000894156185 1121674411 /nfs/dbraw/zinc/67/44/11/1121674411.db2.gz LBDIYZCMHDAGHJ-UHFFFAOYSA-N 1 2 282.391 3.676 20 0 CHADLO Cc1cccc(C)c1C[N@H+](C)Cc1nccn1C(F)F ZINC000150991175 1121675821 /nfs/dbraw/zinc/67/58/21/1121675821.db2.gz YIPKZOMGMOLUGQ-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1cccc(C)c1C[N@@H+](C)Cc1nccn1C(F)F ZINC000150991175 1121675824 /nfs/dbraw/zinc/67/58/24/1121675824.db2.gz YIPKZOMGMOLUGQ-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO C[N@@H+](Cc1c(Cl)cccc1Cl)C[C@@H]1CCCO1 ZINC000052102258 1121679749 /nfs/dbraw/zinc/67/97/49/1121679749.db2.gz SRDCTLHEYZBUHN-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[N@H+](Cc1c(Cl)cccc1Cl)C[C@@H]1CCCO1 ZINC000052102258 1121679751 /nfs/dbraw/zinc/67/97/51/1121679751.db2.gz SRDCTLHEYZBUHN-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)on1 ZINC000052163066 1121680953 /nfs/dbraw/zinc/68/09/53/1121680953.db2.gz FPPXTRVHLNHFQN-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)on1 ZINC000052163066 1121680956 /nfs/dbraw/zinc/68/09/56/1121680956.db2.gz FPPXTRVHLNHFQN-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cn2cccc(Nc3cccnc3OC(C)C)c2[nH+]1 ZINC001176733096 1121685803 /nfs/dbraw/zinc/68/58/03/1121685803.db2.gz GSLFAICCPBIKPH-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO c1nc(C2CC2)c(Nc2ccc[nH+]c2N2CCCC2)s1 ZINC001176749046 1121686588 /nfs/dbraw/zinc/68/65/88/1121686588.db2.gz QFYZOYNLTPHYAG-UHFFFAOYSA-N 1 2 286.404 3.759 20 0 CHADLO FC(F)c1ccc(Nc2ccc[nH+]c2N2CCCC2)cn1 ZINC001176749644 1121687053 /nfs/dbraw/zinc/68/70/53/1121687053.db2.gz JPVMAXFXGCCTML-UHFFFAOYSA-N 1 2 290.317 3.758 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2cccc(C3CC3)n2)c1 ZINC001176748953 1121687112 /nfs/dbraw/zinc/68/71/12/1121687112.db2.gz KRPXCSBYBPPXKZ-UHFFFAOYSA-N 1 2 280.375 3.698 20 0 CHADLO Cc1cnc(Cl)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176750856 1121688362 /nfs/dbraw/zinc/68/83/62/1121688362.db2.gz YDQXHESRQKAWJN-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO COCOc1ccc(F)cc1Nc1ccc(C)[nH+]c1C ZINC001176714033 1121690338 /nfs/dbraw/zinc/69/03/38/1121690338.db2.gz CPQVTVXREVCMBA-UHFFFAOYSA-N 1 2 276.311 3.564 20 0 CHADLO C[C@H]1CC(C)(C)c2cccc(NC(=O)CCn3cc[nH+]c3)c21 ZINC001176878828 1121698566 /nfs/dbraw/zinc/69/85/66/1121698566.db2.gz MYEMDDHJIHEFGE-ZDUSSCGKSA-N 1 2 297.402 3.697 20 0 CHADLO C[C@@H]1CC(C)(C)c2cccc(NC(=O)CCn3cc[nH+]c3)c21 ZINC001176878827 1121699159 /nfs/dbraw/zinc/69/91/59/1121699159.db2.gz MYEMDDHJIHEFGE-CYBMUJFWSA-N 1 2 297.402 3.697 20 0 CHADLO CSc1cc[nH+]c(N2Cc3ccccc3[C@@H](C)C2)c1 ZINC001165215793 1121703002 /nfs/dbraw/zinc/70/30/02/1121703002.db2.gz ADRXDMAKPLGMOR-LBPRGKRZSA-N 1 2 270.401 3.927 20 0 CHADLO Cc1cc(C)c(NC(=O)NC2(C)CCCCC2)c(C)[nH+]1 ZINC000408462089 1121714467 /nfs/dbraw/zinc/71/44/67/1121714467.db2.gz CGHYDNDQNDTGQL-UHFFFAOYSA-N 1 2 275.396 3.851 20 0 CHADLO C[C@@H](Oc1cc[nH+]cc1)c1ccc(Br)cc1 ZINC001225291235 1121722789 /nfs/dbraw/zinc/72/27/89/1121722789.db2.gz QHEIDFOSPQTUMT-SNVBAGLBSA-N 1 2 278.149 3.984 20 0 CHADLO CC[C@@H]1C[C@@H](C[NH2+]c2ccc(N(C)C)cc2C)CCO1 ZINC001177362996 1121726966 /nfs/dbraw/zinc/72/69/66/1121726966.db2.gz HBFSXSFUUPEWHD-GOEBONIOSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@@H]1C[C@@H](CNc2ccc([NH+](C)C)cc2C)CCO1 ZINC001177362996 1121726971 /nfs/dbraw/zinc/72/69/71/1121726971.db2.gz HBFSXSFUUPEWHD-GOEBONIOSA-N 1 2 276.424 3.678 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)[C@H](C)c1nc(C(C)C)no1 ZINC000152828536 1129005690 /nfs/dbraw/zinc/00/56/90/1129005690.db2.gz BIZBRWXSLLGIPD-GFCCVEGCSA-N 1 2 291.370 3.915 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)[C@H](C)c1nc(C(C)C)no1 ZINC000152828536 1129005694 /nfs/dbraw/zinc/00/56/94/1129005694.db2.gz BIZBRWXSLLGIPD-GFCCVEGCSA-N 1 2 291.370 3.915 20 0 CHADLO Cc1coc(C[NH2+]Cc2c(F)cccc2Cl)c1 ZINC001177542861 1121737423 /nfs/dbraw/zinc/73/74/23/1121737423.db2.gz VEGOUCKRMWZOLX-UHFFFAOYSA-N 1 2 253.704 3.670 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+][C@H](C)c1ncco1 ZINC000924895138 1121759442 /nfs/dbraw/zinc/75/94/42/1121759442.db2.gz CGBRWLSHGYJWQO-OCCSQVGLSA-N 1 2 274.364 3.875 20 0 CHADLO Cc1cc(NCc2ccccc2F)ccc1-n1cc[nH+]c1 ZINC001178139070 1121767056 /nfs/dbraw/zinc/76/70/56/1121767056.db2.gz LINJCVGCYLBQKW-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H](C)c2ccc(F)cc2F)on1 ZINC000169227931 1121773050 /nfs/dbraw/zinc/77/30/50/1121773050.db2.gz PDJCRZQDDOKVCR-SNVBAGLBSA-N 1 2 280.318 3.927 20 0 CHADLO Clc1nc2ccccc2nc1Nc1ccn2cc[nH+]c2c1 ZINC001204950619 1121777745 /nfs/dbraw/zinc/77/77/45/1121777745.db2.gz BBGCYUJPZRQXPJ-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO CC[C@H]([NH2+]Cc1scnc1Cl)c1nc(C)cs1 ZINC000878407993 1121780004 /nfs/dbraw/zinc/78/00/04/1121780004.db2.gz GPRDZWUPSKOZSF-QMMMGPOBSA-N 1 2 287.841 3.802 20 0 CHADLO Cc1cc(NCc2ccc3nccnc3c2)ccc1[NH+](C)C ZINC001178360984 1121783233 /nfs/dbraw/zinc/78/32/33/1121783233.db2.gz RCQSEUBWHCMVDY-UHFFFAOYSA-N 1 2 292.386 3.616 20 0 CHADLO Cc1ccc([C@@H](CC(F)(F)F)[NH2+]Cc2ncc[nH]2)cc1 ZINC001178426041 1121787740 /nfs/dbraw/zinc/78/77/40/1121787740.db2.gz QAQVHQREMKFVLL-GFCCVEGCSA-N 1 2 283.297 3.501 20 0 CHADLO Cc1cc(NCc2ccnc(Cl)c2)nc(C2CCC2)[nH+]1 ZINC000894215122 1121792119 /nfs/dbraw/zinc/79/21/19/1121792119.db2.gz YLJUYRFRKVLVDC-UHFFFAOYSA-N 1 2 288.782 3.713 20 0 CHADLO CN(c1ccccc1)c1ccc(C[NH2+]CC(F)F)cc1 ZINC001178678063 1121807145 /nfs/dbraw/zinc/80/71/45/1121807145.db2.gz WCBYABIHAUTKEU-UHFFFAOYSA-N 1 2 276.330 3.809 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2csc3ccccc23)[nH+]1 ZINC000433785350 1121808962 /nfs/dbraw/zinc/80/89/62/1121808962.db2.gz QOEOHFROJSGPIY-UHFFFAOYSA-N 1 2 294.383 3.515 20 0 CHADLO CC(C)c1nnc(C[NH2+]C(C)(C)c2ccc(F)cc2)s1 ZINC000828286599 1121815649 /nfs/dbraw/zinc/81/56/49/1121815649.db2.gz CLDBWPPJCDRVHA-UHFFFAOYSA-N 1 2 293.411 3.826 20 0 CHADLO CCC[C@H]([NH2+]Cc1ncc(C)s1)c1cc(C)ccn1 ZINC000631587848 1129011002 /nfs/dbraw/zinc/01/10/02/1129011002.db2.gz NHDUYWYVCQGVRM-ZDUSSCGKSA-N 1 2 275.421 3.786 20 0 CHADLO Cc1ccc(N(CC2CC2)C(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000894233400 1121835437 /nfs/dbraw/zinc/83/54/37/1121835437.db2.gz GHHRDKVKEPJMHP-OAHLLOKOSA-N 1 2 297.402 3.586 20 0 CHADLO CC[C@H](N[C@H](C)c1ccc(-n2cc[nH+]c2)cc1)C(F)(F)F ZINC000345159516 1121850537 /nfs/dbraw/zinc/85/05/37/1121850537.db2.gz HPGLMHMYEZKIAW-RISCZKNCSA-N 1 2 297.324 3.864 20 0 CHADLO CC(C)N(C)c1cccc(Nc2[nH+]cnc3[nH]ccc32)c1 ZINC000345170206 1121853171 /nfs/dbraw/zinc/85/31/71/1121853171.db2.gz UGKACMJLCNBXGT-UHFFFAOYSA-N 1 2 281.363 3.546 20 0 CHADLO Oc1cccc(C[NH2+]Cc2cccc(F)c2F)c1Cl ZINC001179295761 1121868920 /nfs/dbraw/zinc/86/89/20/1121868920.db2.gz PXGQNEGTZPPQPM-UHFFFAOYSA-N 1 2 283.705 3.614 20 0 CHADLO Cc1ccc2[nH+]c(NCc3cccc(C)c3F)[nH]c2c1 ZINC001179442981 1121877177 /nfs/dbraw/zinc/87/71/77/1121877177.db2.gz JVGHUEZDHVZMPV-UHFFFAOYSA-N 1 2 269.323 3.931 20 0 CHADLO Fc1cc(C2CC2)ccc1NCc1cccc2[nH+]ccn21 ZINC001179425567 1121879551 /nfs/dbraw/zinc/87/95/51/1121879551.db2.gz MATFWFQWWSAXKD-UHFFFAOYSA-N 1 2 281.334 3.963 20 0 CHADLO Clc1cnc(CNc2[nH]c3ccc(Cl)cc3[nH+]2)s1 ZINC001179515984 1121882579 /nfs/dbraw/zinc/88/25/79/1121882579.db2.gz NXXIIYUMIUCCCO-UHFFFAOYSA-N 1 2 299.186 3.938 20 0 CHADLO Clc1cnc(CNc2[nH]c3cc(Cl)ccc3[nH+]2)s1 ZINC001179515984 1121882587 /nfs/dbraw/zinc/88/25/87/1121882587.db2.gz NXXIIYUMIUCCCO-UHFFFAOYSA-N 1 2 299.186 3.938 20 0 CHADLO Cc1ccc2cc(C[NH2+]Cc3ncc(Cl)s3)[nH]c2c1 ZINC001179511895 1121887386 /nfs/dbraw/zinc/88/73/86/1121887386.db2.gz JHEBTPAUEPPCQB-UHFFFAOYSA-N 1 2 291.807 3.876 20 0 CHADLO O=C(CCn1cc[nH+]c1)Nc1cccc(-c2ccccc2)c1 ZINC000345628485 1121899044 /nfs/dbraw/zinc/89/90/44/1121899044.db2.gz KCFGFQPGDJSDTI-UHFFFAOYSA-N 1 2 291.354 3.579 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc(SC(F)F)cc1 ZINC000815146959 1121915814 /nfs/dbraw/zinc/91/58/14/1121915814.db2.gz NCAAYKUVTVJSES-UHFFFAOYSA-N 1 2 267.291 3.746 20 0 CHADLO C[C@H]1C[NH+](Cc2c(F)ccc(F)c2Cl)C[C@H](C)S1 ZINC001180024637 1121938838 /nfs/dbraw/zinc/93/88/38/1121938838.db2.gz OWKTYYUBNKSJNF-IUCAKERBSA-N 1 2 291.794 3.944 20 0 CHADLO C[C@H]1COC[C@@H](c2ccccc2)[N@@H+]1Cc1ccccc1F ZINC000501024333 1121941875 /nfs/dbraw/zinc/94/18/75/1121941875.db2.gz MMRZRJBUNTWAAP-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1COC[C@@H](c2ccccc2)[N@H+]1Cc1ccccc1F ZINC000501024333 1121941880 /nfs/dbraw/zinc/94/18/80/1121941880.db2.gz MMRZRJBUNTWAAP-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO c1cn(-c2ccc(CSCC[C@@H]3CCOC3)cc2)c[nH+]1 ZINC000419466575 1121946669 /nfs/dbraw/zinc/94/66/69/1121946669.db2.gz QXSCEVAXNGDEFQ-AWEZNQCLSA-N 1 2 288.416 3.532 20 0 CHADLO CCc1cc(Nc2ccc([NH+]3CCCCC3)cc2)ncn1 ZINC000733185181 1121965638 /nfs/dbraw/zinc/96/56/38/1121965638.db2.gz QAYIWRKSZAFULX-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO C[C@@H](c1ccc(F)c(Cl)c1)[N@H+]1CC[C@@](C)(F)C1 ZINC000501398127 1121974370 /nfs/dbraw/zinc/97/43/70/1121974370.db2.gz ZFFSWGOLJYFYKJ-TVQRCGJNSA-N 1 2 259.727 3.974 20 0 CHADLO C[C@@H](c1ccc(F)c(Cl)c1)[N@@H+]1CC[C@@](C)(F)C1 ZINC000501398127 1121974363 /nfs/dbraw/zinc/97/43/63/1121974363.db2.gz ZFFSWGOLJYFYKJ-TVQRCGJNSA-N 1 2 259.727 3.974 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ncc(C(C)C)o2)cc1C ZINC000733309231 1121974504 /nfs/dbraw/zinc/97/45/04/1121974504.db2.gz GKNBZRBPZWCGDO-UHFFFAOYSA-N 1 2 288.391 3.747 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ncc(C(C)C)o2)cc1C ZINC000733309231 1121974496 /nfs/dbraw/zinc/97/44/96/1121974496.db2.gz GKNBZRBPZWCGDO-UHFFFAOYSA-N 1 2 288.391 3.747 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@@H+]1CC[C@](C)(F)C1 ZINC000501407701 1121976088 /nfs/dbraw/zinc/97/60/88/1121976088.db2.gz DUHFWJYCSLXUAW-GWCFXTLKSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@H+]1CC[C@](C)(F)C1 ZINC000501407701 1121976092 /nfs/dbraw/zinc/97/60/92/1121976092.db2.gz DUHFWJYCSLXUAW-GWCFXTLKSA-N 1 2 286.188 3.944 20 0 CHADLO Cc1ccc(Nc2ccc(C(F)(F)F)cn2)c(C)[nH+]1 ZINC001203362992 1121997588 /nfs/dbraw/zinc/99/75/88/1121997588.db2.gz JMRXPWOUPGCDEM-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO OC1(CCCNc2cc[nH+]c3c(Cl)cccc23)CCC1 ZINC000420635744 1122026966 /nfs/dbraw/zinc/02/69/66/1122026966.db2.gz MGOVEODMYAWJGC-UHFFFAOYSA-N 1 2 290.794 3.995 20 0 CHADLO Cc1cc(CNC(=O)N[C@@H](C)CCC(C)(C)C)cc(C)[nH+]1 ZINC000420908860 1122042402 /nfs/dbraw/zinc/04/24/02/1122042402.db2.gz FSQVITJSHCJABQ-LBPRGKRZSA-N 1 2 291.439 3.712 20 0 CHADLO FC(F)C[C@@H]([NH2+]Cc1cscn1)c1ccccc1 ZINC000420987181 1122052988 /nfs/dbraw/zinc/05/29/88/1122052988.db2.gz OJTSHWFEXDYCNQ-GFCCVEGCSA-N 1 2 268.332 3.629 20 0 CHADLO COC(=O)c1cc(C)sc1Nc1ccc(C)[nH+]c1C ZINC001203367154 1122055623 /nfs/dbraw/zinc/05/56/23/1122055623.db2.gz ISTGCEHQJUDURO-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO CCc1nnc(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)o1 ZINC000421017972 1122065446 /nfs/dbraw/zinc/06/54/46/1122065446.db2.gz VWULAIMNKJLSER-NSHDSACASA-N 1 2 279.409 3.665 20 0 CHADLO CCc1nnc(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)o1 ZINC000421017972 1122065451 /nfs/dbraw/zinc/06/54/51/1122065451.db2.gz VWULAIMNKJLSER-NSHDSACASA-N 1 2 279.409 3.665 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@@H](CF)c2ccc(F)cc2)c(C)n1 ZINC000631798550 1129029356 /nfs/dbraw/zinc/02/93/56/1129029356.db2.gz VBLHRIISHWJMHM-ZBEGNZNMSA-N 1 2 291.345 3.594 20 0 CHADLO Cc1ccc(Nc2cnc(Br)c(C)c2)c(C)[nH+]1 ZINC001203368093 1122076382 /nfs/dbraw/zinc/07/63/82/1122076382.db2.gz UMOSDDVRXUMEJE-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c(Cl)c(C[NH2+]C2(c3ccccc3)CCC2)nn1C ZINC000421319638 1122092049 /nfs/dbraw/zinc/09/20/49/1122092049.db2.gz AQJYAFXFCMIUDZ-UHFFFAOYSA-N 1 2 289.810 3.551 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](c2ccc(F)cc2)C(C)C)n1 ZINC000502511268 1122095057 /nfs/dbraw/zinc/09/50/57/1122095057.db2.gz LXIKOWROYQBGJY-XHDPSFHLSA-N 1 2 291.370 3.819 20 0 CHADLO Cc1ccc(Nc2cc(F)nc(F)c2Cl)c(C)[nH+]1 ZINC001203370416 1122120853 /nfs/dbraw/zinc/12/08/53/1122120853.db2.gz LECQJZIGOKBWCK-UHFFFAOYSA-N 1 2 269.682 3.769 20 0 CHADLO Cc1cc(CNC(=O)C[C@@H](C)c2ccccc2)cc(C)[nH+]1 ZINC000421560112 1122132920 /nfs/dbraw/zinc/13/29/20/1122132920.db2.gz QCYQDRPKGYCVBZ-CYBMUJFWSA-N 1 2 282.387 3.508 20 0 CHADLO CC(C)(C)c1cn(C[C@@H]2CCCC3(CCC3)O2)c[nH+]1 ZINC000901589137 1129034039 /nfs/dbraw/zinc/03/40/39/1129034039.db2.gz YVKORVDNNAEDHW-ZDUSSCGKSA-N 1 2 262.397 3.672 20 0 CHADLO Cc1ccc(/C=C/CC(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421579267 1122140157 /nfs/dbraw/zinc/14/01/57/1122140157.db2.gz TZSZKKWZPPSYHJ-SNAWJCMRSA-N 1 2 294.398 3.727 20 0 CHADLO c1ccc(-c2n[nH]c([C@@H]3CCC[N@H+]3CC3CCCC3)n2)cc1 ZINC000503186092 1122170795 /nfs/dbraw/zinc/17/07/95/1122170795.db2.gz YXMKXZKFLTWTQT-INIZCTEOSA-N 1 2 296.418 3.799 20 0 CHADLO c1ccc(-c2n[nH]c([C@@H]3CCC[N@@H+]3CC3CCCC3)n2)cc1 ZINC000503186092 1122170798 /nfs/dbraw/zinc/17/07/98/1122170798.db2.gz YXMKXZKFLTWTQT-INIZCTEOSA-N 1 2 296.418 3.799 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C2CC2)o1)c1ccc(OC)cc1 ZINC000271724619 1122172439 /nfs/dbraw/zinc/17/24/39/1122172439.db2.gz XLMVZZBLGNVOFQ-OAHLLOKOSA-N 1 2 286.375 3.802 20 0 CHADLO Cc1nonc1C[NH2+][C@H](c1oc2ccccc2c1C)C(C)C ZINC000271716506 1122173121 /nfs/dbraw/zinc/17/31/21/1122173121.db2.gz PFBUTTXGADISKG-INIZCTEOSA-N 1 2 299.374 3.920 20 0 CHADLO CC(C)([NH2+]Cc1ncc(C2CC2)o1)c1cccc(F)c1 ZINC000271852224 1122178724 /nfs/dbraw/zinc/17/87/24/1122178724.db2.gz XPVAWQGRGZJVST-UHFFFAOYSA-N 1 2 274.339 3.716 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nc(CC(C)C)no1)C1CC1 ZINC000272038361 1122187718 /nfs/dbraw/zinc/18/77/18/1122187718.db2.gz ODJCLTNUDCKQPW-GOSISDBHSA-N 1 2 299.418 3.817 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](c2ccccc2C)C2CC2)n1 ZINC000272050750 1122188750 /nfs/dbraw/zinc/18/87/50/1122188750.db2.gz QIYCQKNKLSDCGU-GOSISDBHSA-N 1 2 299.418 3.962 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@@H+](Cc1ncccc1F)CC2 ZINC001182783629 1122189528 /nfs/dbraw/zinc/18/95/28/1122189528.db2.gz WOKWEIKAVGAYAJ-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@H+](Cc1ncccc1F)CC2 ZINC001182783629 1122189531 /nfs/dbraw/zinc/18/95/31/1122189531.db2.gz WOKWEIKAVGAYAJ-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO COc1cc(C)nc(C[NH2+][C@H](C)c2cccc(F)c2F)c1 ZINC000272125196 1122191627 /nfs/dbraw/zinc/19/16/27/1122191627.db2.gz KYSCLTAZLLJHBV-LLVKDONJSA-N 1 2 292.329 3.528 20 0 CHADLO CC[C@H](C)[C@H](C)C(=O)N[C@@H](C)c1ccc(-n2cc[nH+]c2)cc1 ZINC001183019158 1122201645 /nfs/dbraw/zinc/20/16/45/1122201645.db2.gz VMDADLZSLFJOIQ-KKUMJFAQSA-N 1 2 299.418 3.732 20 0 CHADLO CCC[C@@H]([NH2+][C@@H]1CCCc2oc(C)nc21)c1ccccn1 ZINC000924947429 1122215205 /nfs/dbraw/zinc/21/52/05/1122215205.db2.gz RCJSWBQDDZQUIN-HUUCEWRRSA-N 1 2 285.391 3.886 20 0 CHADLO Cc1cc(N[C@@H]2C[C@H](OC(C)C)C2(C)C)c[nH+]c1C ZINC001183527382 1122225686 /nfs/dbraw/zinc/22/56/86/1122225686.db2.gz VVDWCSKIXKILMS-CABCVRRESA-N 1 2 262.397 3.702 20 0 CHADLO CCc1ccc(-c2noc([C@H](C)[NH2+]C/C=C/Cl)n2)cc1 ZINC000273306304 1122228224 /nfs/dbraw/zinc/22/82/24/1122228224.db2.gz LSLZQRVOJHYSHR-VKJYCEFSSA-N 1 2 291.782 3.702 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C2CC2)[nH]1)c1ccc(Cl)s1 ZINC000273505311 1122236621 /nfs/dbraw/zinc/23/66/21/1122236621.db2.gz NJENTMYSNZMUHH-VIFPVBQESA-N 1 2 296.827 3.638 20 0 CHADLO CCOc1cncc(C[N@@H+]2CC[C@H]2c2ccc(F)cc2)c1 ZINC001184143346 1122255598 /nfs/dbraw/zinc/25/55/98/1122255598.db2.gz RMMIWWURYMLOEB-KRWDZBQOSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1cncc(C[N@H+]2CC[C@H]2c2ccc(F)cc2)c1 ZINC001184143346 1122255603 /nfs/dbraw/zinc/25/56/03/1122255603.db2.gz RMMIWWURYMLOEB-KRWDZBQOSA-N 1 2 286.350 3.566 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[NH2+]C1CC(c2ccccc2)C1 ZINC000503568584 1122267853 /nfs/dbraw/zinc/26/78/53/1122267853.db2.gz IOLYNIDOSVVKKG-OFLPRAFFSA-N 1 2 289.419 3.500 20 0 CHADLO CCCOc1cccc2c(N[C@@H](C)[C@H](C)OC)cc[nH+]c12 ZINC000503576467 1122268982 /nfs/dbraw/zinc/26/89/82/1122268982.db2.gz QHLRCNFVIZAFKH-STQMWFEESA-N 1 2 288.391 3.859 20 0 CHADLO c1cn(-c2cccc(N[C@H]3C[C@@H]4CC[C@@H](C4)C3)c2)c[nH+]1 ZINC000711205142 1122272788 /nfs/dbraw/zinc/27/27/88/1122272788.db2.gz WSNLVJLJCGLTJU-FOLVSLTJSA-N 1 2 267.376 3.863 20 0 CHADLO CCCOc1cc(C)ccc1C[NH2+]Cc1nc(C)cs1 ZINC000153594065 1122280929 /nfs/dbraw/zinc/28/09/29/1122280929.db2.gz ADICTBLSJPZAHX-UHFFFAOYSA-N 1 2 290.432 3.839 20 0 CHADLO Cc1cc(O)cc(C)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001184964516 1122303481 /nfs/dbraw/zinc/30/34/81/1122303481.db2.gz LOVNTUJQEHXQBZ-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2C[C@@]2(F)c2ccccc2)c(C)[nH+]1 ZINC000414800209 1122316748 /nfs/dbraw/zinc/31/67/48/1122316748.db2.gz YXKIAHCLXJZEBE-CRAIPNDOSA-N 1 2 298.361 3.830 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ncc(C(C)C)o2)cc1 ZINC000774843911 1122327054 /nfs/dbraw/zinc/32/70/54/1122327054.db2.gz POZANSFZPNWFGF-CYBMUJFWSA-N 1 2 258.365 3.957 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(Cl)n1)c1c(F)cccc1F ZINC000774866487 1122335545 /nfs/dbraw/zinc/33/55/45/1122335545.db2.gz WAOIBNIALPFZCP-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO C[C@@H]1CCc2nc(C[N@@H+]3CCc4ccccc4C3)sc2C1 ZINC000505241714 1122353676 /nfs/dbraw/zinc/35/36/76/1122353676.db2.gz AIHGHPSAKFXKRW-CYBMUJFWSA-N 1 2 298.455 3.826 20 0 CHADLO C[C@@H]1CCc2nc(C[N@H+]3CCc4ccccc4C3)sc2C1 ZINC000505241714 1122353682 /nfs/dbraw/zinc/35/36/82/1122353682.db2.gz AIHGHPSAKFXKRW-CYBMUJFWSA-N 1 2 298.455 3.826 20 0 CHADLO COc1cc(CNc2ccc([NH+](C)C)cc2C)ccc1C ZINC000774915904 1122355779 /nfs/dbraw/zinc/35/57/79/1122355779.db2.gz XSTAAJPWJAJZMH-UHFFFAOYSA-N 1 2 284.403 3.990 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](c2ccc(F)cc2)C(C)C)s1 ZINC000434623344 1122384790 /nfs/dbraw/zinc/38/47/90/1122384790.db2.gz LDGFZTMMIMSMMV-OAHLLOKOSA-N 1 2 293.411 3.815 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](c2ccc(F)cc2)C(C)C)s1 ZINC000434623344 1122384796 /nfs/dbraw/zinc/38/47/96/1122384796.db2.gz LDGFZTMMIMSMMV-OAHLLOKOSA-N 1 2 293.411 3.815 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cc(F)c(F)c1F)c1cncs1 ZINC000281156261 1122394478 /nfs/dbraw/zinc/39/44/78/1122394478.db2.gz PKNSGKJVDCDNIU-ZCFIWIBFSA-N 1 2 290.285 3.550 20 0 CHADLO C=Cc1ccc(CCNc2nc(C)[nH+]c3c2CCCC3)cc1 ZINC001186526207 1122395952 /nfs/dbraw/zinc/39/59/52/1122395952.db2.gz BITVMGYDXOTQMC-UHFFFAOYSA-N 1 2 293.414 3.961 20 0 CHADLO CCc1cc(NC[C@@H]2CCCCC2(F)F)nc(CC)[nH+]1 ZINC001186549652 1122398828 /nfs/dbraw/zinc/39/88/28/1122398828.db2.gz CPSISSSOFOMFBJ-NSHDSACASA-N 1 2 283.366 3.839 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H](c2cncc(F)c2)C2CC2)cc1 ZINC000281631123 1122411836 /nfs/dbraw/zinc/41/18/36/1122411836.db2.gz OISZOGVJSXLZGH-QGZVFWFLSA-N 1 2 285.366 3.850 20 0 CHADLO Cc1[nH+]cc(NC(=O)Nc2cc(Cl)cc(Cl)c2)n1C ZINC001187129243 1122421789 /nfs/dbraw/zinc/42/17/89/1122421789.db2.gz ULCKYPSUPZICHD-UHFFFAOYSA-N 1 2 299.161 3.679 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cncc(OC(F)(F)F)c2)c1 ZINC001203660370 1122426866 /nfs/dbraw/zinc/42/68/66/1122426866.db2.gz GZCYCXLFWORTFN-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(Br)ccc2C)c1 ZINC001203660362 1122426926 /nfs/dbraw/zinc/42/69/26/1122426926.db2.gz GKHSXXABIOPHOY-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1cc(F)c(F)cc1F ZINC000505590182 1122430442 /nfs/dbraw/zinc/43/04/42/1122430442.db2.gz KWIIPHUMEYZPRH-UHFFFAOYSA-N 1 2 271.307 3.797 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1cc(F)c(F)cc1F ZINC000505590182 1122430443 /nfs/dbraw/zinc/43/04/43/1122430443.db2.gz KWIIPHUMEYZPRH-UHFFFAOYSA-N 1 2 271.307 3.797 20 0 CHADLO COCCNc1cccc(F)c1Nc1cc(C)c[nH+]c1C ZINC001203666725 1122439068 /nfs/dbraw/zinc/43/90/68/1122439068.db2.gz DBNJYFADZUDRCY-UHFFFAOYSA-N 1 2 289.354 3.639 20 0 CHADLO CCOC(=O)c1ccc(Nc2cc(C)c[nH+]c2C)cc1F ZINC001203666747 1122440118 /nfs/dbraw/zinc/44/01/18/1122440118.db2.gz FAYASPHMFIVEKU-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO COC(=O)/C=C/c1cccc(Nc2cc(C)c[nH+]c2C)c1 ZINC001203668205 1122441508 /nfs/dbraw/zinc/44/15/08/1122441508.db2.gz CDVGGJCOIWHHFF-BQYQJAHWSA-N 1 2 282.343 3.628 20 0 CHADLO COc1ccccc1[C@@H](C)[N@H+](C)Cc1ccncc1Cl ZINC000075637264 1122442523 /nfs/dbraw/zinc/44/25/23/1122442523.db2.gz WSCSCDKWTSHYJZ-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccccc1[C@@H](C)[N@@H+](C)Cc1ccncc1Cl ZINC000075637264 1122442525 /nfs/dbraw/zinc/44/25/25/1122442525.db2.gz WSCSCDKWTSHYJZ-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1nc(N[C@H](Cc2ccccc2)c2ccccn2)cc[nH+]1 ZINC001187807668 1122443164 /nfs/dbraw/zinc/44/31/64/1122443164.db2.gz HRTSWEDTKGDGKU-QGZVFWFLSA-N 1 2 290.370 3.576 20 0 CHADLO CC(C)CCn1cccc1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC000505638920 1122448697 /nfs/dbraw/zinc/44/86/97/1122448697.db2.gz OXROMYSBVIVEGP-INIZCTEOSA-N 1 2 285.435 3.913 20 0 CHADLO CC(C)CCn1cccc1C[N@H+]1CCn2cccc2[C@@H]1C ZINC000505638920 1122448698 /nfs/dbraw/zinc/44/86/98/1122448698.db2.gz OXROMYSBVIVEGP-INIZCTEOSA-N 1 2 285.435 3.913 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2c(F)cc(C)cc2F)on1 ZINC000425321267 1122453889 /nfs/dbraw/zinc/45/38/89/1122453889.db2.gz DZSYAUYZOSCZJG-OAHLLOKOSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2c(F)cc(C)cc2F)on1 ZINC000425321267 1122453892 /nfs/dbraw/zinc/45/38/92/1122453892.db2.gz DZSYAUYZOSCZJG-OAHLLOKOSA-N 1 2 292.329 3.907 20 0 CHADLO CCN(Cc1c[nH+]cn1C(C)C)Cc1c(F)cccc1F ZINC000425323207 1122454100 /nfs/dbraw/zinc/45/41/00/1122454100.db2.gz IQFAZDWCOJHRJP-UHFFFAOYSA-N 1 2 293.361 3.764 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1cccc(Cl)c1Cl ZINC000620409186 1129055857 /nfs/dbraw/zinc/05/58/57/1129055857.db2.gz BJPBHOOAOZXUNF-UHFFFAOYSA-N 1 2 298.173 3.609 20 0 CHADLO CCc1ccnc(CNc2cc[nH+]c3c(OC)cccc23)c1 ZINC000435596331 1122477637 /nfs/dbraw/zinc/47/76/37/1122477637.db2.gz HJAYMDBEOUVFKZ-UHFFFAOYSA-N 1 2 293.370 3.813 20 0 CHADLO CC(C)Oc1cccc([C@@H](C)[NH2+][C@H](C)c2ncccn2)c1 ZINC000930198237 1122505858 /nfs/dbraw/zinc/50/58/58/1122505858.db2.gz ONEHXHLGZISJFW-ZIAGYGMSSA-N 1 2 285.391 3.676 20 0 CHADLO c1cn(-c2cccc(Nc3ccc4cncnc4c3)c2)c[nH+]1 ZINC001203707272 1122506603 /nfs/dbraw/zinc/50/66/03/1122506603.db2.gz DRPFNOPVSWUARR-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO CC1(C)[C@H](CNc2cc(C(F)(F)F)cc[nH+]2)C1(F)F ZINC000664522747 1122511771 /nfs/dbraw/zinc/51/17/71/1122511771.db2.gz DQJYSQFDRIGBIX-QMMMGPOBSA-N 1 2 280.240 3.804 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(C(F)F)no1)c1ccccc1 ZINC000425464050 1122512123 /nfs/dbraw/zinc/51/21/23/1122512123.db2.gz XAEPTQIRFRRIJA-NSHDSACASA-N 1 2 281.306 3.638 20 0 CHADLO Cc1cc([C@@H]2CCN(c3cccc[nH+]3)C2)c(O)cc1Cl ZINC000664526517 1122514401 /nfs/dbraw/zinc/51/44/01/1122514401.db2.gz VWDGRIHKIAGQME-GFCCVEGCSA-N 1 2 288.778 3.743 20 0 CHADLO Fc1cncc(F)c1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203712121 1122519835 /nfs/dbraw/zinc/51/98/35/1122519835.db2.gz DYRWVDVSTJWHIN-UHFFFAOYSA-N 1 2 289.329 3.516 20 0 CHADLO COc1ccccc1Cc1cn(Cc2ccccc2F)c[nH+]1 ZINC001190274114 1122531252 /nfs/dbraw/zinc/53/12/52/1122531252.db2.gz OVTOZHBDMURUPF-UHFFFAOYSA-N 1 2 296.345 3.670 20 0 CHADLO CC(C)c1ccccc1C(=O)NCc1c[nH+]cn1C1CCC1 ZINC001190281905 1122532741 /nfs/dbraw/zinc/53/27/41/1122532741.db2.gz VAOGYOGRCDSPFP-UHFFFAOYSA-N 1 2 297.402 3.662 20 0 CHADLO O=[N+]([O-])c1ccccc1-c1ccc(CNc2cccc[nH+]2)o1 ZINC000157426373 1122543529 /nfs/dbraw/zinc/54/35/29/1122543529.db2.gz XGIZKLQSIKZYKF-UHFFFAOYSA-N 1 2 295.298 3.862 20 0 CHADLO CCC[C@H]1CCC[N@@H+]([C@@H](C(=O)OC)c2ccccc2F)C1 ZINC001167960825 1122551944 /nfs/dbraw/zinc/55/19/44/1122551944.db2.gz WPQVLMRBNTZDNL-XJKSGUPXSA-N 1 2 293.382 3.552 20 0 CHADLO CCC[C@H]1CCC[N@H+]([C@@H](C(=O)OC)c2ccccc2F)C1 ZINC001167960825 1122551949 /nfs/dbraw/zinc/55/19/49/1122551949.db2.gz WPQVLMRBNTZDNL-XJKSGUPXSA-N 1 2 293.382 3.552 20 0 CHADLO Fc1ccc2[nH]c(-c3ccc(-n4cc[nH+]c4)cc3)nc2c1F ZINC000779705989 1129062518 /nfs/dbraw/zinc/06/25/18/1129062518.db2.gz JKGYIHDHSSBABL-UHFFFAOYSA-N 1 2 296.280 3.694 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1CCc1c(F)cccc1Cl ZINC001191070613 1122568177 /nfs/dbraw/zinc/56/81/77/1122568177.db2.gz NXWXUYGWVZTFIP-CYBMUJFWSA-N 1 2 299.817 3.769 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1CCc1c(F)cccc1Cl ZINC001191070613 1122568182 /nfs/dbraw/zinc/56/81/82/1122568182.db2.gz NXWXUYGWVZTFIP-CYBMUJFWSA-N 1 2 299.817 3.769 20 0 CHADLO CC[C@@]1(C)CCC[N@H+](Cc2nc(C(C)C)ns2)C1 ZINC001191213642 1122582425 /nfs/dbraw/zinc/58/24/25/1122582425.db2.gz JIASGGXVDKWTLK-AWEZNQCLSA-N 1 2 267.442 3.674 20 0 CHADLO CC[C@@]1(C)CCC[N@@H+](Cc2nc(C(C)C)ns2)C1 ZINC001191213642 1122582429 /nfs/dbraw/zinc/58/24/29/1122582429.db2.gz JIASGGXVDKWTLK-AWEZNQCLSA-N 1 2 267.442 3.674 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ccccc2Br)CCO1 ZINC000516297072 1122585281 /nfs/dbraw/zinc/58/52/81/1122585281.db2.gz SXILNSJNCKNHGE-VXGBXAGGSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ccccc2Br)CCO1 ZINC000516297072 1122585285 /nfs/dbraw/zinc/58/52/85/1122585285.db2.gz SXILNSJNCKNHGE-VXGBXAGGSA-N 1 2 298.224 3.621 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)c(Cl)n1 ZINC000838877457 1122588960 /nfs/dbraw/zinc/58/89/60/1122588960.db2.gz YZXSCOJHXZYPHY-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)c(Cl)n1 ZINC000838877457 1122588964 /nfs/dbraw/zinc/58/89/64/1122588964.db2.gz YZXSCOJHXZYPHY-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO CC[C@H]1CCC[N@@H+]([C@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001191409886 1122598022 /nfs/dbraw/zinc/59/80/22/1122598022.db2.gz XHKOYMAMGFUBBI-WFASDCNBSA-N 1 2 295.810 3.676 20 0 CHADLO CC[C@H]1CCC[N@H+]([C@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001191409886 1122598027 /nfs/dbraw/zinc/59/80/27/1122598027.db2.gz XHKOYMAMGFUBBI-WFASDCNBSA-N 1 2 295.810 3.676 20 0 CHADLO CC[C@@H]1CCC[N@@H+]([C@@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001191409882 1122598038 /nfs/dbraw/zinc/59/80/38/1122598038.db2.gz XHKOYMAMGFUBBI-IUODEOHRSA-N 1 2 295.810 3.676 20 0 CHADLO CC[C@@H]1CCC[N@H+]([C@@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001191409882 1122598041 /nfs/dbraw/zinc/59/80/41/1122598041.db2.gz XHKOYMAMGFUBBI-IUODEOHRSA-N 1 2 295.810 3.676 20 0 CHADLO c1nnc([C@@H]([NH2+][C@@H]2CCc3ccccc32)C2CCCCC2)[nH]1 ZINC000638317862 1122598813 /nfs/dbraw/zinc/59/88/13/1122598813.db2.gz UVVFGOKEBXPQPU-SJORKVTESA-N 1 2 296.418 3.703 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)Oc1cccc(C)c1)c1ccccc1 ZINC000775463880 1122604024 /nfs/dbraw/zinc/60/40/24/1122604024.db2.gz FABMPADZFFBERD-KRWDZBQOSA-N 1 2 283.371 3.593 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)Oc1cccc(C)c1)c1ccccc1 ZINC000775463880 1122604028 /nfs/dbraw/zinc/60/40/28/1122604028.db2.gz FABMPADZFFBERD-KRWDZBQOSA-N 1 2 283.371 3.593 20 0 CHADLO CC(C)c1ccc2c(c1)[C@@H]([NH2+][C@H](C)c1ncn(C)n1)CCC2 ZINC000437054293 1122609090 /nfs/dbraw/zinc/60/90/90/1122609090.db2.gz BGDZXIVRHDKITR-DYVFJYSZSA-N 1 2 298.434 3.667 20 0 CHADLO CCCCCOc1cc(C)ccc1C[NH2+]Cc1cnc[nH]1 ZINC000894330924 1122624201 /nfs/dbraw/zinc/62/42/01/1122624201.db2.gz YLQYBYQCKPEVJI-UHFFFAOYSA-N 1 2 287.407 3.577 20 0 CHADLO C[N@H+](CC(F)F)[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437693660 1122650943 /nfs/dbraw/zinc/65/09/43/1122650943.db2.gz RSWZEOJWXRMUGL-DZGCQCFKSA-N 1 2 253.336 3.694 20 0 CHADLO C[N@@H+](CC(F)F)[C@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437693660 1122650945 /nfs/dbraw/zinc/65/09/45/1122650945.db2.gz RSWZEOJWXRMUGL-DZGCQCFKSA-N 1 2 253.336 3.694 20 0 CHADLO Cc1ccc([C@H](CC(F)(F)F)[NH2+]Cc2cc[nH]n2)cc1 ZINC001193071291 1122673013 /nfs/dbraw/zinc/67/30/13/1122673013.db2.gz WRGQHEWMHYTDAR-ZDUSSCGKSA-N 1 2 283.297 3.501 20 0 CHADLO C[C@H]1CCOCC[N@@H+]1Cc1cc(Cl)ccc1Cl ZINC000775569923 1122674563 /nfs/dbraw/zinc/67/45/63/1122674563.db2.gz IUQBXHIOUFMAGB-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@H]1CCOCC[N@H+]1Cc1cc(Cl)ccc1Cl ZINC000775569923 1122674566 /nfs/dbraw/zinc/67/45/66/1122674566.db2.gz IUQBXHIOUFMAGB-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)[C@H](C)[C@H](C)O1 ZINC000438363796 1122694428 /nfs/dbraw/zinc/69/44/28/1122694428.db2.gz HXARBUGXWFVHPP-UTUOFQBUSA-N 1 2 287.325 3.703 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)[C@H](C)[C@H](C)O1 ZINC000438363796 1122694433 /nfs/dbraw/zinc/69/44/33/1122694433.db2.gz HXARBUGXWFVHPP-UTUOFQBUSA-N 1 2 287.325 3.703 20 0 CHADLO CC(C)(CNc1nc(N)c2ccccc2[nH+]1)CC(F)(F)F ZINC000664696266 1122699170 /nfs/dbraw/zinc/69/91/70/1122699170.db2.gz NWCGMJVIZJGKHE-UHFFFAOYSA-N 1 2 298.312 3.603 20 0 CHADLO Clc1ccc(C[N@@H+]2CCO[C@@H](c3ccccc3)C2)cc1 ZINC000506357882 1122724788 /nfs/dbraw/zinc/72/47/88/1122724788.db2.gz VBMJKFNBLXQVHJ-QGZVFWFLSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1ccc(C[N@H+]2CCO[C@@H](c3ccccc3)C2)cc1 ZINC000506357882 1122724793 /nfs/dbraw/zinc/72/47/93/1122724793.db2.gz VBMJKFNBLXQVHJ-QGZVFWFLSA-N 1 2 287.790 3.914 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)Oc1ccc(Cl)cc1 ZINC001194028311 1122739672 /nfs/dbraw/zinc/73/96/72/1122739672.db2.gz PVKXQWCIXBJWND-UHFFFAOYSA-N 1 2 287.706 3.599 20 0 CHADLO Fc1cc(C[NH2+]Cc2ccc(C3CC3)cc2F)c(F)cn1 ZINC000296017746 1122761527 /nfs/dbraw/zinc/76/15/27/1122761527.db2.gz MDBSPSWMZLEODK-UHFFFAOYSA-N 1 2 292.304 3.666 20 0 CHADLO Cc1nc2ccc(C[N@@H+]3CCC[C@@H]3c3ncc[nH]3)cc2s1 ZINC000426352990 1122772267 /nfs/dbraw/zinc/77/22/67/1122772267.db2.gz SLJMBHINLPUPNN-CQSZACIVSA-N 1 2 298.415 3.665 20 0 CHADLO Cc1nc2ccc(C[N@H+]3CCC[C@@H]3c3ncc[nH]3)cc2s1 ZINC000426352990 1122772270 /nfs/dbraw/zinc/77/22/70/1122772270.db2.gz SLJMBHINLPUPNN-CQSZACIVSA-N 1 2 298.415 3.665 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1cccc(Cl)c1)CC2 ZINC001203800572 1122792389 /nfs/dbraw/zinc/79/23/89/1122792389.db2.gz WQVWAFNWGPQKRF-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1cccc(Cl)c1)CC2 ZINC001203800572 1122792392 /nfs/dbraw/zinc/79/23/92/1122792392.db2.gz WQVWAFNWGPQKRF-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO FC(F)(F)[C@@H]1CCC[C@@H](CNc2cccc[nH+]2)C1 ZINC000439561011 1122792442 /nfs/dbraw/zinc/79/24/42/1122792442.db2.gz LMJXSKVJHMRPQU-GHMZBOCLSA-N 1 2 258.287 3.862 20 0 CHADLO O=C(C[C@@H]1C=CCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000426439515 1122794166 /nfs/dbraw/zinc/79/41/66/1122794166.db2.gz IKHSCXZESCBHJM-CQSZACIVSA-N 1 2 281.359 3.557 20 0 CHADLO CC[C@H](C)COC(=O)Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001194801307 1122804045 /nfs/dbraw/zinc/80/40/45/1122804045.db2.gz UWNSSCUHRZNIPP-ZDUSSCGKSA-N 1 2 287.363 3.526 20 0 CHADLO CC(C)CC(C)(C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000439803029 1122806060 /nfs/dbraw/zinc/80/60/60/1122806060.db2.gz WQSZPZKFRZQARM-UHFFFAOYSA-N 1 2 285.391 3.883 20 0 CHADLO CC(C)c1nc(C[NH+]2CCC(C)(c3ccccc3)CC2)no1 ZINC000153917753 1129079761 /nfs/dbraw/zinc/07/97/61/1129079761.db2.gz QSPSAQVNMKGCRI-UHFFFAOYSA-N 1 2 299.418 3.747 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(F)c(F)c1Cl ZINC001205688182 1122847411 /nfs/dbraw/zinc/84/74/11/1122847411.db2.gz HIBYBVLWTFNNRM-UHFFFAOYSA-N 1 2 294.688 3.942 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC[C@@H](C3CC3)C2)cs1 ZINC000894522001 1122869155 /nfs/dbraw/zinc/86/91/55/1122869155.db2.gz AGEYFBWMGXDJST-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC[C@@H](C3CC3)C2)cs1 ZINC000894522001 1122869161 /nfs/dbraw/zinc/86/91/61/1122869161.db2.gz AGEYFBWMGXDJST-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO CC[N@H+](Cc1ccc(C)o1)Cc1cccc(F)c1F ZINC001203301647 1122879791 /nfs/dbraw/zinc/87/97/91/1122879791.db2.gz MLUQQRCMRBUVJQ-UHFFFAOYSA-N 1 2 265.303 3.888 20 0 CHADLO CC[N@@H+](Cc1ccc(C)o1)Cc1cccc(F)c1F ZINC001203301647 1122879796 /nfs/dbraw/zinc/87/97/96/1122879796.db2.gz MLUQQRCMRBUVJQ-UHFFFAOYSA-N 1 2 265.303 3.888 20 0 CHADLO c1ccc(NCCOc2ccc3ccccc3c2)[nH+]c1 ZINC000019777606 1122891379 /nfs/dbraw/zinc/89/13/79/1122891379.db2.gz MNZQVTZBMOAHIO-UHFFFAOYSA-N 1 2 264.328 3.726 20 0 CHADLO CCCCC[C@H](CC)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000775684284 1122902140 /nfs/dbraw/zinc/90/21/40/1122902140.db2.gz CPVYJPJBBLQGER-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO CCC1(CC)CCC[N@H+](Cc2ncn(-c3ccccc3)n2)C1 ZINC001196114560 1122909325 /nfs/dbraw/zinc/90/93/25/1122909325.db2.gz WBHHJERNISTLHF-UHFFFAOYSA-N 1 2 298.434 3.670 20 0 CHADLO CCC1(CC)CCC[N@@H+](Cc2ncn(-c3ccccc3)n2)C1 ZINC001196114560 1122909328 /nfs/dbraw/zinc/90/93/28/1122909328.db2.gz WBHHJERNISTLHF-UHFFFAOYSA-N 1 2 298.434 3.670 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N[C@H](C)CC3CCCCC3)ccn12 ZINC001270675897 1122912172 /nfs/dbraw/zinc/91/21/72/1122912172.db2.gz BVLZQHHLZXLJIP-CYBMUJFWSA-N 1 2 299.418 3.731 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc3ccccc3o2)C[C@](C)(C(F)F)O1 ZINC001196273986 1122915204 /nfs/dbraw/zinc/91/52/04/1122915204.db2.gz CCOXEZQVCVBSFP-BDJLRTHQSA-N 1 2 295.329 3.677 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc3ccccc3o2)C[C@](C)(C(F)F)O1 ZINC001196273986 1122915208 /nfs/dbraw/zinc/91/52/08/1122915208.db2.gz CCOXEZQVCVBSFP-BDJLRTHQSA-N 1 2 295.329 3.677 20 0 CHADLO CCc1cccc(F)c1C[N@H+](C)Cc1cccc(C)n1 ZINC000353656959 1122918923 /nfs/dbraw/zinc/91/89/23/1122918923.db2.gz MLYYOZNPRUEOBG-UHFFFAOYSA-N 1 2 272.367 3.724 20 0 CHADLO CCc1cccc(F)c1C[N@@H+](C)Cc1cccc(C)n1 ZINC000353656959 1122918926 /nfs/dbraw/zinc/91/89/26/1122918926.db2.gz MLYYOZNPRUEOBG-UHFFFAOYSA-N 1 2 272.367 3.724 20 0 CHADLO C[C@H](C[N@@H+]1CCCc2[nH]ncc2C1)c1cccc(Cl)c1 ZINC000664861432 1122938837 /nfs/dbraw/zinc/93/88/37/1122938837.db2.gz ROKBVUOFERTMMC-GFCCVEGCSA-N 1 2 289.810 3.615 20 0 CHADLO C[C@H](C[N@H+]1CCCc2[nH]ncc2C1)c1cccc(Cl)c1 ZINC000664861432 1122938840 /nfs/dbraw/zinc/93/88/40/1122938840.db2.gz ROKBVUOFERTMMC-GFCCVEGCSA-N 1 2 289.810 3.615 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000507368643 1122950792 /nfs/dbraw/zinc/95/07/92/1122950792.db2.gz BAVXIMVMRKBAQO-LJQANCHMSA-N 1 2 292.382 3.995 20 0 CHADLO Cc1ccoc1C[N@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000507368643 1122950794 /nfs/dbraw/zinc/95/07/94/1122950794.db2.gz BAVXIMVMRKBAQO-LJQANCHMSA-N 1 2 292.382 3.995 20 0 CHADLO Fc1ccc(Br)c(C[NH+]2CCC(F)CC2)c1 ZINC001197334790 1122954156 /nfs/dbraw/zinc/95/41/56/1122954156.db2.gz AIATYSVGOKPESC-UHFFFAOYSA-N 1 2 290.151 3.522 20 0 CHADLO Cc1ncc(C[NH+]2CCC(=Cc3ccccc3F)CC2)cn1 ZINC000334169881 1122966336 /nfs/dbraw/zinc/96/63/36/1122966336.db2.gz ACKNTTXYECOFRS-UHFFFAOYSA-N 1 2 297.377 3.604 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@H+](Cc1cccc(F)c1)C1CCC1 ZINC001197959181 1122976062 /nfs/dbraw/zinc/97/60/62/1122976062.db2.gz MOCDHGWQGBSEDE-CYBMUJFWSA-N 1 2 293.382 3.522 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@@H+](Cc1cccc(F)c1)C1CCC1 ZINC001197959181 1122976064 /nfs/dbraw/zinc/97/60/64/1122976064.db2.gz MOCDHGWQGBSEDE-CYBMUJFWSA-N 1 2 293.382 3.522 20 0 CHADLO c1c2c(nn1C1CCCC1)[C@@H](Nc1cccc[nH+]1)CCC2 ZINC000334310915 1122985242 /nfs/dbraw/zinc/98/52/42/1122985242.db2.gz PSNLLACIIXPNFH-HNNXBMFYSA-N 1 2 282.391 3.883 20 0 CHADLO CC(C)c1ccccc1COC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000909413416 1122992408 /nfs/dbraw/zinc/99/24/08/1122992408.db2.gz RROLRWVJLPJOPA-CQSZACIVSA-N 1 2 286.375 3.701 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@@H+]1CCC[C@@H](F)C1 ZINC001198466715 1122993333 /nfs/dbraw/zinc/99/33/33/1122993333.db2.gz CXJBNCJGDILRTM-WDEREUQCSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@H+]1CCC[C@@H](F)C1 ZINC001198466715 1122993337 /nfs/dbraw/zinc/99/33/37/1122993337.db2.gz CXJBNCJGDILRTM-WDEREUQCSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@@]1(C2CC2)COCC[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001198498825 1122995376 /nfs/dbraw/zinc/99/53/76/1122995376.db2.gz OQFOXEVWHQOKSO-HNNXBMFYSA-N 1 2 299.336 3.706 20 0 CHADLO C[C@@]1(C2CC2)COCC[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001198498825 1122995379 /nfs/dbraw/zinc/99/53/79/1122995379.db2.gz OQFOXEVWHQOKSO-HNNXBMFYSA-N 1 2 299.336 3.706 20 0 CHADLO Cc1[nH+]cc(NC(=S)Nc2ccc(C(C)C)cc2)n1C ZINC001198596217 1123000588 /nfs/dbraw/zinc/00/05/88/1123000588.db2.gz HRMIVFHRBQBQMA-UHFFFAOYSA-N 1 2 288.420 3.661 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+]2CCc3c(C)cccc3C2)n1 ZINC000729480253 1123006435 /nfs/dbraw/zinc/00/64/35/1123006435.db2.gz QVMWOXRMYXEASE-CQSZACIVSA-N 1 2 299.418 3.840 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+]2CCc3c(C)cccc3C2)n1 ZINC000729480253 1123006437 /nfs/dbraw/zinc/00/64/37/1123006437.db2.gz QVMWOXRMYXEASE-CQSZACIVSA-N 1 2 299.418 3.840 20 0 CHADLO COC(=O)[C@]1([NH2+]CC2CC=CC2)CC[C@H](C)c2ccccc21 ZINC001198718702 1123008502 /nfs/dbraw/zinc/00/85/02/1123008502.db2.gz LYQIMIKXEOMTKQ-LIRRHRJNSA-N 1 2 299.414 3.508 20 0 CHADLO CC(C)c1ccc2c(c1)CC[N@@H+](Cc1cc3n(n1)CCC3)C2 ZINC001198844599 1123013088 /nfs/dbraw/zinc/01/30/88/1123013088.db2.gz OUHKVKWIZLUDIM-UHFFFAOYSA-N 1 2 295.430 3.511 20 0 CHADLO CC(C)c1ccc2c(c1)CC[N@H+](Cc1cc3n(n1)CCC3)C2 ZINC001198844599 1123013092 /nfs/dbraw/zinc/01/30/92/1123013092.db2.gz OUHKVKWIZLUDIM-UHFFFAOYSA-N 1 2 295.430 3.511 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+][C@@H](C)c1cc(F)cc(F)c1 ZINC000164810211 1123054424 /nfs/dbraw/zinc/05/44/24/1123054424.db2.gz YDUBIMWJGBKKKK-LBPRGKRZSA-N 1 2 293.361 3.668 20 0 CHADLO CCc1cc(NC(=S)Nc2cccc(Cl)c2)cc[nH+]1 ZINC001201096380 1123073289 /nfs/dbraw/zinc/07/32/89/1123073289.db2.gz IXSCEUIHPUJMAU-UHFFFAOYSA-N 1 2 291.807 3.528 20 0 CHADLO CC[N@H+](Cc1ncc(C)o1)Cc1ccc(Cl)cc1 ZINC000441276624 1123074133 /nfs/dbraw/zinc/07/41/33/1123074133.db2.gz KWSXEHRMEWYTQP-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1ncc(C)o1)Cc1ccc(Cl)cc1 ZINC000441276624 1123074139 /nfs/dbraw/zinc/07/41/39/1123074139.db2.gz KWSXEHRMEWYTQP-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO Cc1cc(C)cc(NC(=S)Nc2ccn3cc[nH+]c3c2)c1 ZINC001201198882 1123077593 /nfs/dbraw/zinc/07/75/93/1123077593.db2.gz GEGOZPCNMNSPOE-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO Cc1ccc(Br)c(C)c1Nc1ccc(N)[nH+]c1 ZINC001201285385 1123080964 /nfs/dbraw/zinc/08/09/64/1123080964.db2.gz HFTCRXWEYFOYJV-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1cccc(C(F)(F)F)c1Nc1ccc(N)[nH+]c1 ZINC001201287219 1123081715 /nfs/dbraw/zinc/08/17/15/1123081715.db2.gz ZWDWDYNDAFQMHL-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Nc1ccc(Nc2ccc(-c3ccco3)cc2)c[nH+]1 ZINC001201287149 1123082478 /nfs/dbraw/zinc/08/24/78/1123082478.db2.gz WBBUJYARQWSZFO-UHFFFAOYSA-N 1 2 251.289 3.667 20 0 CHADLO Nc1ccc(Nc2c(Cl)ncc3ccccc32)c[nH+]1 ZINC001201275748 1123084942 /nfs/dbraw/zinc/08/49/42/1123084942.db2.gz LDUXIEMCMTXVMG-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2cnc3ccccc3c2Cl)c[nH+]1 ZINC001201279156 1123085325 /nfs/dbraw/zinc/08/53/25/1123085325.db2.gz QGHFGJQOYYLTIN-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO COc1cccc2c(Nc3ccc(N)[nH+]c3)cccc12 ZINC001201294479 1123085339 /nfs/dbraw/zinc/08/53/39/1123085339.db2.gz AEXLSAGGXAYGLR-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO COC1(CNc2ccc(-n3cc[nH+]c3)c(C)c2)CCCC1 ZINC001201355334 1123090486 /nfs/dbraw/zinc/09/04/86/1123090486.db2.gz DCXYGNHHVUAPDT-UHFFFAOYSA-N 1 2 285.391 3.552 20 0 CHADLO COc1nc(C(C)C)ccc1Nc1cccc2[nH+]c(C)cn21 ZINC001201431825 1123100953 /nfs/dbraw/zinc/10/09/53/1123100953.db2.gz RUCVVMQZAYFQJE-UHFFFAOYSA-N 1 2 296.374 3.913 20 0 CHADLO Clc1ccc(C[NH2+][C@H]2COc3c2cccc3Cl)nc1 ZINC000776171991 1123101616 /nfs/dbraw/zinc/10/16/16/1123101616.db2.gz RBXSTXFQQKEPRA-ZDUSSCGKSA-N 1 2 295.169 3.612 20 0 CHADLO Cc1cc(NCc2ccccc2C)nc(C(C)C)[nH+]1 ZINC000048106598 1123102159 /nfs/dbraw/zinc/10/21/59/1123102159.db2.gz UVOLUDSHQFZLQO-UHFFFAOYSA-N 1 2 255.365 3.829 20 0 CHADLO CC(C)Nc1ccc(Nc2[nH+]cccc2N(C)C)cc1 ZINC001201491207 1123109236 /nfs/dbraw/zinc/10/92/36/1123109236.db2.gz PAFQCNLSHIGOII-UHFFFAOYSA-N 1 2 270.380 3.712 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnn3ccccc23)cc1 ZINC001201490585 1123109413 /nfs/dbraw/zinc/10/94/13/1123109413.db2.gz HHXASCYHOPTDTG-UHFFFAOYSA-N 1 2 266.348 3.898 20 0 CHADLO COC(=O)c1ccnc(C)c1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201490999 1123109637 /nfs/dbraw/zinc/10/96/37/1123109637.db2.gz KBIDPULQSZWZNX-UHFFFAOYSA-N 1 2 299.374 3.741 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(NC(C)C)cc1 ZINC001201498406 1123111220 /nfs/dbraw/zinc/11/12/20/1123111220.db2.gz XMVWLZXDIOOKNZ-UHFFFAOYSA-N 1 2 280.375 3.736 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cncc(OC(C)C)c1 ZINC001201535086 1123116060 /nfs/dbraw/zinc/11/60/60/1123116060.db2.gz MRSTUZHNGWJWIW-UHFFFAOYSA-N 1 2 271.364 3.878 20 0 CHADLO Oc1cncc(Nc2cc[nH+]c3cc(Cl)ccc23)c1 ZINC001201632454 1123130236 /nfs/dbraw/zinc/13/02/36/1123130236.db2.gz DRUIBLCLJSTOPD-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO C[C@@H](C(=O)Nc1ccsc1Cl)[NH+]1[C@H](C)CC[C@H]1C ZINC000189499218 1123139700 /nfs/dbraw/zinc/13/97/00/1123139700.db2.gz IWSVTVFTZPPNEG-BBBLOLIVSA-N 1 2 286.828 3.601 20 0 CHADLO C[C@@H]1SCC[N@H+](Cc2cc(-c3cccs3)on2)[C@H]1C ZINC000118413934 1123160143 /nfs/dbraw/zinc/16/01/43/1123160143.db2.gz PHDPJXVASYKCCD-QWRGUYRKSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1SCC[N@@H+](Cc2cc(-c3cccs3)on2)[C@H]1C ZINC000118413934 1123160144 /nfs/dbraw/zinc/16/01/44/1123160144.db2.gz PHDPJXVASYKCCD-QWRGUYRKSA-N 1 2 294.445 3.729 20 0 CHADLO COC(=O)c1occc1C[NH2+][C@H](C)c1cc2ccccc2o1 ZINC000190643869 1123167668 /nfs/dbraw/zinc/16/76/68/1123167668.db2.gz SSBRSJWLHUIBJC-LLVKDONJSA-N 1 2 299.326 3.663 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@@H+]1CCOC[C@@H]1C1CC1 ZINC000507785772 1123187308 /nfs/dbraw/zinc/18/73/08/1123187308.db2.gz WYNURVITSXSUTH-QGZVFWFLSA-N 1 2 299.370 3.683 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@H+]1CCOC[C@@H]1C1CC1 ZINC000507785772 1123187310 /nfs/dbraw/zinc/18/73/10/1123187310.db2.gz WYNURVITSXSUTH-QGZVFWFLSA-N 1 2 299.370 3.683 20 0 CHADLO O=C(CC1CCCCCC1)Nc1cccc2[nH+]ccn21 ZINC000427613262 1123199266 /nfs/dbraw/zinc/19/92/66/1123199266.db2.gz RMACRYLHHIAZJE-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@H](C)c1nc(C(C)(C)C)cs1 ZINC000184447551 1123206705 /nfs/dbraw/zinc/20/67/05/1123206705.db2.gz CKMOCEHALIDKAA-SNVBAGLBSA-N 1 2 293.436 3.896 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(Cl)s2)no1 ZINC000336781712 1123207675 /nfs/dbraw/zinc/20/76/75/1123207675.db2.gz VJGUFEKRXNMMOA-MRVPVSSYSA-N 1 2 256.758 3.549 20 0 CHADLO Cc1ccc(NC(=O)Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001202432082 1123210829 /nfs/dbraw/zinc/21/08/29/1123210829.db2.gz SWMMLLFAOWYPAZ-UHFFFAOYSA-N 1 2 292.342 3.825 20 0 CHADLO Cc1ccsc1-c1nn(C[N@H+](C)CC2CC2)c(=S)o1 ZINC000106854254 1123214878 /nfs/dbraw/zinc/21/48/78/1123214878.db2.gz XZCSZCSUNAFQSQ-UHFFFAOYSA-N 1 2 295.433 3.542 20 0 CHADLO Cc1ccsc1-c1nn(C[N@@H+](C)CC2CC2)c(=S)o1 ZINC000106854254 1123214883 /nfs/dbraw/zinc/21/48/83/1123214883.db2.gz XZCSZCSUNAFQSQ-UHFFFAOYSA-N 1 2 295.433 3.542 20 0 CHADLO Cc1coc(C[N@@H+]2CCC[C@H]2c2cccc(Cl)c2)n1 ZINC001237643379 1129107094 /nfs/dbraw/zinc/10/70/94/1129107094.db2.gz PEVUETRVEUHGHF-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1coc(C[N@H+]2CCC[C@H]2c2cccc(Cl)c2)n1 ZINC001237643379 1129107097 /nfs/dbraw/zinc/10/70/97/1129107097.db2.gz PEVUETRVEUHGHF-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO CCCOc1ccc(C[NH2+]Cc2coc(CC)n2)c(C)c1 ZINC000850316173 1123252908 /nfs/dbraw/zinc/25/29/08/1123252908.db2.gz CNNBJVIJXBSPRV-UHFFFAOYSA-N 1 2 288.391 3.624 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC1CC(CF)(CF)C1 ZINC000850449732 1123268519 /nfs/dbraw/zinc/26/85/19/1123268519.db2.gz XFFITBVEISEGGR-UHFFFAOYSA-N 1 2 268.351 3.561 20 0 CHADLO C[C@H](CSCCF)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000850458773 1123270283 /nfs/dbraw/zinc/27/02/83/1123270283.db2.gz PKTICCIWLXEPRE-GFCCVEGCSA-N 1 2 282.428 3.572 20 0 CHADLO C[C@H](CSCCF)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000850458773 1123270286 /nfs/dbraw/zinc/27/02/86/1123270286.db2.gz PKTICCIWLXEPRE-GFCCVEGCSA-N 1 2 282.428 3.572 20 0 CHADLO CC(C)CC1(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CC1 ZINC000442012047 1123297839 /nfs/dbraw/zinc/29/78/39/1123297839.db2.gz FDZUEGSUADIMLV-UHFFFAOYSA-N 1 2 283.375 3.842 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCOC3(CCCCC3)C2)c1 ZINC000895149878 1123298545 /nfs/dbraw/zinc/29/85/45/1123298545.db2.gz CHFSPXDTCXXURN-OAHLLOKOSA-N 1 2 290.407 3.522 20 0 CHADLO Cc1ncc([C@H](C)[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)c(C)n1 ZINC000639204875 1123298774 /nfs/dbraw/zinc/29/87/74/1123298774.db2.gz DLGMPWGWCBCWRT-SUMWQHHRSA-N 1 2 296.418 3.633 20 0 CHADLO Cc1ncc([C@H](C)[N@H+]2CC(C)(C)[C@H]2c2ccncc2)c(C)n1 ZINC000639204875 1123298776 /nfs/dbraw/zinc/29/87/76/1123298776.db2.gz DLGMPWGWCBCWRT-SUMWQHHRSA-N 1 2 296.418 3.633 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)C[C@H](C)C2)c(C)[nH+]1 ZINC000335154534 1123310823 /nfs/dbraw/zinc/31/08/23/1123310823.db2.gz DRNBYXTZJOMMQA-PHIMTYICSA-N 1 2 275.396 3.517 20 0 CHADLO CC1(C)CC[N@H+](Cc2ncc(Cl)s2)CCS1 ZINC000335346605 1123361948 /nfs/dbraw/zinc/36/19/48/1123361948.db2.gz ZLLIUTHDOZPIQI-UHFFFAOYSA-N 1 2 276.858 3.514 20 0 CHADLO CC1(C)CC[N@@H+](Cc2ncc(Cl)s2)CCS1 ZINC000335346605 1123361952 /nfs/dbraw/zinc/36/19/52/1123361952.db2.gz ZLLIUTHDOZPIQI-UHFFFAOYSA-N 1 2 276.858 3.514 20 0 CHADLO NC(=O)CC1CCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000335460554 1123371044 /nfs/dbraw/zinc/37/10/44/1123371044.db2.gz FJAMEMRJWZYHIJ-UHFFFAOYSA-N 1 2 287.338 3.516 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1cnon1)C1CCCCC1 ZINC000851752891 1123379693 /nfs/dbraw/zinc/37/96/93/1123379693.db2.gz OCTBGSWOICTNOP-UHFFFAOYSA-N 1 2 289.354 3.544 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1cnon1)C1CCCCC1 ZINC000851752891 1123379698 /nfs/dbraw/zinc/37/96/98/1123379698.db2.gz OCTBGSWOICTNOP-UHFFFAOYSA-N 1 2 289.354 3.544 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCCC[C@H]2C)c(C)[nH+]1 ZINC000335591540 1123380649 /nfs/dbraw/zinc/38/06/49/1123380649.db2.gz XHEOIAAISVFDRU-CYBMUJFWSA-N 1 2 275.396 3.803 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2CCCC2(F)F)c(C)[nH+]1 ZINC000335591298 1123380713 /nfs/dbraw/zinc/38/07/13/1123380713.db2.gz SJAZYYDZEWXYQD-LBPRGKRZSA-N 1 2 297.349 3.564 20 0 CHADLO ClC(Cl)=CC[NH2+]C1(c2nccs2)CCCC1 ZINC000851850253 1123384093 /nfs/dbraw/zinc/38/40/93/1123384093.db2.gz IWMHOTYNDJELFH-UHFFFAOYSA-N 1 2 277.220 3.821 20 0 CHADLO C[C@H](CC(=O)N1CC[C@H](C(C)(C)C)C[C@H]1C)n1cc[nH+]c1 ZINC000583503039 1123390551 /nfs/dbraw/zinc/39/05/51/1123390551.db2.gz OGKJQILHYNQSGZ-KFWWJZLASA-N 1 2 291.439 3.507 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccccc1 ZINC000398446771 1123405634 /nfs/dbraw/zinc/40/56/34/1123405634.db2.gz BMUVZBNQSIWQKB-WCQYABFASA-N 1 2 261.394 3.730 20 0 CHADLO Fc1cc(C[NH+]2CC3(CC3(F)F)C2)ccc1C(F)F ZINC000895200120 1123406607 /nfs/dbraw/zinc/40/66/07/1123406607.db2.gz NGRVRZJDGGJYKR-UHFFFAOYSA-N 1 2 277.236 3.604 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CCCC3)OC[C@H]2C)cc(Cl)n1 ZINC000852635664 1123410835 /nfs/dbraw/zinc/41/08/35/1123410835.db2.gz IVFQTHOLRWYEOI-CYBMUJFWSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CCCC3)OC[C@H]2C)cc(Cl)n1 ZINC000852635664 1123410838 /nfs/dbraw/zinc/41/08/38/1123410838.db2.gz IVFQTHOLRWYEOI-CYBMUJFWSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1ccc(C[N@H+]2CC3(CCCC3)OC[C@H]2C)c(Cl)n1 ZINC000852637353 1123411070 /nfs/dbraw/zinc/41/10/70/1123411070.db2.gz VQZSPATXAVEAQO-CYBMUJFWSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1ccc(C[N@@H+]2CC3(CCCC3)OC[C@H]2C)c(Cl)n1 ZINC000852637353 1123411072 /nfs/dbraw/zinc/41/10/72/1123411072.db2.gz VQZSPATXAVEAQO-CYBMUJFWSA-N 1 2 294.826 3.577 20 0 CHADLO CC(C)c1cnc(SCc2cc[nH+]c(N)c2)n1C(C)C ZINC000443480452 1123415322 /nfs/dbraw/zinc/41/53/22/1123415322.db2.gz BFLXFKMLFPMKHY-UHFFFAOYSA-N 1 2 290.436 3.687 20 0 CHADLO Nc1cc(CSc2ccc(F)c(Cl)c2)cc[nH+]1 ZINC000443477469 1123415425 /nfs/dbraw/zinc/41/54/25/1123415425.db2.gz QHEBEFPBXSNKPA-UHFFFAOYSA-N 1 2 268.744 3.579 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(C2CC2)n1)c1ccc2c(c1)CCCO2 ZINC000853094308 1123424219 /nfs/dbraw/zinc/42/42/19/1123424219.db2.gz AYUKBRJFTPOPDC-GFCCVEGCSA-N 1 2 298.386 3.728 20 0 CHADLO CC(C)c1ccccc1N(C)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000335812581 1123436253 /nfs/dbraw/zinc/43/62/53/1123436253.db2.gz RHCVIBPHIILBLS-AWEZNQCLSA-N 1 2 297.402 3.616 20 0 CHADLO CCC[N@@H+](Cc1ccc(O)c2nc(C)ccc12)CC(F)F ZINC000429687040 1123438107 /nfs/dbraw/zinc/43/81/07/1123438107.db2.gz QOQQLKQNKASSNO-UHFFFAOYSA-N 1 2 294.345 3.726 20 0 CHADLO CCC[N@H+](Cc1ccc(O)c2nc(C)ccc12)CC(F)F ZINC000429687040 1123438109 /nfs/dbraw/zinc/43/81/09/1123438109.db2.gz QOQQLKQNKASSNO-UHFFFAOYSA-N 1 2 294.345 3.726 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccs2)c(Cl)n1 ZINC000688567117 1123475539 /nfs/dbraw/zinc/47/55/39/1123475539.db2.gz WULPSGJDHWBKJA-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccs2)c(Cl)n1 ZINC000688567117 1123475542 /nfs/dbraw/zinc/47/55/42/1123475542.db2.gz WULPSGJDHWBKJA-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CCC[C@@H](NC(=O)c1cccc2[nH+]ccn21)c1ccccc1 ZINC000776748803 1123489668 /nfs/dbraw/zinc/48/96/68/1123489668.db2.gz IPECCDSUMNUOCK-OAHLLOKOSA-N 1 2 293.370 3.606 20 0 CHADLO C[C@@H]1CC([NH2+]C2=CC=C[CH]2)C[C@@H](C)[NH+]1Cc1ccccc1 ZINC001168030704 1123490411 /nfs/dbraw/zinc/49/04/11/1123490411.db2.gz WQURUOJPLCZAPE-HZPDHXFCSA-N 1 2 281.423 3.676 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N(C)C)cc1C)C1CCOCC1 ZINC000776767080 1123502684 /nfs/dbraw/zinc/50/26/84/1123502684.db2.gz SRRNFWLSEHRPCQ-MRXNPFEDSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@@H](Nc1ccc([NH+](C)C)cc1C)C1CCOCC1 ZINC000776767080 1123502685 /nfs/dbraw/zinc/50/26/85/1123502685.db2.gz SRRNFWLSEHRPCQ-MRXNPFEDSA-N 1 2 276.424 3.678 20 0 CHADLO CCN(C(=O)c1cccc2[nH+]ccn21)c1cccc(C)c1C ZINC000776804397 1123514074 /nfs/dbraw/zinc/51/40/74/1123514074.db2.gz HMRPDEPIVNKONJ-UHFFFAOYSA-N 1 2 293.370 3.618 20 0 CHADLO CCCc1ccccc1NC(=O)c1cccc2[nH+]ccn21 ZINC000776809369 1123517062 /nfs/dbraw/zinc/51/70/62/1123517062.db2.gz FLTSQQBALUBDGV-UHFFFAOYSA-N 1 2 279.343 3.539 20 0 CHADLO C[C@@H]1CCC[C@@H](C2C[NH+](Cc3nnc(C4CC4)s3)C2)C1 ZINC000895862107 1123520477 /nfs/dbraw/zinc/52/04/77/1123520477.db2.gz VLYCRXHGTYZCIF-DGCLKSJQSA-N 1 2 291.464 3.674 20 0 CHADLO Cc1cc(C)c2cc(NCCC[C@@H]3CCO3)ccc2[nH+]1 ZINC000895879708 1123524433 /nfs/dbraw/zinc/52/44/33/1123524433.db2.gz URSQPNOATUAYAK-OAHLLOKOSA-N 1 2 270.376 3.833 20 0 CHADLO CC(C)Cc1ccc(C[NH2+]Cc2ncc(Cl)s2)cn1 ZINC000895931365 1123532549 /nfs/dbraw/zinc/53/25/49/1123532549.db2.gz LDRNKHUDQPRIBB-UHFFFAOYSA-N 1 2 295.839 3.680 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(F)c(F)c2)s1 ZINC000273736772 1129127966 /nfs/dbraw/zinc/12/79/66/1129127966.db2.gz UTBTZCLYLIJVKV-SFYZADRCSA-N 1 2 283.347 3.537 20 0 CHADLO C[C@@H](Nc1cccc(-n2cc[nH+]c2)c1)C1CCCC1 ZINC000054078667 1123552754 /nfs/dbraw/zinc/55/27/54/1123552754.db2.gz DEEMKGDOLIRDHS-CYBMUJFWSA-N 1 2 255.365 3.863 20 0 CHADLO O=C(c1cccc2[nH+]ccn21)N1CCCC[C@@H]1CC1CCC1 ZINC000856271736 1123553704 /nfs/dbraw/zinc/55/37/04/1123553704.db2.gz LSWQZJDRAPEZRP-OAHLLOKOSA-N 1 2 297.402 3.519 20 0 CHADLO O=C(c1cccc2[nH+]ccn21)N(CC1CC1)C1CCCCC1 ZINC000776889901 1123562989 /nfs/dbraw/zinc/56/29/89/1123562989.db2.gz XVHGUASRCLCPJP-UHFFFAOYSA-N 1 2 297.402 3.519 20 0 CHADLO CCC[C@H]([NH2+]CC[C@@H](C)c1ccccc1)C(=O)OCC ZINC000097988388 1123577457 /nfs/dbraw/zinc/57/74/57/1123577457.db2.gz NUEGSTLYZSWKAG-ZBFHGGJFSA-N 1 2 277.408 3.502 20 0 CHADLO Cn1cc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccccc2)c(Cl)n1 ZINC000857013192 1123577724 /nfs/dbraw/zinc/57/77/24/1123577724.db2.gz IMHXXPANQOAKEU-AWEZNQCLSA-N 1 2 289.810 3.657 20 0 CHADLO Cn1cc(C[N@H+]2CC(C)(C)[C@@H]2c2ccccc2)c(Cl)n1 ZINC000857013192 1123577727 /nfs/dbraw/zinc/57/77/27/1123577727.db2.gz IMHXXPANQOAKEU-AWEZNQCLSA-N 1 2 289.810 3.657 20 0 CHADLO Cc1nc(N[C@@H]2CCCc3cccnc32)c2c([nH+]1)CCCC2 ZINC000896413852 1123581287 /nfs/dbraw/zinc/58/12/87/1123581287.db2.gz XNRBOVZLQXIGGO-MRXNPFEDSA-N 1 2 294.402 3.548 20 0 CHADLO Cc1cc(N[C@H]2CCCc3cccnc32)nc(C2CC2)[nH+]1 ZINC000896420188 1123583017 /nfs/dbraw/zinc/58/30/17/1123583017.db2.gz XJVJUAPXWRKXFB-AWEZNQCLSA-N 1 2 280.375 3.547 20 0 CHADLO Nc1ccc2c(c1)C[N@@H+](Cc1cccc(Cl)c1F)CC2 ZINC000228239612 1123595335 /nfs/dbraw/zinc/59/53/35/1123595335.db2.gz SBNVIPWALIQKDC-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc2c(c1)C[N@H+](Cc1cccc(Cl)c1F)CC2 ZINC000228239612 1123595337 /nfs/dbraw/zinc/59/53/37/1123595337.db2.gz SBNVIPWALIQKDC-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO C[C@H](c1ccc2c(c1)CCC2)[N@@H+]1Cc2ccncc2C1 ZINC000857882566 1123624631 /nfs/dbraw/zinc/62/46/31/1123624631.db2.gz UELTYPPMWLFOPG-CYBMUJFWSA-N 1 2 264.372 3.647 20 0 CHADLO C[C@H](c1ccc2c(c1)CCC2)[N@H+]1Cc2ccncc2C1 ZINC000857882566 1123624635 /nfs/dbraw/zinc/62/46/35/1123624635.db2.gz UELTYPPMWLFOPG-CYBMUJFWSA-N 1 2 264.372 3.647 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C2CC2)cs1)c1c(C)noc1C ZINC000329642203 1123638480 /nfs/dbraw/zinc/63/84/80/1123638480.db2.gz ADKSFDCXHVVLCD-GFCCVEGCSA-N 1 2 291.420 3.866 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+](Cc1ccncc1)C1CC1 ZINC000428312045 1123649124 /nfs/dbraw/zinc/64/91/24/1123649124.db2.gz UUTCSSMLSWQMAY-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+](Cc1ccncc1)C1CC1 ZINC000428312045 1123649127 /nfs/dbraw/zinc/64/91/27/1123649127.db2.gz UUTCSSMLSWQMAY-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO COc1c(Nc2c[nH+]c(C)cc2C)ccc(F)c1F ZINC001211889220 1123659896 /nfs/dbraw/zinc/65/98/96/1123659896.db2.gz LELXCBCWSQJYRX-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nccs2)cc2cccnc12 ZINC000346169818 1123670085 /nfs/dbraw/zinc/67/00/85/1123670085.db2.gz KIGJGOUGDHJHBB-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nccs2)cc2cccnc12 ZINC000346169818 1123670086 /nfs/dbraw/zinc/67/00/86/1123670086.db2.gz KIGJGOUGDHJHBB-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2C)C2CC2)oc1C ZINC000346454567 1123687997 /nfs/dbraw/zinc/68/79/97/1123687997.db2.gz COFJLWAXXUMZEM-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2C)C2CC2)oc1C ZINC000346454567 1123688000 /nfs/dbraw/zinc/68/80/00/1123688000.db2.gz COFJLWAXXUMZEM-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(Cl)n(C)n1)c1ccc(F)cc1F ZINC000859235041 1123691609 /nfs/dbraw/zinc/69/16/09/1123691609.db2.gz IQXYUVCHHXUMMJ-CYBMUJFWSA-N 1 2 299.752 3.593 20 0 CHADLO Cn1nc(C[NH+]2CCC(c3ccsc3)CC2)cc1Cl ZINC000859261949 1123693157 /nfs/dbraw/zinc/69/31/57/1123693157.db2.gz HFJGOULJDLDNGL-UHFFFAOYSA-N 1 2 295.839 3.515 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[NH2+][C@H](C)c1ccns1 ZINC000860051138 1123719358 /nfs/dbraw/zinc/71/93/58/1123719358.db2.gz FHKDIOXLEBTKPF-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nccn1-c1ccccc1)c1ccns1 ZINC000860062252 1123719971 /nfs/dbraw/zinc/71/99/71/1123719971.db2.gz SJXQCYAKIYNKQU-STQMWFEESA-N 1 2 298.415 3.741 20 0 CHADLO CCC(CC)N(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CC1 ZINC000522724686 1123720899 /nfs/dbraw/zinc/72/08/99/1123720899.db2.gz HOZJKVCILXXZQP-UHFFFAOYSA-N 1 2 297.402 3.666 20 0 CHADLO C[C@H](Nc1ccc(-n2cc[nH+]c2)c(Cl)c1)[C@H]1CCCO1 ZINC000346834230 1123725522 /nfs/dbraw/zinc/72/55/22/1123725522.db2.gz NXVZDKSJYBGPPI-XHDPSFHLSA-N 1 2 291.782 3.505 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2ccc(SC)cc2)n1 ZINC000281717155 1123741461 /nfs/dbraw/zinc/74/14/61/1123741461.db2.gz CUHUIEWBYZMZGC-MNOVXSKESA-N 1 2 291.420 3.766 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@H+]1Cc1cncc(F)c1 ZINC000347020323 1123742334 /nfs/dbraw/zinc/74/23/34/1123742334.db2.gz UGRXVJBLYROOKD-NWDGAFQWSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@@H+]1Cc1cncc(F)c1 ZINC000347020323 1123742338 /nfs/dbraw/zinc/74/23/38/1123742338.db2.gz UGRXVJBLYROOKD-NWDGAFQWSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1cncc(F)c1 ZINC000347020319 1123742591 /nfs/dbraw/zinc/74/25/91/1123742591.db2.gz UGRXVJBLYROOKD-NEPJUHHUSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1cncc(F)c1 ZINC000347020319 1123742594 /nfs/dbraw/zinc/74/25/94/1123742594.db2.gz UGRXVJBLYROOKD-NEPJUHHUSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(O)c2Cl)CC2(CCCC2)O1 ZINC000648076767 1123793525 /nfs/dbraw/zinc/79/35/25/1123793525.db2.gz JFRPZJZNXRIDAA-GFCCVEGCSA-N 1 2 295.810 3.579 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(O)c2Cl)CC2(CCCC2)O1 ZINC000648076767 1123793526 /nfs/dbraw/zinc/79/35/26/1123793526.db2.gz JFRPZJZNXRIDAA-GFCCVEGCSA-N 1 2 295.810 3.579 20 0 CHADLO CCc1cccc(Cl)c1C[N@@H+]1CCc2ncsc2C1 ZINC000475126735 1123797363 /nfs/dbraw/zinc/79/73/63/1123797363.db2.gz CUXBWTAPFCVSGU-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CCc1cccc(Cl)c1C[N@H+]1CCc2ncsc2C1 ZINC000475126735 1123797366 /nfs/dbraw/zinc/79/73/66/1123797366.db2.gz CUXBWTAPFCVSGU-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO Cc1c(F)nccc1C[N@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000862292398 1123799257 /nfs/dbraw/zinc/79/92/57/1123799257.db2.gz GXMVUCBXAPNSCN-UHFFFAOYSA-N 1 2 298.283 3.578 20 0 CHADLO Cc1c(F)nccc1C[N@@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000862292398 1123799260 /nfs/dbraw/zinc/79/92/60/1123799260.db2.gz GXMVUCBXAPNSCN-UHFFFAOYSA-N 1 2 298.283 3.578 20 0 CHADLO CC(C)OCC[N@@H+]1CCc2c(Cl)cc(Cl)cc2C1 ZINC000532524514 1123801994 /nfs/dbraw/zinc/80/19/94/1123801994.db2.gz BVUGFOOLMCYSGE-UHFFFAOYSA-N 1 2 288.218 3.777 20 0 CHADLO CC(C)OCC[N@H+]1CCc2c(Cl)cc(Cl)cc2C1 ZINC000532524514 1123801997 /nfs/dbraw/zinc/80/19/97/1123801997.db2.gz BVUGFOOLMCYSGE-UHFFFAOYSA-N 1 2 288.218 3.777 20 0 CHADLO CCCCCCNC(=O)C[N@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000119194453 1123835263 /nfs/dbraw/zinc/83/52/63/1123835263.db2.gz RCCIVWPIKJBWTB-AWEZNQCLSA-N 1 2 292.423 3.502 20 0 CHADLO CCCCCCNC(=O)C[N@@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000119194453 1123835267 /nfs/dbraw/zinc/83/52/67/1123835267.db2.gz RCCIVWPIKJBWTB-AWEZNQCLSA-N 1 2 292.423 3.502 20 0 CHADLO C[C@@H](CN(C)C(=O)c1cccc2[nH+]ccn21)C1CCCCC1 ZINC000863045846 1123840728 /nfs/dbraw/zinc/84/07/28/1123840728.db2.gz GGUBDHCAPGRXHQ-AWEZNQCLSA-N 1 2 299.418 3.623 20 0 CHADLO C[N@H+](CC1CCCCC1)Cn1nc(C(C)(C)C)oc1=S ZINC000195687717 1129149337 /nfs/dbraw/zinc/14/93/37/1129149337.db2.gz ZIXICJFLMXDADW-UHFFFAOYSA-N 1 2 297.468 3.973 20 0 CHADLO C[N@@H+](CC1CCCCC1)Cn1nc(C(C)(C)C)oc1=S ZINC000195687717 1129149339 /nfs/dbraw/zinc/14/93/39/1129149339.db2.gz ZIXICJFLMXDADW-UHFFFAOYSA-N 1 2 297.468 3.973 20 0 CHADLO COc1ccccc1CN(C)c1cc(C)[nH+]c(C(C)C)n1 ZINC000301056181 1123870877 /nfs/dbraw/zinc/87/08/77/1123870877.db2.gz FKANNYDCYHSJPK-UHFFFAOYSA-N 1 2 285.391 3.553 20 0 CHADLO Cc1cc(N(C)Cc2cccc(F)c2)nc(C(C)C)[nH+]1 ZINC000301075822 1123872402 /nfs/dbraw/zinc/87/24/02/1123872402.db2.gz ZXAGONHKIJQXEG-UHFFFAOYSA-N 1 2 273.355 3.684 20 0 CHADLO CC(C)[C@H](CNc1cccc[nH+]1)Nc1ccccc1 ZINC000301085763 1123872733 /nfs/dbraw/zinc/87/27/33/1123872733.db2.gz QBKZHWGTPPXLGL-HNNXBMFYSA-N 1 2 255.365 3.630 20 0 CHADLO Cc1cc(N2CC[C@@H](c3ccccc3)C2)nc(C(C)C)[nH+]1 ZINC000301091505 1123873901 /nfs/dbraw/zinc/87/39/01/1123873901.db2.gz HVOYEXZTMKTYKN-MRXNPFEDSA-N 1 2 281.403 3.902 20 0 CHADLO CCc1cccc(NC(=O)C[N@@H+]2CC[C@H]2c2ccccc2)c1 ZINC000471180722 1129151780 /nfs/dbraw/zinc/15/17/80/1129151780.db2.gz HVDKTFHHJXFSMV-SFHVURJKSA-N 1 2 294.398 3.635 20 0 CHADLO CCc1cccc(NC(=O)C[N@H+]2CC[C@H]2c2ccccc2)c1 ZINC000471180722 1129151784 /nfs/dbraw/zinc/15/17/84/1129151784.db2.gz HVDKTFHHJXFSMV-SFHVURJKSA-N 1 2 294.398 3.635 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1ccc(C(F)(F)F)s1 ZINC000717911146 1129151852 /nfs/dbraw/zinc/15/18/52/1129151852.db2.gz KCXCEUDYBZKZCX-ZETCQYMHSA-N 1 2 276.283 3.606 20 0 CHADLO C[C@H]1C[C@H](c2ccc(F)cc2)CN1c1cccc[nH+]1 ZINC000301319214 1123891178 /nfs/dbraw/zinc/89/11/78/1123891178.db2.gz DNEJNJKIZUKKQR-JSGCOSHPSA-N 1 2 256.324 3.603 20 0 CHADLO CC(C)c1cnc(C[N@H+](CCC(F)(F)F)CC2CC2)o1 ZINC000084137818 1129152570 /nfs/dbraw/zinc/15/25/70/1129152570.db2.gz ZOORUFYLDSHNFH-UHFFFAOYSA-N 1 2 290.329 3.962 20 0 CHADLO CC(C)c1cnc(C[N@@H+](CCC(F)(F)F)CC2CC2)o1 ZINC000084137818 1129152572 /nfs/dbraw/zinc/15/25/72/1129152572.db2.gz ZOORUFYLDSHNFH-UHFFFAOYSA-N 1 2 290.329 3.962 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2cncc(Cl)c2)C1 ZINC000621243284 1129152562 /nfs/dbraw/zinc/15/25/62/1129152562.db2.gz TVGIYUXYKDNPGS-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2cncc(Cl)c2)C1 ZINC000621243284 1129152564 /nfs/dbraw/zinc/15/25/64/1129152564.db2.gz TVGIYUXYKDNPGS-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO COc1ccc([C@H]2CCCN2c2nc(C)[nH+]c(C)c2C)cc1 ZINC000301445837 1123901758 /nfs/dbraw/zinc/90/17/58/1123901758.db2.gz PQJQCGOWHNOYQM-QGZVFWFLSA-N 1 2 297.402 3.752 20 0 CHADLO CC(=O)Nc1cccc(CNc2ccc([NH+](C)C)cc2C)c1 ZINC000864004611 1123908540 /nfs/dbraw/zinc/90/85/40/1123908540.db2.gz SNLSAJCRGRXAFY-UHFFFAOYSA-N 1 2 297.402 3.632 20 0 CHADLO COC[C@@H](Nc1cc(C)[nH+]c(C(C)C)n1)c1ccc(C)o1 ZINC000301545080 1123909695 /nfs/dbraw/zinc/90/96/95/1123909695.db2.gz DINHCTDBTAYPDH-CYBMUJFWSA-N 1 2 289.379 3.609 20 0 CHADLO Cc1nc(N[C@H](C)c2ccccc2)c2c([nH+]1)CCCC2 ZINC000301565934 1123912727 /nfs/dbraw/zinc/91/27/27/1123912727.db2.gz MAEUBUMYKYWSQD-GFCCVEGCSA-N 1 2 267.376 3.837 20 0 CHADLO O=C(/C=C\c1ccsc1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000864048577 1123914160 /nfs/dbraw/zinc/91/41/60/1123914160.db2.gz GQGRBQQDOPYLNN-BHQIHCQQSA-N 1 2 295.367 3.586 20 0 CHADLO CCOc1ncccc1CNc1[nH+]c2ccccc2cc1C ZINC000301588930 1123915377 /nfs/dbraw/zinc/91/53/77/1123915377.db2.gz WYDMGQKOXOKDCK-UHFFFAOYSA-N 1 2 293.370 3.949 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1cc(C)[nH+]c(C(C)C)n1)CO2 ZINC000301687953 1123924372 /nfs/dbraw/zinc/92/43/72/1123924372.db2.gz WHCHRFSMTVGIIB-CQSZACIVSA-N 1 2 283.375 3.762 20 0 CHADLO Cc1nc(N2CC=C(c3ccc(F)cc3)CC2)c(C)c(C)[nH+]1 ZINC000301687814 1123924683 /nfs/dbraw/zinc/92/46/83/1123924683.db2.gz MLGQPNGVHDXCSV-UHFFFAOYSA-N 1 2 297.377 3.835 20 0 CHADLO Cc1noc(C)c1CCNc1[nH+]c2ccccc2cc1C ZINC000301729806 1123927356 /nfs/dbraw/zinc/92/73/56/1123927356.db2.gz RULAJEKEELRQHD-UHFFFAOYSA-N 1 2 281.359 3.803 20 0 CHADLO Cc1cc([C@H](C)Nc2nc(N)c3ccccc3[nH+]2)c(C)o1 ZINC000301788469 1123933373 /nfs/dbraw/zinc/93/33/73/1123933373.db2.gz PSCLEUMASVAXJP-JTQLQIEISA-N 1 2 282.347 3.595 20 0 CHADLO COC(C)(C)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301846893 1123937266 /nfs/dbraw/zinc/93/72/66/1123937266.db2.gz BFQQUVPUFKUNEX-UHFFFAOYSA-N 1 2 265.401 3.560 20 0 CHADLO CN(Cc1ccc(Cl)c(F)c1)c1cccc[nH+]1 ZINC000301851049 1123938126 /nfs/dbraw/zinc/93/81/26/1123938126.db2.gz BBVYUOJZUIIRAR-UHFFFAOYSA-N 1 2 250.704 3.511 20 0 CHADLO Cc1ccnc(Nc2ccc3c(c2)[nH+]c(C(C)(C)C)n3C)n1 ZINC000301896350 1123941444 /nfs/dbraw/zinc/94/14/44/1123941444.db2.gz ZFMDSWBJHPBOBA-UHFFFAOYSA-N 1 2 295.390 3.713 20 0 CHADLO Cc1ccsc1CNc1nc(C)[nH+]c2c1CCCC2 ZINC000302039168 1123951407 /nfs/dbraw/zinc/95/14/07/1123951407.db2.gz HALGBFGIDZYGID-UHFFFAOYSA-N 1 2 273.405 3.646 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2ccc(C)c(Cl)c2)s1 ZINC000277728655 1129155622 /nfs/dbraw/zinc/15/56/22/1129155622.db2.gz OIVZFNXJAOFHNF-SECBINFHSA-N 1 2 281.812 3.659 20 0 CHADLO Cc1c(Cl)ccc2ccc(NCCn3cc[nH+]c3)nc12 ZINC000302150707 1123957599 /nfs/dbraw/zinc/95/75/99/1123957599.db2.gz UBEXJYRAUOMHHO-UHFFFAOYSA-N 1 2 286.766 3.505 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCC[C@@H]1CCO ZINC000302465384 1123969411 /nfs/dbraw/zinc/96/94/11/1123969411.db2.gz SIAFESDFRGQWDR-UKRRQHHQSA-N 1 2 270.376 3.506 20 0 CHADLO COc1cccc2c(NCc3ccccc3)cc[nH+]c12 ZINC000302490475 1123970113 /nfs/dbraw/zinc/97/01/13/1123970113.db2.gz YYPWQBMWAHEGTA-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO COc1cccc2c(NCC[C@@H]3CCCCO3)cc[nH+]c12 ZINC000302518604 1123971538 /nfs/dbraw/zinc/97/15/38/1123971538.db2.gz HXUIXODQYMRHQT-ZDUSSCGKSA-N 1 2 286.375 3.615 20 0 CHADLO CCC[C@H](O)CCCSCc1ccc(C)[nH+]c1C ZINC000865381640 1123977961 /nfs/dbraw/zinc/97/79/61/1123977961.db2.gz YNWVFYJSKHFHMX-HNNXBMFYSA-N 1 2 267.438 3.873 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)C1(C)C ZINC000085383767 1129159098 /nfs/dbraw/zinc/15/90/98/1129159098.db2.gz ASULOMMDEGWOHX-SJORKVTESA-N 1 2 288.435 3.902 20 0 CHADLO CC(=O)c1cc(F)ccc1NCc1cc(C)[nH+]c(C)c1 ZINC000865883861 1124024533 /nfs/dbraw/zinc/02/45/33/1124024533.db2.gz RLXLBXXVJVADGY-UHFFFAOYSA-N 1 2 272.323 3.652 20 0 CHADLO Cc1nc2c(s1)[C@@H](Nc1[nH+]c3ccccc3n1C)CCC2 ZINC000779919559 1129162254 /nfs/dbraw/zinc/16/22/54/1129162254.db2.gz XUECTKUINISWCT-ZDUSSCGKSA-N 1 2 298.415 3.828 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@@H]3CSC[C@H]3C2)c1 ZINC000866202253 1124037808 /nfs/dbraw/zinc/03/78/08/1124037808.db2.gz TYCZZLMQXGSWII-CHWSQXEVSA-N 1 2 288.391 3.563 20 0 CHADLO Cc1ccccc1C[NH2+][C@H]1c2ccccc2OC[C@H]1F ZINC000866214454 1124038325 /nfs/dbraw/zinc/03/83/25/1124038325.db2.gz AJVZZRGZBADUKO-WBVHZDCISA-N 1 2 271.335 3.556 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCCc3ncccc32)cc1 ZINC000866330389 1124053315 /nfs/dbraw/zinc/05/33/15/1124053315.db2.gz NCSARDUHWSPOTN-KRWDZBQOSA-N 1 2 267.376 3.637 20 0 CHADLO CCC[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000444700196 1124054926 /nfs/dbraw/zinc/05/49/26/1124054926.db2.gz FLSXEAPWSLTRNB-RISCZKNCSA-N 1 2 253.773 3.512 20 0 CHADLO CCC[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000444700196 1124054929 /nfs/dbraw/zinc/05/49/29/1124054929.db2.gz FLSXEAPWSLTRNB-RISCZKNCSA-N 1 2 253.773 3.512 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)[C@@H](C)c2nc(C3CC3)no2)c1 ZINC000444705430 1124055547 /nfs/dbraw/zinc/05/55/47/1124055547.db2.gz BFIGLDAZYZMXDV-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)[C@@H](C)c2nc(C3CC3)no2)c1 ZINC000444705430 1124055550 /nfs/dbraw/zinc/05/55/50/1124055550.db2.gz BFIGLDAZYZMXDV-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2OC[C@H]1F)c1ccsc1 ZINC000866355270 1124056857 /nfs/dbraw/zinc/05/68/57/1124056857.db2.gz WDDQMFPYAAWROY-ZBINZKHDSA-N 1 2 277.364 3.871 20 0 CHADLO Cc1csc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)c1 ZINC000866367207 1124058479 /nfs/dbraw/zinc/05/84/79/1124058479.db2.gz GYSDPJXTHRXVJN-ZFWWWQNUSA-N 1 2 277.364 3.618 20 0 CHADLO Cn1ccc(C[N@@H+]2CCCC[C@H]2c2cccc(Cl)c2)n1 ZINC000444805793 1124064213 /nfs/dbraw/zinc/06/42/13/1124064213.db2.gz VOOPKDLLBYCYOM-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1ccc(C[N@H+]2CCCC[C@H]2c2cccc(Cl)c2)n1 ZINC000444805793 1124064215 /nfs/dbraw/zinc/06/42/15/1124064215.db2.gz VOOPKDLLBYCYOM-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO C[C@@H]([NH2+]Cc1nn(C)cc1C(F)F)c1ccccc1Cl ZINC000866563831 1124082823 /nfs/dbraw/zinc/08/28/23/1124082823.db2.gz PPEZLUKODUVNID-SECBINFHSA-N 1 2 299.752 3.862 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]Cc2ccc(C(C)(C)C)o2)c2nccn21 ZINC000866573314 1124084144 /nfs/dbraw/zinc/08/41/44/1124084144.db2.gz HPYQGBDHKCFTGG-YPMHNXCESA-N 1 2 273.380 3.569 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2cc(F)c(F)c(F)c2)nc1 ZINC000339076803 1124101426 /nfs/dbraw/zinc/10/14/26/1124101426.db2.gz XPCSVRNHZZUSFN-UHFFFAOYSA-N 1 2 294.320 3.693 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2cc(F)c(F)c(F)c2)nc1 ZINC000339076803 1124101427 /nfs/dbraw/zinc/10/14/27/1124101427.db2.gz XPCSVRNHZZUSFN-UHFFFAOYSA-N 1 2 294.320 3.693 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1CCc2ncsc2C1 ZINC000445859046 1124103928 /nfs/dbraw/zinc/10/39/28/1124103928.db2.gz HTWZAUCWXVZVNJ-AWEZNQCLSA-N 1 2 294.370 3.931 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1CCc2ncsc2C1 ZINC000445859046 1124103931 /nfs/dbraw/zinc/10/39/31/1124103931.db2.gz HTWZAUCWXVZVNJ-AWEZNQCLSA-N 1 2 294.370 3.931 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C[C@@H]1CO ZINC000621373180 1129168146 /nfs/dbraw/zinc/16/81/46/1129168146.db2.gz DLSVLNYXDQNVOV-WCBMZHEXSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C[C@@H]1CO ZINC000621373180 1129168149 /nfs/dbraw/zinc/16/81/49/1129168149.db2.gz DLSVLNYXDQNVOV-WCBMZHEXSA-N 1 2 294.247 3.505 20 0 CHADLO Cc1noc(C[N@H+](Cc2cccc(Cl)c2)CC(C)C)n1 ZINC000621387049 1129170860 /nfs/dbraw/zinc/17/08/60/1129170860.db2.gz ZMJBUIDJMJKXGO-UHFFFAOYSA-N 1 2 293.798 3.690 20 0 CHADLO Cc1noc(C[N@@H+](Cc2cccc(Cl)c2)CC(C)C)n1 ZINC000621387049 1129170862 /nfs/dbraw/zinc/17/08/62/1129170862.db2.gz ZMJBUIDJMJKXGO-UHFFFAOYSA-N 1 2 293.798 3.690 20 0 CHADLO Cc1ccccc1[C@@H](C)NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000635112934 1129173037 /nfs/dbraw/zinc/17/30/37/1129173037.db2.gz OUBDXBPDDGNJDC-NVXWUHKLSA-N 1 2 299.418 3.656 20 0 CHADLO C[C@H]([NH2+][C@@H](C(F)F)C1CCCCC1)c1ccncc1 ZINC000621404177 1129173722 /nfs/dbraw/zinc/17/37/22/1129173722.db2.gz LCOIMDMJSGSFQS-SMDDNHRTSA-N 1 2 268.351 3.946 20 0 CHADLO CCN(Cc1ccccc1)C(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000635181856 1129175307 /nfs/dbraw/zinc/17/53/07/1129175307.db2.gz RLVZPFXQKOGNFJ-KRWDZBQOSA-N 1 2 299.418 3.519 20 0 CHADLO CCN(C(=O)[C@@H](CC(C)C)n1cc[nH+]c1)c1ccccc1 ZINC000635252869 1129176760 /nfs/dbraw/zinc/17/67/60/1129176760.db2.gz PPBFTWSOKSRJDW-MRXNPFEDSA-N 1 2 285.391 3.523 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3ccc(C4CC4)cc3)nn2)c1C ZINC001238830305 1131252029 /nfs/dbraw/zinc/25/20/29/1131252029.db2.gz AKLNWROQUKZSLG-UHFFFAOYSA-N 1 2 290.370 3.824 20 0 CHADLO COc1cc(OC)cc([C@H](C)Nc2ccn3cc[nH+]c3c2)c1 ZINC001170930634 1131255020 /nfs/dbraw/zinc/25/50/20/1131255020.db2.gz RBVYWFQSSPBBKP-LBPRGKRZSA-N 1 2 297.358 3.525 20 0 CHADLO CC1(C)CCC(=CC(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC000819602281 1131256427 /nfs/dbraw/zinc/25/64/27/1131256427.db2.gz XQVXTPNUOLILJQ-UHFFFAOYSA-N 1 2 283.375 3.799 20 0 CHADLO COC(=[NH2+])c1cccc(-c2ccc(C3CC3)nc2)c1 ZINC001238855113 1131257609 /nfs/dbraw/zinc/25/76/09/1131257609.db2.gz AFICBAIXRYZKFP-UHFFFAOYSA-N 1 2 252.317 3.598 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(C(C)(C)C)n1)c1cccc(O)c1 ZINC001119767687 1131264015 /nfs/dbraw/zinc/26/40/15/1131264015.db2.gz BROAZEUQNWEKRW-LLVKDONJSA-N 1 2 274.364 3.529 20 0 CHADLO Cc1cn(C)nc1C[N@@H+]1CCC[C@@H]1c1cccc(Cl)c1 ZINC001119745897 1131262396 /nfs/dbraw/zinc/26/23/96/1131262396.db2.gz JDIUTKIREPHFQU-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cn(C)nc1C[N@H+]1CCC[C@@H]1c1cccc(Cl)c1 ZINC001119745897 1131262400 /nfs/dbraw/zinc/26/24/00/1131262400.db2.gz JDIUTKIREPHFQU-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc3ccc(C)cc3[nH]2)c(C)o1 ZINC000447564073 1124492811 /nfs/dbraw/zinc/49/28/11/1124492811.db2.gz UQVQEWWFOBKHCK-GFCCVEGCSA-N 1 2 283.375 3.932 20 0 CHADLO Fc1cccc(Cl)c1CCCNc1cccc[nH+]1 ZINC000447818817 1124526492 /nfs/dbraw/zinc/52/64/92/1124526492.db2.gz YWIUXSYGOYVGFL-UHFFFAOYSA-N 1 2 264.731 3.919 20 0 CHADLO C[N@H+](Cc1ccn(-c2ccccc2F)n1)Cc1ccccc1 ZINC000527074603 1124550283 /nfs/dbraw/zinc/55/02/83/1124550283.db2.gz ZJWXFNZRUWFKTP-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO C[N@@H+](Cc1ccn(-c2ccccc2F)n1)Cc1ccccc1 ZINC000527074603 1124550292 /nfs/dbraw/zinc/55/02/92/1124550292.db2.gz ZJWXFNZRUWFKTP-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cc(F)ccc1Cl ZINC000527324452 1124569869 /nfs/dbraw/zinc/56/98/69/1124569869.db2.gz IPGGAZWIUZDXPO-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cc(F)ccc1Cl ZINC000527324452 1124569879 /nfs/dbraw/zinc/56/98/79/1124569879.db2.gz IPGGAZWIUZDXPO-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cc(Cl)nc(Cl)c1 ZINC000795152529 1124591541 /nfs/dbraw/zinc/59/15/41/1124591541.db2.gz RZMZPUSCSGUGJD-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cc(Cl)nc(Cl)c1 ZINC000795152529 1124591550 /nfs/dbraw/zinc/59/15/50/1124591550.db2.gz RZMZPUSCSGUGJD-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@]3(C)CC=CCC3)cc2[nH+]1 ZINC000448625464 1124599204 /nfs/dbraw/zinc/59/92/04/1124599204.db2.gz OISVURYTAQKCSI-MRXNPFEDSA-N 1 2 269.348 3.556 20 0 CHADLO CC[C@@H]1CCC[C@@H]1C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000448622695 1124599802 /nfs/dbraw/zinc/59/98/02/1124599802.db2.gz AWSAWZIKWDKVKZ-YPMHNXCESA-N 1 2 271.364 3.636 20 0 CHADLO COc1ccc(-c2ccn3cc[nH+]c3c2)cc1OC(C)C ZINC001238930252 1131272966 /nfs/dbraw/zinc/27/29/66/1131272966.db2.gz OVVGQFGBRFOTPN-UHFFFAOYSA-N 1 2 282.343 3.797 20 0 CHADLO Fc1cnccc1C[N@@H+]1CCSC[C@@H]1c1ccccc1 ZINC000449012016 1124632924 /nfs/dbraw/zinc/63/29/24/1124632924.db2.gz XNDISGATSVAKTN-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1cnccc1C[N@H+]1CCSC[C@@H]1c1ccccc1 ZINC000449012016 1124632926 /nfs/dbraw/zinc/63/29/26/1124632926.db2.gz XNDISGATSVAKTN-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO COCC1CC[NH+](Cc2cc(Cl)sc2Cl)CC1 ZINC000449023527 1124639298 /nfs/dbraw/zinc/63/92/98/1124639298.db2.gz ROXLCZHTVADUGC-UHFFFAOYSA-N 1 2 294.247 3.913 20 0 CHADLO CNc1cc(-c2ccc(OC)c(OC(C)C)c2)cc[nH+]1 ZINC001238934137 1131274147 /nfs/dbraw/zinc/27/41/47/1131274147.db2.gz GRRRNNIXZKERSV-UHFFFAOYSA-N 1 2 272.348 3.586 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H](C)c2cccc(O)c2F)n1 ZINC000872032282 1124661628 /nfs/dbraw/zinc/66/16/28/1124661628.db2.gz QWIIZZJPLQCRJS-UWVGGRQHSA-N 1 2 280.368 3.708 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2cc(O)ccc2F)c(F)c1 ZINC000872049708 1124665850 /nfs/dbraw/zinc/66/58/50/1124665850.db2.gz VIGLHUNFWZKYAU-SNVBAGLBSA-N 1 2 293.313 3.530 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000528561529 1124689242 /nfs/dbraw/zinc/68/92/42/1124689242.db2.gz SKJYSEQTVXCKLB-TUOYRWMMSA-N 1 2 250.773 3.607 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000528561529 1124689245 /nfs/dbraw/zinc/68/92/45/1124689245.db2.gz SKJYSEQTVXCKLB-TUOYRWMMSA-N 1 2 250.773 3.607 20 0 CHADLO C[C@H](Nc1ccc(Cn2cc[nH+]c2)cn1)[C@@H]1CCCC[C@H]1C ZINC000449320731 1124692999 /nfs/dbraw/zinc/69/29/99/1124692999.db2.gz PAIKQVBPHOOPDE-HLLBOEOZSA-N 1 2 298.434 3.953 20 0 CHADLO Cc1csc([C@H]2CCN(c3[nH+]ccc4ccccc43)C2)n1 ZINC000872737807 1124728000 /nfs/dbraw/zinc/72/80/00/1124728000.db2.gz USMBTXRRKXAZEJ-AWEZNQCLSA-N 1 2 295.411 3.994 20 0 CHADLO Cc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2nccn2C)cc1C ZINC000449411685 1124728285 /nfs/dbraw/zinc/72/82/85/1124728285.db2.gz JYKKJSHPEAPNKX-INIZCTEOSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2nccn2C)cc1C ZINC000449411685 1124728295 /nfs/dbraw/zinc/72/82/95/1124728295.db2.gz JYKKJSHPEAPNKX-INIZCTEOSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1cc(C[NH2+]Cc2noc3c2CC(C)(C)CC3)c(C)o1 ZINC000873174171 1124744282 /nfs/dbraw/zinc/74/42/82/1124744282.db2.gz CGIAAVNIGCJUCY-UHFFFAOYSA-N 1 2 288.391 3.689 20 0 CHADLO Cc1cc(F)cc(N2CCC[C@@H]2c2ccsc2)[nH+]1 ZINC001120804820 1131283353 /nfs/dbraw/zinc/28/33/53/1131283353.db2.gz UBJSLEWWISIDKB-CYBMUJFWSA-N 1 2 262.353 3.932 20 0 CHADLO Cc1nnc(-c2ccc(-c3cc(C)cn4cc[nH+]c34)cc2)o1 ZINC001239021208 1131291016 /nfs/dbraw/zinc/29/10/16/1131291016.db2.gz XQLKDQGWKZLKAV-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)c1cccc(OC(C)(C)C)c1 ZINC001121231111 1131293923 /nfs/dbraw/zinc/29/39/23/1131293923.db2.gz HYPXXCPJISXETE-UHFFFAOYSA-N 1 2 298.386 3.550 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2cc3cc(F)ccc3o2)c2nccn21 ZINC000449573708 1124806737 /nfs/dbraw/zinc/80/67/37/1124806737.db2.gz RZHRPFAXIWBXCP-HZMBPMFUSA-N 1 2 285.322 3.564 20 0 CHADLO Clc1ccc([C@H]2CCCC[N@@H+]2Cc2cc[nH]n2)cc1 ZINC000449569919 1124807272 /nfs/dbraw/zinc/80/72/72/1124807272.db2.gz IKRBWBIXQUANHI-OAHLLOKOSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccc([C@H]2CCCC[N@H+]2Cc2cc[nH]n2)cc1 ZINC000449569919 1124807277 /nfs/dbraw/zinc/80/72/77/1124807277.db2.gz IKRBWBIXQUANHI-OAHLLOKOSA-N 1 2 275.783 3.790 20 0 CHADLO C=C(Br)C[N@@H+](CC)[C@@H](C)c1cccc(OC)c1 ZINC000054339745 1124942409 /nfs/dbraw/zinc/94/24/09/1124942409.db2.gz RTOSZFSLCICVQB-LBPRGKRZSA-N 1 2 298.224 3.987 20 0 CHADLO C=C(Br)C[N@H+](CC)[C@@H](C)c1cccc(OC)c1 ZINC000054339745 1124942414 /nfs/dbraw/zinc/94/24/14/1124942414.db2.gz RTOSZFSLCICVQB-LBPRGKRZSA-N 1 2 298.224 3.987 20 0 CHADLO c1csc([C@H]2CCC[N@H+]2Cc2nnc(C3CC3)s2)c1 ZINC000450217883 1124949395 /nfs/dbraw/zinc/94/93/95/1124949395.db2.gz VNFNUPHHJMLJMC-LLVKDONJSA-N 1 2 291.445 3.814 20 0 CHADLO c1csc([C@H]2CCC[N@@H+]2Cc2nnc(C3CC3)s2)c1 ZINC000450217883 1124949399 /nfs/dbraw/zinc/94/93/99/1124949399.db2.gz VNFNUPHHJMLJMC-LLVKDONJSA-N 1 2 291.445 3.814 20 0 CHADLO CC1=CC[N@H+](Cc2ccsc2Br)CC1 ZINC000529534223 1124983059 /nfs/dbraw/zinc/98/30/59/1124983059.db2.gz ZQNSFBZYRWXWEK-UHFFFAOYSA-N 1 2 272.211 3.663 20 0 CHADLO CC1=CC[N@@H+](Cc2ccsc2Br)CC1 ZINC000529534223 1124983062 /nfs/dbraw/zinc/98/30/62/1124983062.db2.gz ZQNSFBZYRWXWEK-UHFFFAOYSA-N 1 2 272.211 3.663 20 0 CHADLO Clc1csc(C[NH+]2C3CCCC2CCC3)n1 ZINC000876770462 1124976770 /nfs/dbraw/zinc/97/67/70/1124976770.db2.gz MXQMMBMVDJICCC-UHFFFAOYSA-N 1 2 256.802 3.704 20 0 CHADLO CC[N@H+](Cc1nc(Cl)cs1)Cc1ccccc1 ZINC000876527459 1124956215 /nfs/dbraw/zinc/95/62/15/1124956215.db2.gz GHERPXCLMJKHKK-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1nc(Cl)cs1)Cc1ccccc1 ZINC000876527459 1124956219 /nfs/dbraw/zinc/95/62/19/1124956219.db2.gz GHERPXCLMJKHKK-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)nn2)c1 ZINC000876580510 1124960875 /nfs/dbraw/zinc/96/08/75/1124960875.db2.gz VHDLJUVAFHWSKG-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2ccc(Cl)nn2)c1 ZINC000876580510 1124960880 /nfs/dbraw/zinc/96/08/80/1124960880.db2.gz VHDLJUVAFHWSKG-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+](Cc3nc(Cl)cs3)C2)c1 ZINC000876695296 1124970602 /nfs/dbraw/zinc/97/06/02/1124970602.db2.gz WGIWJNHJLQHKCW-NSHDSACASA-N 1 2 296.798 3.925 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+](Cc3nc(Cl)cs3)C2)c1 ZINC000876695296 1124970608 /nfs/dbraw/zinc/97/06/08/1124970608.db2.gz WGIWJNHJLQHKCW-NSHDSACASA-N 1 2 296.798 3.925 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1ccsc1C(F)(F)F ZINC000529518370 1124979297 /nfs/dbraw/zinc/97/92/97/1124979297.db2.gz FDVJPRROWLOAMN-UHFFFAOYSA-N 1 2 275.295 3.992 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1ccsc1C(F)(F)F ZINC000529518370 1124979299 /nfs/dbraw/zinc/97/92/99/1124979299.db2.gz FDVJPRROWLOAMN-UHFFFAOYSA-N 1 2 275.295 3.992 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)c[nH+]1)C1CC(F)(F)C1 ZINC000529531265 1124980730 /nfs/dbraw/zinc/98/07/30/1124980730.db2.gz LKJRKNPNZTUUAQ-LLVKDONJSA-N 1 2 281.350 3.528 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+]Cc1nc(Cl)cs1 ZINC000876956583 1124993634 /nfs/dbraw/zinc/99/36/34/1124993634.db2.gz LDLAXAVGRXHISG-UHFFFAOYSA-N 1 2 296.823 3.874 20 0 CHADLO COc1ccc(C2([NH2+]Cc3nc(Cl)cs3)CC2)cc1 ZINC000877008694 1124996479 /nfs/dbraw/zinc/99/64/79/1124996479.db2.gz WJIOIDJTDLBDIH-UHFFFAOYSA-N 1 2 294.807 3.584 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3nc(Cl)cs3)ccc21 ZINC000877159884 1125014417 /nfs/dbraw/zinc/01/44/17/1125014417.db2.gz ORTFFLRKKJZIEW-UHFFFAOYSA-N 1 2 293.823 3.791 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3nc(Cl)cs3)ccc21 ZINC000877159884 1125014421 /nfs/dbraw/zinc/01/44/21/1125014421.db2.gz ORTFFLRKKJZIEW-UHFFFAOYSA-N 1 2 293.823 3.791 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)[C@@H]1CC1(F)F ZINC000877159431 1125015814 /nfs/dbraw/zinc/01/58/14/1125015814.db2.gz FPSJJDAQZKZFJW-MFKMUULPSA-N 1 2 266.335 3.525 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)[C@@H]1CC1(F)F ZINC000877159431 1125015821 /nfs/dbraw/zinc/01/58/21/1125015821.db2.gz FPSJJDAQZKZFJW-MFKMUULPSA-N 1 2 266.335 3.525 20 0 CHADLO O=C(CCn1cc[nH+]c1)Nc1cccc(C2CCCCC2)c1 ZINC000529649513 1125031053 /nfs/dbraw/zinc/03/10/53/1125031053.db2.gz OSYIZMDGARRVCZ-UHFFFAOYSA-N 1 2 297.402 3.960 20 0 CHADLO Cn1cccc1C[N@@H+]1CCc2c(cccc2C(F)(F)F)C1 ZINC000529662516 1125037845 /nfs/dbraw/zinc/03/78/45/1125037845.db2.gz RPGHCJXSQZBFDV-UHFFFAOYSA-N 1 2 294.320 3.602 20 0 CHADLO Cn1cccc1C[N@H+]1CCc2c(cccc2C(F)(F)F)C1 ZINC000529662516 1125037849 /nfs/dbraw/zinc/03/78/49/1125037849.db2.gz RPGHCJXSQZBFDV-UHFFFAOYSA-N 1 2 294.320 3.602 20 0 CHADLO CC(C)[C@@H]1[N@H+](Cc2nc(Cl)cs2)CC12CCC2 ZINC000877545510 1125042344 /nfs/dbraw/zinc/04/23/44/1125042344.db2.gz JUJZVWPWNSZTBA-LBPRGKRZSA-N 1 2 270.829 3.807 20 0 CHADLO CC(C)[C@@H]1[N@@H+](Cc2nc(Cl)cs2)CC12CCC2 ZINC000877545510 1125042350 /nfs/dbraw/zinc/04/23/50/1125042350.db2.gz JUJZVWPWNSZTBA-LBPRGKRZSA-N 1 2 270.829 3.807 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccc(Cl)cc1 ZINC000450505813 1125046643 /nfs/dbraw/zinc/04/66/43/1125046643.db2.gz FLDKQCZFTFIRIJ-VIFPVBQESA-N 1 2 293.823 3.920 20 0 CHADLO CCCN(C(=O)Cc1[nH]c[nH+]c1C)[C@@H](CC)c1ccccc1 ZINC001136438788 1131327867 /nfs/dbraw/zinc/32/78/67/1131327867.db2.gz DYEQFBWIZLCZRJ-KRWDZBQOSA-N 1 2 299.418 3.651 20 0 CHADLO CSC1CC[NH+](Cc2c(Cl)cncc2Cl)CC1 ZINC000877663247 1125061340 /nfs/dbraw/zinc/06/13/40/1125061340.db2.gz LYMWPMVTSKXZCT-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO CC(C)Oc1cccc([C@H](C)[NH2+][C@H](C)c2csnn2)c1 ZINC000450671801 1125083769 /nfs/dbraw/zinc/08/37/69/1125083769.db2.gz XGLFTQOUWCBXSS-NWDGAFQWSA-N 1 2 291.420 3.737 20 0 CHADLO Cc1nc(C[N@@H+]2[C@H](C)CC[C@@H]2C)sc1Br ZINC000878187958 1125129048 /nfs/dbraw/zinc/12/90/48/1125129048.db2.gz JWQVRYPHQUKJRI-OCAPTIKFSA-N 1 2 289.242 3.587 20 0 CHADLO CC[C@H](Nc1cc[nH+]c2cc(F)c(Cl)cc12)[C@@H](C)O ZINC000450786770 1125103975 /nfs/dbraw/zinc/10/39/75/1125103975.db2.gz FAZAHARGIHRWLO-PELKAZGASA-N 1 2 282.746 3.599 20 0 CHADLO c1ccc2c(c1)cccc2-c1c[nH+]c2c(c1)CCCN2 ZINC001239471499 1131332672 /nfs/dbraw/zinc/33/26/72/1131332672.db2.gz GKJXWWVSJLHPJP-UHFFFAOYSA-N 1 2 260.340 3.903 20 0 CHADLO Cc1nc(C[N@H+]2[C@H](C)CC[C@@H]2C)sc1Br ZINC000878187958 1125129047 /nfs/dbraw/zinc/12/90/47/1125129047.db2.gz JWQVRYPHQUKJRI-OCAPTIKFSA-N 1 2 289.242 3.587 20 0 CHADLO Cc1conc1C[N@@H+]1[C@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000878337759 1125141398 /nfs/dbraw/zinc/14/13/98/1125141398.db2.gz HFKBXXOTPWNRCP-NEPJUHHUSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1conc1C[N@H+]1[C@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000878337759 1125141403 /nfs/dbraw/zinc/14/14/03/1125141403.db2.gz HFKBXXOTPWNRCP-NEPJUHHUSA-N 1 2 274.339 3.630 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1scnc1Cl ZINC000878393040 1125147642 /nfs/dbraw/zinc/14/76/42/1125147642.db2.gz UQHXIUWHMIFMCE-HTQZYQBOSA-N 1 2 298.761 3.959 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1scnc1Cl ZINC000878393040 1125147643 /nfs/dbraw/zinc/14/76/43/1125147643.db2.gz UQHXIUWHMIFMCE-HTQZYQBOSA-N 1 2 298.761 3.959 20 0 CHADLO c1cn(-c2ccc(NCc3ccsc3)cc2)c[nH+]1 ZINC000121836122 1125156580 /nfs/dbraw/zinc/15/65/80/1125156580.db2.gz GDHSBONZPSXOEP-UHFFFAOYSA-N 1 2 255.346 3.546 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)[C@@H](C)[N@@H+]1CCC=C(C)C1 ZINC000878548732 1125161025 /nfs/dbraw/zinc/16/10/25/1125161025.db2.gz QMFXCVJZTWVKPW-CYBMUJFWSA-N 1 2 292.810 3.627 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)[C@@H](C)[N@H+]1CCC=C(C)C1 ZINC000878548732 1125161029 /nfs/dbraw/zinc/16/10/29/1125161029.db2.gz QMFXCVJZTWVKPW-CYBMUJFWSA-N 1 2 292.810 3.627 20 0 CHADLO c1ccc([C@H]2CCC[C@H](C[N@@H+]3Cc4cccnc4C3)O2)cc1 ZINC000878548773 1125161505 /nfs/dbraw/zinc/16/15/05/1125161505.db2.gz SPFNAAYCOGESCY-IEBWSBKVSA-N 1 2 294.398 3.708 20 0 CHADLO c1ccc([C@H]2CCC[C@H](C[N@H+]3Cc4cccnc4C3)O2)cc1 ZINC000878548773 1125161509 /nfs/dbraw/zinc/16/15/09/1125161509.db2.gz SPFNAAYCOGESCY-IEBWSBKVSA-N 1 2 294.398 3.708 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3c(o2)CCCC3)cc1C ZINC000878691343 1125173868 /nfs/dbraw/zinc/17/38/68/1125173868.db2.gz BSODBGGRUOSDSO-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3c(o2)CCCC3)cc1C ZINC000878691343 1125173885 /nfs/dbraw/zinc/17/38/85/1125173885.db2.gz BSODBGGRUOSDSO-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO CCc1nc(CSCc2cc[nH+]c(N(C)C)c2)cs1 ZINC000127414200 1125186212 /nfs/dbraw/zinc/18/62/12/1125186212.db2.gz ZNKRPXBUHSPZCN-UHFFFAOYSA-N 1 2 293.461 3.600 20 0 CHADLO C[C@@H]1[C@@H](c2ccccc2)CC[N@@H+]1Cc1nnsc1Cl ZINC000531441229 1125219727 /nfs/dbraw/zinc/21/97/27/1125219727.db2.gz XPQLRYMEMJJFIY-PWSUYJOCSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@@H]1[C@@H](c2ccccc2)CC[N@H+]1Cc1nnsc1Cl ZINC000531441229 1125219730 /nfs/dbraw/zinc/21/97/30/1125219730.db2.gz XPQLRYMEMJJFIY-PWSUYJOCSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)C[C@@H]1C ZINC000123015930 1125220829 /nfs/dbraw/zinc/22/08/29/1125220829.db2.gz DPNNIPVFKGBNKP-OLZOCXBDSA-N 1 2 277.412 3.595 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)C[C@@H]1C ZINC000123015930 1125220835 /nfs/dbraw/zinc/22/08/35/1125220835.db2.gz DPNNIPVFKGBNKP-OLZOCXBDSA-N 1 2 277.412 3.595 20 0 CHADLO Cc1csc([C@@H]2CC[N@H+](Cc3csc(Cl)n3)C2)n1 ZINC000880012190 1125245480 /nfs/dbraw/zinc/24/54/80/1125245480.db2.gz NIXCUTVJTDJWJK-SECBINFHSA-N 1 2 299.852 3.551 20 0 CHADLO Cc1csc([C@@H]2CC[N@@H+](Cc3csc(Cl)n3)C2)n1 ZINC000880012190 1125245481 /nfs/dbraw/zinc/24/54/81/1125245481.db2.gz NIXCUTVJTDJWJK-SECBINFHSA-N 1 2 299.852 3.551 20 0 CHADLO COc1ccc(-c2cccc(C)c2)cc1C[NH+]1CCOCC1 ZINC001239489105 1131343361 /nfs/dbraw/zinc/34/33/61/1131343361.db2.gz LEDWGBZWTLEAOX-UHFFFAOYSA-N 1 2 297.398 3.503 20 0 CHADLO CC(C)Cc1ccc(C[NH2+]C2(c3ncccn3)CCC2)cc1 ZINC000880365397 1125268368 /nfs/dbraw/zinc/26/83/68/1125268368.db2.gz JOLNEXNWAHSJDH-UHFFFAOYSA-N 1 2 295.430 3.844 20 0 CHADLO Fc1ccc(NC2(c3ccccn3)CC2)cc1-n1cc[nH+]c1 ZINC001168150799 1125268407 /nfs/dbraw/zinc/26/84/07/1125268407.db2.gz GGPDOHYHYGBQFW-UHFFFAOYSA-N 1 2 294.333 3.508 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCc3ccsc3[C@@H]2C)n1 ZINC000880377884 1125269831 /nfs/dbraw/zinc/26/98/31/1125269831.db2.gz HVXRCNDNCXKJBO-LBPRGKRZSA-N 1 2 275.421 3.645 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCc3ccsc3[C@@H]2C)n1 ZINC000880377884 1125269836 /nfs/dbraw/zinc/26/98/36/1125269836.db2.gz HVXRCNDNCXKJBO-LBPRGKRZSA-N 1 2 275.421 3.645 20 0 CHADLO CO[C@H]1C[C@@H](C[NH2+][C@@H](c2cccc(Cl)c2)C(F)F)C1 ZINC000880534539 1125278082 /nfs/dbraw/zinc/27/80/82/1125278082.db2.gz RYCOHSVPFAJJRK-BIMULSAOSA-N 1 2 289.753 3.661 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)c(C)c1 ZINC000666137149 1125297483 /nfs/dbraw/zinc/29/74/83/1125297483.db2.gz BQCGSOMJTBCYFG-RISCZKNCSA-N 1 2 269.335 3.842 20 0 CHADLO C[N@H+](CCCF)[C@@H](CCc1ccccc1)C(F)F ZINC000626135820 1125298132 /nfs/dbraw/zinc/29/81/32/1125298132.db2.gz IZAMBQVZJRUVJV-ZDUSSCGKSA-N 1 2 259.315 3.544 20 0 CHADLO C[N@@H+](CCCF)[C@@H](CCc1ccccc1)C(F)F ZINC000626135820 1125298137 /nfs/dbraw/zinc/29/81/37/1125298137.db2.gz IZAMBQVZJRUVJV-ZDUSSCGKSA-N 1 2 259.315 3.544 20 0 CHADLO CSc1ccc(-c2nc3[nH]c(C)cc3c[nH+]2)cc1 ZINC001239494614 1131347077 /nfs/dbraw/zinc/34/70/77/1131347077.db2.gz LPCXBDBBIZPKQI-UHFFFAOYSA-N 1 2 255.346 3.607 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSC[C@H]1CCCO1 ZINC000190894193 1125319567 /nfs/dbraw/zinc/31/95/67/1125319567.db2.gz WODMZNLCMRNEAI-MRXNPFEDSA-N 1 2 288.416 3.593 20 0 CHADLO C[N@H+](CCSCc1ccccc1)Cc1nccs1 ZINC000191082197 1125322598 /nfs/dbraw/zinc/32/25/98/1125322598.db2.gz HVQBMCYSFAPUHO-UHFFFAOYSA-N 1 2 278.446 3.508 20 0 CHADLO C[N@@H+](CCSCc1ccccc1)Cc1nccs1 ZINC000191082197 1125322602 /nfs/dbraw/zinc/32/26/02/1125322602.db2.gz HVQBMCYSFAPUHO-UHFFFAOYSA-N 1 2 278.446 3.508 20 0 CHADLO COC(=O)c1coc(COc2cc(C)[nH+]c3ccccc32)c1 ZINC000192192707 1125331771 /nfs/dbraw/zinc/33/17/71/1125331771.db2.gz FSRQIFKWHOBZJL-UHFFFAOYSA-N 1 2 297.310 3.502 20 0 CHADLO Cc1cc(OCCOCC(F)(F)F)c2ccccc2[nH+]1 ZINC000192192200 1125332260 /nfs/dbraw/zinc/33/22/60/1125332260.db2.gz RRSRFZLFVZXMHE-UHFFFAOYSA-N 1 2 285.265 3.501 20 0 CHADLO CC(C)(C)c1cn(C[C@H]2CCCC(C)(C)O2)c[nH+]1 ZINC000882280852 1125342483 /nfs/dbraw/zinc/34/24/83/1125342483.db2.gz MGYYRKYYZCYGHS-GFCCVEGCSA-N 1 2 250.386 3.528 20 0 CHADLO CCCC[C@@H](C)C(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882872212 1125358978 /nfs/dbraw/zinc/35/89/78/1125358978.db2.gz ZNJZDJFYHUGVML-WBVHZDCISA-N 1 2 299.418 3.567 20 0 CHADLO CCC(C)(C)CC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882872694 1125359141 /nfs/dbraw/zinc/35/91/41/1125359141.db2.gz KGYHPUQHAUOUNV-MRXNPFEDSA-N 1 2 299.418 3.567 20 0 CHADLO c1ccc(N[C@@H]2CC3(CCOCC3)Oc3ccccc32)[nH+]c1 ZINC000882999515 1125367609 /nfs/dbraw/zinc/36/76/09/1125367609.db2.gz OHCJTIZENWJJLN-OAHLLOKOSA-N 1 2 296.370 3.567 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CC[C@@H](C3CCC3)C2)s1 ZINC000666487905 1125375853 /nfs/dbraw/zinc/37/58/53/1125375853.db2.gz TZTHNYBQNJHWPQ-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CC[C@@H](C3CCC3)C2)s1 ZINC000666487905 1125375854 /nfs/dbraw/zinc/37/58/54/1125375854.db2.gz TZTHNYBQNJHWPQ-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccccc1C ZINC001239504550 1131351389 /nfs/dbraw/zinc/35/13/89/1131351389.db2.gz UFQRCRHJEUWFJL-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C(C)C)[nH]1)c1sccc1Cl ZINC000883225289 1125379784 /nfs/dbraw/zinc/37/97/84/1125379784.db2.gz CZGNMLZYPDEYPX-SNVBAGLBSA-N 1 2 298.843 3.884 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C2CC2)[nH]1)c1sccc1Cl ZINC000883225885 1125380187 /nfs/dbraw/zinc/38/01/87/1125380187.db2.gz OHFJHZGFGVEDIP-JTQLQIEISA-N 1 2 296.827 3.638 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)C1CCC2(CC2)CC1 ZINC000666595885 1125386297 /nfs/dbraw/zinc/38/62/97/1125386297.db2.gz IXZHKZQAGYPMDV-UHFFFAOYSA-N 1 2 295.386 3.781 20 0 CHADLO c1cc(C[NH2+][C@H](c2ccccc2)C2CCCCC2)[nH]n1 ZINC000041014762 1125387929 /nfs/dbraw/zinc/38/79/29/1125387929.db2.gz VELJKBDJWJKYAN-QGZVFWFLSA-N 1 2 269.392 3.821 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+][C@H](C)c1nccs1 ZINC000883265496 1125388596 /nfs/dbraw/zinc/38/85/96/1125388596.db2.gz DIRPEFXAGQXTGY-LLVKDONJSA-N 1 2 288.416 3.880 20 0 CHADLO CCSc1cccc(C[NH2+]C2(c3ncccn3)CCC2)c1 ZINC000883305793 1125393031 /nfs/dbraw/zinc/39/30/31/1125393031.db2.gz FZOOLCAOKRALFK-UHFFFAOYSA-N 1 2 299.443 3.758 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1cc(O)ccc1Cl ZINC000883325912 1125396812 /nfs/dbraw/zinc/39/68/12/1125396812.db2.gz MUMTXZMGRQPZKA-NSHDSACASA-N 1 2 290.794 3.854 20 0 CHADLO CCc1cccnc1[C@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC000883342962 1125398534 /nfs/dbraw/zinc/39/85/34/1125398534.db2.gz SPALOLKBHCALPI-ZDUSSCGKSA-N 1 2 255.365 3.829 20 0 CHADLO Cc1cc(NCc2ccc(C(F)F)nc2)c[nH+]c1C ZINC000883341366 1125398600 /nfs/dbraw/zinc/39/86/00/1125398600.db2.gz OLXRRONJUPCBOZ-UHFFFAOYSA-N 1 2 263.291 3.643 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccnc(Cl)c2)c[nH+]c1C ZINC000883342012 1125398727 /nfs/dbraw/zinc/39/87/27/1125398727.db2.gz HOZYYOSAJWSDLH-NSHDSACASA-N 1 2 261.756 3.920 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+](C)Cc1cscn1 ZINC000171065098 1125401488 /nfs/dbraw/zinc/40/14/88/1125401488.db2.gz DVRYFJADSXSWJV-CQSZACIVSA-N 1 2 264.369 3.865 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1cscn1 ZINC000171065098 1125401493 /nfs/dbraw/zinc/40/14/93/1125401493.db2.gz DVRYFJADSXSWJV-CQSZACIVSA-N 1 2 264.369 3.865 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@@H]1F)c1ccc(F)cn1 ZINC000883376423 1125404257 /nfs/dbraw/zinc/40/42/57/1125404257.db2.gz KLSUVWKKIVPLPQ-KCTSRDHCSA-N 1 2 288.341 3.897 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccc(Cl)nc1 ZINC000883377196 1125405061 /nfs/dbraw/zinc/40/50/61/1125405061.db2.gz AZURVDRUQXPYOK-UHFFFAOYSA-N 1 2 293.823 3.995 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1nc(C)cs1 ZINC000883378499 1125405645 /nfs/dbraw/zinc/40/56/45/1125405645.db2.gz NVIVKRWDGNDOJZ-UHFFFAOYSA-N 1 2 279.434 3.712 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H]1c2ccccc2CC[C@@H]1F ZINC000883383077 1125406927 /nfs/dbraw/zinc/40/69/27/1125406927.db2.gz SIEFGYZBLWEHRL-GOEBONIOSA-N 1 2 259.324 3.703 20 0 CHADLO COc1ccccc1C[NH2+][C@@H]1c2ccccc2CC[C@H]1F ZINC000883384394 1125407441 /nfs/dbraw/zinc/40/74/41/1125407441.db2.gz QJUHTEQYKSAVFV-SJLPKXTDSA-N 1 2 285.362 3.810 20 0 CHADLO COc1ccccc1C[NH2+][C@H]1c2ccccc2CC[C@H]1F ZINC000883384392 1125407739 /nfs/dbraw/zinc/40/77/39/1125407739.db2.gz QJUHTEQYKSAVFV-AEFFLSMTSA-N 1 2 285.362 3.810 20 0 CHADLO Cc1ccc2[nH+]c(NCc3cccc4c3CCOC4)[nH]c2c1 ZINC000883409193 1125409640 /nfs/dbraw/zinc/40/96/40/1125409640.db2.gz QXZAPTONJNQKBP-UHFFFAOYSA-N 1 2 293.370 3.556 20 0 CHADLO Cc1ccc2c(Nc3ncc(C)c(C)n3)cccc2[nH+]1 ZINC001212794081 1125411223 /nfs/dbraw/zinc/41/12/23/1125411223.db2.gz IPMVRLUGHWXVFD-UHFFFAOYSA-N 1 2 264.332 3.694 20 0 CHADLO CCSc1cccc(C[NH2+][C@@H](C)c2cc(C)on2)c1 ZINC000282829415 1125411347 /nfs/dbraw/zinc/41/13/47/1125411347.db2.gz ZDSVECIFJMRARE-LBPRGKRZSA-N 1 2 276.405 3.946 20 0 CHADLO Cc1ccc2c(Nc3cnc4c(cnn4C)c3)cccc2[nH+]1 ZINC001212795292 1125414943 /nfs/dbraw/zinc/41/49/43/1125414943.db2.gz ZFJBZCNGRZAMMR-UHFFFAOYSA-N 1 2 289.342 3.569 20 0 CHADLO Cc1ccc2c(Nc3ccc4c(c3)C(=O)OC4)cccc2[nH+]1 ZINC001212796889 1125429161 /nfs/dbraw/zinc/42/91/61/1125429161.db2.gz KSRRMWHOIUWNRG-UHFFFAOYSA-N 1 2 290.322 3.957 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1csc(C2CC2)n1 ZINC000348244842 1125429437 /nfs/dbraw/zinc/42/94/37/1125429437.db2.gz NUFOINXTEDHSRK-KCJUWKMLSA-N 1 2 290.354 3.793 20 0 CHADLO CSc1ccc(F)cc1-c1cccc2[nH+]ccn21 ZINC001205969105 1125430633 /nfs/dbraw/zinc/43/06/33/1125430633.db2.gz XFRABSXACZWUMW-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C2CC2)no1)c1ccc(Cl)cc1 ZINC000123854871 1125432685 /nfs/dbraw/zinc/43/26/85/1125432685.db2.gz MZLMQZUVFRKOQU-ZDUSSCGKSA-N 1 2 291.782 3.841 20 0 CHADLO Cc1ccc(NCc2c[nH+]c3c(C)cccn23)c(C)c1 ZINC000133165675 1125434613 /nfs/dbraw/zinc/43/46/13/1125434613.db2.gz ATKJUEZTMNVMFT-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO CCCCc1noc([C@H](C)[NH2+][C@H](C)c2ccccc2)n1 ZINC000107071089 1125446580 /nfs/dbraw/zinc/44/65/80/1125446580.db2.gz SDBPSHOLNDFHIB-OLZOCXBDSA-N 1 2 273.380 3.824 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)Nc3c(C)cccc3C)ccn12 ZINC001124596249 1131355605 /nfs/dbraw/zinc/35/56/05/1131355605.db2.gz DRJWHINQADOTAZ-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO Cc1ccc2c(Nc3ccc4c(c3)nnn4C)cccc2[nH+]1 ZINC001212797925 1125449949 /nfs/dbraw/zinc/44/99/49/1125449949.db2.gz SKOPKTHMKPPTON-UHFFFAOYSA-N 1 2 289.342 3.569 20 0 CHADLO CCCC[N@H+](Cc1cn(CC)nn1)[C@@H](C)c1ccc(C)o1 ZINC000883999092 1125455304 /nfs/dbraw/zinc/45/53/04/1125455304.db2.gz BYYSVEFWDGHYDH-AWEZNQCLSA-N 1 2 290.411 3.563 20 0 CHADLO CCCC[N@@H+](Cc1cn(CC)nn1)[C@@H](C)c1ccc(C)o1 ZINC000883999092 1125455306 /nfs/dbraw/zinc/45/53/06/1125455306.db2.gz BYYSVEFWDGHYDH-AWEZNQCLSA-N 1 2 290.411 3.563 20 0 CHADLO CC(C)CCc1ccc(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)cc1 ZINC000635445063 1129181887 /nfs/dbraw/zinc/18/18/87/1129181887.db2.gz AIYHSMWYVKSBBC-HZPDHXFCSA-N 1 2 297.402 3.741 20 0 CHADLO CC(C)CCc1ccc(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)cc1 ZINC000635445063 1129181889 /nfs/dbraw/zinc/18/18/89/1129181889.db2.gz AIYHSMWYVKSBBC-HZPDHXFCSA-N 1 2 297.402 3.741 20 0 CHADLO CC(C)C[C@H](C(=O)N([C@@H](C)C(C)C)C1CC1)n1cc[nH+]c1 ZINC000635418151 1129182012 /nfs/dbraw/zinc/18/20/12/1129182012.db2.gz UVTDILQUWMVRNW-GOEBONIOSA-N 1 2 291.439 3.506 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1CCC=CCC1 ZINC000635459607 1129182616 /nfs/dbraw/zinc/18/26/16/1129182616.db2.gz OYLIFUTVTGHZNM-UHFFFAOYSA-N 1 2 281.359 3.762 20 0 CHADLO CCCc1ccc(C[N@H+](C)Cc2cc(C)on2)s1 ZINC000621712245 1129183620 /nfs/dbraw/zinc/18/36/20/1129183620.db2.gz YKWUOUSLVFIMEV-UHFFFAOYSA-N 1 2 264.394 3.629 20 0 CHADLO CCCc1ccc(C[N@@H+](C)Cc2cc(C)on2)s1 ZINC000621712245 1129183623 /nfs/dbraw/zinc/18/36/23/1129183623.db2.gz YKWUOUSLVFIMEV-UHFFFAOYSA-N 1 2 264.394 3.629 20 0 CHADLO CCC(CC)(CC)NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000635608777 1129187021 /nfs/dbraw/zinc/18/70/21/1129187021.db2.gz ZCXDSTYHZNJQNA-CQSZACIVSA-N 1 2 279.428 3.555 20 0 CHADLO CC(C)c1cc(N2CCC(F)(F)CC2)nc(C(C)C)[nH+]1 ZINC000795272775 1129207583 /nfs/dbraw/zinc/20/75/83/1129207583.db2.gz QOOMTMDGOQPDIF-UHFFFAOYSA-N 1 2 283.366 3.959 20 0 CHADLO Cn1ccnc1[C@H](C1CC1)[N@H+](C)Cc1ccc(Cl)s1 ZINC000637869544 1129232962 /nfs/dbraw/zinc/23/29/62/1129232962.db2.gz XFNSQQUPCPQCGA-ZDUSSCGKSA-N 1 2 295.839 3.718 20 0 CHADLO Cc1ncc([C@@H](C)[N@H+](Cc2ccccc2F)C2CC2)c(C)n1 ZINC000637873772 1129233701 /nfs/dbraw/zinc/23/37/01/1129233701.db2.gz TYAOAISUUAIQDK-CYBMUJFWSA-N 1 2 299.393 3.958 20 0 CHADLO Cc1ncc([C@@H](C)[N@@H+](Cc2ccccc2F)C2CC2)c(C)n1 ZINC000637873772 1129233705 /nfs/dbraw/zinc/23/37/05/1129233705.db2.gz TYAOAISUUAIQDK-CYBMUJFWSA-N 1 2 299.393 3.958 20 0 CHADLO C[N@H+](Cc1ccns1)Cc1ccccc1C(F)(F)F ZINC000637889755 1129234660 /nfs/dbraw/zinc/23/46/60/1129234660.db2.gz RMJZUVQCQPFNIS-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1ccns1)Cc1ccccc1C(F)(F)F ZINC000637889755 1129234663 /nfs/dbraw/zinc/23/46/63/1129234663.db2.gz RMJZUVQCQPFNIS-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)sn1 ZINC000638126805 1129245201 /nfs/dbraw/zinc/24/52/01/1129245201.db2.gz CICLPGHAGCHGQT-CQSZACIVSA-N 1 2 262.353 3.538 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)sn1 ZINC000638126805 1129245205 /nfs/dbraw/zinc/24/52/05/1129245205.db2.gz CICLPGHAGCHGQT-CQSZACIVSA-N 1 2 262.353 3.538 20 0 CHADLO CCOc1ccc(NCc2c[nH+]cn2CC)cc1Cl ZINC000090172294 1129272869 /nfs/dbraw/zinc/27/28/69/1129272869.db2.gz VQPKNLDCVWLVFD-UHFFFAOYSA-N 1 2 279.771 3.567 20 0 CHADLO CO[C@@H]1CC=C(Nc2ccc([NH+]3CCCC3)cc2)CC1 ZINC001212878077 1129275695 /nfs/dbraw/zinc/27/56/95/1129275695.db2.gz DMKUSYSLAOIIST-QGZVFWFLSA-N 1 2 272.392 3.782 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(Cl)n2)Cc2ccccc2O1 ZINC000795432549 1129276180 /nfs/dbraw/zinc/27/61/80/1129276180.db2.gz SDFOWTHXLZXZDU-LBPRGKRZSA-N 1 2 288.778 3.518 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(Cl)n2)Cc2ccccc2O1 ZINC000795432549 1129276183 /nfs/dbraw/zinc/27/61/83/1129276183.db2.gz SDFOWTHXLZXZDU-LBPRGKRZSA-N 1 2 288.778 3.518 20 0 CHADLO COc1c2ccccc2oc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000639095049 1129280981 /nfs/dbraw/zinc/28/09/81/1129280981.db2.gz ZGEWIUOVRRMTGG-UHFFFAOYSA-N 1 2 298.342 3.597 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)c2nccn21 ZINC000379173995 1129285796 /nfs/dbraw/zinc/28/57/96/1129285796.db2.gz PYGCGFMCWOBSTL-CJNGLKHVSA-N 1 2 282.391 3.601 20 0 CHADLO Cc1ncc([C@@H](C)[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)c(C)n1 ZINC000639204591 1129292632 /nfs/dbraw/zinc/29/26/32/1129292632.db2.gz DLGMPWGWCBCWRT-CXAGYDPISA-N 1 2 296.418 3.633 20 0 CHADLO Cc1ncc([C@@H](C)[N@H+]2CC(C)(C)[C@H]2c2ccncc2)c(C)n1 ZINC000639204591 1129292636 /nfs/dbraw/zinc/29/26/36/1129292636.db2.gz DLGMPWGWCBCWRT-CXAGYDPISA-N 1 2 296.418 3.633 20 0 CHADLO Cc1ncc([C@@H](C)[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)c(C)n1 ZINC000639200213 1129292684 /nfs/dbraw/zinc/29/26/84/1129292684.db2.gz WULJUHGXHCEAMR-CXAGYDPISA-N 1 2 296.418 3.633 20 0 CHADLO Cc1ncc([C@@H](C)[N@H+]2CC(C)(C)[C@H]2c2cccnc2)c(C)n1 ZINC000639200213 1129292687 /nfs/dbraw/zinc/29/26/87/1129292687.db2.gz WULJUHGXHCEAMR-CXAGYDPISA-N 1 2 296.418 3.633 20 0 CHADLO CCCCC[C@H](NC(=O)CCCn1cc[nH+]c1)C(C)(C)C ZINC000734344551 1129305310 /nfs/dbraw/zinc/30/53/10/1129305310.db2.gz OCDYRDRONLONFF-HNNXBMFYSA-N 1 2 293.455 3.775 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2nc(CC3CC3)no2)C2CCC2)cc1 ZINC000639529369 1129322278 /nfs/dbraw/zinc/32/22/78/1129322278.db2.gz DODQHMOQNHWUHL-SFHVURJKSA-N 1 2 297.402 3.653 20 0 CHADLO O=C(C[N@H+]1CCC[C@H]1c1ccc(O)cc1)c1ccccc1F ZINC000639539431 1129322711 /nfs/dbraw/zinc/32/27/11/1129322711.db2.gz XICPEGUYBJXFID-KRWDZBQOSA-N 1 2 299.345 3.551 20 0 CHADLO O=C(C[N@@H+]1CCC[C@H]1c1ccc(O)cc1)c1ccccc1F ZINC000639539431 1129322714 /nfs/dbraw/zinc/32/27/14/1129322714.db2.gz XICPEGUYBJXFID-KRWDZBQOSA-N 1 2 299.345 3.551 20 0 CHADLO CC[C@H](C)CCC(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000795472005 1129326406 /nfs/dbraw/zinc/32/64/06/1129326406.db2.gz LKTMXLSPIRILCQ-LBPRGKRZSA-N 1 2 297.468 3.750 20 0 CHADLO Fc1cc(Cl)ccc1C[N@@H+]1CCC[C@H]1c1cn[nH]c1 ZINC000639777554 1129332430 /nfs/dbraw/zinc/33/24/30/1129332430.db2.gz LNCCCDLNBNTZGV-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cc(Cl)ccc1C[N@H+]1CCC[C@H]1c1cn[nH]c1 ZINC000639777554 1129332434 /nfs/dbraw/zinc/33/24/34/1129332434.db2.gz LNCCCDLNBNTZGV-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO CC(C)Oc1cccc(C[NH2+]Cc2ncc(Cl)s2)c1 ZINC000719428263 1129332816 /nfs/dbraw/zinc/33/28/16/1129332816.db2.gz CDWIYRMUYRNNLD-UHFFFAOYSA-N 1 2 296.823 3.874 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+]Cc1ncc(Cl)s1 ZINC000719428879 1129333122 /nfs/dbraw/zinc/33/31/22/1129333122.db2.gz DKZHGGCKNHPCLL-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC000639874143 1129338256 /nfs/dbraw/zinc/33/82/56/1129338256.db2.gz WMGPTEASBUJNKG-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC000639874143 1129338259 /nfs/dbraw/zinc/33/82/59/1129338259.db2.gz WMGPTEASBUJNKG-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+]1Cc2ccccc2[C@H](C)C1 ZINC000735492268 1129348891 /nfs/dbraw/zinc/34/88/91/1129348891.db2.gz LWYQPADTRGBGEC-KDOFPFPSSA-N 1 2 295.382 3.520 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+]1Cc2ccccc2[C@H](C)C1 ZINC000735492268 1129348892 /nfs/dbraw/zinc/34/88/92/1129348892.db2.gz LWYQPADTRGBGEC-KDOFPFPSSA-N 1 2 295.382 3.520 20 0 CHADLO Cc1ccc(NCc2scnc2C2CC2)c(C)[nH+]1 ZINC000362626500 1129362379 /nfs/dbraw/zinc/36/23/79/1129362379.db2.gz HSIBUTKRWMNYQL-UHFFFAOYSA-N 1 2 259.378 3.644 20 0 CHADLO OCc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1Cl ZINC001212891743 1129374752 /nfs/dbraw/zinc/37/47/52/1129374752.db2.gz IHZAWLZECPYIHQ-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO FC(F)C(F)(F)C[N@@H+]1CCC[C@@H]1CCc1ccccc1 ZINC000736621776 1129377468 /nfs/dbraw/zinc/37/74/68/1129377468.db2.gz PVLQVBOKGMOLRV-CYBMUJFWSA-N 1 2 289.316 3.984 20 0 CHADLO FC(F)C(F)(F)C[N@H+]1CCC[C@@H]1CCc1ccccc1 ZINC000736621776 1129377473 /nfs/dbraw/zinc/37/74/73/1129377473.db2.gz PVLQVBOKGMOLRV-CYBMUJFWSA-N 1 2 289.316 3.984 20 0 CHADLO CC[C@H](C)[C@H](C)[N@H+](CC(F)(F)C(F)F)C1CC1 ZINC000736618847 1129377715 /nfs/dbraw/zinc/37/77/15/1129377715.db2.gz HWLJOLBESKQNSC-IUCAKERBSA-N 1 2 255.299 3.786 20 0 CHADLO CC[C@H](C)[C@H](C)[N@@H+](CC(F)(F)C(F)F)C1CC1 ZINC000736618847 1129377718 /nfs/dbraw/zinc/37/77/18/1129377718.db2.gz HWLJOLBESKQNSC-IUCAKERBSA-N 1 2 255.299 3.786 20 0 CHADLO CCc1nc(C[NH2+][C@@H](c2ccccn2)C(C)C)cs1 ZINC000736648407 1129378660 /nfs/dbraw/zinc/37/86/60/1129378660.db2.gz YXJNGBVDMMGGDR-OAHLLOKOSA-N 1 2 275.421 3.587 20 0 CHADLO C[C@H](Nc1cc(CO)cc[nH+]1)c1cccc(C2CC2)c1 ZINC000641613385 1129385072 /nfs/dbraw/zinc/38/50/72/1129385072.db2.gz RCYLLQUILVINBR-LBPRGKRZSA-N 1 2 268.360 3.624 20 0 CHADLO CC(C)(C)[C@@H]1CCC[N@H+](Cn2ncsc2=S)CC1 ZINC000738243610 1129391833 /nfs/dbraw/zinc/39/18/33/1129391833.db2.gz QZWKMSCGBDGKRP-LLVKDONJSA-N 1 2 285.482 3.780 20 0 CHADLO CC(C)(C)[C@@H]1CCC[N@@H+](Cn2ncsc2=S)CC1 ZINC000738243610 1129391838 /nfs/dbraw/zinc/39/18/38/1129391838.db2.gz QZWKMSCGBDGKRP-LLVKDONJSA-N 1 2 285.482 3.780 20 0 CHADLO CC(C)[C@H](C[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000738418232 1129393773 /nfs/dbraw/zinc/39/37/73/1129393773.db2.gz UOMZCRWKZPVPOO-HOCLYGCPSA-N 1 2 291.435 3.746 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2nonc2C)o1 ZINC000739923960 1129409817 /nfs/dbraw/zinc/40/98/17/1129409817.db2.gz OHLYZVFGNLWUOP-OAHLLOKOSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@H+]2Cc2nonc2C)o1 ZINC000739923960 1129409820 /nfs/dbraw/zinc/40/98/20/1129409820.db2.gz OHLYZVFGNLWUOP-OAHLLOKOSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cn3cc[nH]c3=S)CC2)cc1 ZINC000092883668 1129410830 /nfs/dbraw/zinc/41/08/30/1129410830.db2.gz NCBBVCQHMTYPNI-UHFFFAOYSA-N 1 2 299.443 3.855 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cn3cc[nH]c3=S)CC2)cc1 ZINC000092883668 1129410834 /nfs/dbraw/zinc/41/08/34/1129410834.db2.gz NCBBVCQHMTYPNI-UHFFFAOYSA-N 1 2 299.443 3.855 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1cn[nH]c1C)c1ccccc1 ZINC000180001861 1129443816 /nfs/dbraw/zinc/44/38/16/1129443816.db2.gz ZYPXRSWEYKDVTL-BLLLJJGKSA-N 1 2 257.381 3.910 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@H+](C)[C@H](C)c1ccc(F)cc1 ZINC000741775705 1129452212 /nfs/dbraw/zinc/45/22/12/1129452212.db2.gz XPYSZYTUUJUJLC-OLZOCXBDSA-N 1 2 281.371 3.550 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@@H+](C)[C@H](C)c1ccc(F)cc1 ZINC000741775705 1129452214 /nfs/dbraw/zinc/45/22/14/1129452214.db2.gz XPYSZYTUUJUJLC-OLZOCXBDSA-N 1 2 281.371 3.550 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@H+](C)[C@@H](C)c1ccc(F)cc1 ZINC000741775707 1129452302 /nfs/dbraw/zinc/45/23/02/1129452302.db2.gz XPYSZYTUUJUJLC-STQMWFEESA-N 1 2 281.371 3.550 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@@H+](C)[C@@H](C)c1ccc(F)cc1 ZINC000741775707 1129452303 /nfs/dbraw/zinc/45/23/03/1129452303.db2.gz XPYSZYTUUJUJLC-STQMWFEESA-N 1 2 281.371 3.550 20 0 CHADLO C/C(=C\C(=O)Nc1cccc2[nH+]ccn21)c1ccc(F)cc1 ZINC000741969922 1129463708 /nfs/dbraw/zinc/46/37/08/1129463708.db2.gz RAPDELOKTRWKHO-VAWYXSNFSA-N 1 2 295.317 3.515 20 0 CHADLO COc1cc(C)c(-c2ccc3[nH+]ccn3c2C)cc1C ZINC001241139191 1129465775 /nfs/dbraw/zinc/46/57/75/1129465775.db2.gz YNBZRZOHGRFNOB-UHFFFAOYSA-N 1 2 266.344 3.935 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1cccnc1Cl ZINC000742378685 1129475957 /nfs/dbraw/zinc/47/59/57/1129475957.db2.gz JQQKBMWRLAYTFA-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO Cc1cc(C)c(-c2c[nH+]c(N3CCOCC3)cc2C)c(C)c1 ZINC001239549895 1131380084 /nfs/dbraw/zinc/38/00/84/1131380084.db2.gz JZSFILVIPKEQCN-UHFFFAOYSA-N 1 2 296.414 3.819 20 0 CHADLO CCCC[N@H+](C)c1ccc(Nc2ccnc(C)n2)cc1 ZINC000742405807 1129477044 /nfs/dbraw/zinc/47/70/44/1129477044.db2.gz OUNYGEYKNURWNA-UHFFFAOYSA-N 1 2 270.380 3.765 20 0 CHADLO CCCC[N@@H+](C)c1ccc(Nc2ccnc(C)n2)cc1 ZINC000742405807 1129477046 /nfs/dbraw/zinc/47/70/46/1129477046.db2.gz OUNYGEYKNURWNA-UHFFFAOYSA-N 1 2 270.380 3.765 20 0 CHADLO Cc1cccc2c1CCCN2Cc1c[nH+]cn1C(C)C ZINC000644902189 1129480530 /nfs/dbraw/zinc/48/05/30/1129480530.db2.gz XOBKCMNPRVQBRJ-UHFFFAOYSA-N 1 2 269.392 3.725 20 0 CHADLO C[C@@H](c1ccc2c(c1)CCC2)[N@@H+]1Cc2cccnc2C1 ZINC000644932798 1129480882 /nfs/dbraw/zinc/48/08/82/1129480882.db2.gz IBWCXXUZSBMEKY-ZDUSSCGKSA-N 1 2 264.372 3.647 20 0 CHADLO C[C@@H](c1ccc2c(c1)CCC2)[N@H+]1Cc2cccnc2C1 ZINC000644932798 1129480884 /nfs/dbraw/zinc/48/08/84/1129480884.db2.gz IBWCXXUZSBMEKY-ZDUSSCGKSA-N 1 2 264.372 3.647 20 0 CHADLO CC(C)(C)c1nnc(C[NH+]2CCC(C3CCCC3)CC2)[nH]1 ZINC000644995076 1129483432 /nfs/dbraw/zinc/48/34/32/1129483432.db2.gz XWWAVQNNWJHJKA-UHFFFAOYSA-N 1 2 290.455 3.504 20 0 CHADLO COc1cccc2c(NC[C@@H](C)CC(F)F)cc[nH+]c12 ZINC000645307390 1129494448 /nfs/dbraw/zinc/49/44/48/1129494448.db2.gz PQNMRFXMLBRICZ-JTQLQIEISA-N 1 2 280.318 3.947 20 0 CHADLO COc1ccc(C[NH2+]C2(c3ccccc3Cl)CC2)nc1 ZINC000645977677 1129515463 /nfs/dbraw/zinc/51/54/63/1129515463.db2.gz IHEPSXFFKXFVIH-UHFFFAOYSA-N 1 2 288.778 3.523 20 0 CHADLO CC(C)(C)c1nn(C[N@@H+]2CCC3(CCCC3)C2)c(=S)o1 ZINC000743389838 1129521596 /nfs/dbraw/zinc/52/15/96/1129521596.db2.gz KACUGVIBKFCUMT-UHFFFAOYSA-N 1 2 295.452 3.727 20 0 CHADLO CC(C)(C)c1nn(C[N@H+]2CCC3(CCCC3)C2)c(=S)o1 ZINC000743389838 1129521597 /nfs/dbraw/zinc/52/15/97/1129521597.db2.gz KACUGVIBKFCUMT-UHFFFAOYSA-N 1 2 295.452 3.727 20 0 CHADLO COc1ccc(F)c(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)c1 ZINC000646094966 1129522115 /nfs/dbraw/zinc/52/21/15/1129522115.db2.gz YLIKLBSMBLJEHO-QGZVFWFLSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(F)c(C[N@H+]2CC[C@@H]2c2cccc(F)c2)c1 ZINC000646094966 1129522119 /nfs/dbraw/zinc/52/21/19/1129522119.db2.gz YLIKLBSMBLJEHO-QGZVFWFLSA-N 1 2 289.325 3.920 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000646116655 1129524638 /nfs/dbraw/zinc/52/46/38/1129524638.db2.gz ARHZBAVNYQZXBH-GOSISDBHSA-N 1 2 293.414 3.796 20 0 CHADLO CCn1ccnc1C[N@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000646116655 1129524641 /nfs/dbraw/zinc/52/46/41/1129524641.db2.gz ARHZBAVNYQZXBH-GOSISDBHSA-N 1 2 293.414 3.796 20 0 CHADLO CCc1cnc(C[NH2+]C2(c3ccc(C)cc3)CCC2)o1 ZINC000646131393 1129525455 /nfs/dbraw/zinc/52/54/55/1129525455.db2.gz QMUWTVBJQGYAGW-UHFFFAOYSA-N 1 2 270.376 3.714 20 0 CHADLO CCOc1ccccc1-c1cc(C)cn2cc[nH+]c12 ZINC001239559140 1131385171 /nfs/dbraw/zinc/38/51/71/1131385171.db2.gz PEMDXXNFWRFCGI-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO CCC[C@H](CC)C(=O)N(C)CCCc1[nH+]ccn1CCC ZINC000822430818 1131380829 /nfs/dbraw/zinc/38/08/29/1131380829.db2.gz YFDPNXYUKCSALT-HNNXBMFYSA-N 1 2 293.455 3.510 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1c(C)cc(C)cc1C ZINC001239551298 1131380859 /nfs/dbraw/zinc/38/08/59/1131380859.db2.gz WJEINZRKTFMIIC-UHFFFAOYSA-N 1 2 266.344 3.935 20 0 CHADLO CCCCOC(=O)C[N@@H+]1C[C@@H](C)C[C@@H]1c1cccc(F)c1 ZINC000743854671 1129540199 /nfs/dbraw/zinc/54/01/99/1129540199.db2.gz HMENPYLYRUFHAH-XJKSGUPXSA-N 1 2 293.382 3.552 20 0 CHADLO CCCCOC(=O)C[N@H+]1C[C@@H](C)C[C@@H]1c1cccc(F)c1 ZINC000743854671 1129540200 /nfs/dbraw/zinc/54/02/00/1129540200.db2.gz HMENPYLYRUFHAH-XJKSGUPXSA-N 1 2 293.382 3.552 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)CC(=O)OCc2ccccc2)cc1 ZINC000303358161 1129540854 /nfs/dbraw/zinc/54/08/54/1129540854.db2.gz GRMPFXGOZQGGLE-INIZCTEOSA-N 1 2 297.398 3.731 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)CC(=O)OCc2ccccc2)cc1 ZINC000303358161 1129540858 /nfs/dbraw/zinc/54/08/58/1129540858.db2.gz GRMPFXGOZQGGLE-INIZCTEOSA-N 1 2 297.398 3.731 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000181990502 1129593535 /nfs/dbraw/zinc/59/35/35/1129593535.db2.gz KOGWNNROZCIPQQ-SECBINFHSA-N 1 2 259.180 3.620 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000181990502 1129593538 /nfs/dbraw/zinc/59/35/38/1129593538.db2.gz KOGWNNROZCIPQQ-SECBINFHSA-N 1 2 259.180 3.620 20 0 CHADLO CS[C@H]1CC[C@@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000822447063 1131381630 /nfs/dbraw/zinc/38/16/30/1131381630.db2.gz NLTXBHHMEIXTAJ-GJZGRUSLSA-N 1 2 262.422 3.593 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cc3ccccc3o2)co1 ZINC000647970710 1129589664 /nfs/dbraw/zinc/58/96/64/1129589664.db2.gz ASXVDJVJZKOUKK-SNVBAGLBSA-N 1 2 256.305 3.580 20 0 CHADLO CC(C)Cn1nccc1C[N@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000648004137 1129591593 /nfs/dbraw/zinc/59/15/93/1129591593.db2.gz RTNDEEIAUKWVFW-AWEZNQCLSA-N 1 2 287.407 3.858 20 0 CHADLO CC(C)Cn1nccc1C[N@@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000648004137 1129591597 /nfs/dbraw/zinc/59/15/97/1129591597.db2.gz RTNDEEIAUKWVFW-AWEZNQCLSA-N 1 2 287.407 3.858 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](c3cccc(F)c3)[C@H]2C)o1 ZINC000648067698 1129595329 /nfs/dbraw/zinc/59/53/29/1129595329.db2.gz UBFICELJBPOZNN-DYVFJYSZSA-N 1 2 289.350 3.689 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](c3cccc(F)c3)[C@H]2C)o1 ZINC000648067698 1129595333 /nfs/dbraw/zinc/59/53/33/1129595333.db2.gz UBFICELJBPOZNN-DYVFJYSZSA-N 1 2 289.350 3.689 20 0 CHADLO Cc1nnc(C[NH2+][C@H](C)c2ccc(SC(C)C)cc2)o1 ZINC000182105918 1129596824 /nfs/dbraw/zinc/59/68/24/1129596824.db2.gz KJLOMSFVKZAFRS-LLVKDONJSA-N 1 2 291.420 3.729 20 0 CHADLO Cc1cccc(C[NH2+]Cc2cc(C(C)C)no2)c1F ZINC000648452291 1129606730 /nfs/dbraw/zinc/60/67/30/1129606730.db2.gz UPXSWPPNCIQJGA-UHFFFAOYSA-N 1 2 262.328 3.535 20 0 CHADLO Cc1ccc(C[NH+]2CCOCC2)cc1C1=CCCCC1 ZINC001241719095 1129609698 /nfs/dbraw/zinc/60/96/98/1129609698.db2.gz JABLDZPZQXYOOD-UHFFFAOYSA-N 1 2 271.404 3.785 20 0 CHADLO Cc1oc2ccccc2c1CNc1cc[nH+]cc1F ZINC000192149613 1129642657 /nfs/dbraw/zinc/64/26/57/1129642657.db2.gz GSILKQDSSQGETJ-UHFFFAOYSA-N 1 2 256.280 3.887 20 0 CHADLO c1[nH+]c(SCCc2ccccc2)n2ccccc12 ZINC000649471372 1129651456 /nfs/dbraw/zinc/65/14/56/1129651456.db2.gz WOQQNOPZVZDZGT-UHFFFAOYSA-N 1 2 254.358 3.669 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2cc3n(n2)CCCC3)cc1 ZINC000649477579 1129651850 /nfs/dbraw/zinc/65/18/50/1129651850.db2.gz VQGQUJUOXNOMQR-SFHVURJKSA-N 1 2 299.393 3.696 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2cc3n(n2)CCCC3)cc1 ZINC000649477579 1129651851 /nfs/dbraw/zinc/65/18/51/1129651851.db2.gz VQGQUJUOXNOMQR-SFHVURJKSA-N 1 2 299.393 3.696 20 0 CHADLO CC[N@H+](Cn1nc(C2CC2)sc1=S)C1CCCC1 ZINC000746372379 1129652297 /nfs/dbraw/zinc/65/22/97/1129652297.db2.gz HWFUYDVUWUGROV-UHFFFAOYSA-N 1 2 283.466 3.773 20 0 CHADLO CC[N@@H+](Cn1nc(C2CC2)sc1=S)C1CCCC1 ZINC000746372379 1129652299 /nfs/dbraw/zinc/65/22/99/1129652299.db2.gz HWFUYDVUWUGROV-UHFFFAOYSA-N 1 2 283.466 3.773 20 0 CHADLO C[C@H]1CC[C@H](Nc2[nH+]cnc3c2cnn3C(C)(C)C)CC1 ZINC000766407349 1129663575 /nfs/dbraw/zinc/66/35/75/1129663575.db2.gz KLDPYSMCQZQEPD-HAQNSBGRSA-N 1 2 287.411 3.572 20 0 CHADLO c1ccn(-c2ccc[nH+]c2NCCCCc2ccccn2)c1 ZINC001168793887 1129666170 /nfs/dbraw/zinc/66/61/70/1129666170.db2.gz ZRVHWPOFGNJRNU-UHFFFAOYSA-N 1 2 292.386 3.702 20 0 CHADLO CC[C@H]1CCC[C@H]1Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000766427883 1129666242 /nfs/dbraw/zinc/66/62/42/1129666242.db2.gz LPLWQPUQAOQTJC-WCQYABFASA-N 1 2 287.411 3.572 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1csnn1)c1ccc(C)c(F)c1 ZINC001168826671 1129674284 /nfs/dbraw/zinc/67/42/84/1129674284.db2.gz XCSGUFYYYLIWML-GWCFXTLKSA-N 1 2 279.384 3.788 20 0 CHADLO Cc1cc(NCc2cc(C(C)C)no2)ccc1[NH+](C)C ZINC001168827707 1129675270 /nfs/dbraw/zinc/67/52/70/1129675270.db2.gz KDLAUGHYRHTNTD-UHFFFAOYSA-N 1 2 273.380 3.785 20 0 CHADLO Cc1ccc([C@@H](C)Nc2ccc(Cn3cc[nH+]c3)cc2)nc1 ZINC001168856925 1129677363 /nfs/dbraw/zinc/67/73/63/1129677363.db2.gz DONPZMFRODWVDP-OAHLLOKOSA-N 1 2 292.386 3.808 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NCCC2CCC2)c1 ZINC001168889373 1129679966 /nfs/dbraw/zinc/67/99/66/1129679966.db2.gz KLWRZCCOCVGNTO-UHFFFAOYSA-N 1 2 255.365 3.689 20 0 CHADLO Cc1ccc([C@@H](C)Nc2[nH+]cccc2-n2cccc2)nc1 ZINC001168851239 1129680015 /nfs/dbraw/zinc/68/00/15/1129680015.db2.gz PSADTDLKCMKUEH-CQSZACIVSA-N 1 2 278.359 3.749 20 0 CHADLO c1c(CCNc2cnc3ccccc3c2)[nH+]c2ccccn12 ZINC001169005706 1129688937 /nfs/dbraw/zinc/68/89/37/1129688937.db2.gz XHPAOAOFOYAPDW-UHFFFAOYSA-N 1 2 288.354 3.537 20 0 CHADLO CCOc1c(F)cccc1NCCc1cn2ccccc2[nH+]1 ZINC001169009576 1129689363 /nfs/dbraw/zinc/68/93/63/1129689363.db2.gz RJRXOFRVQVUJLZ-UHFFFAOYSA-N 1 2 299.349 3.527 20 0 CHADLO Cc1ccc(-c2noc([C@@H](C)[NH2+]C/C=C/Cl)n2)cc1F ZINC001169057349 1129693423 /nfs/dbraw/zinc/69/34/23/1129693423.db2.gz YJLAVYQAKYHBGQ-QLCVYAKKSA-N 1 2 295.745 3.587 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc(N(C)C)c[nH+]2)c2ccccc21 ZINC001169377264 1129722424 /nfs/dbraw/zinc/72/24/24/1129722424.db2.gz IASKSUJRDNFNGT-MLGOLLRUSA-N 1 2 267.376 3.808 20 0 CHADLO CC(C)(C)C[N@H+](C[C@H]1C[C@@]12CCOC2)c1ccccc1 ZINC001169481011 1129728948 /nfs/dbraw/zinc/72/89/48/1129728948.db2.gz ABZBNGBUXZJHRY-CRAIPNDOSA-N 1 2 273.420 3.966 20 0 CHADLO CC(C)(C)C[N@@H+](C[C@H]1C[C@@]12CCOC2)c1ccccc1 ZINC001169481011 1129728951 /nfs/dbraw/zinc/72/89/51/1129728951.db2.gz ABZBNGBUXZJHRY-CRAIPNDOSA-N 1 2 273.420 3.966 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC[C@H](C)C[C@@H]1C)c1ccccc1C ZINC001169554920 1129736172 /nfs/dbraw/zinc/73/61/72/1129736172.db2.gz NHOIVAUGZMBGFK-GUDXXQAFSA-N 1 2 289.419 3.623 20 0 CHADLO Oc1cc(Nc2ccccc2-n2cc[nH+]c2)ccc1Cl ZINC001212591544 1129737352 /nfs/dbraw/zinc/73/73/52/1129737352.db2.gz RPCYOLIZPWDNHK-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@]3(CC3(F)F)C2)c1 ZINC000651489440 1129741064 /nfs/dbraw/zinc/74/10/64/1129741064.db2.gz WVEGEFMNAQVPEZ-AWEZNQCLSA-N 1 2 278.277 3.609 20 0 CHADLO Fc1ccc2c(c1Cl)[C@H]([NH2+]Cc1nccs1)CC2 ZINC000651683878 1129744701 /nfs/dbraw/zinc/74/47/01/1129744701.db2.gz RNSCXPJXRPMHSV-SNVBAGLBSA-N 1 2 282.771 3.713 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000651956161 1129752661 /nfs/dbraw/zinc/75/26/61/1129752661.db2.gz NXGRDYOCZADVBB-LSDHHAIUSA-N 1 2 295.386 3.760 20 0 CHADLO COc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)cc1F ZINC001239579916 1131396272 /nfs/dbraw/zinc/39/62/72/1131396272.db2.gz SAUGCVFDIBYYGF-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO C[C@@H](COCC1CC1)[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000651982782 1129754418 /nfs/dbraw/zinc/75/44/18/1129754418.db2.gz IASUSASYYYVDPM-BBRMVZONSA-N 1 2 297.389 3.658 20 0 CHADLO CC[C@@H](Nc1cc(COC)cc[nH+]1)c1ccccc1F ZINC000652068139 1129756869 /nfs/dbraw/zinc/75/68/69/1129756869.db2.gz TTZTUVHFRDOBMI-OAHLLOKOSA-N 1 2 274.339 3.930 20 0 CHADLO COCc1cc[nH+]c(NC2CC(c3ccccc3)C2)c1 ZINC000652068976 1129757338 /nfs/dbraw/zinc/75/73/38/1129757338.db2.gz VXAKGAFKLXAYTM-UHFFFAOYSA-N 1 2 268.360 3.586 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCCc2cncs2)c1 ZINC001169868631 1129762406 /nfs/dbraw/zinc/76/24/06/1129762406.db2.gz SWNMMYHXFLAYGL-UHFFFAOYSA-N 1 2 289.448 3.952 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](c2ccc(F)cc2)C2CC2)c1 ZINC000652330257 1129763126 /nfs/dbraw/zinc/76/31/26/1129763126.db2.gz AKWOOSYXRNBUIS-QGZVFWFLSA-N 1 2 286.350 3.930 20 0 CHADLO CCO[C@@H]1CCC[C@H]([NH2+]c2ccc(N(C)C)cc2)C1 ZINC000721184421 1129770878 /nfs/dbraw/zinc/77/08/78/1129770878.db2.gz OGZJTHQEJYLKHD-GOEBONIOSA-N 1 2 262.397 3.512 20 0 CHADLO CCO[C@@H]1CCC[C@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000721184421 1129770880 /nfs/dbraw/zinc/77/08/80/1129770880.db2.gz OGZJTHQEJYLKHD-GOEBONIOSA-N 1 2 262.397 3.512 20 0 CHADLO Cc1cccc(C[N@@H+]2CCCC(F)(F)[C@@H](F)C2)c1F ZINC001137673583 1131396625 /nfs/dbraw/zinc/39/66/25/1131396625.db2.gz JPEUDMPSMIEJBP-LBPRGKRZSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cccc(C[N@H+]2CCCC(F)(F)[C@@H](F)C2)c1F ZINC001137673583 1131396628 /nfs/dbraw/zinc/39/66/28/1131396628.db2.gz JPEUDMPSMIEJBP-LBPRGKRZSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C)c2ccsc2)c(C)[nH+]1 ZINC000653187681 1129778225 /nfs/dbraw/zinc/77/82/25/1129778225.db2.gz DEOHMJPTODFRHM-NSHDSACASA-N 1 2 289.404 3.951 20 0 CHADLO Cc1cc(NC(=O)C(C2CC2)C2CC2)ccc1-n1cc[nH+]c1 ZINC001136625004 1131397070 /nfs/dbraw/zinc/39/70/70/1131397070.db2.gz UHALBCFIJOWNOQ-UHFFFAOYSA-N 1 2 295.386 3.555 20 0 CHADLO CC(C)(C)[C@@H]1CCC[N@H+](CC(F)(F)C(F)F)C1 ZINC000796576946 1129784597 /nfs/dbraw/zinc/78/45/97/1129784597.db2.gz XHPIJFJCQUOXIC-SECBINFHSA-N 1 2 255.299 3.645 20 0 CHADLO CC(C)(C)[C@@H]1CCC[N@@H+](CC(F)(F)C(F)F)C1 ZINC000796576946 1129784599 /nfs/dbraw/zinc/78/45/99/1129784599.db2.gz XHPIJFJCQUOXIC-SECBINFHSA-N 1 2 255.299 3.645 20 0 CHADLO CC(C)c1ccc[nH+]c1NCc1[nH]nc2ccccc21 ZINC000767292948 1129785121 /nfs/dbraw/zinc/78/51/21/1129785121.db2.gz ZBXAJMHKOKDJFP-UHFFFAOYSA-N 1 2 266.348 3.693 20 0 CHADLO COCC(C)(C)Nc1cc(-c2ccccc2)cc[nH+]1 ZINC001156459773 1129785596 /nfs/dbraw/zinc/78/55/96/1129785596.db2.gz SGINEPIQNKGHQO-UHFFFAOYSA-N 1 2 256.349 3.586 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)ccc1-n1cc[nH+]c1 ZINC001136625491 1131397577 /nfs/dbraw/zinc/39/75/77/1131397577.db2.gz MCIPSEZINHYXTJ-NUEKZKHPSA-N 1 2 295.386 3.555 20 0 CHADLO C[C@@H]([NH2+]CCC1=CCCCCC1)C(=O)OC(C)(C)C ZINC000767576003 1129798826 /nfs/dbraw/zinc/79/88/26/1129798826.db2.gz NMVGCBZIHJTNAN-CYBMUJFWSA-N 1 2 267.413 3.587 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@@H+]1Cc1nnc(C(C)(C)C)o1 ZINC000767884793 1129818511 /nfs/dbraw/zinc/81/85/11/1129818511.db2.gz UVEMMHRIODNFNE-HNNXBMFYSA-N 1 2 299.418 3.704 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@H+]1Cc1nnc(C(C)(C)C)o1 ZINC000767884793 1129818516 /nfs/dbraw/zinc/81/85/16/1129818516.db2.gz UVEMMHRIODNFNE-HNNXBMFYSA-N 1 2 299.418 3.704 20 0 CHADLO CCc1cnccc1[C@H](C)[NH2+]Cc1csc(Cl)n1 ZINC000828775130 1131399761 /nfs/dbraw/zinc/39/97/61/1131399761.db2.gz RKEFBSMZQSEFGD-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@@H](C)c2nc3ccccc3s2)o1 ZINC000380465114 1129831125 /nfs/dbraw/zinc/83/11/25/1129831125.db2.gz PXGSUHOQULAUKE-JTQLQIEISA-N 1 2 287.388 3.752 20 0 CHADLO Cc1cc(NC[C@]2(C)CC2(Cl)Cl)nc(C2CC2)[nH+]1 ZINC000828798354 1131400459 /nfs/dbraw/zinc/40/04/59/1131400459.db2.gz JQOCFMNANYSEJH-LBPRGKRZSA-N 1 2 286.206 3.658 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1c(Cl)nc2ccccn21 ZINC000768159453 1129838185 /nfs/dbraw/zinc/83/81/85/1129838185.db2.gz GTWGNYKRJJJTJD-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1c(Cl)nc2ccccn21 ZINC000768159453 1129838188 /nfs/dbraw/zinc/83/81/88/1129838188.db2.gz GTWGNYKRJJJTJD-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO FC1(F)C[N@H+](CC2CCC2)CC[C@@H]1c1ccccc1 ZINC001202793282 1129844813 /nfs/dbraw/zinc/84/48/13/1129844813.db2.gz VBGCMOAXLYNPGH-OAHLLOKOSA-N 1 2 265.347 3.911 20 0 CHADLO FC1(F)C[N@@H+](CC2CCC2)CC[C@@H]1c1ccccc1 ZINC001202793282 1129844815 /nfs/dbraw/zinc/84/48/15/1129844815.db2.gz VBGCMOAXLYNPGH-OAHLLOKOSA-N 1 2 265.347 3.911 20 0 CHADLO c1oc(C2CC2)nc1C[N@H+](Cc1ccccc1)C1CC1 ZINC000768229556 1129845939 /nfs/dbraw/zinc/84/59/39/1129845939.db2.gz LSIWJMAYYOSFQU-UHFFFAOYSA-N 1 2 268.360 3.717 20 0 CHADLO c1oc(C2CC2)nc1C[N@@H+](Cc1ccccc1)C1CC1 ZINC000768229556 1129845945 /nfs/dbraw/zinc/84/59/45/1129845945.db2.gz LSIWJMAYYOSFQU-UHFFFAOYSA-N 1 2 268.360 3.717 20 0 CHADLO CCc1ccc(-c2cc3[nH]c[nH+]c3cc2OC)cc1 ZINC001239588704 1131402617 /nfs/dbraw/zinc/40/26/17/1131402617.db2.gz NUTXFBRVUCVCIS-UHFFFAOYSA-N 1 2 252.317 3.801 20 0 CHADLO COCC[C@H]1CCC[C@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000800483235 1129877566 /nfs/dbraw/zinc/87/75/66/1129877566.db2.gz DGCVXCXMHFZDPI-CRAIPNDOSA-N 1 2 288.435 3.904 20 0 CHADLO COCC[C@H]1CCC[C@H]1[NH2+]c1ccc(N2CCCC2)cc1 ZINC000800483235 1129877571 /nfs/dbraw/zinc/87/75/71/1129877571.db2.gz DGCVXCXMHFZDPI-CRAIPNDOSA-N 1 2 288.435 3.904 20 0 CHADLO COCC[C@H]1CCC[C@H]1[NH2+]c1ccc2c(c1)CCCN2C ZINC000800489690 1129879800 /nfs/dbraw/zinc/87/98/00/1129879800.db2.gz VXDFKJXXFZZWSN-RHSMWYFYSA-N 1 2 288.435 3.686 20 0 CHADLO COCC[C@H]1CCC[C@H]1Nc1ccc2c(c1)CCC[N@H+]2C ZINC000800489690 1129879808 /nfs/dbraw/zinc/87/98/08/1129879808.db2.gz VXDFKJXXFZZWSN-RHSMWYFYSA-N 1 2 288.435 3.686 20 0 CHADLO COCC[C@H]1CCC[C@H]1Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000800489690 1129879814 /nfs/dbraw/zinc/87/98/14/1129879814.db2.gz VXDFKJXXFZZWSN-RHSMWYFYSA-N 1 2 288.435 3.686 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(C)on1)c1ccccc1OC ZINC000800502499 1129881729 /nfs/dbraw/zinc/88/17/29/1129881729.db2.gz CGMPRLWYZUGTLL-OCCSQVGLSA-N 1 2 274.364 3.794 20 0 CHADLO Cc1cn(C(C)(C)C(=O)N(C)c2cccc(C(C)C)c2)c[nH+]1 ZINC000900372082 1129882382 /nfs/dbraw/zinc/88/23/82/1129882382.db2.gz OBKNEJDRBORKHY-UHFFFAOYSA-N 1 2 299.418 3.713 20 0 CHADLO COc1ccc2c(c1)CCC[N@@H+](Cc1coc(C3CC3)n1)C2 ZINC000769329778 1129902501 /nfs/dbraw/zinc/90/25/01/1129902501.db2.gz MUTVAPUCDLKZOY-UHFFFAOYSA-N 1 2 298.386 3.509 20 0 CHADLO COc1ccc2c(c1)CCC[N@H+](Cc1coc(C3CC3)n1)C2 ZINC000769329778 1129902502 /nfs/dbraw/zinc/90/25/02/1129902502.db2.gz MUTVAPUCDLKZOY-UHFFFAOYSA-N 1 2 298.386 3.509 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2cc(C)ccc2Cl)c[nH+]1 ZINC000901078153 1129909180 /nfs/dbraw/zinc/90/91/80/1129909180.db2.gz OGCDFLCKAIEDPH-UHFFFAOYSA-N 1 2 291.782 3.527 20 0 CHADLO COC1CC([NH2+]c2ccc(N(C)Cc3ccccc3)cc2)C1 ZINC000769474585 1129913560 /nfs/dbraw/zinc/91/35/60/1129913560.db2.gz JVHLIKAXOFIZEJ-UHFFFAOYSA-N 1 2 296.414 3.912 20 0 CHADLO CCN(C)c1ccccc1C[NH2+]Cc1ncc(Cl)s1 ZINC000769910852 1129929685 /nfs/dbraw/zinc/92/96/85/1129929685.db2.gz ISHYEWKACCOBPK-UHFFFAOYSA-N 1 2 295.839 3.542 20 0 CHADLO Cc1cc2c(c(C)c1)/C(=C/c1c[nH+]cn1C(C)C)C(=O)N2 ZINC000901505559 1129931520 /nfs/dbraw/zinc/93/15/20/1129931520.db2.gz WSSVLQVRVGJAFU-AUWJEWJLSA-N 1 2 281.359 3.574 20 0 CHADLO C[C@H]1c2cc(F)cc(F)c2CC[N@H+]1Cc1ccoc1 ZINC000770327937 1129945240 /nfs/dbraw/zinc/94/52/40/1129945240.db2.gz VGCYSDURCMUAON-JTQLQIEISA-N 1 2 263.287 3.677 20 0 CHADLO C[C@H]1c2cc(F)cc(F)c2CC[N@@H+]1Cc1ccoc1 ZINC000770327937 1129945242 /nfs/dbraw/zinc/94/52/42/1129945242.db2.gz VGCYSDURCMUAON-JTQLQIEISA-N 1 2 263.287 3.677 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2coc(C3CC3)n2)CC1 ZINC000770386681 1129946289 /nfs/dbraw/zinc/94/62/89/1129946289.db2.gz UUDGIDPIKCRGGA-UHFFFAOYSA-N 1 2 260.381 3.730 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2coc(C3CC3)n2)CC1 ZINC000770386681 1129946291 /nfs/dbraw/zinc/94/62/91/1129946291.db2.gz UUDGIDPIKCRGGA-UHFFFAOYSA-N 1 2 260.381 3.730 20 0 CHADLO CCCC(=CC(=O)Nc1cccc2[nH+]ccn21)CCC ZINC000770741948 1129958457 /nfs/dbraw/zinc/95/84/57/1129958457.db2.gz QMDHCMRZCUGPJI-UHFFFAOYSA-N 1 2 271.364 3.799 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cn(CC)cn1)c1ccc(F)cc1F ZINC000902313047 1129999507 /nfs/dbraw/zinc/99/95/07/1129999507.db2.gz OGRHFQMMLOPFGA-MRXNPFEDSA-N 1 2 293.361 3.812 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)c(OC)c1 ZINC001239621226 1130016270 /nfs/dbraw/zinc/01/62/70/1130016270.db2.gz KYMWFPSRAFZIDP-UHFFFAOYSA-N 1 2 280.327 3.557 20 0 CHADLO CC(=O)c1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001239632286 1130024318 /nfs/dbraw/zinc/02/43/18/1130024318.db2.gz IDIZYKNIOYGWDS-UHFFFAOYSA-N 1 2 276.339 3.801 20 0 CHADLO CC(=O)c1ccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)cc1 ZINC001239633100 1130025985 /nfs/dbraw/zinc/02/59/85/1130025985.db2.gz MXFAXXLIUAQDIQ-UHFFFAOYSA-N 1 2 289.325 3.896 20 0 CHADLO CC[C@@H]1c2ccccc2C[N@H+]1Cn1cccnc1=S ZINC000902666041 1130036373 /nfs/dbraw/zinc/03/63/73/1130036373.db2.gz KJMQKDOTSWFZMU-CQSZACIVSA-N 1 2 271.389 3.537 20 0 CHADLO CC[C@@H]1c2ccccc2C[N@@H+]1Cn1cccnc1=S ZINC000902666041 1130036379 /nfs/dbraw/zinc/03/63/79/1130036379.db2.gz KJMQKDOTSWFZMU-CQSZACIVSA-N 1 2 271.389 3.537 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cc(F)cc(F)c1 ZINC001239658133 1130036456 /nfs/dbraw/zinc/03/64/56/1130036456.db2.gz HORMUEDQAPFJDT-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+]CC3(C)CC3)cc2)C[C@H](C)O1 ZINC000785493404 1130037004 /nfs/dbraw/zinc/03/70/04/1130037004.db2.gz WVSYQAVQNATEOY-OKILXGFUSA-N 1 2 274.408 3.512 20 0 CHADLO C[C@@H](CC(=O)OCCCc1[nH+]ccn1C)C1CCCCC1 ZINC000802789731 1130039813 /nfs/dbraw/zinc/03/98/13/1130039813.db2.gz GEZGKCWRIFZSOJ-AWEZNQCLSA-N 1 2 292.423 3.502 20 0 CHADLO COc1cccc(OC)c1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239673380 1130045926 /nfs/dbraw/zinc/04/59/26/1130045926.db2.gz RXDVOPDQHOYDNT-UHFFFAOYSA-N 1 2 294.354 3.616 20 0 CHADLO Cc1cccc(-c2c[nH+]c(N3CCOCC3)cc2C)c1C ZINC001239715514 1130069765 /nfs/dbraw/zinc/06/97/65/1130069765.db2.gz UBSACRGYRJPGCS-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO F/C=C(\CCc1ccc(F)cc1)CNc1cc[nH+]cc1F ZINC001170056220 1130086938 /nfs/dbraw/zinc/08/69/38/1130086938.db2.gz JSOXITOOJWQZTE-UKTHLTGXSA-N 1 2 292.304 3.680 20 0 CHADLO COc1cccc(-c2ccc(-n3cc[nH+]c3)cc2)c1F ZINC001239749443 1130089312 /nfs/dbraw/zinc/08/93/12/1130089312.db2.gz AFCVINXBRSKSKR-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO COc1cccc(-c2cccc(-c3c[nH+]cn3C)c2)c1F ZINC001239750700 1130090298 /nfs/dbraw/zinc/09/02/98/1130090298.db2.gz OZBWDXHXSBZKHD-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO CCCn1cc([C@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)nn1 ZINC000902967842 1130092651 /nfs/dbraw/zinc/09/26/51/1130092651.db2.gz ZDWFZRQQYHWJFQ-QWHCGFSZSA-N 1 2 298.390 3.846 20 0 CHADLO CCc1nc(OC)ccc1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001239777412 1130103518 /nfs/dbraw/zinc/10/35/18/1130103518.db2.gz HTGHDAXXOPNSJE-UHFFFAOYSA-N 1 2 293.370 3.720 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1ccccc1Cl)c1nncn1C ZINC000903060791 1130106990 /nfs/dbraw/zinc/10/69/90/1130106990.db2.gz GCNWGBZVQHPKHO-FZMZJTMJSA-N 1 2 292.814 3.516 20 0 CHADLO c1cn(Cc2ccc(-c3ccc4c(c3)CCO4)cc2)c[nH+]1 ZINC001239806095 1130115326 /nfs/dbraw/zinc/11/53/26/1130115326.db2.gz PGRXIWSTYGMZDB-UHFFFAOYSA-N 1 2 276.339 3.533 20 0 CHADLO CC(C)[C@H](Nc1cc[nH+]cc1F)c1ccc(F)cc1 ZINC001170066063 1130124627 /nfs/dbraw/zinc/12/46/27/1130124627.db2.gz HQROSDZTABLZSN-HNNXBMFYSA-N 1 2 262.303 3.591 20 0 CHADLO C[C@H]([NH2+]Cc1nc2c(s1)CCC2)c1cccnc1Cl ZINC000903194096 1130128209 /nfs/dbraw/zinc/12/82/09/1130128209.db2.gz ZKLJVPKPYCGCTQ-VIFPVBQESA-N 1 2 293.823 3.531 20 0 CHADLO C[N@H+](CCC1CC1)Cn1nc(-c2ccccc2)oc1=S ZINC000746638430 1130131839 /nfs/dbraw/zinc/13/18/39/1130131839.db2.gz XVAYCOVYFOUVTD-UHFFFAOYSA-N 1 2 289.404 3.562 20 0 CHADLO C[N@@H+](CCC1CC1)Cn1nc(-c2ccccc2)oc1=S ZINC000746638430 1130131843 /nfs/dbraw/zinc/13/18/43/1130131843.db2.gz XVAYCOVYFOUVTD-UHFFFAOYSA-N 1 2 289.404 3.562 20 0 CHADLO Cc1cc(N[C@@H](C)c2cnn(CC3CCC3)c2)c[nH+]c1C ZINC000903222004 1130131847 /nfs/dbraw/zinc/13/18/47/1130131847.db2.gz WEOGTTKCAYILDD-AWEZNQCLSA-N 1 2 284.407 3.868 20 0 CHADLO Clc1csc(C[N@@H+]2CCOc3ccccc3C2)c1 ZINC000787124257 1130132778 /nfs/dbraw/zinc/13/27/78/1130132778.db2.gz GMZPKUUKFFNAJG-UHFFFAOYSA-N 1 2 279.792 3.796 20 0 CHADLO Clc1csc(C[N@H+]2CCOc3ccccc3C2)c1 ZINC000787124257 1130132782 /nfs/dbraw/zinc/13/27/82/1130132782.db2.gz GMZPKUUKFFNAJG-UHFFFAOYSA-N 1 2 279.792 3.796 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2ccc(F)nc2C)c1 ZINC000787289162 1130142991 /nfs/dbraw/zinc/14/29/91/1130142991.db2.gz FBHKKOBAQFDIGA-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2ccc(F)nc2C)c1 ZINC000787289162 1130142992 /nfs/dbraw/zinc/14/29/92/1130142992.db2.gz FBHKKOBAQFDIGA-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Nc1cn2ccc(-c3cccnc3-c3ccccc3)cc2[nH+]1 ZINC001239876126 1130144018 /nfs/dbraw/zinc/14/40/18/1130144018.db2.gz GREPCHWQTWULNH-UHFFFAOYSA-N 1 2 286.338 3.646 20 0 CHADLO CC[C@H](C)Nc1[nH+]cccc1OCc1ccccc1 ZINC001157238128 1130151693 /nfs/dbraw/zinc/15/16/93/1130151693.db2.gz LIRDGPGPNFTITR-ZDUSSCGKSA-N 1 2 256.349 3.871 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1csc(Cl)c1 ZINC000903327679 1130156091 /nfs/dbraw/zinc/15/60/91/1130156091.db2.gz XFHWCAVOLNYSRA-NOZJJQNGSA-N 1 2 293.823 3.993 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000903330330 1130157917 /nfs/dbraw/zinc/15/79/17/1130157917.db2.gz HWKBDCMTQJBBQW-CXAGYDPISA-N 1 2 297.402 3.596 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccnc(Cl)c2Cl)C[C@H](C)S1 ZINC000787773370 1130163305 /nfs/dbraw/zinc/16/33/05/1130163305.db2.gz NDJUPEHNHKRKRJ-DTORHVGOSA-N 1 2 291.247 3.714 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccnc(Cl)c2Cl)C[C@H](C)S1 ZINC000787773370 1130163308 /nfs/dbraw/zinc/16/33/08/1130163308.db2.gz NDJUPEHNHKRKRJ-DTORHVGOSA-N 1 2 291.247 3.714 20 0 CHADLO COCc1cnc2n1CC[N@H+]([C@@H](C)CCCC(C)C)[C@@H]2C ZINC001170079598 1130166390 /nfs/dbraw/zinc/16/63/90/1130166390.db2.gz DMYWBGAGYYBTDE-LSDHHAIUSA-N 1 2 293.455 3.621 20 0 CHADLO COCc1cnc2n1CC[N@@H+]([C@@H](C)CCCC(C)C)[C@@H]2C ZINC001170079598 1130166392 /nfs/dbraw/zinc/16/63/92/1130166392.db2.gz DMYWBGAGYYBTDE-LSDHHAIUSA-N 1 2 293.455 3.621 20 0 CHADLO COCc1ccccc1-c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001239952974 1130168730 /nfs/dbraw/zinc/16/87/30/1130168730.db2.gz FICXDMKEAHWLGG-UHFFFAOYSA-N 1 2 264.328 3.890 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(OC(C)C)cc1 ZINC001239959777 1130171952 /nfs/dbraw/zinc/17/19/52/1130171952.db2.gz MOGWYBMGPZTLHW-UHFFFAOYSA-N 1 2 282.343 3.797 20 0 CHADLO CCN(Cc1cccc2[nH+]ccn21)c1ccc2[nH]ccc2c1 ZINC000788268588 1130181191 /nfs/dbraw/zinc/18/11/91/1130181191.db2.gz DMRMTHCTHHYWHX-UHFFFAOYSA-N 1 2 290.370 3.842 20 0 CHADLO COc1cc(F)ccc1-c1cc(F)c(C[NH+](C)C)c(F)c1 ZINC001240000111 1130187231 /nfs/dbraw/zinc/18/72/31/1130187231.db2.gz HQHPWYOYMPMLFO-UHFFFAOYSA-N 1 2 295.304 3.841 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cnn(Cc2ccccc2)c1 ZINC001240002377 1130188972 /nfs/dbraw/zinc/18/89/72/1130188972.db2.gz ADXQCAPRZJJXTQ-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2cnc(Cl)cn2)c1 ZINC000788479619 1130189027 /nfs/dbraw/zinc/18/90/27/1130189027.db2.gz XJGUIJPJSXUXMV-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2cnc(Cl)cn2)c1 ZINC000788479619 1130189029 /nfs/dbraw/zinc/18/90/29/1130189029.db2.gz XJGUIJPJSXUXMV-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO c1cn2ccc(-c3ccc(N4CCCCC4)cc3)cc2[nH+]1 ZINC001240012480 1130192701 /nfs/dbraw/zinc/19/27/01/1130192701.db2.gz JSCTUPBNNUVBOE-UHFFFAOYSA-N 1 2 277.371 3.992 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cnccc1Cl ZINC001243050138 1130194959 /nfs/dbraw/zinc/19/49/59/1130194959.db2.gz MTWNMQBBQMEYBL-UHFFFAOYSA-N 1 2 285.734 3.596 20 0 CHADLO CC(C)[N@@H+](Cc1cccc(Cl)c1)C[C@@H]([NH3+])CC(F)F ZINC000903884134 1130206983 /nfs/dbraw/zinc/20/69/83/1130206983.db2.gz SMPWNPSANSCQQE-ZDUSSCGKSA-N 1 2 290.785 3.533 20 0 CHADLO CC(C)[N@H+](Cc1cccc(Cl)c1)C[C@@H]([NH3+])CC(F)F ZINC000903884134 1130206985 /nfs/dbraw/zinc/20/69/85/1130206985.db2.gz SMPWNPSANSCQQE-ZDUSSCGKSA-N 1 2 290.785 3.533 20 0 CHADLO Cn1ccc2c1cc[nH+]c2-c1c2cc[nH]c2ccc1F ZINC001240205264 1130228989 /nfs/dbraw/zinc/22/89/89/1130228989.db2.gz PKVFRHYIQVLADO-UHFFFAOYSA-N 1 2 265.291 3.861 20 0 CHADLO Fc1ccc2[nH]ccc2c1-c1cccc2[nH+]ccn21 ZINC001240213946 1130233678 /nfs/dbraw/zinc/23/36/78/1130233678.db2.gz PJZNGQRKCQWMAG-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO c1csc(-c2ccc(-c3ccc4[nH+]ccn4c3)nn2)c1 ZINC001240214469 1130234023 /nfs/dbraw/zinc/23/40/23/1130234023.db2.gz NKUZBIVPUHMQGN-UHFFFAOYSA-N 1 2 278.340 3.520 20 0 CHADLO c1cn2cc(-c3ccc4sccc4n3)ccc2[nH+]1 ZINC001240215472 1130235009 /nfs/dbraw/zinc/23/50/09/1130235009.db2.gz ZOZDPWRBIVCJOG-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Fc1ccc(-c2ccc3[nH+]ccn3c2)c2[nH]ccc21 ZINC001240217687 1130235214 /nfs/dbraw/zinc/23/52/14/1130235214.db2.gz LXSSTADKBRDHEP-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO FC(F)c1nc2ccccc2c(-c2ccc3[nH+]ccn3c2)n1 ZINC001240217172 1130235250 /nfs/dbraw/zinc/23/52/50/1130235250.db2.gz FKHAQZPYEZGSLE-UHFFFAOYSA-N 1 2 296.280 3.882 20 0 CHADLO COc1cc(C)[nH+]cc1-c1c2cc[nH]c2ccc1F ZINC001240216788 1130235455 /nfs/dbraw/zinc/23/54/55/1130235455.db2.gz YRTHSINXMZKSBE-UHFFFAOYSA-N 1 2 256.280 3.686 20 0 CHADLO c1cn2cc(-c3ccc(N4CCCC4)cc3)ccc2[nH+]1 ZINC001240218327 1130235777 /nfs/dbraw/zinc/23/57/77/1130235777.db2.gz AWNDNWRZLRMMRW-UHFFFAOYSA-N 1 2 263.344 3.602 20 0 CHADLO CCOc1ccc(-c2ccc3[nH+]ccn3c2)c(F)c1F ZINC001240218086 1130235945 /nfs/dbraw/zinc/23/59/45/1130235945.db2.gz ZUJROJKEJNSYQU-UHFFFAOYSA-N 1 2 274.270 3.678 20 0 CHADLO Cc1cc(F)c2[nH]ccc2c1-c1ccc2[nH+]ccn2c1 ZINC001240218233 1130235976 /nfs/dbraw/zinc/23/59/76/1130235976.db2.gz HDDMJUSOSNOKIR-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO COc1cccc2c(-c3ccc4[nH+]ccn4c3)cc(C)nc12 ZINC001240218277 1130236339 /nfs/dbraw/zinc/23/63/39/1130236339.db2.gz JDXBSNQULLTPOS-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO CC(C)Oc1ccccc1-c1ccc2[nH+]ccn2c1 ZINC001240218511 1130237150 /nfs/dbraw/zinc/23/71/50/1130237150.db2.gz PIEDPKQTOHCWQX-UHFFFAOYSA-N 1 2 252.317 3.789 20 0 CHADLO c1cn2cc(-c3ccc(C4CCOCC4)cc3)ccc2[nH+]1 ZINC001240218687 1130237484 /nfs/dbraw/zinc/23/74/84/1130237484.db2.gz JWHIMOYSILZFNB-UHFFFAOYSA-N 1 2 278.355 3.895 20 0 CHADLO COc1cccc2ncc(-c3ccc4[nH+]ccn4c3)cc21 ZINC001240219061 1130237615 /nfs/dbraw/zinc/23/76/15/1130237615.db2.gz XDHPUCNSUOJCCC-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1cc2[nH]ccc2c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240219357 1130237784 /nfs/dbraw/zinc/23/77/84/1130237784.db2.gz HZUOETPEJYKBER-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO COC(=O)c1cc(-c2ccc3[nH+]ccn3c2)cc(C(C)C)c1 ZINC001240221177 1130238963 /nfs/dbraw/zinc/23/89/63/1130238963.db2.gz IJLNTAWGIASVCQ-UHFFFAOYSA-N 1 2 294.354 3.911 20 0 CHADLO Cc1c(-c2ccncc2)cncc1-c1ccc2[nH+]ccn2c1 ZINC001240222075 1130239441 /nfs/dbraw/zinc/23/94/41/1130239441.db2.gz WOLGYJKQEGRMAD-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO Nc1ccc2cc(-c3ccc4[nH+]ccn4c3)ccc2c1 ZINC001240221419 1130239506 /nfs/dbraw/zinc/23/95/06/1130239506.db2.gz SAKAMVIEXRTMLF-UHFFFAOYSA-N 1 2 259.312 3.737 20 0 CHADLO c1cn2cc(-c3cnc4sccc4c3)ccc2[nH+]1 ZINC001240221298 1130239639 /nfs/dbraw/zinc/23/96/39/1130239639.db2.gz QSABZWUXFQXHKH-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO c1cc2c[nH+]c(-c3cccc(N4CCCCC4)c3)nc2[nH]1 ZINC001240249529 1130247764 /nfs/dbraw/zinc/24/77/64/1130247764.db2.gz ZDXOWVQOYNCIGR-UHFFFAOYSA-N 1 2 278.359 3.567 20 0 CHADLO CC1(C)CCCC[C@@H]1C(=O)OCc1cccc2[nH+]ccn21 ZINC000789453219 1130252990 /nfs/dbraw/zinc/25/29/90/1130252990.db2.gz OWHBNAUXWPCBNT-CQSZACIVSA-N 1 2 286.375 3.594 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cn(C)nc2C)c1 ZINC001240303312 1130258679 /nfs/dbraw/zinc/25/86/79/1130258679.db2.gz CTOWLQIUIQBOBP-UHFFFAOYSA-N 1 2 257.381 3.550 20 0 CHADLO Cn1ncc2ccc(-c3ccc(-c4[nH]cc[nH+]4)cc3)cc21 ZINC001240308394 1130260711 /nfs/dbraw/zinc/26/07/11/1130260711.db2.gz OVRWGVDXICNBSR-UHFFFAOYSA-N 1 2 274.327 3.630 20 0 CHADLO C[C@H](c1cc(Cl)ccc1Cl)[N@H+](C)Cc1cn[nH]c1 ZINC000676894823 1130275634 /nfs/dbraw/zinc/27/56/34/1130275634.db2.gz XPCWAZGQALXIQN-SECBINFHSA-N 1 2 284.190 3.910 20 0 CHADLO C[C@H](c1cc(Cl)ccc1Cl)[N@@H+](C)Cc1cn[nH]c1 ZINC000676894823 1130275640 /nfs/dbraw/zinc/27/56/40/1130275640.db2.gz XPCWAZGQALXIQN-SECBINFHSA-N 1 2 284.190 3.910 20 0 CHADLO c1csc(-c2cnc(C[N@H+]3[C@H]4C=CC[C@@H]3CC4)o2)c1 ZINC000676906661 1130276387 /nfs/dbraw/zinc/27/63/87/1130276387.db2.gz IMVAMOGPEBJPFY-NWDGAFQWSA-N 1 2 272.373 3.696 20 0 CHADLO c1csc(-c2cnc(C[N@@H+]3[C@H]4C=CC[C@@H]3CC4)o2)c1 ZINC000676906661 1130276391 /nfs/dbraw/zinc/27/63/91/1130276391.db2.gz IMVAMOGPEBJPFY-NWDGAFQWSA-N 1 2 272.373 3.696 20 0 CHADLO c1c[nH+]c(-c2ccc(-c3cnc4[nH]ccc4c3)cc2)[nH]1 ZINC001240393468 1130289497 /nfs/dbraw/zinc/28/94/97/1130289497.db2.gz MOLIVDBNOJHMSM-UHFFFAOYSA-N 1 2 260.300 3.572 20 0 CHADLO C[N@H+]1Cc2ccccc2[C@@H](NCc2cscc2Cl)C1 ZINC000677426259 1130312012 /nfs/dbraw/zinc/31/20/12/1130312012.db2.gz JJMAGEOBWVXADM-HNNXBMFYSA-N 1 2 292.835 3.678 20 0 CHADLO C[N@@H+]1Cc2ccccc2[C@@H](NCc2cscc2Cl)C1 ZINC000677426259 1130312017 /nfs/dbraw/zinc/31/20/17/1130312017.db2.gz JJMAGEOBWVXADM-HNNXBMFYSA-N 1 2 292.835 3.678 20 0 CHADLO c1cc2c(s1)CCC[N@@H+](Cc1ncc(C3CC3)o1)C2 ZINC000677684379 1130320437 /nfs/dbraw/zinc/32/04/37/1130320437.db2.gz FLGNAAMCDZGCEZ-UHFFFAOYSA-N 1 2 274.389 3.562 20 0 CHADLO c1cc2c(s1)CCC[N@H+](Cc1ncc(C3CC3)o1)C2 ZINC000677684379 1130320443 /nfs/dbraw/zinc/32/04/43/1130320443.db2.gz FLGNAAMCDZGCEZ-UHFFFAOYSA-N 1 2 274.389 3.562 20 0 CHADLO FC(F)[C@@H]1CC[N@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000677730391 1130325003 /nfs/dbraw/zinc/32/50/03/1130325003.db2.gz HIYDYRGQJVWSBG-LLVKDONJSA-N 1 2 286.391 3.888 20 0 CHADLO FC(F)[C@@H]1CC[N@@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000677730391 1130325009 /nfs/dbraw/zinc/32/50/09/1130325009.db2.gz HIYDYRGQJVWSBG-LLVKDONJSA-N 1 2 286.391 3.888 20 0 CHADLO Cc1cc2cc(-c3ccc(-c4[nH]cc[nH+]4)cc3)cnc2[nH]1 ZINC001240490621 1130326657 /nfs/dbraw/zinc/32/66/57/1130326657.db2.gz RNBNKXCSGJEVNJ-UHFFFAOYSA-N 1 2 274.327 3.928 20 0 CHADLO Fc1cccc2c1ccnc2-c1ccn2cc[nH+]c2c1 ZINC001240497201 1130329458 /nfs/dbraw/zinc/32/94/58/1130329458.db2.gz MSNIUKJCALBPJT-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CC[C@H](C)c1nc2[nH]ccc2c(-c2ccn3cc[nH+]c3c2)n1 ZINC001240503161 1130332501 /nfs/dbraw/zinc/33/25/01/1130332501.db2.gz IFUVOWLHSYMCNB-NSHDSACASA-N 1 2 291.358 3.738 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccsc1C ZINC001240503839 1130332567 /nfs/dbraw/zinc/33/25/67/1130332567.db2.gz REKSSDJHURFWNE-UHFFFAOYSA-N 1 2 270.357 3.918 20 0 CHADLO CCCc1cc(-c2ccn3cc[nH+]c3c2)nc(CCC)n1 ZINC001240504660 1130332922 /nfs/dbraw/zinc/33/29/22/1130332922.db2.gz UOSWQKXABLOFBE-UHFFFAOYSA-N 1 2 280.375 3.696 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1ccn2ccnc2c1 ZINC001240508581 1130334624 /nfs/dbraw/zinc/33/46/24/1130334624.db2.gz DDRVEWIOZMAVSF-UHFFFAOYSA-N 1 2 292.386 3.695 20 0 CHADLO Fc1ccc(-c2ccn3cc[nH+]c3c2)cc1OC(F)F ZINC001240508570 1130334804 /nfs/dbraw/zinc/33/48/04/1130334804.db2.gz CTTOJTXIKXGIAR-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO FC(F)c1nc2ccccc2c(-c2ccn3cc[nH+]c3c2)n1 ZINC001240504795 1130334918 /nfs/dbraw/zinc/33/49/18/1130334918.db2.gz XTGDFMCVPLLSOF-UHFFFAOYSA-N 1 2 296.280 3.882 20 0 CHADLO c1cn2ccc(-c3ccc4cnsc4c3)cc2[nH+]1 ZINC001240512768 1130337077 /nfs/dbraw/zinc/33/70/77/1130337077.db2.gz ZOMRZUVTLYLIPG-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO COc1ccc(C[NH+]2CC3(C2)CC(F)(F)C3)cc1Cl ZINC000677900475 1130337645 /nfs/dbraw/zinc/33/76/45/1130337645.db2.gz QMQFTPKKBLWIOV-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO CC1(C)Cc2cc(-c3ccn4cc[nH+]c4c3)ccc2NC1=O ZINC001240519085 1130340393 /nfs/dbraw/zinc/34/03/93/1130340393.db2.gz YNLRJOSZNPSHPA-UHFFFAOYSA-N 1 2 291.354 3.522 20 0 CHADLO Cc1cc(OCC(C)C)ncc1-c1ccn2cc[nH+]c2c1 ZINC001240518253 1130341026 /nfs/dbraw/zinc/34/10/26/1130341026.db2.gz MQXDZPNWFNUFNL-UHFFFAOYSA-N 1 2 281.359 3.740 20 0 CHADLO Cc1c(C)c(C)c(C[N@H+](C)Cc2ncc[nH]2)c(C)c1C ZINC000678051861 1130345607 /nfs/dbraw/zinc/34/56/07/1130345607.db2.gz BMPUMFVKMPPHPM-UHFFFAOYSA-N 1 2 271.408 3.584 20 0 CHADLO Cc1c(C)c(C)c(C[N@@H+](C)Cc2ncc[nH]2)c(C)c1C ZINC000678051861 1130345611 /nfs/dbraw/zinc/34/56/11/1130345611.db2.gz BMPUMFVKMPPHPM-UHFFFAOYSA-N 1 2 271.408 3.584 20 0 CHADLO Cc1cc(-c2cc(F)ccc2C(F)(F)F)c[nH+]c1N ZINC001240533055 1130345772 /nfs/dbraw/zinc/34/57/72/1130345772.db2.gz VDSJIJHBAHHPSB-UHFFFAOYSA-N 1 2 270.229 3.797 20 0 CHADLO CCCCCC(=O)OCc1ccc(-n2cc[nH+]c2)cc1C ZINC000791266998 1130360292 /nfs/dbraw/zinc/36/02/92/1130360292.db2.gz REECMVULBYBYBR-UHFFFAOYSA-N 1 2 286.375 3.804 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)CCC1CCC1 ZINC000791400560 1130369734 /nfs/dbraw/zinc/36/97/34/1130369734.db2.gz XEYXUVBMAYKFOB-UHFFFAOYSA-N 1 2 298.386 3.804 20 0 CHADLO CCc1nc([C@H]2CCCC[N@@H+]2Cc2cccc(C)c2C)no1 ZINC000678202322 1130370186 /nfs/dbraw/zinc/37/01/86/1130370186.db2.gz OEVKRSWXIUZINN-MRXNPFEDSA-N 1 2 299.418 3.976 20 0 CHADLO CCc1nc([C@H]2CCCC[N@H+]2Cc2cccc(C)c2C)no1 ZINC000678202322 1130370192 /nfs/dbraw/zinc/37/01/92/1130370192.db2.gz OEVKRSWXIUZINN-MRXNPFEDSA-N 1 2 299.418 3.976 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)[C@@H]1CC[C@H](C)C1 ZINC000791439159 1130374446 /nfs/dbraw/zinc/37/44/46/1130374446.db2.gz YZMIPXMFGNVWAY-DZGCQCFKSA-N 1 2 298.386 3.660 20 0 CHADLO CC(C)(C)c1ncc(C[N@@H+]2CC(C)(C)OCC2(C)C)s1 ZINC000678269714 1130384583 /nfs/dbraw/zinc/38/45/83/1130384583.db2.gz IOTBXJCJBATHJG-UHFFFAOYSA-N 1 2 296.480 3.830 20 0 CHADLO CC(C)(C)c1ncc(C[N@H+]2CC(C)(C)OCC2(C)C)s1 ZINC000678269714 1130384586 /nfs/dbraw/zinc/38/45/86/1130384586.db2.gz IOTBXJCJBATHJG-UHFFFAOYSA-N 1 2 296.480 3.830 20 0 CHADLO Cc1cccn2c(C[N@@H+]3CC[C@@H]3c3cccc(F)c3)cnc12 ZINC000678284025 1130390516 /nfs/dbraw/zinc/39/05/16/1130390516.db2.gz SQDJSTRZGWMKBB-QGZVFWFLSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1cccn2c(C[N@H+]3CC[C@@H]3c3cccc(F)c3)cnc12 ZINC000678284025 1130390520 /nfs/dbraw/zinc/39/05/20/1130390520.db2.gz SQDJSTRZGWMKBB-QGZVFWFLSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1cccn2c(CN3CC[C@@H]3c3cccc(F)c3)c[nH+]c12 ZINC000678284025 1130390524 /nfs/dbraw/zinc/39/05/24/1130390524.db2.gz SQDJSTRZGWMKBB-QGZVFWFLSA-N 1 2 295.361 3.729 20 0 CHADLO CC[C@@H](CC1CCCCC1)C(=O)OCc1cc[nH+]c(N)c1 ZINC000791907865 1130417112 /nfs/dbraw/zinc/41/71/12/1130417112.db2.gz RRNQWGNBPAZTQO-HNNXBMFYSA-N 1 2 290.407 3.704 20 0 CHADLO FC(F)c1cccc(-c2c[nH+]c3c(c2)CCCN3)c1 ZINC001240694099 1130421276 /nfs/dbraw/zinc/42/12/76/1130421276.db2.gz LUVWJTKEJTXCDV-UHFFFAOYSA-N 1 2 260.287 3.687 20 0 CHADLO Fc1ccc2[nH]cc(C[NH+]3CC(Oc4ccccc4)C3)c2c1 ZINC001137339844 1130425659 /nfs/dbraw/zinc/42/56/59/1130425659.db2.gz GSCSMGHTAPFKPU-UHFFFAOYSA-N 1 2 296.345 3.570 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1nccs1)c1ccccn1 ZINC000678544576 1130426355 /nfs/dbraw/zinc/42/63/55/1130426355.db2.gz QZTCKAOQFXNAMS-YPMHNXCESA-N 1 2 261.394 3.730 20 0 CHADLO CC(C)(C)CN(CC(C)(C)C)C(=O)CCCn1cc[nH+]c1 ZINC000678756415 1130449254 /nfs/dbraw/zinc/44/92/54/1130449254.db2.gz GDALAJDGCXTKNE-UHFFFAOYSA-N 1 2 293.455 3.584 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[N@@H+]1CCC(F)(F)[C@@H](F)C1 ZINC001170265060 1130469456 /nfs/dbraw/zinc/46/94/56/1130469456.db2.gz DVEVWOIOTZOSAR-MFKMUULPSA-N 1 2 291.744 3.950 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[N@H+]1CCC(F)(F)[C@@H](F)C1 ZINC001170265060 1130469459 /nfs/dbraw/zinc/46/94/59/1130469459.db2.gz DVEVWOIOTZOSAR-MFKMUULPSA-N 1 2 291.744 3.950 20 0 CHADLO CCCC[C@@H]([NH2+]Cc1csnn1)c1ccc(OC)cc1 ZINC000678927543 1130472096 /nfs/dbraw/zinc/47/20/96/1130472096.db2.gz PINOZGVJFAFMAA-OAHLLOKOSA-N 1 2 291.420 3.568 20 0 CHADLO C[C@@H](Cc1cccs1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000679005265 1130482724 /nfs/dbraw/zinc/48/27/24/1130482724.db2.gz QVGYBTMHTRIZSA-ZDUSSCGKSA-N 1 2 287.432 3.786 20 0 CHADLO CC1(C)CCC[C@@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC000679005564 1130482786 /nfs/dbraw/zinc/48/27/86/1130482786.db2.gz WOORSTJJCDRCBY-AWEZNQCLSA-N 1 2 259.397 3.672 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(OC(C)C)nc2)c1 ZINC001240810191 1130483072 /nfs/dbraw/zinc/48/30/72/1130483072.db2.gz WTKQOAYBUAXXSV-UHFFFAOYSA-N 1 2 284.359 3.898 20 0 CHADLO COc1ccc(C(F)(F)F)cc1-c1cc[nH+]c2c1CCN2 ZINC001240827150 1130487770 /nfs/dbraw/zinc/48/77/70/1130487770.db2.gz QKOVFMMEKKZIIP-UHFFFAOYSA-N 1 2 294.276 3.744 20 0 CHADLO c1nc(C[NH2+][C@@H]2CC3(CCCCC3)Oc3ccccc32)co1 ZINC000679048781 1130487961 /nfs/dbraw/zinc/48/79/61/1130487961.db2.gz PQCPVEBIGDJCIJ-MRXNPFEDSA-N 1 2 298.386 3.991 20 0 CHADLO CC[C@H](Nc1ccccc1OCCn1cc[nH+]c1)C1CC1 ZINC000679140198 1130496245 /nfs/dbraw/zinc/49/62/45/1130496245.db2.gz PMOIWYUGSUYEOG-HNNXBMFYSA-N 1 2 285.391 3.563 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1ncc(Cl)cc1Cl ZINC000679132662 1130496845 /nfs/dbraw/zinc/49/68/45/1130496845.db2.gz UGTJPNYEFOLOQY-UHFFFAOYSA-N 1 2 291.222 3.635 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1ncc(Cl)cc1Cl ZINC000679132662 1130496847 /nfs/dbraw/zinc/49/68/47/1130496847.db2.gz UGTJPNYEFOLOQY-UHFFFAOYSA-N 1 2 291.222 3.635 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2OC)cc1C ZINC001240866542 1130497685 /nfs/dbraw/zinc/49/76/85/1130497685.db2.gz HEALDJGRKQJPAM-UHFFFAOYSA-N 1 2 294.354 3.865 20 0 CHADLO COc1ccc(-c2ccc3[nH+]ccn3c2C)cc1C ZINC001240867627 1130498941 /nfs/dbraw/zinc/49/89/41/1130498941.db2.gz QOLXZWXAIAAHNU-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679228348 1130505152 /nfs/dbraw/zinc/50/51/52/1130505152.db2.gz WQOFZJKJYQAVTN-ISTVAULSSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679228348 1130505154 /nfs/dbraw/zinc/50/51/54/1130505154.db2.gz WQOFZJKJYQAVTN-ISTVAULSSA-N 1 2 257.299 3.706 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H]1CCCO[C@H]1c1ccc(C)cc1 ZINC000679345479 1130517909 /nfs/dbraw/zinc/51/79/09/1130517909.db2.gz SSZXDLQYSOZOGA-KKXDTOCCSA-N 1 2 299.418 3.597 20 0 CHADLO Clc1ccc(Br)c(C[N@H+]2CC=CCC2)c1 ZINC000679360217 1130521328 /nfs/dbraw/zinc/52/13/28/1130521328.db2.gz SNHVBKMVPAWBQK-UHFFFAOYSA-N 1 2 286.600 3.864 20 0 CHADLO Clc1ccc(Br)c(C[N@@H+]2CC=CCC2)c1 ZINC000679360217 1130521333 /nfs/dbraw/zinc/52/13/33/1130521333.db2.gz SNHVBKMVPAWBQK-UHFFFAOYSA-N 1 2 286.600 3.864 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(F)cccc2N2CCCC2)C[C@@H]1F ZINC000679359770 1130521975 /nfs/dbraw/zinc/52/19/75/1130521975.db2.gz OLFAPSQNWZXWIT-BBRMVZONSA-N 1 2 294.389 3.606 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(F)cccc2N2CCCC2)C[C@@H]1F ZINC000679359770 1130521977 /nfs/dbraw/zinc/52/19/77/1130521977.db2.gz OLFAPSQNWZXWIT-BBRMVZONSA-N 1 2 294.389 3.606 20 0 CHADLO CC(C)(C)OCc1ccc(-c2ccn3cc(N)[nH+]c3c2)cc1 ZINC001240942496 1130526825 /nfs/dbraw/zinc/52/68/25/1130526825.db2.gz PEUSCYHSOFYCJV-UHFFFAOYSA-N 1 2 295.386 3.899 20 0 CHADLO CCC[C@@](C)(CC)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000793298481 1130538339 /nfs/dbraw/zinc/53/83/39/1130538339.db2.gz ICQRDINISZVWIW-QGZVFWFLSA-N 1 2 292.423 3.540 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)s1 ZINC000679546775 1130539095 /nfs/dbraw/zinc/53/90/95/1130539095.db2.gz UFSLJIGMHBFDLY-SCZZXKLOSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)s1 ZINC000679546775 1130539099 /nfs/dbraw/zinc/53/90/99/1130539099.db2.gz UFSLJIGMHBFDLY-SCZZXKLOSA-N 1 2 278.343 3.614 20 0 CHADLO COc1cc([NH2+]C[C@@H]2CC=CCC2)c(OC)c(C)c1OC ZINC000793396601 1130553030 /nfs/dbraw/zinc/55/30/30/1130553030.db2.gz VULLFLSARSKNCL-CYBMUJFWSA-N 1 2 291.391 3.789 20 0 CHADLO COc1ccc(C)cc1CNc1nc(C)[nH+]c2c1CCCC2 ZINC000679837379 1130559138 /nfs/dbraw/zinc/55/91/38/1130559138.db2.gz ZZQCQKLNSBFYEU-UHFFFAOYSA-N 1 2 297.402 3.593 20 0 CHADLO Cc1cn2c(n1)C[N@@H+](Cc1c(C)ccc3ccccc31)CC2 ZINC000679869853 1130560679 /nfs/dbraw/zinc/56/06/79/1130560679.db2.gz HXLCZDNPPWEBLL-UHFFFAOYSA-N 1 2 291.398 3.669 20 0 CHADLO Cc1cn2c(n1)C[N@H+](Cc1c(C)ccc3ccccc31)CC2 ZINC000679869853 1130560682 /nfs/dbraw/zinc/56/06/82/1130560682.db2.gz HXLCZDNPPWEBLL-UHFFFAOYSA-N 1 2 291.398 3.669 20 0 CHADLO O[C@@H](CCNc1cccc[nH+]1)c1ccc(Cl)c(Cl)c1 ZINC000758184037 1130602755 /nfs/dbraw/zinc/60/27/55/1130602755.db2.gz YQEOSPRUFNMRTC-ZDUSSCGKSA-N 1 2 297.185 3.924 20 0 CHADLO FC(F)(F)c1ccc(C[NH2+]Cc2cscn2)s1 ZINC000758334455 1130606167 /nfs/dbraw/zinc/60/61/67/1130606167.db2.gz JEPWBBYJPIBAIC-UHFFFAOYSA-N 1 2 278.324 3.513 20 0 CHADLO CC1=C[C@H](C)C[N@H+](Cc2ccnc(Cl)c2Cl)C1 ZINC000811501556 1130617822 /nfs/dbraw/zinc/61/78/22/1130617822.db2.gz NPMJPNFFRYBWJE-VIFPVBQESA-N 1 2 271.191 3.786 20 0 CHADLO CC1=C[C@H](C)C[N@@H+](Cc2ccnc(Cl)c2Cl)C1 ZINC000811501556 1130617824 /nfs/dbraw/zinc/61/78/24/1130617824.db2.gz NPMJPNFFRYBWJE-VIFPVBQESA-N 1 2 271.191 3.786 20 0 CHADLO FCC[N@H+]1CCC[C@H]1c1ccccc1Br ZINC000759373032 1130654062 /nfs/dbraw/zinc/65/40/62/1130654062.db2.gz OBLXEYURBHONHU-LBPRGKRZSA-N 1 2 272.161 3.556 20 0 CHADLO CC(C)[N@@H+](CCF)Cc1cc(Br)ccc1F ZINC000759331410 1130651433 /nfs/dbraw/zinc/65/14/33/1130651433.db2.gz YRYBKOCXHJVEAB-UHFFFAOYSA-N 1 2 292.167 3.768 20 0 CHADLO CC(C)[N@H+](CCF)Cc1cc(Br)ccc1F ZINC000759331410 1130651431 /nfs/dbraw/zinc/65/14/31/1130651431.db2.gz YRYBKOCXHJVEAB-UHFFFAOYSA-N 1 2 292.167 3.768 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1ccc(N2CCCCC2=O)cc1 ZINC000811868062 1130652759 /nfs/dbraw/zinc/65/27/59/1130652759.db2.gz SSBYJWMNVKEROH-AWEZNQCLSA-N 1 2 295.386 3.772 20 0 CHADLO FCC[N@@H+]1CCC[C@H]1c1ccccc1Br ZINC000759373032 1130654057 /nfs/dbraw/zinc/65/40/57/1130654057.db2.gz OBLXEYURBHONHU-LBPRGKRZSA-N 1 2 272.161 3.556 20 0 CHADLO CCCC[N@H+](C)Cc1c(F)cc(F)cc1Br ZINC001234735790 1130676786 /nfs/dbraw/zinc/67/67/86/1130676786.db2.gz ZWNRECNRQMPYBC-UHFFFAOYSA-N 1 2 292.167 3.959 20 0 CHADLO CCCC[N@@H+](C)Cc1c(F)cc(F)cc1Br ZINC001234735790 1130676790 /nfs/dbraw/zinc/67/67/90/1130676790.db2.gz ZWNRECNRQMPYBC-UHFFFAOYSA-N 1 2 292.167 3.959 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)O[C@H](C)c1ccco1)c1ccccc1 ZINC000780467833 1130680861 /nfs/dbraw/zinc/68/08/61/1130680861.db2.gz GZDGDODMBOXREZ-CZUORRHYSA-N 1 2 287.359 3.577 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)O[C@H](C)c1ccco1)c1ccccc1 ZINC000780467833 1130680863 /nfs/dbraw/zinc/68/08/63/1130680863.db2.gz GZDGDODMBOXREZ-CZUORRHYSA-N 1 2 287.359 3.577 20 0 CHADLO CC1(C)CC[NH+](Cc2cc(F)c(F)c(F)c2F)CC1 ZINC001235356133 1130736208 /nfs/dbraw/zinc/73/62/08/1130736208.db2.gz XEKKMWJBQMGOMN-UHFFFAOYSA-N 1 2 275.289 3.865 20 0 CHADLO CCOC(=O)C[C@H]1CC[C@H](Nc2c[nH+]c(C)c(C)c2)CC1 ZINC001170698212 1130740247 /nfs/dbraw/zinc/74/02/47/1130740247.db2.gz VPKTUHGRPNGCFO-SHTZXODSSA-N 1 2 290.407 3.622 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@H]32)c1 ZINC001235534934 1130754844 /nfs/dbraw/zinc/75/48/44/1130754844.db2.gz LMNAKLFATWJJDS-JKSUJKDBSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@H]32)c1 ZINC001235534934 1130754850 /nfs/dbraw/zinc/75/48/50/1130754850.db2.gz LMNAKLFATWJJDS-JKSUJKDBSA-N 1 2 291.822 3.982 20 0 CHADLO CSCC[N@H+](C)Cc1c(F)cc(Cl)cc1Cl ZINC001235751544 1130777020 /nfs/dbraw/zinc/77/70/20/1130777020.db2.gz VAQKNFWCNKKTJT-UHFFFAOYSA-N 1 2 282.211 3.927 20 0 CHADLO CSCC[N@@H+](C)Cc1c(F)cc(Cl)cc1Cl ZINC001235751544 1130777025 /nfs/dbraw/zinc/77/70/25/1130777025.db2.gz VAQKNFWCNKKTJT-UHFFFAOYSA-N 1 2 282.211 3.927 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2n[nH]c3ccccc32)s1 ZINC000694040198 1130784379 /nfs/dbraw/zinc/78/43/79/1130784379.db2.gz ZAYVRGHSMKPYPC-LLVKDONJSA-N 1 2 271.389 3.784 20 0 CHADLO CCCCn1nc(C)c(C[NH2+]Cc2occc2C)c1Cl ZINC000813789883 1130784885 /nfs/dbraw/zinc/78/48/85/1130784885.db2.gz AGCCDCRXWBHTTL-UHFFFAOYSA-N 1 2 295.814 3.836 20 0 CHADLO Cc1nc2cc(C[N@@H+]3CCn4cccc4[C@H]3C)ccc2s1 ZINC001235864337 1130788208 /nfs/dbraw/zinc/78/82/08/1130788208.db2.gz XHOIGUBQTULLBF-GFCCVEGCSA-N 1 2 297.427 3.983 20 0 CHADLO Cc1nc2cc(C[N@H+]3CCn4cccc4[C@H]3C)ccc2s1 ZINC001235864337 1130788213 /nfs/dbraw/zinc/78/82/13/1130788213.db2.gz XHOIGUBQTULLBF-GFCCVEGCSA-N 1 2 297.427 3.983 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OCCCC1CCCC1 ZINC000783149434 1130798116 /nfs/dbraw/zinc/79/81/16/1130798116.db2.gz QWWOOKKISHMJMA-UHFFFAOYSA-N 1 2 278.371 3.837 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OC/C=C\c1ccccc1 ZINC000783149435 1130798210 /nfs/dbraw/zinc/79/82/10/1130798210.db2.gz QYRADSRSABKMMV-UITAMQMPSA-N 1 2 284.334 3.580 20 0 CHADLO CC1CCC(CO[NH+]=C(N)Cc2cccc(F)c2)CC1 ZINC000783156453 1130799518 /nfs/dbraw/zinc/79/95/18/1130799518.db2.gz GBGMMUDWUNBULA-UHFFFAOYSA-N 1 2 278.371 3.693 20 0 CHADLO CN(C)c1cc(-c2ccc(OC(F)(F)F)cc2)cc[nH+]1 ZINC001235929711 1130808981 /nfs/dbraw/zinc/80/89/81/1130808981.db2.gz GEUKTRVVPJKQIO-UHFFFAOYSA-N 1 2 282.265 3.713 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccc3c([nH+]2)CCOC3)c(C)c1 ZINC001170722786 1130818370 /nfs/dbraw/zinc/81/83/70/1130818370.db2.gz BECOBGCECRSLTE-AWEZNQCLSA-N 1 2 282.387 3.944 20 0 CHADLO CNc1ccc(N[C@@H](C)c2ccc(C)cc2C)c[nH+]1 ZINC001170726100 1130824338 /nfs/dbraw/zinc/82/43/38/1130824338.db2.gz HOEPYOBTJZAYMY-ZDUSSCGKSA-N 1 2 255.365 3.913 20 0 CHADLO CC(C)CCCCCC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000747908094 1130834625 /nfs/dbraw/zinc/83/46/25/1130834625.db2.gz UTVQKEXVDABIFD-MRXNPFEDSA-N 1 2 291.439 3.653 20 0 CHADLO COc1cccc2cc(C[N@@H+]3CCC[C@@H]3c3ncc[nH]3)oc21 ZINC000783672217 1130845441 /nfs/dbraw/zinc/84/54/41/1130845441.db2.gz DFSJAHFTUURKAM-CQSZACIVSA-N 1 2 297.358 3.502 20 0 CHADLO COc1cccc2cc(C[N@H+]3CCC[C@@H]3c3ncc[nH]3)oc21 ZINC000783672217 1130845450 /nfs/dbraw/zinc/84/54/50/1130845450.db2.gz DFSJAHFTUURKAM-CQSZACIVSA-N 1 2 297.358 3.502 20 0 CHADLO COC(=[NH2+])c1cccc(-c2cc(C)c(F)c(OC)c2)c1 ZINC001236195097 1130884330 /nfs/dbraw/zinc/88/43/30/1130884330.db2.gz ONILWHPBAWBFPG-UHFFFAOYSA-N 1 2 273.307 3.781 20 0 CHADLO Nc1ccc(-c2cccc(OC(F)(F)C(F)F)c2)c[nH+]1 ZINC001236313531 1130912603 /nfs/dbraw/zinc/91/26/03/1130912603.db2.gz TXPZOOVOQXILLY-UHFFFAOYSA-N 1 2 286.228 3.568 20 0 CHADLO CC(C)OCc1ccccc1C[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000796706439 1130925340 /nfs/dbraw/zinc/92/53/40/1130925340.db2.gz XFYKBDNVASPTLN-QGZVFWFLSA-N 1 2 299.418 3.502 20 0 CHADLO Cc1c(-c2cc(C)c3[nH+]ccn3c2)cnn1-c1ccccc1 ZINC001236403295 1130928580 /nfs/dbraw/zinc/92/85/80/1130928580.db2.gz RBTOGXGZEOPSJO-UHFFFAOYSA-N 1 2 288.354 3.804 20 0 CHADLO CC(C)Oc1cc(-c2cc[nH+]c(N)c2)ccc1Cl ZINC001236410455 1130931279 /nfs/dbraw/zinc/93/12/79/1130931279.db2.gz OCDMXRBGSCFNRS-UHFFFAOYSA-N 1 2 262.740 3.771 20 0 CHADLO COc1nc(C(C)C)ccc1-c1cn2cc[nH+]c2cc1C ZINC001236444318 1130943745 /nfs/dbraw/zinc/94/37/45/1130943745.db2.gz OCBSFDHBDCYQFE-UHFFFAOYSA-N 1 2 281.359 3.837 20 0 CHADLO O[C@@H](C[N@@H+]1CCC[C@H]1C(F)F)c1cccc2ccccc21 ZINC000815078600 1130956076 /nfs/dbraw/zinc/95/60/76/1130956076.db2.gz CMOCLAFMANQMMP-HOTGVXAUSA-N 1 2 291.341 3.603 20 0 CHADLO O[C@@H](C[N@H+]1CCC[C@H]1C(F)F)c1cccc2ccccc21 ZINC000815078600 1130956081 /nfs/dbraw/zinc/95/60/81/1130956081.db2.gz CMOCLAFMANQMMP-HOTGVXAUSA-N 1 2 291.341 3.603 20 0 CHADLO Cc1cc(C)c(Cl)c(-c2ccn3cc(N)[nH+]c3c2)c1 ZINC001236549693 1130973458 /nfs/dbraw/zinc/97/34/58/1130973458.db2.gz XITNWUMJGBNEHY-UHFFFAOYSA-N 1 2 271.751 3.854 20 0 CHADLO CC(C)Oc1cc(-c2ccc(-c3c[nH+]cn3C)cc2)ccn1 ZINC001236562227 1130975342 /nfs/dbraw/zinc/97/53/42/1130975342.db2.gz USRJNAWWFAAZLZ-UHFFFAOYSA-N 1 2 293.370 3.936 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@@H]2CCC=CCCC2)c(C)[nH+]1 ZINC001134952827 1130979169 /nfs/dbraw/zinc/97/91/69/1130979169.db2.gz BLQIPKRQPPBVQT-MRXNPFEDSA-N 1 2 286.419 3.873 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cncc(O)c2)c1 ZINC001236584683 1130980972 /nfs/dbraw/zinc/98/09/72/1130980972.db2.gz JSZNTIUHPMXGBP-UHFFFAOYSA-N 1 2 256.349 3.609 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@@H+]1CC[C@](C)(CF)C1 ZINC001236600111 1130982744 /nfs/dbraw/zinc/98/27/44/1130982744.db2.gz VCOVAFKQDUHPMS-CYBMUJFWSA-N 1 2 291.197 3.878 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+](C)Cc2ccc(Cl)nc2)n1 ZINC001236644591 1130988556 /nfs/dbraw/zinc/98/85/56/1130988556.db2.gz VNZJDQFSFKCXDG-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+](C)Cc2ccc(Cl)nc2)n1 ZINC001236644591 1130988558 /nfs/dbraw/zinc/98/85/58/1130988558.db2.gz VNZJDQFSFKCXDG-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CC[C@H]2c2ccccc2)n1 ZINC001236642469 1130988684 /nfs/dbraw/zinc/98/86/84/1130988684.db2.gz GSVFXWLFXHKZFZ-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CC[C@H]2c2ccccc2)n1 ZINC001236642469 1130988688 /nfs/dbraw/zinc/98/86/88/1130988688.db2.gz GSVFXWLFXHKZFZ-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(C[NH2+]Cc2c(F)cc(F)c(F)c2F)c(C)o1 ZINC000797468558 1130989329 /nfs/dbraw/zinc/98/93/29/1130989329.db2.gz NRGLKIUPRPIINV-UHFFFAOYSA-N 1 2 287.256 3.743 20 0 CHADLO C[C@@H]1COCC[N@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236656640 1130989677 /nfs/dbraw/zinc/98/96/77/1130989677.db2.gz RQHMXFPMYRTEAA-VIFPVBQESA-N 1 2 292.181 3.601 20 0 CHADLO C[C@@H]1COCC[N@@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236656640 1130989682 /nfs/dbraw/zinc/98/96/82/1130989682.db2.gz RQHMXFPMYRTEAA-VIFPVBQESA-N 1 2 292.181 3.601 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1Cc1cc(C)oc1C ZINC000797604849 1130997300 /nfs/dbraw/zinc/99/73/00/1130997300.db2.gz JNMWOMKFMFQDQX-INIZCTEOSA-N 1 2 287.407 3.840 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1Cc1cc(C)oc1C ZINC000797604849 1130997304 /nfs/dbraw/zinc/99/73/04/1130997304.db2.gz JNMWOMKFMFQDQX-INIZCTEOSA-N 1 2 287.407 3.840 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(C)=C(Br)C2)c(C)o1 ZINC000797606100 1130998795 /nfs/dbraw/zinc/99/87/95/1130998795.db2.gz YQGXDZNZUACQIF-UHFFFAOYSA-N 1 2 284.197 3.771 20 0 CHADLO Cc1cc(C[N@H+]2CCC(C)=C(Br)C2)c(C)o1 ZINC000797606100 1130998799 /nfs/dbraw/zinc/99/87/99/1130998799.db2.gz YQGXDZNZUACQIF-UHFFFAOYSA-N 1 2 284.197 3.771 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@]1(C)CCCO1 ZINC000815601734 1131003971 /nfs/dbraw/zinc/00/39/71/1131003971.db2.gz DLNIIABJQLIXIN-WMLDXEAASA-N 1 2 274.408 3.656 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@]1(C)CCCO1 ZINC000815601733 1131003983 /nfs/dbraw/zinc/00/39/83/1131003983.db2.gz DLNIIABJQLIXIN-RHSMWYFYSA-N 1 2 274.408 3.656 20 0 CHADLO CC[C@H]([NH2+]CC(C)(F)F)c1ccc2c(c1)CCCO2 ZINC000815645143 1131015617 /nfs/dbraw/zinc/01/56/17/1131015617.db2.gz WRWNBNNNIMSMJD-ZDUSSCGKSA-N 1 2 269.335 3.708 20 0 CHADLO CCCC[C@@H](COC)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000777658515 1131016162 /nfs/dbraw/zinc/01/61/62/1131016162.db2.gz ZUVLWKNJBJNVGJ-INIZCTEOSA-N 1 2 276.424 3.686 20 0 CHADLO CCCC[C@@H](COC)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000777658515 1131016165 /nfs/dbraw/zinc/01/61/65/1131016165.db2.gz ZUVLWKNJBJNVGJ-INIZCTEOSA-N 1 2 276.424 3.686 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1c(F)cc(F)cc1F ZINC000815649147 1131017311 /nfs/dbraw/zinc/01/73/11/1131017311.db2.gz IJSXTRJROLDJBQ-JTQLQIEISA-N 1 2 295.304 3.963 20 0 CHADLO CCCOC1C[NH+](Cc2ccc(C3CCC3)cc2)C1 ZINC001237111760 1131053403 /nfs/dbraw/zinc/05/34/03/1131053403.db2.gz YRRGNKYWYFBRGY-UHFFFAOYSA-N 1 2 259.393 3.565 20 0 CHADLO Cc1c(C[N@@H+]2CCC[C@](C)(F)C2)ccc(F)c1F ZINC001237152771 1131059423 /nfs/dbraw/zinc/05/94/23/1131059423.db2.gz ATRFMVRQJHPXGW-AWEZNQCLSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(C[N@H+]2CCC[C@](C)(F)C2)ccc(F)c1F ZINC001237152771 1131059430 /nfs/dbraw/zinc/05/94/30/1131059430.db2.gz ATRFMVRQJHPXGW-AWEZNQCLSA-N 1 2 257.299 3.597 20 0 CHADLO CC(C)[N@@H+](CC(=O)c1ccccc1)CC1OC=CC1=S ZINC001237181092 1131063844 /nfs/dbraw/zinc/06/38/44/1131063844.db2.gz DUETXNZKCPRJBI-UHFFFAOYSA-N 1 2 289.400 3.662 20 0 CHADLO CC(C)[N@H+](CC(=O)c1ccccc1)CC1OC=CC1=S ZINC001237181092 1131063847 /nfs/dbraw/zinc/06/38/47/1131063847.db2.gz DUETXNZKCPRJBI-UHFFFAOYSA-N 1 2 289.400 3.662 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1c(C)cc(F)cc1C)C2 ZINC001237325980 1131083406 /nfs/dbraw/zinc/08/34/06/1131083406.db2.gz NFSVQKVSMBDDLA-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1c(C)cc(F)cc1C)C2 ZINC001237325980 1131083415 /nfs/dbraw/zinc/08/34/15/1131083415.db2.gz NFSVQKVSMBDDLA-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCCOCC2)c1Cl ZINC001237328937 1131084724 /nfs/dbraw/zinc/08/47/24/1131084724.db2.gz WDIBYJMOKIXFJK-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCCOCC2)c1Cl ZINC001237328937 1131084732 /nfs/dbraw/zinc/08/47/32/1131084732.db2.gz WDIBYJMOKIXFJK-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO COc1ccc2c(c1)n[nH]c2C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC001237388232 1131095249 /nfs/dbraw/zinc/09/52/49/1131095249.db2.gz HBEYFYIZTVGLCH-GOSISDBHSA-N 1 2 293.370 3.519 20 0 CHADLO COc1ccc2c(c1)n[nH]c2C[N@H+]1CC[C@@H]1c1ccccc1 ZINC001237388232 1131095255 /nfs/dbraw/zinc/09/52/55/1131095255.db2.gz HBEYFYIZTVGLCH-GOSISDBHSA-N 1 2 293.370 3.519 20 0 CHADLO CC[C@H]1CCCC[N@@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237522200 1131109572 /nfs/dbraw/zinc/10/95/72/1131109572.db2.gz FLWAFOYTGKERQV-JTQLQIEISA-N 1 2 289.206 3.859 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1cnn(C)c1Cl ZINC000816591854 1131109432 /nfs/dbraw/zinc/10/94/32/1131109432.db2.gz PSLCOJKTMBSAKR-LCYFTJDESA-N 1 2 289.810 3.609 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1cnn(C)c1Cl ZINC000816591854 1131109435 /nfs/dbraw/zinc/10/94/35/1131109435.db2.gz PSLCOJKTMBSAKR-LCYFTJDESA-N 1 2 289.810 3.609 20 0 CHADLO c1cc2n(c1)CC[N@@H+](Cc1cccc(-c3ccccc3)n1)C2 ZINC001237550667 1131113777 /nfs/dbraw/zinc/11/37/77/1131113777.db2.gz RHUGDTYSFQTNFV-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO c1cc2n(c1)CC[N@H+](Cc1cccc(-c3ccccc3)n1)C2 ZINC001237550667 1131113782 /nfs/dbraw/zinc/11/37/82/1131113782.db2.gz RHUGDTYSFQTNFV-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO COc1ccc(OC)c(C[N@@H+]2CCCC[C@](C)(F)C2)c1F ZINC001237572177 1131114278 /nfs/dbraw/zinc/11/42/78/1131114278.db2.gz MAZKAKOCMUDPMB-INIZCTEOSA-N 1 2 299.361 3.557 20 0 CHADLO COc1ccc(OC)c(C[N@H+]2CCCC[C@](C)(F)C2)c1F ZINC001237572177 1131114282 /nfs/dbraw/zinc/11/42/82/1131114282.db2.gz MAZKAKOCMUDPMB-INIZCTEOSA-N 1 2 299.361 3.557 20 0 CHADLO CCn1c[nH+]cc1CN1CCC[C@@H]1c1c(F)cccc1F ZINC001237596255 1131116889 /nfs/dbraw/zinc/11/68/89/1131116889.db2.gz VNABNTKOAFGTBY-OAHLLOKOSA-N 1 2 291.345 3.518 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)N1Cc1c[nH+]cn1CC ZINC001237591954 1131117252 /nfs/dbraw/zinc/11/72/52/1131117252.db2.gz AWBQVEXKLLYRHU-NVXWUHKLSA-N 1 2 293.455 3.666 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(Cl)cccc2OC(F)F)[C@@H]1C ZINC000816646665 1131118982 /nfs/dbraw/zinc/11/89/82/1131118982.db2.gz HAEMFTOTZXPZFC-RKDXNWHRSA-N 1 2 275.726 3.782 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(Cl)cccc2OC(F)F)[C@@H]1C ZINC000816646665 1131118986 /nfs/dbraw/zinc/11/89/86/1131118986.db2.gz HAEMFTOTZXPZFC-RKDXNWHRSA-N 1 2 275.726 3.782 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCc3sccc3C2)c(F)c1 ZINC001237637813 1131122730 /nfs/dbraw/zinc/12/27/30/1131122730.db2.gz NFNPFMVIJMPQLW-UHFFFAOYSA-N 1 2 291.391 3.844 20 0 CHADLO CCOc1ccc(C[N@H+]2CCc3sccc3C2)c(F)c1 ZINC001237637813 1131122732 /nfs/dbraw/zinc/12/27/32/1131122732.db2.gz NFNPFMVIJMPQLW-UHFFFAOYSA-N 1 2 291.391 3.844 20 0 CHADLO CCc1[nH]ncc1C[N@@H+](CC)Cc1cccc(Cl)c1 ZINC001237651859 1131123724 /nfs/dbraw/zinc/12/37/24/1131123724.db2.gz ACTYGGWKNKLLGD-UHFFFAOYSA-N 1 2 277.799 3.648 20 0 CHADLO CCc1[nH]ncc1C[N@H+](CC)Cc1cccc(Cl)c1 ZINC001237651859 1131123727 /nfs/dbraw/zinc/12/37/27/1131123727.db2.gz ACTYGGWKNKLLGD-UHFFFAOYSA-N 1 2 277.799 3.648 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+](C)Cc2cccnc2)c1 ZINC001237696327 1131128272 /nfs/dbraw/zinc/12/82/72/1131128272.db2.gz CSUIKRKBGQOSPK-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+](C)Cc2cccnc2)c1 ZINC001237696327 1131128275 /nfs/dbraw/zinc/12/82/75/1131128275.db2.gz CSUIKRKBGQOSPK-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+](C)Cc2ccno2)c1 ZINC001237703052 1131131436 /nfs/dbraw/zinc/13/14/36/1131131436.db2.gz XVEGXYPWTGGYKX-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+](C)Cc2ccno2)c1 ZINC001237703052 1131131439 /nfs/dbraw/zinc/13/14/39/1131131439.db2.gz XVEGXYPWTGGYKX-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO CON(Cc1cc(C)cc(C)[nH+]1)C[C@@H]1CCC[C@H](C)C1 ZINC001170848113 1131131714 /nfs/dbraw/zinc/13/17/14/1131131714.db2.gz WDILYDXDFJQSTG-XJKSGUPXSA-N 1 2 276.424 3.888 20 0 CHADLO CCc1cc(C[N@H+]2CCOC[C@H]2CC)ccc1Cl ZINC001237739989 1131136295 /nfs/dbraw/zinc/13/62/95/1131136295.db2.gz MKTOJEIIUQZMJN-CQSZACIVSA-N 1 2 267.800 3.513 20 0 CHADLO CCc1cc(C[N@@H+]2CCOC[C@H]2CC)ccc1Cl ZINC001237739989 1131136304 /nfs/dbraw/zinc/13/63/04/1131136304.db2.gz MKTOJEIIUQZMJN-CQSZACIVSA-N 1 2 267.800 3.513 20 0 CHADLO CCc1nc(Cl)c(C)c(NCc2cc(C)[nH+]c(C)c2)n1 ZINC000817124708 1131144047 /nfs/dbraw/zinc/14/40/47/1131144047.db2.gz UIKMRGVLMVUCNL-UHFFFAOYSA-N 1 2 290.798 3.625 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@H](CC(F)(F)F)C2)o1 ZINC000817281869 1131150948 /nfs/dbraw/zinc/15/09/48/1131150948.db2.gz RONSLTNOQLHSRE-LLVKDONJSA-N 1 2 290.329 3.962 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@H](CC(F)(F)F)C2)o1 ZINC000817281869 1131150952 /nfs/dbraw/zinc/15/09/52/1131150952.db2.gz RONSLTNOQLHSRE-LLVKDONJSA-N 1 2 290.329 3.962 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1cnc(Cl)s1 ZINC000780387910 1131152300 /nfs/dbraw/zinc/15/23/00/1131152300.db2.gz XKKSXNNQOONKOB-HTQZYQBOSA-N 1 2 298.761 3.959 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1cnc(Cl)s1 ZINC000780387910 1131152302 /nfs/dbraw/zinc/15/23/02/1131152302.db2.gz XKKSXNNQOONKOB-HTQZYQBOSA-N 1 2 298.761 3.959 20 0 CHADLO FC(F)(F)c1ncc(C[N@@H+]2CCC23CCCC3)s1 ZINC001237943030 1131153629 /nfs/dbraw/zinc/15/36/29/1131153629.db2.gz LYUVVUBZHDANFX-UHFFFAOYSA-N 1 2 276.327 3.680 20 0 CHADLO FC(F)(F)c1ncc(C[N@H+]2CCC23CCCC3)s1 ZINC001237943030 1131153631 /nfs/dbraw/zinc/15/36/31/1131153631.db2.gz LYUVVUBZHDANFX-UHFFFAOYSA-N 1 2 276.327 3.680 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccc(F)cc1 ZINC001116557173 1131154732 /nfs/dbraw/zinc/15/47/32/1131154732.db2.gz YSARJLFBMRBZOG-ZDUSSCGKSA-N 1 2 291.370 3.747 20 0 CHADLO FC(F)(F)c1ncc(C[N@@H+]2CCCCC23CC3)s1 ZINC001237951813 1131154772 /nfs/dbraw/zinc/15/47/72/1131154772.db2.gz JGPPYPPHQUXDQF-UHFFFAOYSA-N 1 2 276.327 3.680 20 0 CHADLO FC(F)(F)c1ncc(C[N@H+]2CCCCC23CC3)s1 ZINC001237951813 1131154774 /nfs/dbraw/zinc/15/47/74/1131154774.db2.gz JGPPYPPHQUXDQF-UHFFFAOYSA-N 1 2 276.327 3.680 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237988210 1131157871 /nfs/dbraw/zinc/15/78/71/1131157871.db2.gz DXZBVQRRGMILMY-UHFFFAOYSA-N 1 2 285.771 3.878 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237988210 1131157874 /nfs/dbraw/zinc/15/78/74/1131157874.db2.gz DXZBVQRRGMILMY-UHFFFAOYSA-N 1 2 285.771 3.878 20 0 CHADLO Clc1cccc(-c2nc(C[NH+]3CC=CC3)cs2)c1 ZINC001237984260 1131157914 /nfs/dbraw/zinc/15/79/14/1131157914.db2.gz NKFILEHNWDPJRN-UHFFFAOYSA-N 1 2 276.792 3.835 20 0 CHADLO Oc1c(F)cc(C[N@H+]2C[C@@H]3CCCC[C@@H]3C2)cc1Cl ZINC001237982949 1131158471 /nfs/dbraw/zinc/15/84/71/1131158471.db2.gz AYHCYWDYAPBNOP-TXEJJXNPSA-N 1 2 283.774 3.807 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2C[C@@H]3CCCC[C@@H]3C2)cc1Cl ZINC001237982949 1131158472 /nfs/dbraw/zinc/15/84/72/1131158472.db2.gz AYHCYWDYAPBNOP-TXEJJXNPSA-N 1 2 283.774 3.807 20 0 CHADLO CC[C@H]1CCCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237994470 1131159623 /nfs/dbraw/zinc/15/96/23/1131159623.db2.gz JYVOXDCNKRXQDK-NSHDSACASA-N 1 2 271.763 3.949 20 0 CHADLO CC[C@H]1CCCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237994470 1131159625 /nfs/dbraw/zinc/15/96/25/1131159625.db2.gz JYVOXDCNKRXQDK-NSHDSACASA-N 1 2 271.763 3.949 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@@H+]1CCC[C@@H]2CCC[C@@H]21 ZINC001238000621 1131159824 /nfs/dbraw/zinc/15/98/24/1131159824.db2.gz LYQVHLVINCUJDI-ZBEGNZNMSA-N 1 2 289.810 3.981 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@H+]1CCC[C@@H]2CCC[C@@H]21 ZINC001238000621 1131159826 /nfs/dbraw/zinc/15/98/26/1131159826.db2.gz LYQVHLVINCUJDI-ZBEGNZNMSA-N 1 2 289.810 3.981 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@@H+]1CC[C@H]2CCC[C@H]2C1 ZINC001237995026 1131159971 /nfs/dbraw/zinc/15/99/71/1131159971.db2.gz CASMNGNLLPSRLP-NEPJUHHUSA-N 1 2 289.810 3.838 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@H+]1CC[C@H]2CCC[C@H]2C1 ZINC001237995026 1131159973 /nfs/dbraw/zinc/15/99/73/1131159973.db2.gz CASMNGNLLPSRLP-NEPJUHHUSA-N 1 2 289.810 3.838 20 0 CHADLO C[C@@H](C(=O)NC(C)(C)CC1CCCCCC1)n1cc[nH+]c1 ZINC001116691318 1131160241 /nfs/dbraw/zinc/16/02/41/1131160241.db2.gz GAUUVGVPXMXVGG-AWEZNQCLSA-N 1 2 291.439 3.699 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cnc(F)cc2Cl)c1 ZINC001238024273 1131162579 /nfs/dbraw/zinc/16/25/79/1131162579.db2.gz NSBMDNZDKRYCMH-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cnc(F)cc2Cl)c1 ZINC001238024273 1131162582 /nfs/dbraw/zinc/16/25/82/1131162582.db2.gz NSBMDNZDKRYCMH-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1ccc(Cl)c(C[NH+]2CC3(CCC3)C2)c1F ZINC001138030359 1131163465 /nfs/dbraw/zinc/16/34/65/1131163465.db2.gz BUYPDHSFTRLONZ-UHFFFAOYSA-N 1 2 253.748 3.773 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116927935 1131170623 /nfs/dbraw/zinc/17/06/23/1131170623.db2.gz FTNGWAZFCCAHET-NXEZZACHSA-N 1 2 259.802 3.573 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116927935 1131170625 /nfs/dbraw/zinc/17/06/25/1131170625.db2.gz FTNGWAZFCCAHET-NXEZZACHSA-N 1 2 259.802 3.573 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)C(C)(C)C2)cc(C)c1Cl ZINC001238097485 1131170827 /nfs/dbraw/zinc/17/08/27/1131170827.db2.gz TWQVEWPIXIMTRN-UHFFFAOYSA-N 1 2 279.811 3.758 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)C(C)(C)C2)cc(C)c1Cl ZINC001238097485 1131170831 /nfs/dbraw/zinc/17/08/31/1131170831.db2.gz TWQVEWPIXIMTRN-UHFFFAOYSA-N 1 2 279.811 3.758 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1ccncc1Cl ZINC000817580431 1131171695 /nfs/dbraw/zinc/17/16/95/1131171695.db2.gz JBSDYZGTCROPDK-NSHDSACASA-N 1 2 275.783 3.974 20 0 CHADLO CCCC[N@H+](CC)Cc1cc(Cl)c(O)cc1F ZINC001238143481 1131172697 /nfs/dbraw/zinc/17/26/97/1131172697.db2.gz NDNACWACQUPXKT-UHFFFAOYSA-N 1 2 259.752 3.807 20 0 CHADLO CCCC[N@@H+](CC)Cc1cc(Cl)c(O)cc1F ZINC001238143481 1131172699 /nfs/dbraw/zinc/17/26/99/1131172699.db2.gz NDNACWACQUPXKT-UHFFFAOYSA-N 1 2 259.752 3.807 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCC[C@H](F)CC2)cc1Cl ZINC001238142498 1131174481 /nfs/dbraw/zinc/17/44/81/1131174481.db2.gz DYXOFQVHVQIFSU-JTQLQIEISA-N 1 2 275.726 3.509 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCC[C@H](F)CC2)cc1Cl ZINC001238142498 1131174484 /nfs/dbraw/zinc/17/44/84/1131174484.db2.gz DYXOFQVHVQIFSU-JTQLQIEISA-N 1 2 275.726 3.509 20 0 CHADLO Cc1ncncc1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC001238178696 1131176666 /nfs/dbraw/zinc/17/66/66/1131176666.db2.gz PKVUHCNTJVSXEM-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ncncc1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC001238178696 1131176669 /nfs/dbraw/zinc/17/66/69/1131176669.db2.gz PKVUHCNTJVSXEM-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cncc(C3CC3)c1)CC2 ZINC001238190434 1131178129 /nfs/dbraw/zinc/17/81/29/1131178129.db2.gz RFRKCIVHYKCHLJ-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cncc(C3CC3)c1)CC2 ZINC001238190434 1131178131 /nfs/dbraw/zinc/17/81/31/1131178131.db2.gz RFRKCIVHYKCHLJ-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO CC(C)c1cc(C[N@H+]2C[C@H](CO)C[C@H](F)C2)ccc1Cl ZINC001238218200 1131183224 /nfs/dbraw/zinc/18/32/24/1131183224.db2.gz MPAZZSMAJAIJAK-KGLIPLIRSA-N 1 2 299.817 3.616 20 0 CHADLO CC(C)c1cc(C[N@@H+]2C[C@H](CO)C[C@H](F)C2)ccc1Cl ZINC001238218200 1131183226 /nfs/dbraw/zinc/18/32/26/1131183226.db2.gz MPAZZSMAJAIJAK-KGLIPLIRSA-N 1 2 299.817 3.616 20 0 CHADLO Fc1ccc(C[NH+]2CCCCC2)c(F)c1C(F)(F)F ZINC001238248566 1131186379 /nfs/dbraw/zinc/18/63/79/1131186379.db2.gz SGDNFSAIYNUCFQ-UHFFFAOYSA-N 1 2 279.252 3.970 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccccn2)c(F)cc1Cl ZINC001238298201 1131188659 /nfs/dbraw/zinc/18/86/59/1131188659.db2.gz FRTBMEJIUSZZJG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccccn2)c(F)cc1Cl ZINC001238298201 1131188661 /nfs/dbraw/zinc/18/86/61/1131188661.db2.gz FRTBMEJIUSZZJG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCCC[N@H+](C)Cc1c(Br)ccc(O)c1F ZINC001238305303 1131190167 /nfs/dbraw/zinc/19/01/67/1131190167.db2.gz MKBVXAWFUFCSFH-UHFFFAOYSA-N 1 2 290.176 3.526 20 0 CHADLO CCCC[N@@H+](C)Cc1c(Br)ccc(O)c1F ZINC001238305303 1131190170 /nfs/dbraw/zinc/19/01/70/1131190170.db2.gz MKBVXAWFUFCSFH-UHFFFAOYSA-N 1 2 290.176 3.526 20 0 CHADLO COc1c(C)c[nH+]c(CSCc2cccnc2C)c1C ZINC001117686813 1131192158 /nfs/dbraw/zinc/19/21/58/1131192158.db2.gz GPKMZNDFVIHSLR-UHFFFAOYSA-N 1 2 288.416 3.844 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@H]2c2ccccc2)c(Cl)cn1 ZINC001238353083 1131192699 /nfs/dbraw/zinc/19/26/99/1131192699.db2.gz CKMQEWNCSVUINK-HNNXBMFYSA-N 1 2 288.778 3.691 20 0 CHADLO COc1cc(C[N@H+]2CC[C@H]2c2ccccc2)c(Cl)cn1 ZINC001238353083 1131192701 /nfs/dbraw/zinc/19/27/01/1131192701.db2.gz CKMQEWNCSVUINK-HNNXBMFYSA-N 1 2 288.778 3.691 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3[C@@H]2C)cnc1OC(C)C ZINC001238386848 1131194079 /nfs/dbraw/zinc/19/40/79/1131194079.db2.gz QVFWDZXEZLTZRP-HNNXBMFYSA-N 1 2 299.418 3.556 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3[C@@H]2C)cnc1OC(C)C ZINC001238386848 1131194082 /nfs/dbraw/zinc/19/40/82/1131194082.db2.gz QVFWDZXEZLTZRP-HNNXBMFYSA-N 1 2 299.418 3.556 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCOC[C@H]2C(C)C)c(Cl)c1 ZINC001238402167 1131195991 /nfs/dbraw/zinc/19/59/91/1131195991.db2.gz FZDMWJZLXSQDLI-INIZCTEOSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@H+]2CCOC[C@H]2C(C)C)c(Cl)c1 ZINC001238402167 1131195993 /nfs/dbraw/zinc/19/59/93/1131195993.db2.gz FZDMWJZLXSQDLI-INIZCTEOSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCO[C@@H](C(C)C)C2)c(Cl)c1 ZINC001238398259 1131196229 /nfs/dbraw/zinc/19/62/29/1131196229.db2.gz ASDJCQBKHDTTOK-MRXNPFEDSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@H+]2CCO[C@@H](C(C)C)C2)c(Cl)c1 ZINC001238398259 1131196231 /nfs/dbraw/zinc/19/62/31/1131196231.db2.gz ASDJCQBKHDTTOK-MRXNPFEDSA-N 1 2 297.826 3.596 20 0 CHADLO CC(C)Oc1cccc(C[NH+]2CC3(C2)CC(F)(F)C3)c1F ZINC001238421986 1131197688 /nfs/dbraw/zinc/19/76/88/1131197688.db2.gz KBUFOTXUPZFKLL-UHFFFAOYSA-N 1 2 299.336 3.844 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cc(C)c(Cl)cn1)CC2 ZINC001238427012 1131198289 /nfs/dbraw/zinc/19/82/89/1131198289.db2.gz NEDFNMKVZBHHNL-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cc(C)c(Cl)cn1)CC2 ZINC001238427012 1131198290 /nfs/dbraw/zinc/19/82/90/1131198290.db2.gz NEDFNMKVZBHHNL-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(N2CCC[C@@H]2[C@H]2CCC[C@@H]2O)[nH+]c2ccccc12 ZINC001117801160 1131201351 /nfs/dbraw/zinc/20/13/51/1131201351.db2.gz FGNBULXCQGRHPO-NXHRZFHOSA-N 1 2 296.414 3.673 20 0 CHADLO COc1ccccc1C1([NH2+][C@H]2C[C@@H](C)n3ccnc32)CCC1 ZINC001117831844 1131203776 /nfs/dbraw/zinc/20/37/76/1131203776.db2.gz WWVCNHYWEFCOLK-HIFRSBDPSA-N 1 2 297.402 3.567 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2CCc3occc3C2)ccc1F ZINC001238457748 1131204720 /nfs/dbraw/zinc/20/47/20/1131204720.db2.gz HDKKNSLVLYCAJK-UHFFFAOYSA-N 1 2 289.350 3.764 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2CCc3occc3C2)ccc1F ZINC001238457748 1131204725 /nfs/dbraw/zinc/20/47/25/1131204725.db2.gz HDKKNSLVLYCAJK-UHFFFAOYSA-N 1 2 289.350 3.764 20 0 CHADLO COc1cnc(C[N@H+](C)Cc2ccccc2C)c(Cl)c1 ZINC001238487481 1131207023 /nfs/dbraw/zinc/20/70/23/1131207023.db2.gz JNYAOOZPDONTQB-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cnc(C[N@@H+](C)Cc2ccccc2C)c(Cl)c1 ZINC001238487481 1131207024 /nfs/dbraw/zinc/20/70/24/1131207024.db2.gz JNYAOOZPDONTQB-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO C[C@@H](O)[C@H](C)Nc1ccc([NH2+]C2CCCCC2)cc1 ZINC001118091936 1131210345 /nfs/dbraw/zinc/21/03/45/1131210345.db2.gz BJDMEWISUYLERU-QWHCGFSZSA-N 1 2 262.397 3.612 20 0 CHADLO CC(C)Oc1c(F)ccc(C[NH+]2CC3(CCC3)C2)c1F ZINC001238528651 1131213141 /nfs/dbraw/zinc/21/31/41/1131213141.db2.gz WTGAENKGPMBAAX-UHFFFAOYSA-N 1 2 281.346 3.738 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(F)c(-c3ccccc3)c2)CCO1 ZINC001238561139 1131216293 /nfs/dbraw/zinc/21/62/93/1131216293.db2.gz MVSLEBYEQPZEQJ-AWEZNQCLSA-N 1 2 285.362 3.713 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(F)c(-c3ccccc3)c2)CCO1 ZINC001238561139 1131216297 /nfs/dbraw/zinc/21/62/97/1131216297.db2.gz MVSLEBYEQPZEQJ-AWEZNQCLSA-N 1 2 285.362 3.713 20 0 CHADLO Cc1cc(Cl)cc(C)c1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001118240511 1131216438 /nfs/dbraw/zinc/21/64/38/1131216438.db2.gz SJGOSWREWMCKLZ-LBPRGKRZSA-N 1 2 291.782 3.743 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001238672384 1131229051 /nfs/dbraw/zinc/22/90/51/1131229051.db2.gz QDDUCPVCYDQLMF-TVYUQYBPSA-N 1 2 285.815 3.852 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001238672384 1131229052 /nfs/dbraw/zinc/22/90/52/1131229052.db2.gz QDDUCPVCYDQLMF-TVYUQYBPSA-N 1 2 285.815 3.852 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2nc(Br)cs2)CC1 ZINC001137775828 1131415692 /nfs/dbraw/zinc/41/56/92/1131415692.db2.gz XWCPTVHYMPISLI-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2nc(Br)cs2)CC1 ZINC001137775828 1131415694 /nfs/dbraw/zinc/41/56/94/1131415694.db2.gz XWCPTVHYMPISLI-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO COc1ccccc1C1([NH2+]C/C(Cl)=C\Cl)CC1 ZINC000829702618 1131430347 /nfs/dbraw/zinc/43/03/47/1131430347.db2.gz VCEACMDQUWLUCX-CSKARUKUSA-N 1 2 272.175 3.593 20 0 CHADLO C[N@H+](CCc1ccccc1F)Cc1c(F)ccc(F)c1F ZINC001137933407 1131438267 /nfs/dbraw/zinc/43/82/67/1131438267.db2.gz FRXSSNPGDPLLCK-UHFFFAOYSA-N 1 2 297.295 3.918 20 0 CHADLO C[N@@H+](CCc1ccccc1F)Cc1c(F)ccc(F)c1F ZINC001137933407 1131438268 /nfs/dbraw/zinc/43/82/68/1131438268.db2.gz FRXSSNPGDPLLCK-UHFFFAOYSA-N 1 2 297.295 3.918 20 0 CHADLO Fc1ccc(C[NH+]2CC(c3ccccc3)C2)c(F)c1F ZINC001137940020 1131438779 /nfs/dbraw/zinc/43/87/79/1131438779.db2.gz BDWUTNFAGYKEOH-UHFFFAOYSA-N 1 2 277.289 3.703 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1ccc(C)c(F)c1F ZINC001137968643 1131443913 /nfs/dbraw/zinc/44/39/13/1131443913.db2.gz MZUIDSPGMKAUJM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1ccc(C)c(F)c1F ZINC001137968643 1131443915 /nfs/dbraw/zinc/44/39/15/1131443915.db2.gz MZUIDSPGMKAUJM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ccnc(Cl)c2F)n1 ZINC000823866672 1131445265 /nfs/dbraw/zinc/44/52/65/1131445265.db2.gz LOZZZUMMFDJXLP-UHFFFAOYSA-N 1 2 299.802 3.664 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1cccc(C(F)(F)F)c1F ZINC001138007009 1131449658 /nfs/dbraw/zinc/44/96/58/1131449658.db2.gz QVSADWHNAKDUKS-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1cccc(C(F)(F)F)c1F ZINC001138007009 1131449660 /nfs/dbraw/zinc/44/96/60/1131449660.db2.gz QVSADWHNAKDUKS-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Fc1c(C[N@@H+]2CCC[C@@H]3C[C@@H]32)cccc1C(F)(F)F ZINC001138011645 1131449854 /nfs/dbraw/zinc/44/98/54/1131449854.db2.gz BTOSEIXTVXGIGA-SKDRFNHKSA-N 1 2 273.273 3.829 20 0 CHADLO Fc1c(C[N@H+]2CCC[C@@H]3C[C@@H]32)cccc1C(F)(F)F ZINC001138011645 1131449856 /nfs/dbraw/zinc/44/98/56/1131449856.db2.gz BTOSEIXTVXGIGA-SKDRFNHKSA-N 1 2 273.273 3.829 20 0 CHADLO CC[N@H+](Cc1ccnc(Cl)c1F)[C@H](C)c1cccnc1 ZINC000824396717 1131467790 /nfs/dbraw/zinc/46/77/90/1131467790.db2.gz TZGCHLXDLFVFDZ-LLVKDONJSA-N 1 2 293.773 3.852 20 0 CHADLO CC[N@@H+](Cc1ccnc(Cl)c1F)[C@H](C)c1cccnc1 ZINC000824396717 1131467792 /nfs/dbraw/zinc/46/77/92/1131467792.db2.gz TZGCHLXDLFVFDZ-LLVKDONJSA-N 1 2 293.773 3.852 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCc3ccsc3C2)c1F ZINC001138118446 1131468692 /nfs/dbraw/zinc/46/86/92/1131468692.db2.gz JOVDZOJSJDHYRH-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCc3ccsc3C2)c1F ZINC001138118446 1131468696 /nfs/dbraw/zinc/46/86/96/1131468696.db2.gz JOVDZOJSJDHYRH-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1ccc(-c2ccc(C[NH2+][C@@H]3CCn4ccnc43)o2)cc1 ZINC000824521059 1131472074 /nfs/dbraw/zinc/47/20/74/1131472074.db2.gz FFGRNOOFBHMGSP-MRXNPFEDSA-N 1 2 293.370 3.686 20 0 CHADLO CC[C@H]1CCC[N@@H+]1Cc1c(F)c(F)cc(F)c1F ZINC001138236122 1131480546 /nfs/dbraw/zinc/48/05/46/1131480546.db2.gz LWRRHRCXJXIJMV-QMMMGPOBSA-N 1 2 261.262 3.617 20 0 CHADLO CC[C@H]1CCC[N@H+]1Cc1c(F)c(F)cc(F)c1F ZINC001138236122 1131480548 /nfs/dbraw/zinc/48/05/48/1131480548.db2.gz LWRRHRCXJXIJMV-QMMMGPOBSA-N 1 2 261.262 3.617 20 0 CHADLO Cc1cc(C[N@@H+]2CCOCC23CCCCC3)cc(Cl)n1 ZINC000825098852 1131495928 /nfs/dbraw/zinc/49/59/28/1131495928.db2.gz PCNNFEJEXRJBRX-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Cc1cc(C[N@H+]2CCOCC23CCCCC3)cc(Cl)n1 ZINC000825098852 1131495933 /nfs/dbraw/zinc/49/59/33/1131495933.db2.gz PCNNFEJEXRJBRX-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1ncccc1O ZINC001138394589 1131498554 /nfs/dbraw/zinc/49/85/54/1131498554.db2.gz XPCQEGBGENZWMM-PBHICJAKSA-N 1 2 292.423 3.550 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1ncccc1O ZINC001138394589 1131498555 /nfs/dbraw/zinc/49/85/55/1131498555.db2.gz XPCQEGBGENZWMM-PBHICJAKSA-N 1 2 292.423 3.550 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2cc3cc(C)ccc3[nH]2)n1 ZINC001138419789 1131500923 /nfs/dbraw/zinc/50/09/23/1131500923.db2.gz FDHJVYFSYRIMGK-INIZCTEOSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2cc3cc(C)ccc3[nH]2)n1 ZINC001138419789 1131500928 /nfs/dbraw/zinc/50/09/28/1131500928.db2.gz FDHJVYFSYRIMGK-INIZCTEOSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC001138413897 1131501456 /nfs/dbraw/zinc/50/14/56/1131501456.db2.gz HLKPUJIRDYTELP-ZDUSSCGKSA-N 1 2 297.377 3.994 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@H+]1CCn2cccc2[C@@H]1C ZINC001138413897 1131501458 /nfs/dbraw/zinc/50/14/58/1131501458.db2.gz HLKPUJIRDYTELP-ZDUSSCGKSA-N 1 2 297.377 3.994 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CCn4cccc4[C@@H]3C)cc2c1 ZINC001138420101 1131503144 /nfs/dbraw/zinc/50/31/44/1131503144.db2.gz OCAHYUAEZVXMOI-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CCn4cccc4[C@@H]3C)cc2c1 ZINC001138420101 1131503148 /nfs/dbraw/zinc/50/31/48/1131503148.db2.gz OCAHYUAEZVXMOI-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO CC(C)c1ccc2occ(C(=O)OCc3c[nH+]cn3C)c2c1 ZINC000805889696 1131505704 /nfs/dbraw/zinc/50/57/04/1131505704.db2.gz LTYPIHOVIMANQM-UHFFFAOYSA-N 1 2 298.342 3.647 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCCC[C@H]2C(=O)OCC)s1 ZINC001138525485 1131516404 /nfs/dbraw/zinc/51/64/04/1131516404.db2.gz CZHGJJDKVUTDCM-HNNXBMFYSA-N 1 2 295.448 3.618 20 0 CHADLO CCCc1ccc(C[N@H+]2CCCC[C@H]2C(=O)OCC)s1 ZINC001138525485 1131516408 /nfs/dbraw/zinc/51/64/08/1131516408.db2.gz CZHGJJDKVUTDCM-HNNXBMFYSA-N 1 2 295.448 3.618 20 0 CHADLO O=C(/C=C\C1CCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000843529813 1131517317 /nfs/dbraw/zinc/51/73/17/1131517317.db2.gz BNHLCPKVILPKNL-HJWRWDBZSA-N 1 2 281.359 3.557 20 0 CHADLO Cc1c(F)cc(F)cc1C[NH+]1Cc2ccccc2C1 ZINC001143757846 1131532118 /nfs/dbraw/zinc/53/21/18/1131532118.db2.gz OXYXVOWVDQNXQK-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(Cl)[nH]c3ccccc32)CCC1=O ZINC001138685558 1131536706 /nfs/dbraw/zinc/53/67/06/1131536706.db2.gz FOTJVYVQYNUKCY-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(Cl)[nH]c3ccccc32)CCC1=O ZINC001138685558 1131536711 /nfs/dbraw/zinc/53/67/11/1131536711.db2.gz FOTJVYVQYNUKCY-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001138718038 1131540327 /nfs/dbraw/zinc/54/03/27/1131540327.db2.gz JRMHUISKKRFIIH-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001138718038 1131540328 /nfs/dbraw/zinc/54/03/28/1131540328.db2.gz JRMHUISKKRFIIH-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccc3c(c1)CCCO3)C2 ZINC001138716425 1131540438 /nfs/dbraw/zinc/54/04/38/1131540438.db2.gz HLMBWICNDBHZDT-UHFFFAOYSA-N 1 2 283.346 3.667 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccc3c(c1)CCCO3)C2 ZINC001138716425 1131540441 /nfs/dbraw/zinc/54/04/41/1131540441.db2.gz HLMBWICNDBHZDT-UHFFFAOYSA-N 1 2 283.346 3.667 20 0 CHADLO C[N@H+](Cc1c(F)ccc(Cl)c1Cl)[C@@H]1CCCOC1 ZINC001143868040 1131543708 /nfs/dbraw/zinc/54/37/08/1131543708.db2.gz NYQVFICONZSSHR-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(Cl)c1Cl)[C@@H]1CCCOC1 ZINC001143868040 1131543712 /nfs/dbraw/zinc/54/37/12/1131543712.db2.gz NYQVFICONZSSHR-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO Fc1cc(Br)cc(C[N@@H+]2CCC[C@H]3C[C@H]32)c1 ZINC001139176988 1131591426 /nfs/dbraw/zinc/59/14/26/1131591426.db2.gz BSSWUGGFSFPGOC-GXFFZTMASA-N 1 2 284.172 3.573 20 0 CHADLO Fc1cc(Br)cc(C[N@H+]2CCC[C@H]3C[C@H]32)c1 ZINC001139176988 1131591429 /nfs/dbraw/zinc/59/14/29/1131591429.db2.gz BSSWUGGFSFPGOC-GXFFZTMASA-N 1 2 284.172 3.573 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cccc(C(F)(F)F)c1C ZINC001143930389 1131557921 /nfs/dbraw/zinc/55/79/21/1131557921.db2.gz PBLHWYLGKBDJFG-OAHLLOKOSA-N 1 2 299.336 3.957 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cccc(C(F)(F)F)c1C ZINC001143930389 1131557925 /nfs/dbraw/zinc/55/79/25/1131557925.db2.gz PBLHWYLGKBDJFG-OAHLLOKOSA-N 1 2 299.336 3.957 20 0 CHADLO CCCCOc1cccc(C[N@@H+]2CCC(=O)C(C)(C)C2)c1 ZINC001138954897 1131564743 /nfs/dbraw/zinc/56/47/43/1131564743.db2.gz CGVVSSOYKWMCQB-UHFFFAOYSA-N 1 2 289.419 3.667 20 0 CHADLO CCCCOc1cccc(C[N@H+]2CCC(=O)C(C)(C)C2)c1 ZINC001138954897 1131564746 /nfs/dbraw/zinc/56/47/46/1131564746.db2.gz CGVVSSOYKWMCQB-UHFFFAOYSA-N 1 2 289.419 3.667 20 0 CHADLO CCOc1ccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c(OCC)c1 ZINC001138977092 1131567839 /nfs/dbraw/zinc/56/78/39/1131567839.db2.gz DCVUJTVAACUACZ-CZUORRHYSA-N 1 2 295.398 3.664 20 0 CHADLO CCOc1ccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c(OCC)c1 ZINC001138977092 1131567845 /nfs/dbraw/zinc/56/78/45/1131567845.db2.gz DCVUJTVAACUACZ-CZUORRHYSA-N 1 2 295.398 3.664 20 0 CHADLO CC[C@@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1ccc(C)cc1 ZINC000844462932 1131568064 /nfs/dbraw/zinc/56/80/64/1131568064.db2.gz RAPOHRMZBJCDGC-GOEBONIOSA-N 1 2 286.375 3.837 20 0 CHADLO FC1(F)[C@H]2C[N@H+](Cc3nc(-c4ccccc4)cs3)C[C@H]21 ZINC000844463679 1131568923 /nfs/dbraw/zinc/56/89/23/1131568923.db2.gz SVSVIRBAGPBXIM-TXEJJXNPSA-N 1 2 292.354 3.507 20 0 CHADLO FC1(F)[C@H]2C[N@@H+](Cc3nc(-c4ccccc4)cs3)C[C@H]21 ZINC000844463679 1131568927 /nfs/dbraw/zinc/56/89/27/1131568927.db2.gz SVSVIRBAGPBXIM-TXEJJXNPSA-N 1 2 292.354 3.507 20 0 CHADLO CCCOc1ccccc1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC001138999343 1131569721 /nfs/dbraw/zinc/56/97/21/1131569721.db2.gz CFULMGOMJYKGKK-INIZCTEOSA-N 1 2 297.402 3.603 20 0 CHADLO CCCOc1ccccc1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC001138999343 1131569724 /nfs/dbraw/zinc/56/97/24/1131569724.db2.gz CFULMGOMJYKGKK-INIZCTEOSA-N 1 2 297.402 3.603 20 0 CHADLO CCCOc1ccccc1C[N@@H+]1Cc2cccc(OC)c2C1 ZINC001138998123 1131570093 /nfs/dbraw/zinc/57/00/93/1131570093.db2.gz CZWSSXFFIPHCRF-UHFFFAOYSA-N 1 2 297.398 4.000 20 0 CHADLO CCCOc1ccccc1C[N@H+]1Cc2cccc(OC)c2C1 ZINC001138998123 1131570096 /nfs/dbraw/zinc/57/00/96/1131570096.db2.gz CZWSSXFFIPHCRF-UHFFFAOYSA-N 1 2 297.398 4.000 20 0 CHADLO CCCOc1ccccc1C[N@H+](C)Cc1cncs1 ZINC001138998103 1131570370 /nfs/dbraw/zinc/57/03/70/1131570370.db2.gz CDZROSSRMKLRMD-UHFFFAOYSA-N 1 2 276.405 3.564 20 0 CHADLO CCCOc1ccccc1C[N@@H+](C)Cc1cncs1 ZINC001138998103 1131570372 /nfs/dbraw/zinc/57/03/72/1131570372.db2.gz CDZROSSRMKLRMD-UHFFFAOYSA-N 1 2 276.405 3.564 20 0 CHADLO CC1(F)CC[NH+](Cc2c(F)cc(Cl)cc2F)CC1 ZINC001139047677 1131575750 /nfs/dbraw/zinc/57/57/50/1131575750.db2.gz PAPCJNVBYAEJCH-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO CCCn1cc(C[N@H+](CC)Cc2cccc(F)c2F)cn1 ZINC001139041537 1131576005 /nfs/dbraw/zinc/57/60/05/1131576005.db2.gz IVASCTONFQYGRA-UHFFFAOYSA-N 1 2 293.361 3.593 20 0 CHADLO CCCn1cc(C[N@@H+](CC)Cc2cccc(F)c2F)cn1 ZINC001139041537 1131576009 /nfs/dbraw/zinc/57/60/09/1131576009.db2.gz IVASCTONFQYGRA-UHFFFAOYSA-N 1 2 293.361 3.593 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2ccc(Oc3ccccc3)nc2)C1 ZINC001139245460 1131599098 /nfs/dbraw/zinc/59/90/98/1131599098.db2.gz PGYSAKLPNMYYDG-HNNXBMFYSA-N 1 2 286.350 3.808 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2ccc(Oc3ccccc3)nc2)C1 ZINC001139245460 1131599102 /nfs/dbraw/zinc/59/91/02/1131599102.db2.gz PGYSAKLPNMYYDG-HNNXBMFYSA-N 1 2 286.350 3.808 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139479512 1131610648 /nfs/dbraw/zinc/61/06/48/1131610648.db2.gz LGJVGFYWVRCXCK-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139479512 1131610650 /nfs/dbraw/zinc/61/06/50/1131610650.db2.gz LGJVGFYWVRCXCK-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@](C)(F)C2)cnc1Cl ZINC001139541240 1131614579 /nfs/dbraw/zinc/61/45/79/1131614579.db2.gz QDFKAZQCEPMYRA-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@](C)(F)C2)cnc1Cl ZINC001139541240 1131614582 /nfs/dbraw/zinc/61/45/82/1131614582.db2.gz QDFKAZQCEPMYRA-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO Clc1ccccc1-c1ccccc1C[NH+]1CCOCC1 ZINC001139571594 1131617563 /nfs/dbraw/zinc/61/75/63/1131617563.db2.gz DGXJMTKSWZDUDC-UHFFFAOYSA-N 1 2 287.790 3.839 20 0 CHADLO CCOc1ccc(C)cc1C[N@@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001139600273 1131620544 /nfs/dbraw/zinc/62/05/44/1131620544.db2.gz MGYXOUBWHLFGCK-CVEARBPZSA-N 1 2 283.362 3.666 20 0 CHADLO CCOc1ccc(C)cc1C[N@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001139600273 1131620546 /nfs/dbraw/zinc/62/05/46/1131620546.db2.gz MGYXOUBWHLFGCK-CVEARBPZSA-N 1 2 283.362 3.666 20 0 CHADLO CCOc1ccc(C)cc1C[N@@H+]1Cc2cccc(OC)c2C1 ZINC001139607348 1131621879 /nfs/dbraw/zinc/62/18/79/1131621879.db2.gz OWZRSFMLJKTKPD-UHFFFAOYSA-N 1 2 297.398 3.918 20 0 CHADLO CCOc1ccc(C)cc1C[N@H+]1Cc2cccc(OC)c2C1 ZINC001139607348 1131621880 /nfs/dbraw/zinc/62/18/80/1131621880.db2.gz OWZRSFMLJKTKPD-UHFFFAOYSA-N 1 2 297.398 3.918 20 0 CHADLO Oc1ccc(C[N@@H+]2CCCC[C@@H](F)C2)c(C(F)(F)F)c1 ZINC001144382030 1131623132 /nfs/dbraw/zinc/62/31/32/1131623132.db2.gz SQEGMTRSUFBOGF-LLVKDONJSA-N 1 2 291.288 3.735 20 0 CHADLO Oc1ccc(C[N@H+]2CCCC[C@@H](F)C2)c(C(F)(F)F)c1 ZINC001144382030 1131623136 /nfs/dbraw/zinc/62/31/36/1131623136.db2.gz SQEGMTRSUFBOGF-LLVKDONJSA-N 1 2 291.288 3.735 20 0 CHADLO COc1ccc(C[N@@H+]2CCC(=O)C(C)(C)C2)cc1C(C)C ZINC001139621393 1131623519 /nfs/dbraw/zinc/62/35/19/1131623519.db2.gz LQFGUMJOAMKKSP-UHFFFAOYSA-N 1 2 289.419 3.620 20 0 CHADLO COc1ccc(C[N@H+]2CCC(=O)C(C)(C)C2)cc1C(C)C ZINC001139621393 1131623522 /nfs/dbraw/zinc/62/35/22/1131623522.db2.gz LQFGUMJOAMKKSP-UHFFFAOYSA-N 1 2 289.419 3.620 20 0 CHADLO c1ccc2c(c1)oc1ccc(C[NH+]3CC4(CCO4)C3)cc12 ZINC001139755453 1131635229 /nfs/dbraw/zinc/63/52/29/1131635229.db2.gz WECJLDWGDSLFTH-UHFFFAOYSA-N 1 2 279.339 3.561 20 0 CHADLO c1ccc2c(c1)oc1ccc(C[N@@H+]3CCO[C@H]4C[C@H]43)cc12 ZINC001139753550 1131635792 /nfs/dbraw/zinc/63/57/92/1131635792.db2.gz CIHQUVGLDWPSAI-QAPCUYQASA-N 1 2 279.339 3.559 20 0 CHADLO c1ccc2c(c1)oc1ccc(C[N@H+]3CCO[C@H]4C[C@H]43)cc12 ZINC001139753550 1131635794 /nfs/dbraw/zinc/63/57/94/1131635794.db2.gz CIHQUVGLDWPSAI-QAPCUYQASA-N 1 2 279.339 3.559 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccccc1C(F)F ZINC001139799814 1131637902 /nfs/dbraw/zinc/63/79/02/1131637902.db2.gz WFWCJTJUAVXUDQ-UHFFFAOYSA-N 1 2 268.332 3.713 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccccc1C(F)F ZINC001139799814 1131637905 /nfs/dbraw/zinc/63/79/05/1131637905.db2.gz WFWCJTJUAVXUDQ-UHFFFAOYSA-N 1 2 268.332 3.713 20 0 CHADLO c1ccc(C2CC2)c(C[N@@H+]2CCOC[C@@H]2c2cccnc2)c1 ZINC001139883220 1131645535 /nfs/dbraw/zinc/64/55/35/1131645535.db2.gz IFMNAWGBJXJQOG-LJQANCHMSA-N 1 2 294.398 3.533 20 0 CHADLO c1ccc(C2CC2)c(C[N@H+]2CCOC[C@@H]2c2cccnc2)c1 ZINC001139883220 1131645538 /nfs/dbraw/zinc/64/55/38/1131645538.db2.gz IFMNAWGBJXJQOG-LJQANCHMSA-N 1 2 294.398 3.533 20 0 CHADLO Cc1cc(NCC[C@@]2(C)C[C@]2(F)Cl)nc(C2CC2)[nH+]1 ZINC000845648529 1131649643 /nfs/dbraw/zinc/64/96/43/1131649643.db2.gz BVPSPCOMNUSXAA-UONOGXRCSA-N 1 2 283.778 3.779 20 0 CHADLO CCc1ccccc1CNc1cc2cc[nH]c2c[nH+]1 ZINC001202984964 1131651731 /nfs/dbraw/zinc/65/17/31/1131651731.db2.gz DULFHHIMPLGQFH-UHFFFAOYSA-N 1 2 251.333 3.737 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2sc(Cl)nc2Cl)CC1 ZINC001139954063 1131652336 /nfs/dbraw/zinc/65/23/36/1131652336.db2.gz SAEHDVITDHETSK-SSDOTTSWSA-N 1 2 283.199 3.774 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2sc(Cl)nc2Cl)CC1 ZINC001139954063 1131652339 /nfs/dbraw/zinc/65/23/39/1131652339.db2.gz SAEHDVITDHETSK-SSDOTTSWSA-N 1 2 283.199 3.774 20 0 CHADLO CC1(C)[C@@H]2C[N@@H+](Cc3sc(Cl)nc3Cl)C[C@@H]21 ZINC001139956359 1131652952 /nfs/dbraw/zinc/65/29/52/1131652952.db2.gz AJXBJBKQOAFKLL-KNVOCYPGSA-N 1 2 277.220 3.538 20 0 CHADLO CC1(C)[C@@H]2C[N@H+](Cc3sc(Cl)nc3Cl)C[C@@H]21 ZINC001139956359 1131652954 /nfs/dbraw/zinc/65/29/54/1131652954.db2.gz AJXBJBKQOAFKLL-KNVOCYPGSA-N 1 2 277.220 3.538 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2csc(Cl)n2)CC1 ZINC001139976619 1131654220 /nfs/dbraw/zinc/65/42/20/1131654220.db2.gz BYBXDQJUSLFGPO-LLVKDONJSA-N 1 2 262.781 3.511 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2csc(Cl)n2)CC1 ZINC001139976619 1131654224 /nfs/dbraw/zinc/65/42/24/1131654224.db2.gz BYBXDQJUSLFGPO-LLVKDONJSA-N 1 2 262.781 3.511 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cc3ccccc3cn2)C[C@@H]1F ZINC001139994545 1131655008 /nfs/dbraw/zinc/65/50/08/1131655008.db2.gz AMYNGSYHCACVSL-HOTGVXAUSA-N 1 2 276.330 3.507 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cc3ccccc3cn2)C[C@@H]1F ZINC001139994545 1131655010 /nfs/dbraw/zinc/65/50/10/1131655010.db2.gz AMYNGSYHCACVSL-HOTGVXAUSA-N 1 2 276.330 3.507 20 0 CHADLO CC[C@H](c1ccccc1)N(C)Cc1[nH+]cn2ccccc12 ZINC001144624932 1131667583 /nfs/dbraw/zinc/66/75/83/1131667583.db2.gz WHNVLNUXNGWEFA-QGZVFWFLSA-N 1 2 279.387 3.917 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1cc(F)c(O)cc1F ZINC001144659500 1131679390 /nfs/dbraw/zinc/67/93/90/1131679390.db2.gz KXNWZIXZQZOPLD-LLVKDONJSA-N 1 2 289.325 3.617 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1cc(F)c(O)cc1F ZINC001144659500 1131679392 /nfs/dbraw/zinc/67/93/92/1131679392.db2.gz KXNWZIXZQZOPLD-LLVKDONJSA-N 1 2 289.325 3.617 20 0 CHADLO C[N@H+](Cc1ccncc1O)Cc1ccc(Cl)cc1Cl ZINC001140274703 1131686922 /nfs/dbraw/zinc/68/69/22/1131686922.db2.gz DSDBOAHFNIBEFZ-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1ccncc1O)Cc1ccc(Cl)cc1Cl ZINC001140274703 1131686925 /nfs/dbraw/zinc/68/69/25/1131686925.db2.gz DSDBOAHFNIBEFZ-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCC[C@H](C(F)(F)F)C2)c1 ZINC000846118183 1131688334 /nfs/dbraw/zinc/68/83/34/1131688334.db2.gz VELDBJSIEBEMEX-RYUDHWBXSA-N 1 2 288.313 3.761 20 0 CHADLO CCc1cccc(C[NH2+]Cc2c(F)ccc(O)c2F)c1 ZINC000846100671 1131689724 /nfs/dbraw/zinc/68/97/24/1131689724.db2.gz RQXYYOBSWVRMGX-UHFFFAOYSA-N 1 2 277.314 3.523 20 0 CHADLO c1ccc(-c2cccnc2)c(C[N@@H+]2Cc3cccnc3C2)c1 ZINC001140314097 1131694313 /nfs/dbraw/zinc/69/43/13/1131694313.db2.gz NOGNBMGMTNHOQA-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(-c2cccnc2)c(C[N@H+]2Cc3cccnc3C2)c1 ZINC001140314097 1131694316 /nfs/dbraw/zinc/69/43/16/1131694316.db2.gz NOGNBMGMTNHOQA-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO CCn1ccnc1[C@H](C)Nc1ccc([NH+]2CCCC2)cc1 ZINC000834705397 1131695460 /nfs/dbraw/zinc/69/54/60/1131695460.db2.gz JUHVKLBYKZTYRX-AWEZNQCLSA-N 1 2 284.407 3.676 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)C(F)F)c1ccc2c(c1)CCO2 ZINC000834828678 1131708998 /nfs/dbraw/zinc/70/89/98/1131708998.db2.gz WUBUEHINZXRZSR-OLZOCXBDSA-N 1 2 269.335 3.706 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)cnc1Cl)c1ccc(F)cn1 ZINC000834837837 1131710081 /nfs/dbraw/zinc/71/00/81/1131710081.db2.gz ZNABSSSLPCXRQZ-GFCCVEGCSA-N 1 2 297.736 3.649 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCn2ccnc21)c1ccc(-c2ccccc2)o1 ZINC000834837038 1131710267 /nfs/dbraw/zinc/71/02/67/1131710267.db2.gz RHLARWPYVGRTMP-UKRRQHHQSA-N 1 2 293.370 3.939 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(OC(F)F)cc2)co1 ZINC000834901405 1131724811 /nfs/dbraw/zinc/72/48/11/1131724811.db2.gz USCCFEBJQIVELE-JTQLQIEISA-N 1 2 296.317 3.689 20 0 CHADLO Fc1cc(F)c(C[NH+]2CCC(OC3CCC3)CC2)c(F)c1 ZINC001140530086 1131781339 /nfs/dbraw/zinc/78/13/39/1131781339.db2.gz PANYEKNYSCHDMK-UHFFFAOYSA-N 1 2 299.336 3.637 20 0 CHADLO CSc1cccc(F)c1C[NH2+]Cc1cccnc1Cl ZINC000796447764 1131785395 /nfs/dbraw/zinc/78/53/95/1131785395.db2.gz GAIMJYFTBKZGNZ-UHFFFAOYSA-N 1 2 296.798 3.886 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cc(Cl)ncc2F)c1 ZINC001140607384 1131825745 /nfs/dbraw/zinc/82/57/45/1131825745.db2.gz GUOIYBLKRCKZGE-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cc(Cl)ncc2F)c1 ZINC001140607384 1131825750 /nfs/dbraw/zinc/82/57/50/1131825750.db2.gz GUOIYBLKRCKZGE-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](C)c3ncccc3F)cc2[nH+]1 ZINC001228328436 1131857968 /nfs/dbraw/zinc/85/79/68/1131857968.db2.gz DTXCBZFGSDRFLH-SECBINFHSA-N 1 2 271.295 3.545 20 0 CHADLO C[N@H+](Cc1cc(F)c(Cl)cc1F)C1CC(F)(F)C1 ZINC001140699182 1131880034 /nfs/dbraw/zinc/88/00/34/1131880034.db2.gz GTHBMRBBMNTCCD-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO C[N@@H+](Cc1cc(F)c(Cl)cc1F)C1CC(F)(F)C1 ZINC001140699182 1131880045 /nfs/dbraw/zinc/88/00/45/1131880045.db2.gz GTHBMRBBMNTCCD-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2cc(F)c(Cl)cc2F)[C@H](C)C1 ZINC001140704388 1131885492 /nfs/dbraw/zinc/88/54/92/1131885492.db2.gz OHFAXMQSHHFCPU-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2cc(F)c(Cl)cc2F)[C@H](C)C1 ZINC001140704388 1131885502 /nfs/dbraw/zinc/88/55/02/1131885502.db2.gz OHFAXMQSHHFCPU-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(F)cc1C)C2 ZINC001140782514 1131915510 /nfs/dbraw/zinc/91/55/10/1131915510.db2.gz ZXGRNDLVRBOPEX-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(F)cc1C)C2 ZINC001140782514 1131915514 /nfs/dbraw/zinc/91/55/14/1131915514.db2.gz ZXGRNDLVRBOPEX-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO CCN(C)c1cc(NCc2cccc3sccc32)[nH+]cn1 ZINC001171377630 1131934974 /nfs/dbraw/zinc/93/49/74/1131934974.db2.gz OFFGAHGQAQPLKD-UHFFFAOYSA-N 1 2 298.415 3.760 20 0 CHADLO CCN(C)c1cc(NCc2cccc3sccc32)nc[nH+]1 ZINC001171377630 1131934981 /nfs/dbraw/zinc/93/49/81/1131934981.db2.gz OFFGAHGQAQPLKD-UHFFFAOYSA-N 1 2 298.415 3.760 20 0 CHADLO Nc1cccc(F)c1C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC001140876523 1131953903 /nfs/dbraw/zinc/95/39/03/1131953903.db2.gz BPJCSSPCIFXPAR-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1cccc(F)c1C[N@H+]1CCc2cccc(Cl)c2C1 ZINC001140876523 1131953907 /nfs/dbraw/zinc/95/39/07/1131953907.db2.gz BPJCSSPCIFXPAR-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(O)c(F)c2F)CCC1(F)F ZINC001140888776 1131957654 /nfs/dbraw/zinc/95/76/54/1131957654.db2.gz XDGYOOPWHGBZON-UHFFFAOYSA-N 1 2 291.288 3.538 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(O)c(F)c2F)CCC1(F)F ZINC001140888776 1131957661 /nfs/dbraw/zinc/95/76/61/1131957661.db2.gz XDGYOOPWHGBZON-UHFFFAOYSA-N 1 2 291.288 3.538 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1cc(F)c(O)c(F)c1 ZINC001140990699 1131980147 /nfs/dbraw/zinc/98/01/47/1131980147.db2.gz NKIXZRRYEVKYPM-NSHDSACASA-N 1 2 289.325 3.617 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1cc(F)c(O)c(F)c1 ZINC001140990699 1131980154 /nfs/dbraw/zinc/98/01/54/1131980154.db2.gz NKIXZRRYEVKYPM-NSHDSACASA-N 1 2 289.325 3.617 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccns1)c1c(F)cccc1F ZINC000716013786 1132009027 /nfs/dbraw/zinc/00/90/27/1132009027.db2.gz HTCVJDVXTNMOGT-DTWKUNHWSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1cccc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)c1Br ZINC001141089279 1132009898 /nfs/dbraw/zinc/00/98/98/1132009898.db2.gz PVYHDECKNYBWCF-PWSUYJOCSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1cccc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)c1Br ZINC001141089279 1132009905 /nfs/dbraw/zinc/00/99/05/1132009905.db2.gz PVYHDECKNYBWCF-PWSUYJOCSA-N 1 2 286.188 3.547 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@H+]1Cc1ncc(Cl)nc1Cl ZINC001141132121 1132024608 /nfs/dbraw/zinc/02/46/08/1132024608.db2.gz UXZOPULALARCMU-DTORHVGOSA-N 1 2 274.195 3.546 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@@H+]1Cc1ncc(Cl)nc1Cl ZINC001141132121 1132024613 /nfs/dbraw/zinc/02/46/13/1132024613.db2.gz UXZOPULALARCMU-DTORHVGOSA-N 1 2 274.195 3.546 20 0 CHADLO c1ccn(-c2ccc[nH+]c2NCc2cccc3ncoc32)c1 ZINC001171452370 1132032260 /nfs/dbraw/zinc/03/22/60/1132032260.db2.gz BFYBYIGFIHLYOR-UHFFFAOYSA-N 1 2 290.326 3.626 20 0 CHADLO c1sc(-c2ccccc2)nc1C[NH+]1CC2(CCC2)C1 ZINC001141210871 1132043824 /nfs/dbraw/zinc/04/38/24/1132043824.db2.gz DWLJORJHFQPBDX-UHFFFAOYSA-N 1 2 270.401 3.796 20 0 CHADLO Cn1c(Br)ccc1C[NH+]1CC2(C1)CCCCC2 ZINC001248776650 1132099521 /nfs/dbraw/zinc/09/95/21/1132099521.db2.gz FNMCXRKWBKUTFF-UHFFFAOYSA-N 1 2 297.240 3.554 20 0 CHADLO C[N@H+](Cc1cccc(-c2ccsc2)c1)Cc1ncccn1 ZINC001141377407 1132106764 /nfs/dbraw/zinc/10/67/64/1132106764.db2.gz MSNXATCSOQJCQC-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1cccc(-c2ccsc2)c1)Cc1ncccn1 ZINC001141377407 1132106768 /nfs/dbraw/zinc/10/67/68/1132106768.db2.gz MSNXATCSOQJCQC-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO CC(C)C(Oc1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC001229953501 1132135419 /nfs/dbraw/zinc/13/54/19/1132135419.db2.gz AMQVTMYTXBZHOG-UHFFFAOYSA-N 1 2 258.365 3.932 20 0 CHADLO C[C@@H](Oc1ccc(-n2cc[nH+]c2)cc1)C1CCCC1 ZINC001229954028 1132135928 /nfs/dbraw/zinc/13/59/28/1132135928.db2.gz IIHQOBXHKYDKHR-CYBMUJFWSA-N 1 2 256.349 3.830 20 0 CHADLO CC(=O)[C@H](Oc1ccc(-n2cc[nH+]c2)cc1)c1ccccc1 ZINC001229954002 1132136119 /nfs/dbraw/zinc/13/61/19/1132136119.db2.gz HINFGOCLWMRCFX-SFHVURJKSA-N 1 2 292.338 3.581 20 0 CHADLO C[C@@H](Oc1ccc(-n2cc[nH+]c2)cc1)c1ncccc1F ZINC001229953618 1132136165 /nfs/dbraw/zinc/13/61/65/1132136165.db2.gz CAEHPLDYDHCARB-GFCCVEGCSA-N 1 2 283.306 3.546 20 0 CHADLO C[C@H]1CC[C@H](Oc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001229954511 1132137041 /nfs/dbraw/zinc/13/70/41/1132137041.db2.gz PYPKUYOPRGGDMK-CTYIDZIISA-N 1 2 256.349 3.830 20 0 CHADLO Cc1ccc([C@@H](C)Oc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001229954921 1132137455 /nfs/dbraw/zinc/13/74/55/1132137455.db2.gz WFEXCHWGMDOYMZ-CQSZACIVSA-N 1 2 279.343 3.716 20 0 CHADLO CC1CCC(Oc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001229954514 1132137497 /nfs/dbraw/zinc/13/74/97/1132137497.db2.gz PYPKUYOPRGGDMK-UHFFFAOYSA-N 1 2 256.349 3.830 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(C)nc2Cl)on1 ZINC000838793935 1132147915 /nfs/dbraw/zinc/14/79/15/1132147915.db2.gz FKPVPQQLUYBYEF-CYBMUJFWSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(C)nc2Cl)on1 ZINC000838793935 1132147918 /nfs/dbraw/zinc/14/79/18/1132147918.db2.gz FKPVPQQLUYBYEF-CYBMUJFWSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2c2ccccn2)c(Cl)n1 ZINC000838868117 1132152885 /nfs/dbraw/zinc/15/28/85/1132152885.db2.gz ZJDLAFYHGORCMN-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2c2ccccn2)c(Cl)n1 ZINC000838868117 1132152887 /nfs/dbraw/zinc/15/28/87/1132152887.db2.gz ZJDLAFYHGORCMN-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cccc(CCO)c1 ZINC001203111689 1132156232 /nfs/dbraw/zinc/15/62/32/1132156232.db2.gz RRURDSWERCLQRB-UHFFFAOYSA-N 1 2 284.403 3.867 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001172334818 1132174059 /nfs/dbraw/zinc/17/40/59/1132174059.db2.gz CTUDPKHFPSYICE-RWMBFGLXSA-N 1 2 281.362 3.649 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001172334818 1132174063 /nfs/dbraw/zinc/17/40/63/1132174063.db2.gz CTUDPKHFPSYICE-RWMBFGLXSA-N 1 2 281.362 3.649 20 0 CHADLO COc1cc(C(F)(F)F)ccc1C[N@@H+]1CCC[C@@H](F)C1 ZINC001141608631 1132206096 /nfs/dbraw/zinc/20/60/96/1132206096.db2.gz GIMPLESRNZCSQM-GFCCVEGCSA-N 1 2 291.288 3.648 20 0 CHADLO COc1cc(C(F)(F)F)ccc1C[N@H+]1CCC[C@@H](F)C1 ZINC001141608631 1132206102 /nfs/dbraw/zinc/20/61/02/1132206102.db2.gz GIMPLESRNZCSQM-GFCCVEGCSA-N 1 2 291.288 3.648 20 0 CHADLO C[C@H](CCc1ccccc1)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920267 1132227701 /nfs/dbraw/zinc/22/77/01/1132227701.db2.gz KUHQKABIUYABGI-CQSZACIVSA-N 1 2 295.382 3.767 20 0 CHADLO CC(C)CC[C@@H](C)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920307 1132227756 /nfs/dbraw/zinc/22/77/56/1132227756.db2.gz LJBDNBKYSNWJIG-GFCCVEGCSA-N 1 2 261.365 3.571 20 0 CHADLO CC(C)C[C@H](Oc1cc2c(cc1O)C=[NH+]CC2)C(C)C ZINC001230920212 1132228097 /nfs/dbraw/zinc/22/80/97/1132228097.db2.gz KCAXXRPGNSHEBS-INIZCTEOSA-N 1 2 275.392 3.817 20 0 CHADLO CCCC/C=C/[C@@H](C)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230921924 1132229492 /nfs/dbraw/zinc/22/94/92/1132229492.db2.gz VWALSRBCLHOPPX-KTRBRXNASA-N 1 2 273.376 3.881 20 0 CHADLO C[C@H]1CC(C)(C)C[N@@H+]1Cn1nc(C2CC2)sc1=S ZINC000840183912 1132249829 /nfs/dbraw/zinc/24/98/29/1132249829.db2.gz PEEMZNYPRPEGCC-VIFPVBQESA-N 1 2 283.466 3.629 20 0 CHADLO C[C@H]1CC(C)(C)C[N@H+]1Cn1nc(C2CC2)sc1=S ZINC000840183912 1132249832 /nfs/dbraw/zinc/24/98/32/1132249832.db2.gz PEEMZNYPRPEGCC-VIFPVBQESA-N 1 2 283.466 3.629 20 0 CHADLO c1c[nH+]c(-c2ccc(OC(C3CC3)C3CC3)cc2)[nH]1 ZINC001231081828 1132253024 /nfs/dbraw/zinc/25/30/24/1132253024.db2.gz OEJFIFPVKQEXLF-UHFFFAOYSA-N 1 2 254.333 3.644 20 0 CHADLO C[C@@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1cccnc1F ZINC001231080300 1132253180 /nfs/dbraw/zinc/25/31/80/1132253180.db2.gz BGLOPISFOPFSAP-LLVKDONJSA-N 1 2 283.306 3.751 20 0 CHADLO C[NH+]1CC(Oc2cccc3c4ccccc4sc32)C1 ZINC001231097682 1132256362 /nfs/dbraw/zinc/25/63/62/1132256362.db2.gz MQGYGXUAECUKCF-UHFFFAOYSA-N 1 2 269.369 3.747 20 0 CHADLO FC1(F)C[C@H]1[NH2+][C@@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172536646 1132259364 /nfs/dbraw/zinc/25/93/64/1132259364.db2.gz FMUQVNHDXWGHSV-MGPQQGTHSA-N 1 2 251.320 3.710 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC3(CCCC3)C2)cs1 ZINC001141878839 1132260135 /nfs/dbraw/zinc/26/01/35/1132260135.db2.gz PYKBPZWDCHWICM-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC3(CCCC3)C2)cs1 ZINC001141878839 1132260139 /nfs/dbraw/zinc/26/01/39/1132260139.db2.gz PYKBPZWDCHWICM-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO Cc1ccc(C)c(NC(=S)NCc2c[nH+]c(C)cc2C)c1 ZINC000840826928 1132291857 /nfs/dbraw/zinc/29/18/57/1132291857.db2.gz XQHPQBDBDSOITO-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO O=C1CC[NH+](Cc2ccc(-c3cccs3)cc2)CC1 ZINC001231429511 1132294816 /nfs/dbraw/zinc/29/48/16/1132294816.db2.gz SWSOBRJERRVUCH-UHFFFAOYSA-N 1 2 271.385 3.580 20 0 CHADLO C[N@H+](Cc1cc(Br)c[nH]1)Cc1ccccc1F ZINC001231577112 1132318721 /nfs/dbraw/zinc/31/87/21/1132318721.db2.gz QOPNRPNLCORGRU-UHFFFAOYSA-N 1 2 297.171 3.548 20 0 CHADLO C[N@@H+](Cc1cc(Br)c[nH]1)Cc1ccccc1F ZINC001231577112 1132318741 /nfs/dbraw/zinc/31/87/41/1132318741.db2.gz QOPNRPNLCORGRU-UHFFFAOYSA-N 1 2 297.171 3.548 20 0 CHADLO Oc1cc(Cl)c(C[NH+]2CCC(F)CC2)c(Cl)c1 ZINC001142105606 1132326316 /nfs/dbraw/zinc/32/63/16/1132326316.db2.gz HCXCJPRASKEVGP-UHFFFAOYSA-N 1 2 278.154 3.633 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCC(=O)[C@@H](C)CC1 ZINC001172846198 1132335281 /nfs/dbraw/zinc/33/52/81/1132335281.db2.gz CAVLAPNCQAXZJX-RYUDHWBXSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCC(=O)[C@@H](C)CC1 ZINC001172846198 1132335285 /nfs/dbraw/zinc/33/52/85/1132335285.db2.gz CAVLAPNCQAXZJX-RYUDHWBXSA-N 1 2 297.801 3.711 20 0 CHADLO Cc1ccc(CN2CCn3c[nH+]cc3C2)c(Cl)c1Cl ZINC001231666953 1132340781 /nfs/dbraw/zinc/34/07/81/1132340781.db2.gz AUGUDYMFUINERT-UHFFFAOYSA-N 1 2 296.201 3.514 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC001172854971 1132342749 /nfs/dbraw/zinc/34/27/49/1132342749.db2.gz APVRRESQPWWRIT-IJLUTSLNSA-N 1 2 285.790 3.519 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1C[C@@H](C)OC[C@H]1C ZINC001172854971 1132342751 /nfs/dbraw/zinc/34/27/51/1132342751.db2.gz APVRRESQPWWRIT-IJLUTSLNSA-N 1 2 285.790 3.519 20 0 CHADLO CC[N@H+](Cc1ccc(COC)o1)Cc1cccc(F)c1F ZINC001231695321 1132347415 /nfs/dbraw/zinc/34/74/15/1132347415.db2.gz SPPMJMBOGBFYCU-UHFFFAOYSA-N 1 2 295.329 3.726 20 0 CHADLO CC[N@@H+](Cc1ccc(COC)o1)Cc1cccc(F)c1F ZINC001231695321 1132347423 /nfs/dbraw/zinc/34/74/23/1132347423.db2.gz SPPMJMBOGBFYCU-UHFFFAOYSA-N 1 2 295.329 3.726 20 0 CHADLO COc1ncc(F)cc1C[N@H+](C)Cc1ccc(Cl)cc1 ZINC001231745949 1132352625 /nfs/dbraw/zinc/35/26/25/1132352625.db2.gz VIIVOXRMULTEGN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ncc(F)cc1C[N@@H+](C)Cc1ccc(Cl)cc1 ZINC001231745949 1132352633 /nfs/dbraw/zinc/35/26/33/1132352633.db2.gz VIIVOXRMULTEGN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(CNc2c[nH+]cc3c2CCCC3)c(C)c1 ZINC001203119955 1132370318 /nfs/dbraw/zinc/37/03/18/1132370318.db2.gz VAFPACJHMXXFPD-UHFFFAOYSA-N 1 2 282.387 3.890 20 0 CHADLO Cc1csc2sc(C[NH+]3CC4(C3)CCCO4)c(C)c12 ZINC001231829323 1132376094 /nfs/dbraw/zinc/37/60/94/1132376094.db2.gz VXWHJULTCDNRPM-UHFFFAOYSA-N 1 2 293.457 3.944 20 0 CHADLO Cc1ccc2ccccc2c1C[N@@H+]1CCCC(=O)[C@H](F)C1 ZINC001142372181 1132376792 /nfs/dbraw/zinc/37/67/92/1132376792.db2.gz TZKMTXMOMCSOLO-QGZVFWFLSA-N 1 2 285.362 3.651 20 0 CHADLO Cc1ccc2ccccc2c1C[N@H+]1CCCC(=O)[C@H](F)C1 ZINC001142372181 1132376801 /nfs/dbraw/zinc/37/68/01/1132376801.db2.gz TZKMTXMOMCSOLO-QGZVFWFLSA-N 1 2 285.362 3.651 20 0 CHADLO Cc1cc(O)cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212698633 1132378416 /nfs/dbraw/zinc/37/84/16/1132378416.db2.gz YWIGXHYCOHFCDM-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO CCCOc1ncccc1C[N@H+](Cc1ccco1)C1CC1 ZINC001231866689 1132381154 /nfs/dbraw/zinc/38/11/54/1132381154.db2.gz LZCOYKRIICEMDE-UHFFFAOYSA-N 1 2 286.375 3.628 20 0 CHADLO CCCOc1ncccc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001231866689 1132381157 /nfs/dbraw/zinc/38/11/57/1132381157.db2.gz LZCOYKRIICEMDE-UHFFFAOYSA-N 1 2 286.375 3.628 20 0 CHADLO CC1(C)C[NH+](Cc2ccc(C(F)(F)F)c(F)c2F)C1 ZINC001231856942 1132381319 /nfs/dbraw/zinc/38/13/19/1132381319.db2.gz RKRAKNQQEXXPPE-UHFFFAOYSA-N 1 2 279.252 3.825 20 0 CHADLO FC1CC[NH+](Cc2cnc(C(F)(F)F)cc2Cl)CC1 ZINC001231898525 1132397633 /nfs/dbraw/zinc/39/76/33/1132397633.db2.gz QONFWJLHEZCAHH-UHFFFAOYSA-N 1 2 296.695 3.688 20 0 CHADLO Cc1nc(C[N@@H+](C)C2CCC3(CCCCC3)CC2)no1 ZINC001173118297 1132397691 /nfs/dbraw/zinc/39/76/91/1132397691.db2.gz UKIKGXROMLOHEI-UHFFFAOYSA-N 1 2 277.412 3.703 20 0 CHADLO Cc1nc(C[N@H+](C)C2CCC3(CCCCC3)CC2)no1 ZINC001173118297 1132397698 /nfs/dbraw/zinc/39/76/98/1132397698.db2.gz UKIKGXROMLOHEI-UHFFFAOYSA-N 1 2 277.412 3.703 20 0 CHADLO CC[C@@H](C)Oc1ccc(NCc2c[nH+]cn2CC)cc1 ZINC000841849458 1132399883 /nfs/dbraw/zinc/39/98/83/1132399883.db2.gz VOSSAELARSOOJL-CYBMUJFWSA-N 1 2 273.380 3.692 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1ncccc1Cl ZINC001231959376 1132404153 /nfs/dbraw/zinc/40/41/53/1132404153.db2.gz TXSDMKBIGKNRQZ-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1ncccc1Cl ZINC001231959376 1132404156 /nfs/dbraw/zinc/40/41/56/1132404156.db2.gz TXSDMKBIGKNRQZ-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO Fc1ncc(Cl)cc1C[N@@H+]1CCCc2ccccc2C1 ZINC001231995802 1132406644 /nfs/dbraw/zinc/40/66/44/1132406644.db2.gz VYWLCBUWBBQSKO-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Fc1ncc(Cl)cc1C[N@H+]1CCCc2ccccc2C1 ZINC001231995802 1132406646 /nfs/dbraw/zinc/40/66/46/1132406646.db2.gz VYWLCBUWBBQSKO-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(C(F)(F)F)cnc2Cl)C1 ZINC001232006467 1132408770 /nfs/dbraw/zinc/40/87/70/1132408770.db2.gz YFTAIVIFWBVGPI-VIFPVBQESA-N 1 2 292.732 3.986 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(C(F)(F)F)cnc2Cl)C1 ZINC001232006467 1132408774 /nfs/dbraw/zinc/40/87/74/1132408774.db2.gz YFTAIVIFWBVGPI-VIFPVBQESA-N 1 2 292.732 3.986 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(C(F)(F)F)cnc2Cl)C1 ZINC001232005265 1132409509 /nfs/dbraw/zinc/40/95/09/1132409509.db2.gz IGYLUABGZVPANX-QMMMGPOBSA-N 1 2 278.705 3.596 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(C(F)(F)F)cnc2Cl)C1 ZINC001232005265 1132409513 /nfs/dbraw/zinc/40/95/13/1132409513.db2.gz IGYLUABGZVPANX-QMMMGPOBSA-N 1 2 278.705 3.596 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1cc3ccncc3[nH]1)C2 ZINC001232095752 1132422037 /nfs/dbraw/zinc/42/20/37/1132422037.db2.gz ONZLQLUYIJWMRA-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1cc3ccncc3[nH]1)C2 ZINC001232095752 1132422043 /nfs/dbraw/zinc/42/20/43/1132422043.db2.gz ONZLQLUYIJWMRA-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232099543 1132422580 /nfs/dbraw/zinc/42/25/80/1132422580.db2.gz ADLNYGSHNDAXNX-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232099543 1132422581 /nfs/dbraw/zinc/42/25/81/1132422581.db2.gz ADLNYGSHNDAXNX-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO COCc1cccc(C[N@H+](C)Cc2ccccc2Cl)n1 ZINC001232256369 1132444260 /nfs/dbraw/zinc/44/42/60/1132444260.db2.gz RGDXJOSHORNIHR-UHFFFAOYSA-N 1 2 290.794 3.513 20 0 CHADLO COCc1cccc(C[N@@H+](C)Cc2ccccc2Cl)n1 ZINC001232256369 1132444266 /nfs/dbraw/zinc/44/42/66/1132444266.db2.gz RGDXJOSHORNIHR-UHFFFAOYSA-N 1 2 290.794 3.513 20 0 CHADLO CCSC[C@H](C)N1CC[NH+]([C@H](C)c2ccccc2)CC1 ZINC001173304002 1132448072 /nfs/dbraw/zinc/44/80/72/1132448072.db2.gz CCZXRYANKUTKNN-JKSUJKDBSA-N 1 2 292.492 3.507 20 0 CHADLO Cc1sc(C[NH+]2CC(C)(C)C2)cc1Br ZINC001232289094 1132449316 /nfs/dbraw/zinc/44/93/16/1132449316.db2.gz HMHYPBRXWROKGB-UHFFFAOYSA-N 1 2 274.227 3.661 20 0 CHADLO CC(=O)C[N@@H+](C)Cc1cc(C)ccc1OCc1ccccc1 ZINC001232376731 1132461487 /nfs/dbraw/zinc/46/14/87/1132461487.db2.gz KMZTZTMCQNTEIY-UHFFFAOYSA-N 1 2 297.398 3.595 20 0 CHADLO CC(=O)C[N@H+](C)Cc1cc(C)ccc1OCc1ccccc1 ZINC001232376731 1132461491 /nfs/dbraw/zinc/46/14/91/1132461491.db2.gz KMZTZTMCQNTEIY-UHFFFAOYSA-N 1 2 297.398 3.595 20 0 CHADLO CCc1csc(C[N@@H+](CC)Cc2ccc(F)cc2)n1 ZINC001232474959 1132476052 /nfs/dbraw/zinc/47/60/52/1132476052.db2.gz IBJUIQZCRJWOFW-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCc1csc(C[N@H+](CC)Cc2ccc(F)cc2)n1 ZINC001232474959 1132476056 /nfs/dbraw/zinc/47/60/56/1132476056.db2.gz IBJUIQZCRJWOFW-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCSC[C@@H](C)[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001173314272 1132476439 /nfs/dbraw/zinc/47/64/39/1132476439.db2.gz GWWUTFNXXWTVSC-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO CCSC[C@@H](C)[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001173314272 1132476442 /nfs/dbraw/zinc/47/64/42/1132476442.db2.gz GWWUTFNXXWTVSC-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(C(=O)N(C)C)cc1C ZINC001212729737 1132482798 /nfs/dbraw/zinc/48/27/98/1132482798.db2.gz NGYUSMXVBPEVRN-UHFFFAOYSA-N 1 2 297.402 3.706 20 0 CHADLO CC[NH2+]Cc1noc(CSc2ccc(C(C)C)cc2)n1 ZINC000843143222 1132496360 /nfs/dbraw/zinc/49/63/60/1132496360.db2.gz CXOQKLBWGFRDQW-UHFFFAOYSA-N 1 2 291.420 3.595 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cc(F)cc(CO)c3)ccc12 ZINC001212749245 1132505485 /nfs/dbraw/zinc/50/54/85/1132505485.db2.gz WCCSYMPCNQMYMJ-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cc3cccnc3[nH]1)CC2 ZINC001143221877 1132518979 /nfs/dbraw/zinc/51/89/79/1132518979.db2.gz OZFHKXOLQWNGGG-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cc3cccnc3[nH]1)CC2 ZINC001143221877 1132518985 /nfs/dbraw/zinc/51/89/85/1132518985.db2.gz OZFHKXOLQWNGGG-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cccc(O)c1Cl)CC2 ZINC001232784732 1132522512 /nfs/dbraw/zinc/52/25/12/1132522512.db2.gz RVODMKAKQZXQRC-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cccc(O)c1Cl)CC2 ZINC001232784732 1132522518 /nfs/dbraw/zinc/52/25/18/1132522518.db2.gz RVODMKAKQZXQRC-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO c1cn(Cc2cccc(Nc3ccsc3)c2)c[nH+]1 ZINC001173819972 1132523688 /nfs/dbraw/zinc/52/36/88/1132523688.db2.gz UDEFSJQWQKOGHH-UHFFFAOYSA-N 1 2 255.346 3.737 20 0 CHADLO FC(F)C1CC[NH+](Cc2cc(Br)co2)CC1 ZINC001232855709 1132531543 /nfs/dbraw/zinc/53/15/43/1132531543.db2.gz FQJSUIBCKXBIEE-UHFFFAOYSA-N 1 2 294.139 3.519 20 0 CHADLO COC[C@@H]1CC[N@@H+]1Cc1c(Cl)cccc1C(F)(F)F ZINC001143296429 1132531967 /nfs/dbraw/zinc/53/19/67/1132531967.db2.gz SGUFMSAHKFIMKB-VIFPVBQESA-N 1 2 293.716 3.580 20 0 CHADLO COC[C@@H]1CC[N@H+]1Cc1c(Cl)cccc1C(F)(F)F ZINC001143296429 1132531974 /nfs/dbraw/zinc/53/19/74/1132531974.db2.gz SGUFMSAHKFIMKB-VIFPVBQESA-N 1 2 293.716 3.580 20 0 CHADLO CCCO[C@H]1CC[N@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875919 1132533650 /nfs/dbraw/zinc/53/36/50/1132533650.db2.gz HMQCJGQAFAFBQP-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@H]1CC[N@@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875919 1132533653 /nfs/dbraw/zinc/53/36/53/1132533653.db2.gz HMQCJGQAFAFBQP-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Cc1cc(O)cc(C[N@@H+](C)Cc2cccc(F)c2F)c1 ZINC001232882443 1132535495 /nfs/dbraw/zinc/53/54/95/1132535495.db2.gz STEJPVVSDXHLRL-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1cc(O)cc(C[N@H+](C)Cc2cccc(F)c2F)c1 ZINC001232882443 1132535500 /nfs/dbraw/zinc/53/55/00/1132535500.db2.gz STEJPVVSDXHLRL-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO COc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001173803609 1132537681 /nfs/dbraw/zinc/53/76/81/1132537681.db2.gz QUDKAUMZIFGUMH-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO Cc1cccc(Nc2ccc(N3C[C@@H](C)O[C@@H](C)C3)[nH+]c2)c1 ZINC001173807584 1132542103 /nfs/dbraw/zinc/54/21/03/1132542103.db2.gz YXELHUUKOFJWTQ-GASCZTMLSA-N 1 2 297.402 3.747 20 0 CHADLO CCOc1ccccc1Nc1cccc2[nH+]c(C)cn21 ZINC001173848150 1132551272 /nfs/dbraw/zinc/55/12/72/1132551272.db2.gz STQWOXZZAFOODY-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H](C)c3ccccc3C)cc2[nH+]1 ZINC001150106589 1132552278 /nfs/dbraw/zinc/55/22/78/1132552278.db2.gz NHEPNYPRSADLMG-GFCCVEGCSA-N 1 2 293.370 3.922 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1cc(O)c(F)c(F)c1 ZINC001232969978 1132552845 /nfs/dbraw/zinc/55/28/45/1132552845.db2.gz DURQBQDPVFAQAR-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1cc(O)c(F)c(F)c1 ZINC001232969978 1132552848 /nfs/dbraw/zinc/55/28/48/1132552848.db2.gz DURQBQDPVFAQAR-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO Oc1cnc(Cl)cc1C[N@@H+]1CCC[C@H]1C1CCCCC1 ZINC001233039460 1132564817 /nfs/dbraw/zinc/56/48/17/1132564817.db2.gz WONXSWSRZQXUBL-AWEZNQCLSA-N 1 2 294.826 3.985 20 0 CHADLO Oc1cnc(Cl)cc1C[N@H+]1CCC[C@H]1C1CCCCC1 ZINC001233039460 1132564822 /nfs/dbraw/zinc/56/48/22/1132564822.db2.gz WONXSWSRZQXUBL-AWEZNQCLSA-N 1 2 294.826 3.985 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2C2CC2)cc1F ZINC001173862617 1132565045 /nfs/dbraw/zinc/56/50/45/1132565045.db2.gz BNGDTLWLNTYUFF-UHFFFAOYSA-N 1 2 258.296 3.850 20 0 CHADLO CCCC[N@H+](Cc1nc2ccccn2n1)Cc1ccccc1 ZINC001233072608 1132568920 /nfs/dbraw/zinc/56/89/20/1132568920.db2.gz RZLROWGRQSAHRV-UHFFFAOYSA-N 1 2 294.402 3.532 20 0 CHADLO CCCC[N@@H+](Cc1nc2ccccn2n1)Cc1ccccc1 ZINC001233072608 1132568923 /nfs/dbraw/zinc/56/89/23/1132568923.db2.gz RZLROWGRQSAHRV-UHFFFAOYSA-N 1 2 294.402 3.532 20 0 CHADLO CC(C)(C)[N@H+](Cc1nc2ccccn2n1)Cc1ccccc1 ZINC001233067037 1132570231 /nfs/dbraw/zinc/57/02/31/1132570231.db2.gz CSCKFCULABMZTQ-UHFFFAOYSA-N 1 2 294.402 3.530 20 0 CHADLO CC(C)(C)[N@@H+](Cc1nc2ccccn2n1)Cc1ccccc1 ZINC001233067037 1132570233 /nfs/dbraw/zinc/57/02/33/1132570233.db2.gz CSCKFCULABMZTQ-UHFFFAOYSA-N 1 2 294.402 3.530 20 0 CHADLO Fc1ccc2c(c1)[nH]nc2C[N@@H+]1CC[C@H](c2ccccc2)C1 ZINC001233120074 1132571346 /nfs/dbraw/zinc/57/13/46/1132571346.db2.gz UZOOVGDPNYQYKA-AWEZNQCLSA-N 1 2 295.361 3.692 20 0 CHADLO Fc1ccc2c(c1)[nH]nc2C[N@H+]1CC[C@H](c2ccccc2)C1 ZINC001233120074 1132571351 /nfs/dbraw/zinc/57/13/51/1132571351.db2.gz UZOOVGDPNYQYKA-AWEZNQCLSA-N 1 2 295.361 3.692 20 0 CHADLO CCc1ccc(Nc2ccc3c(c2)[nH+]cn3C)cc1 ZINC001173870149 1132572452 /nfs/dbraw/zinc/57/24/52/1132572452.db2.gz DPZCGHDHNAEJNI-UHFFFAOYSA-N 1 2 251.333 3.879 20 0 CHADLO Fc1c(Cl)ccc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c1F ZINC001233211706 1132580171 /nfs/dbraw/zinc/58/01/71/1132580171.db2.gz DMIHIZINEFCDDT-KCJUWKMLSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1c(Cl)ccc(C[N@H+]2CCC[C@@H]3C[C@@H]32)c1F ZINC001233211706 1132580178 /nfs/dbraw/zinc/58/01/78/1132580178.db2.gz DMIHIZINEFCDDT-KCJUWKMLSA-N 1 2 257.711 3.603 20 0 CHADLO CCOC1CC[NH+](Cc2ccc(Cl)c(F)c2F)CC1 ZINC001233210404 1132580243 /nfs/dbraw/zinc/58/02/43/1132580243.db2.gz HIFSEERDLWBEJB-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)c(F)c2F)CCCO1 ZINC001233210952 1132580962 /nfs/dbraw/zinc/58/09/62/1132580962.db2.gz NTEZQAFDJGUDGA-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)c(F)c2F)CCCO1 ZINC001233210952 1132580966 /nfs/dbraw/zinc/58/09/66/1132580966.db2.gz NTEZQAFDJGUDGA-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233211369 1132580977 /nfs/dbraw/zinc/58/09/77/1132580977.db2.gz UBWYESCUIWPJIA-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233211369 1132580979 /nfs/dbraw/zinc/58/09/79/1132580979.db2.gz UBWYESCUIWPJIA-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COC(=O)[C@@H](C)[N@H+](C)Cc1ccc(-c2cccc(C)c2)cc1 ZINC001233226136 1132584436 /nfs/dbraw/zinc/58/44/36/1132584436.db2.gz WSNUDHFSGAAKPC-OAHLLOKOSA-N 1 2 297.398 3.655 20 0 CHADLO COC(=O)[C@@H](C)[N@@H+](C)Cc1ccc(-c2cccc(C)c2)cc1 ZINC001233226136 1132584441 /nfs/dbraw/zinc/58/44/41/1132584441.db2.gz WSNUDHFSGAAKPC-OAHLLOKOSA-N 1 2 297.398 3.655 20 0 CHADLO Cc1cccc(C)c1Nc1ccccc1C[NH+]1CCOCC1 ZINC001173875297 1132590402 /nfs/dbraw/zinc/59/04/02/1132590402.db2.gz UOQWHBAPSPALRQ-UHFFFAOYSA-N 1 2 296.414 3.879 20 0 CHADLO COc1ccccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001173838708 1132592600 /nfs/dbraw/zinc/59/26/00/1132592600.db2.gz WCNIYFWFCHEKFV-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccc(Cl)cc3C2)sn1 ZINC001233310203 1132597544 /nfs/dbraw/zinc/59/75/44/1132597544.db2.gz VZRZIQPJXALTON-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccc(Cl)cc3C2)sn1 ZINC001233310203 1132597548 /nfs/dbraw/zinc/59/75/48/1132597548.db2.gz VZRZIQPJXALTON-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC(=O)c1ccc(Nc2ccc3c(c2)[nH+]cn3C)cc1 ZINC001173897305 1132601229 /nfs/dbraw/zinc/60/12/29/1132601229.db2.gz UQKGVKPFAMWSBD-UHFFFAOYSA-N 1 2 265.316 3.520 20 0 CHADLO CC(=O)c1cccc(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001173898988 1132602126 /nfs/dbraw/zinc/60/21/26/1132602126.db2.gz BDOZYDBLABAKPS-UHFFFAOYSA-N 1 2 265.316 3.589 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001173898061 1132602543 /nfs/dbraw/zinc/60/25/43/1132602543.db2.gz YRSKGHMWRVAZEO-UHFFFAOYSA-N 1 2 277.327 3.819 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCCC(=O)[C@@H](C)C1 ZINC001233350529 1132608288 /nfs/dbraw/zinc/60/82/88/1132608288.db2.gz KFIDPJVPLBMHFH-NSHDSACASA-N 1 2 297.851 3.863 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCCC(=O)[C@@H](C)C1 ZINC001233350529 1132608291 /nfs/dbraw/zinc/60/82/91/1132608291.db2.gz KFIDPJVPLBMHFH-NSHDSACASA-N 1 2 297.851 3.863 20 0 CHADLO Clc1cccc2ncc(C[N@@H+]3CC[C@H]3c3ccccc3)n21 ZINC001233359309 1132609588 /nfs/dbraw/zinc/60/95/88/1132609588.db2.gz QXMMRKZLFHFOTH-HNNXBMFYSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1cccc2ncc(C[N@H+]3CC[C@H]3c3ccccc3)n21 ZINC001233359309 1132609594 /nfs/dbraw/zinc/60/95/94/1132609594.db2.gz QXMMRKZLFHFOTH-HNNXBMFYSA-N 1 2 297.789 3.935 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@H+](Cc1c(Cl)cccc1SC)C2 ZINC001233353363 1132610137 /nfs/dbraw/zinc/61/01/37/1132610137.db2.gz RLLJLCMFOPZFRE-NHYWBVRUSA-N 1 2 297.851 3.815 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@@H+](Cc1c(Cl)cccc1SC)C2 ZINC001233353363 1132610140 /nfs/dbraw/zinc/61/01/40/1132610140.db2.gz RLLJLCMFOPZFRE-NHYWBVRUSA-N 1 2 297.851 3.815 20 0 CHADLO Nc1ccc(C[N@@H+]2CCc3c(F)cccc3C2)c(Cl)c1 ZINC001233376789 1132611200 /nfs/dbraw/zinc/61/12/00/1132611200.db2.gz AEMPUWTWMDWMDQ-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(C[N@H+]2CCc3c(F)cccc3C2)c(Cl)c1 ZINC001233376789 1132611203 /nfs/dbraw/zinc/61/12/03/1132611203.db2.gz AEMPUWTWMDWMDQ-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccccc2)Cc2cccnc2)o1 ZINC001233366680 1132612885 /nfs/dbraw/zinc/61/28/85/1132612885.db2.gz OVSIDRSKBVQVEK-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccccc2)Cc2cccnc2)o1 ZINC001233366680 1132612889 /nfs/dbraw/zinc/61/28/89/1132612889.db2.gz OVSIDRSKBVQVEK-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO COc1ccc(OC)c(Nc2cc[nH+]c(SC)c2)c1 ZINC001173919433 1132613317 /nfs/dbraw/zinc/61/33/17/1132613317.db2.gz WKRZIZNBVODIKD-UHFFFAOYSA-N 1 2 276.361 3.564 20 0 CHADLO COc1cccc(OC)c1Nc1cccc2cc[nH+]cc21 ZINC001173924331 1132616945 /nfs/dbraw/zinc/61/69/45/1132616945.db2.gz PFBYPRJJAKBNIF-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO CC(=O)Nc1c(C)cc[nH+]c1N[C@@H](c1ccccc1)C1CC1 ZINC001154644224 1132619530 /nfs/dbraw/zinc/61/95/30/1132619530.db2.gz DFEAYHMFUHFYPH-KRWDZBQOSA-N 1 2 295.386 3.912 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2cncc(Cl)n2)c1 ZINC001233448700 1132625080 /nfs/dbraw/zinc/62/50/80/1132625080.db2.gz AMTGHFVIVILJKC-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2cncc(Cl)n2)c1 ZINC001233448700 1132625083 /nfs/dbraw/zinc/62/50/83/1132625083.db2.gz AMTGHFVIVILJKC-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Cc1ccnc(CNc2[nH+]ccc3cc(Cl)ccc32)n1 ZINC001154816234 1132626136 /nfs/dbraw/zinc/62/61/36/1132626136.db2.gz AURBBUJHVYVYPL-UHFFFAOYSA-N 1 2 284.750 3.599 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(OC)c(OC)c2)cc1 ZINC001173888420 1132627526 /nfs/dbraw/zinc/62/75/26/1132627526.db2.gz FWZJDMPRDPGUJW-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(OC)c(OC)c2)cc1 ZINC001173888420 1132627529 /nfs/dbraw/zinc/62/75/29/1132627529.db2.gz FWZJDMPRDPGUJW-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO COc1ccc(F)cc1Nc1ccccc1-n1cc[nH+]c1 ZINC001173956723 1132631041 /nfs/dbraw/zinc/63/10/41/1132631041.db2.gz XKSGZKMMRBXYOE-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Cc1cccc2c(C)cc(N[C@@H](CO)CC(C)C)[nH+]c12 ZINC001154957820 1132631839 /nfs/dbraw/zinc/63/18/39/1132631839.db2.gz WJJYNNVDJXXWEZ-CQSZACIVSA-N 1 2 272.392 3.671 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)N1CCC[C@@H]1c1[nH]c(C)c(C)[nH+]1 ZINC001155174193 1132645516 /nfs/dbraw/zinc/64/55/16/1132645516.db2.gz LOVBDMNWIXLSHS-IAQYHMDHSA-N 1 2 291.439 3.762 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)ccc1F ZINC001173951215 1132657988 /nfs/dbraw/zinc/65/79/88/1132657988.db2.gz WJJJPYGNXLMVHD-UHFFFAOYSA-N 1 2 250.248 3.502 20 0 CHADLO Cc1nc(N2Cc3ccccc3C(C)(C)C2)c2c([nH+]1)CCC2 ZINC001155469817 1132659074 /nfs/dbraw/zinc/65/90/74/1132659074.db2.gz XTZAPKLBCKMIFX-UHFFFAOYSA-N 1 2 293.414 3.572 20 0 CHADLO CCOC(CCCNc1cc2ccccc2c[nH+]1)OCC ZINC001155722506 1132665229 /nfs/dbraw/zinc/66/52/29/1132665229.db2.gz VWXIZAPTEBZKLC-UHFFFAOYSA-N 1 2 288.391 3.826 20 0 CHADLO CSc1cc[nH+]c(NCc2cc3ccccc3n2C)c1 ZINC001156016992 1132677457 /nfs/dbraw/zinc/67/74/57/1132677457.db2.gz ZYDXCCVVQZMLEL-UHFFFAOYSA-N 1 2 283.400 3.907 20 0 CHADLO C[C@H](c1ccccc1)c1ccccc1OC1C[NH+](C)C1 ZINC001233787396 1132679274 /nfs/dbraw/zinc/67/92/74/1132679274.db2.gz WRZAZQLOJVQHLX-CQSZACIVSA-N 1 2 267.372 3.531 20 0 CHADLO COc1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1F ZINC001173973197 1132683263 /nfs/dbraw/zinc/68/32/63/1132683263.db2.gz KPTPFBCPXWSUCE-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO CC(=O)Nc1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001173976276 1132685105 /nfs/dbraw/zinc/68/51/05/1132685105.db2.gz ASLMFQWHGIFXJB-UHFFFAOYSA-N 1 2 267.332 3.661 20 0 CHADLO Cc1ccc(Nc2cc(CO)ccc2Cl)c(C)[nH+]1 ZINC001203376612 1132688763 /nfs/dbraw/zinc/68/87/63/1132688763.db2.gz PPECHXLQNIUXEV-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1ccc(Nc2cc(OC(F)(F)F)ccc2N)c(C)[nH+]1 ZINC001203376611 1132689201 /nfs/dbraw/zinc/68/92/01/1132689201.db2.gz PNRITIYSPOZVFB-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO CCN(CC)C(=O)c1ccc(Nc2cccc(C)[nH+]2)cc1 ZINC001174063125 1132689611 /nfs/dbraw/zinc/68/96/11/1132689611.db2.gz MIFXXZKBPGQMSW-UHFFFAOYSA-N 1 2 283.375 3.616 20 0 CHADLO COC(=O)c1cc(C)cc(Nc2ccc(C)[nH+]c2C)c1 ZINC001203376972 1132690096 /nfs/dbraw/zinc/69/00/96/1132690096.db2.gz XYHGHZVIUIQXDU-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1ccc(Nc2cc(Cl)cc(CO)c2)c(C)[nH+]1 ZINC001203376870 1132690195 /nfs/dbraw/zinc/69/01/95/1132690195.db2.gz VTQVFFZBCMRRGB-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO COc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1OC ZINC001174016388 1132691338 /nfs/dbraw/zinc/69/13/38/1132691338.db2.gz QJIXEZHFJXTMAW-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO COc1ccc(Nc2ccc[nH+]c2N2CCCC2)c(C)c1 ZINC001174021618 1132695785 /nfs/dbraw/zinc/69/57/85/1132695785.db2.gz ACMNQRCRTJDASJ-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO COc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(C)c1 ZINC001174022642 1132696914 /nfs/dbraw/zinc/69/69/14/1132696914.db2.gz XRVDDDIIBXIBHT-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO CC1(C)CN(c2cc3ccccc3c[nH+]2)CC(C)(C)O1 ZINC001156454040 1132698077 /nfs/dbraw/zinc/69/80/77/1132698077.db2.gz VNEAMNDJPLKZAB-UHFFFAOYSA-N 1 2 270.376 3.629 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1NC/C=C/c1ccccc1 ZINC001156467430 1132698660 /nfs/dbraw/zinc/69/86/60/1132698660.db2.gz BKRFABMUPMBZOE-JXMROGBWSA-N 1 2 298.386 3.807 20 0 CHADLO C[C@@H]([NH2+]Cc1cncs1)c1nc(C(C)(C)C)cs1 ZINC000136082091 1132707235 /nfs/dbraw/zinc/70/72/35/1132707235.db2.gz MANRUHITORJFBZ-SECBINFHSA-N 1 2 281.450 3.748 20 0 CHADLO COCc1cccc(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001174092847 1132710554 /nfs/dbraw/zinc/71/05/54/1132710554.db2.gz NWJSVUOJAKGEQJ-UHFFFAOYSA-N 1 2 267.332 3.533 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1nccc2ccccc21 ZINC001156868293 1132711360 /nfs/dbraw/zinc/71/13/60/1132711360.db2.gz JVGAFCSYARWNIL-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO CSc1cc[nH+]c(NC2CC(C)(C)N(O)C(C)(C)C2)c1 ZINC001156888355 1132713276 /nfs/dbraw/zinc/71/32/76/1132713276.db2.gz BJEJFKUULOVVOE-UHFFFAOYSA-N 1 2 295.452 3.626 20 0 CHADLO [NH2+]=CNc1nc(-c2cccc(Cl)c2)nc2c1CCCC2 ZINC001157080280 1132721339 /nfs/dbraw/zinc/72/13/39/1132721339.db2.gz QVAUWLJTNUBHRW-UHFFFAOYSA-N 1 2 286.766 3.695 20 0 CHADLO CCc1ccc(C(=O)NCc2c[nH]c(C(C)C)[nH+]2)cc1CC ZINC001157118900 1132722621 /nfs/dbraw/zinc/72/26/21/1132722621.db2.gz HPRKODYPEUXVOB-UHFFFAOYSA-N 1 2 299.418 3.588 20 0 CHADLO CCc1ccc(C(=O)NCc2c[nH+]c(C(C)C)[nH]2)cc1CC ZINC001157118900 1132722626 /nfs/dbraw/zinc/72/26/26/1132722626.db2.gz HPRKODYPEUXVOB-UHFFFAOYSA-N 1 2 299.418 3.588 20 0 CHADLO FC1(CNc2cc(-c3ccccc3)cc[nH+]2)CCOCC1 ZINC001157349200 1132728213 /nfs/dbraw/zinc/72/82/13/1132728213.db2.gz BAGZELATODLOHJ-UHFFFAOYSA-N 1 2 286.350 3.679 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cccc(OC(C)C)c1 ZINC001174114049 1132728601 /nfs/dbraw/zinc/72/86/01/1132728601.db2.gz VMVBDXSSFHOWJO-UHFFFAOYSA-N 1 2 258.321 3.626 20 0 CHADLO Cc1cc(NC2(c3ccccn3)CC2)nc(C(C)(C)C)[nH+]1 ZINC001157374482 1132730188 /nfs/dbraw/zinc/73/01/88/1132730188.db2.gz YATIFLPSDAUTDF-UHFFFAOYSA-N 1 2 282.391 3.579 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(C(=O)NCC(C)C)cc1 ZINC001174077594 1132733070 /nfs/dbraw/zinc/73/30/70/1132733070.db2.gz LXVYDXRRQXQSKS-UHFFFAOYSA-N 1 2 283.375 3.519 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(F)c(Cl)c2F)c1 ZINC001157709424 1132739340 /nfs/dbraw/zinc/73/93/40/1132739340.db2.gz KERZDGHYQNLOQH-UHFFFAOYSA-N 1 2 298.720 3.772 20 0 CHADLO Cc1c(C)n(-c2ccc(C)[nH+]c2C)c2c1cccc2N ZINC001203380147 1132750012 /nfs/dbraw/zinc/75/00/12/1132750012.db2.gz JFJNZNCSJLPLID-UHFFFAOYSA-N 1 2 265.360 3.841 20 0 CHADLO CSc1cc(Nc2cccc(CO)c2Cl)cc[nH+]1 ZINC001212762213 1132753430 /nfs/dbraw/zinc/75/34/30/1132753430.db2.gz GWNDKFZCYZJRBL-UHFFFAOYSA-N 1 2 280.780 3.693 20 0 CHADLO Cc1cc[nH+]c(Nc2cccc(C(=O)N3CCCCC3)c2)c1 ZINC001174173253 1132753954 /nfs/dbraw/zinc/75/39/54/1132753954.db2.gz RTBOQBAKCXLCQF-UHFFFAOYSA-N 1 2 295.386 3.760 20 0 CHADLO C[C@H]1CN(c2cccc(C3CC3)[nH+]2)[C@@H](c2ccccc2)CO1 ZINC001158291815 1132768185 /nfs/dbraw/zinc/76/81/85/1132768185.db2.gz LNBCAZFMAZDGGT-KBXCAEBGSA-N 1 2 294.398 3.925 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1c(Cl)cncc1Cl ZINC001158302111 1132769119 /nfs/dbraw/zinc/76/91/19/1132769119.db2.gz BIKOAQBWFTXJHO-UHFFFAOYSA-N 1 2 286.137 3.843 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(CNC(C)=O)cc2)cc1 ZINC001174235526 1132769127 /nfs/dbraw/zinc/76/91/27/1132769127.db2.gz NXDXCWZATDXVDM-UHFFFAOYSA-N 1 2 297.402 3.522 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(CNC(C)=O)cc2)cc1 ZINC001174235526 1132769131 /nfs/dbraw/zinc/76/91/31/1132769131.db2.gz NXDXCWZATDXVDM-UHFFFAOYSA-N 1 2 297.402 3.522 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1ccc(C(F)(F)F)nc1 ZINC001174309057 1132788218 /nfs/dbraw/zinc/78/82/18/1132788218.db2.gz FLVDSAZKDOMJFQ-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO Cc1ccc(C)c(Nc2ccc(C(F)(F)F)nc2)[nH+]1 ZINC001174311449 1132790669 /nfs/dbraw/zinc/79/06/69/1132790669.db2.gz SBUSJDAAJSYUCB-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2cnn(C)c2c1 ZINC001174340144 1132798373 /nfs/dbraw/zinc/79/83/73/1132798373.db2.gz VQATVWZRLOTOIW-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO COc1ccc(C)[nH+]c1N(C)[C@H]1CCc2ccccc21 ZINC001158922233 1132799043 /nfs/dbraw/zinc/79/90/43/1132799043.db2.gz RAHHOVMDGHWJQG-HNNXBMFYSA-N 1 2 268.360 3.522 20 0 CHADLO Clc1cccnc1NC1=CCC[N@H+](Cc2ccccc2)C1 ZINC001174394195 1132811073 /nfs/dbraw/zinc/81/10/73/1132811073.db2.gz FQUXBEZQKCFLPE-UHFFFAOYSA-N 1 2 299.805 3.937 20 0 CHADLO Clc1cccnc1NC1=CCC[N@@H+](Cc2ccccc2)C1 ZINC001174394195 1132811079 /nfs/dbraw/zinc/81/10/79/1132811079.db2.gz FQUXBEZQKCFLPE-UHFFFAOYSA-N 1 2 299.805 3.937 20 0 CHADLO Clc1cccnc1N=C1CCC[N@H+](Cc2ccccc2)C1 ZINC001174394195 1132811087 /nfs/dbraw/zinc/81/10/87/1132811087.db2.gz FQUXBEZQKCFLPE-UHFFFAOYSA-N 1 2 299.805 3.937 20 0 CHADLO Clc1cccnc1N=C1CCC[N@@H+](Cc2ccccc2)C1 ZINC001174394195 1132811096 /nfs/dbraw/zinc/81/10/96/1132811096.db2.gz FQUXBEZQKCFLPE-UHFFFAOYSA-N 1 2 299.805 3.937 20 0 CHADLO CCOc1cc(Nc2ccnc(C(F)(F)F)c2)cc(C)[nH+]1 ZINC001174362933 1132819745 /nfs/dbraw/zinc/81/97/45/1132819745.db2.gz VGMRMYJYXZVORO-UHFFFAOYSA-N 1 2 297.280 3.946 20 0 CHADLO CNc1ccc(Nc2cc(F)cc(C)c2Cl)c[nH+]1 ZINC001159253697 1132820282 /nfs/dbraw/zinc/82/02/82/1132820282.db2.gz FSRJYWUURZRMFA-UHFFFAOYSA-N 1 2 265.719 3.968 20 0 CHADLO Nc1ccc(Nc2c(F)cc(Cl)cc2Cl)c[nH+]1 ZINC001159282118 1132822484 /nfs/dbraw/zinc/82/24/84/1132822484.db2.gz NUPBMEFJLOHWMX-UHFFFAOYSA-N 1 2 272.110 3.853 20 0 CHADLO Cc1cc(C2CC2)cc(F)c1Nc1ccc(N)[nH+]c1 ZINC001159281983 1132823878 /nfs/dbraw/zinc/82/38/78/1132823878.db2.gz KIDTZADPTQUMFW-UHFFFAOYSA-N 1 2 257.312 3.732 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(CNC(C)=O)c2)cc1 ZINC001174372099 1132825464 /nfs/dbraw/zinc/82/54/64/1132825464.db2.gz WMKLMIQKFGQMBW-UHFFFAOYSA-N 1 2 297.402 3.522 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(CNC(C)=O)c2)cc1 ZINC001174372099 1132825469 /nfs/dbraw/zinc/82/54/69/1132825469.db2.gz WMKLMIQKFGQMBW-UHFFFAOYSA-N 1 2 297.402 3.522 20 0 CHADLO COc1cc(Nc2ccc(-c3cnn(C)c3)cc2)cc(C)[nH+]1 ZINC001174377709 1132827537 /nfs/dbraw/zinc/82/75/37/1132827537.db2.gz DIQRAAXWAFCWLT-UHFFFAOYSA-N 1 2 294.358 3.543 20 0 CHADLO COc1cncc(Nc2ccc3c(c2)[nH+]cn3C(C)C)c1 ZINC001174381738 1132828479 /nfs/dbraw/zinc/82/84/79/1132828479.db2.gz PHHCMXTZUBZCKG-UHFFFAOYSA-N 1 2 282.347 3.764 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3noc4cnccc34)ccc21 ZINC001174381912 1132828825 /nfs/dbraw/zinc/82/88/25/1132828825.db2.gz SKNMNUZXVMMAJF-UHFFFAOYSA-N 1 2 293.330 3.897 20 0 CHADLO Cc1c(F)cc[nH+]c1NC1CC(C)(C)OC(C)(C)C1 ZINC001159319547 1132831124 /nfs/dbraw/zinc/83/11/24/1132831124.db2.gz VELAHQDITZECDF-UHFFFAOYSA-N 1 2 266.360 3.677 20 0 CHADLO Nc1ccc2cc(Nc3ccn4cc[nH+]c4c3)ccc2c1 ZINC001159400659 1132838342 /nfs/dbraw/zinc/83/83/42/1132838342.db2.gz VNMSAKRXVZKOMD-UHFFFAOYSA-N 1 2 274.327 3.813 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(C(C)(C)O)c3)ccc21 ZINC001174407016 1132845773 /nfs/dbraw/zinc/84/57/73/1132845773.db2.gz HTEVYIJHEUMJMH-UHFFFAOYSA-N 1 2 281.359 3.544 20 0 CHADLO O=c1cc(Nc2cc[nH+]c3[nH]ccc32)c2ccccc2[nH]1 ZINC001174409065 1132848426 /nfs/dbraw/zinc/84/84/26/1132848426.db2.gz XRGQQVXZUXUGBB-UHFFFAOYSA-N 1 2 276.299 3.512 20 0 CHADLO Cc1cc(=O)oc2cc(Nc3cc[nH+]c4[nH]ccc43)ccc12 ZINC001174410985 1132849301 /nfs/dbraw/zinc/84/93/01/1132849301.db2.gz CAICDWPGWJBBKV-UHFFFAOYSA-N 1 2 291.310 3.673 20 0 CHADLO CNc1ccc(Nc2cccc(Br)c2C)c[nH+]1 ZINC001159478568 1132852977 /nfs/dbraw/zinc/85/29/77/1132852977.db2.gz VOZSZWMBIZNCSD-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO COc1ccc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2n1 ZINC001174413314 1132853100 /nfs/dbraw/zinc/85/31/00/1132853100.db2.gz VMGSXDRXJWXCGX-UHFFFAOYSA-N 1 2 290.326 3.815 20 0 CHADLO Cc1c(F)cc(Nc2cc[nH+]c3[nH]ccc32)cc1F ZINC001174414640 1132854388 /nfs/dbraw/zinc/85/43/88/1132854388.db2.gz ZHHPVOCWCVVICV-UHFFFAOYSA-N 1 2 259.259 3.845 20 0 CHADLO Cn1ccc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc21 ZINC001174415952 1132855778 /nfs/dbraw/zinc/85/57/78/1132855778.db2.gz XULSOXCZGGWLHS-UHFFFAOYSA-N 1 2 262.316 3.750 20 0 CHADLO CNc1ccc(Nc2cc(C)cc(Br)c2)c[nH+]1 ZINC001159528416 1132859266 /nfs/dbraw/zinc/85/92/66/1132859266.db2.gz OWIKPNIJEBUKTM-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO Cc1cc2cc(N)cnc2n1-c1ccc([NH+]2CCCC2)cc1 ZINC001174525557 1132886655 /nfs/dbraw/zinc/88/66/55/1132886655.db2.gz XPHISXIYYDDQGX-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO Nc1cc2c(ccn2-c2ccc([NH+]3CCCC3)cc2)c(F)c1 ZINC001174527299 1132888699 /nfs/dbraw/zinc/88/86/99/1132888699.db2.gz AGEAMBRFRKVMNC-UHFFFAOYSA-N 1 2 295.361 3.952 20 0 CHADLO CC(C)(C)c1ccc(NCOc2ccccc2)[nH+]c1 ZINC001159706053 1132895003 /nfs/dbraw/zinc/89/50/03/1132895003.db2.gz LQQIWISSULIMJU-UHFFFAOYSA-N 1 2 256.349 3.828 20 0 CHADLO C[C@@H]1COCC[C@@H]1Nc1cc(-c2ccccc2)cc[nH+]1 ZINC001159711402 1132897856 /nfs/dbraw/zinc/89/78/56/1132897856.db2.gz QAXPBEXAHFIHIE-CJNGLKHVSA-N 1 2 268.360 3.586 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(-c3cc[nH]n3)c2)[nH+]1 ZINC001174506078 1132904474 /nfs/dbraw/zinc/90/44/74/1132904474.db2.gz BRRDAIOHIKGEEC-UHFFFAOYSA-N 1 2 289.342 3.776 20 0 CHADLO CCNC(=O)Nc1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001174517919 1132911000 /nfs/dbraw/zinc/91/10/00/1132911000.db2.gz FUHQFBOFJCEKFS-UHFFFAOYSA-N 1 2 296.374 3.844 20 0 CHADLO Nc1ccc(F)c(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174523791 1132913237 /nfs/dbraw/zinc/91/32/37/1132913237.db2.gz RJHRQFFCIBQWKK-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO Cc1cc(C[C@@H](C)Nc2ccc([NH+]3CCCC3)cc2)on1 ZINC001174524658 1132913309 /nfs/dbraw/zinc/91/33/09/1132913309.db2.gz NKEVJZDPNVUGJG-CYBMUJFWSA-N 1 2 285.391 3.626 20 0 CHADLO Oc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)c(F)c1 ZINC001174524060 1132913355 /nfs/dbraw/zinc/91/33/55/1132913355.db2.gz BOLVWFDMEKOJSH-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Fc1cc(F)cc([C@@H]2COCC[N@@H+]2CC2CCCCC2)c1 ZINC001174582514 1132916429 /nfs/dbraw/zinc/91/64/29/1132916429.db2.gz JITGZONLLGKCIM-KRWDZBQOSA-N 1 2 295.373 3.918 20 0 CHADLO Fc1cc(F)cc([C@@H]2COCC[N@H+]2CC2CCCCC2)c1 ZINC001174582514 1132916432 /nfs/dbraw/zinc/91/64/32/1132916432.db2.gz JITGZONLLGKCIM-KRWDZBQOSA-N 1 2 295.373 3.918 20 0 CHADLO COc1cc(Nc2ccc3c(C)cc[nH+]c3c2)cnc1OC ZINC001174547618 1132922143 /nfs/dbraw/zinc/92/21/43/1132922143.db2.gz NTINWFXOECAGCP-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO Cc1cc(Nc2ccc3sc(N)nc3c2)ccc1[NH+](C)C ZINC001160004840 1132933409 /nfs/dbraw/zinc/93/34/09/1132933409.db2.gz COTCCRHWHNWIIH-UHFFFAOYSA-N 1 2 298.415 3.997 20 0 CHADLO Cc1nc(N[C@H]2COCc3ccccc32)cc(C(C)C)[nH+]1 ZINC001160115423 1132940971 /nfs/dbraw/zinc/94/09/71/1132940971.db2.gz JDXQBCCUCQTVDR-INIZCTEOSA-N 1 2 283.375 3.592 20 0 CHADLO CCSc1cc[nH+]c(NCc2cc(F)cc(OC)c2)c1 ZINC001160219161 1132947786 /nfs/dbraw/zinc/94/77/86/1132947786.db2.gz YPEGKXUIOGCBLK-UHFFFAOYSA-N 1 2 292.379 3.953 20 0 CHADLO c1cn(-c2ccccc2Nc2ccc3c(c2)CCCO3)c[nH+]1 ZINC001174720547 1132964834 /nfs/dbraw/zinc/96/48/34/1132964834.db2.gz FZGPHHLKFHGCKA-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N)c(Br)c2)c[nH+]1 ZINC001160377703 1132966972 /nfs/dbraw/zinc/96/69/72/1132966972.db2.gz VGYZUPIPHDEPOH-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3cccc(Cl)n3)c[nH+]c12 ZINC001160683614 1132978196 /nfs/dbraw/zinc/97/81/96/1132978196.db2.gz PRZYVNZEQHVYCS-NSHDSACASA-N 1 2 286.766 3.864 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3ccc(C(C)C)nn3)c[nH+]c12 ZINC001160684323 1132978543 /nfs/dbraw/zinc/97/85/43/1132978543.db2.gz ZRSPPVZZACXLBP-ZDUSSCGKSA-N 1 2 295.390 3.729 20 0 CHADLO CSc1nc(NCCc2c[nH]c3ccccc23)cc(C)[nH+]1 ZINC001160776643 1132981626 /nfs/dbraw/zinc/98/16/26/1132981626.db2.gz WXADNIAIDBIYHY-UHFFFAOYSA-N 1 2 298.415 3.643 20 0 CHADLO FC(F)(F)c1ccc(Nc2cccc3[nH+]c[nH]c32)cn1 ZINC001213027223 1132985973 /nfs/dbraw/zinc/98/59/73/1132985973.db2.gz PJAVBVJETTUZOI-UHFFFAOYSA-N 1 2 278.237 3.720 20 0 CHADLO CC(C)C[C@H](C(=O)N[C@]1(C)CCCC[C@H]1C)n1cc[nH+]c1 ZINC001160888084 1132986617 /nfs/dbraw/zinc/98/66/17/1132986617.db2.gz KSAOLYGBQZESGT-BFYDXBDKSA-N 1 2 291.439 3.555 20 0 CHADLO Cc1cc(Cl)c(C)c(NCCCc2cccc(N)c2)[nH+]1 ZINC001161009472 1132988579 /nfs/dbraw/zinc/98/85/79/1132988579.db2.gz ODCZNVWTVWTMJU-UHFFFAOYSA-N 1 2 289.810 3.979 20 0 CHADLO Nc1cccc(CCCNc2cc3ccccc3c[nH+]2)c1 ZINC001161007319 1132989203 /nfs/dbraw/zinc/98/92/03/1132989203.db2.gz STFQPEWOLREUMA-UHFFFAOYSA-N 1 2 277.371 3.862 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1cccc(CCO)c1 ZINC001161016575 1132990159 /nfs/dbraw/zinc/99/01/59/1132990159.db2.gz YVCADQWTNHRJSL-UHFFFAOYSA-N 1 2 292.382 3.690 20 0 CHADLO c1[nH]c2c(cccc2Nc2ccc(N3CCCC3)cc2)[nH+]1 ZINC001213030616 1132994324 /nfs/dbraw/zinc/99/43/24/1132994324.db2.gz JCHBNBFBXGSNQO-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO CC(=O)c1ccc(Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213030604 1132994767 /nfs/dbraw/zinc/99/47/67/1132994767.db2.gz IMASEAIIPSAJJU-UHFFFAOYSA-N 1 2 251.289 3.509 20 0 CHADLO c1[nH]c2c(cccc2Nc2ccc(-c3ccccn3)nc2)[nH+]1 ZINC001213030852 1132995089 /nfs/dbraw/zinc/99/50/89/1132995089.db2.gz UQZGCGKKVSFRJI-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO c1nc2cccc(Nc3cccc4[nH+]c[nH]c43)c2s1 ZINC001213031587 1132996570 /nfs/dbraw/zinc/99/65/70/1132996570.db2.gz HVDRLTUYWSWGFM-UHFFFAOYSA-N 1 2 266.329 3.916 20 0 CHADLO O=C1CCCc2ccc(Nc3cccc4[nH+]c[nH]c43)cc21 ZINC001213031905 1132998446 /nfs/dbraw/zinc/99/84/46/1132998446.db2.gz RCAKRUXCXHXDKA-UHFFFAOYSA-N 1 2 277.327 3.826 20 0 CHADLO Fc1cc(F)c(F)c(Nc2cccc3[nH+]c[nH]c32)c1F ZINC001213032201 1132999489 /nfs/dbraw/zinc/99/94/89/1132999489.db2.gz XRJHBULITHRMOU-UHFFFAOYSA-N 1 2 281.212 3.863 20 0 CHADLO O=c1[nH]ccc2c(Nc3cccc4[nH+]c[nH]c43)cccc12 ZINC001213032643 1132999735 /nfs/dbraw/zinc/99/97/35/1132999735.db2.gz QJDQXUIPRUYBDS-UHFFFAOYSA-N 1 2 276.299 3.560 20 0 CHADLO Oc1ccc(Cl)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032395 1133000675 /nfs/dbraw/zinc/00/06/75/1133000675.db2.gz CWSADDNQQHXDDG-UHFFFAOYSA-N 1 2 259.696 3.666 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2cccc3[nH+]c[nH]c32)c1C ZINC001213032716 1133002167 /nfs/dbraw/zinc/00/21/67/1133002167.db2.gz UNTQNLAORAPBOB-UHFFFAOYSA-N 1 2 295.342 3.826 20 0 CHADLO Cc1nn(C)c2cc(Nc3cccc4[nH+]c[nH]c43)ccc12 ZINC001213032792 1133002326 /nfs/dbraw/zinc/00/23/26/1133002326.db2.gz XYZGHCJIVMSRAU-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Cc1nc(N[C@H](C)c2ccc3c(c2)OCO3)cc(C(C)C)[nH+]1 ZINC001161514827 1133004253 /nfs/dbraw/zinc/00/42/53/1133004253.db2.gz IMEJOXGKMYXPFP-LLVKDONJSA-N 1 2 299.374 3.810 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cc(-c2ccccc2)ncn1 ZINC001161569832 1133006082 /nfs/dbraw/zinc/00/60/82/1133006082.db2.gz PXWQMBVFOIMZDH-UHFFFAOYSA-N 1 2 293.374 3.533 20 0 CHADLO COc1cccc2c(NCc3c[nH+]cn3C(C)C)nccc12 ZINC001161569836 1133006263 /nfs/dbraw/zinc/00/62/63/1133006263.db2.gz QFOYPXVSQMUWGN-UHFFFAOYSA-N 1 2 296.374 3.633 20 0 CHADLO COc1ccc[nH+]c1NCC1(Cc2ccccc2C)CC1 ZINC001161608198 1133008018 /nfs/dbraw/zinc/00/80/18/1133008018.db2.gz ADMBWHTXCUTVTJ-UHFFFAOYSA-N 1 2 282.387 3.833 20 0 CHADLO COC(=O)c1cc(C)c(Nc2cccc3[nH+]c[nH]c32)cc1C ZINC001213033797 1133008180 /nfs/dbraw/zinc/00/81/80/1133008180.db2.gz XVJRJVDFPOYZMJ-UHFFFAOYSA-N 1 2 295.342 3.710 20 0 CHADLO CO[C@@H]1CCOc2c(Nc3cccc4[nH+]c[nH]c43)cccc21 ZINC001213033780 1133010424 /nfs/dbraw/zinc/01/04/24/1133010424.db2.gz XAJMYOCNAJWWLW-OAHLLOKOSA-N 1 2 295.342 3.777 20 0 CHADLO COC(=O)c1cc(F)c(C)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213034516 1133014898 /nfs/dbraw/zinc/01/48/98/1133014898.db2.gz OIQGDSGBCJCZHD-UHFFFAOYSA-N 1 2 299.305 3.541 20 0 CHADLO O=C(c1ccc(Nc2cccc3[nH+]c[nH]c32)cc1)C1CC1 ZINC001213034623 1133016985 /nfs/dbraw/zinc/01/69/85/1133016985.db2.gz UHQSNXDZYXLJFU-UHFFFAOYSA-N 1 2 277.327 3.899 20 0 CHADLO Cc1ccc(CNc2cc3cc(F)ccc3c[nH+]2)o1 ZINC001161765518 1133027204 /nfs/dbraw/zinc/02/72/04/1133027204.db2.gz RIPQDXIZEWSBDQ-UHFFFAOYSA-N 1 2 256.280 3.887 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H](c1cncc(F)c1)C1CC1 ZINC001161814543 1133030983 /nfs/dbraw/zinc/03/09/83/1133030983.db2.gz IRVOTEVQLKKFRP-CQSZACIVSA-N 1 2 275.302 3.626 20 0 CHADLO CCc1c[nH]c2nc[nH+]c(Nc3cccc(C)c3)c12 ZINC001161974806 1133046038 /nfs/dbraw/zinc/04/60/38/1133046038.db2.gz IKMMAPICUXRITM-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1nc2cc(-n3c(C)[nH+]c4ccc(N)cc43)ccc2s1 ZINC001213037296 1133047201 /nfs/dbraw/zinc/04/72/01/1133047201.db2.gz MYPZGAVWSLVPCB-UHFFFAOYSA-N 1 2 294.383 3.834 20 0 CHADLO c1[nH+]cn2c1c(NCC1CCCCC1)nc1ccccc12 ZINC001161994115 1133048042 /nfs/dbraw/zinc/04/80/42/1133048042.db2.gz OYKYGWRYQZFKKW-UHFFFAOYSA-N 1 2 280.375 3.875 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N[C@@H](CO)CC(C)C)c2)cc1 ZINC001162365039 1133072477 /nfs/dbraw/zinc/07/24/77/1133072477.db2.gz COONMFGDWVZHOL-QGZVFWFLSA-N 1 2 284.403 3.876 20 0 CHADLO COC(=O)[C@H](C)c1ccc(NC[C@@H]2CCCC[C@@H]2C)[nH+]c1 ZINC001162525162 1133087521 /nfs/dbraw/zinc/08/75/21/1133087521.db2.gz KGEIXNWMVSSRGI-MJBXVCDLSA-N 1 2 290.407 3.596 20 0 CHADLO COCc1cc[nH+]c(NC[C@@H]2CCCc3ccccc32)c1 ZINC001162550962 1133090399 /nfs/dbraw/zinc/09/03/99/1133090399.db2.gz AVALNGRSJBKYSY-INIZCTEOSA-N 1 2 282.387 3.760 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H](C)c2cccc(N(C)C)c2)[nH+]1 ZINC001162630447 1133095984 /nfs/dbraw/zinc/09/59/84/1133095984.db2.gz KRRKCYTXJJOULJ-NSHDSACASA-N 1 2 295.390 3.505 20 0 CHADLO Clc1ccnc(OCCNc2cc3ccccc3c[nH+]2)c1 ZINC001162622110 1133096030 /nfs/dbraw/zinc/09/60/30/1133096030.db2.gz FJWBFMGIHUJLFX-UHFFFAOYSA-N 1 2 299.761 3.774 20 0 CHADLO Cc1cccc2c(C)cc(NCc3cnc(Cl)cn3)[nH+]c12 ZINC001162650018 1133100228 /nfs/dbraw/zinc/10/02/28/1133100228.db2.gz CZEZTMBPRKZOLS-UHFFFAOYSA-N 1 2 298.777 3.907 20 0 CHADLO FC1(F)CC[C@H](CNc2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC001162651245 1133100280 /nfs/dbraw/zinc/10/02/80/1133100280.db2.gz XCOXEGCUYCNAOZ-AWEZNQCLSA-N 1 2 291.345 3.779 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1ncnc2cccc(Cl)c21 ZINC001162657921 1133101958 /nfs/dbraw/zinc/10/19/58/1133101958.db2.gz VSSNEINYNOBCGI-UHFFFAOYSA-N 1 2 262.744 3.718 20 0 CHADLO Cc1cc(N(C)[C@H](C)C2(C)CC2)nc(C2CCC2)[nH+]1 ZINC001162705745 1133104758 /nfs/dbraw/zinc/10/47/58/1133104758.db2.gz FZJBCPAVIDWWQY-GFCCVEGCSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc2cc(NCc3cn(C)c4ccccc34)[nH+]cc2[nH]1 ZINC001162828971 1133115418 /nfs/dbraw/zinc/11/54/18/1133115418.db2.gz SHUNYSKZOMDOAY-UHFFFAOYSA-N 1 2 290.370 3.975 20 0 CHADLO Cn1cc(CNc2cc3cc[nH]c3c[nH+]2)c2ccccc21 ZINC001162827386 1133115645 /nfs/dbraw/zinc/11/56/45/1133115645.db2.gz KAZBVRCNEBPCBP-UHFFFAOYSA-N 1 2 276.343 3.667 20 0 CHADLO Cc1nc(N2CC[C@@H](C)C(C)(C)C2)c2c([nH+]1)CCCC2 ZINC001163019810 1133126356 /nfs/dbraw/zinc/12/63/56/1133126356.db2.gz UPWKKQHJCFPHAR-GFCCVEGCSA-N 1 2 273.424 3.536 20 0 CHADLO O=C(CCCNc1cc2ccccc2c[nH+]1)c1cccnc1 ZINC001163175372 1133134488 /nfs/dbraw/zinc/13/44/88/1133134488.db2.gz GGSFFISACWRDAX-UHFFFAOYSA-N 1 2 291.354 3.705 20 0 CHADLO Cc1nc(NC2(c3ccc(N)cc3)CC2)cc(C(C)C)[nH+]1 ZINC001163198427 1133136028 /nfs/dbraw/zinc/13/60/28/1133136028.db2.gz QYDRPVUEJJKOSX-UHFFFAOYSA-N 1 2 282.391 3.592 20 0 CHADLO Cc1nc(N(C)[C@H]2CCC[C@H]2c2ccccc2)cc[nH+]1 ZINC001163473891 1133151905 /nfs/dbraw/zinc/15/19/05/1133151905.db2.gz WENSKSXJPQYEPR-HOTGVXAUSA-N 1 2 267.376 3.558 20 0 CHADLO Cc1cn(-c2ccc(C)[nH+]c2C)c2cc(N)ccc12 ZINC001203381225 1133156454 /nfs/dbraw/zinc/15/64/54/1133156454.db2.gz RIFNBKZIYJHMFJ-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO CCN(Cc1ccncc1)c1ccc(C(C)(C)C)c[nH+]1 ZINC001163612276 1133162839 /nfs/dbraw/zinc/16/28/39/1133162839.db2.gz DQQOAMKOOHGUBS-UHFFFAOYSA-N 1 2 269.392 3.801 20 0 CHADLO Cc1cc(N(C)C2CC(F)(F)C2)[nH+]c2ccccc12 ZINC001163766431 1133172712 /nfs/dbraw/zinc/17/27/12/1133172712.db2.gz NWXUGIVFSLSDFV-UHFFFAOYSA-N 1 2 262.303 3.777 20 0 CHADLO COc1ccc2[nH+]c(N(C)C3CC(F)(F)C3)cc(C)c2c1 ZINC001163768352 1133173681 /nfs/dbraw/zinc/17/36/81/1133173681.db2.gz OBABZCYIAPQKBW-UHFFFAOYSA-N 1 2 292.329 3.786 20 0 CHADLO O=C1CCN(c2[nH+]ccc3ccccc32)[C@H]2CCCC[C@H]12 ZINC001163792350 1133175854 /nfs/dbraw/zinc/17/58/54/1133175854.db2.gz VERLTXRYHDJONZ-HOTGVXAUSA-N 1 2 280.371 3.573 20 0 CHADLO Cc1c(F)cc[nH+]c1N1CCc2ccccc2[C@@H]1C ZINC001163956096 1133187724 /nfs/dbraw/zinc/18/77/24/1133187724.db2.gz JLWCEAKHTFTWOE-LBPRGKRZSA-N 1 2 256.324 3.653 20 0 CHADLO Cc1cc(NCCOc2ccccc2F)[nH+]c2cc[nH]c21 ZINC001163958749 1133188360 /nfs/dbraw/zinc/18/83/60/1133188360.db2.gz KJSNKQLSMKTISG-UHFFFAOYSA-N 1 2 285.322 3.501 20 0 CHADLO Cc1nc(N[C@@H]2C[C@@H]3CCCC[C@H]23)c2c([nH+]1)CCCC2 ZINC001164022918 1133193048 /nfs/dbraw/zinc/19/30/48/1133193048.db2.gz WKUXZFJNUOLKOV-HEHGZKQESA-N 1 2 271.408 3.654 20 0 CHADLO FC[C@@H]1CCN(c2[nH+]ccc3ccccc32)CC1(F)F ZINC001164092166 1133197031 /nfs/dbraw/zinc/19/70/31/1133197031.db2.gz QGQCDBSICNLCLV-LBPRGKRZSA-N 1 2 280.293 3.666 20 0 CHADLO CSc1cc[nH+]c(N2CC[C@@H](C)C(F)(F)CC2)c1 ZINC001164118733 1133198920 /nfs/dbraw/zinc/19/89/20/1133198920.db2.gz XZKTWWWABMKEQS-SNVBAGLBSA-N 1 2 272.364 3.675 20 0 CHADLO CNc1ccc(CNc2cc3ccc(OC)cc3c[nH+]2)cc1 ZINC001164151338 1133201213 /nfs/dbraw/zinc/20/12/13/1133201213.db2.gz PZTKOKCUKTVMSM-UHFFFAOYSA-N 1 2 293.370 3.897 20 0 CHADLO COc1cc[nH+]cc1NCCCOc1ccc(Cl)cc1 ZINC001164235216 1133209991 /nfs/dbraw/zinc/20/99/91/1133209991.db2.gz WLNFGDJGJDOXMN-UHFFFAOYSA-N 1 2 292.766 3.625 20 0 CHADLO CC(C)(C)c1ccc(N2CC[C@@](C)(F)[C@H](F)C2)[nH+]c1 ZINC001164340839 1133214990 /nfs/dbraw/zinc/21/49/90/1133214990.db2.gz SQMOWIONGUHVDW-IUODEOHRSA-N 1 2 268.351 3.656 20 0 CHADLO CCNc1ccc(Nc2nc3cccc(F)c3o2)c[nH+]1 ZINC001164393785 1133217233 /nfs/dbraw/zinc/21/72/33/1133217233.db2.gz KOQNCATVILVJTQ-UHFFFAOYSA-N 1 2 272.283 3.537 20 0 CHADLO Cc1ccc2cc(NC[C@@H]3CCCCO3)[nH+]cc2c1 ZINC001164674145 1133226886 /nfs/dbraw/zinc/22/68/86/1133226886.db2.gz SSISBNXFFFTVAH-HNNXBMFYSA-N 1 2 256.349 3.524 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NC[C@@H]2CCCCO2)c1 ZINC001165253079 1133233158 /nfs/dbraw/zinc/23/31/58/1133233158.db2.gz MMHKNNRAADFHBN-INIZCTEOSA-N 1 2 276.424 3.822 20 0 CHADLO FC1CC2(C1)CCN(c1[nH+]ccc3ccccc31)CC2 ZINC001165308915 1133239151 /nfs/dbraw/zinc/23/91/51/1133239151.db2.gz RHGLIPMQXGJGPT-UHFFFAOYSA-N 1 2 270.351 3.953 20 0 CHADLO COc1ccc2cc(NCCCc3ccncc3)[nH+]cc2c1 ZINC001165500102 1133243031 /nfs/dbraw/zinc/24/30/31/1133243031.db2.gz RNNJYBRIIQQZAP-UHFFFAOYSA-N 1 2 293.370 3.683 20 0 CHADLO Cc1nc(N(C)CCCc2ccccc2)cc(C(C)C)[nH+]1 ZINC001166555198 1133270519 /nfs/dbraw/zinc/27/05/19/1133270519.db2.gz NNSPHTFFAZLECG-UHFFFAOYSA-N 1 2 283.419 3.977 20 0 CHADLO COc1cc(C)c[nH+]c1N1CCCC[C@H]1c1cccnc1 ZINC001166575503 1133272287 /nfs/dbraw/zinc/27/22/87/1133272287.db2.gz JACUXUGVYNSICR-HNNXBMFYSA-N 1 2 283.375 3.525 20 0 CHADLO c1ccc(COC2CN(c3[nH+]ccc4ccccc43)C2)cc1 ZINC001166629665 1133279685 /nfs/dbraw/zinc/27/96/85/1133279685.db2.gz GGERQBKEWBNISQ-UHFFFAOYSA-N 1 2 290.366 3.640 20 0 CHADLO COC(=O)c1cccc2c1CN(c1ccc(C(C)C)c[nH+]1)C2 ZINC001166757107 1133284587 /nfs/dbraw/zinc/28/45/87/1133284587.db2.gz CFEPFMBEIMPRKT-UHFFFAOYSA-N 1 2 296.370 3.512 20 0 CHADLO CCc1cn(Cc2ccc(C)c(Br)c2)c[nH+]1 ZINC001166821968 1133290350 /nfs/dbraw/zinc/29/03/50/1133290350.db2.gz GMKYTNDUQKXQSI-UHFFFAOYSA-N 1 2 279.181 3.565 20 0 CHADLO CSc1cc[nH+]c(N(C)CCc2cccc(C)c2)c1 ZINC001166825424 1133291241 /nfs/dbraw/zinc/29/12/41/1133291241.db2.gz UZQFGJMCPRFYBZ-UHFFFAOYSA-N 1 2 272.417 3.791 20 0 CHADLO Cc1ccc(Nc2ccc3c(C)cc(=O)oc3c2)c(C)[nH+]1 ZINC001203372704 1133319650 /nfs/dbraw/zinc/31/96/50/1133319650.db2.gz FCGPHOWPAIEPCQ-UHFFFAOYSA-N 1 2 280.327 3.857 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)NC(=O)CCC3)c(C)[nH+]1 ZINC001203374899 1133320543 /nfs/dbraw/zinc/32/05/43/1133320543.db2.gz NAPKYCYSUQXQEW-UHFFFAOYSA-N 1 2 281.359 3.717 20 0 CHADLO Clc1ccc(C[N@@H+]2CC[C@@H]3CCO[C@H]3C2)c(Cl)c1 ZINC001203389165 1133321066 /nfs/dbraw/zinc/32/10/66/1133321066.db2.gz XSTBSTBGSBJSMI-YGRLFVJLSA-N 1 2 286.202 3.604 20 0 CHADLO Clc1ccc(C[N@H+]2CC[C@@H]3CCO[C@H]3C2)c(Cl)c1 ZINC001203389165 1133321073 /nfs/dbraw/zinc/32/10/73/1133321073.db2.gz XSTBSTBGSBJSMI-YGRLFVJLSA-N 1 2 286.202 3.604 20 0 CHADLO Cc1ccc(Nc2cccc3c2C(=O)CCC3)c(C)[nH+]1 ZINC001203381306 1133321639 /nfs/dbraw/zinc/32/16/39/1133321639.db2.gz SXGPXQJNNKFNDV-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO Cn1cc(Nc2ccc(N3CCCC3)[nH+]c2)c2ccccc21 ZINC001203421443 1133326231 /nfs/dbraw/zinc/32/62/31/1133326231.db2.gz VQWQSBXMSQDTNK-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO CNc1ccc(Nc2cccc(OC)c2Cl)c[nH+]1 ZINC001203456388 1133329454 /nfs/dbraw/zinc/32/94/54/1133329454.db2.gz DYTHJMCJZCRQDR-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO CNc1ccc(Nc2cccc3c2CCCCC3=O)c[nH+]1 ZINC001203459735 1133330545 /nfs/dbraw/zinc/33/05/45/1133330545.db2.gz QCVSKSHPAOHOKV-UHFFFAOYSA-N 1 2 281.359 3.776 20 0 CHADLO CNc1ccc(Nc2ccc(F)c(C3CC3)c2)c[nH+]1 ZINC001203464789 1133330626 /nfs/dbraw/zinc/33/06/26/1133330626.db2.gz FUBMNWNINPJDSO-UHFFFAOYSA-N 1 2 257.312 3.883 20 0 CHADLO CNc1ccc(Nc2cc(OC)cc(OC)c2Cl)c[nH+]1 ZINC001203460493 1133331631 /nfs/dbraw/zinc/33/16/31/1133331631.db2.gz WGVJKNNONDNLSG-UHFFFAOYSA-N 1 2 293.754 3.538 20 0 CHADLO COc1ccc(CNc2[nH]c3cccc(OC)c3[nH+]2)c(C)c1 ZINC001203548627 1133338962 /nfs/dbraw/zinc/33/89/62/1133338962.db2.gz RBIDLVQNVLCFHE-UHFFFAOYSA-N 1 2 297.358 3.501 20 0 CHADLO Cc1c[nH+]c(Nc2cncc(Oc3ccccc3)c2)c(N)c1 ZINC001203556132 1133339282 /nfs/dbraw/zinc/33/92/82/1133339282.db2.gz PBGPPWYDTAQQLA-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(F)nc2Br)c1 ZINC001203655809 1133349367 /nfs/dbraw/zinc/34/93/67/1133349367.db2.gz BJIBTTJDDIJHNR-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(Cn3cccn3)c2)c1 ZINC001203662974 1133350098 /nfs/dbraw/zinc/35/00/98/1133350098.db2.gz AYBYCIBQTJMMCB-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO CC(=O)c1ccc(C)c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203664121 1133351042 /nfs/dbraw/zinc/35/10/42/1133351042.db2.gz ZGXQYFLOAPGNIJ-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO COC(=O)c1c(F)ccc(F)c1Nc1cc(C)c[nH+]c1C ZINC001203663340 1133351176 /nfs/dbraw/zinc/35/11/76/1133351176.db2.gz ICSZYYKXSHAIGU-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)NC(=O)CCC3)c1 ZINC001203663468 1133351779 /nfs/dbraw/zinc/35/17/79/1133351779.db2.gz LABGHQDYXSTQET-UHFFFAOYSA-N 1 2 281.359 3.717 20 0 CHADLO CC(=O)c1cc(Nc2cc(C)c[nH+]c2C)ccc1F ZINC001203663470 1133351996 /nfs/dbraw/zinc/35/19/96/1133351996.db2.gz LDHZBFIFLSYQEJ-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(F)c(F)c(F)c2)c1 ZINC001203663907 1133352155 /nfs/dbraw/zinc/35/21/55/1133352155.db2.gz YOKBYTKQQLLDHU-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO COc1ccc(Nc2cc(C)c[nH+]c2C)c2ccncc12 ZINC001203667188 1133352217 /nfs/dbraw/zinc/35/22/17/1133352217.db2.gz LHDRXRUXQBPMRY-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CC(C)[C@H]1COCC[N@@H+]1Cc1ccccc1C(F)(F)F ZINC001203693086 1133354846 /nfs/dbraw/zinc/35/48/46/1133354846.db2.gz KGMOMICGEXXRRE-CQSZACIVSA-N 1 2 287.325 3.562 20 0 CHADLO CC(C)[C@H]1COCC[N@H+]1Cc1ccccc1C(F)(F)F ZINC001203693086 1133354852 /nfs/dbraw/zinc/35/48/52/1133354852.db2.gz KGMOMICGEXXRRE-CQSZACIVSA-N 1 2 287.325 3.562 20 0 CHADLO C[C@@H](O)c1ccnc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001203718911 1133358186 /nfs/dbraw/zinc/35/81/86/1133358186.db2.gz MUYZVOUHLQFIHL-CQSZACIVSA-N 1 2 297.402 3.869 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cccc(Br)c2)C1 ZINC001203767395 1133366864 /nfs/dbraw/zinc/36/68/64/1133366864.db2.gz SLLGRBMLRXUPDM-ZDUSSCGKSA-N 1 2 286.188 3.773 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cccc(Br)c2)C1 ZINC001203767395 1133366867 /nfs/dbraw/zinc/36/68/67/1133366867.db2.gz SLLGRBMLRXUPDM-ZDUSSCGKSA-N 1 2 286.188 3.773 20 0 CHADLO O=C1CC[N@@H+](Cc2cccc(Cl)c2)[C@H]2CCCC[C@@H]12 ZINC001203795394 1133369644 /nfs/dbraw/zinc/36/96/44/1133369644.db2.gz DWWIKLHAEAHOJQ-CABCVRRESA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2cccc(Cl)c2)[C@H]2CCCC[C@@H]12 ZINC001203795394 1133369647 /nfs/dbraw/zinc/36/96/47/1133369647.db2.gz DWWIKLHAEAHOJQ-CABCVRRESA-N 1 2 277.795 3.674 20 0 CHADLO FC(F)(F)c1cccc(C[N@@H+]2CCc3ccncc3C2)c1 ZINC001203885820 1133379852 /nfs/dbraw/zinc/37/98/52/1133379852.db2.gz HSUMWZSKFUYIOR-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc(C[N@H+]2CCc3ccncc3C2)c1 ZINC001203885820 1133379859 /nfs/dbraw/zinc/37/98/59/1133379859.db2.gz HSUMWZSKFUYIOR-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO CCOc1ccc(C[N@@H+]2Cc3ccc(F)cc3C2)cc1 ZINC001203968853 1133391477 /nfs/dbraw/zinc/39/14/77/1133391477.db2.gz NSHFOSHUCBZYDX-UHFFFAOYSA-N 1 2 271.335 3.740 20 0 CHADLO CCOc1ccc(C[N@H+]2Cc3ccc(F)cc3C2)cc1 ZINC001203968853 1133391484 /nfs/dbraw/zinc/39/14/84/1133391484.db2.gz NSHFOSHUCBZYDX-UHFFFAOYSA-N 1 2 271.335 3.740 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@@H+]1Cc1ccncc1 ZINC001204082363 1133406159 /nfs/dbraw/zinc/40/61/59/1133406159.db2.gz YISHIMVKYFIPON-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@H+]1Cc1ccncc1 ZINC001204082363 1133406162 /nfs/dbraw/zinc/40/61/62/1133406162.db2.gz YISHIMVKYFIPON-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO c1cc2n(n1)CC[N@@H+](Cc1ccc(-c3ccccc3)cc1)C2 ZINC001204138615 1133410334 /nfs/dbraw/zinc/41/03/34/1133410334.db2.gz LCZQAUBXZZWKKP-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO c1cc2n(n1)CC[N@H+](Cc1ccc(-c3ccccc3)cc1)C2 ZINC001204138615 1133410336 /nfs/dbraw/zinc/41/03/36/1133410336.db2.gz LCZQAUBXZZWKKP-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO CSc1ccc(C[N@H+](C)CC(=O)c2ccccc2)cc1 ZINC001204141426 1133410691 /nfs/dbraw/zinc/41/06/91/1133410691.db2.gz SEOBMIHVBIHSIC-UHFFFAOYSA-N 1 2 285.412 3.723 20 0 CHADLO CSc1ccc(C[N@@H+](C)CC(=O)c2ccccc2)cc1 ZINC001204141426 1133410694 /nfs/dbraw/zinc/41/06/94/1133410694.db2.gz SEOBMIHVBIHSIC-UHFFFAOYSA-N 1 2 285.412 3.723 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2ccc(F)cc2Cl)C1 ZINC001204434291 1133447375 /nfs/dbraw/zinc/44/73/75/1133447375.db2.gz HQEDOQITDWPJDL-CABZTGNLSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2ccc(F)cc2Cl)C1 ZINC001204434291 1133447376 /nfs/dbraw/zinc/44/73/76/1133447376.db2.gz HQEDOQITDWPJDL-CABZTGNLSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(C(F)(F)F)cc2F)C[C@@H]1F ZINC001204463776 1133451316 /nfs/dbraw/zinc/45/13/16/1133451316.db2.gz SZZVYHUFZVFCTF-PELKAZGASA-N 1 2 279.252 3.634 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(C(F)(F)F)cc2F)C[C@@H]1F ZINC001204463776 1133451319 /nfs/dbraw/zinc/45/13/19/1133451319.db2.gz SZZVYHUFZVFCTF-PELKAZGASA-N 1 2 279.252 3.634 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2CC[C@](C)(CCc3ccccc3)C2)c1C ZINC001204593741 1133465301 /nfs/dbraw/zinc/46/53/01/1133465301.db2.gz ULHIFAORTCWQMX-IBGZPJMESA-N 1 2 297.446 3.871 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CC[C@](C)(CCc3ccccc3)C2)c1C ZINC001204593741 1133465304 /nfs/dbraw/zinc/46/53/04/1133465304.db2.gz ULHIFAORTCWQMX-IBGZPJMESA-N 1 2 297.446 3.871 20 0 CHADLO COCOc1cc(C)c(-c2cc(C)[nH+]cc2C)c(C)c1 ZINC001204606097 1133466400 /nfs/dbraw/zinc/46/64/00/1133466400.db2.gz XQMSMNBOQTWMIT-UHFFFAOYSA-N 1 2 271.360 3.965 20 0 CHADLO CCOC1C[NH+](Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204694946 1133476083 /nfs/dbraw/zinc/47/60/83/1133476083.db2.gz NOKHRTGROWWGPF-UHFFFAOYSA-N 1 2 291.778 3.821 20 0 CHADLO CCOC1C[NH+](Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC001204717280 1133478714 /nfs/dbraw/zinc/47/87/14/1133478714.db2.gz FKFYERPNNCVDCU-UHFFFAOYSA-N 1 2 291.778 3.821 20 0 CHADLO CCC[C@@H](C[N@@H+]1CCCC[C@@H]1c1noc(C(C)C)n1)OC ZINC001204850859 1133492694 /nfs/dbraw/zinc/49/26/94/1133492694.db2.gz DKHDBGINRQNVQH-UONOGXRCSA-N 1 2 295.427 3.535 20 0 CHADLO CCC[C@@H](C[N@H+]1CCCC[C@@H]1c1noc(C(C)C)n1)OC ZINC001204850859 1133492698 /nfs/dbraw/zinc/49/26/98/1133492698.db2.gz DKHDBGINRQNVQH-UONOGXRCSA-N 1 2 295.427 3.535 20 0 CHADLO Cc1cc2cc(Nc3ccc[nH+]c3N3CCCC3)cnc2[nH]1 ZINC001204895805 1133497456 /nfs/dbraw/zinc/49/74/56/1133497456.db2.gz FBZLKOPTUFNMQS-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1cc2cc(Nc3ccc(-n4cc[nH+]c4)cc3)cnc2[nH]1 ZINC001204920530 1133500322 /nfs/dbraw/zinc/50/03/22/1133500322.db2.gz NEWWTFOANLGXJJ-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1cc2cc(Nc3ccc4c(c3)[nH+]cn4C)cnc2[nH]1 ZINC001204928477 1133501157 /nfs/dbraw/zinc/50/11/57/1133501157.db2.gz AVVRIJNRHSBDAJ-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO CC(C)(C)c1csc(Nc2ccn3cc[nH+]c3c2)n1 ZINC001204950683 1133506157 /nfs/dbraw/zinc/50/61/57/1133506157.db2.gz FIFLFVPMYAMFJW-UHFFFAOYSA-N 1 2 272.377 3.832 20 0 CHADLO Fc1ccc(-c2cc(Nc3ccn4cc[nH+]c4c3)on2)cc1 ZINC001204958889 1133507677 /nfs/dbraw/zinc/50/76/77/1133507677.db2.gz CWRBCHPHZUIWBM-UHFFFAOYSA-N 1 2 294.289 3.872 20 0 CHADLO c1cn2ccc(Nc3cc(-c4ccccc4)cnn3)cc2[nH+]1 ZINC001204972114 1133510176 /nfs/dbraw/zinc/51/01/76/1133510176.db2.gz XXLAYCLBQUZJHD-UHFFFAOYSA-N 1 2 287.326 3.535 20 0 CHADLO FC(F)Oc1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001204971400 1133510845 /nfs/dbraw/zinc/51/08/45/1133510845.db2.gz LPXGEBDVTHTMGK-UHFFFAOYSA-N 1 2 275.258 3.679 20 0 CHADLO c1cn2ccc(Nc3ccc4cccnc4c3)cc2[nH+]1 ZINC001204978160 1133511587 /nfs/dbraw/zinc/51/15/87/1133511587.db2.gz ODTLXMUVVGFUBA-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO CC(=O)c1ccc(Cl)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204991348 1133513870 /nfs/dbraw/zinc/51/38/70/1133513870.db2.gz OYUARNUGQKGOBU-UHFFFAOYSA-N 1 2 285.734 3.934 20 0 CHADLO Fc1cc2cccnc2c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204991746 1133513952 /nfs/dbraw/zinc/51/39/52/1133513952.db2.gz SUIVRQMHYZTWCF-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO Cc1c(C)c(-c2ccc(F)c(-n3cc[nH+]c3)c2)ccc1CO ZINC001204998805 1133515426 /nfs/dbraw/zinc/51/54/26/1133515426.db2.gz WLUADUCLMBFBNO-UHFFFAOYSA-N 1 2 296.345 3.788 20 0 CHADLO CCCC[C@H]([NH2+][C@H](C)Cc1ccc(Cl)cc1)C(=O)OC ZINC001205292317 1133555860 /nfs/dbraw/zinc/55/58/60/1133555860.db2.gz ZXHRGDNJQRBWDY-DOMZBBRYSA-N 1 2 297.826 3.592 20 0 CHADLO Fc1ccccc1OC1C[NH+](Cc2cccc3[nH]ccc32)C1 ZINC001205297809 1133555992 /nfs/dbraw/zinc/55/59/92/1133555992.db2.gz LCBCBUVZVFONRB-UHFFFAOYSA-N 1 2 296.345 3.570 20 0 CHADLO CCCC[C@H]([NH2+]C[C@H](C)c1cccc(Cl)c1)C(=O)OC ZINC001205291967 1133556119 /nfs/dbraw/zinc/55/61/19/1133556119.db2.gz SYODMTBMRFTIDX-WFASDCNBSA-N 1 2 297.826 3.765 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(C)cc1OCOC ZINC001205429859 1133576848 /nfs/dbraw/zinc/57/68/48/1133576848.db2.gz QDUJLFZDCKAHLG-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO C[C@@H]1CSC[C@@H]1[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC001205735051 1133607010 /nfs/dbraw/zinc/60/70/10/1133607010.db2.gz FZNOKVPGCCGWSV-SKDRFNHKSA-N 1 2 291.794 3.773 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnn(CC(C)C)c1 ZINC001205858142 1133623641 /nfs/dbraw/zinc/62/36/41/1133623641.db2.gz WIGMXXCODZOVGK-UHFFFAOYSA-N 1 2 258.369 3.549 20 0 CHADLO Cc1cc(C)c(NC(=O)NC/C=C\c2ccccc2)c(C)[nH+]1 ZINC001205899909 1133628963 /nfs/dbraw/zinc/62/89/63/1133628963.db2.gz QUVKOGVOHCDINX-YFHOEESVSA-N 1 2 295.386 3.842 20 0 CHADLO CCn1cnc(Cl)c1C[N@H+](C)Cc1cccc(C)c1C ZINC001205974182 1133636058 /nfs/dbraw/zinc/63/60/58/1133636058.db2.gz MQYREDMAATZARV-UHFFFAOYSA-N 1 2 291.826 3.805 20 0 CHADLO CCn1cnc(Cl)c1C[N@@H+](C)Cc1cccc(C)c1C ZINC001205974182 1133636061 /nfs/dbraw/zinc/63/60/61/1133636061.db2.gz MQYREDMAATZARV-UHFFFAOYSA-N 1 2 291.826 3.805 20 0 CHADLO Oc1ccc(CNc2ccc(C3CCC3)c[nH+]2)c(F)c1F ZINC001206056095 1133650397 /nfs/dbraw/zinc/65/03/97/1133650397.db2.gz PEONQFVANGNQHF-UHFFFAOYSA-N 1 2 290.313 3.945 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)cc(F)c2F)c[nH+]1 ZINC001206395150 1133697533 /nfs/dbraw/zinc/69/75/33/1133697533.db2.gz BHGNCPIIQXXTSX-UHFFFAOYSA-N 1 2 281.281 3.699 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2cc3n[nH]cc3c(F)c2)c1 ZINC001206594152 1133724165 /nfs/dbraw/zinc/72/41/65/1133724165.db2.gz LSCLBHSIALQMCY-UHFFFAOYSA-N 1 2 292.317 3.770 20 0 CHADLO C[C@@H]1C[C@H]1CNc1ccc(Nc2ccccc2)c[nH+]1 ZINC001206726947 1133736476 /nfs/dbraw/zinc/73/64/76/1133736476.db2.gz DQKXKWAMKOWRGA-OLZOCXBDSA-N 1 2 253.349 3.893 20 0 CHADLO COc1cc(C)ccc1C[N@H+](C)Cc1cccc(F)c1F ZINC001206783176 1133748161 /nfs/dbraw/zinc/74/81/61/1133748161.db2.gz VBWPZCJRYPKXJG-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cc(C)ccc1C[N@@H+](C)Cc1cccc(F)c1F ZINC001206783176 1133748166 /nfs/dbraw/zinc/74/81/66/1133748166.db2.gz VBWPZCJRYPKXJG-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1cc(C[NH+]2CCC(c3ccc(F)cc3F)CC2)no1 ZINC001206823445 1133753222 /nfs/dbraw/zinc/75/32/22/1133753222.db2.gz LGJFZGKBWKHDRM-UHFFFAOYSA-N 1 2 292.329 3.641 20 0 CHADLO CC1(F)C[NH+](CC(c2ccccc2)c2ccccc2)C1 ZINC001207522384 1133813638 /nfs/dbraw/zinc/81/36/38/1133813638.db2.gz FLJUTNJEPNRLSZ-UHFFFAOYSA-N 1 2 269.363 3.862 20 0 CHADLO Cc1cccc(CC[N@@H+]2Cc3cnc(Cl)cc3C2)c1 ZINC001207554967 1133820146 /nfs/dbraw/zinc/82/01/46/1133820146.db2.gz WKBWGOOUWSNQIE-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc(CC[N@H+]2Cc3cnc(Cl)cc3C2)c1 ZINC001207554967 1133820150 /nfs/dbraw/zinc/82/01/50/1133820150.db2.gz WKBWGOOUWSNQIE-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC(C)(C)n1c2ccccc2[nH+]c1NCc1ncccc1F ZINC001207872340 1133857785 /nfs/dbraw/zinc/85/77/85/1133857785.db2.gz BQBARYYCOIOBHN-UHFFFAOYSA-N 1 2 298.365 3.938 20 0 CHADLO C[C@H](CC[N@@H+]1CC(F)(F)C[C@@]1(C)CO)CC(C)(C)C ZINC001208656083 1133922235 /nfs/dbraw/zinc/92/22/35/1133922235.db2.gz VBBYXPBGVCAICR-OCCSQVGLSA-N 1 2 277.399 3.541 20 0 CHADLO C[C@H](CC[N@H+]1CC(F)(F)C[C@@]1(C)CO)CC(C)(C)C ZINC001208656083 1133922240 /nfs/dbraw/zinc/92/22/40/1133922240.db2.gz VBBYXPBGVCAICR-OCCSQVGLSA-N 1 2 277.399 3.541 20 0 CHADLO F[C@@H]1C[N@H+](CCCc2ccccc2Cl)CCC1(F)F ZINC001208872241 1133956191 /nfs/dbraw/zinc/95/61/91/1133956191.db2.gz MWTLPXSFRNDPHO-CYBMUJFWSA-N 1 2 291.744 3.952 20 0 CHADLO F[C@@H]1C[N@@H+](CCCc2ccccc2Cl)CCC1(F)F ZINC001208872241 1133956195 /nfs/dbraw/zinc/95/61/95/1133956195.db2.gz MWTLPXSFRNDPHO-CYBMUJFWSA-N 1 2 291.744 3.952 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](CCCc1ccccc1Cl)C2 ZINC001208874790 1133956907 /nfs/dbraw/zinc/95/69/07/1133956907.db2.gz ZYPSCSQQKCGSAK-UHFFFAOYSA-N 1 2 290.794 3.627 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](CCCc1ccccc1Cl)C2 ZINC001208874790 1133956908 /nfs/dbraw/zinc/95/69/08/1133956908.db2.gz ZYPSCSQQKCGSAK-UHFFFAOYSA-N 1 2 290.794 3.627 20 0 CHADLO FC(F)(F)c1ccccc1CC[N@@H+]1CCOCC12CCC2 ZINC001209282712 1134002064 /nfs/dbraw/zinc/00/20/64/1134002064.db2.gz HDIOVEVNTBZXEO-UHFFFAOYSA-N 1 2 299.336 3.503 20 0 CHADLO FC(F)(F)c1ccccc1CC[N@H+]1CCOCC12CCC2 ZINC001209282712 1134002072 /nfs/dbraw/zinc/00/20/72/1134002072.db2.gz HDIOVEVNTBZXEO-UHFFFAOYSA-N 1 2 299.336 3.503 20 0 CHADLO Cc1[nH]ncc1C[NH+]1CCC(CCCc2ccccc2)CC1 ZINC001209554650 1134037474 /nfs/dbraw/zinc/03/74/74/1134037474.db2.gz KESWDPKJKUHFSF-UHFFFAOYSA-N 1 2 297.446 3.953 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1cccc2[nH]cc(Cl)c21 ZINC001209659150 1134049607 /nfs/dbraw/zinc/04/96/07/1134049607.db2.gz HEJLBQSZPXXBAK-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1cccc2[nH]cc(Cl)c21 ZINC001209659150 1134049612 /nfs/dbraw/zinc/04/96/12/1134049612.db2.gz HEJLBQSZPXXBAK-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cc2cc(Cl)ccc2[nH]1 ZINC001209722195 1134053005 /nfs/dbraw/zinc/05/30/05/1134053005.db2.gz XOFMHZPDAYSIMI-MRXNPFEDSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cc2cc(Cl)ccc2[nH]1 ZINC001209722195 1134053011 /nfs/dbraw/zinc/05/30/11/1134053011.db2.gz XOFMHZPDAYSIMI-MRXNPFEDSA-N 1 2 290.794 3.765 20 0 CHADLO Clc1ccc2n[nH]c(C[NH+]3CC4(C3)CCCC4)c2c1 ZINC001209753180 1134057184 /nfs/dbraw/zinc/05/71/84/1134057184.db2.gz PRXYYXNCMRHNBD-UHFFFAOYSA-N 1 2 275.783 3.592 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2[nH]nc3ccc(Cl)cc32)C1 ZINC001209754220 1134059489 /nfs/dbraw/zinc/05/94/89/1134059489.db2.gz YENBUIPEWJVIDE-OAHLLOKOSA-N 1 2 295.789 3.930 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2[nH]nc3ccc(Cl)cc32)C1 ZINC001209754220 1134059492 /nfs/dbraw/zinc/05/94/92/1134059492.db2.gz YENBUIPEWJVIDE-OAHLLOKOSA-N 1 2 295.789 3.930 20 0 CHADLO COc1c(F)ccc(Nc2cccc3[nH+]ccn32)c1Cl ZINC001209800920 1134068204 /nfs/dbraw/zinc/06/82/04/1134068204.db2.gz YPUSZIRDTVAXEF-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO c1[nH]c2ccc(Nc3cc(C4CCC4)no3)cc2[nH+]1 ZINC001209842957 1134078727 /nfs/dbraw/zinc/07/87/27/1134078727.db2.gz SASXATZJSSGARN-UHFFFAOYSA-N 1 2 254.293 3.562 20 0 CHADLO c1[nH]c2ccc(Nc3ccc4ccccc4n3)cc2[nH+]1 ZINC001209841587 1134079002 /nfs/dbraw/zinc/07/90/02/1134079002.db2.gz WTLBTDRWXOIXEC-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO CO[C@H](C)c1cccc(Nc2[nH+]c(C)ccc2O)c1 ZINC001209945142 1134105613 /nfs/dbraw/zinc/10/56/13/1134105613.db2.gz AYFBNTOUSOTPOY-LLVKDONJSA-N 1 2 258.321 3.547 20 0 CHADLO CN(C)c1ccccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001209990546 1134117365 /nfs/dbraw/zinc/11/73/65/1134117365.db2.gz BHERVUJKGULPBG-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccccc1N(C)C ZINC001209990542 1134117650 /nfs/dbraw/zinc/11/76/50/1134117650.db2.gz BFSKLSKFIIBAEA-UHFFFAOYSA-N 1 2 255.365 3.762 20 0 CHADLO Cc1cc(Nc2ccc(-n3cccn3)cc2)ccc1[NH+](C)C ZINC001210040986 1134131193 /nfs/dbraw/zinc/13/11/93/1134131193.db2.gz FBEBBSIGVQJQIA-UHFFFAOYSA-N 1 2 292.386 3.990 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(N(C)C)c(C)c1 ZINC001210040025 1134131468 /nfs/dbraw/zinc/13/14/68/1134131468.db2.gz LYKPCJBIBAVELI-UHFFFAOYSA-N 1 2 255.365 3.762 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)OCCCO3)ccc1[NH+](C)C ZINC001210041361 1134131480 /nfs/dbraw/zinc/13/14/80/1134131480.db2.gz NCKAEZCGTPETJM-UHFFFAOYSA-N 1 2 298.386 3.966 20 0 CHADLO COCOc1cccc(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210043297 1134132245 /nfs/dbraw/zinc/13/22/45/1134132245.db2.gz CPCAMQPDJSZPJP-UHFFFAOYSA-N 1 2 286.375 3.787 20 0 CHADLO Cc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1CO ZINC001210043515 1134133045 /nfs/dbraw/zinc/13/30/45/1134133045.db2.gz GOZYEEKLPJTVOE-UHFFFAOYSA-N 1 2 270.376 3.605 20 0 CHADLO c1cc2c(ccnc2Nc2ccc3c[nH+]ccc3c2)cn1 ZINC001210046746 1134134394 /nfs/dbraw/zinc/13/43/94/1134134394.db2.gz PTBOQOMUKKKQAO-UHFFFAOYSA-N 1 2 272.311 3.922 20 0 CHADLO Cc1ccc(F)c(Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210046253 1134134725 /nfs/dbraw/zinc/13/47/25/1134134725.db2.gz BFLAWHJBRYCAJR-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO FC(F)(F)c1cncc(Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210045827 1134134873 /nfs/dbraw/zinc/13/48/73/1134134873.db2.gz QRFXSIBXRKKSSZ-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO Cc1c(F)c(F)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001210130108 1134153794 /nfs/dbraw/zinc/15/37/94/1134153794.db2.gz YTSHCRHPTNFYCJ-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO Cc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1O ZINC001210190065 1134162128 /nfs/dbraw/zinc/16/21/28/1134162128.db2.gz FRYVZWGQBISQPF-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO COC(=O)c1ccsc1Nc1cccc2cc[nH+]cc21 ZINC001210224314 1134171193 /nfs/dbraw/zinc/17/11/93/1134171193.db2.gz WXPZUBXBJCFBJI-UHFFFAOYSA-N 1 2 284.340 3.827 20 0 CHADLO O=c1[nH]cc(Cl)cc1Nc1cccc2cc[nH+]cc21 ZINC001210224320 1134171756 /nfs/dbraw/zinc/17/17/56/1134171756.db2.gz JZUNRCPICKRQAV-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO FC(F)Oc1cccnc1Nc1cccc2cc[nH+]cc21 ZINC001210224155 1134171945 /nfs/dbraw/zinc/17/19/45/1134171945.db2.gz GBSAVZAGJGNQGG-UHFFFAOYSA-N 1 2 287.269 3.975 20 0 CHADLO c1sc2nccnc2c1Nc1cccc2cc[nH+]cc21 ZINC001210224502 1134172105 /nfs/dbraw/zinc/17/21/05/1134172105.db2.gz QBGJZDLRGPZBQE-UHFFFAOYSA-N 1 2 278.340 3.983 20 0 CHADLO FC(F)Oc1cncc(Nc2cccc3cc[nH+]cc32)c1 ZINC001210225118 1134172600 /nfs/dbraw/zinc/17/26/00/1134172600.db2.gz CYQZZYWGGYLVRX-UHFFFAOYSA-N 1 2 287.269 3.975 20 0 CHADLO OCc1ccc(F)c(F)c1Nc1cccc2cc[nH+]cc21 ZINC001210232206 1134173876 /nfs/dbraw/zinc/17/38/76/1134173876.db2.gz IWMDWCGHMIDDQO-UHFFFAOYSA-N 1 2 286.281 3.749 20 0 CHADLO CCN(C)c1ccc(Nc2cccnc2C(F)(F)F)c[nH+]1 ZINC001210233623 1134174859 /nfs/dbraw/zinc/17/48/59/1134174859.db2.gz KTOQSQFAZCVOLM-UHFFFAOYSA-N 1 2 296.296 3.695 20 0 CHADLO Cc1cc(Nc2ccccc2-n2cc[nH+]c2)c(C)o1 ZINC001210280971 1134184901 /nfs/dbraw/zinc/18/49/01/1134184901.db2.gz SOSHWARRSZCZEM-UHFFFAOYSA-N 1 2 253.305 3.826 20 0 CHADLO COc1ccc(-n2cccc2)c(Nc2c[nH+]c(C)c(N)c2)c1 ZINC001210287907 1134185156 /nfs/dbraw/zinc/18/51/56/1134185156.db2.gz GFOVQCVGFPSVPA-UHFFFAOYSA-N 1 2 294.358 3.515 20 0 CHADLO Cc1[nH+]cc(Nc2ncc(-c3ccccc3)s2)cc1N ZINC001210284762 1134185509 /nfs/dbraw/zinc/18/55/09/1134185509.db2.gz JYKDGWPCDHAMRZ-UHFFFAOYSA-N 1 2 282.372 3.839 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2N2CCCCC2)cc1N ZINC001210287919 1134185526 /nfs/dbraw/zinc/18/55/26/1134185526.db2.gz GWUGKQOAZVZHHR-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO Cc1[nH+]cc(Nc2ncccc2-c2ccccc2)cc1N ZINC001210287090 1134185566 /nfs/dbraw/zinc/18/55/66/1134185566.db2.gz LCZSBMMAOZLBJY-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Br)c(F)c2)cc1N ZINC001210288941 1134186092 /nfs/dbraw/zinc/18/60/92/1134186092.db2.gz XOJCAMPOEBMFPR-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1[nH+]cc(Nc2cc3c(s2)CCCC3)cc1N ZINC001210289021 1134186266 /nfs/dbraw/zinc/18/62/66/1134186266.db2.gz QQDKOFZSXREASK-UHFFFAOYSA-N 1 2 259.378 3.656 20 0 CHADLO Cc1cc(F)cc(Cl)c1Nc1c[nH+]c(C)c(N)c1 ZINC001210289276 1134187048 /nfs/dbraw/zinc/18/70/48/1134187048.db2.gz DBIGCDMHVHEFFM-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(OC(F)F)nc1 ZINC001210300290 1134188770 /nfs/dbraw/zinc/18/87/70/1134188770.db2.gz YDPUIEFOBCBWBF-UHFFFAOYSA-N 1 2 279.290 3.692 20 0 CHADLO CC(C)n1cc(Nc2cc(Cl)c3[nH+]ccn3c2)cn1 ZINC001210319340 1134191600 /nfs/dbraw/zinc/19/16/00/1134191600.db2.gz KLOQQFGHGURBNF-UHFFFAOYSA-N 1 2 275.743 3.509 20 0 CHADLO Cn1ccc(Nc2cc[nH+]c3cc(C(F)(F)F)ccc23)n1 ZINC001210348662 1134197384 /nfs/dbraw/zinc/19/73/84/1134197384.db2.gz VVSKNTRBSQPJEI-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO C[C@H](O)c1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001210508203 1134234706 /nfs/dbraw/zinc/23/47/06/1134234706.db2.gz KTJUMSAZZGOABL-ZDUSSCGKSA-N 1 2 279.343 3.669 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3ocnc3c2)[nH+]1 ZINC001210570081 1134251704 /nfs/dbraw/zinc/25/17/04/1134251704.db2.gz KMMNXAPEAOSHIZ-UHFFFAOYSA-N 1 2 264.288 3.528 20 0 CHADLO Sc1ccccc1NC1=CC[C@@H]([NH+]2CCCC2)CC1 ZINC001210709423 1134278255 /nfs/dbraw/zinc/27/82/55/1134278255.db2.gz WKUWMKASIIFYRI-CQSZACIVSA-N 1 2 274.433 3.919 20 0 CHADLO c1cn(-c2cccc(Nc3ccnc(OC4CC4)c3)c2)c[nH+]1 ZINC001210748454 1134288992 /nfs/dbraw/zinc/28/89/92/1134288992.db2.gz DMFJEZWCYOICRX-UHFFFAOYSA-N 1 2 292.342 3.552 20 0 CHADLO COc1cc(Nc2cc(N)cc(C(F)(F)F)c2)cc(C)[nH+]1 ZINC001210780677 1134295384 /nfs/dbraw/zinc/29/53/84/1134295384.db2.gz ZUOOWZZXHAILDM-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO COc1cc(Nc2cccc3cncc(F)c32)cc(C)[nH+]1 ZINC001210780900 1134295884 /nfs/dbraw/zinc/29/58/84/1134295884.db2.gz SMXGYWBIXBRLSI-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO c1cn2cccc(Nc3cccc(OC4CC4)c3)c2[nH+]1 ZINC001210858921 1134313699 /nfs/dbraw/zinc/31/36/99/1134313699.db2.gz GWLLMANSEMOELA-UHFFFAOYSA-N 1 2 265.316 3.619 20 0 CHADLO COc1cc(Nc2cccc(OC3CC3)c2)cc(C)[nH+]1 ZINC001210861152 1134316552 /nfs/dbraw/zinc/31/65/52/1134316552.db2.gz SGGVXWDSLOTXBN-UHFFFAOYSA-N 1 2 270.332 3.683 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)cc(F)c1OC ZINC001210866331 1134318600 /nfs/dbraw/zinc/31/86/00/1134318600.db2.gz KHROIABVNFKJHB-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO c1[nH]c2ncccc2c1Nc1cccc2cc[nH+]cc21 ZINC001210941359 1134334070 /nfs/dbraw/zinc/33/40/70/1134334070.db2.gz SEUGITZPKZIABO-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO CCOC(=O)c1cccc(Nc2ccc(C)c[nH+]2)c1C ZINC001211011834 1134348489 /nfs/dbraw/zinc/34/84/89/1134348489.db2.gz DRKMFDXWDSBTCG-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO CCNc1ccc(C(=O)OCC)cc1Nc1ccc(C)c[nH+]1 ZINC001211013611 1134348784 /nfs/dbraw/zinc/34/87/84/1134348784.db2.gz IGXVHDOSAARARK-UHFFFAOYSA-N 1 2 299.374 3.742 20 0 CHADLO COC(=O)CCCc1ccc(Nc2ccc(C)c[nH+]2)cc1 ZINC001211012523 1134349062 /nfs/dbraw/zinc/34/90/62/1134349062.db2.gz HHTKYFAMJDAIIH-UHFFFAOYSA-N 1 2 284.359 3.629 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cncc(SC)c1 ZINC001211071612 1134363363 /nfs/dbraw/zinc/36/33/63/1134363363.db2.gz XTGVZUDJYGVNMN-UHFFFAOYSA-N 1 2 259.378 3.813 20 0 CHADLO CSc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)cc1F ZINC001211110610 1134370418 /nfs/dbraw/zinc/37/04/18/1134370418.db2.gz OMCOVQCEQUUBJG-UHFFFAOYSA-N 1 2 287.363 3.777 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cc(F)nc(F)c1 ZINC001213080973 1134422835 /nfs/dbraw/zinc/42/28/35/1134422835.db2.gz KLAVGEJLXGVKBK-UHFFFAOYSA-N 1 2 263.291 3.930 20 0 CHADLO Cc1[nH]c2ccc(Nc3cc(O)c(F)c(F)c3)cc2[nH+]1 ZINC001213075232 1134423589 /nfs/dbraw/zinc/42/35/89/1134423589.db2.gz KGBFWVYAAZEFAA-UHFFFAOYSA-N 1 2 275.258 3.599 20 0 CHADLO CC(C)c1nccnc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088021 1134424528 /nfs/dbraw/zinc/42/45/28/1134424528.db2.gz LNGBBEDSHIPVRD-UHFFFAOYSA-N 1 2 279.347 3.734 20 0 CHADLO COc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc(OC)c1 ZINC001213087829 1134424576 /nfs/dbraw/zinc/42/45/76/1134424576.db2.gz BVGJPDKFURYJEE-UHFFFAOYSA-N 1 2 295.342 3.838 20 0 CHADLO Cc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001213085486 1134424820 /nfs/dbraw/zinc/42/48/20/1134424820.db2.gz IEOZNNYOQKNIJB-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO Cc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1CO ZINC001213090995 1134425676 /nfs/dbraw/zinc/42/56/76/1134425676.db2.gz QEJZXUJCDFKWHL-UHFFFAOYSA-N 1 2 279.343 3.621 20 0 CHADLO COc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1OC ZINC001213089813 1134425692 /nfs/dbraw/zinc/42/56/92/1134425692.db2.gz FYHXNPBWUWCIHC-UHFFFAOYSA-N 1 2 295.342 3.838 20 0 CHADLO O=c1[nH]c2cc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)ccc2o1 ZINC001213091069 1134425747 /nfs/dbraw/zinc/42/57/47/1134425747.db2.gz VCPWYJNQSWWEMC-UHFFFAOYSA-N 1 2 292.298 3.667 20 0 CHADLO CCOc1ccc(Nc2cc(C)[nH+]c(OC)c2)c(OC)c1 ZINC001213116969 1134428459 /nfs/dbraw/zinc/42/84/59/1134428459.db2.gz CAXQVQWPYMQGQJ-UHFFFAOYSA-N 1 2 288.347 3.550 20 0 CHADLO CSc1cc(Nc2ccc3c(c2)NCC3)cc[nH+]1 ZINC001213151785 1134430988 /nfs/dbraw/zinc/43/09/88/1134430988.db2.gz GILKNXBUYRFOFP-UHFFFAOYSA-N 1 2 257.362 3.515 20 0 CHADLO CCOc1ccc(Nc2cc(C3CC3)c[nH+]c2C)cn1 ZINC001213491887 1134454047 /nfs/dbraw/zinc/45/40/47/1134454047.db2.gz TXUZMPRCJAWVSI-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO COc1cc(Nc2cc(C3CC3)cnc2C)cc(C)[nH+]1 ZINC001213495257 1134454242 /nfs/dbraw/zinc/45/42/42/1134454242.db2.gz YMRXPHSYQNHNQE-UHFFFAOYSA-N 1 2 269.348 3.723 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cncc(C(F)(F)F)n1 ZINC001213491511 1134454317 /nfs/dbraw/zinc/45/43/17/1134454317.db2.gz MCFMTXKRGKQCES-UHFFFAOYSA-N 1 2 294.280 3.820 20 0 CHADLO CCc1cnn2cc(Nc3cc(C4CC4)c[nH+]c3C)cnc12 ZINC001213497348 1134455058 /nfs/dbraw/zinc/45/50/58/1134455058.db2.gz ORLRMYJKELZLAU-UHFFFAOYSA-N 1 2 293.374 3.616 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnc(F)c(F)c1 ZINC001213497273 1134455180 /nfs/dbraw/zinc/45/51/80/1134455180.db2.gz LMWLDPGJGOAMDE-UHFFFAOYSA-N 1 2 261.275 3.684 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2nccnc2c1 ZINC001213497046 1134455569 /nfs/dbraw/zinc/45/55/69/1134455569.db2.gz FYCPDDTTXKZMJD-UHFFFAOYSA-N 1 2 276.343 3.954 20 0 CHADLO Cc1ccc(N)c(N(C)c2cc(C3CC3)c[nH+]c2C)c1 ZINC001213501328 1134457107 /nfs/dbraw/zinc/45/71/07/1134457107.db2.gz SUXRGXFBYZYTHR-UHFFFAOYSA-N 1 2 267.376 3.926 20 0 CHADLO Cc1cc(C)c(Nc2cnc3c(c2)CCCC3)c[nH+]1 ZINC001213508352 1134457344 /nfs/dbraw/zinc/45/73/44/1134457344.db2.gz QPAKCLLGPKEAPE-UHFFFAOYSA-N 1 2 253.349 3.716 20 0 CHADLO Cc1cc(C)c(Nc2ccc3nnc(C(C)(C)C)n3c2)c[nH+]1 ZINC001213510385 1134457619 /nfs/dbraw/zinc/45/76/19/1134457619.db2.gz ALOSZFNWBIFFEV-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO Cc1cc(C)c(Nc2cccc(C(F)(F)F)n2)c[nH+]1 ZINC001213505980 1134457857 /nfs/dbraw/zinc/45/78/57/1134457857.db2.gz XGQVOUIGQLXNSS-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1NC(=O)CC2 ZINC001213503791 1134458008 /nfs/dbraw/zinc/45/80/08/1134458008.db2.gz YJSNXPZJLALSJU-UHFFFAOYSA-N 1 2 293.370 3.896 20 0 CHADLO COc1nc(Nc2c[nH+]c(C)cc2C)cc2ccccc21 ZINC001213510921 1134458200 /nfs/dbraw/zinc/45/82/00/1134458200.db2.gz MJWXHLNZFNOOME-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COC(=O)c1cc(F)c(Nc2c[nH+]c(C)cc2C)cc1F ZINC001213520944 1134458810 /nfs/dbraw/zinc/45/88/10/1134458810.db2.gz LPRCPRXOMFOUQO-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO CCOC(=O)Cc1ccccc1Nc1c[nH+]c(C)cc1C ZINC001213520483 1134459060 /nfs/dbraw/zinc/45/90/60/1134459060.db2.gz BRYMZAAFMLTYGY-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO CCN(CC)C(=O)c1ccccc1Nc1c[nH+]c(C)cc1C ZINC001213520540 1134459233 /nfs/dbraw/zinc/45/92/33/1134459233.db2.gz DCYHDMZGIBYFEI-UHFFFAOYSA-N 1 2 297.402 3.924 20 0 CHADLO Cc1cc(C)c(Nc2cc(N)ccc2OC(F)(F)F)c[nH+]1 ZINC001213518624 1134459261 /nfs/dbraw/zinc/45/92/61/1134459261.db2.gz YJMREMQIILFCOW-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO Cc1cc(C)c(Nc2cc(C(=O)NC3CC3)ccc2C)c[nH+]1 ZINC001213520573 1134459372 /nfs/dbraw/zinc/45/93/72/1134459372.db2.gz FHHKORRZFSJLCM-UHFFFAOYSA-N 1 2 295.386 3.643 20 0 CHADLO Cc1cc(Nc2c[nH+]cc(C)c2C)cc(Br)n1 ZINC001213525048 1134459903 /nfs/dbraw/zinc/45/99/03/1134459903.db2.gz LYYGYLONPPKZIC-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(C(F)(F)F)nc2)c1C ZINC001213523276 1134460344 /nfs/dbraw/zinc/46/03/44/1134460344.db2.gz OYRCCGGQPUGGDP-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1cccc(-n2nccc2Nc2c[nH+]cc(C)c2C)c1 ZINC001213527379 1134460447 /nfs/dbraw/zinc/46/04/47/1134460447.db2.gz IQHKUHDOSNAFIE-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccccc1N1CCCC1 ZINC001213599566 1134467817 /nfs/dbraw/zinc/46/78/17/1134467817.db2.gz NIRNOAPIDOEXLQ-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO Cc1cc2cc(Nc3cccc4[nH+]ccn43)ccc2[nH]1 ZINC001213784856 1134483556 /nfs/dbraw/zinc/48/35/56/1134483556.db2.gz FPUXUYKPFNYAEG-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO Cc1ncc(C(F)(F)F)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001213824839 1134489014 /nfs/dbraw/zinc/48/90/14/1134489014.db2.gz NVLIEJYDZDKGKV-UHFFFAOYSA-N 1 2 296.296 3.613 20 0 CHADLO Cc1ccc(C)c(Nc2ccc(CC(F)(F)F)nc2)[nH+]1 ZINC001213909003 1134496029 /nfs/dbraw/zinc/49/60/29/1134496029.db2.gz SJHDNCHHXIDLCN-UHFFFAOYSA-N 1 2 281.281 3.942 20 0 CHADLO Cc1cc(N)ccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001214114602 1134512769 /nfs/dbraw/zinc/51/27/69/1134512769.db2.gz JLOLYXVKPWWBMZ-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C)c(OCC)c1 ZINC001214320831 1134527948 /nfs/dbraw/zinc/52/79/48/1134527948.db2.gz GAZCVOYPPTTXPK-UHFFFAOYSA-N 1 2 272.348 3.931 20 0 CHADLO CCOc1cc(Nc2[nH+]cccc2N(C)C)ccc1C ZINC001214323078 1134528726 /nfs/dbraw/zinc/52/87/26/1134528726.db2.gz LRNKPIGTPZTABG-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CCOc1cc(Nc2c[nH+]ccc2OC)ccc1C ZINC001214321203 1134528832 /nfs/dbraw/zinc/52/88/32/1134528832.db2.gz UMOTVHGGFBUXKM-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO COCOc1ccc(C)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001214356912 1134533222 /nfs/dbraw/zinc/53/32/22/1134533222.db2.gz KWNUEDSWLUOIDM-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2N2CCCCC2)c(CO)c1 ZINC001214455323 1134539585 /nfs/dbraw/zinc/53/95/85/1134539585.db2.gz MTOXPJSVSOYJQV-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Oc1c(Cl)ccc(Nc2ccc3[nH+]ccn3c2)c1F ZINC001214793572 1134573198 /nfs/dbraw/zinc/57/31/98/1134573198.db2.gz GXBCHYAHEOLCMR-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO COc1c(F)cc(Nc2ccc(N(C)C)[nH+]c2)cc1Cl ZINC001214964024 1134588218 /nfs/dbraw/zinc/58/82/18/1134588218.db2.gz PBIOQLJITACKHO-UHFFFAOYSA-N 1 2 295.745 3.692 20 0 CHADLO COc1c(F)cc(Nc2ccn3cc[nH+]c3c2)cc1Cl ZINC001214971771 1134589215 /nfs/dbraw/zinc/58/92/15/1134589215.db2.gz IDCLCQFUZYJMBU-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)s1 ZINC000401610313 1134618322 /nfs/dbraw/zinc/61/83/22/1134618322.db2.gz MKZCUYNZARGMTE-PWSUYJOCSA-N 1 2 261.394 3.508 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(F)c(F)c1OCC ZINC001215410810 1134625487 /nfs/dbraw/zinc/62/54/87/1134625487.db2.gz GJLSXYRTJDQRKD-UHFFFAOYSA-N 1 2 294.301 3.901 20 0 CHADLO Cc1cc(O)cc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001215513848 1134635119 /nfs/dbraw/zinc/63/51/19/1134635119.db2.gz ZQFWWUWZAGFEDS-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO Cc1cc(O)cc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001215515232 1134635240 /nfs/dbraw/zinc/63/52/40/1134635240.db2.gz BTUSSWAUGZQYGR-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2ccc(Cl)c(CO)c2F)c1 ZINC001215605039 1134652814 /nfs/dbraw/zinc/65/28/14/1134652814.db2.gz FPUYADVGGUHIIY-UHFFFAOYSA-N 1 2 280.730 3.727 20 0 CHADLO CSc1cc(Nc2ccc(Cl)c(CO)c2F)cc[nH+]1 ZINC001215606403 1134653091 /nfs/dbraw/zinc/65/30/91/1134653091.db2.gz QPSCXXRMHJZIMU-UHFFFAOYSA-N 1 2 298.770 3.832 20 0 CHADLO COc1cncc(Nc2ccc[nH+]c2N2CCCCC2)c1C ZINC001215731404 1134667661 /nfs/dbraw/zinc/66/76/61/1134667661.db2.gz BMDXMNWFPDCKPM-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO Cc1cn2cccc(Nc3ccnc(OC(C)C)c3)c2[nH+]1 ZINC001215767218 1134680669 /nfs/dbraw/zinc/68/06/69/1134680669.db2.gz PLYNPURKEPEIQW-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(OC)c1C ZINC001215798143 1134689936 /nfs/dbraw/zinc/68/99/36/1134689936.db2.gz RFRFHYQFINPNBL-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(Cl)cc2n[nH]cc21 ZINC001215857721 1134704244 /nfs/dbraw/zinc/70/42/44/1134704244.db2.gz VFFFJNIOUZXRFY-UHFFFAOYSA-N 1 2 288.738 3.754 20 0 CHADLO CCOc1cc(C)ccc1Nc1cccn2cc[nH+]c12 ZINC001215873980 1134709750 /nfs/dbraw/zinc/70/97/50/1134709750.db2.gz LUOFYORKBLSCJS-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO CSc1cc(F)cc(Nc2cccn3cc[nH+]c23)c1 ZINC001215902313 1134714083 /nfs/dbraw/zinc/71/40/83/1134714083.db2.gz KRKCOHAYMNDKRQ-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CCc1cccc(Nc2ccc(OC(C)C)nc2C)[nH+]1 ZINC001215918558 1134719502 /nfs/dbraw/zinc/71/95/02/1134719502.db2.gz GLWNZRQQQAUJDW-UHFFFAOYSA-N 1 2 271.364 3.878 20 0 CHADLO COc1cc[nH+]c(Nc2cc(F)cc(F)c2Cl)c1 ZINC001215952269 1134728979 /nfs/dbraw/zinc/72/89/79/1134728979.db2.gz PXSCOQYWOYIKRM-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc(Nc2cc(C3CC3)cnc2F)[nH+]cc1C ZINC001215956309 1134731211 /nfs/dbraw/zinc/73/12/11/1134731211.db2.gz VVHNEOPFITYWIG-UHFFFAOYSA-N 1 2 273.311 3.554 20 0 CHADLO Cc1c[nH+]c(Nc2cnc(F)c(-c3ccccc3)c2)c(N)c1 ZINC001215959321 1134733657 /nfs/dbraw/zinc/73/36/57/1134733657.db2.gz UHAYZWNIUFVRRR-UHFFFAOYSA-N 1 2 294.333 3.917 20 0 CHADLO CCN(C)c1ccc(Nc2c(O)cccc2Cl)c[nH+]1 ZINC001215984835 1134741852 /nfs/dbraw/zinc/74/18/52/1134741852.db2.gz YAAANLDMVHHNHY-UHFFFAOYSA-N 1 2 277.755 3.640 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(F)c(F)c(F)c2N)c1 ZINC001216008352 1134749246 /nfs/dbraw/zinc/74/92/46/1134749246.db2.gz MWRHWVCWWPTIGZ-UHFFFAOYSA-N 1 2 281.281 3.777 20 0 CHADLO CCc1cc(Nc2[nH+]cccc2N2CCCCC2)ccc1N ZINC001216036931 1134754571 /nfs/dbraw/zinc/75/45/71/1134754571.db2.gz ASRXCPIWMMTONA-UHFFFAOYSA-N 1 2 296.418 3.960 20 0 CHADLO CC(C)c1cc(Nc2ccn3cc[nH+]c3c2)ccc1N ZINC001216045315 1134760368 /nfs/dbraw/zinc/76/03/68/1134760368.db2.gz KLLZTNBRZWFQGP-UHFFFAOYSA-N 1 2 266.348 3.784 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(N)c(C(F)(F)F)c1 ZINC001216048979 1134760933 /nfs/dbraw/zinc/76/09/33/1134760933.db2.gz NRCBZZOTRYNRJM-UHFFFAOYSA-N 1 2 281.281 3.989 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1ccc(Cl)c2c[nH]nc21 ZINC001216054338 1134761817 /nfs/dbraw/zinc/76/18/17/1134761817.db2.gz LBJXOPQGOUUTDW-UHFFFAOYSA-N 1 2 297.749 3.847 20 0 CHADLO Cc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)cc(O)c1F ZINC001216079956 1134769346 /nfs/dbraw/zinc/76/93/46/1134769346.db2.gz YONOIGPCRVHEQV-UHFFFAOYSA-N 1 2 291.713 3.884 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NC[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000403414621 1134775094 /nfs/dbraw/zinc/77/50/94/1134775094.db2.gz LAGUGPBARXKLIQ-MCIONIFRSA-N 1 2 260.381 3.717 20 0 CHADLO CCc1cc(Nc2cccc(C3CC3)[nH+]2)ccc1CO ZINC001216107511 1134780827 /nfs/dbraw/zinc/78/08/27/1134780827.db2.gz XTAYKSICRCGFCA-UHFFFAOYSA-N 1 2 268.360 3.757 20 0 CHADLO Cc1cc(Nc2cccc(C3CC3)[nH+]2)cc(C)c1CO ZINC001216123014 1134785053 /nfs/dbraw/zinc/78/50/53/1134785053.db2.gz FUKNFOSAIWLSGL-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO CCN(CC)c1cc(F)cc(Nc2c[nH+]ccc2OC)c1 ZINC001216183221 1134798545 /nfs/dbraw/zinc/79/85/45/1134798545.db2.gz NYNONRWWWJMWRV-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO CCOc1cc(Nc2cc(F)cc(NC)c2)cc(C)[nH+]1 ZINC001216185761 1134798920 /nfs/dbraw/zinc/79/89/20/1134798920.db2.gz RNZTUSDOLRWLGT-UHFFFAOYSA-N 1 2 275.327 3.713 20 0 CHADLO COc1ccc([NH2+]C2C[C@H]3CC[C@@H](C2)S3)c(OC)c1 ZINC000403577271 1134807147 /nfs/dbraw/zinc/80/71/47/1134807147.db2.gz OTPFLEXOUGKTRN-VGPLMAKISA-N 1 2 279.405 3.542 20 0 CHADLO CSc1ncc(C)cc1Nc1cccc2[nH+]c(C)cn21 ZINC001216239253 1134819819 /nfs/dbraw/zinc/81/98/19/1134819819.db2.gz KJXVEUHVGBTXKT-UHFFFAOYSA-N 1 2 284.388 3.812 20 0 CHADLO COc1ccc(Nc2c[nH+]c(CC(C)C)cc2C)cc1N ZINC001216257082 1134828539 /nfs/dbraw/zinc/82/85/39/1134828539.db2.gz YXNGSGVKEHPZOT-UHFFFAOYSA-N 1 2 285.391 3.923 20 0 CHADLO CC(=O)Nc1cc(Nc2cc3c(cccc3F)o2)cc[nH+]1 ZINC001216291025 1134837537 /nfs/dbraw/zinc/83/75/37/1134837537.db2.gz FCWAWWHELNLJLJ-UHFFFAOYSA-N 1 2 285.278 3.669 20 0 CHADLO CCN(C)c1ccc(Nc2c(Cl)ccnc2Cl)c[nH+]1 ZINC001216301711 1134842917 /nfs/dbraw/zinc/84/29/17/1134842917.db2.gz XZZGXLHSIILIKW-UHFFFAOYSA-N 1 2 297.189 3.983 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(Cl)c1C ZINC001216323762 1134854382 /nfs/dbraw/zinc/85/43/82/1134854382.db2.gz DWGSKAJIGIKZOT-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO Cc1cn2cccc(Nc3cc(Cl)cc(CO)c3)c2[nH+]1 ZINC001216434232 1134896223 /nfs/dbraw/zinc/89/62/23/1134896223.db2.gz ZOIKJGZAHLYIHW-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO Cc1cn2cccc(Nc3ccc4onc(C)c4c3)c2[nH+]1 ZINC001216637827 1134936521 /nfs/dbraw/zinc/93/65/21/1134936521.db2.gz LVPKHAYRKLMXJR-UHFFFAOYSA-N 1 2 278.315 3.836 20 0 CHADLO CCc1cccc(Nc2ccc3onc(C)c3c2)[nH+]1 ZINC001216638639 1134937515 /nfs/dbraw/zinc/93/75/15/1134937515.db2.gz PGYJYROTVVHVHJ-UHFFFAOYSA-N 1 2 253.305 3.837 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@@H+](Cc1ccn(C(C)C)n1)C2 ZINC000186475700 1134959880 /nfs/dbraw/zinc/95/98/80/1134959880.db2.gz FBEBDWKHQPOETK-UHFFFAOYSA-N 1 2 283.419 3.639 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@H+](Cc1ccn(C(C)C)n1)C2 ZINC000186475700 1134959883 /nfs/dbraw/zinc/95/98/83/1134959883.db2.gz FBEBDWKHQPOETK-UHFFFAOYSA-N 1 2 283.419 3.639 20 0 CHADLO Cc1cccc(-c2noc([C@](C)([NH3+])c3ccccc3)n2)c1C ZINC000405177913 1134960216 /nfs/dbraw/zinc/96/02/16/1134960216.db2.gz CYXWVSGWPVUODT-GOSISDBHSA-N 1 2 293.370 3.576 20 0 CHADLO CC(C)=CCC/C(C)=C/CO[C@H]1C[NH2+]CC(F)(F)C1 ZINC001217854330 1135009715 /nfs/dbraw/zinc/00/97/15/1135009715.db2.gz FWWNEGZGSPKADD-WTWVRAIASA-N 1 2 273.367 3.693 20 0 CHADLO FC1(F)C[NH2+]C[C@@H](OCCCCCCc2ccccc2)C1 ZINC001217854470 1135010167 /nfs/dbraw/zinc/01/01/67/1135010167.db2.gz GLMBTTQLPYVLRV-INIZCTEOSA-N 1 2 297.389 3.803 20 0 CHADLO Cc1cc(Cl)c(O[C@@H]2CC[NH2+]CC2(F)F)c(Cl)c1 ZINC001218011618 1135041718 /nfs/dbraw/zinc/04/17/18/1135041718.db2.gz IEQAHYRWUIEAJQ-SNVBAGLBSA-N 1 2 296.144 3.678 20 0 CHADLO CCc1ccc2cc(O[C@@H]3C[NH2+]CC(F)(F)C3)ccc2c1 ZINC001218272945 1135077914 /nfs/dbraw/zinc/07/79/14/1135077914.db2.gz GEOZIONHYHOBDJ-INIZCTEOSA-N 1 2 291.341 3.778 20 0 CHADLO Cc1ccc(C(C)(C)C)c(O[C@@H]2C[NH2+]CC(F)(F)C2)c1 ZINC001218275840 1135078329 /nfs/dbraw/zinc/07/83/29/1135078329.db2.gz ZLSCOBRKGCHAFB-LBPRGKRZSA-N 1 2 283.362 3.669 20 0 CHADLO C[C@@H](Oc1ccc[nH+]c1N)c1ccccc1OC(F)(F)F ZINC001218319304 1135087710 /nfs/dbraw/zinc/08/77/10/1135087710.db2.gz HYKOBBCJICBMGY-SECBINFHSA-N 1 2 298.264 3.702 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1COc1cc(N)cc[nH+]1 ZINC001218443181 1135106799 /nfs/dbraw/zinc/10/67/99/1135106799.db2.gz QZGCOPUVUFRNDT-UHFFFAOYSA-N 1 2 286.375 3.647 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1cocn1 ZINC000191926647 1135142338 /nfs/dbraw/zinc/14/23/38/1135142338.db2.gz OURQMXGGMQQMRI-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cocn1 ZINC000191926647 1135142341 /nfs/dbraw/zinc/14/23/41/1135142341.db2.gz OURQMXGGMQQMRI-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO COc1cccc2cc(CNc3ccc(N(C)C)[nH+]c3)oc21 ZINC000315405526 1135153688 /nfs/dbraw/zinc/15/36/88/1135153688.db2.gz IEYRSYXGCQHYBC-UHFFFAOYSA-N 1 2 297.358 3.515 20 0 CHADLO Cc1ccccc1[C@@H](OCCn1cc[nH+]c1)c1ccccc1 ZINC001222128923 1135197854 /nfs/dbraw/zinc/19/78/54/1135197854.db2.gz HTNQNAZKSLGHRQ-IBGZPJMESA-N 1 2 292.382 3.998 20 0 CHADLO Clc1cc2c(cc1Cl)[C@H](OCCn1cc[nH+]c1)CC2 ZINC001222134839 1135198422 /nfs/dbraw/zinc/19/84/22/1135198422.db2.gz PVIPRTHFTFZLHM-CQSZACIVSA-N 1 2 297.185 3.894 20 0 CHADLO CCCC[C@@H](OCCn1cc[nH+]c1)[C@@H](C)CCC ZINC001222138847 1135198854 /nfs/dbraw/zinc/19/88/54/1135198854.db2.gz KXEASWSZQUILJF-LSDHHAIUSA-N 1 2 252.402 3.895 20 0 CHADLO FC(F)(F)c1ccc2c(c1)CC[C@H]2OCCn1cc[nH+]c1 ZINC001222139471 1135198882 /nfs/dbraw/zinc/19/88/82/1135198882.db2.gz TYQIMIBOKPNJAQ-CQSZACIVSA-N 1 2 296.292 3.606 20 0 CHADLO CSc1ccc(COC2C[NH+](Cc3ccccc3)C2)cc1 ZINC001222228822 1135210955 /nfs/dbraw/zinc/21/09/55/1135210955.db2.gz WFSCZSXARCLCMK-UHFFFAOYSA-N 1 2 299.439 3.810 20 0 CHADLO COCc1ccc(Cl)c(-c2cc[nH+]c3c2CCN3)c1 ZINC001222285692 1135217589 /nfs/dbraw/zinc/21/75/89/1135217589.db2.gz FPNQNSWTTWAXAC-UHFFFAOYSA-N 1 2 274.751 3.516 20 0 CHADLO Cn1c[nH+]cc1CO[C@H]1CCc2cc(Cl)c(Cl)cc21 ZINC001222595308 1135253918 /nfs/dbraw/zinc/25/39/18/1135253918.db2.gz WGPFQJIRNKXUKM-AWEZNQCLSA-N 1 2 297.185 3.931 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1COCc1c[nH+]cn1C ZINC001222600441 1135255641 /nfs/dbraw/zinc/25/56/41/1135255641.db2.gz PDAZJHINFJOMAH-UHFFFAOYSA-N 1 2 288.391 3.541 20 0 CHADLO COc1cccc2c1[C@@H](OCc1cc(C)[nH+]c(C)c1)CCO2 ZINC001223418919 1135342204 /nfs/dbraw/zinc/34/22/04/1135342204.db2.gz CVJLCHWHAZDHGG-KRWDZBQOSA-N 1 2 299.370 3.747 20 0 CHADLO COc1cccc2c1[C@H](OCc1cc(C)[nH+]c(C)c1)CCO2 ZINC001223418922 1135342580 /nfs/dbraw/zinc/34/25/80/1135342580.db2.gz CVJLCHWHAZDHGG-QGZVFWFLSA-N 1 2 299.370 3.747 20 0 CHADLO Cc1cc(COCc2ccnc(OC(C)C)c2)cc(C)[nH+]1 ZINC001223426111 1135345074 /nfs/dbraw/zinc/34/50/74/1135345074.db2.gz YWLUSEBHNITVTJ-UHFFFAOYSA-N 1 2 286.375 3.597 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H]1CCOc2ccccc21 ZINC001224960423 1135497344 /nfs/dbraw/zinc/49/73/44/1135497344.db2.gz BVJDNUMPIANITP-HNNXBMFYSA-N 1 2 277.364 3.711 20 0 CHADLO C[C@H](Oc1cc[nH+]cc1)c1ccccc1Br ZINC001225290557 1135535991 /nfs/dbraw/zinc/53/59/91/1135535991.db2.gz AVJIHPYXVMBALZ-JTQLQIEISA-N 1 2 278.149 3.984 20 0 CHADLO Cc1cc[nH+]c(C)c1OCc1cc(OC(C)C)ncc1F ZINC001227261421 1135811045 /nfs/dbraw/zinc/81/10/45/1135811045.db2.gz AMCPVAPKJJPTAZ-UHFFFAOYSA-N 1 2 290.338 3.599 20 0 CHADLO Cc1ccc(F)cc1CNc1ccc([NH+](C)C)cc1 ZINC000083430661 178437978 /nfs/dbraw/zinc/43/79/78/178437978.db2.gz AWQUKUIKQITWJQ-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO CC[C@@H](C)c1noc(/C=C\c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000355894591 529435585 /nfs/dbraw/zinc/43/55/85/529435585.db2.gz POZJGZCBBFNYRD-OYVUYXNMSA-N 1 2 294.358 3.939 20 0 CHADLO CC[C@@H](CC(=O)N[C@@H](CC)c1[nH]cc[nH+]1)c1ccccc1 ZINC000347945773 529451280 /nfs/dbraw/zinc/45/12/80/529451280.db2.gz DSUITZKUFNJXQI-ZFWWWQNUSA-N 1 2 285.391 3.561 20 0 CHADLO CCc1cnc(C[N@@H+](CC)[C@H](C)c2cccc(O)c2)s1 ZINC000346138008 529645760 /nfs/dbraw/zinc/64/57/60/529645760.db2.gz JQELSVBWRULCJZ-GFCCVEGCSA-N 1 2 290.432 3.994 20 0 CHADLO CCc1cnc(C[N@H+](CC)[C@H](C)c2cccc(O)c2)s1 ZINC000346138008 529645762 /nfs/dbraw/zinc/64/57/62/529645762.db2.gz JQELSVBWRULCJZ-GFCCVEGCSA-N 1 2 290.432 3.994 20 0 CHADLO CCc1cnc(CNc2c[nH+]ccc2OC(C)(C)C)s1 ZINC000344325480 529654680 /nfs/dbraw/zinc/65/46/80/529654680.db2.gz MLEYDTMSXSNMDE-UHFFFAOYSA-N 1 2 291.420 3.890 20 0 CHADLO CCc1[nH+]c(C)ccc1OCC(=O)Nc1c(C)cccc1C ZINC000352552692 529730593 /nfs/dbraw/zinc/73/05/93/529730593.db2.gz AESHHBOOXCXQCI-UHFFFAOYSA-N 1 2 298.386 3.587 20 0 CHADLO C[N@@H+](Cc1ccn(C(F)F)n1)Cc1cc2ccccc2o1 ZINC000347676273 530009919 /nfs/dbraw/zinc/00/99/19/530009919.db2.gz HBDXLCUUVFTPAT-UHFFFAOYSA-N 1 2 291.301 3.656 20 0 CHADLO C[N@H+](Cc1ccn(C(F)F)n1)Cc1cc2ccccc2o1 ZINC000347676273 530009921 /nfs/dbraw/zinc/00/99/21/530009921.db2.gz HBDXLCUUVFTPAT-UHFFFAOYSA-N 1 2 291.301 3.656 20 0 CHADLO C[N@H+](Cc1cn2ccsc2n1)Cc1ccccc1Cl ZINC000352805604 530015317 /nfs/dbraw/zinc/01/53/17/530015317.db2.gz XHZQYABGWYLKHI-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@@H+](Cc1cn2ccsc2n1)Cc1ccccc1Cl ZINC000352805604 530015318 /nfs/dbraw/zinc/01/53/18/530015318.db2.gz XHZQYABGWYLKHI-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO CCn1ccnc1C[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000102768083 261269637 /nfs/dbraw/zinc/26/96/37/261269637.db2.gz CWICWAHCIUYLHN-GFCCVEGCSA-N 1 2 277.799 3.749 20 0 CHADLO CCn1ccnc1C[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000102768083 261269638 /nfs/dbraw/zinc/26/96/38/261269638.db2.gz CWICWAHCIUYLHN-GFCCVEGCSA-N 1 2 277.799 3.749 20 0 CHADLO CCCCC1CCC(NC(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000574695805 335040653 /nfs/dbraw/zinc/04/06/53/335040653.db2.gz ZRNKWHMUBSAPFV-FHERZECASA-N 1 2 291.439 3.699 20 0 CHADLO CSc1ccc(N[C@H](C)C2CCSCC2)[nH+]c1 ZINC000354468281 532984596 /nfs/dbraw/zinc/98/45/96/532984596.db2.gz SXESAIHRYMMEGW-SNVBAGLBSA-N 1 2 268.451 3.747 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@@H](C)c1ccc(F)cn1 ZINC000353856820 533017515 /nfs/dbraw/zinc/01/75/15/533017515.db2.gz QHXZYFPLXFPAKC-JTQLQIEISA-N 1 2 294.370 3.933 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2ncc(Cl)s2)cc1 ZINC000352002593 533099961 /nfs/dbraw/zinc/09/99/61/533099961.db2.gz MOCYVBLZZYIEAE-SNVBAGLBSA-N 1 2 296.823 3.998 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2ncc(Cl)s2)cc1 ZINC000352002593 533099966 /nfs/dbraw/zinc/09/99/66/533099966.db2.gz MOCYVBLZZYIEAE-SNVBAGLBSA-N 1 2 296.823 3.998 20 0 CHADLO Cc1ccc(N[C@H]2C[C@H](C)n3cc[nH+]c32)cc1-c1ncco1 ZINC000574883313 335055183 /nfs/dbraw/zinc/05/51/83/335055183.db2.gz BDZXIORTVXKVIX-WFASDCNBSA-N 1 2 294.358 3.964 20 0 CHADLO Cc1ccc(CNc2ccc(N3CCCCC3)[nH+]c2)o1 ZINC000036988582 260061653 /nfs/dbraw/zinc/06/16/53/260061653.db2.gz PLZHNPIRCKETPR-UHFFFAOYSA-N 1 2 271.364 3.585 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CSc2ccccc21)c1cscn1 ZINC000335916421 533529629 /nfs/dbraw/zinc/52/96/29/533529629.db2.gz XYTGGNBRHNJPOK-BXKDBHETSA-N 1 2 262.403 3.641 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccc2ccccc21)c1ccon1 ZINC000353671728 533507714 /nfs/dbraw/zinc/50/77/14/533507714.db2.gz BUNYIBMPGGGXCY-NEPJUHHUSA-N 1 2 267.332 3.635 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccoc2)c(Cl)c1OC ZINC000352655162 533543685 /nfs/dbraw/zinc/54/36/85/533543685.db2.gz PMGFAPWLIGDKJG-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccoc2)c(Cl)c1OC ZINC000352655162 533543690 /nfs/dbraw/zinc/54/36/90/533543690.db2.gz PMGFAPWLIGDKJG-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO COc1ccc(C[NH+]2CC3(CCC3(F)F)C2)cc1Cl ZINC000335821747 533553433 /nfs/dbraw/zinc/55/34/33/533553433.db2.gz JMNNQBKSDASBGK-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2nccc(C)n2)cc1 ZINC000341797759 130037357 /nfs/dbraw/zinc/03/73/57/130037357.db2.gz LLXVACKJEFMQMU-ZDUSSCGKSA-N 1 2 287.432 3.748 20 0 CHADLO COCCCCCSCCc1[nH+]c2ccccc2n1C ZINC000341922718 130132304 /nfs/dbraw/zinc/13/23/04/130132304.db2.gz GWONWEOBODKYBQ-UHFFFAOYSA-N 1 2 292.448 3.666 20 0 CHADLO CN(CCc1ccncc1)c1cc[nH+]c2c(Cl)cccc12 ZINC000341938887 130147686 /nfs/dbraw/zinc/14/76/86/130147686.db2.gz YGUSLETURPFEEN-UHFFFAOYSA-N 1 2 297.789 3.962 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2ccccc2C(F)(F)F)o1 ZINC000342017406 130202157 /nfs/dbraw/zinc/20/21/57/130202157.db2.gz XSAOHBQVVYALMQ-SECBINFHSA-N 1 2 299.296 3.502 20 0 CHADLO CCC[C@@H]1CCCC[C@@H]1CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000575127553 335069893 /nfs/dbraw/zinc/06/98/93/335069893.db2.gz LGZXRVBESXCKFG-ARFHVFGLSA-N 1 2 291.439 3.557 20 0 CHADLO Cc1ccccc1NCc1cn2c(cccc2C)[nH+]1 ZINC000008416166 170335640 /nfs/dbraw/zinc/33/56/40/170335640.db2.gz DELWNTLNVSMEME-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1cc(NC(=O)c2cc3ccccc3s2)cc[nH+]1 ZINC000010163500 170399369 /nfs/dbraw/zinc/39/93/69/170399369.db2.gz GSYUZNOBJCYINO-UHFFFAOYSA-N 1 2 268.341 3.857 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(Cl)cc1Cl ZINC000020317687 171147639 /nfs/dbraw/zinc/14/76/39/171147639.db2.gz ACEUZXOSOCKSKN-UHFFFAOYSA-N 1 2 254.120 3.714 20 0 CHADLO CCOc1ccc([NH2+]C[C@@H](C)CC)cc1OCC ZINC000021508999 171309323 /nfs/dbraw/zinc/30/93/23/171309323.db2.gz JKUWBWYPQOUIIQ-LBPRGKRZSA-N 1 2 251.370 3.942 20 0 CHADLO Fc1ccc(-c2nc(C[NH2+]Cc3ccco3)cs2)cc1 ZINC000035163423 172024777 /nfs/dbraw/zinc/02/47/77/172024777.db2.gz HVZHNYTUQYLCFM-UHFFFAOYSA-N 1 2 288.347 3.832 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccco1 ZINC000035739012 172393416 /nfs/dbraw/zinc/39/34/16/172393416.db2.gz LJOHPYIHMAEMIE-ZJUUUORDSA-N 1 2 251.276 3.970 20 0 CHADLO CCCCC[C@@H]([NH2+]Cc1nc(C)no1)c1ccccc1 ZINC000038034132 174031834 /nfs/dbraw/zinc/03/18/34/174031834.db2.gz GOBQEGWBEBXCIP-OAHLLOKOSA-N 1 2 273.380 3.789 20 0 CHADLO C[N@H+](Cc1sccc1Br)Cc1cccnc1 ZINC000043677742 175088777 /nfs/dbraw/zinc/08/87/77/175088777.db2.gz FJPRUYREXDCNPE-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO C[N@@H+](Cc1sccc1Br)Cc1cccnc1 ZINC000043677742 175088778 /nfs/dbraw/zinc/08/87/78/175088778.db2.gz FJPRUYREXDCNPE-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO Cc1cc[nH+]cc1NCc1c(F)cccc1Cl ZINC000071413404 176158754 /nfs/dbraw/zinc/15/87/54/176158754.db2.gz OLHQXLIRZIUABX-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CCCCn1c(SCC(C)C)nnc1[C@@H](CC)[NH+](C)C ZINC000072115102 176318141 /nfs/dbraw/zinc/31/81/41/176318141.db2.gz ISUDUTKRPYBHKS-CYBMUJFWSA-N 1 2 298.500 3.839 20 0 CHADLO Cc1cc[nH+]c(N[C@H]2CCO[C@]3(CCSC3)C2)c1Cl ZINC000334601641 225079203 /nfs/dbraw/zinc/07/92/03/225079203.db2.gz KLGFWCFEPCDOGK-SMDDNHRTSA-N 1 2 298.839 3.510 20 0 CHADLO FC(F)(F)c1csc(C[NH2+]Cc2ccc(Cl)o2)n1 ZINC000344513990 225089816 /nfs/dbraw/zinc/08/98/16/225089816.db2.gz BRWGWCNZPOAYMS-UHFFFAOYSA-N 1 2 296.701 3.698 20 0 CHADLO Cc1cscc1CNC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334704811 225280746 /nfs/dbraw/zinc/28/07/46/225280746.db2.gz ACBIEGXEMPKREF-UHFFFAOYSA-N 1 2 289.404 3.698 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](C(C)C)C2)c(C)[nH+]1 ZINC000334714013 225284330 /nfs/dbraw/zinc/28/43/30/225284330.db2.gz WFJFIYNOAFMJTM-AWEZNQCLSA-N 1 2 275.396 3.517 20 0 CHADLO Cc1cccc(C2=CC[N@H+]([C@@H](C)c3csnn3)CC2)c1 ZINC000334792708 225323383 /nfs/dbraw/zinc/32/33/83/225323383.db2.gz JOVODJYUCPCBGJ-ZDUSSCGKSA-N 1 2 285.416 3.697 20 0 CHADLO Cc1cccc(C2=CC[N@@H+]([C@@H](C)c3csnn3)CC2)c1 ZINC000334792708 225323390 /nfs/dbraw/zinc/32/33/90/225323390.db2.gz JOVODJYUCPCBGJ-ZDUSSCGKSA-N 1 2 285.416 3.697 20 0 CHADLO Cc1[nH+]cccc1Cc1nc([C@H]2C[C@@H]2c2ccccc2)no1 ZINC000347245920 226078218 /nfs/dbraw/zinc/07/82/18/226078218.db2.gz OMEPBFKWROWZOL-CVEARBPZSA-N 1 2 291.354 3.635 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2csc(-c3ccsc3)n2)C1 ZINC000347381125 226140341 /nfs/dbraw/zinc/14/03/41/226140341.db2.gz NODJHZIMNBJXDL-CYBMUJFWSA-N 1 2 282.409 3.806 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2csc(-c3ccsc3)n2)C1 ZINC000347381125 226140344 /nfs/dbraw/zinc/14/03/44/226140344.db2.gz NODJHZIMNBJXDL-CYBMUJFWSA-N 1 2 282.409 3.806 20 0 CHADLO Cc1cccc2[nH+]c(CN3Cc4cccc(Cl)c4C3)cn21 ZINC000347445101 226157553 /nfs/dbraw/zinc/15/75/53/226157553.db2.gz HNEYCHGFFMGGNI-UHFFFAOYSA-N 1 2 297.789 3.812 20 0 CHADLO O=C(c1ccc2sccc2c1)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000347986564 226398360 /nfs/dbraw/zinc/39/83/60/226398360.db2.gz MPTGXJHHVUMFLG-CYBMUJFWSA-N 1 2 297.383 3.602 20 0 CHADLO c1cc(C[NH+](Cc2ccccc2)Cc2ccccc2)n[nH]1 ZINC000171730995 335076375 /nfs/dbraw/zinc/07/63/75/335076375.db2.gz GWHCOTPDULAAPE-UHFFFAOYSA-N 1 2 277.371 3.612 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)C1CC2(CCC2)C1 ZINC000575182715 335077126 /nfs/dbraw/zinc/07/71/26/335077126.db2.gz IOAHFLWTXYYTOG-UHFFFAOYSA-N 1 2 288.391 3.778 20 0 CHADLO CCn1ncc2ccc(NCCCc3ccc(C)[nH+]c3)cc21 ZINC000354554182 227030276 /nfs/dbraw/zinc/03/02/76/227030276.db2.gz XNTLLKHVCAMHEO-UHFFFAOYSA-N 1 2 294.402 3.804 20 0 CHADLO Cc1cc(N2C[C@H](C)C[C@H](C)[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000352594470 227091207 /nfs/dbraw/zinc/09/12/07/227091207.db2.gz HTYOLGFWJRAJPZ-MDZLAQPJSA-N 1 2 259.397 3.533 20 0 CHADLO COc1cc(CNc2cc[nH+]c(C(C)C)n2)ccc1C ZINC000171860907 335083042 /nfs/dbraw/zinc/08/30/42/335083042.db2.gz HJXKYXVWJWWFFX-UHFFFAOYSA-N 1 2 271.364 3.529 20 0 CHADLO FC1=CCC[N@H+](Cc2ccccc2Oc2cccnc2)C1 ZINC000352779488 227213478 /nfs/dbraw/zinc/21/34/78/227213478.db2.gz PHNQWCSFWKQQPE-UHFFFAOYSA-N 1 2 284.334 3.933 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccccc2Oc2cccnc2)C1 ZINC000352779488 227213483 /nfs/dbraw/zinc/21/34/83/227213483.db2.gz PHNQWCSFWKQQPE-UHFFFAOYSA-N 1 2 284.334 3.933 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000192667262 227262001 /nfs/dbraw/zinc/26/20/01/227262001.db2.gz MODWFGWXPVZJKK-JTQLQIEISA-N 1 2 279.409 3.622 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000192667262 227262007 /nfs/dbraw/zinc/26/20/07/227262007.db2.gz MODWFGWXPVZJKK-JTQLQIEISA-N 1 2 279.409 3.622 20 0 CHADLO Cc1ccc(NCc2cc(O)cc(C(F)(F)F)c2)c[nH+]1 ZINC000352846896 227321671 /nfs/dbraw/zinc/32/16/71/227321671.db2.gz SULFLIYFERRUBM-UHFFFAOYSA-N 1 2 282.265 3.727 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@@H](CC(F)(F)F)c1ccccc1C ZINC000352860912 227343438 /nfs/dbraw/zinc/34/34/38/227343438.db2.gz CJQZPHVLJHUEQE-ZDUSSCGKSA-N 1 2 297.324 3.810 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@@H](CC(F)(F)F)c1ccccc1C ZINC000352860912 227343445 /nfs/dbraw/zinc/34/34/45/227343445.db2.gz CJQZPHVLJHUEQE-ZDUSSCGKSA-N 1 2 297.324 3.810 20 0 CHADLO Cc1cc(N2C[C@H](C)C[C@H]2c2cccc(F)c2)nc[nH+]1 ZINC000301447875 227540561 /nfs/dbraw/zinc/54/05/61/227540561.db2.gz KMMQZBFUILJQSO-ABAIWWIYSA-N 1 2 271.339 3.512 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ncc(C(C)(C)C)o2)on1 ZINC000331382308 228096941 /nfs/dbraw/zinc/09/69/41/228096941.db2.gz GYHRGMUNXUZNCH-LBPRGKRZSA-N 1 2 289.379 3.606 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ncc(C(C)(C)C)o2)on1 ZINC000331382308 228096943 /nfs/dbraw/zinc/09/69/43/228096943.db2.gz GYHRGMUNXUZNCH-LBPRGKRZSA-N 1 2 289.379 3.606 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCOC[C@@H]2CC(F)F)c1 ZINC000353479777 228108919 /nfs/dbraw/zinc/10/89/19/228108919.db2.gz CTEYPUFINDRSBH-ZDUSSCGKSA-N 1 2 289.753 3.504 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCOC[C@@H]2CC(F)F)c1 ZINC000353479777 228108921 /nfs/dbraw/zinc/10/89/21/228108921.db2.gz CTEYPUFINDRSBH-ZDUSSCGKSA-N 1 2 289.753 3.504 20 0 CHADLO Cc1ccc(Cc2noc(C[C@H]3CCC[C@@H](C)C3)n2)c[nH+]1 ZINC000356119624 228129224 /nfs/dbraw/zinc/12/92/24/228129224.db2.gz CAAFNHJJAGYQHL-OCCSQVGLSA-N 1 2 285.391 3.733 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cscc2C(F)(F)F)C1 ZINC000356132727 228134439 /nfs/dbraw/zinc/13/44/39/228134439.db2.gz WBGDTJSUCBYSPD-JTQLQIEISA-N 1 2 267.291 3.701 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cscc2C(F)(F)F)C1 ZINC000356132727 228134441 /nfs/dbraw/zinc/13/44/41/228134441.db2.gz WBGDTJSUCBYSPD-JTQLQIEISA-N 1 2 267.291 3.701 20 0 CHADLO Clc1ccc(C[N@@H+]2CCc3ccsc3C2)o1 ZINC000353693617 228145327 /nfs/dbraw/zinc/14/53/27/228145327.db2.gz XKJXPHRDIKEJDM-UHFFFAOYSA-N 1 2 253.754 3.553 20 0 CHADLO Clc1ccc(C[N@H+]2CCc3ccsc3C2)o1 ZINC000353693617 228145329 /nfs/dbraw/zinc/14/53/29/228145329.db2.gz XKJXPHRDIKEJDM-UHFFFAOYSA-N 1 2 253.754 3.553 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1[C@H](C)CCC[C@H]1C ZINC000351932359 228167359 /nfs/dbraw/zinc/16/73/59/228167359.db2.gz ZTJVKOIXMATNDU-GHMZBOCLSA-N 1 2 275.396 3.645 20 0 CHADLO Cc1cccc(OCC[N@@H+](C)Cc2ncc(Cl)s2)c1 ZINC000351988880 228171294 /nfs/dbraw/zinc/17/12/94/228171294.db2.gz NBGSBKVNOZWJGG-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1cccc(OCC[N@H+](C)Cc2ncc(Cl)s2)c1 ZINC000351988880 228171295 /nfs/dbraw/zinc/17/12/95/228171295.db2.gz NBGSBKVNOZWJGG-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1ccccc1NC(=O)Nc1c(C)cc[nH+]c1C ZINC000335963057 228171649 /nfs/dbraw/zinc/17/16/49/228171649.db2.gz MTWGSOJQJDAWAX-UHFFFAOYSA-N 1 2 255.321 3.651 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CC[C@@H]3CCC[C@H]3C2)c(C)[nH+]1 ZINC000333285053 228195683 /nfs/dbraw/zinc/19/56/83/228195683.db2.gz KHVRJAHNONXFDD-SOUVJXGZSA-N 1 2 287.407 3.789 20 0 CHADLO CCc1onc(C)c1NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000336147217 228197696 /nfs/dbraw/zinc/19/76/96/228197696.db2.gz AYEZMFTWAAIBED-UHFFFAOYSA-N 1 2 288.351 3.510 20 0 CHADLO Cc1ccc(COc2ccc(-c3nncs3)cc2)c(C)[nH+]1 ZINC000352220255 228200710 /nfs/dbraw/zinc/20/07/10/228200710.db2.gz ASCLTPAOCUZDGN-UHFFFAOYSA-N 1 2 297.383 3.796 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2Cc3ccccc3S2)c(C)[nH+]1 ZINC000336166360 228202562 /nfs/dbraw/zinc/20/25/62/228202562.db2.gz QDSBMVBDPVZAID-OAHLLOKOSA-N 1 2 298.411 3.662 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1C[C@H](C)OC(C)(C)C1 ZINC000521311502 260041724 /nfs/dbraw/zinc/04/17/24/260041724.db2.gz GQJVGAPWNPJDTM-WDEREUQCSA-N 1 2 269.335 3.525 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1C[C@H](C)OC(C)(C)C1 ZINC000521311502 260041725 /nfs/dbraw/zinc/04/17/25/260041725.db2.gz GQJVGAPWNPJDTM-WDEREUQCSA-N 1 2 269.335 3.525 20 0 CHADLO Cc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC000036982115 260060272 /nfs/dbraw/zinc/06/02/72/260060272.db2.gz LEDCAWULFIWSCG-UHFFFAOYSA-N 1 2 267.376 3.602 20 0 CHADLO CCCCS(=O)(=O)Nc1ccc([NH2+][C@@H](C)C(C)C)cc1 ZINC000179964243 260083877 /nfs/dbraw/zinc/08/38/77/260083877.db2.gz SOGDJIHCYKFHSE-ZDUSSCGKSA-N 1 2 298.452 3.685 20 0 CHADLO CC1C[NH+](Cc2cc(Br)c(Cl)s2)C1 ZINC000306574863 260125244 /nfs/dbraw/zinc/12/52/44/260125244.db2.gz RUURLUUGXUDXLI-UHFFFAOYSA-N 1 2 280.618 3.616 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccn(C3CCCC3)n2)n1 ZINC000069994361 260151663 /nfs/dbraw/zinc/15/16/63/260151663.db2.gz XHYCCBCTYCJBEC-GFCCVEGCSA-N 1 2 290.436 3.614 20 0 CHADLO COc1cc[nH+]cc1COc1ccc(C(C)=O)cc1Cl ZINC000312835542 260209992 /nfs/dbraw/zinc/20/99/92/260209992.db2.gz VGIMTKLGASRURK-UHFFFAOYSA-N 1 2 291.734 3.525 20 0 CHADLO CCc1ccc(NCc2cc(OC)cc(C)[nH+]2)cc1 ZINC000214088370 260228480 /nfs/dbraw/zinc/22/84/80/260228480.db2.gz GCOSPUUXPKZZIC-UHFFFAOYSA-N 1 2 256.349 3.573 20 0 CHADLO c1cc2c(o1)CCC[C@@H]2[NH2+]Cc1ccn(-c2ccccc2)n1 ZINC000092172540 260268165 /nfs/dbraw/zinc/26/81/65/260268165.db2.gz LPSQAPIWVVFGSX-KRWDZBQOSA-N 1 2 293.370 3.633 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000187728777 260276006 /nfs/dbraw/zinc/27/60/06/260276006.db2.gz SESBNZUVZQWQGG-YGRLFVJLSA-N 1 2 271.763 3.651 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000187728777 260276007 /nfs/dbraw/zinc/27/60/07/260276007.db2.gz SESBNZUVZQWQGG-YGRLFVJLSA-N 1 2 271.763 3.651 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1ccon1 ZINC000129030599 260381149 /nfs/dbraw/zinc/38/11/49/260381149.db2.gz ZWTGNGQMMOXJID-LLVKDONJSA-N 1 2 256.305 3.580 20 0 CHADLO CCC[C@H]([NH2+]Cc1cccc(SCC)c1)C(=O)OCC ZINC000427836934 260853137 /nfs/dbraw/zinc/85/31/37/260853137.db2.gz ZAYMJEPVWSOLQW-HNNXBMFYSA-N 1 2 295.448 3.620 20 0 CHADLO CCc1ccc(C[NH2+]C(C)(C)c2nc(C)cs2)o1 ZINC000150773013 260973586 /nfs/dbraw/zinc/97/35/86/260973586.db2.gz RJSGORJVTHWUNH-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO Cc1ccc(NC(=O)N2[C@H](C)C[C@@H]3CCCC[C@@H]32)c(C)[nH+]1 ZINC000152399752 261063863 /nfs/dbraw/zinc/06/38/63/261063863.db2.gz BANPOBXUAIYKFF-INWMFGNUSA-N 1 2 287.407 3.883 20 0 CHADLO C[N@H+](Cc1csc(C2CC2)n1)Cc1ccccc1 ZINC000154470030 261092234 /nfs/dbraw/zinc/09/22/34/261092234.db2.gz OKQXUVVDQSFYJH-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO C[N@@H+](Cc1csc(C2CC2)n1)Cc1ccccc1 ZINC000154470030 261092235 /nfs/dbraw/zinc/09/22/35/261092235.db2.gz OKQXUVVDQSFYJH-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nccn2C(F)F)cc1C ZINC000155010851 261097315 /nfs/dbraw/zinc/09/73/15/261097315.db2.gz NNROSRMJKCWEBC-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nccn2C(F)F)cc1C ZINC000155010851 261097316 /nfs/dbraw/zinc/09/73/16/261097316.db2.gz NNROSRMJKCWEBC-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO C[C@]1(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC=CCC1 ZINC000448726250 261104584 /nfs/dbraw/zinc/10/45/84/261104584.db2.gz SCHINAMBMIDTAV-KRWDZBQOSA-N 1 2 281.359 3.557 20 0 CHADLO CC(C)(C)[C@H]1C[N@H+](Cc2cnc(C3CCC3)s2)CCO1 ZINC000448369213 261131551 /nfs/dbraw/zinc/13/15/51/261131551.db2.gz YEJHREPOZVMCDO-CQSZACIVSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)(C)[C@H]1C[N@@H+](Cc2cnc(C3CCC3)s2)CCO1 ZINC000448369213 261131552 /nfs/dbraw/zinc/13/15/52/261131552.db2.gz YEJHREPOZVMCDO-CQSZACIVSA-N 1 2 294.464 3.658 20 0 CHADLO Fc1ccc([C@H]2C[N@H+](CC3CCC3)CCO2)cc1Cl ZINC000173628812 261167309 /nfs/dbraw/zinc/16/73/09/261167309.db2.gz DNTLYVBTJCKAGW-OAHLLOKOSA-N 1 2 283.774 3.653 20 0 CHADLO Fc1ccc([C@H]2C[N@@H+](CC3CCC3)CCO2)cc1Cl ZINC000173628812 261167312 /nfs/dbraw/zinc/16/73/12/261167312.db2.gz DNTLYVBTJCKAGW-OAHLLOKOSA-N 1 2 283.774 3.653 20 0 CHADLO CCn1nc(C)c(CNc2[nH+]c3ccccc3n2CC)c1C ZINC000158905675 261173584 /nfs/dbraw/zinc/17/35/84/261173584.db2.gz FEDLSYCOPCNRLF-UHFFFAOYSA-N 1 2 297.406 3.502 20 0 CHADLO CCn1cc[nH+]c1CSCc1csc(C(C)C)n1 ZINC000175289853 261219289 /nfs/dbraw/zinc/21/92/89/261219289.db2.gz LTZVUZUOZNNATI-UHFFFAOYSA-N 1 2 281.450 3.916 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+][C@@H]1CCCc3[nH]ncc31)CCC2 ZINC000367599337 261258842 /nfs/dbraw/zinc/25/88/42/261258842.db2.gz VEWRBDNTUHCEPC-HZPDHXFCSA-N 1 2 285.366 3.593 20 0 CHADLO Cc1ncsc1C[NH+](Cc1ccco1)Cc1ccco1 ZINC000162574547 261286461 /nfs/dbraw/zinc/28/64/61/261286461.db2.gz QTDDKLAZRXQOLW-UHFFFAOYSA-N 1 2 288.372 3.840 20 0 CHADLO C[C@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1cccc(O)c1 ZINC000105461023 261359717 /nfs/dbraw/zinc/35/97/17/261359717.db2.gz GCNADDRPKBFKQZ-NSHDSACASA-N 1 2 290.432 3.997 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccccc2Br)s1 ZINC000164841724 261360331 /nfs/dbraw/zinc/36/03/31/261360331.db2.gz LGPAKKQLTSDLSY-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO Cc1ccc(NC(=O)N(C)[C@@H]2CCCC[C@@H]2C)c(C)[nH+]1 ZINC000176708567 261665374 /nfs/dbraw/zinc/66/53/74/261665374.db2.gz IYTFYGCFFMAYGY-XHDPSFHLSA-N 1 2 275.396 3.741 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]Cc1nc(C)c(C)s1 ZINC000178182517 261731504 /nfs/dbraw/zinc/73/15/04/261731504.db2.gz JBNABUGNDAGXRX-JTQLQIEISA-N 1 2 294.395 3.758 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@H](C)c2csc(C(C)C)n2)cnn1C ZINC000180908334 261878518 /nfs/dbraw/zinc/87/85/18/261878518.db2.gz GNPVSMWEJIGMNZ-GHMZBOCLSA-N 1 2 292.452 3.720 20 0 CHADLO Cc1ccc(-c2nc(C(=O)Nc3cc[nH+]cc3C)cs2)o1 ZINC000107292746 261882005 /nfs/dbraw/zinc/88/20/05/261882005.db2.gz PGUBFPGNGJILRG-UHFFFAOYSA-N 1 2 299.355 3.667 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+]Cc2noc(C(C)(C)C)n2)c1 ZINC000182931212 261957155 /nfs/dbraw/zinc/95/71/55/261957155.db2.gz ZNESFSFHVYMXCU-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)s1)c1ccon1 ZINC000336744522 262000470 /nfs/dbraw/zinc/00/04/70/262000470.db2.gz QCKJMTIAUXBONG-SFYZADRCSA-N 1 2 256.758 3.801 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)CC3=CCCCC3)ccc2n1C ZINC000525724390 262006360 /nfs/dbraw/zinc/00/63/60/262006360.db2.gz ORDCXJZLDYICEL-UHFFFAOYSA-N 1 2 283.375 3.711 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1scnc1C1CCCC1 ZINC000184754805 262028520 /nfs/dbraw/zinc/02/85/20/262028520.db2.gz RTBBPGNMTPHTBD-UHFFFAOYSA-N 1 2 287.388 3.756 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1c2ccccc2CC[C@H]1C(C)C ZINC000185083769 262040363 /nfs/dbraw/zinc/04/03/63/262040363.db2.gz KUJILUDUAXLKIZ-FUHWJXTLSA-N 1 2 283.419 3.767 20 0 CHADLO COc1ccc([NH2+][C@H]2CCC[C@@H](c3ccnn3C)C2)cc1 ZINC000363953228 262052424 /nfs/dbraw/zinc/05/24/24/262052424.db2.gz KVVDROJSVAWWTQ-HIFRSBDPSA-N 1 2 285.391 3.567 20 0 CHADLO CC(C)c1noc(C[NH+]2CCC(c3ccsc3)CC2)n1 ZINC000186907830 262115974 /nfs/dbraw/zinc/11/59/74/262115974.db2.gz YVAVOFPDWBCZFN-UHFFFAOYSA-N 1 2 291.420 3.634 20 0 CHADLO Clc1cccc([C@H]2CCC[N@@H+]2Cc2cocn2)c1 ZINC000191927258 262196692 /nfs/dbraw/zinc/19/66/92/262196692.db2.gz MBPPTWFPZVYDPN-CQSZACIVSA-N 1 2 262.740 3.665 20 0 CHADLO Clc1cccc([C@H]2CCC[N@H+]2Cc2cocn2)c1 ZINC000191927258 262196694 /nfs/dbraw/zinc/19/66/94/262196694.db2.gz MBPPTWFPZVYDPN-CQSZACIVSA-N 1 2 262.740 3.665 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2cc(Cl)ccc2Cl)[nH]1 ZINC000186755561 262323050 /nfs/dbraw/zinc/32/30/50/262323050.db2.gz BKZGAFCTYDNXIG-QMMMGPOBSA-N 1 2 299.205 3.525 20 0 CHADLO CCOc1ccccc1CNc1ccc(N2CCCC2)[nH+]c1 ZINC000121779006 262339928 /nfs/dbraw/zinc/33/99/28/262339928.db2.gz MAERCMCIXRBELO-UHFFFAOYSA-N 1 2 297.402 3.693 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc(C)[nH+]c2)c(C)c1 ZINC000414104803 262805109 /nfs/dbraw/zinc/80/51/09/262805109.db2.gz ZDKBJSULKAIVPP-ZDUSSCGKSA-N 1 2 256.349 3.880 20 0 CHADLO COCC[N@H+](CC(F)F)[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437620680 263026834 /nfs/dbraw/zinc/02/68/34/263026834.db2.gz MZUZVSRKMJLSNK-RDJZCZTQSA-N 1 2 297.389 3.710 20 0 CHADLO COCC[N@@H+](CC(F)F)[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437620680 263026835 /nfs/dbraw/zinc/02/68/35/263026835.db2.gz MZUZVSRKMJLSNK-RDJZCZTQSA-N 1 2 297.389 3.710 20 0 CHADLO Cc1ccsc1C[NH2+]Cc1noc(-c2ccccc2C)n1 ZINC000276818468 263038164 /nfs/dbraw/zinc/03/81/64/263038164.db2.gz YXGHNJCWWGNBSS-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)c2cc(C)oc2C)[nH]1 ZINC000278871381 263051621 /nfs/dbraw/zinc/05/16/21/263051621.db2.gz WZOHHJANERXWJM-MVWJERBFSA-N 1 2 290.411 3.940 20 0 CHADLO CC[C@H]1C[C@H](CNc2ccc3c(c2)CCC[NH2+]3)CCO1 ZINC000414844378 263059065 /nfs/dbraw/zinc/05/90/65/263059065.db2.gz DPLRLKLUWXWNJY-CJNGLKHVSA-N 1 2 274.408 3.662 20 0 CHADLO Cc1cc(NCCC[C@H](C)CO)c2cccc(F)c2[nH+]1 ZINC000340080306 263067396 /nfs/dbraw/zinc/06/73/96/263067396.db2.gz WUUGNEIHCFTOMC-NSHDSACASA-N 1 2 276.355 3.503 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1ccccc1OCC(F)F ZINC000296268390 263189014 /nfs/dbraw/zinc/18/90/14/263189014.db2.gz BVKMRNORYGHMID-LLVKDONJSA-N 1 2 295.333 3.720 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(F)cc1N(C)C)C(F)F ZINC000453248275 263209226 /nfs/dbraw/zinc/20/92/26/263209226.db2.gz PBCKLJREDCMTOO-SKDRFNHKSA-N 1 2 274.330 3.586 20 0 CHADLO CC[C@@H]([NH2+][C@@H](COC)c1ccc(Cl)cc1)C(F)F ZINC000453253987 263211044 /nfs/dbraw/zinc/21/10/44/263211044.db2.gz XOVIXLJPHZWAFP-NEPJUHHUSA-N 1 2 277.742 3.661 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1cc2c(s1)CCC2 ZINC000453293542 263221844 /nfs/dbraw/zinc/22/18/44/263221844.db2.gz ONZQOUUKGPKCIX-IUCAKERBSA-N 1 2 259.365 3.931 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(-c3ccco3)no2)c1C ZINC000299249426 263236376 /nfs/dbraw/zinc/23/63/76/263236376.db2.gz ODXFVSZGBUJMIO-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(-c3ccco3)no2)c1C ZINC000299249426 263236378 /nfs/dbraw/zinc/23/63/78/263236378.db2.gz ODXFVSZGBUJMIO-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nnc3n2CCCCC3)cc1 ZINC000299567241 263247409 /nfs/dbraw/zinc/24/74/09/263247409.db2.gz GLQQBXYGCLCENP-HNNXBMFYSA-N 1 2 298.434 3.506 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nnc3n2CCCCC3)cc1 ZINC000299567241 263247411 /nfs/dbraw/zinc/24/74/11/263247411.db2.gz GLQQBXYGCLCENP-HNNXBMFYSA-N 1 2 298.434 3.506 20 0 CHADLO CCn1cc[nH+]c1CNc1cccc(C(C)(F)F)c1 ZINC000187577420 263261626 /nfs/dbraw/zinc/26/16/26/263261626.db2.gz YZSHHQXQVJAMLE-UHFFFAOYSA-N 1 2 265.307 3.627 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000187712022 263267389 /nfs/dbraw/zinc/26/73/89/263267389.db2.gz QUDHNYBNAOTOMJ-KBXCAEBGSA-N 1 2 293.370 3.818 20 0 CHADLO COc1ccsc1C[NH2+][C@@H]1COc2cccc(Cl)c21 ZINC000342432025 263433598 /nfs/dbraw/zinc/43/35/98/263433598.db2.gz SLOLSIHFCWXJTL-SNVBAGLBSA-N 1 2 295.791 3.633 20 0 CHADLO Cc1cc(C)c(NC(=O)CCCC2CCOCC2)c(C)[nH+]1 ZINC000330674988 264014917 /nfs/dbraw/zinc/01/49/17/264014917.db2.gz REGRNDYFNJCJKT-UHFFFAOYSA-N 1 2 290.407 3.542 20 0 CHADLO CC[C@H]1C[C@@H](CC(=O)Nc2c(C)cc(C)[nH+]c2C)CCO1 ZINC000330784462 264052352 /nfs/dbraw/zinc/05/23/52/264052352.db2.gz KASDNTQNLBHIEU-GJZGRUSLSA-N 1 2 290.407 3.541 20 0 CHADLO CC(C)[C@H](NC(=O)C[C@@H](C)C1CCCCC1)c1[nH]cc[nH+]1 ZINC000330967057 264091447 /nfs/dbraw/zinc/09/14/47/264091447.db2.gz ADFPYXICNGTHJY-CJNGLKHVSA-N 1 2 291.439 3.830 20 0 CHADLO FC(F)CC1C[NH+](Cc2ccc(C(F)(F)F)s2)C1 ZINC000425364750 264142493 /nfs/dbraw/zinc/14/24/93/264142493.db2.gz HMZOIVLEMSPTBY-UHFFFAOYSA-N 1 2 285.281 3.854 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C(C)C)s1)c1c(C)noc1C ZINC000331339860 264194719 /nfs/dbraw/zinc/19/47/19/264194719.db2.gz RXRYDOPHDQQTTL-NSHDSACASA-N 1 2 294.424 3.507 20 0 CHADLO Fc1cc(Cl)ccc1CN1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000189087569 264267683 /nfs/dbraw/zinc/26/76/83/264267683.db2.gz WVYPPMVRRYSDCQ-AWEZNQCLSA-N 1 2 293.773 3.929 20 0 CHADLO Cc1cc(N(C)Cc2nccs2)c2cccc(F)c2[nH+]1 ZINC000128176194 264282275 /nfs/dbraw/zinc/28/22/75/264282275.db2.gz QQOFDJFGSXFQLZ-UHFFFAOYSA-N 1 2 287.363 3.775 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)cc1F ZINC000073985161 264301337 /nfs/dbraw/zinc/30/13/37/264301337.db2.gz OJGUOZPGMJEHFO-LLVKDONJSA-N 1 2 291.370 3.665 20 0 CHADLO Cn1ncc(Cl)c1C[N@H+](C)Cc1cccc2ccccc21 ZINC000189630783 264311624 /nfs/dbraw/zinc/31/16/24/264311624.db2.gz OPQOGIIOBPESLH-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO Cn1ncc(Cl)c1C[N@@H+](C)Cc1cccc2ccccc21 ZINC000189630783 264311626 /nfs/dbraw/zinc/31/16/26/264311626.db2.gz OPQOGIIOBPESLH-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO COc1ccccc1[C@@H]1CC[C@H](C)CN1c1cc(C)[nH+]cn1 ZINC000343275294 264312162 /nfs/dbraw/zinc/31/21/62/264312162.db2.gz SXMRHPYDMNQMAY-BBRMVZONSA-N 1 2 297.402 3.771 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)o1 ZINC000334508685 264395897 /nfs/dbraw/zinc/39/58/97/264395897.db2.gz ZKNAEXMBMGKHJR-LBPRGKRZSA-N 1 2 250.729 3.598 20 0 CHADLO Clc1ccc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)o1 ZINC000334508685 264395899 /nfs/dbraw/zinc/39/58/99/264395899.db2.gz ZKNAEXMBMGKHJR-LBPRGKRZSA-N 1 2 250.729 3.598 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCC2(C(F)(F)F)CC2)c1 ZINC000343329833 264912984 /nfs/dbraw/zinc/91/29/84/264912984.db2.gz DFKVPAZGQHHCNS-UHFFFAOYSA-N 1 2 284.203 3.855 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)CC1CCC(C)CC1 ZINC000425583916 264945960 /nfs/dbraw/zinc/94/59/60/264945960.db2.gz BVYRSYDZANAQCY-UHFFFAOYSA-N 1 2 260.381 3.853 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2ccc(F)cc21)c1ccncc1Cl ZINC000335035781 265084489 /nfs/dbraw/zinc/08/44/89/265084489.db2.gz ZITAAKJDTSMEME-YMTOWFKASA-N 1 2 292.741 3.658 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2ccc(F)cc21)c1ccncc1Cl ZINC000335035778 265084622 /nfs/dbraw/zinc/08/46/22/265084622.db2.gz ZITAAKJDTSMEME-LKFCYVNXSA-N 1 2 292.741 3.658 20 0 CHADLO COCc1ccc(C[NH2+]C2(C(F)F)CCCCC2)cc1 ZINC000518936911 265234021 /nfs/dbraw/zinc/23/40/21/265234021.db2.gz NRIVZSBSFVBWQF-UHFFFAOYSA-N 1 2 283.362 3.891 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc(F)cc(F)c1)c1nccs1 ZINC000094827647 265259363 /nfs/dbraw/zinc/25/93/63/265259363.db2.gz ZWFARURKPFKRDM-IUCAKERBSA-N 1 2 268.332 3.833 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)cc(Cl)c2)[C@H]1[C@@H]1CCCO1 ZINC000519244036 265375137 /nfs/dbraw/zinc/37/51/37/265375137.db2.gz UBRUHOGCPWFFHB-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)cc(Cl)c2)[C@H]1[C@@H]1CCCO1 ZINC000519244036 265375140 /nfs/dbraw/zinc/37/51/40/265375140.db2.gz UBRUHOGCPWFFHB-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO Fc1ccc(CCNc2ccc3ccccc3[nH+]2)c(F)c1 ZINC000514624516 266021814 /nfs/dbraw/zinc/02/18/14/266021814.db2.gz SYSGHUWGCODODP-UHFFFAOYSA-N 1 2 284.309 3.590 20 0 CHADLO Cc1ccc(Cc2noc([C@@H](C)c3ccccc3F)n2)c[nH+]1 ZINC000356168771 266061365 /nfs/dbraw/zinc/06/13/65/266061365.db2.gz KSRRPUFEPGROMJ-LBPRGKRZSA-N 1 2 297.333 3.655 20 0 CHADLO COC[C@@H]([NH2+]Cc1c(F)cccc1F)c1ccc(F)cc1 ZINC000356250330 266080840 /nfs/dbraw/zinc/08/08/40/266080840.db2.gz FBVFQAQGKKBZDI-MRXNPFEDSA-N 1 2 295.304 3.581 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2ccc(-n3cccn3)cc2)o1 ZINC000356282501 266087211 /nfs/dbraw/zinc/08/72/11/266087211.db2.gz NJIOFKJHRIZTDY-KBPBESRZSA-N 1 2 296.374 3.581 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2cccc(N3CCCC3)c2)o1 ZINC000356312867 266093620 /nfs/dbraw/zinc/09/36/20/266093620.db2.gz QAVCHKCHDJJGSB-HUUCEWRRSA-N 1 2 299.418 3.995 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N[C@H]3CCCCC3(C)C)cc2n1C ZINC000356383234 266105335 /nfs/dbraw/zinc/10/53/35/266105335.db2.gz SUUJIJXKXFGFAA-INIZCTEOSA-N 1 2 299.418 3.580 20 0 CHADLO Fc1cc(C[NH+]2CC3(CCC3(F)F)C2)ccc1Cl ZINC000356521598 266129820 /nfs/dbraw/zinc/12/98/20/266129820.db2.gz HTFBHVNHXDLTBJ-UHFFFAOYSA-N 1 2 275.701 3.710 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1Cl)c1ccc(F)cn1 ZINC000356689448 266160372 /nfs/dbraw/zinc/16/03/72/266160372.db2.gz YHZYTJBBWHSKNQ-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1nc(C2CC2)cs1 ZINC000356832775 266182793 /nfs/dbraw/zinc/18/27/93/266182793.db2.gz FLYCGNXDQVDSGQ-NSHDSACASA-N 1 2 287.432 3.829 20 0 CHADLO Cc1cccc2[nH+]cc(CN3CC4(CC4)c4ccccc43)n21 ZINC000356933165 266214400 /nfs/dbraw/zinc/21/44/00/266214400.db2.gz LGYCBXMFYWIRRS-UHFFFAOYSA-N 1 2 289.382 3.695 20 0 CHADLO C[C@H]1OCC[N@H+](Cc2ccc(Oc3ccccc3)o2)[C@H]1C ZINC000356960018 266218529 /nfs/dbraw/zinc/21/85/29/266218529.db2.gz BWWIERIRRJEJIF-UONOGXRCSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@H]1OCC[N@@H+](Cc2ccc(Oc3ccccc3)o2)[C@H]1C ZINC000356960018 266218534 /nfs/dbraw/zinc/21/85/34/266218534.db2.gz BWWIERIRRJEJIF-UONOGXRCSA-N 1 2 287.359 3.681 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)CCOc2ccccc2Cl)o1 ZINC000356955435 266218715 /nfs/dbraw/zinc/21/87/15/266218715.db2.gz FZOIGSLYEBQHOJ-LBPRGKRZSA-N 1 2 294.782 3.708 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)CCOc2ccccc2Cl)o1 ZINC000356955435 266218719 /nfs/dbraw/zinc/21/87/19/266218719.db2.gz FZOIGSLYEBQHOJ-LBPRGKRZSA-N 1 2 294.782 3.708 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000357117722 266251044 /nfs/dbraw/zinc/25/10/44/266251044.db2.gz KAPCERZZLDJWFY-GDBMZVCRSA-N 1 2 297.402 3.575 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@@H+]1CCc2ccccc2C1 ZINC000357157785 266257184 /nfs/dbraw/zinc/25/71/84/266257184.db2.gz VALJJXLCESTBHZ-UHFFFAOYSA-N 1 2 281.403 3.557 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@H+]1CCc2ccccc2C1 ZINC000357157785 266257189 /nfs/dbraw/zinc/25/71/89/266257189.db2.gz VALJJXLCESTBHZ-UHFFFAOYSA-N 1 2 281.403 3.557 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@@H]1CC12CCCCC2 ZINC000357336348 266279193 /nfs/dbraw/zinc/27/91/93/266279193.db2.gz OQSNOPXJBJQGEI-AWEZNQCLSA-N 1 2 295.386 3.781 20 0 CHADLO COCc1cc(N2C[C@H](C)[C@@H](C)C2)c2cc(F)ccc2[nH+]1 ZINC000357538399 266317590 /nfs/dbraw/zinc/31/75/90/266317590.db2.gz QYCJXOBQGSVZHI-RYUDHWBXSA-N 1 2 288.366 3.613 20 0 CHADLO Cc1csc2c1ncnc2N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000357539672 266318359 /nfs/dbraw/zinc/31/83/59/266318359.db2.gz VSVJGXIIGMHZFK-SNVBAGLBSA-N 1 2 287.392 3.532 20 0 CHADLO CCc1cc(N2CCc3sccc3[C@H]2C)nc(C)[nH+]1 ZINC000357684184 266346468 /nfs/dbraw/zinc/34/64/68/266346468.db2.gz WJFCXKQMEJFCAT-SNVBAGLBSA-N 1 2 273.405 3.533 20 0 CHADLO Cn1cc[nH+]c1CNc1c(F)cc(F)cc1-c1ccccc1 ZINC000357717456 266350858 /nfs/dbraw/zinc/35/08/58/266350858.db2.gz WHZZDCXGDNSGAD-UHFFFAOYSA-N 1 2 299.324 3.977 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2nc(CC(C)C)no2)c1 ZINC000357963148 266389852 /nfs/dbraw/zinc/38/98/52/266389852.db2.gz HECBYBXHOQXDHZ-UHFFFAOYSA-N 1 2 287.407 3.517 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2nc(CC(C)C)no2)c1 ZINC000357963148 266389853 /nfs/dbraw/zinc/38/98/53/266389853.db2.gz HECBYBXHOQXDHZ-UHFFFAOYSA-N 1 2 287.407 3.517 20 0 CHADLO Cc1cn2cc(NC(=O)[C@@H](C)CC3CCCC3)ccc2[nH+]1 ZINC000357971406 266392767 /nfs/dbraw/zinc/39/27/67/266392767.db2.gz JTIMIYCMBHUXJH-LBPRGKRZSA-N 1 2 285.391 3.798 20 0 CHADLO C[C@@H](CC1CCCC1)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000358178970 266434339 /nfs/dbraw/zinc/43/43/39/266434339.db2.gz HPJDNQILSAKWJD-ZFWWWQNUSA-N 1 2 289.423 3.680 20 0 CHADLO CSc1ccccc1CSCCn1cc[nH+]c1 ZINC000358356857 266456579 /nfs/dbraw/zinc/45/65/79/266456579.db2.gz XQMGYPXPIHHCCG-UHFFFAOYSA-N 1 2 264.419 3.538 20 0 CHADLO Cc1cc(N2C[C@H](C)CCC[C@H]2C)nc(C2CC2)[nH+]1 ZINC000361106747 266784567 /nfs/dbraw/zinc/78/45/67/266784567.db2.gz JGHKXSFXDXHTKG-DGCLKSJQSA-N 1 2 259.397 3.677 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000362594886 267016375 /nfs/dbraw/zinc/01/63/75/267016375.db2.gz XQSNPRFUJKWTNC-SECBINFHSA-N 1 2 278.343 3.926 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000362594886 267016377 /nfs/dbraw/zinc/01/63/77/267016377.db2.gz XQSNPRFUJKWTNC-SECBINFHSA-N 1 2 278.343 3.926 20 0 CHADLO Cc1ccc(NC(=O)CC2CC(OC(C)(C)C)C2)c(C)[nH+]1 ZINC000362650489 267029092 /nfs/dbraw/zinc/02/90/92/267029092.db2.gz VLCGJCJBWRPNGV-UHFFFAOYSA-N 1 2 290.407 3.621 20 0 CHADLO Cc1c[nH+]cc(NCc2cnc(-c3ccco3)s2)c1 ZINC000362650766 267029542 /nfs/dbraw/zinc/02/95/42/267029542.db2.gz VVFQVKRLDVXPIG-UHFFFAOYSA-N 1 2 271.345 3.719 20 0 CHADLO c1ccc(CC2(Nc3cc[nH+]c(C4CC4)n3)CCC2)cc1 ZINC000362675439 267033685 /nfs/dbraw/zinc/03/36/85/267033685.db2.gz SBZNKUWKFYZPKU-UHFFFAOYSA-N 1 2 279.387 3.931 20 0 CHADLO Cc1cc(C)c2c(c1)CN(c1cc[nH+]c3ccncc31)CC2 ZINC000362682422 267035672 /nfs/dbraw/zinc/03/56/72/267035672.db2.gz ZGJAMVCIAXIZGW-UHFFFAOYSA-N 1 2 289.382 3.809 20 0 CHADLO CCc1oc2ccccc2c1CNc1cc[nH+]cc1F ZINC000362795133 267046927 /nfs/dbraw/zinc/04/69/27/267046927.db2.gz PIXMGDLQRCNWLH-UHFFFAOYSA-N 1 2 270.307 3.563 20 0 CHADLO CCn1cc[nH+]c1CNc1ccc(-c2ccco2)cc1F ZINC000362958034 267067406 /nfs/dbraw/zinc/06/74/06/267067406.db2.gz MPBYTDPCCPJSJF-UHFFFAOYSA-N 1 2 285.322 3.914 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C3CCCC3)c2)[nH+]c1C ZINC000363185900 267079209 /nfs/dbraw/zinc/07/92/09/267079209.db2.gz HXMGXJADJDFJIY-UHFFFAOYSA-N 1 2 283.375 3.822 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)c3ccccc3)c2)[nH+]c1C ZINC000363186600 267079730 /nfs/dbraw/zinc/07/97/30/267079730.db2.gz UKXPATNXLZEYNQ-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)CN1c1cc[nH+]c(C2CC2)n1 ZINC000115520193 267098363 /nfs/dbraw/zinc/09/83/63/267098363.db2.gz ZYWWAUMROBFJRU-CJNGLKHVSA-N 1 2 279.387 3.736 20 0 CHADLO Cc1cc(C(=O)Nc2cccc(-c3[nH]c(C)c(C)[nH+]3)c2)co1 ZINC000368709870 267198804 /nfs/dbraw/zinc/19/88/04/267198804.db2.gz MHAZDSMUZNPQHH-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO CS[C@@H]1CCC[C@@H](Nc2cc[nH+]c(C(C)C)n2)C1 ZINC000117327942 267211570 /nfs/dbraw/zinc/21/15/70/267211570.db2.gz NXGSDWJJXDITFJ-VXGBXAGGSA-N 1 2 265.426 3.686 20 0 CHADLO Cc1cc(F)ccc1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117571202 267215983 /nfs/dbraw/zinc/21/59/83/267215983.db2.gz BLDDTPOSKCHIMO-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000369825775 267269677 /nfs/dbraw/zinc/26/96/77/267269677.db2.gz KSQDAHAUUUVLEL-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000369825775 267269679 /nfs/dbraw/zinc/26/96/79/267269679.db2.gz KSQDAHAUUUVLEL-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ccc(NCC2=Cc3ccccc3OC2)c(C)[nH+]1 ZINC000119056963 267294226 /nfs/dbraw/zinc/29/42/26/267294226.db2.gz ZJGFPKLDTMVHRO-UHFFFAOYSA-N 1 2 266.344 3.586 20 0 CHADLO Cc1ccc(NC(=O)C[C@H]2CCOc3ccccc32)c(C)[nH+]1 ZINC000119042714 267294478 /nfs/dbraw/zinc/29/44/78/267294478.db2.gz OGEWVTJSVGGWHA-CQSZACIVSA-N 1 2 296.370 3.593 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)c2ccsc2)c(C)[nH+]1 ZINC000119224552 267310954 /nfs/dbraw/zinc/31/09/54/267310954.db2.gz GOVPHQHWCKENTM-JTQLQIEISA-N 1 2 260.362 3.502 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2ccccc2F)[nH+]1 ZINC000371247749 267377658 /nfs/dbraw/zinc/37/76/58/267377658.db2.gz QCIYPHVMXPYUGT-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO C[C@@H]([NH2+]Cc1ncoc1-c1ccccc1)c1ccco1 ZINC000371281951 267380938 /nfs/dbraw/zinc/38/09/38/267380938.db2.gz XONFIHVWSMXWCU-GFCCVEGCSA-N 1 2 268.316 3.785 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1csc(N(C)C2CC2)n1 ZINC000123287685 268020866 /nfs/dbraw/zinc/02/08/66/268020866.db2.gz CPZDRHOVNJZXPR-LLVKDONJSA-N 1 2 291.420 3.528 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1csc(N(C)C2CC2)n1 ZINC000123287685 268020868 /nfs/dbraw/zinc/02/08/68/268020868.db2.gz CPZDRHOVNJZXPR-LLVKDONJSA-N 1 2 291.420 3.528 20 0 CHADLO C[C@H]([NH2+]Cc1ncoc1-c1ccccc1)c1ccccn1 ZINC000376820184 268021257 /nfs/dbraw/zinc/02/12/57/268021257.db2.gz ZQLASZXXXFUSFZ-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@@H]1CCCC(C)(C)C1 ZINC000425595633 268036344 /nfs/dbraw/zinc/03/63/44/268036344.db2.gz INYZJOKUGCCFPL-CYBMUJFWSA-N 1 2 260.381 3.853 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)OCc2ccccc2)c(C)[nH+]1 ZINC000123354200 268038653 /nfs/dbraw/zinc/03/86/53/268038653.db2.gz NPUQOZCWPUHPHK-HNNXBMFYSA-N 1 2 298.386 3.551 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](c2ccccc2)[C@H]2CCCO2)no1 ZINC000377086473 268046352 /nfs/dbraw/zinc/04/63/52/268046352.db2.gz LYDWKXRPQRNJBY-IAOVAPTHSA-N 1 2 286.375 3.554 20 0 CHADLO Cc1cc(N[C@@H]2CCOc3ccc(F)cc32)nc(C2CC2)[nH+]1 ZINC000172015428 335088543 /nfs/dbraw/zinc/08/85/43/335088543.db2.gz LEQFJEVYIRKTAZ-CQSZACIVSA-N 1 2 299.349 3.737 20 0 CHADLO CSCC[N@@H+](C)Cc1csc(C2CCCC2)n1 ZINC000151131808 525495165 /nfs/dbraw/zinc/49/51/65/525495165.db2.gz MQSYOSGLWJYBNZ-UHFFFAOYSA-N 1 2 270.467 3.596 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1cnc2ccsc2c1 ZINC000389441986 328845395 /nfs/dbraw/zinc/84/53/95/328845395.db2.gz JFLSWZLGDHJKJZ-RKDXNWHRSA-N 1 2 270.348 3.991 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(CC(C)C)no2)c(C)s1 ZINC000193130554 327682229 /nfs/dbraw/zinc/68/22/29/327682229.db2.gz NLPWCHVCGYDYLT-NSHDSACASA-N 1 2 293.436 3.797 20 0 CHADLO Cc1ccc(C[S@@](=O)c2cccc(Cl)c2)c(C)[nH+]1 ZINC000187067800 327708881 /nfs/dbraw/zinc/70/88/81/327708881.db2.gz SJKGJJLAYHHBNC-GOSISDBHSA-N 1 2 279.792 3.660 20 0 CHADLO CC[C@H](NC(=O)c1cccc(C(C)(C)C)c1)c1[nH]cc[nH+]1 ZINC000580214922 327735883 /nfs/dbraw/zinc/73/58/83/327735883.db2.gz JOJNLSZHYBEITC-AWEZNQCLSA-N 1 2 285.391 3.588 20 0 CHADLO CC(C)Oc1ccccc1NCc1cn2ccccc2[nH+]1 ZINC000088627170 327796361 /nfs/dbraw/zinc/79/63/61/327796361.db2.gz OJUKCXQCTFDDBH-UHFFFAOYSA-N 1 2 281.359 3.734 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)n1 ZINC000182625139 327814437 /nfs/dbraw/zinc/81/44/37/327814437.db2.gz FVXLMYPPZXQOFU-QWRGUYRKSA-N 1 2 285.347 3.790 20 0 CHADLO C[C@H]1Sc2ccccc2N(CCCn2cc[nH+]c2)[C@H]1C ZINC000182945066 327816224 /nfs/dbraw/zinc/81/62/24/327816224.db2.gz ZAICWTZIKFXUAV-UONOGXRCSA-N 1 2 287.432 3.663 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1cccc2c1OCCC2 ZINC000582089458 327839815 /nfs/dbraw/zinc/83/98/15/327839815.db2.gz GFXFHIGKJSCVQH-UHFFFAOYSA-N 1 2 282.387 3.760 20 0 CHADLO CC1(C)CCc2cc(CNc3cccc[nH+]3)ccc2O1 ZINC000531548806 328014864 /nfs/dbraw/zinc/01/48/64/328014864.db2.gz YMXFWPQFJSTLPN-UHFFFAOYSA-N 1 2 268.360 3.797 20 0 CHADLO CC[C@@H](CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)C(C)C ZINC000531687070 328022113 /nfs/dbraw/zinc/02/21/13/328022113.db2.gz YTJTUBUFAABZNH-LBPRGKRZSA-N 1 2 273.380 3.882 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[NH+]1CCSCC1 ZINC000047994147 328034306 /nfs/dbraw/zinc/03/43/06/328034306.db2.gz UGHUTYLNGHQQGJ-JTQLQIEISA-N 1 2 297.374 3.541 20 0 CHADLO CC(C)C[N@H+](Cc1nnsc1Cl)C1CCCC1 ZINC000110364120 328048634 /nfs/dbraw/zinc/04/86/34/328048634.db2.gz PYLOUKFHUKMEIU-UHFFFAOYSA-N 1 2 273.833 3.592 20 0 CHADLO CC(C)C[N@@H+](Cc1nnsc1Cl)C1CCCC1 ZINC000110364120 328048635 /nfs/dbraw/zinc/04/86/35/328048635.db2.gz PYLOUKFHUKMEIU-UHFFFAOYSA-N 1 2 273.833 3.592 20 0 CHADLO CO[C@@]1(C)CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000563558037 328073935 /nfs/dbraw/zinc/07/39/35/328073935.db2.gz QLOZLZSWHMUOSP-INIZCTEOSA-N 1 2 290.794 3.894 20 0 CHADLO C[C@@H](CC(=O)N1CCC[C@@H](C)c2ccccc21)n1cc[nH+]c1 ZINC000563625495 328078155 /nfs/dbraw/zinc/07/81/55/328078155.db2.gz NFADATWKZUTKBH-CABCVRRESA-N 1 2 297.402 3.765 20 0 CHADLO Fc1ccc([C@@H]([NH2+][C@H]2CCC[C@H]2F)c2ccccc2)nc1 ZINC000571171472 328090623 /nfs/dbraw/zinc/09/06/23/328090623.db2.gz LCQKXKWIRCRBTD-VYDXJSESSA-N 1 2 288.341 3.790 20 0 CHADLO COc1cc(C)[nH+]c(COc2cccc(N3CCCC3)c2)c1 ZINC000571331705 328109047 /nfs/dbraw/zinc/10/90/47/328109047.db2.gz WNLSYUQLBKCZIB-UHFFFAOYSA-N 1 2 298.386 3.578 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CC[C@H](C(F)(F)F)C(C)(C)C1 ZINC000571462781 328123328 /nfs/dbraw/zinc/12/33/28/328123328.db2.gz YPRRFTCVVCIDAB-NSHDSACASA-N 1 2 287.329 3.508 20 0 CHADLO CC(C)O[C@H]1C[C@@H](Nc2[nH+]ccc3ccc(F)cc32)C1 ZINC000413379307 328144666 /nfs/dbraw/zinc/14/46/66/328144666.db2.gz GWIMMYUNVHQZGF-OKILXGFUSA-N 1 2 274.339 3.742 20 0 CHADLO COC1CCC(Nc2[nH+]ccc3ccc(F)cc32)CC1 ZINC000413423758 328145097 /nfs/dbraw/zinc/14/50/97/328145097.db2.gz VHBWIZIRACOOPR-UHFFFAOYSA-N 1 2 274.339 3.743 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+][C@@H](C)c2nnc(C)s2)c1 ZINC000274241568 328210152 /nfs/dbraw/zinc/21/01/52/328210152.db2.gz QUBNWCUKWHPMRV-QWRGUYRKSA-N 1 2 291.420 3.657 20 0 CHADLO Cc1occc1SCc1cn2cccc(C)c2[nH+]1 ZINC000181289130 329229979 /nfs/dbraw/zinc/22/99/79/329229979.db2.gz YCDIKDGHISWNLB-UHFFFAOYSA-N 1 2 258.346 3.836 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+](C)Cc1cc(F)ncc1F ZINC000294512165 328281275 /nfs/dbraw/zinc/28/12/75/328281275.db2.gz DBDNQDCXXDRNOY-SECBINFHSA-N 1 2 298.283 3.831 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+](C)Cc1cc(F)ncc1F ZINC000294512165 328281277 /nfs/dbraw/zinc/28/12/77/328281277.db2.gz DBDNQDCXXDRNOY-SECBINFHSA-N 1 2 298.283 3.831 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1ncc(C)s1 ZINC000296064112 328288735 /nfs/dbraw/zinc/28/87/35/328288735.db2.gz RUARJVYZVLWJPB-UHFFFAOYSA-N 1 2 286.404 3.823 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H](C)c1c(F)cccc1F ZINC000296200229 328289488 /nfs/dbraw/zinc/28/94/88/328289488.db2.gz YYNBINAINGJARC-MNOVXSKESA-N 1 2 279.334 3.593 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)N[C@H](C)c1c(F)cccc1F ZINC000296200229 328289489 /nfs/dbraw/zinc/28/94/89/328289489.db2.gz YYNBINAINGJARC-MNOVXSKESA-N 1 2 279.334 3.593 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2F)nc2ccccc12 ZINC000531962171 328338219 /nfs/dbraw/zinc/33/82/19/328338219.db2.gz RKWYOOMNVPKGKH-GFCCVEGCSA-N 1 2 295.361 3.928 20 0 CHADLO CCC[C@H]1C[N@@H+]([C@@H](C)c2cc(F)ccc2F)CCO1 ZINC000534667468 328345791 /nfs/dbraw/zinc/34/57/91/328345791.db2.gz PKZQIEKRKICZKA-AAEUAGOBSA-N 1 2 269.335 3.527 20 0 CHADLO CCC[C@H]1C[N@H+]([C@@H](C)c2cc(F)ccc2F)CCO1 ZINC000534667468 328345792 /nfs/dbraw/zinc/34/57/92/328345792.db2.gz PKZQIEKRKICZKA-AAEUAGOBSA-N 1 2 269.335 3.527 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@H](C)CCC[C@@H]2C)c(C)[nH+]1 ZINC000152280287 328360474 /nfs/dbraw/zinc/36/04/74/328360474.db2.gz AKXIAGHIPMMNHP-YPMHNXCESA-N 1 2 275.396 3.741 20 0 CHADLO COc1ccc2c(c1)CCC[N@@H+](Cc1ncc(C3CC3)o1)C2 ZINC000534856359 328365739 /nfs/dbraw/zinc/36/57/39/328365739.db2.gz JTUOXTKZPWFUHA-UHFFFAOYSA-N 1 2 298.386 3.509 20 0 CHADLO COc1ccc2c(c1)CCC[N@H+](Cc1ncc(C3CC3)o1)C2 ZINC000534856359 328365741 /nfs/dbraw/zinc/36/57/41/328365741.db2.gz JTUOXTKZPWFUHA-UHFFFAOYSA-N 1 2 298.386 3.509 20 0 CHADLO Cc1cc(NC(=O)c2cc3ccccc3s2)c(C)c[nH+]1 ZINC000535036487 328379288 /nfs/dbraw/zinc/37/92/88/328379288.db2.gz QKBNXMSHADFDHR-UHFFFAOYSA-N 1 2 282.368 3.587 20 0 CHADLO CC[C@H](C)[C@H]1CCCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535059187 328381973 /nfs/dbraw/zinc/38/19/73/328381973.db2.gz CAMZTQVTLXVMNV-BLLLJJGKSA-N 1 2 289.423 3.553 20 0 CHADLO Cc1ccc2[nH+]c(CSc3ccccc3O)cn2c1 ZINC000050046453 328383325 /nfs/dbraw/zinc/38/33/25/328383325.db2.gz OCQHZVUORDKUEV-UHFFFAOYSA-N 1 2 270.357 3.641 20 0 CHADLO COc1cc(C)[nH+]c(CSc2cccc(F)c2)c1 ZINC000535256010 328399314 /nfs/dbraw/zinc/39/93/14/328399314.db2.gz SYBDDVXPNJJXHY-UHFFFAOYSA-N 1 2 263.337 3.830 20 0 CHADLO CSC1(CNc2cc(C)[nH+]c(C(C)C)n2)CCC1 ZINC000227986491 329332332 /nfs/dbraw/zinc/33/23/32/329332332.db2.gz HHGWVXIGARPYAW-UHFFFAOYSA-N 1 2 265.426 3.606 20 0 CHADLO Cc1cc(NCC(C)(C)C[C@@H](C)O)c2cccc(F)c2[nH+]1 ZINC000127241283 328480654 /nfs/dbraw/zinc/48/06/54/328480654.db2.gz WSKATFLQILIGAJ-GFCCVEGCSA-N 1 2 290.382 3.891 20 0 CHADLO Cc1cc(NC(=O)N2CCC(C)(C(C)C)CC2)cc[nH+]1 ZINC000189256497 328482647 /nfs/dbraw/zinc/48/26/47/328482647.db2.gz BEAIEBZWCZUGDT-UHFFFAOYSA-N 1 2 275.396 3.680 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(Cl)cc2Cl)[nH]1 ZINC000392457599 329341519 /nfs/dbraw/zinc/34/15/19/329341519.db2.gz LDBYKHNJVISITL-JGVFFNPUSA-N 1 2 299.205 3.832 20 0 CHADLO CC(C)c1cc(N[C@H](C)C[C@H]2CCCO2)nc(C(C)C)[nH+]1 ZINC000535593814 328595473 /nfs/dbraw/zinc/59/54/73/328595473.db2.gz ZYCKMXCDNKGBLI-ZIAGYGMSSA-N 1 2 291.439 3.515 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccccc1Cl ZINC000398254576 326876493 /nfs/dbraw/zinc/87/64/93/326876493.db2.gz YMIJGLZUQUGBQY-BDAKNGLRSA-N 1 2 267.785 3.603 20 0 CHADLO FC(F)(F)c1cc[nH+]c(N2CCC[C@H]2c2ccccn2)c1 ZINC000530932060 326897076 /nfs/dbraw/zinc/89/70/76/326897076.db2.gz NEKTZPYTZPSXKB-ZDUSSCGKSA-N 1 2 293.292 3.837 20 0 CHADLO Cc1ccccc1[C@H](CO)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000530930967 326897291 /nfs/dbraw/zinc/89/72/91/326897291.db2.gz YYDUHZUFBIKCEI-ZDUSSCGKSA-N 1 2 296.292 3.554 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@@H]2CCCC[C@@H]2C)n1 ZINC000557359388 327002732 /nfs/dbraw/zinc/00/27/32/327002732.db2.gz LXVVVXMQIZSWBD-JSGCOSHPSA-N 1 2 285.391 3.733 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(F)ccc2Cl)n1 ZINC000568953273 327025601 /nfs/dbraw/zinc/02/56/01/327025601.db2.gz AYYYNXACSKKLDH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(F)ccc2Cl)n1 ZINC000568953273 327025603 /nfs/dbraw/zinc/02/56/03/327025603.db2.gz AYYYNXACSKKLDH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C(F)(F)F)cc1 ZINC000090169734 327031536 /nfs/dbraw/zinc/03/15/36/327031536.db2.gz CPCRSBRRFIUBHS-UHFFFAOYSA-N 1 2 269.270 3.534 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@@H](CC)c2ccccc2OC)o1 ZINC000558177972 327077958 /nfs/dbraw/zinc/07/79/58/327077958.db2.gz RYKQVRQHPGKLMU-HNNXBMFYSA-N 1 2 288.391 3.829 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@@H](CC)c2ccccc2OC)o1 ZINC000558177972 327077959 /nfs/dbraw/zinc/07/79/59/327077959.db2.gz RYKQVRQHPGKLMU-HNNXBMFYSA-N 1 2 288.391 3.829 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CC(C3CCC3)C2)cs1 ZINC000558412583 327092058 /nfs/dbraw/zinc/09/20/58/327092058.db2.gz FIAYBDKNEJYVGH-UHFFFAOYSA-N 1 2 264.438 3.673 20 0 CHADLO C[C@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1cccc(Cl)c1 ZINC000506884257 332781521 /nfs/dbraw/zinc/78/15/21/332781521.db2.gz JUIFLSNWMZGLGT-NSHDSACASA-N 1 2 299.761 3.730 20 0 CHADLO C[C@H]1C[C@H]1CC(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000558474290 327097031 /nfs/dbraw/zinc/09/70/31/327097031.db2.gz FGWOMHJYCAHZRR-STQMWFEESA-N 1 2 297.402 3.747 20 0 CHADLO CC[C@@H](NC(=O)c1ccc(C2CCCC2)cc1)c1[nH]cc[nH+]1 ZINC000558563794 327105493 /nfs/dbraw/zinc/10/54/93/327105493.db2.gz RZBJTZLIJUZWRQ-MRXNPFEDSA-N 1 2 297.402 3.948 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cc(C)c(C)o2)s1 ZINC000558621136 327109110 /nfs/dbraw/zinc/10/91/10/327109110.db2.gz MYKPMTYTTDLHBK-SNVBAGLBSA-N 1 2 250.367 3.512 20 0 CHADLO C[C@@H]1CC[C@@H](C)[C@H](Nc2cc(CSCCO)cc[nH+]2)C1 ZINC000449205689 327130880 /nfs/dbraw/zinc/13/08/80/327130880.db2.gz OOZBIXBSHZMEKQ-UMVBOHGHSA-N 1 2 294.464 3.544 20 0 CHADLO CCc1cc(N2CC(CC)(CC)C2)nc(-c2ccncc2)[nH+]1 ZINC000558952065 327134268 /nfs/dbraw/zinc/13/42/68/327134268.db2.gz IFYVSDPNAAEMDH-UHFFFAOYSA-N 1 2 296.418 3.727 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2Cl)[C@H]1[C@@H]1CCCO1 ZINC000559248700 327150248 /nfs/dbraw/zinc/15/02/48/327150248.db2.gz OYIOPCJCRVGKHK-ZFWWWQNUSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2Cl)[C@H]1[C@@H]1CCCO1 ZINC000559248700 327150249 /nfs/dbraw/zinc/15/02/49/327150249.db2.gz OYIOPCJCRVGKHK-ZFWWWQNUSA-N 1 2 297.801 3.869 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(OCC2CC2)cc1)C(F)F ZINC000449364954 327173069 /nfs/dbraw/zinc/17/30/69/327173069.db2.gz UMSXCLCTECVPTK-CQSZACIVSA-N 1 2 269.335 3.609 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2cscn2)c(Cl)c1 ZINC000518564664 327175800 /nfs/dbraw/zinc/17/58/00/327175800.db2.gz UZDGAXJITNAVQA-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)ccc1Cl ZINC000569484475 327184834 /nfs/dbraw/zinc/18/48/34/327184834.db2.gz HWCUIHANJWLKSF-IAGOWNOFSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1cc(C[N@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)ccc1Cl ZINC000569484475 327184835 /nfs/dbraw/zinc/18/48/35/327184835.db2.gz HWCUIHANJWLKSF-IAGOWNOFSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc3ccccc3s2)no1 ZINC000092254194 327190993 /nfs/dbraw/zinc/19/09/93/327190993.db2.gz SQUGKRLSALIVAB-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc3ccccc3s2)no1 ZINC000092254194 327190995 /nfs/dbraw/zinc/19/09/95/327190995.db2.gz SQUGKRLSALIVAB-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+][C@H](C)c1cscn1 ZINC000573507128 327191992 /nfs/dbraw/zinc/19/19/92/327191992.db2.gz QGEPNZZNGCPAGL-NWDGAFQWSA-N 1 2 276.405 3.872 20 0 CHADLO C[N@@H+](Cc1c(F)cccc1F)C[C@@H]1CCC2(CCCC2)O1 ZINC000559366674 327196333 /nfs/dbraw/zinc/19/63/33/327196333.db2.gz LKJLYXGLMLDRIR-ZDUSSCGKSA-N 1 2 295.373 3.888 20 0 CHADLO C[N@H+](Cc1c(F)cccc1F)C[C@@H]1CCC2(CCCC2)O1 ZINC000559366674 327196335 /nfs/dbraw/zinc/19/63/35/327196335.db2.gz LKJLYXGLMLDRIR-ZDUSSCGKSA-N 1 2 295.373 3.888 20 0 CHADLO COc1ncc([C@H](C)[NH2+][C@@H](C)c2cscn2)cc1Cl ZINC000569592293 327202113 /nfs/dbraw/zinc/20/21/13/327202113.db2.gz ZACXQLHEEGLNCB-IUCAKERBSA-N 1 2 297.811 3.612 20 0 CHADLO C[C@H]1CCC[C@H](Nc2ccc(N3CCCC3)[nH+]c2)C1 ZINC000036982136 327210583 /nfs/dbraw/zinc/21/05/83/327210583.db2.gz WQRBCWBHPJBBAQ-KBPBESRZSA-N 1 2 259.397 3.672 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)CN1c1[nH+]c2ccccc2n1C ZINC000536501885 327241891 /nfs/dbraw/zinc/24/18/91/327241891.db2.gz JINWPDMHYPJMDX-GDBMZVCRSA-N 1 2 291.398 3.956 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCO[C@H](c2ccco2)C1 ZINC000151969684 327242997 /nfs/dbraw/zinc/24/29/97/327242997.db2.gz UFISJYDOQCKLHU-KRWDZBQOSA-N 1 2 294.354 3.714 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1csc(-c2ccccc2)n1 ZINC000559399605 327243733 /nfs/dbraw/zinc/24/37/33/327243733.db2.gz JUVOVPJXGOVXCV-AWEZNQCLSA-N 1 2 276.380 3.744 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1csc(-c2ccccc2)n1 ZINC000559399605 327243734 /nfs/dbraw/zinc/24/37/34/327243734.db2.gz JUVOVPJXGOVXCV-AWEZNQCLSA-N 1 2 276.380 3.744 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1CCC[C@H]1CF ZINC000559410380 327243802 /nfs/dbraw/zinc/24/38/02/327243802.db2.gz BTCSNABGHXHHTD-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1CCC[C@H]1CF ZINC000559410380 327243803 /nfs/dbraw/zinc/24/38/03/327243803.db2.gz BTCSNABGHXHHTD-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO CCc1cc(N)nc(S[C@@H](C)c2ccccc2C)[nH+]1 ZINC000581350430 327244650 /nfs/dbraw/zinc/24/46/50/327244650.db2.gz SNZNOPGQGWEIAJ-NSHDSACASA-N 1 2 273.405 3.613 20 0 CHADLO C[N@H+](Cc1nnsc1Cl)C1CCC(C)(C)CC1 ZINC000094859492 327250723 /nfs/dbraw/zinc/25/07/23/327250723.db2.gz HIOGOEBMTOPEQF-UHFFFAOYSA-N 1 2 273.833 3.592 20 0 CHADLO C[N@@H+](Cc1nnsc1Cl)C1CCC(C)(C)CC1 ZINC000094859492 327250725 /nfs/dbraw/zinc/25/07/25/327250725.db2.gz HIOGOEBMTOPEQF-UHFFFAOYSA-N 1 2 273.833 3.592 20 0 CHADLO COc1ccc(C)cc1COc1ccccc1-n1cc[nH+]c1 ZINC000531111325 327280815 /nfs/dbraw/zinc/28/08/15/327280815.db2.gz DMACKRUOBVOUTO-UHFFFAOYSA-N 1 2 294.354 3.768 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2ccc(Sc3ccccn3)o2)C1 ZINC000574047083 327285334 /nfs/dbraw/zinc/28/53/34/327285334.db2.gz RTPAEEVALBYQFV-LBPRGKRZSA-N 1 2 292.379 3.760 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2ccc(Sc3ccccn3)o2)C1 ZINC000574047083 327285336 /nfs/dbraw/zinc/28/53/36/327285336.db2.gz RTPAEEVALBYQFV-LBPRGKRZSA-N 1 2 292.379 3.760 20 0 CHADLO C[N@H+](Cc1nnc(C2CC2)s1)[C@@H]1CCCc2ccccc21 ZINC000560186371 327288946 /nfs/dbraw/zinc/28/89/46/327288946.db2.gz YUXGPYACSZUUBP-OAHLLOKOSA-N 1 2 299.443 3.925 20 0 CHADLO C[N@@H+](Cc1nnc(C2CC2)s1)[C@@H]1CCCc2ccccc21 ZINC000560186371 327288947 /nfs/dbraw/zinc/28/89/47/327288947.db2.gz YUXGPYACSZUUBP-OAHLLOKOSA-N 1 2 299.443 3.925 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc(Cl)c(Cl)c1)n1cc[nH+]c1 ZINC000560337289 327299868 /nfs/dbraw/zinc/29/98/68/327299868.db2.gz JELZWHWZQBBMLQ-VIFPVBQESA-N 1 2 298.173 3.780 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2ccc(Cl)s2)c(C)c[nH+]1 ZINC000560377086 327303690 /nfs/dbraw/zinc/30/36/90/327303690.db2.gz PIOPXIAILIDQCV-JTQLQIEISA-N 1 2 294.807 3.577 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2c[nH+]c3c(c2)CCCC3)c1 ZINC000560548610 327323257 /nfs/dbraw/zinc/32/32/57/327323257.db2.gz QGYISPOQCVUBMZ-CYBMUJFWSA-N 1 2 267.376 3.837 20 0 CHADLO CC(C)[C@H]1CC[C@@H]1Nc1cccc(-n2cc[nH+]c2)c1 ZINC000560549692 327323480 /nfs/dbraw/zinc/32/34/80/327323480.db2.gz RXDZAUBYTHZSLM-CVEARBPZSA-N 1 2 255.365 3.719 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CC(C)(C)Cc3oc(C)cc32)no1 ZINC000560582157 327327550 /nfs/dbraw/zinc/32/75/50/327327550.db2.gz XVYCWNXQBYCKGG-CQSZACIVSA-N 1 2 274.364 3.688 20 0 CHADLO C[C@H]1C[C@@H](CC(=O)NC(C)(C)c2[nH]cc[nH+]2)CC(C)(C)C1 ZINC000566363967 327338296 /nfs/dbraw/zinc/33/82/96/327338296.db2.gz DJGJXRJIABWKIQ-STQMWFEESA-N 1 2 291.439 3.614 20 0 CHADLO C[C@H](c1ccccc1)[C@H](C)Nc1cc(N2CCCC2)nc[nH+]1 ZINC000561262426 327380930 /nfs/dbraw/zinc/38/09/30/327380930.db2.gz JXTGADSIPAYLOC-GJZGRUSLSA-N 1 2 296.418 3.681 20 0 CHADLO C[C@H](c1ccccc1)[C@H](C)Nc1cc(N2CCCC2)[nH+]cn1 ZINC000561262426 327380932 /nfs/dbraw/zinc/38/09/32/327380932.db2.gz JXTGADSIPAYLOC-GJZGRUSLSA-N 1 2 296.418 3.681 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)cc1 ZINC000074588533 327394362 /nfs/dbraw/zinc/39/43/62/327394362.db2.gz PDVMQJRWUNPXRQ-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO CC[C@H](C)[C@H](CNc1cc(C(F)(F)F)cc[nH+]1)OC ZINC000362978360 327406013 /nfs/dbraw/zinc/40/60/13/327406013.db2.gz ZPJAQAJFLUATLY-ONGXEEELSA-N 1 2 276.302 3.573 20 0 CHADLO Cc1nn(C)cc1[C@H](C)[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000172682549 327517904 /nfs/dbraw/zinc/51/79/04/327517904.db2.gz CYYGOHUUGJZGOP-SCLBCKFNSA-N 1 2 295.386 3.762 20 0 CHADLO Cc1cccc(CCSC[C@H](C)C(F)(F)F)[nH+]1 ZINC000570045626 327565583 /nfs/dbraw/zinc/56/55/83/327565583.db2.gz HJYUKDQOKYEOJX-VIFPVBQESA-N 1 2 263.328 3.864 20 0 CHADLO CC(C)=CCC[C@@H](C)CC(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000570400160 327588904 /nfs/dbraw/zinc/58/89/04/327588904.db2.gz RLCJRGSBZBPAIN-ZBFHGGJFSA-N 1 2 291.439 3.996 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000570400159 327589048 /nfs/dbraw/zinc/58/90/48/327589048.db2.gz RLCJRGSBZBPAIN-HOCLYGCPSA-N 1 2 291.439 3.996 20 0 CHADLO Cc1nc(CSCCc2[nH+]cc(C)cc2C)cs1 ZINC000570682992 327611053 /nfs/dbraw/zinc/61/10/53/327611053.db2.gz GLKQZANTIZRGMY-UHFFFAOYSA-N 1 2 278.446 3.939 20 0 CHADLO CCOC(=O)c1ccc(Oc2cc[nH+]cc2)c(Cl)c1 ZINC000114618783 328653701 /nfs/dbraw/zinc/65/37/01/328653701.db2.gz WCBWOHIUHZYZRP-UHFFFAOYSA-N 1 2 277.707 3.704 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CCCN1c1cc[nH+]c(C2CC2)n1 ZINC000336082137 328743838 /nfs/dbraw/zinc/74/38/38/328743838.db2.gz ITPCCMHNSWVWHJ-MWLCHTKSSA-N 1 2 285.313 3.521 20 0 CHADLO Cc1nc(CNc2cc(C)[nH+]c(C(C)C)n2)sc1C ZINC000301670242 328829160 /nfs/dbraw/zinc/82/91/60/328829160.db2.gz QCDRPFVUZORMMV-UHFFFAOYSA-N 1 2 276.409 3.594 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccccc1OC ZINC000389472250 328848361 /nfs/dbraw/zinc/84/83/61/328848361.db2.gz SPJOJKOOZVPWNW-CMPLNLGQSA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(OC)cc1 ZINC000389472257 328848376 /nfs/dbraw/zinc/84/83/76/328848376.db2.gz SPMVPPVOVFIWCZ-GXFFZTMASA-N 1 2 257.324 3.780 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Br)s1)C(C)(F)F ZINC000389476722 328850090 /nfs/dbraw/zinc/85/00/90/328850090.db2.gz OUCSDRQEBJWQQR-ZCFIWIBFSA-N 1 2 284.169 3.644 20 0 CHADLO Clc1cnc(COc2cccc(-n3cc[nH+]c3)c2)s1 ZINC000358676647 328891083 /nfs/dbraw/zinc/89/10/83/328891083.db2.gz DZTJAHROAAKUPQ-UHFFFAOYSA-N 1 2 291.763 3.561 20 0 CHADLO C/C=C/C=C/c1nc(CCn2c(C)[nH+]c3ccccc32)no1 ZINC000359127722 328895995 /nfs/dbraw/zinc/89/59/95/328895995.db2.gz CJTHYODYSDDWLO-COBAKMAKSA-N 1 2 294.358 3.560 20 0 CHADLO CSc1cc(C)ccc1CNc1cc[nH+]c(C(C)C)n1 ZINC000362561072 328928986 /nfs/dbraw/zinc/92/89/86/328928986.db2.gz PPDHLSGYJXAALQ-UHFFFAOYSA-N 1 2 287.432 3.664 20 0 CHADLO COc1ccc(C[NH2+]C(C)(C)c2nccs2)c(Cl)c1 ZINC000116380995 328936225 /nfs/dbraw/zinc/93/62/25/328936225.db2.gz ZDKIHZPIBLRSEI-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO C[C@H]1CCCC[C@H]1N(C)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117596454 328941739 /nfs/dbraw/zinc/94/17/39/328941739.db2.gz RSVLPVVEFAVGMN-WMLDXEAASA-N 1 2 297.402 3.523 20 0 CHADLO Cc1ccc(C2CCN(c3[nH]c4ccccc4[nH+]3)CC2)cn1 ZINC000374678758 328996180 /nfs/dbraw/zinc/99/61/80/328996180.db2.gz MIKDWBRQDGMQKJ-UHFFFAOYSA-N 1 2 292.386 3.650 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)[N@H+](C)Cc1cnn(C)c1 ZINC000122303892 329000981 /nfs/dbraw/zinc/00/09/81/329000981.db2.gz XFXWHXMTHZRTCH-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)[N@@H+](C)Cc1cnn(C)c1 ZINC000122303892 329000982 /nfs/dbraw/zinc/00/09/82/329000982.db2.gz XFXWHXMTHZRTCH-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO Cc1ccccc1C[N@@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000377429552 329015021 /nfs/dbraw/zinc/01/50/21/329015021.db2.gz AQTRBMPWSGIUAU-INIZCTEOSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccccc1C[N@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000377429552 329015023 /nfs/dbraw/zinc/01/50/23/329015023.db2.gz AQTRBMPWSGIUAU-INIZCTEOSA-N 1 2 297.402 3.983 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCCc3occc3C2)s1 ZINC000377870338 329032462 /nfs/dbraw/zinc/03/24/62/329032462.db2.gz UISBWBDYTFQYAV-UHFFFAOYSA-N 1 2 276.405 3.808 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCCc3occc3C2)s1 ZINC000377870338 329032464 /nfs/dbraw/zinc/03/24/64/329032464.db2.gz UISBWBDYTFQYAV-UHFFFAOYSA-N 1 2 276.405 3.808 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ncoc2-c2ccccc2)o1 ZINC000360035201 329070095 /nfs/dbraw/zinc/07/00/95/329070095.db2.gz INIASSMNIWRJBV-UHFFFAOYSA-N 1 2 268.316 3.533 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nccs1)c1ccccc1)c1ccncc1 ZINC000171922088 329082323 /nfs/dbraw/zinc/08/23/23/329082323.db2.gz IHYMPGZIIVYOSW-XJKSGUPXSA-N 1 2 295.411 3.978 20 0 CHADLO C[C@H]([NH2+]Cc1cnc[nH]1)c1cccc(Cl)c1Cl ZINC000172216329 329083980 /nfs/dbraw/zinc/08/39/80/329083980.db2.gz MXLKLBTZUNOVOY-QMMMGPOBSA-N 1 2 270.163 3.567 20 0 CHADLO CCS[C@@H]1CC[C@H](N(C)c2cc[nH+]c(C(C)C)n2)C1 ZINC000172789833 329138898 /nfs/dbraw/zinc/13/88/98/329138898.db2.gz YLGALDCXVZKGLK-QWHCGFSZSA-N 1 2 279.453 3.710 20 0 CHADLO CCc1nc(C[N@@H+]2Cc3ccccc3C[C@H]2C)cs1 ZINC000172909875 329140606 /nfs/dbraw/zinc/14/06/06/329140606.db2.gz VXTLJOUZGJRFPJ-GFCCVEGCSA-N 1 2 272.417 3.652 20 0 CHADLO CCc1nc(C[N@H+]2Cc3ccccc3C[C@H]2C)cs1 ZINC000172909875 329140607 /nfs/dbraw/zinc/14/06/07/329140607.db2.gz VXTLJOUZGJRFPJ-GFCCVEGCSA-N 1 2 272.417 3.652 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1ccncc1Cl ZINC000173583406 329149502 /nfs/dbraw/zinc/14/95/02/329149502.db2.gz FVVWFZFTFPTAFX-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1ccncc1Cl ZINC000173583406 329149504 /nfs/dbraw/zinc/14/95/04/329149504.db2.gz FVVWFZFTFPTAFX-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO CC[C@@H]1CN(Cc2[nH+]ccn2C(C)C)c2ccccc2O1 ZINC000173611353 329149785 /nfs/dbraw/zinc/14/97/85/329149785.db2.gz LEGKVZMVTOWLIS-CQSZACIVSA-N 1 2 285.391 3.642 20 0 CHADLO CCS[C@@H]1CCC[C@@H]1Nc1cc[nH+]c(C(C)C)n1 ZINC000175057484 329162446 /nfs/dbraw/zinc/16/24/46/329162446.db2.gz NUZWKQCRXNYAQF-NWDGAFQWSA-N 1 2 265.426 3.686 20 0 CHADLO Fc1ccc(CN2CCCC[C@@H]2c2[nH]cc[nH+]2)c(Cl)c1 ZINC000177039946 329178355 /nfs/dbraw/zinc/17/83/55/329178355.db2.gz DCOHMVVORZKQKG-CQSZACIVSA-N 1 2 293.773 3.929 20 0 CHADLO Cc1cccc2[nH+]c(CSc3ccccc3O)cn21 ZINC000177266604 329182624 /nfs/dbraw/zinc/18/26/24/329182624.db2.gz MRPZLIZPUYETAU-UHFFFAOYSA-N 1 2 270.357 3.641 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1cncc(F)c1 ZINC000177971178 329190938 /nfs/dbraw/zinc/19/09/38/329190938.db2.gz UOACZUJPJOKPNJ-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000179589672 329210133 /nfs/dbraw/zinc/21/01/33/329210133.db2.gz FPOUUZKZMUEKKR-UHFFFAOYSA-N 1 2 281.359 3.610 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000179589672 329210134 /nfs/dbraw/zinc/21/01/34/329210134.db2.gz FPOUUZKZMUEKKR-UHFFFAOYSA-N 1 2 281.359 3.610 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000179589672 329210136 /nfs/dbraw/zinc/21/01/36/329210136.db2.gz FPOUUZKZMUEKKR-UHFFFAOYSA-N 1 2 281.359 3.610 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000179589672 329210138 /nfs/dbraw/zinc/21/01/38/329210138.db2.gz FPOUUZKZMUEKKR-UHFFFAOYSA-N 1 2 281.359 3.610 20 0 CHADLO C[C@@H](Nc1cccc(-c2[nH+]ccn2C)c1)c1ccccn1 ZINC000179611748 329210476 /nfs/dbraw/zinc/21/04/76/329210476.db2.gz SAOGDMADZSBXGV-CYBMUJFWSA-N 1 2 278.359 3.655 20 0 CHADLO Cc1cc(NC(=O)N2CCC(c3ccccc3)CC2)cc[nH+]1 ZINC000179814742 329212436 /nfs/dbraw/zinc/21/24/36/329212436.db2.gz BSWGLURKOQUOEM-UHFFFAOYSA-N 1 2 295.386 3.802 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(Oc3ccccc3)o2)on1 ZINC000180879650 329223690 /nfs/dbraw/zinc/22/36/90/329223690.db2.gz XRALVZBHQSYUOR-UHFFFAOYSA-N 1 2 284.315 3.658 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(-c2cccc(F)c2)o1)c1cccnc1 ZINC000181658866 329235569 /nfs/dbraw/zinc/23/55/69/329235569.db2.gz DILMWTFOILBGPM-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1nc([C@H]([NH2+][C@@H](C)CC(C)C)c2ccccc2F)no1 ZINC000182083402 329239463 /nfs/dbraw/zinc/23/94/63/329239463.db2.gz ONYFFHGDCCVIKK-XHDPSFHLSA-N 1 2 291.370 3.631 20 0 CHADLO Cc1nc([C@H]([NH2+]C2CCCCC2)c2ccccc2F)no1 ZINC000182247186 329241226 /nfs/dbraw/zinc/24/12/26/329241226.db2.gz ITFLQCBPTIJGTI-OAHLLOKOSA-N 1 2 289.354 3.529 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(-c2cccs2)o1)c1cccnc1 ZINC000182891515 329245719 /nfs/dbraw/zinc/24/57/19/329245719.db2.gz GDYPINMILPVZJE-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO CC(C)c1cnc(CN2CCCC[C@H]2c2[nH]cc[nH+]2)s1 ZINC000183028746 329246321 /nfs/dbraw/zinc/24/63/21/329246321.db2.gz CPFHKWJWEHDOOZ-LBPRGKRZSA-N 1 2 290.436 3.717 20 0 CHADLO Clc1cnc(C[NH2+][C@@H]2CCCc3occc32)s1 ZINC000225312276 329250809 /nfs/dbraw/zinc/25/08/09/329250809.db2.gz DZVNJQQJBNKXSF-SECBINFHSA-N 1 2 268.769 3.557 20 0 CHADLO O=C(Cc1ccoc1)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000184360469 329259176 /nfs/dbraw/zinc/25/91/76/329259176.db2.gz DPPCVDAGBQPQRA-UHFFFAOYSA-N 1 2 294.310 3.648 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000582974826 329297201 /nfs/dbraw/zinc/29/72/01/329297201.db2.gz DYADEGOCPDWRFN-CQSZACIVSA-N 1 2 287.794 3.741 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1C)c1cccc(Cl)c1Cl ZINC000227530282 329327548 /nfs/dbraw/zinc/32/75/48/329327548.db2.gz PTFYUNZBNGWQCC-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO c1cn2c(cccc2CNc2nccc3sccc32)[nH+]1 ZINC000185744729 329331073 /nfs/dbraw/zinc/33/10/73/329331073.db2.gz MXWZIQZGMKHHAM-UHFFFAOYSA-N 1 2 280.356 3.556 20 0 CHADLO COc1ccc2nc(C[N@H+](C)Cc3ccco3)sc2c1 ZINC000186468242 329343095 /nfs/dbraw/zinc/34/30/95/329343095.db2.gz YFDXGCXQPRPLQI-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO COc1ccc2nc(C[N@@H+](C)Cc3ccco3)sc2c1 ZINC000186468242 329343096 /nfs/dbraw/zinc/34/30/96/329343096.db2.gz YFDXGCXQPRPLQI-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2cccc(Cl)c2)c(C)[nH+]1 ZINC000187069187 329352004 /nfs/dbraw/zinc/35/20/04/329352004.db2.gz JKEDMOAXTXKTBS-IBGZPJMESA-N 1 2 293.819 3.801 20 0 CHADLO C[C@@H](Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1)C(C)(C)C ZINC000530418850 329381360 /nfs/dbraw/zinc/38/13/60/329381360.db2.gz BDXMIGFVPJAAMQ-HZSPNIEDSA-N 1 2 291.439 3.542 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1-c1ccccc1)c1nccs1 ZINC000191146022 329409961 /nfs/dbraw/zinc/40/99/61/329409961.db2.gz ZMDRWEUNZLKLSF-CQSZACIVSA-N 1 2 298.415 3.570 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CCC(c2ccsc2)CC1 ZINC000583274148 329419359 /nfs/dbraw/zinc/41/93/59/329419359.db2.gz OTUDEOKKFJBEKV-UHFFFAOYSA-N 1 2 273.405 3.539 20 0 CHADLO CC(C)CCC1CC[NH+](Cc2noc(C3CC3)n2)CC1 ZINC000124968434 329575723 /nfs/dbraw/zinc/57/57/23/329575723.db2.gz MXQWEUBLIIFWFP-UHFFFAOYSA-N 1 2 277.412 3.595 20 0 CHADLO CCc1c[nH]c(SCc2cn3cc(Cl)ccc3[nH+]2)n1 ZINC000129117779 329614950 /nfs/dbraw/zinc/61/49/50/329614950.db2.gz INFJVXBNPWMKIV-UHFFFAOYSA-N 1 2 292.795 3.566 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1ccco1)c1nnc2ccccn21 ZINC000129273725 329616192 /nfs/dbraw/zinc/61/61/92/329616192.db2.gz ADMWVLIEGJTQRR-QWHCGFSZSA-N 1 2 284.363 3.514 20 0 CHADLO c1coc(C[NH2+]Cc2csc(-c3cccs3)n2)c1 ZINC000061803829 329681516 /nfs/dbraw/zinc/68/15/16/329681516.db2.gz HGDHHBRUZCGDAT-UHFFFAOYSA-N 1 2 276.386 3.754 20 0 CHADLO Cn1c(CSc2[nH+]cc3ccccn32)nc2ccccc21 ZINC000194673939 329687833 /nfs/dbraw/zinc/68/78/33/329687833.db2.gz QCFJZKLRBQYGCT-UHFFFAOYSA-N 1 2 294.383 3.513 20 0 CHADLO CCn1cc(CCOc2cc(C)[nH+]c3c(C)cccc23)cn1 ZINC000418944390 329700275 /nfs/dbraw/zinc/70/02/75/329700275.db2.gz NWRFCWWRAIFDKP-UHFFFAOYSA-N 1 2 295.386 3.690 20 0 CHADLO Clc1ccccc1-c1cc(C[NH+]2CCSCC2)no1 ZINC000419200401 329711408 /nfs/dbraw/zinc/71/14/08/329711408.db2.gz FGVWAHGBJAPNCR-UHFFFAOYSA-N 1 2 294.807 3.544 20 0 CHADLO CC[C@H]([NH2+][C@@H](C(=O)OC)c1ccsc1)C1CCCC1 ZINC000419288552 329714906 /nfs/dbraw/zinc/71/49/06/329714906.db2.gz HTWCLHZADKMAHP-UONOGXRCSA-N 1 2 281.421 3.521 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@@H]1CCOC1 ZINC000419493838 329737224 /nfs/dbraw/zinc/73/72/24/329737224.db2.gz OHEJPEUVQJNVKG-CYBMUJFWSA-N 1 2 251.395 3.536 20 0 CHADLO CCCCN(Cc1c[nH+]c2c(C)cccn12)CC(F)(F)F ZINC000509577501 332874248 /nfs/dbraw/zinc/87/42/48/332874248.db2.gz BLEILZKMMLXNPE-UHFFFAOYSA-N 1 2 299.340 3.807 20 0 CHADLO Cc1ccc(Cc2noc(C3CC(C(C)(C)C)C3)n2)c[nH+]1 ZINC000420485208 329767415 /nfs/dbraw/zinc/76/74/15/329767415.db2.gz VNSMRWHPXXPHOS-UHFFFAOYSA-N 1 2 285.391 3.904 20 0 CHADLO CCc1cc(-c2nc(Cc3ccc[nH+]c3C)no2)sc1C ZINC000420509645 329772671 /nfs/dbraw/zinc/77/26/71/329772671.db2.gz FVEXCBSDNGLIHU-UHFFFAOYSA-N 1 2 299.399 3.963 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@@H](C)c2ccccc2)n1 ZINC000420511354 329772913 /nfs/dbraw/zinc/77/29/13/329772913.db2.gz NTFUBUUQEFVIHE-CYBMUJFWSA-N 1 2 293.370 3.710 20 0 CHADLO CC[C@@H](CC(C)C)c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420511897 329773078 /nfs/dbraw/zinc/77/30/78/329773078.db2.gz QPWBGQJUIAMJJX-ZDUSSCGKSA-N 1 2 273.380 3.904 20 0 CHADLO CCC[C@](C)(CC)c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420512562 329773178 /nfs/dbraw/zinc/77/31/78/329773178.db2.gz SBYALVHEUHVMJU-INIZCTEOSA-N 1 2 273.380 3.832 20 0 CHADLO CC[C@@H]1CCC[C@H]1c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420512900 329773271 /nfs/dbraw/zinc/77/32/71/329773271.db2.gz SPOGBUSITMKIHT-TZMCWYRMSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1cc(N2CCC[C@H](CC(F)(F)F)C2)nc(C2CC2)[nH+]1 ZINC000420628424 329780023 /nfs/dbraw/zinc/78/00/23/329780023.db2.gz OFPVRKQKGHYBQY-LLVKDONJSA-N 1 2 299.340 3.831 20 0 CHADLO Cc1cc(CNc2ccnc(C(F)(F)F)c2)cc(C)[nH+]1 ZINC000420647101 329780839 /nfs/dbraw/zinc/78/08/39/329780839.db2.gz BRSABOKJISIQEM-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO C[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(C2CC2)cc1 ZINC000420980358 329795304 /nfs/dbraw/zinc/79/53/04/329795304.db2.gz WOYJLAGRFPEKRC-LLVKDONJSA-N 1 2 289.810 3.802 20 0 CHADLO Cc1cc(CNC(=O)Nc2ccc3c(c2)CCC3)cc(C)[nH+]1 ZINC000421040471 329803047 /nfs/dbraw/zinc/80/30/47/329803047.db2.gz MOINHHQDUTYGTA-UHFFFAOYSA-N 1 2 295.386 3.509 20 0 CHADLO CC(C)n1cc(C(C)(C)[NH2+]Cc2ccsc2Cl)nn1 ZINC000421405800 329822188 /nfs/dbraw/zinc/82/21/88/329822188.db2.gz RTTLQSUAGIWNHS-UHFFFAOYSA-N 1 2 298.843 3.599 20 0 CHADLO Cc1cc(NC(=O)COc2cccc(C(C)C)c2)cc[nH+]1 ZINC000069533508 329854352 /nfs/dbraw/zinc/85/43/52/329854352.db2.gz HLHNESJETDXAGB-UHFFFAOYSA-N 1 2 284.359 3.531 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)ccc1F)c1nccs1 ZINC000078004287 329941150 /nfs/dbraw/zinc/94/11/50/329941150.db2.gz FXCDRMDJBUARNB-GFCCVEGCSA-N 1 2 268.332 3.662 20 0 CHADLO CCc1ccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC000161818513 329956215 /nfs/dbraw/zinc/95/62/15/329956215.db2.gz KRIUXJDLASPAOZ-UHFFFAOYSA-N 1 2 291.354 3.891 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000162329964 330000165 /nfs/dbraw/zinc/00/01/65/330000165.db2.gz VPEVVWWJPROVQB-NEPJUHHUSA-N 1 2 276.405 3.872 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccc(F)cc1)c1ccncc1 ZINC000572424339 330067657 /nfs/dbraw/zinc/06/76/57/330067657.db2.gz DPQKOPJRIOBRNA-LLVKDONJSA-N 1 2 280.293 3.663 20 0 CHADLO CCOc1cccc(C[NH2+]C2(C(F)F)CCCCC2)n1 ZINC000510114662 332892956 /nfs/dbraw/zinc/89/29/56/332892956.db2.gz WLWKCDAYSKVSGY-UHFFFAOYSA-N 1 2 284.350 3.538 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1Cl)[NH2+]C1(C(F)F)CC1 ZINC000421855382 330089225 /nfs/dbraw/zinc/08/92/25/330089225.db2.gz MTEIECSFJOQPBQ-QMMMGPOBSA-N 1 2 277.717 3.797 20 0 CHADLO CCOCc1ccc(N[C@H]2CCc3ccc[nH+]c3CC2)cc1 ZINC000421834323 330091424 /nfs/dbraw/zinc/09/14/24/330091424.db2.gz KJAKWHJGPLGNPQ-SFHVURJKSA-N 1 2 296.414 3.978 20 0 CHADLO Fc1ccc(N[C@@H]2CCc3ccc[nH+]c3CC2)cc1 ZINC000421835155 330091631 /nfs/dbraw/zinc/09/16/31/330091631.db2.gz MDVYAVYTUHLRAV-CQSZACIVSA-N 1 2 256.324 3.580 20 0 CHADLO Cc1cccc(N[C@@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421837689 330092362 /nfs/dbraw/zinc/09/23/62/330092362.db2.gz RTJODTVOYMBQBQ-OAHLLOKOSA-N 1 2 252.361 3.750 20 0 CHADLO CC[C@H](C)n1ncc(N[C@H]2CCc3ccc[nH+]c3CC2)c1C ZINC000421846206 330094903 /nfs/dbraw/zinc/09/49/03/330094903.db2.gz YYCIYBURZZDQDS-BBRMVZONSA-N 1 2 298.434 3.917 20 0 CHADLO COc1cc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)ccc1F ZINC000423210895 330177925 /nfs/dbraw/zinc/17/79/25/330177925.db2.gz GPPLBAAHWAQGNG-NSHDSACASA-N 1 2 286.350 3.975 20 0 CHADLO Cc1cc(C)c(C[NH2+]Cc2csc(C(C)(C)C)n2)cn1 ZINC000397008750 330235164 /nfs/dbraw/zinc/23/51/64/330235164.db2.gz IIVKPSZETIPWRH-UHFFFAOYSA-N 1 2 289.448 3.742 20 0 CHADLO COc1cc(Cl)c(C[NH+]2[C@H](C)C[C@H]2C)cc1Cl ZINC000527238534 330240689 /nfs/dbraw/zinc/24/06/89/330240689.db2.gz GWYZWIQGZAFGRR-RKDXNWHRSA-N 1 2 274.191 3.985 20 0 CHADLO CO[C@@H](CSCc1cn2cc(Cl)ccc2[nH+]1)C1CC1 ZINC000424124920 330257719 /nfs/dbraw/zinc/25/77/19/330257719.db2.gz PRGYALYCIMUSNO-ZDUSSCGKSA-N 1 2 296.823 3.646 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3nc(C(C)C)ncc3C2)cs1 ZINC000527618345 330265792 /nfs/dbraw/zinc/26/57/92/330265792.db2.gz JCSKOVMRNZDWEJ-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO Cc1cc(C[N@H+]2CCc3nc(C(C)C)ncc3C2)cs1 ZINC000527618345 330265794 /nfs/dbraw/zinc/26/57/94/330265794.db2.gz JCSKOVMRNZDWEJ-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO Cc1sccc1C[N@@H+]1CCc2nc(C(C)C)ncc2C1 ZINC000527619200 330265956 /nfs/dbraw/zinc/26/59/56/330265956.db2.gz NIJSFTGETHKZJF-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO Cc1sccc1C[N@H+]1CCc2nc(C(C)C)ncc2C1 ZINC000527619200 330265958 /nfs/dbraw/zinc/26/59/58/330265958.db2.gz NIJSFTGETHKZJF-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO C[C@H]1C[C@H](NCc2c(F)ccc(F)c2Cl)c2[nH+]ccn21 ZINC000527720217 330277007 /nfs/dbraw/zinc/27/70/07/330277007.db2.gz WOOHSOUHZKWMAB-UFBFGSQYSA-N 1 2 297.736 3.610 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2n[nH]c3ccccc23)C2CCC2)nc1 ZINC000527770695 330281843 /nfs/dbraw/zinc/28/18/43/330281843.db2.gz GBBDJQMPJWBIAX-GOSISDBHSA-N 1 2 292.386 3.589 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1cccc(C2CCOCC2)c1 ZINC000527795097 330283833 /nfs/dbraw/zinc/28/38/33/330283833.db2.gz BCCDAHQVGCDQGK-UHFFFAOYSA-N 1 2 299.418 3.970 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]Cc1ccccc1CC(C)C ZINC000527919807 330295446 /nfs/dbraw/zinc/29/54/46/330295446.db2.gz KUBSMOMXMZPZOA-ZDUSSCGKSA-N 1 2 271.408 3.767 20 0 CHADLO C[C@@H]1C[C@@H](Nc2cccc(C3CCOCC3)c2)c2[nH+]ccn21 ZINC000527993765 330299371 /nfs/dbraw/zinc/29/93/71/330299371.db2.gz FXKVUAPWKFXQMA-CXAGYDPISA-N 1 2 297.402 3.895 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](c2ccccn2)C2CCC2)no1 ZINC000527964319 330300975 /nfs/dbraw/zinc/30/09/75/330300975.db2.gz JUXYPLRGJYXKIW-MLGOLLRUSA-N 1 2 271.364 3.570 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2ncccc2F)c(F)c1 ZINC000528035704 330302632 /nfs/dbraw/zinc/30/26/32/330302632.db2.gz JKOACBVEYYZKMX-QWRGUYRKSA-N 1 2 292.329 3.780 20 0 CHADLO CCOCc1cccc(NCc2c[nH+]cn2C(C)C)c1 ZINC000424158948 330305735 /nfs/dbraw/zinc/30/57/35/330305735.db2.gz UTBWZILRKVMALC-UHFFFAOYSA-N 1 2 273.380 3.613 20 0 CHADLO FCC[C@@H]1CCCN(c2[nH+]ccc3ccccc32)C1 ZINC000528054958 330306376 /nfs/dbraw/zinc/30/63/76/330306376.db2.gz SGSMXFIUZIUNTJ-ZDUSSCGKSA-N 1 2 258.340 3.811 20 0 CHADLO Cc1nc(N2CC[C@@H](c3ccc(F)cc3)C2(C)C)cc[nH+]1 ZINC000528198500 330312539 /nfs/dbraw/zinc/31/25/39/330312539.db2.gz REYWZFKOFNNXIE-HNNXBMFYSA-N 1 2 285.366 3.697 20 0 CHADLO C/C(Cl)=C/C[N@@H+](C)Cc1ccc(Br)o1 ZINC000528343001 330319849 /nfs/dbraw/zinc/31/98/49/330319849.db2.gz WXVWKRJSUPVJKP-YVMONPNESA-N 1 2 278.577 3.617 20 0 CHADLO C/C(Cl)=C/C[N@H+](C)Cc1ccc(Br)o1 ZINC000528343001 330319850 /nfs/dbraw/zinc/31/98/50/330319850.db2.gz WXVWKRJSUPVJKP-YVMONPNESA-N 1 2 278.577 3.617 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc2ccn(C)c21 ZINC000424191968 330323798 /nfs/dbraw/zinc/32/37/98/330323798.db2.gz OHFDKOXDXRWHLA-UHFFFAOYSA-N 1 2 268.364 3.568 20 0 CHADLO CCN(Cc1cn2ccccc2[nH+]1)c1ccc(F)c(F)c1 ZINC000533030167 330324812 /nfs/dbraw/zinc/32/48/12/330324812.db2.gz BQNHGWRALJSQSH-UHFFFAOYSA-N 1 2 287.313 3.639 20 0 CHADLO Cc1ccc(C)c([N@@H+](C[C@@H]2CCCOC2)C(C)C)c1 ZINC000533049356 330326304 /nfs/dbraw/zinc/32/63/04/330326304.db2.gz UGVVLRFJXBHQDO-INIZCTEOSA-N 1 2 261.409 3.945 20 0 CHADLO Cc1ccc(C)c([N@H+](C[C@@H]2CCCOC2)C(C)C)c1 ZINC000533049356 330326305 /nfs/dbraw/zinc/32/63/05/330326305.db2.gz UGVVLRFJXBHQDO-INIZCTEOSA-N 1 2 261.409 3.945 20 0 CHADLO Fc1cc(F)cc(NCc2cn3cc(Cl)ccc3[nH+]2)c1 ZINC000105988751 330331521 /nfs/dbraw/zinc/33/15/21/330331521.db2.gz DBBCJKFYGMDATL-UHFFFAOYSA-N 1 2 293.704 3.878 20 0 CHADLO COc1ccnc(C[NH2+][C@@H](C)c2cccc(CC(C)C)c2)n1 ZINC000424306726 330338104 /nfs/dbraw/zinc/33/81/04/330338104.db2.gz JCZWKZQROPJSMS-AWEZNQCLSA-N 1 2 299.418 3.535 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)c2csnn2)c(Cl)c1 ZINC000424332746 330342750 /nfs/dbraw/zinc/34/27/50/330342750.db2.gz LEWHFVVROHTGIC-RKDXNWHRSA-N 1 2 297.811 3.612 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CCCC[C@@H]3C)n2)c[nH+]1 ZINC000424341702 330344112 /nfs/dbraw/zinc/34/41/12/330344112.db2.gz VTRQKEJJNZQQLE-SMDDNHRTSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)/C=C/c1ccc([C@@H]2C[C@@H]2C)o1 ZINC000107288527 330348835 /nfs/dbraw/zinc/34/88/35/330348835.db2.gz KYKMUWKWRYEDSX-ICCMNZRJSA-N 1 2 282.343 3.758 20 0 CHADLO CCCN(Cc1ccc(C)cc1)c1cc(C)[nH+]cn1 ZINC000533205299 330353348 /nfs/dbraw/zinc/35/33/48/330353348.db2.gz WJYGABRQRHLUSD-UHFFFAOYSA-N 1 2 255.365 3.510 20 0 CHADLO COC(=O)[C@H](c1cccc(Cl)c1)[N@H+](C)CC(C)(C)C ZINC000533278465 330356217 /nfs/dbraw/zinc/35/62/17/330356217.db2.gz UYMRGIIGXKPZCZ-ZDUSSCGKSA-N 1 2 283.799 3.532 20 0 CHADLO COC(=O)[C@H](c1cccc(Cl)c1)[N@@H+](C)CC(C)(C)C ZINC000533278465 330356218 /nfs/dbraw/zinc/35/62/18/330356218.db2.gz UYMRGIIGXKPZCZ-ZDUSSCGKSA-N 1 2 283.799 3.532 20 0 CHADLO CCCC[C@@H](CC)CC(=O)NCc1cn2ccccc2[nH+]1 ZINC000117232421 330364207 /nfs/dbraw/zinc/36/42/07/330364207.db2.gz HGGVSGYVYICQOD-CQSZACIVSA-N 1 2 287.407 3.557 20 0 CHADLO Cc1ccc(OCCC(=O)Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC000119107881 330370200 /nfs/dbraw/zinc/37/02/00/330370200.db2.gz FAOOURDYNZUKKS-UHFFFAOYSA-N 1 2 298.386 3.723 20 0 CHADLO Cc1ccc(CCC(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000119203671 330371054 /nfs/dbraw/zinc/37/10/54/330371054.db2.gz REVDOQXQZZLJCL-UHFFFAOYSA-N 1 2 268.360 3.578 20 0 CHADLO Cc1ccc2cccc(C(=O)Nc3ccc(C)[nH+]c3C)c2n1 ZINC000119304322 330372572 /nfs/dbraw/zinc/37/25/72/330372572.db2.gz CBEXCUIQVXFSOJ-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(Cl)c2)c(C)[nH+]1 ZINC000119391330 330374202 /nfs/dbraw/zinc/37/42/02/330374202.db2.gz UXLPBDNGWJWICJ-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccccc2C(F)F)c1 ZINC000424563157 330376729 /nfs/dbraw/zinc/37/67/29/330376729.db2.gz DBZSTTVEGOOLBU-UHFFFAOYSA-N 1 2 278.302 3.948 20 0 CHADLO COCc1cc(Cl)ccc1NCc1[nH+]ccn1C(C)C ZINC000424574824 330384965 /nfs/dbraw/zinc/38/49/65/330384965.db2.gz KIJHORBRRKEXDE-UHFFFAOYSA-N 1 2 293.798 3.876 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](C)[C@@H](C)c2ccccc2)cc[nH+]1 ZINC000120182729 330386138 /nfs/dbraw/zinc/38/61/38/330386138.db2.gz KVSUZTWSFDSOCV-KGLIPLIRSA-N 1 2 283.375 3.704 20 0 CHADLO Fc1cccc(Cl)c1CCSCCn1cc[nH+]c1 ZINC000090201923 330391288 /nfs/dbraw/zinc/39/12/88/330391288.db2.gz CCTAIWZMCSSYKV-UHFFFAOYSA-N 1 2 284.787 3.652 20 0 CHADLO Cc1cccc(C)c1OCCCSCCn1cc[nH+]c1 ZINC000090201353 330391317 /nfs/dbraw/zinc/39/13/17/330391317.db2.gz PBBBBCVGEGZWOL-UHFFFAOYSA-N 1 2 290.432 3.702 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(F)c1F)c1nccs1 ZINC000090963444 330397406 /nfs/dbraw/zinc/39/74/06/330397406.db2.gz PRUWMIJBRNAEHD-DTWKUNHWSA-N 1 2 268.332 3.833 20 0 CHADLO CC[C@H](CC(=O)NC(C)(C)c1[nH]cc(C)[nH+]1)c1ccccc1 ZINC000515491931 330478478 /nfs/dbraw/zinc/47/84/78/330478478.db2.gz PHURKYPKPBJLSR-CQSZACIVSA-N 1 2 299.418 3.653 20 0 CHADLO Cc1ccc(C)c([S@](=O)Cc2cn3cccc(C)c3[nH+]2)c1 ZINC000083977399 330483779 /nfs/dbraw/zinc/48/37/79/330483779.db2.gz SYBAZPQZNOZNQK-NRFANRHFSA-N 1 2 298.411 3.567 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)CCC2CCCC2)c1 ZINC000516134937 330487339 /nfs/dbraw/zinc/48/73/39/330487339.db2.gz CTVNDPQILTVXJC-UHFFFAOYSA-N 1 2 297.402 3.996 20 0 CHADLO CCc1cc(N(C)[C@@H]2CC[C@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000428681912 330529474 /nfs/dbraw/zinc/52/94/74/330529474.db2.gz PHPINYDHZWWKJY-XJKSGUPXSA-N 1 2 296.418 3.726 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)CC2(OC)CCC2)c[nH+]1 ZINC000429417115 330545815 /nfs/dbraw/zinc/54/58/15/330545815.db2.gz KEJYRUHZVPLGRJ-CQSZACIVSA-N 1 2 291.439 3.687 20 0 CHADLO Fc1ccccc1C[NH2+]Cc1c(F)cc(F)c(F)c1F ZINC000430033657 330557658 /nfs/dbraw/zinc/55/76/58/330557658.db2.gz HJMJHBRWVZGZNT-UHFFFAOYSA-N 1 2 287.231 3.672 20 0 CHADLO c1nn(Cc2ccccc2)c2nc[nH+]c(SC3CCC3)c12 ZINC000430994009 330577677 /nfs/dbraw/zinc/57/76/77/330577677.db2.gz RDLWYZBRKGZASP-UHFFFAOYSA-N 1 2 296.399 3.519 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)c(Cl)cc1F)c1ccn(C)n1 ZINC000433088754 330616912 /nfs/dbraw/zinc/61/69/12/330616912.db2.gz MWTUGJQANPUGMY-ZDUSSCGKSA-N 1 2 299.752 3.593 20 0 CHADLO Cc1cc(N2CCC[C@@H](C(C)C)C2)nc(C2CC2)[nH+]1 ZINC000436470244 330678040 /nfs/dbraw/zinc/67/80/40/330678040.db2.gz PZFZRCMQVZDXCU-CQSZACIVSA-N 1 2 259.397 3.535 20 0 CHADLO CC[C@H](NC(=O)Nc1c(C)cc(C)[nH+]c1C)c1ccncc1 ZINC000436493410 330678685 /nfs/dbraw/zinc/67/86/85/330678685.db2.gz LMHWUAFLLZLOMR-HNNXBMFYSA-N 1 2 298.390 3.675 20 0 CHADLO Cc1cc(C)c(NC(=O)NCCCc2ccccc2)c(C)[nH+]1 ZINC000436498458 330678791 /nfs/dbraw/zinc/67/87/91/330678791.db2.gz VGHDMKSEZHVRSY-UHFFFAOYSA-N 1 2 297.402 3.761 20 0 CHADLO Cc1ccc(F)c(OCc2c[nH+]cn2Cc2ccccc2)c1 ZINC000437613903 330705125 /nfs/dbraw/zinc/70/51/25/330705125.db2.gz NGWYHFXTYZOLOW-UHFFFAOYSA-N 1 2 296.345 3.958 20 0 CHADLO CC[C@H](NC(=O)CC[C@@H](C)c1ccccc1)c1[nH]cc[nH+]1 ZINC000442803679 330784858 /nfs/dbraw/zinc/78/48/58/330784858.db2.gz UJCSXQFYQBTFSM-HIFRSBDPSA-N 1 2 285.391 3.561 20 0 CHADLO COc1cc[nH+]c(CN(C)Cc2cc(Cl)ccc2F)c1 ZINC000444697213 330820269 /nfs/dbraw/zinc/82/02/69/330820269.db2.gz AQXAPWCYIFXTQJ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cn1cc[nH+]c1CN1C[C@@H](c2ccccc2)c2ccccc21 ZINC000444774330 330824255 /nfs/dbraw/zinc/82/42/55/330824255.db2.gz IPKXKCVUTCVJDY-KRWDZBQOSA-N 1 2 289.382 3.572 20 0 CHADLO Cn1cc[nH+]c1CN1C[C@H](c2ccccc2)c2ccccc21 ZINC000444774331 330824277 /nfs/dbraw/zinc/82/42/77/330824277.db2.gz IPKXKCVUTCVJDY-QGZVFWFLSA-N 1 2 289.382 3.572 20 0 CHADLO c1cc2[nH+]ccc(N3CCCC4(CCCC4)C3)c2cn1 ZINC000445674520 330834483 /nfs/dbraw/zinc/83/44/83/330834483.db2.gz UDYNGFMQWOBGGG-UHFFFAOYSA-N 1 2 267.376 3.790 20 0 CHADLO Cc1ccc2c(c1)CC[C@H]2NC(=O)Nc1ccc(C)[nH+]c1C ZINC000447165088 330862389 /nfs/dbraw/zinc/86/23/89/330862389.db2.gz GJNVZNMXVJWBLX-QGZVFWFLSA-N 1 2 295.386 3.816 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nccn2CC(C)C)c(C)o1 ZINC000447532128 330868339 /nfs/dbraw/zinc/86/83/39/330868339.db2.gz SHYVQYJHGPMHTQ-ZDUSSCGKSA-N 1 2 275.396 3.600 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cc(F)cc(OC)c2)cs1 ZINC000449159346 330902471 /nfs/dbraw/zinc/90/24/71/330902471.db2.gz ZLAUUXFVZAKGDF-SNVBAGLBSA-N 1 2 294.395 3.704 20 0 CHADLO Fc1ccccc1C1C[NH+](Cc2ccnn2C2CCCC2)C1 ZINC000449333996 330915656 /nfs/dbraw/zinc/91/56/56/330915656.db2.gz DCASFLZHERCWDK-UHFFFAOYSA-N 1 2 299.393 3.737 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc2nc(C)ccc2c1)C(F)F ZINC000449366220 330919138 /nfs/dbraw/zinc/91/91/38/330919138.db2.gz YBOLGXASRLMPDR-ZDUSSCGKSA-N 1 2 264.319 3.677 20 0 CHADLO CSc1cc(Br)ccc1C[NH+]1CC2(CC2)C1 ZINC000449371553 330919803 /nfs/dbraw/zinc/91/98/03/330919803.db2.gz WENWOWOCYJXSGW-UHFFFAOYSA-N 1 2 298.249 3.767 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+]Cc1ccn(C(F)F)n1 ZINC000449372888 330919873 /nfs/dbraw/zinc/91/98/73/330919873.db2.gz MBQQCTKKOSZCSA-UHFFFAOYSA-N 1 2 295.308 3.585 20 0 CHADLO FCC(CF)[NH2+]Cc1cccc(OCc2ccccc2)c1 ZINC000449373152 330919914 /nfs/dbraw/zinc/91/99/14/330919914.db2.gz ORMQFGPQJLNQSH-UHFFFAOYSA-N 1 2 291.341 3.663 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(OCC(F)(F)F)cc1)C(C)(F)F ZINC000449414727 330923786 /nfs/dbraw/zinc/92/37/86/330923786.db2.gz MUFAYEWXJZVOTL-VIFPVBQESA-N 1 2 297.267 3.761 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)C(C)(F)F)sc1Br ZINC000449415279 330923925 /nfs/dbraw/zinc/92/39/25/330923925.db2.gz OMMGJAWRXPAHRU-SSDOTTSWSA-N 1 2 298.196 3.952 20 0 CHADLO Fc1cc2[nH+]ccc(N3CCCC3)c2cc1Br ZINC000450209573 330965979 /nfs/dbraw/zinc/96/59/79/330965979.db2.gz XAKUQDMXHBQHFE-UHFFFAOYSA-N 1 2 295.155 3.737 20 0 CHADLO CO[C@@H]1CCCN(c2cc[nH+]c3cc(F)c(Cl)cc32)C1 ZINC000450309759 330971920 /nfs/dbraw/zinc/97/19/20/330971920.db2.gz VBPPHQNJJCHFJW-SNVBAGLBSA-N 1 2 294.757 3.643 20 0 CHADLO Fc1cccc2nc(N3CCC[C@H]3c3[nH]cc[nH+]3)sc21 ZINC000450424352 330978338 /nfs/dbraw/zinc/97/83/38/330978338.db2.gz KHMLHADBPSXLQL-NSHDSACASA-N 1 2 288.351 3.500 20 0 CHADLO C[C@@H](CC(F)(F)F)Nc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000450446248 330980142 /nfs/dbraw/zinc/98/01/42/330980142.db2.gz MUKVFPTZHZKNCI-QMMMGPOBSA-N 1 2 294.280 3.864 20 0 CHADLO C[C@@]1(F)CCCN(c2[nH+]ccc3ccc(F)cc32)C1 ZINC000450566881 330987034 /nfs/dbraw/zinc/98/70/34/330987034.db2.gz XVGPIGDCVOYGEA-OAHLLOKOSA-N 1 2 262.303 3.702 20 0 CHADLO Cc1cc(N[C@H](C)CC(C)(C)O)c2cccc(F)c2[nH+]1 ZINC000450692097 330993878 /nfs/dbraw/zinc/99/38/78/330993878.db2.gz LVXLQOSYEYUCBK-LLVKDONJSA-N 1 2 276.355 3.644 20 0 CHADLO CCCc1nc(C)c(CSc2[nH+]cc3ccccn32)o1 ZINC000450712647 330994959 /nfs/dbraw/zinc/99/49/59/330994959.db2.gz WGUZCUUXXZXVPT-UHFFFAOYSA-N 1 2 287.388 3.876 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+][C@H](C)c1csnn1 ZINC000450748527 330997656 /nfs/dbraw/zinc/99/76/56/330997656.db2.gz UHSZCBYBUZSGQH-VXGBXAGGSA-N 1 2 261.394 3.567 20 0 CHADLO CO[C@@H]1CCN(c2[nH+]ccc3ccc(F)cc32)CC1(C)C ZINC000450754600 330998189 /nfs/dbraw/zinc/99/81/89/330998189.db2.gz JRDYUNNBCXRVGH-OAHLLOKOSA-N 1 2 288.366 3.625 20 0 CHADLO FC(F)(F)c1cccc(C2CN(c3cccc[nH+]3)C2)c1 ZINC000450881094 331004465 /nfs/dbraw/zinc/00/44/65/331004465.db2.gz YJANWYPYDPFIJM-UHFFFAOYSA-N 1 2 278.277 3.704 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCC[C@@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451020451 331012174 /nfs/dbraw/zinc/01/21/74/331012174.db2.gz ZXAZSNWKMYOZIE-IUODEOHRSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCC[C@@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451020451 331012175 /nfs/dbraw/zinc/01/21/75/331012175.db2.gz ZXAZSNWKMYOZIE-IUODEOHRSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451020451 331012176 /nfs/dbraw/zinc/01/21/76/331012176.db2.gz ZXAZSNWKMYOZIE-IUODEOHRSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451020451 331012177 /nfs/dbraw/zinc/01/21/77/331012177.db2.gz ZXAZSNWKMYOZIE-IUODEOHRSA-N 1 2 275.371 3.756 20 0 CHADLO CC(C)([NH2+]Cc1nnc(C2CC2)s1)c1ccccc1F ZINC000451064154 331014502 /nfs/dbraw/zinc/01/45/02/331014502.db2.gz RKIHSUKVMRUDSN-UHFFFAOYSA-N 1 2 291.395 3.580 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cc(-c3cccs3)on2)C1 ZINC000451122400 331016468 /nfs/dbraw/zinc/01/64/68/331016468.db2.gz RALVBASHWVDWAX-AWEZNQCLSA-N 1 2 280.368 3.727 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cc(-c3cccs3)on2)C1 ZINC000451122400 331016469 /nfs/dbraw/zinc/01/64/69/331016469.db2.gz RALVBASHWVDWAX-AWEZNQCLSA-N 1 2 280.368 3.727 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc(-n3cc[nH+]c3)c(Cl)c2)[C@@H](C)O1 ZINC000451138496 331017137 /nfs/dbraw/zinc/01/71/37/331017137.db2.gz ZEVJBTUTFBTITK-JTNHKYCSSA-N 1 2 291.782 3.504 20 0 CHADLO Cc1ccc(-c2csc(C[N@@H+]3CC[C@@H](F)C3)n2)cc1 ZINC000451200783 331020384 /nfs/dbraw/zinc/02/03/84/331020384.db2.gz TXMITWGFJXJJHL-CYBMUJFWSA-N 1 2 276.380 3.662 20 0 CHADLO Cc1ccc(-c2csc(C[N@H+]3CC[C@@H](F)C3)n2)cc1 ZINC000451200783 331020385 /nfs/dbraw/zinc/02/03/85/331020385.db2.gz TXMITWGFJXJJHL-CYBMUJFWSA-N 1 2 276.380 3.662 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCOCC23CCCCC3)o1 ZINC000451815754 331043562 /nfs/dbraw/zinc/04/35/62/331043562.db2.gz MPQDTCTZNBRAJO-UHFFFAOYSA-N 1 2 292.423 3.507 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCOCC23CCCCC3)o1 ZINC000451815754 331043563 /nfs/dbraw/zinc/04/35/63/331043563.db2.gz MPQDTCTZNBRAJO-UHFFFAOYSA-N 1 2 292.423 3.507 20 0 CHADLO Cc1oncc1C[N@@H+]1CCCC[C@H]1c1nc2ccccc2[nH]1 ZINC000451878782 331045964 /nfs/dbraw/zinc/04/59/64/331045964.db2.gz OHARKIJBWMTNBE-INIZCTEOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1oncc1C[N@H+]1CCCC[C@H]1c1nc2ccccc2[nH]1 ZINC000451878782 331045965 /nfs/dbraw/zinc/04/59/65/331045965.db2.gz OHARKIJBWMTNBE-INIZCTEOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2C)nc1 ZINC000451991021 331049536 /nfs/dbraw/zinc/04/95/36/331049536.db2.gz MUCKPYZJSFILBX-INIZCTEOSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2C)nc1 ZINC000451991021 331049537 /nfs/dbraw/zinc/04/95/37/331049537.db2.gz MUCKPYZJSFILBX-INIZCTEOSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nc(CCC(C)C)no2)c1 ZINC000452084527 331053429 /nfs/dbraw/zinc/05/34/29/331053429.db2.gz LBPHMXGFRJQPFD-CQSZACIVSA-N 1 2 287.407 3.817 20 0 CHADLO O=C(Nc1c[nH+]c2n1CCCC2)c1csc2ccccc12 ZINC000452334109 331062614 /nfs/dbraw/zinc/06/26/14/331062614.db2.gz LNFROPRGOZTGDO-UHFFFAOYSA-N 1 2 297.383 3.686 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)Nc1c[nH+]c2n1CCCC2 ZINC000452337361 331062856 /nfs/dbraw/zinc/06/28/56/331062856.db2.gz ZOMKOSXRIUAMLR-LBPRGKRZSA-N 1 2 277.412 3.620 20 0 CHADLO CCCC[C@@H](CC)C(=O)N1CC(C)(C)[C@H]1c1[nH+]ccn1C ZINC000452842730 331079211 /nfs/dbraw/zinc/07/92/11/331079211.db2.gz ITRNBCWPXBVIOL-ZIAGYGMSSA-N 1 2 291.439 3.546 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H](C)c1cc(F)c(F)c(F)c1 ZINC000453158391 331095307 /nfs/dbraw/zinc/09/53/07/331095307.db2.gz LAKFJFROLVROPO-ZJUUUORDSA-N 1 2 297.324 3.732 20 0 CHADLO Cc1c(N[C@H]2C[C@H](C)n3cc[nH+]c32)cnn1CCC(C)C ZINC000453175916 331097392 /nfs/dbraw/zinc/09/73/92/331097392.db2.gz DBKXVLMOANBOKY-JSGCOSHPSA-N 1 2 287.411 3.552 20 0 CHADLO CO[C@H](C)c1cccc(N[C@H]2C[C@@H](C)n3cc[nH+]c32)c1 ZINC000453183330 331098305 /nfs/dbraw/zinc/09/83/05/331098305.db2.gz HRYPGYGTPBEXBM-JMSVASOKSA-N 1 2 271.364 3.708 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1cnn(CCC(C)C)c1C ZINC000453189033 331099127 /nfs/dbraw/zinc/09/91/27/331099127.db2.gz XWUTYVNDBZMZOG-CYBMUJFWSA-N 1 2 289.427 3.627 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cnn(CCC(C)C)c1C ZINC000453189034 331099197 /nfs/dbraw/zinc/09/91/97/331099197.db2.gz XWUTYVNDBZMZOG-ZDUSSCGKSA-N 1 2 289.427 3.627 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1oc2ccccc2c1OC ZINC000453203038 331100884 /nfs/dbraw/zinc/10/08/84/331100884.db2.gz DTISDOKFLOTQBS-GFCCVEGCSA-N 1 2 299.374 3.509 20 0 CHADLO CC[C@H](Cc1nc(CCc2[nH+]cccc2C)no1)C(C)C ZINC000453223247 331103381 /nfs/dbraw/zinc/10/33/81/331103381.db2.gz MAFUKOSYZKQLEY-CQSZACIVSA-N 1 2 287.407 3.783 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC)C(F)F)c1cccc(OC)c1 ZINC000453243822 331106558 /nfs/dbraw/zinc/10/65/58/331106558.db2.gz JVGDUIISAWTTOB-STQMWFEESA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@@H]1CCCC[C@H]1C[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000453277867 331110154 /nfs/dbraw/zinc/11/01/54/331110154.db2.gz CESQBVJADQZQRB-HYVNUMGLSA-N 1 2 298.434 3.700 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc2c(c1)OCCO2 ZINC000453282932 331110819 /nfs/dbraw/zinc/11/08/19/331110819.db2.gz IIZVCEFWOAPGRO-JQWIXIFHSA-N 1 2 285.334 3.542 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc(F)c(F)c(F)c1)C(C)(F)F ZINC000453292498 331111957 /nfs/dbraw/zinc/11/19/57/331111957.db2.gz NWDWLVZVWIGLGZ-NKWVEPMBSA-N 1 2 267.241 3.798 20 0 CHADLO CCn1ccnc1C[NH2+][C@@H](C)c1cc2ccc(C)cc2o1 ZINC000453310827 331114783 /nfs/dbraw/zinc/11/47/83/331114783.db2.gz GEQAZPDXBMIKEN-ZDUSSCGKSA-N 1 2 283.375 3.808 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CC2)o1)c1cc(F)ccc1F ZINC000453359518 331122155 /nfs/dbraw/zinc/12/21/55/331122155.db2.gz OWEGBUGIIDMCER-VIFPVBQESA-N 1 2 278.302 3.681 20 0 CHADLO CCCc1ncc(C[NH2+][C@H](C)c2ccc(CC)o2)o1 ZINC000453383867 331126231 /nfs/dbraw/zinc/12/62/31/331126231.db2.gz MRPFWEYVCDCLBM-LLVKDONJSA-N 1 2 262.353 3.633 20 0 CHADLO CCSCc1ccc(N[C@@H](C)c2[nH+]ccn2C)cc1 ZINC000453388036 331126798 /nfs/dbraw/zinc/12/67/98/331126798.db2.gz WMPUZQIJZGRLHD-LBPRGKRZSA-N 1 2 275.421 3.846 20 0 CHADLO CC(C)N(C)c1ccc([NH2+][C@@H]2CCOC[C@@H]2C)cc1F ZINC000195057193 331134146 /nfs/dbraw/zinc/13/41/46/331134146.db2.gz SRMCZNMVXQEKBQ-SWLSCSKDSA-N 1 2 280.387 3.507 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)n1C ZINC000453610674 331136322 /nfs/dbraw/zinc/13/63/22/331136322.db2.gz KHDJOOARASDUDH-SFHVURJKSA-N 1 2 295.430 3.846 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)n1C ZINC000453610674 331136323 /nfs/dbraw/zinc/13/63/23/331136323.db2.gz KHDJOOARASDUDH-SFHVURJKSA-N 1 2 295.430 3.846 20 0 CHADLO Cc1c[nH+]c(CN2CC3(CCCC3)[C@@H]2c2ccccc2)n1C ZINC000453610674 331136324 /nfs/dbraw/zinc/13/63/24/331136324.db2.gz KHDJOOARASDUDH-SFHVURJKSA-N 1 2 295.430 3.846 20 0 CHADLO C[C@H]1CC=C(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000454494472 331147415 /nfs/dbraw/zinc/14/74/15/331147415.db2.gz AVXZJOQAIFQCDK-ZDUSSCGKSA-N 1 2 281.359 3.557 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)C1(C2CCC2)CCC1 ZINC000454798062 331153732 /nfs/dbraw/zinc/15/37/32/331153732.db2.gz ZVXDKWATTVPNCV-UHFFFAOYSA-N 1 2 295.386 3.781 20 0 CHADLO Cc1cc(C)c(NC(=O)N(CC2CC2)CC2CC2)c(C)[nH+]1 ZINC000455485733 331170803 /nfs/dbraw/zinc/17/08/03/331170803.db2.gz KHXLDMKFQXYRMX-UHFFFAOYSA-N 1 2 287.407 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)NCCSCC(C)C)c(C)[nH+]1 ZINC000455498495 331171051 /nfs/dbraw/zinc/17/10/51/331171051.db2.gz RIAWUGPCGVWJCF-UHFFFAOYSA-N 1 2 295.452 3.518 20 0 CHADLO Nc1cc(CSc2ccnc3ccccc32)cc[nH+]1 ZINC000195501018 331175492 /nfs/dbraw/zinc/17/54/92/331175492.db2.gz SABUPCGCQQQXPB-UHFFFAOYSA-N 1 2 267.357 3.504 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC000456351727 331190491 /nfs/dbraw/zinc/19/04/91/331190491.db2.gz LBFKJXBMDSXORF-KKUMJFAQSA-N 1 2 291.439 3.543 20 0 CHADLO CCC[C@H](C(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456358301 331190749 /nfs/dbraw/zinc/19/07/49/331190749.db2.gz CKHBFNQSVXVBQB-BPUTZDHNSA-N 1 2 297.402 3.589 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)c2cc3ccccc3s2)c2[nH+]ccn21 ZINC000456385159 331191969 /nfs/dbraw/zinc/19/19/69/331191969.db2.gz OBLMYRHYBIPNTK-ZYHUDNBSSA-N 1 2 297.383 3.534 20 0 CHADLO C[C@H](CC1CCCCC1)C(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000456401101 331192159 /nfs/dbraw/zinc/19/21/59/331192159.db2.gz VPQPPKOVLUXBNN-VNHYZAJKSA-N 1 2 289.423 3.612 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)CCCC2CCCCC2)c2[nH+]ccn21 ZINC000456397838 331192194 /nfs/dbraw/zinc/19/21/94/331192194.db2.gz TZMPHDHVFRUKGR-DZGCQCFKSA-N 1 2 289.423 3.756 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)C[C@@H]2CCCCC2(C)C)c2[nH+]ccn21 ZINC000456427581 331192465 /nfs/dbraw/zinc/19/24/65/331192465.db2.gz RBZMLVYVIGWHHQ-RDBSUJKOSA-N 1 2 289.423 3.612 20 0 CHADLO CC[C@@H]1CC[C@H](C)N(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000456802598 331204502 /nfs/dbraw/zinc/20/45/02/331204502.db2.gz RRJKDUZQRYPKIR-LSDHHAIUSA-N 1 2 297.402 3.523 20 0 CHADLO CCC[C@@H]1CCC[C@@H]1C(=O)NCc1c[nH+]c(C)cc1C ZINC000456833028 331206856 /nfs/dbraw/zinc/20/68/56/331206856.db2.gz GCKCAIKCRXATRN-ZBFHGGJFSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cccc(C3CCC3)c2)c[nH+]1 ZINC000456841565 331207203 /nfs/dbraw/zinc/20/72/03/331207203.db2.gz OXQGWDZHVXGBKQ-UHFFFAOYSA-N 1 2 294.398 3.896 20 0 CHADLO Cc1cc(C)c(CNC(=O)CC/C=C\c2ccccc2)c[nH+]1 ZINC000456855050 331207538 /nfs/dbraw/zinc/20/75/38/331207538.db2.gz ZAICGTGWRLFSJV-POHAHGRESA-N 1 2 294.398 3.808 20 0 CHADLO C[C@@H](CC(F)(F)F)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000457526813 331225979 /nfs/dbraw/zinc/22/59/79/331225979.db2.gz NGDBGJPBELNXPC-VIFPVBQESA-N 1 2 297.280 3.604 20 0 CHADLO Cc1ccc(NC2CC(c3ccccc3F)C2)c[nH+]1 ZINC000164602819 331262999 /nfs/dbraw/zinc/26/29/99/331262999.db2.gz VDYFYIKMVDAGHU-UHFFFAOYSA-N 1 2 256.324 3.887 20 0 CHADLO CCN(C(=O)NCc1c[nH+]c(C)cc1C)C1CCCCC1 ZINC000459080637 331269613 /nfs/dbraw/zinc/26/96/13/331269613.db2.gz PTXYXDYRPGUSEC-UHFFFAOYSA-N 1 2 289.423 3.563 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1cnc(C2CC2)nc1)C1CC1 ZINC000459302370 331275317 /nfs/dbraw/zinc/27/53/17/331275317.db2.gz KDPUIKJTALRPLK-UHFFFAOYSA-N 1 2 297.377 3.658 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1cnc(C2CC2)nc1)C1CC1 ZINC000459302370 331275318 /nfs/dbraw/zinc/27/53/18/331275318.db2.gz KDPUIKJTALRPLK-UHFFFAOYSA-N 1 2 297.377 3.658 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccc(C2CC2)cc1 ZINC000459327783 331276320 /nfs/dbraw/zinc/27/63/20/331276320.db2.gz PNINUSGQROIYMR-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccc(C2CC2)cc1 ZINC000459327783 331276321 /nfs/dbraw/zinc/27/63/21/331276321.db2.gz PNINUSGQROIYMR-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO CC[N@H+](Cc1cc(COC)on1)[C@@H](C)c1ccc(F)cc1 ZINC000459330264 331276456 /nfs/dbraw/zinc/27/64/56/331276456.db2.gz RCYGXSDDGABCTE-LBPRGKRZSA-N 1 2 292.354 3.543 20 0 CHADLO CC[N@@H+](Cc1cc(COC)on1)[C@@H](C)c1ccc(F)cc1 ZINC000459330264 331276457 /nfs/dbraw/zinc/27/64/57/331276457.db2.gz RCYGXSDDGABCTE-LBPRGKRZSA-N 1 2 292.354 3.543 20 0 CHADLO C/C(=C\c1cccc(C(F)(F)F)c1)C[NH2+]CC(F)F ZINC000459548522 331287136 /nfs/dbraw/zinc/28/71/36/331287136.db2.gz PWEKUTUJIYFIEE-WEVVVXLNSA-N 1 2 279.252 3.963 20 0 CHADLO Cn1ccnc1[C@H]1[N@H+](Cc2ccc(C3CC3)cc2)CC1(C)C ZINC000459577993 331289002 /nfs/dbraw/zinc/28/90/02/331289002.db2.gz XFBGMWXLPVTMJY-QGZVFWFLSA-N 1 2 295.430 3.881 20 0 CHADLO Cn1ccnc1[C@H]1[N@@H+](Cc2ccc(C3CC3)cc2)CC1(C)C ZINC000459577993 331289003 /nfs/dbraw/zinc/28/90/03/331289003.db2.gz XFBGMWXLPVTMJY-QGZVFWFLSA-N 1 2 295.430 3.881 20 0 CHADLO CC1(C)Cc2ccsc2C[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000459591465 331289662 /nfs/dbraw/zinc/28/96/62/331289662.db2.gz ZUMAVQMUEKSVJE-UHFFFAOYSA-N 1 2 288.416 3.950 20 0 CHADLO CC1(C)Cc2ccsc2C[N@H+]1Cc1ncc(C2CC2)o1 ZINC000459591465 331289663 /nfs/dbraw/zinc/28/96/63/331289663.db2.gz ZUMAVQMUEKSVJE-UHFFFAOYSA-N 1 2 288.416 3.950 20 0 CHADLO Clc1cccc(Cl)c1C[NH+]1CC2(C1)CCCOC2 ZINC000459606110 331290287 /nfs/dbraw/zinc/29/02/87/331290287.db2.gz MQGBKGLJUBILHQ-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@H](C)c2nc3c(s2)CCC3)c1 ZINC000459635255 331291435 /nfs/dbraw/zinc/29/14/35/331291435.db2.gz STNOMFFAEZTCKR-LLVKDONJSA-N 1 2 290.407 3.930 20 0 CHADLO COc1ccc(F)c(C[NH+]2CC(C)(CC(F)(F)F)C2)c1 ZINC000459644241 331291903 /nfs/dbraw/zinc/29/19/03/331291903.db2.gz WUPIESGZSULXKV-UHFFFAOYSA-N 1 2 291.288 3.609 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CCc3cccc(Cl)c3C2)cn1 ZINC000459671024 331293343 /nfs/dbraw/zinc/29/33/43/331293343.db2.gz FPIKVWGSRVFPLM-GFCCVEGCSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CCc3cccc(Cl)c3C2)cn1 ZINC000459671024 331293344 /nfs/dbraw/zinc/29/33/44/331293344.db2.gz FPIKVWGSRVFPLM-GFCCVEGCSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ncc(C(C)(C)C)s2)nc1C ZINC000459672473 331293553 /nfs/dbraw/zinc/29/35/53/331293553.db2.gz QOHUHQLSEHBIRM-UHFFFAOYSA-N 1 2 289.448 3.742 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC000459692588 331294699 /nfs/dbraw/zinc/29/46/99/331294699.db2.gz FBQIFNUWEFGFEA-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CCc2cccc(Cl)c2C1 ZINC000459692588 331294700 /nfs/dbraw/zinc/29/47/00/331294700.db2.gz FBQIFNUWEFGFEA-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1noc(C[N@@H+]2CC3(CCCCC3)[C@H]2c2ccccc2)n1 ZINC000459749458 331298189 /nfs/dbraw/zinc/29/81/89/331298189.db2.gz PBYBRRXDZDEWTC-QGZVFWFLSA-N 1 2 297.402 3.885 20 0 CHADLO Cc1noc(C[N@H+]2CC3(CCCCC3)[C@H]2c2ccccc2)n1 ZINC000459749458 331298190 /nfs/dbraw/zinc/29/81/90/331298190.db2.gz PBYBRRXDZDEWTC-QGZVFWFLSA-N 1 2 297.402 3.885 20 0 CHADLO Cc1ncsc1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459760712 331298976 /nfs/dbraw/zinc/29/89/76/331298976.db2.gz SCGDVGMLWUBNOG-UHFFFAOYSA-N 1 2 264.781 3.621 20 0 CHADLO Cc1ncsc1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459760712 331298977 /nfs/dbraw/zinc/29/89/77/331298977.db2.gz SCGDVGMLWUBNOG-UHFFFAOYSA-N 1 2 264.781 3.621 20 0 CHADLO C[C@H]([NH2+]c1ccc(OC2CCCC2)cc1)[C@@H]1CCOC1 ZINC000165088692 331305762 /nfs/dbraw/zinc/30/57/62/331305762.db2.gz YKMDEJRPYDOUQO-UONOGXRCSA-N 1 2 275.392 3.845 20 0 CHADLO CC[C@H](C(=O)Nc1cccc2[nH+]ccn21)c1ccc(F)cc1 ZINC000461016523 331310452 /nfs/dbraw/zinc/31/04/52/331310452.db2.gz AOSWFDSPKUJDNX-AWEZNQCLSA-N 1 2 297.333 3.606 20 0 CHADLO CC(C)c1nc(N2CC[C@@H](Cc3ccccc3)C2)cc[nH+]1 ZINC000462860739 331333087 /nfs/dbraw/zinc/33/30/87/331333087.db2.gz PMQYXDXBZOSNKY-INIZCTEOSA-N 1 2 281.403 3.669 20 0 CHADLO Fc1cc(F)cc(C[NH+]2CC(c3ccccc3F)C2)c1 ZINC000463035250 331337975 /nfs/dbraw/zinc/33/79/75/331337975.db2.gz GFHCNKLRNVKMLM-UHFFFAOYSA-N 1 2 277.289 3.703 20 0 CHADLO CCC[C@@H]1C[C@H]1C(=O)Nc1c[nH+]ccc1OC(C)(C)C ZINC000464195873 331357894 /nfs/dbraw/zinc/35/78/94/331357894.db2.gz DDIZFPKCTUVFSK-VXGBXAGGSA-N 1 2 276.380 3.634 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2F)[N@H+](CC(=O)OC(C)(C)C)C1 ZINC000464741607 331365710 /nfs/dbraw/zinc/36/57/10/331365710.db2.gz UMHKSTRSONCPAC-WFASDCNBSA-N 1 2 293.382 3.550 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2F)[N@@H+](CC(=O)OC(C)(C)C)C1 ZINC000464741607 331365711 /nfs/dbraw/zinc/36/57/11/331365711.db2.gz UMHKSTRSONCPAC-WFASDCNBSA-N 1 2 293.382 3.550 20 0 CHADLO CC(C)CCC1CC[NH+](Cc2noc(C(C)C)n2)CC1 ZINC000470007613 331469466 /nfs/dbraw/zinc/46/94/66/331469466.db2.gz FCELHJZUOIAHAE-UHFFFAOYSA-N 1 2 279.428 3.841 20 0 CHADLO CCSc1cccc(CNc2ccc(SC)c[nH+]2)c1 ZINC000471482290 331509382 /nfs/dbraw/zinc/50/93/82/331509382.db2.gz YZICNSBWFJWZFR-UHFFFAOYSA-N 1 2 290.457 3.950 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)Cc1ccc2c(c1)CCCC2 ZINC000485484461 331915472 /nfs/dbraw/zinc/91/54/72/331915472.db2.gz BVPIZGLUCZRQKH-UHFFFAOYSA-N 1 2 294.398 3.758 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCC(C(C)C)C(C)C ZINC000485545498 331916347 /nfs/dbraw/zinc/91/63/47/331916347.db2.gz OQQDVTVAWRTJNE-UHFFFAOYSA-N 1 2 277.412 3.748 20 0 CHADLO Cc1cc(OCC[C@H]2CCCCO2)c2ccccc2[nH+]1 ZINC000486188143 331933148 /nfs/dbraw/zinc/93/31/48/331933148.db2.gz DNRLNURDZWEQLD-CQSZACIVSA-N 1 2 271.360 3.881 20 0 CHADLO CC(C)(C)[C@@H]1C[C@@H](Nc2ccc3ccccc3[nH+]2)CCO1 ZINC000487077551 331955994 /nfs/dbraw/zinc/95/59/94/331955994.db2.gz WJLXUZHQNGINDU-HOCLYGCPSA-N 1 2 284.403 3.662 20 0 CHADLO Cc1cc(N[C@H]2CCC[C@@H](c3ccccc3)C2)nc[nH+]1 ZINC000487119653 331956735 /nfs/dbraw/zinc/95/67/35/331956735.db2.gz RRJUXXIPMDXVNW-CVEARBPZSA-N 1 2 267.376 3.923 20 0 CHADLO C[C@@H](Nc1cccc(-c2[nH+]ccn2C)c1)[C@H]1CC1(C)C ZINC000487330261 331966551 /nfs/dbraw/zinc/96/65/51/331966551.db2.gz UZZRPKZBEFEFSW-IUODEOHRSA-N 1 2 269.392 3.934 20 0 CHADLO CC(C)[C@H]1C[C@H](Nc2cccc(-n3cc[nH+]c3)c2)CS1 ZINC000488343946 332000918 /nfs/dbraw/zinc/00/09/18/332000918.db2.gz BLCYSJWUADGKKJ-GOEBONIOSA-N 1 2 287.432 3.814 20 0 CHADLO C[C@H]1C[C@H]1CNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000488440030 332008635 /nfs/dbraw/zinc/00/86/35/332008635.db2.gz AGGVZGKYFQWNCT-ZFWWWQNUSA-N 1 2 279.387 3.670 20 0 CHADLO Cc1cc(NC[C@@H]2CCC[C@@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000489210000 332043316 /nfs/dbraw/zinc/04/33/16/332043316.db2.gz ZVXSKQPCDVRFBT-UKRRQHHQSA-N 1 2 296.418 3.507 20 0 CHADLO COc1ccc(C[N@@H+]2CCOC[C@H]2CC(C)C)cc1Cl ZINC000489325673 332046953 /nfs/dbraw/zinc/04/69/53/332046953.db2.gz JQUIWDOCVRGWCT-CQSZACIVSA-N 1 2 297.826 3.596 20 0 CHADLO COc1ccc(C[N@H+]2CCOC[C@H]2CC(C)C)cc1Cl ZINC000489325673 332046954 /nfs/dbraw/zinc/04/69/54/332046954.db2.gz JQUIWDOCVRGWCT-CQSZACIVSA-N 1 2 297.826 3.596 20 0 CHADLO C[N@H+](Cc1ncc(Cl)cc1Cl)[C@@H]1CC1(C)C ZINC000489488526 332053606 /nfs/dbraw/zinc/05/36/06/332053606.db2.gz AIPLGPAVVUMYAX-LLVKDONJSA-N 1 2 259.180 3.619 20 0 CHADLO C[N@@H+](Cc1ncc(Cl)cc1Cl)[C@@H]1CC1(C)C ZINC000489488526 332053607 /nfs/dbraw/zinc/05/36/07/332053607.db2.gz AIPLGPAVVUMYAX-LLVKDONJSA-N 1 2 259.180 3.619 20 0 CHADLO FC(F)(F)[C@H]([NH2+][C@@H]1CCC[C@@H](C2CC2)C1)C1CC1 ZINC000489806159 332068576 /nfs/dbraw/zinc/06/85/76/332068576.db2.gz ZKAGOVFXEYYBMS-JHJVBQTASA-N 1 2 261.331 3.886 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+](C)Cc2sccc2C)n1 ZINC000490297923 332086219 /nfs/dbraw/zinc/08/62/19/332086219.db2.gz GXRNUAUTEQNJAU-GFCCVEGCSA-N 1 2 293.436 3.975 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+](C)Cc2sccc2C)n1 ZINC000490297923 332086221 /nfs/dbraw/zinc/08/62/21/332086221.db2.gz GXRNUAUTEQNJAU-GFCCVEGCSA-N 1 2 293.436 3.975 20 0 CHADLO COc1ccc(/C=C/C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000491304334 332185925 /nfs/dbraw/zinc/18/59/25/332185925.db2.gz LYGIRJHURISIBO-JXMROGBWSA-N 1 2 296.370 3.667 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+]C2CCC2)C(F)F)c1 ZINC000575430307 335103628 /nfs/dbraw/zinc/10/36/28/335103628.db2.gz XCKANTBESAJUFQ-AWEZNQCLSA-N 1 2 269.335 3.922 20 0 CHADLO CCn1cc[nH+]c1/C=C/C(=O)Nc1csc2ccccc12 ZINC000492523911 332401418 /nfs/dbraw/zinc/40/14/18/332401418.db2.gz YMJDJWSIAUGBOF-BQYQJAHWSA-N 1 2 297.383 3.770 20 0 CHADLO CCCN(C(=O)C=Cc1c[nH]c[nH+]1)[C@H](CC)c1ccccc1 ZINC000493829796 332455667 /nfs/dbraw/zinc/45/56/67/332455667.db2.gz XMFFSUHWHMKKFH-QXPKXGMISA-N 1 2 297.402 3.813 20 0 CHADLO CCCOc1cccc2c(N[C@H]3CCCOC3)cc[nH+]c12 ZINC000494066364 332462439 /nfs/dbraw/zinc/46/24/39/332462439.db2.gz OREKGSCSEWIGAD-ZDUSSCGKSA-N 1 2 286.375 3.615 20 0 CHADLO CCCc1csc(C[NH2+][C@@H](CC)c2c(C)noc2C)n1 ZINC000494187199 332465972 /nfs/dbraw/zinc/46/59/72/332465972.db2.gz ZAVZNRZMGYHYEP-ZDUSSCGKSA-N 1 2 293.436 3.941 20 0 CHADLO Cc1cc[nH+]c(NCC2CC(F)(F)C2)c1Br ZINC000336672889 335110413 /nfs/dbraw/zinc/11/04/13/335110413.db2.gz AVVIFBPCKZADIU-UHFFFAOYSA-N 1 2 291.139 3.610 20 0 CHADLO Cc1cc(N[C@@H]2CCCC[C@@H]2c2ccccc2)nc[nH+]1 ZINC000495479650 332520398 /nfs/dbraw/zinc/52/03/98/332520398.db2.gz KGUKZJQRENZJEV-HZPDHXFCSA-N 1 2 267.376 3.923 20 0 CHADLO Cc1cc(N(C)[C@@H]2CCCC[C@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000498839135 332574140 /nfs/dbraw/zinc/57/41/40/332574140.db2.gz QICJEELZVYVYHU-CZUORRHYSA-N 1 2 296.418 3.862 20 0 CHADLO CCC[C@@H](CC1CCCC1)C(=O)Nc1cc[nH+]cc1C ZINC000499831911 332593863 /nfs/dbraw/zinc/59/38/63/332593863.db2.gz HVWMVLRJIBEBEM-HNNXBMFYSA-N 1 2 274.408 3.747 20 0 CHADLO CC(C)=CCSc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000500308256 332604473 /nfs/dbraw/zinc/60/44/73/332604473.db2.gz YMLIZJPNWKBVKB-UHFFFAOYSA-N 1 2 296.399 3.874 20 0 CHADLO C[C@@H](Nc1cnn([C@H](C)C2CC2)c1)c1cn2ccccc2[nH+]1 ZINC000575819625 335119035 /nfs/dbraw/zinc/11/90/35/335119035.db2.gz BBEPACBESOHLEG-CHWSQXEVSA-N 1 2 295.390 3.675 20 0 CHADLO Fc1cccc(Oc2ccc(C[NH2+]Cc3ccn[nH]3)cc2)c1 ZINC000516844847 333084034 /nfs/dbraw/zinc/08/40/34/333084034.db2.gz VUOLCBPYIIVVSY-UHFFFAOYSA-N 1 2 297.333 3.631 20 0 CHADLO CCCC[C@@H](C)[C@@H](C)[NH2+]c1ccc(NS(C)(=O)=O)cc1 ZINC000516963359 333088140 /nfs/dbraw/zinc/08/81/40/333088140.db2.gz XUOIIDWEAWYHIX-CHWSQXEVSA-N 1 2 298.452 3.685 20 0 CHADLO Cc1cc(C)c(NC(=O)NCCC2=CCCC2)c(C)[nH+]1 ZINC000517514838 333101086 /nfs/dbraw/zinc/10/10/86/333101086.db2.gz UQJVHVSVQXLIMH-UHFFFAOYSA-N 1 2 273.380 3.629 20 0 CHADLO CC(C)c1nc(N2CCC[C@@H]2Cc2ccccc2)cc[nH+]1 ZINC000521061082 333110789 /nfs/dbraw/zinc/11/07/89/333110789.db2.gz XKMSIIOPSSKQAT-MRXNPFEDSA-N 1 2 281.403 3.812 20 0 CHADLO COc1ccccc1CSCCc1cccc(C)[nH+]1 ZINC000572521705 333115427 /nfs/dbraw/zinc/11/54/27/333115427.db2.gz PXKDZLUDQYFFKH-UHFFFAOYSA-N 1 2 273.401 3.875 20 0 CHADLO CC[C@@H](C)[C@@H](C)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000183221938 333119702 /nfs/dbraw/zinc/11/97/02/333119702.db2.gz PAAXCHNLTOEMNS-CHWSQXEVSA-N 1 2 285.391 3.708 20 0 CHADLO Cc1cncc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000184451775 333128850 /nfs/dbraw/zinc/12/88/50/333128850.db2.gz PCEXCEQXYYQDKN-CQSZACIVSA-N 1 2 282.391 3.558 20 0 CHADLO CC(C)C[C@@H](C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000184719023 333131674 /nfs/dbraw/zinc/13/16/74/333131674.db2.gz XAYJRKVRXWVIGD-GFCCVEGCSA-N 1 2 271.364 3.697 20 0 CHADLO Cc1cc(N)nc(SCc2ccc(C(F)F)cc2)[nH+]1 ZINC000185731289 333147445 /nfs/dbraw/zinc/14/74/45/333147445.db2.gz AKODKBDMCMATGB-UHFFFAOYSA-N 1 2 281.331 3.597 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H]2CCC[C@H]2C)c(C)[nH+]1 ZINC000186615686 333163775 /nfs/dbraw/zinc/16/37/75/333163775.db2.gz FFWQLHXLVYQOTD-YGRLFVJLSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1nc(C(C)(C)C)no1)CC2 ZINC000186714221 333164522 /nfs/dbraw/zinc/16/45/22/333164522.db2.gz CNVRBLMZTYIKPK-UHFFFAOYSA-N 1 2 299.418 3.542 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1nc(C(C)(C)C)no1)CC2 ZINC000186714221 333164524 /nfs/dbraw/zinc/16/45/24/333164524.db2.gz CNVRBLMZTYIKPK-UHFFFAOYSA-N 1 2 299.418 3.542 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)cc2F)o1 ZINC000220889105 333170308 /nfs/dbraw/zinc/17/03/08/333170308.db2.gz POPVPVRDZVPDLB-UWVGGRQHSA-N 1 2 266.291 3.673 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2ccc(C)c(F)c2)o1 ZINC000220952025 333171045 /nfs/dbraw/zinc/17/10/45/333171045.db2.gz VWIJJMAQHLDYTD-NEPJUHHUSA-N 1 2 262.328 3.842 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1cncc(F)c1 ZINC000222226724 333177754 /nfs/dbraw/zinc/17/77/54/333177754.db2.gz QDMCHIDKJHYDQO-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@@H]1CC[C@@H]([NH2+]Cc2nn(C)cc2Cl)c2ccccc21 ZINC000393349749 333178449 /nfs/dbraw/zinc/17/84/49/333178449.db2.gz OYTFJNZWNLLACX-IAQYHMDHSA-N 1 2 289.810 3.802 20 0 CHADLO CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1Cl ZINC000521632957 333180603 /nfs/dbraw/zinc/18/06/03/333180603.db2.gz ZZHASSKHAAKVFT-GFCCVEGCSA-N 1 2 291.782 3.541 20 0 CHADLO Cc1cccc(CNc2[nH+]cccc2OC(F)F)c1 ZINC000189272310 333188892 /nfs/dbraw/zinc/18/88/92/333188892.db2.gz FWEAGWSKPYUYTO-UHFFFAOYSA-N 1 2 264.275 3.604 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](CC(F)(F)F)c2ccccc2)o1 ZINC000223669963 333210660 /nfs/dbraw/zinc/21/06/60/333210660.db2.gz SVAWWVXXEJWZCT-LBPRGKRZSA-N 1 2 284.281 3.766 20 0 CHADLO Cc1cc(N[C@H](C)CCc2ccccc2)nc(C2CC2)[nH+]1 ZINC000521917584 333222934 /nfs/dbraw/zinc/22/29/34/333222934.db2.gz WEBIZMNVBGOWAR-CYBMUJFWSA-N 1 2 281.403 3.518 20 0 CHADLO CC1(C)C[N@H+](Cc2cscn2)CC[C@@H]1C(F)(F)F ZINC000191660651 333223004 /nfs/dbraw/zinc/22/30/04/333223004.db2.gz TXDBILQZBIYCIJ-JTQLQIEISA-N 1 2 278.343 3.554 20 0 CHADLO CC1(C)C[N@@H+](Cc2cscn2)CC[C@@H]1C(F)(F)F ZINC000191660651 333223005 /nfs/dbraw/zinc/22/30/05/333223005.db2.gz TXDBILQZBIYCIJ-JTQLQIEISA-N 1 2 278.343 3.554 20 0 CHADLO COc1ccc([C@@H](Nc2cc[nH+]c(C(C)C)n2)C(C)C)cc1 ZINC000521919241 333223372 /nfs/dbraw/zinc/22/33/72/333223372.db2.gz SSLWURGMYDFXPK-KRWDZBQOSA-N 1 2 299.418 3.840 20 0 CHADLO CCc1ccccc1CC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000191821689 333225787 /nfs/dbraw/zinc/22/57/87/333225787.db2.gz FYZKMAVCIBTUHO-UHFFFAOYSA-N 1 2 282.387 3.750 20 0 CHADLO Cc1cc(C)cc([C@@H](C)Nc2cc[nH+]c(C(C)C)n2)c1 ZINC000521965300 333228439 /nfs/dbraw/zinc/22/84/39/333228439.db2.gz BOAVICDCOVAPOZ-CQSZACIVSA-N 1 2 269.392 3.812 20 0 CHADLO Cc1cnc(C[NH2+]C2(c3ccc(Cl)cc3)CCC2)o1 ZINC000173328957 335131844 /nfs/dbraw/zinc/13/18/44/335131844.db2.gz JSRICOAPWYOXJU-UHFFFAOYSA-N 1 2 276.767 3.805 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)no1)c1cccc(F)c1 ZINC000192621948 333237184 /nfs/dbraw/zinc/23/71/84/333237184.db2.gz RYQKGAYKGHAYPQ-MNOVXSKESA-N 1 2 291.370 3.918 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+]Cc1sccc1Cl ZINC000193124512 333242972 /nfs/dbraw/zinc/24/29/72/333242972.db2.gz UPTGRJAVRQMJNA-UHFFFAOYSA-N 1 2 283.828 3.544 20 0 CHADLO COc1ncccc1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000193341057 333248440 /nfs/dbraw/zinc/24/84/40/333248440.db2.gz YHEQFWQNSRAYBY-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ncccc1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000193341057 333248442 /nfs/dbraw/zinc/24/84/42/333248442.db2.gz YHEQFWQNSRAYBY-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CCCOc1cccc2c(N[C@H](C)CCCO)cc[nH+]c12 ZINC000194726074 333276550 /nfs/dbraw/zinc/27/65/50/333276550.db2.gz YYAVKILRNNYHID-CYBMUJFWSA-N 1 2 288.391 3.597 20 0 CHADLO Cc1[nH]c(C(=O)N[C@@H](CC2CCCCC2)C(C)(C)C)c[nH+]1 ZINC000522410112 333286720 /nfs/dbraw/zinc/28/67/20/333286720.db2.gz HMGGFLFVWFBQJC-HNNXBMFYSA-N 1 2 291.439 3.833 20 0 CHADLO CCc1cnc(C[NH2+]Cc2csc(C(C)(C)C)n2)s1 ZINC000230706425 333321294 /nfs/dbraw/zinc/32/12/94/333321294.db2.gz NHLWLOADJWEBAA-UHFFFAOYSA-N 1 2 295.477 3.749 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3cc(C)cc(C)c3)ccc2[nH+]1 ZINC000523425886 333326064 /nfs/dbraw/zinc/32/60/64/333326064.db2.gz IUSZWRVGARBQFO-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2cc(F)ccc2F)C[C@H](C)O1 ZINC000523607575 333334213 /nfs/dbraw/zinc/33/42/13/333334213.db2.gz KCYYWCUQAAYZPX-DMDPSCGWSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2cc(F)ccc2F)C[C@H](C)O1 ZINC000523607575 333334215 /nfs/dbraw/zinc/33/42/15/333334215.db2.gz KCYYWCUQAAYZPX-DMDPSCGWSA-N 1 2 269.335 3.525 20 0 CHADLO CC[N@H+](CCCNC(=O)[C@H](C)C(C)(C)C)c1ccccc1 ZINC000523710504 333340988 /nfs/dbraw/zinc/34/09/88/333340988.db2.gz KAPXQWWMSNITML-HNNXBMFYSA-N 1 2 290.451 3.701 20 0 CHADLO CC[N@@H+](CCCNC(=O)[C@H](C)C(C)(C)C)c1ccccc1 ZINC000523710504 333340990 /nfs/dbraw/zinc/34/09/90/333340990.db2.gz KAPXQWWMSNITML-HNNXBMFYSA-N 1 2 290.451 3.701 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(-c2nc(CCC3CC3)no2)c1 ZINC000575954521 335134788 /nfs/dbraw/zinc/13/47/88/335134788.db2.gz YPAWFDVZKPNJQF-UHFFFAOYSA-N 1 2 294.358 3.573 20 0 CHADLO COC(=O)[C@H](C)[NH2+][C@@H](CC1CCCCC1)c1ccccc1 ZINC000523913521 333349230 /nfs/dbraw/zinc/34/92/30/333349230.db2.gz RCDGKJVEODGAIR-YOEHRIQHSA-N 1 2 289.419 3.849 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2ccncc2Cl)C1 ZINC000173452354 335135086 /nfs/dbraw/zinc/13/50/86/335135086.db2.gz DJBOFKSESMTOAL-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2ccncc2Cl)C1 ZINC000173452354 335135087 /nfs/dbraw/zinc/13/50/87/335135087.db2.gz DJBOFKSESMTOAL-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO COC(=O)[C@H]([NH2+]CC[C@H]1CCCC[C@@H]1C)c1ccccc1 ZINC000524012873 333351320 /nfs/dbraw/zinc/35/13/20/333351320.db2.gz OMCSCSZIVBUTLD-ZMSDIMECSA-N 1 2 289.419 3.707 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](c3ccccc3F)C2)cc1 ZINC000524307758 333359839 /nfs/dbraw/zinc/35/98/39/333359839.db2.gz RUYJPYXRQQMRPZ-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](c3ccccc3F)C2)cc1 ZINC000524307758 333359840 /nfs/dbraw/zinc/35/98/40/333359840.db2.gz RUYJPYXRQQMRPZ-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)N(C)c1nc[nH+]c(N)c1Cl ZINC000235517747 333370333 /nfs/dbraw/zinc/37/03/33/333370333.db2.gz PKBRWBIUOHVGIU-QMMMGPOBSA-N 1 2 297.189 3.563 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)N(C)c1[nH+]cnc(N)c1Cl ZINC000235517747 333370334 /nfs/dbraw/zinc/37/03/34/333370334.db2.gz PKBRWBIUOHVGIU-QMMMGPOBSA-N 1 2 297.189 3.563 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)CCc1ccccc1)c1ccccc1 ZINC000237123280 333377550 /nfs/dbraw/zinc/37/75/50/333377550.db2.gz VSJCMHAGFLROBR-MAUKXSAKSA-N 1 2 297.398 3.512 20 0 CHADLO Cc1cc(Br)ccc1CNc1cccc[nH+]1 ZINC000237556426 333380332 /nfs/dbraw/zinc/38/03/32/333380332.db2.gz BHXDNRCRUTWQCT-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO CC[C@H](CC(=O)NC(C)(C)c1[nH]cc[nH+]1)c1ccc(C)cc1 ZINC000575995051 335139880 /nfs/dbraw/zinc/13/98/80/335139880.db2.gz AGAWNRBQSIHJHS-CQSZACIVSA-N 1 2 299.418 3.653 20 0 CHADLO CC[C@H]([NH2+]Cc1ncccc1Cl)c1c(C)noc1C ZINC000528441876 333437955 /nfs/dbraw/zinc/43/79/55/333437955.db2.gz BMCBNJFSGHEXDE-LBPRGKRZSA-N 1 2 279.771 3.581 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccn1)C1CCC1)c1nc(C2CC2)no1 ZINC000528651151 333450680 /nfs/dbraw/zinc/45/06/80/333450680.db2.gz QQSCAYGRWTWQJI-XHDPSFHLSA-N 1 2 298.390 3.534 20 0 CHADLO Cc1cc(CNC(=O)C2(C)CCC(F)(F)CC2)cc(C)[nH+]1 ZINC000529494521 333490478 /nfs/dbraw/zinc/49/04/78/333490478.db2.gz CCEDQSMCZKABDR-UHFFFAOYSA-N 1 2 296.361 3.530 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1cc(C)ccc1F ZINC000037245442 333523567 /nfs/dbraw/zinc/52/35/67/333523567.db2.gz UPLWBKFVONVCHS-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO COc1cc[nH+]cc1COc1ccc(C2CCOCC2)cc1 ZINC000530181698 333527316 /nfs/dbraw/zinc/52/73/16/333527316.db2.gz MTEBZTGBIDEQQH-UHFFFAOYSA-N 1 2 299.370 3.563 20 0 CHADLO CCc1ccc(CNc2[nH+]cccc2OC(F)F)s1 ZINC000530333753 333542560 /nfs/dbraw/zinc/54/25/60/333542560.db2.gz MHBOSHUTSREPPL-UHFFFAOYSA-N 1 2 284.331 3.919 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ncccc1F)c1cc(F)ccc1F ZINC000530423846 333547317 /nfs/dbraw/zinc/54/73/17/333547317.db2.gz BLJVGDQOIJEFFD-INIZCTEOSA-N 1 2 294.320 3.986 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](CC)c2ccc(F)cc2F)o1 ZINC000538187069 333578169 /nfs/dbraw/zinc/57/81/69/333578169.db2.gz GEZPEJXGPTVDHP-AWEZNQCLSA-N 1 2 280.318 3.756 20 0 CHADLO CC(C)CCc1noc(C[NH2+][C@@H](c2ccccc2)C2CC2)n1 ZINC000128071851 333641614 /nfs/dbraw/zinc/64/16/14/333641614.db2.gz KWGFIXUCPGVUEK-SFHVURJKSA-N 1 2 299.418 3.899 20 0 CHADLO CC(C)n1cccc1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000128155846 333643469 /nfs/dbraw/zinc/64/34/69/333643469.db2.gz CFFJZNHSHJPMJQ-UHFFFAOYSA-N 1 2 294.358 3.711 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](C)c2ccc(Cl)cc2)n1 ZINC000128288659 333649213 /nfs/dbraw/zinc/64/92/13/333649213.db2.gz XXKZTFUPYWUVSY-NSHDSACASA-N 1 2 293.798 3.916 20 0 CHADLO CN(Cc1cn2ccccc2[nH+]1)c1ccc(F)c(Cl)c1 ZINC000539613361 333681335 /nfs/dbraw/zinc/68/13/35/333681335.db2.gz AXNNSBXNLCXTQJ-UHFFFAOYSA-N 1 2 289.741 3.763 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1cccs1 ZINC000128901961 333725534 /nfs/dbraw/zinc/72/55/34/333725534.db2.gz OWLWJSCZCUCRTI-UHFFFAOYSA-N 1 2 275.352 3.869 20 0 CHADLO CCCCc1noc(C[NH2+][C@@]2(C)CCCc3ccccc32)n1 ZINC000540897086 333752207 /nfs/dbraw/zinc/75/22/07/333752207.db2.gz GSZSABFWCQZRSQ-SFHVURJKSA-N 1 2 299.418 3.754 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)c2ccon2)cc1Cl ZINC000542700903 333837480 /nfs/dbraw/zinc/83/74/80/333837480.db2.gz YHHXMRUXVFNKTH-NXEZZACHSA-N 1 2 280.755 3.748 20 0 CHADLO Cc1cc(F)ccc1C[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000174663085 335162807 /nfs/dbraw/zinc/16/28/07/335162807.db2.gz JHQBNVGWYLVDPR-LLVKDONJSA-N 1 2 291.370 3.665 20 0 CHADLO CCc1ccc(CNc2ccc(-c3cncnc3)c[nH+]2)s1 ZINC000544003945 333909343 /nfs/dbraw/zinc/90/93/43/333909343.db2.gz KTKVCIBQLWSDEQ-UHFFFAOYSA-N 1 2 296.399 3.775 20 0 CHADLO CSc1ccc([C@@H](C)Nc2[nH+]c3ccccc3n2C)cc1 ZINC000544019110 333910404 /nfs/dbraw/zinc/91/04/04/333910404.db2.gz VEXJCTONUTWTIH-GFCCVEGCSA-N 1 2 297.427 3.890 20 0 CHADLO Cc1cc(Cl)cc(-c2nc(Cc3ccc(C)[nH+]c3)no2)c1 ZINC000544182695 333920195 /nfs/dbraw/zinc/92/01/95/333920195.db2.gz VQNDXCGRLYRHOG-UHFFFAOYSA-N 1 2 299.761 3.993 20 0 CHADLO Cc1ccc2[nH]cc(CCC(=O)Nc3cc[nH+]cc3C)c2c1 ZINC000073472059 333973141 /nfs/dbraw/zinc/97/31/41/333973141.db2.gz QPGZAJIUQJUAOC-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO CC[C@@H](CC(F)(F)F)Nc1ccc2ccccc2[nH+]1 ZINC000545475011 333984059 /nfs/dbraw/zinc/98/40/59/333984059.db2.gz YBJIEHYYMTVUOH-NSHDSACASA-N 1 2 268.282 3.800 20 0 CHADLO Cc1cccc2nc(CSc3[nH+]cc4ccccn43)cn21 ZINC000074268063 334000471 /nfs/dbraw/zinc/00/04/71/334000471.db2.gz MIRHIGBTEJSWMI-UHFFFAOYSA-N 1 2 294.383 3.583 20 0 CHADLO c1c(Cc2noc(C3CCCCCC3)n2)[nH+]c2ccccn12 ZINC000546312214 334026868 /nfs/dbraw/zinc/02/68/68/334026868.db2.gz FTGABIRONMHFJU-UHFFFAOYSA-N 1 2 296.374 3.746 20 0 CHADLO COC[C@@H]([NH2+]Cc1cnc(C(C)C)s1)c1ccc(C)o1 ZINC000133216721 334027930 /nfs/dbraw/zinc/02/79/30/334027930.db2.gz OGEMUZGIWZGQQU-CYBMUJFWSA-N 1 2 294.420 3.645 20 0 CHADLO Cc1ccc(Cc2noc([C@H](C)c3cccs3)n2)c[nH+]1 ZINC000546341296 334028508 /nfs/dbraw/zinc/02/85/08/334028508.db2.gz JHCAAISYEAMJAT-LLVKDONJSA-N 1 2 285.372 3.577 20 0 CHADLO CC(C)c1nc(N2CCc3cccc(F)c3[C@H]2C)cc[nH+]1 ZINC000546620094 334040556 /nfs/dbraw/zinc/04/05/56/334040556.db2.gz OUBJXVSAHGINBH-GFCCVEGCSA-N 1 2 285.366 3.863 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@H](c3c(F)cccc3F)C2)o1 ZINC000547399195 334085790 /nfs/dbraw/zinc/08/57/90/334085790.db2.gz JGIJBDGUAQNBIA-NSHDSACASA-N 1 2 292.329 3.505 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@H](c3c(F)cccc3F)C2)o1 ZINC000547399195 334085792 /nfs/dbraw/zinc/08/57/92/334085792.db2.gz JGIJBDGUAQNBIA-NSHDSACASA-N 1 2 292.329 3.505 20 0 CHADLO c1cc(C[NH2+][C@H](c2ccccc2)c2ccccn2)co1 ZINC000134282296 334086747 /nfs/dbraw/zinc/08/67/47/334086747.db2.gz CRWDXSIRDYNOLL-QGZVFWFLSA-N 1 2 264.328 3.554 20 0 CHADLO Cc1ccc2[nH+]c(CN3CC4(CC4)c4ccccc43)cn2c1 ZINC000547513469 334096713 /nfs/dbraw/zinc/09/67/13/334096713.db2.gz LPCAUODXXRVUKS-UHFFFAOYSA-N 1 2 289.382 3.695 20 0 CHADLO Cc1cccc(C)c1Cn1c[nH+]c2cc(F)c(F)cc21 ZINC000134890096 334107026 /nfs/dbraw/zinc/10/70/26/334107026.db2.gz FOZGEBIASDOOLZ-UHFFFAOYSA-N 1 2 272.298 3.980 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(F)ccc1F)c1nc2c(s1)CCC2 ZINC000134894561 334107369 /nfs/dbraw/zinc/10/73/69/334107369.db2.gz LMKMKSMHMGYRJC-SECBINFHSA-N 1 2 294.370 3.761 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@H](C)c1ncc(C)s1 ZINC000134908901 334107918 /nfs/dbraw/zinc/10/79/18/334107918.db2.gz NRWUNLMVSRPXLS-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1sc2[nH]c([C@H](C)[NH+]3[C@H](C)C[C@H]3C)nc(=O)c2c1C ZINC000547770376 334116224 /nfs/dbraw/zinc/11/62/24/334116224.db2.gz QVYJJYJEZAIANK-MRTMQBJTSA-N 1 2 291.420 3.557 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1csc(Cc2ccccc2)n1 ZINC000547768602 334116255 /nfs/dbraw/zinc/11/62/55/334116255.db2.gz DTLIUDOTRKNQKS-BETUJISGSA-N 1 2 272.417 3.717 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1csc(Cc2ccccc2)n1 ZINC000547768602 334116256 /nfs/dbraw/zinc/11/62/56/334116256.db2.gz DTLIUDOTRKNQKS-BETUJISGSA-N 1 2 272.417 3.717 20 0 CHADLO Cc1cc(NC(=O)C2(Cc3ccccc3)CCC2)cc[nH+]1 ZINC000135508603 334132030 /nfs/dbraw/zinc/13/20/30/334132030.db2.gz LSLMXEMGCHEAQL-UHFFFAOYSA-N 1 2 280.371 3.742 20 0 CHADLO Fc1ccc(NCc2cn3ccccc3[nH+]2)cc1Cl ZINC000078504171 334134978 /nfs/dbraw/zinc/13/49/78/334134978.db2.gz IJEFVGKLUMPURH-UHFFFAOYSA-N 1 2 275.714 3.739 20 0 CHADLO CCC(=O)c1cccc(NCc2cn3c(cccc3C)[nH+]2)c1 ZINC000135727618 334137146 /nfs/dbraw/zinc/13/71/46/334137146.db2.gz KSFGPWLRHHJGLM-UHFFFAOYSA-N 1 2 293.370 3.848 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1ccc(Br)cc1F ZINC000548097924 334139818 /nfs/dbraw/zinc/13/98/18/334139818.db2.gz PRXIIZIAZTUZHV-IUCAKERBSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)cs1)c1cn2ccccc2n1 ZINC000548383682 334153490 /nfs/dbraw/zinc/15/34/90/334153490.db2.gz HFQHASURZPKEST-LLVKDONJSA-N 1 2 298.415 3.519 20 0 CHADLO CC1(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)CCCCC1 ZINC000548690812 334165965 /nfs/dbraw/zinc/16/59/65/334165965.db2.gz SVACCTNBFKBANF-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2csc(C3CC3)n2)s1 ZINC000080346808 334170634 /nfs/dbraw/zinc/17/06/34/334170634.db2.gz SWZQLHXWMORXOH-UHFFFAOYSA-N 1 2 293.461 3.810 20 0 CHADLO Cc1cccc(C)c1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000137482786 334173252 /nfs/dbraw/zinc/17/32/52/334173252.db2.gz HUTLLKAUQNNWQG-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@](F)(c3ccccc3C)C2)o1 ZINC000548796698 334174650 /nfs/dbraw/zinc/17/46/50/334174650.db2.gz YHCAAMPUDNZPET-QGZVFWFLSA-N 1 2 288.366 3.616 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@](F)(c3ccccc3C)C2)o1 ZINC000548796698 334174651 /nfs/dbraw/zinc/17/46/51/334174651.db2.gz YHCAAMPUDNZPET-QGZVFWFLSA-N 1 2 288.366 3.616 20 0 CHADLO CC(C)(CNc1ccc2ccccc2[nH+]1)C(F)(F)F ZINC000548871970 334177957 /nfs/dbraw/zinc/17/79/57/334177957.db2.gz VHABIIYUZMAYHG-UHFFFAOYSA-N 1 2 268.282 3.657 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1CCOc1cc(Cl)ccc1Cl ZINC000550406040 334221774 /nfs/dbraw/zinc/22/17/74/334221774.db2.gz ZWUMOLDHCJGFRH-NSHDSACASA-N 1 2 292.181 3.806 20 0 CHADLO FC[C@@H]1CCC[N@H+]1CCOc1cc(Cl)ccc1Cl ZINC000550406040 334221775 /nfs/dbraw/zinc/22/17/75/334221775.db2.gz ZWUMOLDHCJGFRH-NSHDSACASA-N 1 2 292.181 3.806 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2noc(C3CCCCC3)n2)[C@H]1C ZINC000246085219 334230335 /nfs/dbraw/zinc/23/03/35/334230335.db2.gz HFKLTBZDXHYCME-OLZOCXBDSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2noc(C3CCCCC3)n2)[C@H]1C ZINC000246085219 334230337 /nfs/dbraw/zinc/23/03/37/334230337.db2.gz HFKLTBZDXHYCME-OLZOCXBDSA-N 1 2 277.412 3.738 20 0 CHADLO Cc1nc(-c2cccnc2)sc1[C@@H](C)[NH2+]CC(C)(F)F ZINC000551264443 334243528 /nfs/dbraw/zinc/24/35/28/334243528.db2.gz ZOOOKTWHUDHELZ-SECBINFHSA-N 1 2 297.374 3.819 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1c(F)cccc1OC(F)(F)F ZINC000551583922 334255212 /nfs/dbraw/zinc/25/52/12/334255212.db2.gz WUKUSWBVVYIWCK-DTORHVGOSA-N 1 2 277.261 3.707 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1c(F)cccc1OC(F)(F)F ZINC000551583922 334255213 /nfs/dbraw/zinc/25/52/13/334255213.db2.gz WUKUSWBVVYIWCK-DTORHVGOSA-N 1 2 277.261 3.707 20 0 CHADLO Cc1ccccc1C[N@@H+]([C@H](C)C(=O)OC(C)(C)C)C1CC1 ZINC000564382035 334258724 /nfs/dbraw/zinc/25/87/24/334258724.db2.gz XDUSYVMLCKLRNQ-CQSZACIVSA-N 1 2 289.419 3.690 20 0 CHADLO Cc1ccccc1C[N@H+]([C@H](C)C(=O)OC(C)(C)C)C1CC1 ZINC000564382035 334258726 /nfs/dbraw/zinc/25/87/26/334258726.db2.gz XDUSYVMLCKLRNQ-CQSZACIVSA-N 1 2 289.419 3.690 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@H](c3ccccc3)[C@@H](C)C2)no1 ZINC000246628004 334265737 /nfs/dbraw/zinc/26/57/37/334265737.db2.gz HQXGZLCRCVQMJI-GOEBONIOSA-N 1 2 299.418 3.648 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@H](c3ccccc3)[C@@H](C)C2)no1 ZINC000246628004 334265738 /nfs/dbraw/zinc/26/57/38/334265738.db2.gz HQXGZLCRCVQMJI-GOEBONIOSA-N 1 2 299.418 3.648 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2F)[C@H]1c1ccncc1 ZINC000552064903 334284102 /nfs/dbraw/zinc/28/41/02/334284102.db2.gz XPIYCKWNEYPALZ-INIZCTEOSA-N 1 2 288.341 3.943 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2F)[C@H]1c1ccncc1 ZINC000552064903 334284103 /nfs/dbraw/zinc/28/41/03/334284103.db2.gz XPIYCKWNEYPALZ-INIZCTEOSA-N 1 2 288.341 3.943 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000552146994 334295090 /nfs/dbraw/zinc/29/50/90/334295090.db2.gz HDWIQLRDQBIEGH-IAGOWNOFSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000552146994 334295091 /nfs/dbraw/zinc/29/50/91/334295091.db2.gz HDWIQLRDQBIEGH-IAGOWNOFSA-N 1 2 291.822 3.792 20 0 CHADLO CC[N@H+](CCCNC(=O)C1CCCCC1)c1ccccc1 ZINC000248232348 334297383 /nfs/dbraw/zinc/29/73/83/334297383.db2.gz CDMQOTLZUAETSO-UHFFFAOYSA-N 1 2 288.435 3.600 20 0 CHADLO CC[N@@H+](CCCNC(=O)C1CCCCC1)c1ccccc1 ZINC000248232348 334297385 /nfs/dbraw/zinc/29/73/85/334297385.db2.gz CDMQOTLZUAETSO-UHFFFAOYSA-N 1 2 288.435 3.600 20 0 CHADLO Cc1oncc1C[N@@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000248504558 334304255 /nfs/dbraw/zinc/30/42/55/334304255.db2.gz VNYSLEIBZQRAHX-BDJLRTHQSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1oncc1C[N@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000248504558 334304256 /nfs/dbraw/zinc/30/42/56/334304256.db2.gz VNYSLEIBZQRAHX-BDJLRTHQSA-N 1 2 286.375 3.864 20 0 CHADLO CC[C@]1(C)C[N@@H+]([C@H](C)c2ncc(C(C)(C)C)o2)CCO1 ZINC000248900165 334321420 /nfs/dbraw/zinc/32/14/20/334321420.db2.gz HDDXGEYLJPFUKP-MLGOLLRUSA-N 1 2 280.412 3.534 20 0 CHADLO CC[C@]1(C)C[N@H+]([C@H](C)c2ncc(C(C)(C)C)o2)CCO1 ZINC000248900165 334321422 /nfs/dbraw/zinc/32/14/22/334321422.db2.gz HDDXGEYLJPFUKP-MLGOLLRUSA-N 1 2 280.412 3.534 20 0 CHADLO CCC1(CC)C[N@@H+]([C@H](C)c2ccccc2F)CC[S@]1=O ZINC000249035925 334325293 /nfs/dbraw/zinc/32/52/93/334325293.db2.gz UCHMALBZWGGJPE-ZUOKHONESA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@H](C)c2ccccc2F)CC[S@]1=O ZINC000249035925 334325294 /nfs/dbraw/zinc/32/52/94/334325294.db2.gz UCHMALBZWGGJPE-ZUOKHONESA-N 1 2 297.439 3.510 20 0 CHADLO Cc1cc[nH+]c(NCc2ccc(F)cc2F)c1Cl ZINC000553031989 334357730 /nfs/dbraw/zinc/35/77/30/334357730.db2.gz JRXSDNJZGYBKJT-UHFFFAOYSA-N 1 2 268.694 3.934 20 0 CHADLO C[C@H](SCCn1cc[nH+]c1)c1ccc(F)cc1 ZINC000090200606 334358536 /nfs/dbraw/zinc/35/85/36/334358536.db2.gz PICRAVNXKBSYJA-NSHDSACASA-N 1 2 250.342 3.517 20 0 CHADLO CO[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CC[C@H]1C ZINC000553200917 334368500 /nfs/dbraw/zinc/36/85/00/334368500.db2.gz PZHYJOHQSUGBAJ-IAQYHMDHSA-N 1 2 290.794 3.749 20 0 CHADLO CC(C)c1nc(N2CCC[C@@H](F)C2)cc(C(C)(C)C)[nH+]1 ZINC000553210784 334369243 /nfs/dbraw/zinc/36/92/43/334369243.db2.gz QFGITXDPWTZSET-GFCCVEGCSA-N 1 2 279.403 3.836 20 0 CHADLO Cc1cc(N2C[C@H](c3ccccc3)[C@H]3CCC[C@H]32)nc[nH+]1 ZINC000553264424 334372415 /nfs/dbraw/zinc/37/24/15/334372415.db2.gz MACUUWBSQDYEFY-BRWVUGGUSA-N 1 2 279.387 3.558 20 0 CHADLO COCC[C@@H](C)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000553376609 334381368 /nfs/dbraw/zinc/38/13/68/334381368.db2.gz UFERNPTUMRHEPN-SNVBAGLBSA-N 1 2 264.756 3.725 20 0 CHADLO Clc1cccc2c(N3CCO[C@H]4CCC[C@H]43)cc[nH+]c12 ZINC000553489307 334388078 /nfs/dbraw/zinc/38/80/78/334388078.db2.gz YKELABHCVRKOHO-CABCVRRESA-N 1 2 288.778 3.646 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cnn(C3CCCC3)c2)s1 ZINC000554558786 334438239 /nfs/dbraw/zinc/43/82/39/334438239.db2.gz XPFFNBYXCBPBCW-LBPRGKRZSA-N 1 2 290.436 3.614 20 0 CHADLO Cc1cc[nH+]cc1CCCN1C[C@@H](C)Oc2ccccc21 ZINC000554862549 334457201 /nfs/dbraw/zinc/45/72/01/334457201.db2.gz MKULFEBBRGDYQF-OAHLLOKOSA-N 1 2 282.387 3.610 20 0 CHADLO C[C@@H]1CCC[C@]1(C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000556126321 334496656 /nfs/dbraw/zinc/49/66/56/334496656.db2.gz KWFHIHROEUNNKJ-PXAZEXFGSA-N 1 2 283.375 3.842 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+]Cc1ccn(C(C)C)n1 ZINC000564825796 334522514 /nfs/dbraw/zinc/52/25/14/334522514.db2.gz YGAQXTIEJSCYHL-AWEZNQCLSA-N 1 2 287.407 3.632 20 0 CHADLO CCCCN(CCc1cc[nH+]cc1C)CC(F)(F)F ZINC000564901060 334527170 /nfs/dbraw/zinc/52/71/70/334527170.db2.gz ZHMIMBHTERHKHT-UHFFFAOYSA-N 1 2 274.330 3.597 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)NC(C)(C)c1[nH]cc(C)[nH+]1 ZINC000565108422 334538935 /nfs/dbraw/zinc/53/89/35/334538935.db2.gz XGKMYIBMKSXLKG-ZDUSSCGKSA-N 1 2 291.439 3.842 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000565676175 334587204 /nfs/dbraw/zinc/58/72/04/334587204.db2.gz VIRJIWZBSAGYTC-NWDGAFQWSA-N 1 2 271.364 3.697 20 0 CHADLO CCS[C@@H](C)c1noc(-c2ccn3c(C)c[nH+]c3c2)n1 ZINC000565726008 334592238 /nfs/dbraw/zinc/59/22/38/334592238.db2.gz NRGLIGWMEHOYSP-JTQLQIEISA-N 1 2 288.376 3.507 20 0 CHADLO CCC[C@](C)(CC)c1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000566643452 334658920 /nfs/dbraw/zinc/65/89/20/334658920.db2.gz IGQJUOGIKLIAMC-KRWDZBQOSA-N 1 2 298.390 3.776 20 0 CHADLO Cc1cc(F)cc(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000155070344 334712358 /nfs/dbraw/zinc/71/23/58/334712358.db2.gz CQSDXHFHYNIDCU-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1cccc2[nH+]c(C[S@](=O)[C@H](C)c3ccccc3)cn21 ZINC000155233901 334717250 /nfs/dbraw/zinc/71/72/50/334717250.db2.gz NKBDYSZOMARKBO-SPLOXXLWSA-N 1 2 298.411 3.653 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H]2CCSc3ccccc32)s1 ZINC000274247138 334777619 /nfs/dbraw/zinc/77/76/19/334777619.db2.gz RRKJJICKMONDMK-JOYOIKCWSA-N 1 2 291.445 3.734 20 0 CHADLO CCn1cc[nH+]c1/C=C/C(=O)Nc1ccc(C)cc1Cl ZINC000158620444 334807677 /nfs/dbraw/zinc/80/76/77/334807677.db2.gz MIXZRULADIJYIL-VOTSOKGWSA-N 1 2 289.766 3.517 20 0 CHADLO c1[nH]cc(N[C@@H]2CCCC[C@H](c3ccccc3)C2)[nH+]1 ZINC000568456934 334825673 /nfs/dbraw/zinc/82/56/73/334825673.db2.gz PNKCREWTVGTOGY-LSDHHAIUSA-N 1 2 255.365 3.938 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000573105380 334907443 /nfs/dbraw/zinc/90/74/43/334907443.db2.gz UVRYQCBJSPWVBC-QWRGUYRKSA-N 1 2 261.394 3.512 20 0 CHADLO Cc1cccn2cc(COc3ccccc3Cl)[nH+]c12 ZINC000576525716 335215136 /nfs/dbraw/zinc/21/51/36/335215136.db2.gz CSHVSFFHMRXFTF-UHFFFAOYSA-N 1 2 272.735 3.875 20 0 CHADLO COc1ccc[nH+]c1NCc1cccc(C2CC2)c1 ZINC000576740143 335241829 /nfs/dbraw/zinc/24/18/29/335241829.db2.gz HAGUAQPLSXOQAL-UHFFFAOYSA-N 1 2 254.333 3.580 20 0 CHADLO CSc1ccccc1C(=O)Nc1ccc(C)[nH+]c1C ZINC000176188633 335247949 /nfs/dbraw/zinc/24/79/49/335247949.db2.gz FCPCXQFIGHOUHN-UHFFFAOYSA-N 1 2 272.373 3.673 20 0 CHADLO Cc1ccc(NC(=O)c2cnc(C(C)(C)C)s2)c(C)[nH+]1 ZINC000176299708 335260023 /nfs/dbraw/zinc/26/00/23/335260023.db2.gz XTEHXGWAZHMNLQ-UHFFFAOYSA-N 1 2 289.404 3.705 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C3=CC[C@H](C)CC3)ccc2n1C ZINC000576945889 335267847 /nfs/dbraw/zinc/26/78/47/335267847.db2.gz YDHNPNFRKWWQIA-NSHDSACASA-N 1 2 283.375 3.567 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](CC(C)C)c2ccccc2)o1 ZINC000192513296 335270994 /nfs/dbraw/zinc/27/09/94/335270994.db2.gz STLWJHWDAKQPQL-AWEZNQCLSA-N 1 2 273.380 3.509 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(C(C)C)no1)c1ccccc1 ZINC000193279129 335274333 /nfs/dbraw/zinc/27/43/33/335274333.db2.gz VGKKOURCKHEIMC-AWEZNQCLSA-N 1 2 273.380 3.824 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](c2ccccc2)C2CC2)n1 ZINC000192556057 335278073 /nfs/dbraw/zinc/27/80/73/335278073.db2.gz RXUAVHJJMSUHET-KRWDZBQOSA-N 1 2 285.391 3.653 20 0 CHADLO Cc1cc(C[NH2+]C2(C(F)F)CC2)sc1Br ZINC000379954782 335280018 /nfs/dbraw/zinc/28/00/18/335280018.db2.gz UZVXWBXYTWOOFN-UHFFFAOYSA-N 1 2 296.180 3.706 20 0 CHADLO Cc1ccc(NC(=O)N2Cc3ccccc3C[C@H]2C)c(C)[nH+]1 ZINC000176737345 335328762 /nfs/dbraw/zinc/32/87/62/335328762.db2.gz SCNJFWDUCKRNLU-CYBMUJFWSA-N 1 2 295.386 3.677 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@H](C(C)(C)C)C3)ccn12 ZINC000577504545 335361093 /nfs/dbraw/zinc/36/10/93/335361093.db2.gz IZGOGZWYDQNDFW-HNNXBMFYSA-N 1 2 299.418 3.541 20 0 CHADLO Cc1cnccc1C[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC000577576996 335372175 /nfs/dbraw/zinc/37/21/75/335372175.db2.gz CKQRQINYCCTRQV-UHFFFAOYSA-N 1 2 296.748 3.925 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2cscn2)c(F)c1 ZINC000577964917 335441549 /nfs/dbraw/zinc/44/15/49/335441549.db2.gz YTFUIDJNNFWLRN-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO c1c[nH+]c(COCCCOc2ccc3ccccc3c2)[nH]1 ZINC000180056643 335545467 /nfs/dbraw/zinc/54/54/67/335545467.db2.gz LZSAONPRRAAOPL-UHFFFAOYSA-N 1 2 282.343 3.549 20 0 CHADLO Cc1ccc(Cc2nc(-c3coc4ccccc34)no2)c[nH+]1 ZINC000350906942 335546674 /nfs/dbraw/zinc/54/66/74/335546674.db2.gz PNQMASSHSQHGFK-UHFFFAOYSA-N 1 2 291.310 3.777 20 0 CHADLO Clc1cnc(CSCCn2cc[nH+]c2)c(Cl)c1 ZINC000180541473 335605010 /nfs/dbraw/zinc/60/50/10/335605010.db2.gz ZIROKXOQCFGGPH-UHFFFAOYSA-N 1 2 288.203 3.518 20 0 CHADLO C[C@H](Cc1nc(CCC2CCCCC2)no1)n1cc[nH+]c1 ZINC000351451593 335615372 /nfs/dbraw/zinc/61/53/72/335615372.db2.gz WEDYDZNTDAGJQT-CYBMUJFWSA-N 1 2 288.395 3.583 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cn1)c1nc2c(s1)CCC2 ZINC000578568485 335649992 /nfs/dbraw/zinc/64/99/92/335649992.db2.gz UPFUDTSIXJAQQX-VIFPVBQESA-N 1 2 293.823 3.531 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2C[C@@H]3CCC[C@@H]3C2)c1 ZINC000578762245 335671744 /nfs/dbraw/zinc/67/17/44/335671744.db2.gz FKNGREHAHSKBRG-BETUJISGSA-N 1 2 256.324 3.610 20 0 CHADLO C[C@H]1C[C@H]1c1noc(C(C)(C)Cc2[nH]c3ccccc3[nH+]2)n1 ZINC000579057499 335704618 /nfs/dbraw/zinc/70/46/18/335704618.db2.gz XIHQDLMUVUCZIZ-WDEREUQCSA-N 1 2 296.374 3.590 20 0 CHADLO CC[C@@H]([NH2+]Cc1noc(C(C)(C)C)n1)c1ccc(C)cc1 ZINC000181703506 335779512 /nfs/dbraw/zinc/77/95/12/335779512.db2.gz ZLANRFAOBQVOFB-CQSZACIVSA-N 1 2 287.407 3.916 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2nnc(CC)o2)cc1 ZINC000182112408 335799523 /nfs/dbraw/zinc/79/95/23/335799523.db2.gz QAPXMMIGNBFSNB-NSHDSACASA-N 1 2 291.420 3.595 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)c2ccc(C(C)C)cc2)[nH+]1 ZINC000580898221 335981431 /nfs/dbraw/zinc/98/14/31/335981431.db2.gz MBBLLFDTABIMPM-UHFFFAOYSA-N 1 2 285.391 3.507 20 0 CHADLO Cc1ccc(C)c([C@H]([NH2+]Cc2cc[nH]n2)c2ccccc2)c1 ZINC000194294583 336014322 /nfs/dbraw/zinc/01/43/22/336014322.db2.gz WKYZHQUKJPIDEV-LJQANCHMSA-N 1 2 291.398 3.906 20 0 CHADLO Cc1cc2[nH+]cn(CCCO[C@@H]3CCCCO3)c2cc1C ZINC000194644321 336035292 /nfs/dbraw/zinc/03/52/92/336035292.db2.gz LWWPVRFOPKZRQL-QGZVFWFLSA-N 1 2 288.391 3.586 20 0 CHADLO COc1cccc2c1CCC[C@@H]2Nc1ccc(C)[nH+]c1 ZINC000194948531 336040901 /nfs/dbraw/zinc/04/09/01/336040901.db2.gz YXKRQBVGGKAVNO-INIZCTEOSA-N 1 2 268.360 3.888 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1noc(C)n1 ZINC000194985027 336041809 /nfs/dbraw/zinc/04/18/09/336041809.db2.gz GQGGQOAAPBMXGZ-CYBMUJFWSA-N 1 2 279.771 3.615 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1noc(C)n1 ZINC000194985027 336041810 /nfs/dbraw/zinc/04/18/10/336041810.db2.gz GQGGQOAAPBMXGZ-CYBMUJFWSA-N 1 2 279.771 3.615 20 0 CHADLO CC(C)[C@@H]1CC[C@@H]1Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000581317770 336047605 /nfs/dbraw/zinc/04/76/05/336047605.db2.gz DGNWPVRHVXDOBN-ZFWWWQNUSA-N 1 2 273.355 3.858 20 0 CHADLO Fc1ccc(F)c(CSc2[nH+]cc3ccccn32)c1 ZINC000195678862 336058357 /nfs/dbraw/zinc/05/83/57/336058357.db2.gz PLADJDCAIDNYIC-UHFFFAOYSA-N 1 2 276.311 3.905 20 0 CHADLO Cc1cc(NCC[C@H](C)F)c2cccc(F)c2[nH+]1 ZINC000581673663 336126506 /nfs/dbraw/zinc/12/65/06/336126506.db2.gz BHHMBKQAFFFYSI-VIFPVBQESA-N 1 2 250.292 3.842 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2COc3c2ccc(Cl)c3Cl)no1 ZINC000336494109 533725148 /nfs/dbraw/zinc/72/51/48/533725148.db2.gz PAJYDMLIVCWVCW-LLVKDONJSA-N 1 2 299.157 3.513 20 0 CHADLO Cc1ccc(C)n1C1CCN(c2nc(C)[nH+]c(C)c2C)CC1 ZINC000354467071 533725760 /nfs/dbraw/zinc/72/57/60/533725760.db2.gz QXXAIKCPDJNQEK-UHFFFAOYSA-N 1 2 298.434 3.662 20 0 CHADLO C[C@@H]1CCC[C@@H](C(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000332209956 533726088 /nfs/dbraw/zinc/72/60/88/533726088.db2.gz XVDZMEGHAAFHFI-CZUORRHYSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)o1 ZINC000354700023 533743065 /nfs/dbraw/zinc/74/30/65/533743065.db2.gz GWMQMZSEKKXFQU-VFAJRCTISA-N 1 2 287.403 3.546 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)o1 ZINC000354700023 533743075 /nfs/dbraw/zinc/74/30/75/533743075.db2.gz GWMQMZSEKKXFQU-VFAJRCTISA-N 1 2 287.403 3.546 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)o1 ZINC000354700025 533743479 /nfs/dbraw/zinc/74/34/79/533743479.db2.gz GWMQMZSEKKXFQU-XGWTYTTDSA-N 1 2 287.403 3.546 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)o1 ZINC000354700025 533743492 /nfs/dbraw/zinc/74/34/92/533743492.db2.gz GWMQMZSEKKXFQU-XGWTYTTDSA-N 1 2 287.403 3.546 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncc(Cl)s2)cc1 ZINC000351989113 533879573 /nfs/dbraw/zinc/87/95/73/533879573.db2.gz QAGXIKYIDLDNTH-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncc(Cl)s2)cc1 ZINC000351989113 533879566 /nfs/dbraw/zinc/87/95/66/533879566.db2.gz QAGXIKYIDLDNTH-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO COc1cc(CNc2cc(C)[nH+]c3c(F)cccc23)ccn1 ZINC000301668747 533910359 /nfs/dbraw/zinc/91/03/59/533910359.db2.gz MXBTYBMULADCIP-UHFFFAOYSA-N 1 2 297.333 3.698 20 0 CHADLO COc1cc(F)c([C@@H](C)Nc2ccc(C)[nH+]c2)cc1OC ZINC000347117033 533989056 /nfs/dbraw/zinc/98/90/56/533989056.db2.gz BQGNAJBLVIBJGV-LLVKDONJSA-N 1 2 290.338 3.719 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2cc(F)c(F)c(F)c21)c1nccs1 ZINC000334835288 534014117 /nfs/dbraw/zinc/01/41/17/534014117.db2.gz KZHLXJLSLVYWBK-XVKPBYJWSA-N 1 2 298.333 3.899 20 0 CHADLO C[C@@H](c1ccc(F)cc1)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000112963957 534059059 /nfs/dbraw/zinc/05/90/59/534059059.db2.gz FKZTZNZDHRPCOV-NSHDSACASA-N 1 2 271.339 3.691 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)Cc2ccccc2)c(C)[nH+]1 ZINC000336145199 534074186 /nfs/dbraw/zinc/07/41/86/534074186.db2.gz VQLWAFANRNNDJH-UHFFFAOYSA-N 1 2 283.375 3.671 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335040381 534128250 /nfs/dbraw/zinc/12/82/50/534128250.db2.gz GZNSGVFPFIPZAO-CWTRNNRKSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335040381 534128255 /nfs/dbraw/zinc/12/82/55/534128255.db2.gz GZNSGVFPFIPZAO-CWTRNNRKSA-N 1 2 299.867 3.634 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+](C)Cc3ccccn3)co2)cc1 ZINC000350463363 534213874 /nfs/dbraw/zinc/21/38/74/534213874.db2.gz UTFOGRBPVNRRCW-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+](C)Cc3ccccn3)co2)cc1 ZINC000350463363 534213876 /nfs/dbraw/zinc/21/38/76/534213876.db2.gz UTFOGRBPVNRRCW-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccc(C[C@@H](C)C(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421574384 518188841 /nfs/dbraw/zinc/18/88/41/518188841.db2.gz FSVUYVJBVCWGKA-CQSZACIVSA-N 1 2 296.414 3.502 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2cccc(F)c2F)s1 ZINC000175982226 518191575 /nfs/dbraw/zinc/19/15/75/518191575.db2.gz XQJXPRHKAQEBAK-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO C/C(=C\c1ccc(C)cc1)C(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000290836616 518440081 /nfs/dbraw/zinc/44/00/81/518440081.db2.gz XGCMNIIDLRUIHY-UKYUDJEDSA-N 1 2 297.402 3.635 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCCc3occc3C2)no1 ZINC000289944004 518995696 /nfs/dbraw/zinc/99/56/96/518995696.db2.gz VTTOXSPMEJCMDQ-UHFFFAOYSA-N 1 2 274.364 3.514 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCCc3occc3C2)no1 ZINC000289944004 518995706 /nfs/dbraw/zinc/99/57/06/518995706.db2.gz VTTOXSPMEJCMDQ-UHFFFAOYSA-N 1 2 274.364 3.514 20 0 CHADLO CC(C)CCSCc1[nH+]ccn1CC(F)(F)F ZINC000340864565 519679426 /nfs/dbraw/zinc/67/94/26/519679426.db2.gz QAESLZJMVRDPGT-UHFFFAOYSA-N 1 2 266.332 3.725 20 0 CHADLO CC(C)[C@@H](C)N(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CC1 ZINC000299198881 519790795 /nfs/dbraw/zinc/79/07/95/519790795.db2.gz SBDLORCWQKTNJK-CQSZACIVSA-N 1 2 297.402 3.521 20 0 CHADLO CC(C)c1nnc(C[N@H+]([C@@H](C)c2ccccc2)C2CC2)[nH]1 ZINC000292542804 519862011 /nfs/dbraw/zinc/86/20/11/519862011.db2.gz QBTJJLLRKVUZDQ-ZDUSSCGKSA-N 1 2 284.407 3.654 20 0 CHADLO CC(C)c1nnc(C[N@@H+]([C@@H](C)c2ccccc2)C2CC2)[nH]1 ZINC000292542804 519862012 /nfs/dbraw/zinc/86/20/12/519862012.db2.gz QBTJJLLRKVUZDQ-ZDUSSCGKSA-N 1 2 284.407 3.654 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000337171398 520104549 /nfs/dbraw/zinc/10/45/49/520104549.db2.gz FVCAEQOAUTUXOZ-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC000337171398 520104553 /nfs/dbraw/zinc/10/45/53/520104553.db2.gz FVCAEQOAUTUXOZ-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(Cl)s2)CC(C)(C)C1 ZINC000351982524 534476132 /nfs/dbraw/zinc/47/61/32/534476132.db2.gz PKQLCFZKKLNCFX-VIFPVBQESA-N 1 2 258.818 3.665 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(Cl)s2)CC(C)(C)C1 ZINC000351982524 534476137 /nfs/dbraw/zinc/47/61/37/534476137.db2.gz PKQLCFZKKLNCFX-VIFPVBQESA-N 1 2 258.818 3.665 20 0 CHADLO CCN(CC(C)(C)C)C(=O)Nc1c(C)cc[nH+]c1C ZINC000291638639 520391608 /nfs/dbraw/zinc/39/16/08/520391608.db2.gz CYKBKIZZOQHDOH-UHFFFAOYSA-N 1 2 263.385 3.598 20 0 CHADLO CCCCN(CC)C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000341187413 520463454 /nfs/dbraw/zinc/46/34/54/520463454.db2.gz CGEATDVLNZAUIC-MRXNPFEDSA-N 1 2 299.418 3.580 20 0 CHADLO CC1=C(C(=O)Nc2ccc3c(c2)[nH+]c(C2CC2)n3C)CCC1 ZINC000340666676 520807160 /nfs/dbraw/zinc/80/71/60/520807160.db2.gz XCYFAWIADWMXEC-UHFFFAOYSA-N 1 2 295.386 3.890 20 0 CHADLO CCOc1ccc(C[N@H+](CC(F)F)C(C)C)cc1 ZINC000112978029 520965361 /nfs/dbraw/zinc/96/53/61/520965361.db2.gz BXQBFZJFPAGXSG-UHFFFAOYSA-N 1 2 257.324 3.561 20 0 CHADLO CCOc1ccc(C[N@@H+](CC(F)F)C(C)C)cc1 ZINC000112978029 520965374 /nfs/dbraw/zinc/96/53/74/520965374.db2.gz BXQBFZJFPAGXSG-UHFFFAOYSA-N 1 2 257.324 3.561 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](c2ccc(F)cc2)C(C)C)no1 ZINC000352094410 534546550 /nfs/dbraw/zinc/54/65/50/534546550.db2.gz ZVIIYHFXXHYGOS-YGRLFVJLSA-N 1 2 277.343 3.565 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2COc3ccc(Cl)cc32)cs1 ZINC000336618364 534546849 /nfs/dbraw/zinc/54/68/49/534546849.db2.gz FWDNBYXIUDHPOT-PRHODGIISA-N 1 2 294.807 3.889 20 0 CHADLO Cc1cnc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)nc1 ZINC000352271655 534554595 /nfs/dbraw/zinc/55/45/95/534554595.db2.gz BEBVOLFZUCJAIE-UHFFFAOYSA-N 1 2 293.773 3.602 20 0 CHADLO CCCc1ccc(C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)cc1 ZINC000299662651 521390020 /nfs/dbraw/zinc/39/00/20/521390020.db2.gz NHFJJJPIYGYKOB-MRXNPFEDSA-N 1 2 297.402 3.730 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nc(C)cs1 ZINC000081648149 521409708 /nfs/dbraw/zinc/40/97/08/521409708.db2.gz NRHZDKDOQUKLEQ-UHFFFAOYSA-N 1 2 252.408 3.535 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nc(C)cs1 ZINC000081648149 521409717 /nfs/dbraw/zinc/40/97/17/521409717.db2.gz NRHZDKDOQUKLEQ-UHFFFAOYSA-N 1 2 252.408 3.535 20 0 CHADLO CC[N@H+](Cc1ncc(C)cn1)[C@@H](C)c1ccc(F)cc1 ZINC000340674583 521458976 /nfs/dbraw/zinc/45/89/76/521458976.db2.gz IIRCNUUMSYIFFQ-ZDUSSCGKSA-N 1 2 273.355 3.507 20 0 CHADLO CC[N@@H+](Cc1ncc(C)cn1)[C@@H](C)c1ccc(F)cc1 ZINC000340674583 521458985 /nfs/dbraw/zinc/45/89/85/521458985.db2.gz IIRCNUUMSYIFFQ-ZDUSSCGKSA-N 1 2 273.355 3.507 20 0 CHADLO CCC(CC)C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000280101430 521500107 /nfs/dbraw/zinc/50/01/07/521500107.db2.gz UNGCOCJXXHHXHA-UHFFFAOYSA-N 1 2 271.364 3.760 20 0 CHADLO Cc1occc1CN(C)c1[nH+]ccc2ccc(F)cc21 ZINC000354577361 534567363 /nfs/dbraw/zinc/56/73/63/534567363.db2.gz ZQTMGVUSBFYVIC-UHFFFAOYSA-N 1 2 270.307 3.912 20 0 CHADLO CCCc1nc(CSCc2c[nH+]ccc2OC)cs1 ZINC000289418462 521522837 /nfs/dbraw/zinc/52/28/37/521522837.db2.gz PMOHIIAEJFOFGB-UHFFFAOYSA-N 1 2 294.445 3.933 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000128663404 521583629 /nfs/dbraw/zinc/58/36/29/521583629.db2.gz PARBRJKLLNEIAF-ZDUSSCGKSA-N 1 2 299.418 3.752 20 0 CHADLO CC(C)(C)CCC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000342034486 534577601 /nfs/dbraw/zinc/57/76/01/534577601.db2.gz GRRTZGPPBLUCJQ-UHFFFAOYSA-N 1 2 285.391 3.519 20 0 CHADLO CCC[N@H+](Cc1noc(C)n1)[C@H](CC)c1ccccc1 ZINC000299404019 521696760 /nfs/dbraw/zinc/69/67/60/521696760.db2.gz MXMBPFJADIKTQG-OAHLLOKOSA-N 1 2 273.380 3.741 20 0 CHADLO CCC[N@@H+](Cc1noc(C)n1)[C@H](CC)c1ccccc1 ZINC000299404019 521696763 /nfs/dbraw/zinc/69/67/63/521696763.db2.gz MXMBPFJADIKTQG-OAHLLOKOSA-N 1 2 273.380 3.741 20 0 CHADLO CCSCCCNc1cc[nH+]c2c(OC)cccc12 ZINC000336920786 521705917 /nfs/dbraw/zinc/70/59/17/521705917.db2.gz GQJJTJZWBMITBD-UHFFFAOYSA-N 1 2 276.405 3.799 20 0 CHADLO COCC[C@H](C)[NH2+]c1ccc(N2CCCCC2)cc1 ZINC000059459157 521921101 /nfs/dbraw/zinc/92/11/01/521921101.db2.gz DRFXDSMWIMLRGN-AWEZNQCLSA-N 1 2 262.397 3.514 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2CCOc3c(F)cccc32)cs1 ZINC000336563123 534601575 /nfs/dbraw/zinc/60/15/75/534601575.db2.gz DWVWWRMXLBRYAS-TVQRCGJNSA-N 1 2 292.379 3.765 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)c2ccccc2F)[nH]1 ZINC000277094933 522051256 /nfs/dbraw/zinc/05/12/56/522051256.db2.gz GQNIHWIOLFFSAD-QJPTWQEYSA-N 1 2 290.386 3.869 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H](C)Cc1cccc(F)c1 ZINC000265264649 522078353 /nfs/dbraw/zinc/07/83/53/522078353.db2.gz VYTKZWJMUVYTKV-LBPRGKRZSA-N 1 2 286.350 3.600 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1csc(C(C)(C)C)n1 ZINC000265173282 522082064 /nfs/dbraw/zinc/08/20/64/522082064.db2.gz FGDDYUFKKKTTMF-UHFFFAOYSA-N 1 2 289.404 3.650 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cccc(C)c1C ZINC000265086551 522082491 /nfs/dbraw/zinc/08/24/91/522082491.db2.gz ZMCNXSRUBQXTBL-UHFFFAOYSA-N 1 2 254.333 3.513 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1c(C)cc(C)cc1C ZINC000270557545 522082871 /nfs/dbraw/zinc/08/28/71/522082871.db2.gz JFYHXLUIHLSCTN-UHFFFAOYSA-N 1 2 268.360 3.822 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@H+](C)Cc2nc(C)cs2)s1 ZINC000088601583 522220226 /nfs/dbraw/zinc/22/02/26/522220226.db2.gz LRTFNOJXHOOSFO-LLVKDONJSA-N 1 2 295.477 3.972 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@@H+](C)Cc2nc(C)cs2)s1 ZINC000088601583 522220235 /nfs/dbraw/zinc/22/02/35/522220235.db2.gz LRTFNOJXHOOSFO-LLVKDONJSA-N 1 2 295.477 3.972 20 0 CHADLO CCc1nc(N2CC[C@@H](C)C[C@@H]2c2ccco2)cc(C)[nH+]1 ZINC000340026342 522236493 /nfs/dbraw/zinc/23/64/93/522236493.db2.gz XOZRLZCFNQHWMN-TZMCWYRMSA-N 1 2 285.391 3.918 20 0 CHADLO CCc1nc(N2CCc3sccc3[C@@H]2C)cc(C)[nH+]1 ZINC000341366882 522238651 /nfs/dbraw/zinc/23/86/51/522238651.db2.gz JKKMPPMJCLVSKB-NSHDSACASA-N 1 2 273.405 3.533 20 0 CHADLO Cc1nc(N2CC[C@H](c3ccccc3)C2)c2c([nH+]1)CCCC2 ZINC000302027806 534621940 /nfs/dbraw/zinc/62/19/40/534621940.db2.gz AMKAJCGHHNOHDH-INIZCTEOSA-N 1 2 293.414 3.658 20 0 CHADLO CC[C@@H](C)Oc1cccc(N[C@@H]2CCn3cc[nH+]c32)c1 ZINC000294212921 522272763 /nfs/dbraw/zinc/27/27/63/522272763.db2.gz UJOADASDGAGAQX-IUODEOHRSA-N 1 2 271.364 3.617 20 0 CHADLO CCc1nc(C[NH2+]C2(c3nc(C)cs3)CCC2)cs1 ZINC000299602376 522340947 /nfs/dbraw/zinc/34/09/47/522340947.db2.gz LSGRIHLCRJNGJL-UHFFFAOYSA-N 1 2 293.461 3.639 20 0 CHADLO COC1(C[C@@H](C)[NH2+]c2ccc(N(C)C)cc2)CCC1 ZINC000277070189 522638411 /nfs/dbraw/zinc/63/84/11/522638411.db2.gz IUVJWOBMGHRSPK-CYBMUJFWSA-N 1 2 262.397 3.512 20 0 CHADLO COC1(C[C@@H](C)Nc2ccc([NH+](C)C)cc2)CCC1 ZINC000277070189 522638422 /nfs/dbraw/zinc/63/84/22/522638422.db2.gz IUVJWOBMGHRSPK-CYBMUJFWSA-N 1 2 262.397 3.512 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(C)ccn1)c1nccs1 ZINC000353523281 522694284 /nfs/dbraw/zinc/69/42/84/522694284.db2.gz AAKLNYKCOOAEJP-RYUDHWBXSA-N 1 2 261.394 3.648 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(C)ccn1)c1nccs1 ZINC000353523279 522737007 /nfs/dbraw/zinc/73/70/07/522737007.db2.gz AAKLNYKCOOAEJP-NEPJUHHUSA-N 1 2 261.394 3.648 20 0 CHADLO CCc1nnc(C[NH2+]Cc2ccc(-c3ccccc3)o2)s1 ZINC000289741920 522740989 /nfs/dbraw/zinc/74/09/89/522740989.db2.gz HNMHORANKSNUTC-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1noc(C)n1)c1ccc(Cl)s1 ZINC000289569855 522743952 /nfs/dbraw/zinc/74/39/52/522743952.db2.gz QIEFNXJQCHVPHA-APPZFPTMSA-N 1 2 285.800 3.895 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(SC)cc2)o1 ZINC000337225636 522792053 /nfs/dbraw/zinc/79/20/53/522792053.db2.gz QCXFIZFQURIRCE-QWRGUYRKSA-N 1 2 291.420 3.766 20 0 CHADLO COC[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1cscn1 ZINC000278970683 522812129 /nfs/dbraw/zinc/81/21/29/522812129.db2.gz QDOQTAJXRCWCGH-CQSZACIVSA-N 1 2 296.823 3.616 20 0 CHADLO COC[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cscn1 ZINC000278970683 522812141 /nfs/dbraw/zinc/81/21/41/522812141.db2.gz QDOQTAJXRCWCGH-CQSZACIVSA-N 1 2 296.823 3.616 20 0 CHADLO CC[C@H](Sc1ccccc1)C(=O)Nc1cc[nH+]c(C)c1 ZINC000111546677 522923438 /nfs/dbraw/zinc/92/34/38/522923438.db2.gz SFFAOAUFTMIBLZ-HNNXBMFYSA-N 1 2 286.400 3.899 20 0 CHADLO CCc1nocc1C[N@H+](C)[C@@H](C)c1ccccc1F ZINC000293440908 522929883 /nfs/dbraw/zinc/92/98/83/522929883.db2.gz OPVHRIHKPACARA-NSHDSACASA-N 1 2 262.328 3.569 20 0 CHADLO CCc1nocc1C[N@@H+](C)[C@@H](C)c1ccccc1F ZINC000293440908 522929896 /nfs/dbraw/zinc/92/98/96/522929896.db2.gz OPVHRIHKPACARA-NSHDSACASA-N 1 2 262.328 3.569 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc(Cl)cc1F ZINC000293490041 522932547 /nfs/dbraw/zinc/93/25/47/522932547.db2.gz SQVUUCRQDZMHJL-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc(Cl)cc1F ZINC000293490041 522932553 /nfs/dbraw/zinc/93/25/53/522932553.db2.gz SQVUUCRQDZMHJL-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO COC(=O)[C@@H](C)CSCc1ccc(C(C)C)[nH+]c1C ZINC000338554853 523520432 /nfs/dbraw/zinc/52/04/32/523520432.db2.gz YXDGNEGFWGDOSH-NSHDSACASA-N 1 2 281.421 3.556 20 0 CHADLO CCn1ccnc1C[NH2+][C@@H](C)c1cc2cccc(F)c2o1 ZINC000353202163 523538243 /nfs/dbraw/zinc/53/82/43/523538243.db2.gz AZTDXLSZZWBTOW-NSHDSACASA-N 1 2 287.338 3.639 20 0 CHADLO CN(C(=O)/C=C/C(C)(C)C)[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000294295844 523771818 /nfs/dbraw/zinc/77/18/18/523771818.db2.gz ULJXSLSXPCAYRC-SIFUEBAJSA-N 1 2 297.402 3.560 20 0 CHADLO COc1ccc(C)cc1NCc1cn2c(cccc2C)[nH+]1 ZINC000157256546 523844224 /nfs/dbraw/zinc/84/42/24/523844224.db2.gz MRBWKYYXRMLVIP-UHFFFAOYSA-N 1 2 281.359 3.572 20 0 CHADLO Cc1ncc(C[NH2+]C2(C(F)F)CCCCC2)s1 ZINC000352203642 534739803 /nfs/dbraw/zinc/73/98/03/534739803.db2.gz UGTZIZCORZPDDT-UHFFFAOYSA-N 1 2 260.353 3.509 20 0 CHADLO CCc1ccc(C[N@H+](Cc2ncc(C)cn2)C2CC2)cc1 ZINC000340660165 523921988 /nfs/dbraw/zinc/92/19/88/523921988.db2.gz HXXQNIZFUXFJIV-UHFFFAOYSA-N 1 2 281.403 3.512 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2ncc(C)cn2)C2CC2)cc1 ZINC000340660165 523921991 /nfs/dbraw/zinc/92/19/91/523921991.db2.gz HXXQNIZFUXFJIV-UHFFFAOYSA-N 1 2 281.403 3.512 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2noc(C(C)C)n2)cc1 ZINC000097078810 524025629 /nfs/dbraw/zinc/02/56/29/524025629.db2.gz HKTRCVYNCCOCSM-GFCCVEGCSA-N 1 2 273.380 3.606 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2ncc(C)cn2)o1 ZINC000340692332 524040594 /nfs/dbraw/zinc/04/05/94/524040594.db2.gz XBUKPGQZXLIYHU-CJNGLKHVSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2ncc(C)cn2)o1 ZINC000340692332 524040597 /nfs/dbraw/zinc/04/05/97/524040597.db2.gz XBUKPGQZXLIYHU-CJNGLKHVSA-N 1 2 299.418 3.914 20 0 CHADLO Cc1ccc(C)c(NCc2cn3c(cccc3C)[nH+]2)c1 ZINC000157250303 524161171 /nfs/dbraw/zinc/16/11/71/524161171.db2.gz DJNNAPPJQFGXEK-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO C[C@@H]1CCCN(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000264847970 524213301 /nfs/dbraw/zinc/21/33/01/524213301.db2.gz GQOQDPLMLJOOQF-CQSZACIVSA-N 1 2 296.370 3.746 20 0 CHADLO C[C@H]1CCC[C@H]1NC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264935501 524221822 /nfs/dbraw/zinc/22/18/22/524221822.db2.gz ZWDUUFIKWMMSDH-SUMWQHHRSA-N 1 2 296.370 3.792 20 0 CHADLO C[C@@H](C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1)C(C)(C)C ZINC000265579523 524264953 /nfs/dbraw/zinc/26/49/53/524264953.db2.gz IAXHDGWDLIPVKG-NSHDSACASA-N 1 2 271.364 3.697 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2nc(C3CC3)no2)s1 ZINC000076342887 524402390 /nfs/dbraw/zinc/40/23/90/524402390.db2.gz HNXUPXJGSFBYJK-SNVBAGLBSA-N 1 2 277.393 3.510 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2nc(C3CC3)no2)s1 ZINC000076342887 524402394 /nfs/dbraw/zinc/40/23/94/524402394.db2.gz HNXUPXJGSFBYJK-SNVBAGLBSA-N 1 2 277.393 3.510 20 0 CHADLO COc1ccccc1C[N@H+](Cc1ccnn1C(C)C)C1CC1 ZINC000337124260 524443284 /nfs/dbraw/zinc/44/32/84/524443284.db2.gz DAGYHFKJAJHLOF-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO COc1ccccc1C[N@@H+](Cc1ccnn1C(C)C)C1CC1 ZINC000337124260 524443296 /nfs/dbraw/zinc/44/32/96/524443296.db2.gz DAGYHFKJAJHLOF-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(C(F)(F)F)cc2)no1 ZINC000247936535 524662075 /nfs/dbraw/zinc/66/20/75/524662075.db2.gz SKCHWNAWGNNYJU-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(C(F)(F)F)cc2)no1 ZINC000247936535 524662086 /nfs/dbraw/zinc/66/20/86/524662086.db2.gz SKCHWNAWGNNYJU-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1csc(C)n1 ZINC000036914652 524726538 /nfs/dbraw/zinc/72/65/38/524726538.db2.gz PEXGLGPEQFPAHR-MNOVXSKESA-N 1 2 276.405 3.872 20 0 CHADLO Cc1cccc([C@@H]2CCCN2c2cc(C)[nH+]c(C(C)C)n2)n1 ZINC000150938391 524855125 /nfs/dbraw/zinc/85/51/25/524855125.db2.gz ORQZTCGUDSLMQG-INIZCTEOSA-N 1 2 296.418 3.953 20 0 CHADLO COc1ccc(OC)c([C@@H](C)Nc2cc[nH+]c(C3CC3)n2)c1 ZINC000264891058 524885608 /nfs/dbraw/zinc/88/56/08/524885608.db2.gz FWUOZBUSJFOKCT-LLVKDONJSA-N 1 2 299.374 3.544 20 0 CHADLO Cc1cccc([C@H]2CCCN2c2cc(C)[nH+]c(C(C)C)n2)n1 ZINC000150938439 524898201 /nfs/dbraw/zinc/89/82/01/524898201.db2.gz ORQZTCGUDSLMQG-MRXNPFEDSA-N 1 2 296.418 3.953 20 0 CHADLO Cc1cccc2cc(C(=O)N[C@@H](c3[nH]cc[nH+]3)C(C)C)oc21 ZINC000282935311 524974007 /nfs/dbraw/zinc/97/40/07/524974007.db2.gz GVKOYISZYOQRKT-CQSZACIVSA-N 1 2 297.358 3.591 20 0 CHADLO Cc1cc(N2CCC[C@@H]2CCC2CCCC2)nc[nH+]1 ZINC000337100891 525068841 /nfs/dbraw/zinc/06/88/41/525068841.db2.gz DVILBZWZTMNTHR-OAHLLOKOSA-N 1 2 259.397 3.724 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1Cc1cnn(C)c1C(F)(F)F ZINC000336838142 525123523 /nfs/dbraw/zinc/12/35/23/525123523.db2.gz GQQMRMRBWQYWGV-JTQLQIEISA-N 1 2 295.308 3.516 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1Cc1cnn(C)c1C(F)(F)F ZINC000336838142 525123531 /nfs/dbraw/zinc/12/35/31/525123531.db2.gz GQQMRMRBWQYWGV-JTQLQIEISA-N 1 2 295.308 3.516 20 0 CHADLO Cc1ccc(NC(=O)[C@H](OC(C)C)c2ccccc2)c(C)[nH+]1 ZINC000292407573 525218244 /nfs/dbraw/zinc/21/82/44/525218244.db2.gz KEKYOYHBLBMVAE-QGZVFWFLSA-N 1 2 298.386 3.803 20 0 CHADLO Cc1cc(NC(=O)c2cc(C3CC3)cnc2Cl)cc[nH+]1 ZINC000280440153 525239941 /nfs/dbraw/zinc/23/99/41/525239941.db2.gz FGGKTFMLKJUFKK-UHFFFAOYSA-N 1 2 287.750 3.568 20 0 CHADLO Cc1ccc(NCc2ccc(Br)cc2F)c[nH+]1 ZINC000149818003 525283609 /nfs/dbraw/zinc/28/36/09/525283609.db2.gz RWFOLELSTLGOTF-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2cc(C)on2)cc1F ZINC000337532929 525328120 /nfs/dbraw/zinc/32/81/20/525328120.db2.gz DVJJBJCGBGPKBK-QWRGUYRKSA-N 1 2 278.327 3.543 20 0 CHADLO Cc1ccc(OC(F)F)c([C@H](C)[NH2+]Cc2nccs2)c1 ZINC000128145367 525372393 /nfs/dbraw/zinc/37/23/93/525372393.db2.gz PWJDOABHXMQXQP-JTQLQIEISA-N 1 2 298.358 3.904 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)c(Cl)cc1F)c1cscn1 ZINC000278881192 525430141 /nfs/dbraw/zinc/43/01/41/525430141.db2.gz SNKULAPKGXVUPO-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@H](NCc1cn2c([nH+]1)CCCC2)c1csc(Cl)c1 ZINC000276319924 525474330 /nfs/dbraw/zinc/47/43/30/525474330.db2.gz GQZYIZLACVZHRP-JTQLQIEISA-N 1 2 295.839 3.785 20 0 CHADLO CSCC[N@H+](C)Cc1csc(C2CCCC2)n1 ZINC000151131808 525495154 /nfs/dbraw/zinc/49/51/54/525495154.db2.gz MQSYOSGLWJYBNZ-UHFFFAOYSA-N 1 2 270.467 3.596 20 0 CHADLO Cc1[nH+]c(-c2ccncc2)nc(NCc2ccsc2)c1C ZINC000301237603 534866281 /nfs/dbraw/zinc/86/62/81/534866281.db2.gz DVILNFUWCZIAFZ-UHFFFAOYSA-N 1 2 296.399 3.829 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccc(F)cc2O[C@@H]1C)c1ccncc1F ZINC000340388988 525591049 /nfs/dbraw/zinc/59/10/49/525591049.db2.gz GHXMSTBQSSBBQJ-IEVXNVSRSA-N 1 2 290.313 3.533 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1nccs1 ZINC000070984432 525603545 /nfs/dbraw/zinc/60/35/45/525603545.db2.gz SLBKZBAPEYNSMO-DTWKUNHWSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccc(F)cc2O[C@@H]1C)c1ccncc1F ZINC000340389001 525638173 /nfs/dbraw/zinc/63/81/73/525638173.db2.gz GHXMSTBQSSBBQJ-YNHOBRIKSA-N 1 2 290.313 3.533 20 0 CHADLO Cc1cc2cc([C@@H](C)[NH2+][C@H](C)c3ncnn3C)oc2cc1C ZINC000276562446 525648237 /nfs/dbraw/zinc/64/82/37/525648237.db2.gz GIKWWQGCYFYTBR-CHWSQXEVSA-N 1 2 298.390 3.590 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)cc1Cl)c1ncnn1C ZINC000276700647 525658463 /nfs/dbraw/zinc/65/84/63/525658463.db2.gz XKGZWVWLQZLRQZ-RKDXNWHRSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1nc(C)c(C[NH2+]C2(C(F)F)CCCCC2)s1 ZINC000352219474 534883663 /nfs/dbraw/zinc/88/36/63/534883663.db2.gz DINQTRQCTMUFHV-UHFFFAOYSA-N 1 2 274.380 3.818 20 0 CHADLO Cc1ccsc1C[NH2+]C1(c2noc(C)n2)CCCCC1 ZINC000299660547 525856413 /nfs/dbraw/zinc/85/64/13/525856413.db2.gz XPDGHDVQHONTLN-UHFFFAOYSA-N 1 2 291.420 3.697 20 0 CHADLO C[C@@]12CCN(c3cc(C(F)(F)F)cc[nH+]3)C[C@@H]1C2(F)F ZINC000291845447 525913855 /nfs/dbraw/zinc/91/38/55/525913855.db2.gz HCDUSHFWXPZEAR-GXSJLCMTSA-N 1 2 292.251 3.582 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccoc2)C2CCCCC2)nc1 ZINC000340843566 526011578 /nfs/dbraw/zinc/01/15/78/526011578.db2.gz JXBNXRHOAKMWDJ-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccoc2)C2CCCCC2)nc1 ZINC000340843566 526011588 /nfs/dbraw/zinc/01/15/88/526011588.db2.gz JXBNXRHOAKMWDJ-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cscc1-c1ccccc1 ZINC000354285691 526016252 /nfs/dbraw/zinc/01/62/52/526016252.db2.gz WOPCXZXZWYNRHV-UHFFFAOYSA-N 1 2 294.379 3.793 20 0 CHADLO Cc1cnc(C[N@@H+]2CCCC[C@@H]2c2ccc(F)cc2)nc1 ZINC000340687090 526018176 /nfs/dbraw/zinc/01/81/76/526018176.db2.gz BBHMDNJFHOOUHQ-MRXNPFEDSA-N 1 2 285.366 3.651 20 0 CHADLO Cc1cnc(C[N@H+]2CCCC[C@@H]2c2ccc(F)cc2)nc1 ZINC000340687090 526018178 /nfs/dbraw/zinc/01/81/78/526018178.db2.gz BBHMDNJFHOOUHQ-MRXNPFEDSA-N 1 2 285.366 3.651 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)o1 ZINC000076184209 526023016 /nfs/dbraw/zinc/02/30/16/526023016.db2.gz VWUMQTSROCMLIY-DGCLKSJQSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)o1 ZINC000076184209 526023025 /nfs/dbraw/zinc/02/30/25/526023025.db2.gz VWUMQTSROCMLIY-DGCLKSJQSA-N 1 2 260.337 3.549 20 0 CHADLO COc1cccc(CNc2c[nH+]cc(C)c2)c1OC(F)F ZINC000119605719 526044731 /nfs/dbraw/zinc/04/47/31/526044731.db2.gz TUMXZHUUKMVFOB-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1csc(Cl)n1 ZINC000290172908 526083113 /nfs/dbraw/zinc/08/31/13/526083113.db2.gz YNOMGOLSNNDERL-SECBINFHSA-N 1 2 282.796 3.695 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1csc(Cl)n1 ZINC000290172908 526083121 /nfs/dbraw/zinc/08/31/21/526083121.db2.gz YNOMGOLSNNDERL-SECBINFHSA-N 1 2 282.796 3.695 20 0 CHADLO Cc1cnc(SCc2ccc(C(C)C)[nH+]c2C)nc1 ZINC000292562421 526101883 /nfs/dbraw/zinc/10/18/83/526101883.db2.gz DEAAIZGHPRLKHL-UHFFFAOYSA-N 1 2 273.405 3.904 20 0 CHADLO Cc1cc(NC(=O)c2cc(Cl)c(F)cc2Cl)cc[nH+]1 ZINC000340230665 526110019 /nfs/dbraw/zinc/11/00/19/526110019.db2.gz IWBAAMXAUFTTKD-UHFFFAOYSA-N 1 2 299.132 3.510 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2cccc(Cl)c2)o1 ZINC000356957764 526111944 /nfs/dbraw/zinc/11/19/44/526111944.db2.gz RFFBEYYNOHKRQQ-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2cccc(Cl)c2)o1 ZINC000356957764 526111961 /nfs/dbraw/zinc/11/19/61/526111961.db2.gz RFFBEYYNOHKRQQ-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000299391841 526147723 /nfs/dbraw/zinc/14/77/23/526147723.db2.gz RLKAZCUDVWVJHG-GFCCVEGCSA-N 1 2 283.331 3.523 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000299391841 526147728 /nfs/dbraw/zinc/14/77/28/526147728.db2.gz RLKAZCUDVWVJHG-GFCCVEGCSA-N 1 2 283.331 3.523 20 0 CHADLO Cc1ccc2c(c1)CN(c1[nH+]c3cccc(F)c3n1C)C2 ZINC000336931997 526163624 /nfs/dbraw/zinc/16/36/24/526163624.db2.gz QIPACNXXTSFXAD-UHFFFAOYSA-N 1 2 281.334 3.541 20 0 CHADLO CSc1ccccc1[C@@H](C)Nc1nc[nH+]c(N)c1Cl ZINC000336929982 526187334 /nfs/dbraw/zinc/18/73/34/526187334.db2.gz OTTRJUGOTVNWFT-MRVPVSSYSA-N 1 2 294.811 3.607 20 0 CHADLO CSc1ccccc1[C@@H](C)Nc1[nH+]cnc(N)c1Cl ZINC000336929982 526187343 /nfs/dbraw/zinc/18/73/43/526187343.db2.gz OTTRJUGOTVNWFT-MRVPVSSYSA-N 1 2 294.811 3.607 20 0 CHADLO CSc1ccccc1[C@H](C)Nc1cc[nH+]c(C2CC2)n1 ZINC000336933959 526187990 /nfs/dbraw/zinc/18/79/90/526187990.db2.gz CEZOLTMATNLQSR-NSHDSACASA-N 1 2 285.416 3.671 20 0 CHADLO C[C@@H]1CC=CC[C@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000285275100 526238548 /nfs/dbraw/zinc/23/85/48/526238548.db2.gz IYETYSFRXKRSBS-IUODEOHRSA-N 1 2 281.359 3.618 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](C)CCc1cccc(F)c1 ZINC000080111606 526240777 /nfs/dbraw/zinc/24/07/77/526240777.db2.gz MDVXENLRXGPKMO-GFCCVEGCSA-N 1 2 286.350 3.737 20 0 CHADLO Cc1nnc(C[N@@H+]([C@@H](C)c2ccc(Cl)cc2)C2CC2)o1 ZINC000098133640 526335813 /nfs/dbraw/zinc/33/58/13/526335813.db2.gz UXBKUVNEHBPCOZ-JTQLQIEISA-N 1 2 291.782 3.757 20 0 CHADLO Cc1nnc(C[N@H+]([C@@H](C)c2ccc(Cl)cc2)C2CC2)o1 ZINC000098133640 526335818 /nfs/dbraw/zinc/33/58/18/526335818.db2.gz UXBKUVNEHBPCOZ-JTQLQIEISA-N 1 2 291.782 3.757 20 0 CHADLO Cc1csc(C[N@@H+](C)[C@H](C)c2sc(C)nc2C)n1 ZINC000088598616 526341723 /nfs/dbraw/zinc/34/17/23/526341723.db2.gz UUNCLXWMIXCFPL-SNVBAGLBSA-N 1 2 281.450 3.718 20 0 CHADLO Cc1csc(C[N@H+](C)[C@H](C)c2sc(C)nc2C)n1 ZINC000088598616 526341724 /nfs/dbraw/zinc/34/17/24/526341724.db2.gz UUNCLXWMIXCFPL-SNVBAGLBSA-N 1 2 281.450 3.718 20 0 CHADLO Fc1ccc(F)c(C[NH2+]C2(c3ccccc3F)CC2)c1 ZINC000076767009 526349019 /nfs/dbraw/zinc/34/90/19/526349019.db2.gz KUYOVCAGSURTTQ-UHFFFAOYSA-N 1 2 277.289 3.883 20 0 CHADLO Fc1ccc([C@H]([NH2+][C@H]2CCC[C@@H]2F)c2ccccn2)cc1 ZINC000340523980 526366544 /nfs/dbraw/zinc/36/65/44/526366544.db2.gz JIJDWRPMVMLKOP-ZOBUZTSGSA-N 1 2 288.341 3.790 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2nccn2C(F)F)cc1 ZINC000078297230 526366881 /nfs/dbraw/zinc/36/68/81/526366881.db2.gz LVJXUCOTVOLPCQ-CYBMUJFWSA-N 1 2 295.308 3.754 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2nccn2C(F)F)cc1 ZINC000078297230 526366885 /nfs/dbraw/zinc/36/68/85/526366885.db2.gz LVJXUCOTVOLPCQ-CYBMUJFWSA-N 1 2 295.308 3.754 20 0 CHADLO Oc1cccc2c1CC[C@@H]2[NH2+]Cc1csc(C2CC2)n1 ZINC000113337291 526367272 /nfs/dbraw/zinc/36/72/72/526367272.db2.gz DJZLQAYYYUPSFH-AWEZNQCLSA-N 1 2 286.400 3.503 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3CCC[C@H]3OC(F)F)c2c1 ZINC000336882646 526376347 /nfs/dbraw/zinc/37/63/47/526376347.db2.gz CCZOBGVOJMJBCG-CHWSQXEVSA-N 1 2 296.292 3.946 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2nccc3ccccc32)s1 ZINC000290746533 526396852 /nfs/dbraw/zinc/39/68/52/526396852.db2.gz QMTWEGYZSAPQFA-WDEREUQCSA-N 1 2 298.415 3.807 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2cccc(OC(C)C)c2)o1 ZINC000112528287 526406077 /nfs/dbraw/zinc/40/60/77/526406077.db2.gz SKNBLQLYDFXXGT-NEPJUHHUSA-N 1 2 289.379 3.577 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2csc(C3CC3)n2)CC1 ZINC000130536289 526408531 /nfs/dbraw/zinc/40/85/31/526408531.db2.gz OZLUWRUMVYIRBB-UHFFFAOYSA-N 1 2 288.391 3.939 20 0 CHADLO Fc1ccccc1COc1cccc(-n2cc[nH+]c2)c1 ZINC000357687578 526412102 /nfs/dbraw/zinc/41/21/02/526412102.db2.gz GYEJNCILVHCZST-UHFFFAOYSA-N 1 2 268.291 3.590 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2cc(F)ccc2F)n1 ZINC000069168312 526435392 /nfs/dbraw/zinc/43/53/92/526435392.db2.gz PXCHZSRMHQIOSK-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO c1cc2c(nc[nH+]c2Sc2ccc3c(c2)CCC3)[nH]1 ZINC000339233168 526445584 /nfs/dbraw/zinc/44/55/84/526445584.db2.gz CNMHYAHVAKQYNL-UHFFFAOYSA-N 1 2 267.357 3.598 20 0 CHADLO Cc1noc(C)c1CNc1[nH+]ccc2ccc(F)cc21 ZINC000354559237 526518221 /nfs/dbraw/zinc/51/82/21/526518221.db2.gz DMUDKGWVPDOMNO-UHFFFAOYSA-N 1 2 271.295 3.591 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000130841159 526547034 /nfs/dbraw/zinc/54/70/34/526547034.db2.gz SZPSQPOUCZGPQL-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000130841159 526547038 /nfs/dbraw/zinc/54/70/38/526547038.db2.gz SZPSQPOUCZGPQL-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](CC(C)(C)C)c1ccccc1 ZINC000037128615 526553735 /nfs/dbraw/zinc/55/37/35/526553735.db2.gz SVFDHQMZXDVNJC-INIZCTEOSA-N 1 2 271.408 3.985 20 0 CHADLO c1nc(C2CCC2)sc1C[NH+]1Cc2ccccc2C1 ZINC000119973281 526594295 /nfs/dbraw/zinc/59/42/95/526594295.db2.gz OGBBCCCMSCTHPK-UHFFFAOYSA-N 1 2 270.401 3.926 20 0 CHADLO Cc1nc(-c2ccccc2)sc1[C@H](C)[NH2+]Cc1ccn[nH]1 ZINC000237581201 526605823 /nfs/dbraw/zinc/60/58/23/526605823.db2.gz DDHHMJIOZBOLSH-NSHDSACASA-N 1 2 298.415 3.692 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2ccc(C(C)(C)C)cc2)no1 ZINC000264308578 526664518 /nfs/dbraw/zinc/66/45/18/526664518.db2.gz KUGJHKHXVBQKMF-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1cc(Br)cn1 ZINC000338551089 526668711 /nfs/dbraw/zinc/66/87/11/526668711.db2.gz GUYTZZRTTZXXRF-UHFFFAOYSA-N 1 2 294.196 3.521 20 0 CHADLO CC(C)(C)c1noc(/C=C\c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000356111191 526899286 /nfs/dbraw/zinc/89/92/86/526899286.db2.gz ITROSRUNLVEMPT-TWGQIWQCSA-N 1 2 294.358 3.723 20 0 CHADLO Cc1sccc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000339192620 526921849 /nfs/dbraw/zinc/92/18/49/526921849.db2.gz HKZIIVCETCYTIY-UHFFFAOYSA-N 1 2 269.373 3.854 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000292854825 526944928 /nfs/dbraw/zinc/94/49/28/526944928.db2.gz UOYVPCWQXHZQPN-INIZCTEOSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000292854825 526944935 /nfs/dbraw/zinc/94/49/35/526944935.db2.gz UOYVPCWQXHZQPN-INIZCTEOSA-N 1 2 285.366 3.507 20 0 CHADLO Clc1nc(C[NH+]2CCC(c3ccncc3)CC2)cs1 ZINC000289489637 527003045 /nfs/dbraw/zinc/00/30/45/527003045.db2.gz CIPDACOISGCJSN-UHFFFAOYSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1nc(C[N@@H+]2CCS[C@@H]3CCCC[C@@H]32)cs1 ZINC000289994864 527003294 /nfs/dbraw/zinc/00/32/94/527003294.db2.gz KSSSXHGTPMSNML-WDEREUQCSA-N 1 2 288.869 3.657 20 0 CHADLO Clc1nc(C[N@H+]2CCS[C@@H]3CCCC[C@@H]32)cs1 ZINC000289994864 527003299 /nfs/dbraw/zinc/00/32/99/527003299.db2.gz KSSSXHGTPMSNML-WDEREUQCSA-N 1 2 288.869 3.657 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)no1 ZINC000289380668 527066122 /nfs/dbraw/zinc/06/61/22/527066122.db2.gz POCKHSXXVYCQAX-RYUDHWBXSA-N 1 2 285.391 3.669 20 0 CHADLO Cc1nc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)cs1 ZINC000036982266 527067133 /nfs/dbraw/zinc/06/71/33/527067133.db2.gz SOGJIISPGHMZKA-NSHDSACASA-N 1 2 288.420 3.620 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N(C)CC3CCCCC3)cc2n1C ZINC000354299420 527133342 /nfs/dbraw/zinc/13/33/42/527133342.db2.gz HSFNRJYAFCYTHH-UHFFFAOYSA-N 1 2 299.418 3.534 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCc3ccsc3)cc2[nH+]1 ZINC000340946792 527140925 /nfs/dbraw/zinc/14/09/25/527140925.db2.gz BBSWNZISMYKVRY-UHFFFAOYSA-N 1 2 285.372 3.504 20 0 CHADLO Cc1nc(N2CCC[C@H]2CCC2CCCC2)cc[nH+]1 ZINC000337152322 527345509 /nfs/dbraw/zinc/34/55/09/527345509.db2.gz SJPYCLCLHWYWIE-HNNXBMFYSA-N 1 2 259.397 3.724 20 0 CHADLO Cc1[nH+]cccc1NCc1ncc(C(C)(C)C)s1 ZINC000279087255 527366631 /nfs/dbraw/zinc/36/66/31/527366631.db2.gz HWTUGEFXQCAYGM-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H]3CCCSC3)cc2)c1C ZINC000291367668 527461635 /nfs/dbraw/zinc/46/16/35/527461635.db2.gz YYYXZHXUQAFAEL-HNNXBMFYSA-N 1 2 287.432 3.797 20 0 CHADLO C/C=C/C=C/c1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000355994648 527589705 /nfs/dbraw/zinc/58/97/05/527589705.db2.gz WWYGTGOLEVSTPE-CRBCFSCISA-N 1 2 292.342 3.571 20 0 CHADLO FC(F)O[C@H]1CCC[C@H]1Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000336909545 527695351 /nfs/dbraw/zinc/69/53/51/527695351.db2.gz WIFLCQBUEFVZGV-BDAKNGLRSA-N 1 2 296.239 3.673 20 0 CHADLO CC(C)CCn1cccc1CNc1ccc(N(C)C)c[nH+]1 ZINC000344961351 527886359 /nfs/dbraw/zinc/88/63/59/527886359.db2.gz FRGMCEOYXVWZIT-UHFFFAOYSA-N 1 2 286.423 3.607 20 0 CHADLO CC(C)[C@H]1[N@H+](Cc2ncc(Cl)s2)CC1(C)C ZINC000353744874 527896530 /nfs/dbraw/zinc/89/65/30/527896530.db2.gz HSWNXCWWYQONFV-LLVKDONJSA-N 1 2 258.818 3.663 20 0 CHADLO CC(C)[C@H]1[N@@H+](Cc2ncc(Cl)s2)CC1(C)C ZINC000353744874 527896534 /nfs/dbraw/zinc/89/65/34/527896534.db2.gz HSWNXCWWYQONFV-LLVKDONJSA-N 1 2 258.818 3.663 20 0 CHADLO CC1=CCC[C@@H](C)[C@H]1CNc1ccc(Cn2cc[nH+]c2)cn1 ZINC000353141427 528106403 /nfs/dbraw/zinc/10/64/03/528106403.db2.gz QXMXFCAHCMNCTL-WBVHZDCISA-N 1 2 296.418 3.731 20 0 CHADLO CC(C)CO[C@@H]1CCN(c2[nH+]ccc3ccc(F)cc32)C1 ZINC000354883101 528130864 /nfs/dbraw/zinc/13/08/64/528130864.db2.gz IQJTXMXOUNGPKT-OAHLLOKOSA-N 1 2 288.366 3.625 20 0 CHADLO CC(C)C[C@H]([NH2+]Cc1noc(C2CC2)n1)c1cccs1 ZINC000347613624 528573206 /nfs/dbraw/zinc/57/32/06/528573206.db2.gz OZMFXZRZSIZLCA-LBPRGKRZSA-N 1 2 291.420 3.886 20 0 CHADLO CCOc1cccc(C[N@@H+]2Cc3ccccc3[C@@H]2C)n1 ZINC000353869264 528646104 /nfs/dbraw/zinc/64/61/04/528646104.db2.gz QNYFKZCLBYLTAY-ZDUSSCGKSA-N 1 2 268.360 3.557 20 0 CHADLO CCOc1cccc(C[N@H+]2Cc3ccccc3[C@@H]2C)n1 ZINC000353869264 528646109 /nfs/dbraw/zinc/64/61/09/528646109.db2.gz QNYFKZCLBYLTAY-ZDUSSCGKSA-N 1 2 268.360 3.557 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC(F)(F)[C@@H](C)C2)cs1 ZINC000351992850 528745305 /nfs/dbraw/zinc/74/53/05/528745305.db2.gz GNMIDSQNNYVYLS-JTQLQIEISA-N 1 2 274.380 3.573 20 0 CHADLO CCCc1nc(C[N@H+]2CCC(F)(F)[C@@H](C)C2)cs1 ZINC000351992850 528745310 /nfs/dbraw/zinc/74/53/10/528745310.db2.gz GNMIDSQNNYVYLS-JTQLQIEISA-N 1 2 274.380 3.573 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000348002094 528772509 /nfs/dbraw/zinc/77/25/09/528772509.db2.gz SRHLBDXPDARBFJ-ZIAGYGMSSA-N 1 2 277.412 3.680 20 0 CHADLO CC1(C)CCC([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000330270477 528908239 /nfs/dbraw/zinc/90/82/39/528908239.db2.gz UGNRXAQHYPJALB-HNNXBMFYSA-N 1 2 290.455 3.985 20 0 CHADLO CCC[C@@H](CCO)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354549892 528937890 /nfs/dbraw/zinc/93/78/90/528937890.db2.gz XLIUNVAQLVVVCL-LBPRGKRZSA-N 1 2 276.355 3.585 20 0 CHADLO CCC1(CC)CC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000351997443 529056717 /nfs/dbraw/zinc/05/67/17/529056717.db2.gz URUSZNGGOIFMTG-UHFFFAOYSA-N 1 2 258.818 3.809 20 0 CHADLO CCC1(CC)CC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000351997443 529056724 /nfs/dbraw/zinc/05/67/24/529056724.db2.gz URUSZNGGOIFMTG-UHFFFAOYSA-N 1 2 258.818 3.809 20 0 CHADLO CCOCc1cccc(NCCCc2ccc(C)[nH+]c2)c1 ZINC000353831445 529061394 /nfs/dbraw/zinc/06/13/94/529061394.db2.gz DTKHBIJEFSHFFF-UHFFFAOYSA-N 1 2 284.403 3.971 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ccc(Cl)o1)c1nc(C2CC2)no1 ZINC000347836910 529123406 /nfs/dbraw/zinc/12/34/06/529123406.db2.gz LBYRIPVNIDEDPY-LBPRGKRZSA-N 1 2 295.770 3.680 20 0 CHADLO CC[C@H]1C[C@@H](C)CN1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334712560 529315813 /nfs/dbraw/zinc/31/58/13/529315813.db2.gz QAXHVQZEQOQMFG-YGRLFVJLSA-N 1 2 275.396 3.659 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2cc(C)cc(C)c2)o1 ZINC000341741594 535858280 /nfs/dbraw/zinc/85/82/80/535858280.db2.gz FMJTXDNHHOTDLE-CHWSQXEVSA-N 1 2 273.380 3.661 20 0 CHADLO CC[C@H](C)[C@H]([NH2+]Cc1noc(C)n1)c1ccc(Cl)cc1 ZINC000341860655 535978490 /nfs/dbraw/zinc/97/84/90/535978490.db2.gz SDKQCIMPJHJGEF-BONVTDFDSA-N 1 2 293.798 3.908 20 0 CHADLO COC[C@H]1CCCCN(c2[nH+]ccc3ccc(F)cc32)C1 ZINC000341981242 536497863 /nfs/dbraw/zinc/49/78/63/536497863.db2.gz HHGIDIPWGBAVDK-ZDUSSCGKSA-N 1 2 288.366 3.627 20 0 CHADLO Cc1ccncc1C[NH2+]Cc1c(F)ccc(F)c1Cl ZINC000705537093 1117991905 /nfs/dbraw/zinc/99/19/05/1117991905.db2.gz CJZUAFBDGAMOFL-UHFFFAOYSA-N 1 2 282.721 3.611 20 0 CHADLO Fc1cc(F)c(C[NH+]2CCC(C(F)F)CC2)c(F)c1 ZINC001140533727 1118958705 /nfs/dbraw/zinc/95/87/05/1118958705.db2.gz BVLIEBHWDVNUDN-UHFFFAOYSA-N 1 2 279.252 3.581 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc3ccccc3c2)o1 ZINC000112516019 1125486394 /nfs/dbraw/zinc/48/63/94/1125486394.db2.gz OSAJXUYZHAVVEE-NEPJUHHUSA-N 1 2 281.359 3.943 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2cccnc2)cs1 ZINC000112547085 1125487841 /nfs/dbraw/zinc/48/78/41/1125487841.db2.gz QDIZYGNKUBGNTF-GHMZBOCLSA-N 1 2 261.394 3.512 20 0 CHADLO Cc1ccc2c(Nc3cccc4c3C(=O)OC4)cccc2[nH+]1 ZINC001212798479 1125490792 /nfs/dbraw/zinc/49/07/92/1125490792.db2.gz ICWSGTGSJOIVDQ-UHFFFAOYSA-N 1 2 290.322 3.957 20 0 CHADLO COC(=O)[C@](C)([NH2+]Cc1c(C)ccc2ccccc21)C1CC1 ZINC000536605652 1125494368 /nfs/dbraw/zinc/49/43/68/1125494368.db2.gz HRDKPRQOHIEVOZ-LJQANCHMSA-N 1 2 297.398 3.580 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1cccc(F)c1)c1nc(C2CC2)no1 ZINC000113357589 1125494379 /nfs/dbraw/zinc/49/43/79/1125494379.db2.gz GFCRHFYBIUIARI-CQSZACIVSA-N 1 2 289.354 3.573 20 0 CHADLO CC[N@@H+](Cc1cscc1Cl)Cc1ccncc1 ZINC000685450754 1119609103 /nfs/dbraw/zinc/60/91/03/1119609103.db2.gz CRDKECPWXUFCRZ-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO c1csc([C@@H]([NH2+][C@H]2CCCc3[nH]ncc32)C2CC2)c1 ZINC000353449249 1125504690 /nfs/dbraw/zinc/50/46/90/1125504690.db2.gz QLAHUZPKZOQXOU-WFASDCNBSA-N 1 2 273.405 3.590 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)cc1Cl)c1cccc(O)c1 ZINC000180247759 1120097461 /nfs/dbraw/zinc/09/74/61/1120097461.db2.gz KGARHMPSEHYPDJ-SECBINFHSA-N 1 2 297.185 3.945 20 0 CHADLO Clc1ccc(C[N@H+]2CCCC3(CC3)C2)c(Cl)n1 ZINC000695138112 1120112308 /nfs/dbraw/zinc/11/23/08/1120112308.db2.gz IKJIAKRSJWGZOV-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCC1(F)F)CC2 ZINC000672622594 1120336181 /nfs/dbraw/zinc/33/61/81/1120336181.db2.gz NRFVIBWTMMGBAS-QWHCGFSZSA-N 1 2 255.283 3.590 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000679679335 1120355207 /nfs/dbraw/zinc/35/52/07/1120355207.db2.gz BCUSHUHBKPEWER-UWVGGRQHSA-N 1 2 291.288 3.729 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000679679335 1120355209 /nfs/dbraw/zinc/35/52/09/1120355209.db2.gz BCUSHUHBKPEWER-UWVGGRQHSA-N 1 2 291.288 3.729 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)ccc(O)c1F ZINC001175264268 1121266644 /nfs/dbraw/zinc/26/66/44/1121266644.db2.gz FIMJURWLEWKNBS-UHFFFAOYSA-N 1 2 264.275 3.680 20 0 CHADLO CC(C)C[N@H+](C[C@H]1CCO[C@H](C)C1)c1ccccc1 ZINC001194202918 1122757068 /nfs/dbraw/zinc/75/70/68/1122757068.db2.gz DHNPJOVKHIGWTA-CVEARBPZSA-N 1 2 261.409 3.964 20 0 CHADLO CC(C)C[N@@H+](C[C@H]1CCO[C@H](C)C1)c1ccccc1 ZINC001194202918 1122757073 /nfs/dbraw/zinc/75/70/73/1122757073.db2.gz DHNPJOVKHIGWTA-CVEARBPZSA-N 1 2 261.409 3.964 20 0 CHADLO COc1cc2[nH+]c(CCC(C)C)[nH]c2cc1Cl ZINC001250577410 1122782493 /nfs/dbraw/zinc/78/24/93/1122782493.db2.gz RLTVWHCLLBXBNY-UHFFFAOYSA-N 1 2 252.745 3.814 20 0 CHADLO CCCC[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001201717146 1123140172 /nfs/dbraw/zinc/14/01/72/1123140172.db2.gz BOSOKOQZXUOGPD-CQSZACIVSA-N 1 2 253.773 3.513 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nccs1)c1c(C)noc1C ZINC000090886687 1123156684 /nfs/dbraw/zinc/15/66/84/1123156684.db2.gz BJQINWHSAOLFQH-ONGXEEELSA-N 1 2 265.382 3.550 20 0 CHADLO CC(C)(C)O[C@H]1C[C@H]([NH2+]CC(F)(F)C(F)F)C12CCC2 ZINC000852586598 1123409681 /nfs/dbraw/zinc/40/96/81/1123409681.db2.gz RQKOHUYJKDHXLO-UWVGGRQHSA-N 1 2 297.336 3.603 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+](Cc1cnsn1)C(C)C ZINC000852690405 1123412644 /nfs/dbraw/zinc/41/26/44/1123412644.db2.gz CMFLEAUGPONZLN-OAHLLOKOSA-N 1 2 275.421 3.900 20 0 CHADLO CC[C@H](c1ccccc1)[N@@H+](Cc1cnsn1)C(C)C ZINC000852690405 1123412648 /nfs/dbraw/zinc/41/26/48/1123412648.db2.gz CMFLEAUGPONZLN-OAHLLOKOSA-N 1 2 275.421 3.900 20 0 CHADLO Cc1cc(CNc2c[nH+]c(C)c(C)c2)cc(Cl)n1 ZINC000895646903 1123499915 /nfs/dbraw/zinc/49/99/15/1123499915.db2.gz YKNAITTZUYXUFX-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2cc(C)cc(Cl)n2)n1 ZINC000858415370 1123652184 /nfs/dbraw/zinc/65/21/84/1123652184.db2.gz RFVAMZLZJZVRCL-SNVBAGLBSA-N 1 2 281.812 3.659 20 0 CHADLO C[C@@H](c1csnn1)[NH+]1CCC(c2ccsc2)CC1 ZINC000336269887 1123754387 /nfs/dbraw/zinc/75/43/87/1123754387.db2.gz YFEIBNRXAGYMOF-JTQLQIEISA-N 1 2 279.434 3.540 20 0 CHADLO C[N@H+](Cn1ncn(C(C)(C)C)c1=S)[C@H]1CCC(C)(C)C1 ZINC000794685601 1123927617 /nfs/dbraw/zinc/92/76/17/1123927617.db2.gz MKICPUJRASGEHV-LBPRGKRZSA-N 1 2 296.484 3.637 20 0 CHADLO C[N@@H+](Cn1ncn(C(C)(C)C)c1=S)[C@H]1CCC(C)(C)C1 ZINC000794685601 1123927620 /nfs/dbraw/zinc/92/76/20/1123927620.db2.gz MKICPUJRASGEHV-LBPRGKRZSA-N 1 2 296.484 3.637 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc(C(C)(C)C)cs2)c(C)o1 ZINC000119976400 1123923413 /nfs/dbraw/zinc/92/34/13/1123923413.db2.gz GZXRFXXRTNQFKB-UHFFFAOYSA-N 1 2 278.421 3.940 20 0 CHADLO CCS[C@H]1CCCCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC000301689663 1123924174 /nfs/dbraw/zinc/92/41/74/1123924174.db2.gz NARDAWGXQGYZEE-AWEZNQCLSA-N 1 2 279.453 3.514 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CC[C@@H](CC(F)(F)F)C2)n1 ZINC000444711232 1124055831 /nfs/dbraw/zinc/05/58/31/1124055831.db2.gz UECNVCUWKPPQPW-UWVGGRQHSA-N 1 2 291.317 3.528 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CC[C@@H](CC(F)(F)F)C2)n1 ZINC000444711232 1124055834 /nfs/dbraw/zinc/05/58/34/1124055834.db2.gz UECNVCUWKPPQPW-UWVGGRQHSA-N 1 2 291.317 3.528 20 0 CHADLO COC[C@@H]([NH2+]Cc1cccc(F)c1Cl)c1ccco1 ZINC000339082777 1124486640 /nfs/dbraw/zinc/48/66/40/1124486640.db2.gz FFWXCUWPHLHBOK-GFCCVEGCSA-N 1 2 283.730 3.549 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2ncccc2Cl)c1 ZINC000528475132 1124675324 /nfs/dbraw/zinc/67/53/24/1124675324.db2.gz JOSNQGDVMTZJOI-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2ncccc2Cl)c1 ZINC000528475132 1124675327 /nfs/dbraw/zinc/67/53/27/1124675327.db2.gz JOSNQGDVMTZJOI-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC[C@@H]2c2cccs2)nn1 ZINC000876544088 1124959122 /nfs/dbraw/zinc/95/91/22/1124959122.db2.gz QKQHSWUZMFULTI-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc(C[N@H+]2CCC[C@@H]2c2cccs2)nn1 ZINC000876544088 1124959131 /nfs/dbraw/zinc/95/91/31/1124959131.db2.gz QKQHSWUZMFULTI-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO ClC1(Cl)C[C@H]1CNc1ccc([NH+]2CCCC2)cc1 ZINC000876820169 1124981128 /nfs/dbraw/zinc/98/11/28/1124981128.db2.gz LQYZBOAONPWFTP-NSHDSACASA-N 1 2 285.218 3.893 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1ccncc1Cl ZINC000880377532 1125269983 /nfs/dbraw/zinc/26/99/83/1125269983.db2.gz YHKUROGBJNTELH-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1ccncc1Cl ZINC000880377532 1125269987 /nfs/dbraw/zinc/26/99/87/1125269987.db2.gz YHKUROGBJNTELH-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO CC[C@H](C)[C@@H](C(=O)OC)[N@H+](C)Cc1c(C)cccc1Cl ZINC001138721698 1131541406 /nfs/dbraw/zinc/54/14/06/1131541406.db2.gz GEHBCWUEPQXWEA-NHYWBVRUSA-N 1 2 297.826 3.668 20 0 CHADLO CC[C@H](C)[C@@H](C(=O)OC)[N@@H+](C)Cc1c(C)cccc1Cl ZINC001138721698 1131541409 /nfs/dbraw/zinc/54/14/09/1131541409.db2.gz GEHBCWUEPQXWEA-NHYWBVRUSA-N 1 2 297.826 3.668 20 0 CHADLO C[C@H]1COCC[N@H+]1Cc1ccc(-c2cccs2)s1 ZINC001139017391 1131572584 /nfs/dbraw/zinc/57/25/84/1131572584.db2.gz ONJCGUOHTYDCFF-NSHDSACASA-N 1 2 279.430 3.697 20 0 CHADLO Clc1cnc(Cl)c(C[N@H+]2CCCC23CC3)c1 ZINC001139354428 1131604384 /nfs/dbraw/zinc/60/43/84/1131604384.db2.gz TWGQSXKMRODKRN-UHFFFAOYSA-N 1 2 257.164 3.517 20 0 CHADLO C[C@@H]1C[C@@H](C)C[NH+](Cc2sc(Cl)nc2Cl)C1 ZINC001139957341 1131652295 /nfs/dbraw/zinc/65/22/95/1131652295.db2.gz QVZIFKCYLAWRIL-HTQZYQBOSA-N 1 2 279.236 3.928 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1Cl)c1ccns1 ZINC000716054397 1132003015 /nfs/dbraw/zinc/00/30/15/1132003015.db2.gz KZUAKMSDRWASGM-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1ccns1 ZINC000716054396 1132003040 /nfs/dbraw/zinc/00/30/40/1132003040.db2.gz KZUAKMSDRWASGM-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(C)nc1Cl)c1nccs1 ZINC000838930568 1132159131 /nfs/dbraw/zinc/15/91/31/1132159131.db2.gz XBBYNBBELUWPTP-LLVKDONJSA-N 1 2 281.812 3.741 20 0 CHADLO Cc1scnc1C[N@@H+](C)Cc1cccc(Cl)c1 ZINC001232203463 1132433054 /nfs/dbraw/zinc/43/30/54/1132433054.db2.gz DEUDVLBKQSKMEM-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1scnc1C[N@H+](C)Cc1cccc(Cl)c1 ZINC001232203463 1132433057 /nfs/dbraw/zinc/43/30/57/1132433057.db2.gz DEUDVLBKQSKMEM-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Clc1cnc(C[N@H+]2CCCCC23CC3)c(Cl)c1 ZINC001232575030 1132486863 /nfs/dbraw/zinc/48/68/63/1132486863.db2.gz MBOHYUZYSMKGRD-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Fc1nccc(Cl)c1C[N@H+]1CCCC2(CCC2)C1 ZINC001232618998 1132494832 /nfs/dbraw/zinc/49/48/32/1132494832.db2.gz KKWIYYONBHMHHR-UHFFFAOYSA-N 1 2 268.763 3.640 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)cccc2F)c[nH+]1 ZINC001173859905 1132561980 /nfs/dbraw/zinc/56/19/80/1132561980.db2.gz HQDZYXKPHOKLEH-UHFFFAOYSA-N 1 2 263.291 3.560 20 0 CHADLO CCOc1ncc(Cl)cc1C[N@@H+](C)Cc1cccs1 ZINC001233171778 1132576487 /nfs/dbraw/zinc/57/64/87/1132576487.db2.gz GUKXPTNYPVEUTI-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO COc1c[nH+]c(N[C@H](C2CCC2)C(F)(F)F)c(C)c1 ZINC001158399951 1132774695 /nfs/dbraw/zinc/77/46/95/1132774695.db2.gz NSUQXLPCKHOESK-LLVKDONJSA-N 1 2 274.286 3.542 20 0 CHADLO Cc1cc(NCc2cccc(Cl)c2N)c[nH+]c1C ZINC001203491762 1133331945 /nfs/dbraw/zinc/33/19/45/1133331945.db2.gz NSDBGTOILIPMAN-UHFFFAOYSA-N 1 2 261.756 3.546 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(C(F)(F)F)cn2)c1 ZINC001203653410 1133347939 /nfs/dbraw/zinc/34/79/39/1133347939.db2.gz MYSLGCHRXQEQEO-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO C[C@@H]1CCC[C@@H](C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000133030879 1125508212 /nfs/dbraw/zinc/50/82/12/1125508212.db2.gz AMWBLGBCNRSYPL-ZIAGYGMSSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(F)cc2F)c(C)s1 ZINC000135126978 1125521958 /nfs/dbraw/zinc/52/19/58/1125521958.db2.gz KIIHDJLTPYIKET-QMMMGPOBSA-N 1 2 282.359 3.889 20 0 CHADLO Cc1n[nH]cc1C[N@@H+](C)[C@H](C)c1nc2ccccc2s1 ZINC000120514617 1125547688 /nfs/dbraw/zinc/54/76/88/1125547688.db2.gz PHWPKXMSNRZQQP-LLVKDONJSA-N 1 2 286.404 3.521 20 0 CHADLO Cc1n[nH]cc1C[N@H+](C)[C@H](C)c1nc2ccccc2s1 ZINC000120514617 1125547694 /nfs/dbraw/zinc/54/76/94/1125547694.db2.gz PHWPKXMSNRZQQP-LLVKDONJSA-N 1 2 286.404 3.521 20 0 CHADLO Cc1sc(/C=C\c2c[nH+]c3cccc(C)n23)cc1C=O ZINC000760265336 1125550019 /nfs/dbraw/zinc/55/00/19/1125550019.db2.gz SMQYPAABIVYCIH-SREVYHEPSA-N 1 2 282.368 3.996 20 0 CHADLO C[C@H]([NH2+]Cc1csc(-c2ccco2)n1)c1ccco1 ZINC000237481700 1125565013 /nfs/dbraw/zinc/56/50/13/1125565013.db2.gz IQNACZGZVUNIRG-JTQLQIEISA-N 1 2 274.345 3.847 20 0 CHADLO CCc1nc(C[N@H+](C)[C@H]2CCc3ccc(F)cc32)cs1 ZINC000532925633 1125589289 /nfs/dbraw/zinc/58/92/89/1125589289.db2.gz IZGAKIVBIXTSTM-HNNXBMFYSA-N 1 2 290.407 3.964 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@H]2CCc3ccc(F)cc32)cs1 ZINC000532925633 1125589291 /nfs/dbraw/zinc/58/92/91/1125589291.db2.gz IZGAKIVBIXTSTM-HNNXBMFYSA-N 1 2 290.407 3.964 20 0 CHADLO CCC[C@H](C)N(C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455489682 1125620301 /nfs/dbraw/zinc/62/03/01/1125620301.db2.gz VYECMZKDTNKAAI-LBPRGKRZSA-N 1 2 263.385 3.659 20 0 CHADLO CC(C)(C)Oc1cccc(C[NH2+]Cc2ccc(Cl)o2)n1 ZINC000348354612 1125622520 /nfs/dbraw/zinc/62/25/20/1125622520.db2.gz VLJONLCAWQJGER-UHFFFAOYSA-N 1 2 294.782 3.795 20 0 CHADLO Cc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c(N)c1 ZINC001214184725 1125624743 /nfs/dbraw/zinc/62/47/43/1125624743.db2.gz XRGJKHLNOUDMOU-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO Cc1coc(C[NH2+][C@@H]2CCCc3cn(C(C)C)nc32)c1 ZINC000886059924 1125642229 /nfs/dbraw/zinc/64/22/29/1125642229.db2.gz ORJXDKGLRRVEKB-OAHLLOKOSA-N 1 2 273.380 3.533 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247012166 1125652215 /nfs/dbraw/zinc/65/22/15/1125652215.db2.gz RYILBLYZENADCP-MLGOLLRUSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247012166 1125652218 /nfs/dbraw/zinc/65/22/18/1125652218.db2.gz RYILBLYZENADCP-MLGOLLRUSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348371776 1125661444 /nfs/dbraw/zinc/66/14/44/1125661444.db2.gz HWERZOIWPJGICB-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348371776 1125661448 /nfs/dbraw/zinc/66/14/48/1125661448.db2.gz HWERZOIWPJGICB-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO Cc1coc(C[NH2+][C@@H]2CCc3ccccc3-n3ccnc32)c1 ZINC000886166799 1125659207 /nfs/dbraw/zinc/65/92/07/1125659207.db2.gz SCQXZLXBGIGUII-MRXNPFEDSA-N 1 2 293.370 3.551 20 0 CHADLO Cc1coc(C[NH2+][C@@H]2c3ccccc3CC[C@@H]2F)c1 ZINC000886187761 1125660521 /nfs/dbraw/zinc/66/05/21/1125660521.db2.gz BEPQKWQMRJAJKB-JKSUJKDBSA-N 1 2 259.324 3.703 20 0 CHADLO CCc1cccc(F)c1C[NH2+]Cc1cc(C2CC2)no1 ZINC000886270403 1125663924 /nfs/dbraw/zinc/66/39/24/1125663924.db2.gz COZBSXOWLNMIRM-UHFFFAOYSA-N 1 2 274.339 3.543 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C2CCC2)cc1)c1ncco1 ZINC000886272343 1125665672 /nfs/dbraw/zinc/66/56/72/1125665672.db2.gz NMZMBOARSZUPNQ-GFCCVEGCSA-N 1 2 256.349 3.793 20 0 CHADLO CCn1cc(CNc2ccc3[nH+]c(C)cc(C)c3c2)cn1 ZINC000886370049 1125673741 /nfs/dbraw/zinc/67/37/41/1125673741.db2.gz VESVKZKZGWSZOW-UHFFFAOYSA-N 1 2 280.375 3.680 20 0 CHADLO CCc1ncc(CNc2ccc3[nH+]c(C)cc(C)c3c2)cn1 ZINC000886369584 1125674429 /nfs/dbraw/zinc/67/44/29/1125674429.db2.gz JRVJWOMIYKDQRZ-UHFFFAOYSA-N 1 2 292.386 3.816 20 0 CHADLO c1csc(-c2nc(C[NH2+][C@@H]3CCc4cccnc43)co2)c1 ZINC000886512340 1125681372 /nfs/dbraw/zinc/68/13/72/1125681372.db2.gz CLXDHSWPGORCSC-CYBMUJFWSA-N 1 2 297.383 3.575 20 0 CHADLO CC[C@H]([NH2+]Cc1nn(C)cc1Cl)c1cccc(C)c1 ZINC000393301585 1125685498 /nfs/dbraw/zinc/68/54/98/1125685498.db2.gz IKTWFRACABNYNS-AWEZNQCLSA-N 1 2 277.799 3.623 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2c1cccc2Cl)c1ncco1 ZINC000886536858 1125685665 /nfs/dbraw/zinc/68/56/65/1125685665.db2.gz PQNOGARSEWDJOP-NOZJJQNGSA-N 1 2 262.740 3.666 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nc(C(F)F)no2)[C@@H]1c1ccccc1 ZINC000886540660 1125686872 /nfs/dbraw/zinc/68/68/72/1125686872.db2.gz IYSVWQASUMITCV-MFKMUULPSA-N 1 2 293.317 3.590 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nc(C(F)F)no2)[C@@H]1c1ccccc1 ZINC000886540660 1125686876 /nfs/dbraw/zinc/68/68/76/1125686876.db2.gz IYSVWQASUMITCV-MFKMUULPSA-N 1 2 293.317 3.590 20 0 CHADLO C[C@H]1CC[N@H+](Cc2noc(C3CC3)n2)[C@@H]1c1ccccc1 ZINC000886544947 1125689075 /nfs/dbraw/zinc/68/90/75/1125689075.db2.gz DHLGAOURHGNILJ-LRDDRELGSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2noc(C3CC3)n2)[C@@H]1c1ccccc1 ZINC000886544947 1125689077 /nfs/dbraw/zinc/68/90/77/1125689077.db2.gz DHLGAOURHGNILJ-LRDDRELGSA-N 1 2 283.375 3.530 20 0 CHADLO Cc1nc(N[C@@H](C)c2ncc(-c3ccccc3)o2)cc[nH+]1 ZINC000078297222 1125711120 /nfs/dbraw/zinc/71/11/20/1125711120.db2.gz VZHRDMBVXVWHGI-NSHDSACASA-N 1 2 280.331 3.613 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348394857 1125713492 /nfs/dbraw/zinc/71/34/92/1125713492.db2.gz SWUMEROAKUTLRH-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348394857 1125713499 /nfs/dbraw/zinc/71/34/99/1125713499.db2.gz SWUMEROAKUTLRH-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(OC(C)=O)cc2)c1 ZINC001212075503 1125713810 /nfs/dbraw/zinc/71/38/10/1125713810.db2.gz GLDXEEKZTCKGIG-UHFFFAOYSA-N 1 2 270.332 3.703 20 0 CHADLO CC(C)n1cc(C[N@H+](Cc2ccsc2)C(C)(C)C)nn1 ZINC001118561201 1131231712 /nfs/dbraw/zinc/23/17/12/1131231712.db2.gz HTHZVXBOERHRIL-UHFFFAOYSA-N 1 2 292.452 3.721 20 0 CHADLO CC(C)n1cc(C[N@@H+](Cc2ccsc2)C(C)(C)C)nn1 ZINC001118561201 1131231716 /nfs/dbraw/zinc/23/17/16/1131231716.db2.gz HTHZVXBOERHRIL-UHFFFAOYSA-N 1 2 292.452 3.721 20 0 CHADLO CC1(C)C[N@H+](Cc2scnc2C2CC2)[C@@H]1c1cccnc1 ZINC000430107362 1125759217 /nfs/dbraw/zinc/75/92/17/1125759217.db2.gz SVGRMGQYTGKOBW-MRXNPFEDSA-N 1 2 299.443 3.999 20 0 CHADLO CC1(C)C[N@@H+](Cc2scnc2C2CC2)[C@@H]1c1cccnc1 ZINC000430107362 1125759225 /nfs/dbraw/zinc/75/92/25/1125759225.db2.gz SVGRMGQYTGKOBW-MRXNPFEDSA-N 1 2 299.443 3.999 20 0 CHADLO Fc1cccc2c1CCC[C@@H]2[NH2+]Cc1n[nH]c2ccccc21 ZINC000648606034 1125770303 /nfs/dbraw/zinc/77/03/03/1125770303.db2.gz RDJBRYVHTRNPRK-INIZCTEOSA-N 1 2 295.361 3.869 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@@H+]2Cc2cn[nH]c2C)o1 ZINC000093559156 1125771429 /nfs/dbraw/zinc/77/14/29/1125771429.db2.gz QLUQFOXQZXVVIO-HNNXBMFYSA-N 1 2 273.380 3.737 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@H+]2Cc2cn[nH]c2C)o1 ZINC000093559156 1125771436 /nfs/dbraw/zinc/77/14/36/1125771436.db2.gz QLUQFOXQZXVVIO-HNNXBMFYSA-N 1 2 273.380 3.737 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000615117312 1125781834 /nfs/dbraw/zinc/78/18/34/1125781834.db2.gz PZGJYYDVAMTLJK-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000615117312 1125781840 /nfs/dbraw/zinc/78/18/40/1125781840.db2.gz PZGJYYDVAMTLJK-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1cccc(C[N@H+](Cc2cc[nH]n2)Cc2ccccc2)c1 ZINC000508743950 1125786940 /nfs/dbraw/zinc/78/69/40/1125786940.db2.gz VECOHTQYRHPEFG-UHFFFAOYSA-N 1 2 291.398 3.921 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2cc[nH]n2)Cc2ccccc2)c1 ZINC000508743950 1125786948 /nfs/dbraw/zinc/78/69/48/1125786948.db2.gz VECOHTQYRHPEFG-UHFFFAOYSA-N 1 2 291.398 3.921 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](C)c2cnc(C)s2)cs1 ZINC000639995112 1129344673 /nfs/dbraw/zinc/34/46/73/1129344673.db2.gz RBQRLWSECFILTI-VIFPVBQESA-N 1 2 281.450 3.711 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(OCC(F)(F)F)cc1)C(F)F ZINC000641791266 1129391974 /nfs/dbraw/zinc/39/19/74/1129391974.db2.gz GCFGUNRTLOUVES-DTWKUNHWSA-N 1 2 297.267 3.932 20 0 CHADLO C[N@@H+](Cc1nc(C(F)(F)F)cs1)CC1=CCCC1 ZINC000645811368 1129508539 /nfs/dbraw/zinc/50/85/39/1129508539.db2.gz PHOCNQMGQWCDFL-UHFFFAOYSA-N 1 2 276.327 3.704 20 0 CHADLO C[N@H+](Cc1nc(C(F)(F)F)cs1)CC1=CCCC1 ZINC000645811368 1129508541 /nfs/dbraw/zinc/50/85/41/1129508541.db2.gz PHOCNQMGQWCDFL-UHFFFAOYSA-N 1 2 276.327 3.704 20 0 CHADLO Fc1cc(C[NH+]2CC(Cc3ccsc3)C2)cc(F)c1F ZINC000621741484 1129189301 /nfs/dbraw/zinc/18/93/01/1129189301.db2.gz VGNBSBWTAPQMTL-UHFFFAOYSA-N 1 2 297.345 3.840 20 0 CHADLO CCc1ccc([N@H+](CC(C)C)C[C@@H]2CCOC2)cc1 ZINC001169971102 1129803480 /nfs/dbraw/zinc/80/34/80/1129803480.db2.gz NXWFNYAUPZQMAY-INIZCTEOSA-N 1 2 261.409 3.748 20 0 CHADLO CCc1ccc([N@@H+](CC(C)C)C[C@@H]2CCOC2)cc1 ZINC001169971102 1129803484 /nfs/dbraw/zinc/80/34/84/1129803484.db2.gz NXWFNYAUPZQMAY-INIZCTEOSA-N 1 2 261.409 3.748 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(SC(F)(F)F)cc2)CCO1 ZINC000768227066 1129845186 /nfs/dbraw/zinc/84/51/86/1129845186.db2.gz FEBFHDJTQOIBPW-JTQLQIEISA-N 1 2 291.338 3.519 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(SC(F)(F)F)cc2)CCO1 ZINC000768227066 1129845188 /nfs/dbraw/zinc/84/51/88/1129845188.db2.gz FEBFHDJTQOIBPW-JTQLQIEISA-N 1 2 291.338 3.519 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2coc(C3CC3)n2)s1 ZINC000769317606 1129901690 /nfs/dbraw/zinc/90/16/90/1129901690.db2.gz UHNGPPZXYZAYJG-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO CC1(CC(=O)Nc2cccc3[nH+]ccn32)CCCCC1 ZINC000337971012 1126705574 /nfs/dbraw/zinc/70/55/74/1126705574.db2.gz MGAMONUPNOQCHV-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccncc1F)c1nc2c(s1)CCC2 ZINC000338015908 1126710824 /nfs/dbraw/zinc/71/08/24/1126710824.db2.gz XVUHAJLJXUDIKX-NXEZZACHSA-N 1 2 291.395 3.578 20 0 CHADLO C[C@@H]1CCC[C@H](Nc2ccc(N3CCCC3)c[nH+]2)C1 ZINC000338143777 1126720612 /nfs/dbraw/zinc/72/06/12/1126720612.db2.gz POZONPQQTRFRAO-KGLIPLIRSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2nnc(C)[nH]2)c(C)s1 ZINC000338334468 1126735248 /nfs/dbraw/zinc/73/52/48/1126735248.db2.gz VUMSRELPRDCKIU-AWEZNQCLSA-N 1 2 290.436 3.519 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2nnc(C)[nH]2)c(C)s1 ZINC000338334468 1126735252 /nfs/dbraw/zinc/73/52/52/1126735252.db2.gz VUMSRELPRDCKIU-AWEZNQCLSA-N 1 2 290.436 3.519 20 0 CHADLO CCC[C@@H]1C[N@H+](Cc2cc3c(cc(C)cc3C)[nH]2)CCO1 ZINC000481979384 1126736578 /nfs/dbraw/zinc/73/65/78/1126736578.db2.gz UEXRVHAFPGRBQQ-MRXNPFEDSA-N 1 2 286.419 3.786 20 0 CHADLO CCC[C@@H]1C[N@@H+](Cc2cc3c(cc(C)cc3C)[nH]2)CCO1 ZINC000481979384 1126736581 /nfs/dbraw/zinc/73/65/81/1126736581.db2.gz UEXRVHAFPGRBQQ-MRXNPFEDSA-N 1 2 286.419 3.786 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2cccc3[nH]ccc32)s1 ZINC000338350146 1126737290 /nfs/dbraw/zinc/73/72/90/1126737290.db2.gz RGTJOAMVWIQUBD-JTQLQIEISA-N 1 2 286.404 3.521 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2cccc3[nH]ccc32)s1 ZINC000338350146 1126737293 /nfs/dbraw/zinc/73/72/93/1126737293.db2.gz RGTJOAMVWIQUBD-JTQLQIEISA-N 1 2 286.404 3.521 20 0 CHADLO CN(C)c1ccc(NCc2cccc(Cl)c2F)c[nH+]1 ZINC000158119330 1126746344 /nfs/dbraw/zinc/74/63/44/1126746344.db2.gz DWLPFGHFNMGUIV-UHFFFAOYSA-N 1 2 279.746 3.552 20 0 CHADLO Cc1ccc(NCc2nc(-c3ccccc3)c[nH]2)c(C)[nH+]1 ZINC000194390122 1126764035 /nfs/dbraw/zinc/76/40/35/1126764035.db2.gz ICSBFRZVMSVJGG-UHFFFAOYSA-N 1 2 278.359 3.701 20 0 CHADLO CC[C@](C)([NH2+]Cc1cccnc1Cl)c1nc(C)cs1 ZINC000174499378 1126770642 /nfs/dbraw/zinc/77/06/42/1126770642.db2.gz UVQALCZKCSACSC-AWEZNQCLSA-N 1 2 295.839 3.915 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2F)[N@H+](Cc2cscn2)C1 ZINC000174043550 1126765953 /nfs/dbraw/zinc/76/59/53/1126765953.db2.gz IOPNMICKOOQINU-ABAIWWIYSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2F)[N@@H+](Cc2cscn2)C1 ZINC000174043550 1126765955 /nfs/dbraw/zinc/76/59/55/1126765955.db2.gz IOPNMICKOOQINU-ABAIWWIYSA-N 1 2 276.380 3.865 20 0 CHADLO COc1c(C)c[nH+]c(CSCC(=O)C(C)(C)C)c1C ZINC000175155706 1126777766 /nfs/dbraw/zinc/77/77/66/1126777766.db2.gz ZHQBBVKXVYKSAC-UHFFFAOYSA-N 1 2 281.421 3.555 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1cccnc1Cl ZINC000175114053 1126778279 /nfs/dbraw/zinc/77/82/79/1126778279.db2.gz JEGAVTQEQLNBDR-HNNXBMFYSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1cccnc1Cl ZINC000175114053 1126778282 /nfs/dbraw/zinc/77/82/82/1126778282.db2.gz JEGAVTQEQLNBDR-HNNXBMFYSA-N 1 2 289.810 3.801 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCCC[C@@H]1c1cccn1C ZINC000175142858 1126778295 /nfs/dbraw/zinc/77/82/95/1126778295.db2.gz PKVZXTOWMRLLCF-MRXNPFEDSA-N 1 2 286.423 3.530 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCCC[C@@H]1c1cccn1C ZINC000175142858 1126778297 /nfs/dbraw/zinc/77/82/97/1126778297.db2.gz PKVZXTOWMRLLCF-MRXNPFEDSA-N 1 2 286.423 3.530 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)no1 ZINC000175433314 1126782297 /nfs/dbraw/zinc/78/22/97/1126782297.db2.gz XKIGCDSYNJGOMM-GHMZBOCLSA-N 1 2 293.798 3.655 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)[C@@H](C)c2ccc(Cl)cc2)no1 ZINC000175433314 1126782300 /nfs/dbraw/zinc/78/23/00/1126782300.db2.gz XKIGCDSYNJGOMM-GHMZBOCLSA-N 1 2 293.798 3.655 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@H+](CC)[C@H](C)c1cccc(O)c1 ZINC000746453296 1126790185 /nfs/dbraw/zinc/79/01/85/1126790185.db2.gz GJWSCKPRYUTCHI-ZIAGYGMSSA-N 1 2 293.407 3.507 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@@H+](CC)[C@H](C)c1cccc(O)c1 ZINC000746453296 1126790187 /nfs/dbraw/zinc/79/01/87/1126790187.db2.gz GJWSCKPRYUTCHI-ZIAGYGMSSA-N 1 2 293.407 3.507 20 0 CHADLO O=C(CCC1CCC1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000176302361 1126790270 /nfs/dbraw/zinc/79/02/70/1126790270.db2.gz PIYJGZRBPWQKTE-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000177124110 1126797906 /nfs/dbraw/zinc/79/79/06/1126797906.db2.gz GLCGOFXPCKAATG-ZDUSSCGKSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000177124110 1126797908 /nfs/dbraw/zinc/79/79/08/1126797908.db2.gz GLCGOFXPCKAATG-ZDUSSCGKSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000177124124 1126798085 /nfs/dbraw/zinc/79/80/85/1126798085.db2.gz GLCGOFXPCKAATG-CYBMUJFWSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@H](c1ccccn1)[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000177124124 1126798087 /nfs/dbraw/zinc/79/80/87/1126798087.db2.gz GLCGOFXPCKAATG-CYBMUJFWSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(C3CCCC3)s2)C[C@@H]1F ZINC000679357686 1130520848 /nfs/dbraw/zinc/52/08/48/1130520848.db2.gz CWMOHTKHHBIJGJ-FZMZJTMJSA-N 1 2 282.428 3.981 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(C3CCCC3)s2)C[C@@H]1F ZINC000679357686 1130520851 /nfs/dbraw/zinc/52/08/51/1130520851.db2.gz CWMOHTKHHBIJGJ-FZMZJTMJSA-N 1 2 282.428 3.981 20 0 CHADLO CCS[C@H](C)c1noc([C@@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923952885 1126813332 /nfs/dbraw/zinc/81/33/32/1126813332.db2.gz QLOVJPJOOVRCBE-VXGBXAGGSA-N 1 2 294.424 3.716 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccn(C(C)C)n1)c1ccc(F)cc1F ZINC000178510525 1126815412 /nfs/dbraw/zinc/81/54/12/1126815412.db2.gz HCMVQCHDDYMQAY-MRXNPFEDSA-N 1 2 293.361 3.983 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc([C@H]2[C@@H]3CCCCCC[C@@H]32)n1 ZINC000904265389 1126840269 /nfs/dbraw/zinc/84/02/69/1126840269.db2.gz JDOREZYOXBZSPS-JYAVWHMHSA-N 1 2 298.390 3.706 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc([C@@H]2CCC(C)=C(C)C2)n1 ZINC000904267712 1126840625 /nfs/dbraw/zinc/84/06/25/1126840625.db2.gz HKOOQAXSYMRREC-GFCCVEGCSA-N 1 2 284.363 3.626 20 0 CHADLO CC[C@H](C(=O)Nc1cc[nH+]c(C)c1)c1ccc(OC)cc1 ZINC000180693876 1126847153 /nfs/dbraw/zinc/84/71/53/1126847153.db2.gz MNUMGNWHFRTUJO-INIZCTEOSA-N 1 2 284.359 3.531 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@H](C)c2csc(C(C)C)n2)cnn1C ZINC000180908351 1126850436 /nfs/dbraw/zinc/85/04/36/1126850436.db2.gz GNPVSMWEJIGMNZ-WDEREUQCSA-N 1 2 292.452 3.720 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ncc[nH]1)c1ccc(Cl)cc1F ZINC000181009325 1126851009 /nfs/dbraw/zinc/85/10/09/1126851009.db2.gz LJYDJSHUIXZWGW-CQSZACIVSA-N 1 2 281.762 3.689 20 0 CHADLO Cc1occc1C[N@@H+](C)[C@H](C)c1nc2ccccc2o1 ZINC000181012169 1126851047 /nfs/dbraw/zinc/85/10/47/1126851047.db2.gz MDQCSGOODIWNEQ-LLVKDONJSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1occc1C[N@H+](C)[C@H](C)c1nc2ccccc2o1 ZINC000181012169 1126851051 /nfs/dbraw/zinc/85/10/51/1126851051.db2.gz MDQCSGOODIWNEQ-LLVKDONJSA-N 1 2 270.332 3.922 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccn1)c1nc2c(s1)CCCC2 ZINC000181186185 1126853155 /nfs/dbraw/zinc/85/31/55/1126853155.db2.gz RRRXJHRECCJRGQ-VXGBXAGGSA-N 1 2 287.432 3.829 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](CC2CCC2)c2ccccc2)o1 ZINC000181351304 1126854753 /nfs/dbraw/zinc/85/47/53/1126854753.db2.gz UEOOYIXBTKEMDK-HNNXBMFYSA-N 1 2 285.391 3.653 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1nnc(C2CC2)n1C1CC1 ZINC000181053005 1126851371 /nfs/dbraw/zinc/85/13/71/1126851371.db2.gz XGAWLOOORKVLSW-ZDUSSCGKSA-N 1 2 296.418 3.683 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1nnc(C2CC2)n1C1CC1 ZINC000181053005 1126851374 /nfs/dbraw/zinc/85/13/74/1126851374.db2.gz XGAWLOOORKVLSW-ZDUSSCGKSA-N 1 2 296.418 3.683 20 0 CHADLO COc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1ncc(C)o1 ZINC000181717109 1126859408 /nfs/dbraw/zinc/85/94/08/1126859408.db2.gz HTALLLBIKGROLA-OAHLLOKOSA-N 1 2 288.391 3.869 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cccc(F)c2F)sc1C ZINC000181987502 1126863688 /nfs/dbraw/zinc/86/36/88/1126863688.db2.gz VCMCGAJOLFNILO-SECBINFHSA-N 1 2 282.359 3.889 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1cccnc1Cl ZINC000182492050 1126873023 /nfs/dbraw/zinc/87/30/23/1126873023.db2.gz QEDZZJUEKQXUAD-NSHDSACASA-N 1 2 275.783 3.974 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cc(C)cc(Cl)c1 ZINC001235538636 1130754103 /nfs/dbraw/zinc/75/41/03/1130754103.db2.gz UBZSEGGOPAPQOR-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO Oc1ccc([N@H+](CCCF)CCc2ccccc2)cc1 ZINC000485672401 1126938974 /nfs/dbraw/zinc/93/89/74/1126938974.db2.gz PZMXTKNRUSPVDR-UHFFFAOYSA-N 1 2 273.351 3.801 20 0 CHADLO Oc1ccc([N@@H+](CCCF)CCc2ccccc2)cc1 ZINC000485672401 1126938976 /nfs/dbraw/zinc/93/89/76/1126938976.db2.gz PZMXTKNRUSPVDR-UHFFFAOYSA-N 1 2 273.351 3.801 20 0 CHADLO CC[N@H+](Cc1c(F)ccc(C)c1Br)C1CC1 ZINC001235761329 1130776574 /nfs/dbraw/zinc/77/65/74/1130776574.db2.gz MZTPANMXMOTXKY-UHFFFAOYSA-N 1 2 286.188 3.881 20 0 CHADLO CC[N@@H+](Cc1c(F)ccc(C)c1Br)C1CC1 ZINC001235761329 1130776578 /nfs/dbraw/zinc/77/65/78/1130776578.db2.gz MZTPANMXMOTXKY-UHFFFAOYSA-N 1 2 286.188 3.881 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2cocn2)o1 ZINC000191949318 1126957028 /nfs/dbraw/zinc/95/70/28/1126957028.db2.gz JCSVNGIEQCNUGP-FZMZJTMJSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2cocn2)o1 ZINC000191949318 1126957031 /nfs/dbraw/zinc/95/70/31/1126957031.db2.gz JCSVNGIEQCNUGP-FZMZJTMJSA-N 1 2 260.337 3.549 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1cocn1)c1ccc(F)cc1F ZINC000192325265 1126961139 /nfs/dbraw/zinc/96/11/39/1126961139.db2.gz KRMXPTIZLZUEEE-CQSZACIVSA-N 1 2 280.318 3.830 20 0 CHADLO Cc1nc(-c2ccc(C[NH2+][C@H](C)c3ncco3)cc2)cs1 ZINC000924809788 1126966652 /nfs/dbraw/zinc/96/66/52/1126966652.db2.gz MCKIBBDXCFIGJA-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2nc(C)no2)o1 ZINC000266538729 1126984283 /nfs/dbraw/zinc/98/42/83/1126984283.db2.gz HYOQLGAVUBKWLO-FZMZJTMJSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2nc(C)no2)o1 ZINC000266538729 1126984285 /nfs/dbraw/zinc/98/42/85/1126984285.db2.gz HYOQLGAVUBKWLO-FZMZJTMJSA-N 1 2 289.379 3.507 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@H](C)c2cccc(F)c2)cs1 ZINC000267020257 1126991389 /nfs/dbraw/zinc/99/13/89/1126991389.db2.gz QQBIZOAQCCKEEY-MNOVXSKESA-N 1 2 294.395 3.840 20 0 CHADLO Cc1cc(Cl)c(NC(=O)[C@H]2CC[N@@H+]2C(C)(C)C)cc1C ZINC000908547632 1127007138 /nfs/dbraw/zinc/00/71/38/1127007138.db2.gz MVRRJFZSORYQCS-CQSZACIVSA-N 1 2 294.826 3.768 20 0 CHADLO Cc1cc(Cl)c(NC(=O)[C@H]2CC[N@H+]2C(C)(C)C)cc1C ZINC000908547632 1127007143 /nfs/dbraw/zinc/00/71/43/1127007143.db2.gz MVRRJFZSORYQCS-CQSZACIVSA-N 1 2 294.826 3.768 20 0 CHADLO FC(F)(F)C[C@@H]1C[N@H+](Cc2coc3ccccc23)CCO1 ZINC000668766655 1127026737 /nfs/dbraw/zinc/02/67/37/1127026737.db2.gz WNFQRYDGAQDGRV-GFCCVEGCSA-N 1 2 299.292 3.586 20 0 CHADLO FC(F)(F)C[C@@H]1C[N@@H+](Cc2coc3ccccc23)CCO1 ZINC000668766655 1127026738 /nfs/dbraw/zinc/02/67/38/1127026738.db2.gz WNFQRYDGAQDGRV-GFCCVEGCSA-N 1 2 299.292 3.586 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cccnc2Cl)s1 ZINC000268924729 1127029317 /nfs/dbraw/zinc/02/93/17/1127029317.db2.gz KREXMFZAYAFLOH-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nnc(C(C)C)s2)ccc1F ZINC000269044583 1127033614 /nfs/dbraw/zinc/03/36/14/1127033614.db2.gz HHCMBNFMOVTTPQ-LLVKDONJSA-N 1 2 293.411 3.960 20 0 CHADLO CCn1cc([C@H](C)[NH2+]Cc2c(F)cccc2Cl)cn1 ZINC000269034947 1127034075 /nfs/dbraw/zinc/03/40/75/1127034075.db2.gz SHCLBHUIJGPNQM-JTQLQIEISA-N 1 2 281.762 3.546 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1nccs1 ZINC000269654974 1127044723 /nfs/dbraw/zinc/04/47/23/1127044723.db2.gz NBFYAMKHKSUCIK-UHFFFAOYSA-N 1 2 252.408 3.615 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1nccs1 ZINC000269654974 1127044725 /nfs/dbraw/zinc/04/47/25/1127044725.db2.gz NBFYAMKHKSUCIK-UHFFFAOYSA-N 1 2 252.408 3.615 20 0 CHADLO CC(C)(C)SCCCC(=O)Nc1cccc2[nH+]ccn21 ZINC000269911073 1127048351 /nfs/dbraw/zinc/04/83/51/1127048351.db2.gz BCUABIHXIGGZNG-UHFFFAOYSA-N 1 2 291.420 3.585 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(F)cc2C)sc1C ZINC000487973404 1127051141 /nfs/dbraw/zinc/05/11/41/1127051141.db2.gz SNZVEKRTJPRJND-UHFFFAOYSA-N 1 2 278.396 3.839 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(F)cc2C)sc1C ZINC000487973404 1127051143 /nfs/dbraw/zinc/05/11/43/1127051143.db2.gz SNZVEKRTJPRJND-UHFFFAOYSA-N 1 2 278.396 3.839 20 0 CHADLO C[C@H]1C[C@@H](C[N@H+](CC2CC2)c2ccccc2)CCO1 ZINC000488172097 1127059546 /nfs/dbraw/zinc/05/95/46/1127059546.db2.gz UVVSJRSYVFKSBW-HOCLYGCPSA-N 1 2 259.393 3.718 20 0 CHADLO C[C@H]1C[C@@H](C[N@@H+](CC2CC2)c2ccccc2)CCO1 ZINC000488172097 1127059549 /nfs/dbraw/zinc/05/95/49/1127059549.db2.gz UVVSJRSYVFKSBW-HOCLYGCPSA-N 1 2 259.393 3.718 20 0 CHADLO c1cc([C@H]2CCC[N@H+]2Cc2ncc(C3CC3)o2)cs1 ZINC000270658790 1127059660 /nfs/dbraw/zinc/05/96/60/1127059660.db2.gz VGMZTYUDEMVNCW-CYBMUJFWSA-N 1 2 274.389 3.951 20 0 CHADLO c1cc([C@H]2CCC[N@@H+]2Cc2ncc(C3CC3)o2)cs1 ZINC000270658790 1127059664 /nfs/dbraw/zinc/05/96/64/1127059664.db2.gz VGMZTYUDEMVNCW-CYBMUJFWSA-N 1 2 274.389 3.951 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1cc[nH]n1 ZINC000271045176 1127065676 /nfs/dbraw/zinc/06/56/76/1127065676.db2.gz LHLLMMBXQHYXFQ-SNVBAGLBSA-N 1 2 283.297 3.622 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1cc[nH]n1 ZINC000271045176 1127065680 /nfs/dbraw/zinc/06/56/80/1127065680.db2.gz LHLLMMBXQHYXFQ-SNVBAGLBSA-N 1 2 283.297 3.622 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@H](C)c1ccc(C)cc1C ZINC000271049045 1127066351 /nfs/dbraw/zinc/06/63/51/1127066351.db2.gz NYJMVZKWEQGOTH-CQSZACIVSA-N 1 2 257.381 3.528 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@H](C)c1ccc(C)cc1C ZINC000271049045 1127066355 /nfs/dbraw/zinc/06/63/55/1127066355.db2.gz NYJMVZKWEQGOTH-CQSZACIVSA-N 1 2 257.381 3.528 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2cn[nH]c21)c1ccccc1Cl ZINC000271429180 1127080909 /nfs/dbraw/zinc/08/09/09/1127080909.db2.gz ARHOWNXSTHCAEF-HZMBPMFUSA-N 1 2 275.783 3.791 20 0 CHADLO Cc1ccc2c(c1C)OC[C@H]2[NH2+][C@@H](C)c1nccs1 ZINC000271470515 1127084739 /nfs/dbraw/zinc/08/47/39/1127084739.db2.gz LVESSKNXVARNNT-WCQYABFASA-N 1 2 274.389 3.544 20 0 CHADLO CCc1cc(OCc2nnc(C)s2)c2ccccc2[nH+]1 ZINC000271486367 1127085876 /nfs/dbraw/zinc/08/58/76/1127085876.db2.gz KHYTXLZTNBJVLF-UHFFFAOYSA-N 1 2 285.372 3.536 20 0 CHADLO CCn1cc([C@@H](C)[NH2+][C@@H](C)c2csc(C(C)C)n2)cn1 ZINC000271569503 1127093304 /nfs/dbraw/zinc/09/33/04/1127093304.db2.gz ZAYQXAJEESRWHV-NEPJUHHUSA-N 1 2 292.452 3.895 20 0 CHADLO C[N@H+](Cc1csc(C(C)(C)C)n1)[C@H]1CC1(C)C ZINC000489469476 1127104675 /nfs/dbraw/zinc/10/46/75/1127104675.db2.gz BPTYORSIBIRVKF-NSHDSACASA-N 1 2 252.427 3.671 20 0 CHADLO C[N@@H+](Cc1csc(C(C)(C)C)n1)[C@H]1CC1(C)C ZINC000489469476 1127104677 /nfs/dbraw/zinc/10/46/77/1127104677.db2.gz BPTYORSIBIRVKF-NSHDSACASA-N 1 2 252.427 3.671 20 0 CHADLO CC/C=C/CC[N@H+](C)Cc1nc([C@H](C)OCC(C)C)no1 ZINC000274300136 1127111307 /nfs/dbraw/zinc/11/13/07/1127111307.db2.gz BJYUPGARHANJTN-NPQIQWPPSA-N 1 2 295.427 3.591 20 0 CHADLO CC/C=C/CC[N@@H+](C)Cc1nc([C@H](C)OCC(C)C)no1 ZINC000274300136 1127111309 /nfs/dbraw/zinc/11/13/09/1127111309.db2.gz BJYUPGARHANJTN-NPQIQWPPSA-N 1 2 295.427 3.591 20 0 CHADLO Cc1ccc2[nH+]cc(/C=C(/C#N)C(=O)C3CCCCC3)n2c1 ZINC000151455093 1127136337 /nfs/dbraw/zinc/13/63/37/1127136337.db2.gz XRGCIIRLJQCPMU-DHDCSXOGSA-N 1 2 293.370 3.699 20 0 CHADLO COc1ccsc1[C@@H](C)[NH2+]Cc1nnc(C(C)C)s1 ZINC000349342127 1127147975 /nfs/dbraw/zinc/14/79/75/1127147975.db2.gz MSCSOSQCKHHBGT-SECBINFHSA-N 1 2 297.449 3.582 20 0 CHADLO C[C@H](O)[C@@H]([NH2+]Cc1ccsc1Cl)c1ccccc1F ZINC000571052238 1127152114 /nfs/dbraw/zinc/15/21/14/1127152114.db2.gz QYAPYLNBUSNVGJ-TVQRCGJNSA-N 1 2 299.798 3.752 20 0 CHADLO CCC[N@H+](C[C@@H]1C[C@@]12CCOC2)c1ccc(CC)cc1 ZINC001307779027 1127160721 /nfs/dbraw/zinc/16/07/21/1127160721.db2.gz NWQFOMFCTQFYTD-FUHWJXTLSA-N 1 2 273.420 3.892 20 0 CHADLO CCC[N@@H+](C[C@@H]1C[C@@]12CCOC2)c1ccc(CC)cc1 ZINC001307779027 1127160723 /nfs/dbraw/zinc/16/07/23/1127160723.db2.gz NWQFOMFCTQFYTD-FUHWJXTLSA-N 1 2 273.420 3.892 20 0 CHADLO CCC[N@H+](C[C@H]1C[C@@]12CCOC2)c1ccc(CC)cc1 ZINC001307779028 1127161223 /nfs/dbraw/zinc/16/12/23/1127161223.db2.gz NWQFOMFCTQFYTD-SJLPKXTDSA-N 1 2 273.420 3.892 20 0 CHADLO CCC[N@@H+](C[C@H]1C[C@@]12CCOC2)c1ccc(CC)cc1 ZINC001307779028 1127161227 /nfs/dbraw/zinc/16/12/27/1127161227.db2.gz NWQFOMFCTQFYTD-SJLPKXTDSA-N 1 2 273.420 3.892 20 0 CHADLO CC(C)(CF)[NH2+]Cc1csc(-c2cccs2)n1 ZINC000349830759 1127163566 /nfs/dbraw/zinc/16/35/66/1127163566.db2.gz RGFWKNDQUKNFRE-UHFFFAOYSA-N 1 2 270.398 3.709 20 0 CHADLO COc1cccc2cc([C@H](C)[NH2+][C@H](C)c3ccon3)oc21 ZINC000349826826 1127164167 /nfs/dbraw/zinc/16/41/67/1127164167.db2.gz AUVRDMWUXJYAJX-MNOVXSKESA-N 1 2 286.331 3.841 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(Cl)o2)c(OC)c1 ZINC000350072869 1127172149 /nfs/dbraw/zinc/17/21/49/1127172149.db2.gz HKHAQSBYLDVWAW-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(Cl)o2)c(OC)c1 ZINC000350072869 1127172150 /nfs/dbraw/zinc/17/21/50/1127172150.db2.gz HKHAQSBYLDVWAW-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2conc2Cc2ccccc2)o1 ZINC000350238796 1127175095 /nfs/dbraw/zinc/17/50/95/1127175095.db2.gz GBLQKZDLTFJIIL-UHFFFAOYSA-N 1 2 296.370 3.799 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2conc2Cc2ccccc2)o1 ZINC000350238796 1127175098 /nfs/dbraw/zinc/17/50/98/1127175098.db2.gz GBLQKZDLTFJIIL-UHFFFAOYSA-N 1 2 296.370 3.799 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237523920 1131111197 /nfs/dbraw/zinc/11/11/97/1131111197.db2.gz WOBUUFWACYPALQ-SNVBAGLBSA-N 1 2 289.206 3.714 20 0 CHADLO CC(C)[C@H]1CCC[N@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237523920 1131111200 /nfs/dbraw/zinc/11/12/00/1131111200.db2.gz WOBUUFWACYPALQ-SNVBAGLBSA-N 1 2 289.206 3.714 20 0 CHADLO C[N@H+](Cc1cnc(C(C)(C)C)nc1)Cc1ccccc1F ZINC000284303158 1127180565 /nfs/dbraw/zinc/18/05/65/1127180565.db2.gz IZHVJGBNYGIDES-UHFFFAOYSA-N 1 2 287.382 3.545 20 0 CHADLO C[N@@H+](Cc1cnc(C(C)(C)C)nc1)Cc1ccccc1F ZINC000284303158 1127180568 /nfs/dbraw/zinc/18/05/68/1127180568.db2.gz IZHVJGBNYGIDES-UHFFFAOYSA-N 1 2 287.382 3.545 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(Cl)cc(Cl)c1 ZINC000351597049 1127197187 /nfs/dbraw/zinc/19/71/87/1127197187.db2.gz TUMWGUSVDQRBRI-BETUJISGSA-N 1 2 284.186 3.689 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(Cl)cc(Cl)c1 ZINC000351597049 1127197191 /nfs/dbraw/zinc/19/71/91/1127197191.db2.gz TUMWGUSVDQRBRI-BETUJISGSA-N 1 2 284.186 3.689 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@]23CCOC3)c(Cl)cc1Cl ZINC001237700685 1131130204 /nfs/dbraw/zinc/13/02/04/1131130204.db2.gz YFRYQSAFPOPEBH-CQSZACIVSA-N 1 2 286.202 3.667 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@]23CCOC3)c(Cl)cc1Cl ZINC001237700685 1131130207 /nfs/dbraw/zinc/13/02/07/1131130207.db2.gz YFRYQSAFPOPEBH-CQSZACIVSA-N 1 2 286.202 3.667 20 0 CHADLO Oc1ccc(F)c(C[N@@H+]2CCS[C@H]3CCCC[C@H]32)c1F ZINC000351664446 1127202269 /nfs/dbraw/zinc/20/22/69/1127202269.db2.gz KBKJHXJJORTUDV-OCCSQVGLSA-N 1 2 299.386 3.530 20 0 CHADLO Oc1ccc(F)c(C[N@H+]2CCS[C@H]3CCCC[C@H]32)c1F ZINC000351664446 1127202270 /nfs/dbraw/zinc/20/22/70/1127202270.db2.gz KBKJHXJJORTUDV-OCCSQVGLSA-N 1 2 299.386 3.530 20 0 CHADLO CCCC[C@@H](C(=O)Nc1ccn2cc[nH+]c2c1)C(C)C ZINC001274969336 1127211903 /nfs/dbraw/zinc/21/19/03/1127211903.db2.gz JSVARBLDNUAJOI-CQSZACIVSA-N 1 2 273.380 3.735 20 0 CHADLO C[NH+](C)[C@H](c1nc(C2CCCCC2)no1)c1ccccc1 ZINC000285200775 1127219080 /nfs/dbraw/zinc/21/90/80/1127219080.db2.gz DQKNNLGBZKLHPX-HNNXBMFYSA-N 1 2 285.391 3.768 20 0 CHADLO COc1nc2ccccc2cc1C[NH2+][C@@H](C)c1nccs1 ZINC000572702231 1127224738 /nfs/dbraw/zinc/22/47/38/1127224738.db2.gz NJSCSFJRGLFVCF-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1cc(F)c(F)cc1F ZINC000285429462 1127227540 /nfs/dbraw/zinc/22/75/40/1127227540.db2.gz HGPNCTMJYQETJX-UHFFFAOYSA-N 1 2 298.308 3.734 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2n[nH]c3ccccc23)C2CC2)cc1 ZINC000589638912 1127232082 /nfs/dbraw/zinc/23/20/82/1127232082.db2.gz GDIYKTXGWSYDSK-GOSISDBHSA-N 1 2 277.371 3.804 20 0 CHADLO c1ccc(C2([NH2+]Cc3n[nH]c4ccccc34)CCC2)cc1 ZINC000589647340 1127233570 /nfs/dbraw/zinc/23/35/70/1127233570.db2.gz UOLLAIYWJJXOCF-UHFFFAOYSA-N 1 2 277.371 3.732 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@H](C)c2c(F)cncc2F)c1 ZINC000285595594 1127238830 /nfs/dbraw/zinc/23/88/30/1127238830.db2.gz WGZKOFZZNNYLST-SNVBAGLBSA-N 1 2 280.293 3.658 20 0 CHADLO CC[N@H+](C)[C@@H](c1nc(CCC(C)C)no1)c1ccccc1 ZINC000285605594 1127239367 /nfs/dbraw/zinc/23/93/67/1127239367.db2.gz USFRYIXUVALPIF-MRXNPFEDSA-N 1 2 287.407 3.699 20 0 CHADLO CC[N@@H+](C)[C@@H](c1nc(CCC(C)C)no1)c1ccccc1 ZINC000285605594 1127239368 /nfs/dbraw/zinc/23/93/68/1127239368.db2.gz USFRYIXUVALPIF-MRXNPFEDSA-N 1 2 287.407 3.699 20 0 CHADLO C[N@H+](Cc1ccc(-c2ccccc2F)o1)Cc1cnccn1 ZINC000352818814 1127246033 /nfs/dbraw/zinc/24/60/33/1127246033.db2.gz UWDKQKTVNXNNDT-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1ccc(-c2ccccc2F)o1)Cc1cnccn1 ZINC000352818814 1127246035 /nfs/dbraw/zinc/24/60/35/1127246035.db2.gz UWDKQKTVNXNNDT-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO Cc1ccc(C[NH2+][C@H](CN2CCCCC2)c2ccccc2)o1 ZINC000590074745 1127246203 /nfs/dbraw/zinc/24/62/03/1127246203.db2.gz LUVSYUSLQVLWPP-LJQANCHMSA-N 1 2 298.430 3.905 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)[C@@H]1C ZINC001237990845 1131158510 /nfs/dbraw/zinc/15/85/10/1131158510.db2.gz ZKVBAUBUJMRKJI-NXEZZACHSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)[C@@H]1C ZINC001237990845 1131158511 /nfs/dbraw/zinc/15/85/11/1131158511.db2.gz ZKVBAUBUJMRKJI-NXEZZACHSA-N 1 2 271.763 3.805 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+]Cc2coc(-c3cccs3)n2)c1 ZINC000285933414 1127248013 /nfs/dbraw/zinc/24/80/13/1127248013.db2.gz FIGQKGMTKAYJEX-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H]1C[C@@H](C)C[NH+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237998228 1131160432 /nfs/dbraw/zinc/16/04/32/1131160432.db2.gz SDDKJALWWJWXCW-NXEZZACHSA-N 1 2 271.763 3.663 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2cc(C)ccn2)cs1 ZINC000286157995 1127261315 /nfs/dbraw/zinc/26/13/15/1127261315.db2.gz PTDVFDKIBLQUKL-NEPJUHHUSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccccc1F ZINC000057668017 1127272103 /nfs/dbraw/zinc/27/21/03/1127272103.db2.gz IJEPJWWPWFATQG-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO FC(F)(F)CC[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000286916368 1127276134 /nfs/dbraw/zinc/27/61/34/1127276134.db2.gz KDMLBLPYLOQUJB-UHFFFAOYSA-N 1 2 282.309 3.799 20 0 CHADLO FC(F)(F)CC[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000286916368 1127276138 /nfs/dbraw/zinc/27/61/38/1127276138.db2.gz KDMLBLPYLOQUJB-UHFFFAOYSA-N 1 2 282.309 3.799 20 0 CHADLO COc1ccc(CNc2ccc([NH+](C)C)cc2)cc1C ZINC000057784726 1127279855 /nfs/dbraw/zinc/27/98/55/1127279855.db2.gz INYZZRCKSQSGBI-UHFFFAOYSA-N 1 2 270.376 3.682 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+][C@H](C)c1c(F)cncc1F ZINC000353934953 1127280575 /nfs/dbraw/zinc/28/05/75/1127280575.db2.gz SAZWDYQVBIILRQ-MNOVXSKESA-N 1 2 291.345 3.729 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@@H](C)c2cc(F)ccc2F)n1 ZINC000573100010 1127294338 /nfs/dbraw/zinc/29/43/38/1127294338.db2.gz ICVXRKLLNRGSRU-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(=O)OC(C)(C)C)[C@@H]1C[C@H]1c1cccs1 ZINC000591348215 1127299145 /nfs/dbraw/zinc/29/91/45/1127299145.db2.gz DXRITVUSGMDDQA-MROQNXINSA-N 1 2 295.448 3.560 20 0 CHADLO C[N@H+](Cc1ccc2c(c1)CCO2)Cc1c(F)cccc1F ZINC000354356168 1127304623 /nfs/dbraw/zinc/30/46/23/1127304623.db2.gz OTLMIDQCSRADSZ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[N@@H+](Cc1ccc2c(c1)CCO2)Cc1c(F)cccc1F ZINC000354356168 1127304629 /nfs/dbraw/zinc/30/46/29/1127304629.db2.gz OTLMIDQCSRADSZ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CC(C)(C[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)C1CCC1 ZINC000573438400 1127308587 /nfs/dbraw/zinc/30/85/87/1127308587.db2.gz NLOXXKIFTWROSO-OAHLLOKOSA-N 1 2 290.455 3.842 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2nc(C(C)C)ns2)cc1 ZINC000930191181 1127311168 /nfs/dbraw/zinc/31/11/68/1127311168.db2.gz VJUHSKDSELGCEX-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H](F)C2)cc1C1CC1 ZINC001238502588 1131211118 /nfs/dbraw/zinc/21/11/18/1131211118.db2.gz WBLFYUSCSTXPFV-CYBMUJFWSA-N 1 2 251.320 3.637 20 0 CHADLO CCc1ccc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)s1 ZINC000046106092 1127329252 /nfs/dbraw/zinc/32/92/52/1127329252.db2.gz PCCPOEWIKYFBPX-UHFFFAOYSA-N 1 2 297.383 3.749 20 0 CHADLO Cc1ncsc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000355269844 1127330247 /nfs/dbraw/zinc/33/02/47/1127330247.db2.gz IHIADOVWDUWVLC-SNVBAGLBSA-N 1 2 294.370 3.849 20 0 CHADLO Cc1ncsc1C[N@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000355269844 1127330250 /nfs/dbraw/zinc/33/02/50/1127330250.db2.gz IHIADOVWDUWVLC-SNVBAGLBSA-N 1 2 294.370 3.849 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000355277459 1127330960 /nfs/dbraw/zinc/33/09/60/1127330960.db2.gz VUYNXCIHWFHQRE-SNVBAGLBSA-N 1 2 292.329 3.689 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000355277459 1127330963 /nfs/dbraw/zinc/33/09/63/1127330963.db2.gz VUYNXCIHWFHQRE-SNVBAGLBSA-N 1 2 292.329 3.689 20 0 CHADLO COCC[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccco1 ZINC000355348341 1127334960 /nfs/dbraw/zinc/33/49/60/1127334960.db2.gz NCOBIXRLCFBRFK-FZMZJTMJSA-N 1 2 295.329 3.986 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cnc(C(C)C)s1 ZINC000355589522 1127351380 /nfs/dbraw/zinc/35/13/80/1127351380.db2.gz ZBGQZAQMYWCTLX-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cnc(C(C)C)s1 ZINC000355589522 1127351385 /nfs/dbraw/zinc/35/13/85/1127351385.db2.gz ZBGQZAQMYWCTLX-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cnc(C(C)(C)C)s1 ZINC000355598746 1127352326 /nfs/dbraw/zinc/35/23/26/1127352326.db2.gz BBFLBPAMKNFZIB-UHFFFAOYSA-N 1 2 289.448 3.776 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cnc(C(C)(C)C)s1 ZINC000355598746 1127352332 /nfs/dbraw/zinc/35/23/32/1127352332.db2.gz BBFLBPAMKNFZIB-UHFFFAOYSA-N 1 2 289.448 3.776 20 0 CHADLO Cc1ccc(C[S@@](=O)c2nc(C(C)C)cs2)c(C)[nH+]1 ZINC000593005495 1127364670 /nfs/dbraw/zinc/36/46/70/1127364670.db2.gz RDOFZPBWANXHEQ-LJQANCHMSA-N 1 2 294.445 3.586 20 0 CHADLO FC[C@@H]1CCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000574102004 1127371506 /nfs/dbraw/zinc/37/15/06/1127371506.db2.gz ABMRIPTWUALFBJ-JTQLQIEISA-N 1 2 264.731 3.827 20 0 CHADLO CCC(CC)N(CC)C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000289207521 1127377236 /nfs/dbraw/zinc/37/72/36/1127377236.db2.gz VKPSHOMTQGMYDC-UHFFFAOYSA-N 1 2 299.418 3.582 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@@H]1CC12CCCCC2 ZINC000357317941 1127388720 /nfs/dbraw/zinc/38/87/20/1127388720.db2.gz CVXZFAHZHRKLHX-INIZCTEOSA-N 1 2 295.386 3.781 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CCCCc2ccc(Cl)cc21 ZINC000358145677 1127404351 /nfs/dbraw/zinc/40/43/51/1127404351.db2.gz BVPUTOHFHBHEIQ-MRXNPFEDSA-N 1 2 289.810 3.929 20 0 CHADLO Cc1ccc(C)c(CNc2ccc([NH+](C)C)cc2)c1 ZINC000058946924 1127404807 /nfs/dbraw/zinc/40/48/07/1127404807.db2.gz FAAJNQJZFRENTN-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1csc(C)n1)c1ccc(F)cc1 ZINC000358180485 1127406870 /nfs/dbraw/zinc/40/68/70/1127406870.db2.gz AEJBYSIXXQVEJC-QMTHXVAHSA-N 1 2 294.395 3.629 20 0 CHADLO CCCCc1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)C2)cs1 ZINC000761043196 1127407583 /nfs/dbraw/zinc/40/75/83/1127407583.db2.gz BJTBSQXEDNPHPF-SNVBAGLBSA-N 1 2 292.370 3.870 20 0 CHADLO CCCCc1nc(C[N@H+]2CC[C@@H](C(F)(F)F)C2)cs1 ZINC000761043196 1127407584 /nfs/dbraw/zinc/40/75/84/1127407584.db2.gz BJTBSQXEDNPHPF-SNVBAGLBSA-N 1 2 292.370 3.870 20 0 CHADLO Cc1cc(NCc2coc3ccccc23)nc(C(C)C)[nH+]1 ZINC000358218513 1127409131 /nfs/dbraw/zinc/40/91/31/1127409131.db2.gz YTQCYXHZVRWRDJ-UHFFFAOYSA-N 1 2 281.359 3.689 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2cccnc21)c1c(F)cccc1F ZINC000226814040 1127426125 /nfs/dbraw/zinc/42/61/25/1127426125.db2.gz GPBGUQNLUYUUGF-YGRLFVJLSA-N 1 2 274.314 3.698 20 0 CHADLO C[N@H+](Cc1nc(-c2ccccc2)c[nH]1)Cc1cccc(F)c1 ZINC000358756856 1127433398 /nfs/dbraw/zinc/43/33/98/1127433398.db2.gz WBFBZKBWDWGYQP-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO C[N@@H+](Cc1nc(-c2ccccc2)c[nH]1)Cc1cccc(F)c1 ZINC000358756856 1127433399 /nfs/dbraw/zinc/43/33/99/1127433399.db2.gz WBFBZKBWDWGYQP-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1cccc(C(F)F)c1 ZINC000358785986 1127434404 /nfs/dbraw/zinc/43/44/04/1127434404.db2.gz XCGUWGHSCKJGSL-UHFFFAOYSA-N 1 2 287.269 3.524 20 0 CHADLO CSCCc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1 ZINC000594933460 1127438302 /nfs/dbraw/zinc/43/83/02/1127438302.db2.gz GFAMHVXNQZNJCO-UHFFFAOYSA-N 1 2 275.421 3.544 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H](C)c3cccc(F)c3)cc2[nH+]1 ZINC000359040299 1127446569 /nfs/dbraw/zinc/44/65/69/1127446569.db2.gz IYZRZKAOQWIEKK-JTQLQIEISA-N 1 2 297.333 3.753 20 0 CHADLO C[C@@H](CSCCF)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000595028899 1127449196 /nfs/dbraw/zinc/44/91/96/1127449196.db2.gz PVAOMIRASFALCV-NSHDSACASA-N 1 2 297.374 3.515 20 0 CHADLO Clc1ccc(Br)cc1C[N@@H+]1CC[C@H]2C[C@H]21 ZINC000761093717 1127452498 /nfs/dbraw/zinc/45/24/98/1127452498.db2.gz ZRWFYACKHGMKNQ-QPUJVOFHSA-N 1 2 286.600 3.697 20 0 CHADLO Clc1ccc(Br)cc1C[N@H+]1CC[C@H]2C[C@H]21 ZINC000761093717 1127452500 /nfs/dbraw/zinc/45/25/00/1127452500.db2.gz ZRWFYACKHGMKNQ-QPUJVOFHSA-N 1 2 286.600 3.697 20 0 CHADLO Clc1ccc(Br)cc1C[N@@H+]1CC[C@@H]2C[C@@H]21 ZINC000761093715 1127452594 /nfs/dbraw/zinc/45/25/94/1127452594.db2.gz ZRWFYACKHGMKNQ-PELKAZGASA-N 1 2 286.600 3.697 20 0 CHADLO Clc1ccc(Br)cc1C[N@H+]1CC[C@@H]2C[C@@H]21 ZINC000761093715 1127452596 /nfs/dbraw/zinc/45/25/96/1127452596.db2.gz ZRWFYACKHGMKNQ-PELKAZGASA-N 1 2 286.600 3.697 20 0 CHADLO CCCC[C@@H](C)N(C)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000359656056 1127471673 /nfs/dbraw/zinc/47/16/73/1127471673.db2.gz WIROFJZYQZPCCU-CQSZACIVSA-N 1 2 285.391 3.523 20 0 CHADLO CCC(CC)CCC[N@H+](C)Cc1nc(C(=O)OC)cs1 ZINC000595836965 1127477424 /nfs/dbraw/zinc/47/74/24/1127477424.db2.gz ZHJHCXYAMNNZMC-UHFFFAOYSA-N 1 2 298.452 3.578 20 0 CHADLO CCC(CC)CCC[N@@H+](C)Cc1nc(C(=O)OC)cs1 ZINC000595836965 1127477425 /nfs/dbraw/zinc/47/74/25/1127477425.db2.gz ZHJHCXYAMNNZMC-UHFFFAOYSA-N 1 2 298.452 3.578 20 0 CHADLO C[C@H]1COc2ccccc2C[N@@H+]1Cc1nc2ccccc2o1 ZINC000359849471 1127480252 /nfs/dbraw/zinc/48/02/52/1127480252.db2.gz QFYZVINGZLZIQA-ZDUSSCGKSA-N 1 2 294.354 3.611 20 0 CHADLO C[C@H]1COc2ccccc2C[N@H+]1Cc1nc2ccccc2o1 ZINC000359849471 1127480254 /nfs/dbraw/zinc/48/02/54/1127480254.db2.gz QFYZVINGZLZIQA-ZDUSSCGKSA-N 1 2 294.354 3.611 20 0 CHADLO COCCC[C@H](Cc1ccccc1)Nc1cccc[nH+]1 ZINC000359872968 1127481812 /nfs/dbraw/zinc/48/18/12/1127481812.db2.gz HSNIPPWMEWHUTN-MRXNPFEDSA-N 1 2 270.376 3.531 20 0 CHADLO COC1(CNc2ccc(-n3cc[nH+]c3)cc2)CCCCC1 ZINC000359962777 1127484873 /nfs/dbraw/zinc/48/48/73/1127484873.db2.gz FZDWRSIKKWDQOO-UHFFFAOYSA-N 1 2 285.391 3.634 20 0 CHADLO CCCOc1ncccc1C[NH2+]Cc1ccsc1Cl ZINC000359963382 1127485223 /nfs/dbraw/zinc/48/52/23/1127485223.db2.gz KEHMUKFROHWDEX-UHFFFAOYSA-N 1 2 296.823 3.875 20 0 CHADLO Cc1nc(N[C@H]2CC[C@H](c3cccc(F)c3)C2)cc[nH+]1 ZINC000360061856 1127488314 /nfs/dbraw/zinc/48/83/14/1127488314.db2.gz DNPHXTBPLJVPQU-ZFWWWQNUSA-N 1 2 271.339 3.672 20 0 CHADLO CCC[N@H+](Cc1cscn1)[C@@H]1CCCc2cccnc21 ZINC000360481152 1127500151 /nfs/dbraw/zinc/50/01/51/1127500151.db2.gz DNEFTBQJCIQQQD-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCC[N@@H+](Cc1cscn1)[C@@H]1CCCc2cccnc21 ZINC000360481152 1127500153 /nfs/dbraw/zinc/50/01/53/1127500153.db2.gz DNEFTBQJCIQQQD-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCC[N@H+](Cc1cscn1)[C@H]1CCCc2cccnc21 ZINC000360481151 1127500348 /nfs/dbraw/zinc/50/03/48/1127500348.db2.gz DNEFTBQJCIQQQD-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCC[N@@H+](Cc1cscn1)[C@H]1CCCc2cccnc21 ZINC000360481151 1127500349 /nfs/dbraw/zinc/50/03/49/1127500349.db2.gz DNEFTBQJCIQQQD-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO Cc1nc(N[C@@H](C)c2cc(Cl)ccc2Cl)cc[nH+]1 ZINC000360516633 1127500972 /nfs/dbraw/zinc/50/09/72/1127500972.db2.gz GWXBNTNGKWAYCM-QMMMGPOBSA-N 1 2 282.174 3.687 20 0 CHADLO Cc1nc(N(C)Cc2cccc3ccccc32)cc[nH+]1 ZINC000360523301 1127501670 /nfs/dbraw/zinc/50/16/70/1127501670.db2.gz YYAZMUPJJVPLGY-UHFFFAOYSA-N 1 2 263.344 3.575 20 0 CHADLO Cc1ccc(-n2ccnc2SCc2c[nH+]cn2C)cc1C ZINC000360725766 1127508689 /nfs/dbraw/zinc/50/86/89/1127508689.db2.gz NDWHTVQVCUHNFD-UHFFFAOYSA-N 1 2 298.415 3.515 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc(C(C)C)nc1C ZINC000293555985 1127505802 /nfs/dbraw/zinc/50/58/02/1127505802.db2.gz ZNBOWSNOZJTDHY-UHFFFAOYSA-N 1 2 287.407 3.696 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc(C(C)C)nc1C ZINC000293555985 1127505804 /nfs/dbraw/zinc/50/58/04/1127505804.db2.gz ZNBOWSNOZJTDHY-UHFFFAOYSA-N 1 2 287.407 3.696 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(OC)ccc1F)c1nc(C)cs1 ZINC000293719395 1127515191 /nfs/dbraw/zinc/51/51/91/1127515191.db2.gz YJXQRKFQERWDLF-AWEZNQCLSA-N 1 2 294.395 3.840 20 0 CHADLO CCOc1ccccc1[C@@H](CC)Nc1cc[nH+]c(C)n1 ZINC000360987528 1127527023 /nfs/dbraw/zinc/52/70/23/1127527023.db2.gz QMPZYLOORYVNNN-CQSZACIVSA-N 1 2 271.364 3.747 20 0 CHADLO Cc1ccccc1[C@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC000361034449 1127532240 /nfs/dbraw/zinc/53/22/40/1127532240.db2.gz WXWAIDXPIZGECF-MRXNPFEDSA-N 1 2 255.365 3.903 20 0 CHADLO CC[C@@H](Cc1ccc(Cl)cc1)Nc1cc[nH+]c(C)n1 ZINC000361035390 1127532465 /nfs/dbraw/zinc/53/24/65/1127532465.db2.gz ZWBFUGGNYZAHEL-AWEZNQCLSA-N 1 2 275.783 3.872 20 0 CHADLO Cc1nc(N[C@H](C)c2cccc(Cl)c2Cl)cc[nH+]1 ZINC000361041354 1127533111 /nfs/dbraw/zinc/53/31/11/1127533111.db2.gz VCCKJEYAALXRDN-MRVPVSSYSA-N 1 2 282.174 3.687 20 0 CHADLO Cc1nc(N[C@@H]2CCC[C@@H]2Cc2ccccc2)cc[nH+]1 ZINC000361060673 1127535074 /nfs/dbraw/zinc/53/50/74/1127535074.db2.gz NGDCDORACNKINQ-HZPDHXFCSA-N 1 2 267.376 3.608 20 0 CHADLO CC[C@@H]1[C@H](C)CC[N@@H+]1Cc1ncc(Br)s1 ZINC000361139326 1127541920 /nfs/dbraw/zinc/54/19/20/1127541920.db2.gz GBUKQSVFQHNARY-RKDXNWHRSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1[C@H](C)CC[N@H+]1Cc1ncc(Br)s1 ZINC000361139326 1127541921 /nfs/dbraw/zinc/54/19/21/1127541921.db2.gz GBUKQSVFQHNARY-RKDXNWHRSA-N 1 2 289.242 3.526 20 0 CHADLO CCS[C@@H]1CC[C@H](N(C)c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000361235801 1127549494 /nfs/dbraw/zinc/54/94/94/1127549494.db2.gz FREUPDWKQGZUAJ-UONOGXRCSA-N 1 2 291.464 3.773 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H](C)COC(C)C)cc2)c1C ZINC000361248311 1127550093 /nfs/dbraw/zinc/55/00/93/1127550093.db2.gz BEZAIFOBPAWMBU-CYBMUJFWSA-N 1 2 287.407 3.715 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NCc3cnccc3C)cc2)c1C ZINC000361252397 1127550945 /nfs/dbraw/zinc/55/09/45/1127550945.db2.gz QRDBVAWKLUBPDN-UHFFFAOYSA-N 1 2 292.386 3.805 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccsc2Cl)ccc1O ZINC000361501169 1127568418 /nfs/dbraw/zinc/56/84/18/1127568418.db2.gz NHOKXHPADFAQPI-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccsc2Cl)ccc1O ZINC000361501169 1127568423 /nfs/dbraw/zinc/56/84/23/1127568423.db2.gz NHOKXHPADFAQPI-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ccsc1Cl ZINC000361555163 1127571768 /nfs/dbraw/zinc/57/17/68/1127571768.db2.gz YBQVKVIYTAMWIG-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ccsc1Cl ZINC000361555163 1127571772 /nfs/dbraw/zinc/57/17/72/1127571772.db2.gz YBQVKVIYTAMWIG-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(C(C)(C)C)s2)n1 ZINC000361610321 1127577363 /nfs/dbraw/zinc/57/73/63/1127577363.db2.gz MISHXDHKSGMQSG-SECBINFHSA-N 1 2 279.409 3.588 20 0 CHADLO COc1cccc(/C=[NH+]/CCC2=CCCCC2)c1O ZINC000060804655 1127588189 /nfs/dbraw/zinc/58/81/89/1127588189.db2.gz SVKCECCUCVAIBA-SFQUDFHCSA-N 1 2 259.349 3.710 20 0 CHADLO Fc1c[nH+]ccc1NCc1cc(Cl)ccc1Cl ZINC000361797933 1127589342 /nfs/dbraw/zinc/58/93/42/1127589342.db2.gz LGDOWNKSFZXBAD-UHFFFAOYSA-N 1 2 271.122 3.562 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3cc(Cl)ccc3C2)n1 ZINC000361851276 1127593987 /nfs/dbraw/zinc/59/39/87/1127593987.db2.gz NGTBFHFFBVZMMZ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3cc(Cl)ccc3C2)n1 ZINC000361851276 1127593988 /nfs/dbraw/zinc/59/39/88/1127593988.db2.gz NGTBFHFFBVZMMZ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)[C@H]2CC2(F)F)c1 ZINC000602575186 1127596197 /nfs/dbraw/zinc/59/61/97/1127596197.db2.gz CTYQNTBQUHOMCN-MWLCHTKSSA-N 1 2 272.364 3.790 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1c(C)noc1C ZINC000228746108 1127600748 /nfs/dbraw/zinc/60/07/48/1127600748.db2.gz DHYNFTRTZPHBAS-VIFPVBQESA-N 1 2 294.782 3.804 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2cnns2)o1 ZINC000294827219 1127602512 /nfs/dbraw/zinc/60/25/12/1127602512.db2.gz AIXAMTMCQZRYEH-SMDDNHRTSA-N 1 2 291.420 3.667 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2cnns2)o1 ZINC000294827219 1127602514 /nfs/dbraw/zinc/60/25/14/1127602514.db2.gz AIXAMTMCQZRYEH-SMDDNHRTSA-N 1 2 291.420 3.667 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2cnns2)o1 ZINC000294827211 1127602677 /nfs/dbraw/zinc/60/26/77/1127602677.db2.gz AIXAMTMCQZRYEH-BXUZGUMPSA-N 1 2 291.420 3.667 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2cnns2)o1 ZINC000294827211 1127602682 /nfs/dbraw/zinc/60/26/82/1127602682.db2.gz AIXAMTMCQZRYEH-BXUZGUMPSA-N 1 2 291.420 3.667 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CCOC2(CCC2)C1 ZINC000294967782 1127610556 /nfs/dbraw/zinc/61/05/56/1127610556.db2.gz WPLRZEPLQLTQEB-CQSZACIVSA-N 1 2 274.408 3.575 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@@H]1CCOC2(CCC2)C1 ZINC000294967782 1127610560 /nfs/dbraw/zinc/61/05/60/1127610560.db2.gz WPLRZEPLQLTQEB-CQSZACIVSA-N 1 2 274.408 3.575 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CC[C@@]3(CC3(F)F)C2)c(Cl)c1 ZINC001232101370 1127615317 /nfs/dbraw/zinc/61/53/17/1127615317.db2.gz FZBYSGNLUMAOBH-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CC[C@@]3(CC3(F)F)C2)c(Cl)c1 ZINC001232101370 1127615319 /nfs/dbraw/zinc/61/53/19/1127615319.db2.gz FZBYSGNLUMAOBH-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cccc(C[NH2+]Cc2c(Cl)oc3ccccc32)n1 ZINC000761312033 1127648988 /nfs/dbraw/zinc/64/89/88/1127648988.db2.gz ZDQJIVBZBCHLMT-UHFFFAOYSA-N 1 2 290.725 3.910 20 0 CHADLO Cc1ccccc1CC[N@@H+](C)Cc1nnc(C(C)C)s1 ZINC000295813362 1127653462 /nfs/dbraw/zinc/65/34/62/1127653462.db2.gz XCIYZDKJWYOGAY-UHFFFAOYSA-N 1 2 289.448 3.644 20 0 CHADLO Cc1ccccc1CC[N@H+](C)Cc1nnc(C(C)C)s1 ZINC000295813362 1127653464 /nfs/dbraw/zinc/65/34/64/1127653464.db2.gz XCIYZDKJWYOGAY-UHFFFAOYSA-N 1 2 289.448 3.644 20 0 CHADLO CS[C@@H]1CCCCN(c2[nH+]ccc3ccccc32)C1 ZINC000599061765 1127654762 /nfs/dbraw/zinc/65/47/62/1127654762.db2.gz RMXATSYUKZXZCP-CQSZACIVSA-N 1 2 272.417 3.957 20 0 CHADLO C[C@@H]([NH2+][C@@H]1C[C@@H](C)Oc2ccccc21)c1nccs1 ZINC000296277073 1127667350 /nfs/dbraw/zinc/66/73/50/1127667350.db2.gz DWRRRVQOURXIJI-NQBHXWOUSA-N 1 2 274.389 3.706 20 0 CHADLO Cc1ccc(CCC(=O)Nc2ccc3[nH+]ccn3c2)c(C)c1 ZINC000603785804 1127672567 /nfs/dbraw/zinc/67/25/67/1127672567.db2.gz JTOANQMXXKGPQL-UHFFFAOYSA-N 1 2 293.370 3.522 20 0 CHADLO Cc1cnc(F)cc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001212850719 1127674429 /nfs/dbraw/zinc/67/44/29/1127674429.db2.gz GRLALJJPKGQDPO-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO CC[C@@H](Cc1ccccc1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000603881828 1127679387 /nfs/dbraw/zinc/67/93/87/1127679387.db2.gz QQSQJUXPLMPGMG-HNNXBMFYSA-N 1 2 293.370 3.542 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000297412697 1127695540 /nfs/dbraw/zinc/69/55/40/1127695540.db2.gz UCTWYNLKOXZXFI-MFKMUULPSA-N 1 2 291.395 3.540 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@H](C)n2cc[nH+]c2)c1 ZINC001118884725 1131240519 /nfs/dbraw/zinc/24/05/19/1131240519.db2.gz OQVMNMFWMJWBQU-STQMWFEESA-N 1 2 271.364 3.596 20 0 CHADLO CC[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000297785199 1127707923 /nfs/dbraw/zinc/70/79/23/1127707923.db2.gz PTSNSLDNUXJFPZ-NZVBXONLSA-N 1 2 291.345 3.908 20 0 CHADLO CC[C@H](C)Oc1cc(C)ccc1C[NH2+][C@H]1CCn2ccnc21 ZINC000297893220 1127710879 /nfs/dbraw/zinc/71/08/79/1127710879.db2.gz VTADMZJZDNKPQN-HOCLYGCPSA-N 1 2 299.418 3.603 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C\c2cccs2)c(C)[nH+]1 ZINC000229855664 1127711469 /nfs/dbraw/zinc/71/14/69/1127711469.db2.gz UKJYSBFWGVOLSO-SREVYHEPSA-N 1 2 272.373 3.720 20 0 CHADLO C[NH+](C)Cc1nnc(/C=C/c2cc(Cl)cc(Cl)c2)o1 ZINC001326293034 1127714969 /nfs/dbraw/zinc/71/49/69/1127714969.db2.gz SNBYBHXOFYVUNZ-ONEGZZNKSA-N 1 2 298.173 3.608 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](c2ccccc2)C(C)C)n1 ZINC000298079631 1127714028 /nfs/dbraw/zinc/71/40/28/1127714028.db2.gz VQYLBLPHIKYAMB-MRXNPFEDSA-N 1 2 273.380 3.509 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@H](c2ccccc2)C(C)C)n1 ZINC000298143775 1127715350 /nfs/dbraw/zinc/71/53/50/1127715350.db2.gz UXAIDMQRFMPOTR-KRWDZBQOSA-N 1 2 287.407 3.755 20 0 CHADLO CCC[N@H+](Cc1ccc(F)cc1)[C@H](C)c1nnc(C)s1 ZINC000298154647 1127715584 /nfs/dbraw/zinc/71/55/84/1127715584.db2.gz PDGQNBYZVWMYQS-LLVKDONJSA-N 1 2 293.411 3.959 20 0 CHADLO CCC[N@@H+](Cc1ccc(F)cc1)[C@H](C)c1nnc(C)s1 ZINC000298154647 1127715586 /nfs/dbraw/zinc/71/55/86/1127715586.db2.gz PDGQNBYZVWMYQS-LLVKDONJSA-N 1 2 293.411 3.959 20 0 CHADLO CC(C)(C)c1noc(C[N@@H+]2CCC[C@@H]2c2ccccc2)n1 ZINC000298303242 1127718395 /nfs/dbraw/zinc/71/83/95/1127718395.db2.gz KPMBLFPCOMNXPE-CQSZACIVSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1noc(C[N@H+]2CCC[C@@H]2c2ccccc2)n1 ZINC000298303242 1127718400 /nfs/dbraw/zinc/71/84/00/1127718400.db2.gz KPMBLFPCOMNXPE-CQSZACIVSA-N 1 2 285.391 3.704 20 0 CHADLO CCCCC[C@H](C)NC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000298512909 1127724880 /nfs/dbraw/zinc/72/48/80/1127724880.db2.gz NXDPERXEYCHDIW-HNNXBMFYSA-N 1 2 299.418 3.630 20 0 CHADLO Cc1ccccc1COc1ccccc1-n1cc[nH+]c1 ZINC000605434237 1127736281 /nfs/dbraw/zinc/73/62/81/1127736281.db2.gz QGJQSVSFPGGKNZ-UHFFFAOYSA-N 1 2 264.328 3.760 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+][C@H](c1ccccc1)C1CCC1)CCC2 ZINC000367620659 1127754370 /nfs/dbraw/zinc/75/43/70/1127754370.db2.gz QPDJNROXHIVFGU-SJLPKXTDSA-N 1 2 281.403 3.918 20 0 CHADLO Fc1cccc2c3c([nH]c21)CN(c1cccc[nH+]1)CCC3 ZINC000369160000 1127760279 /nfs/dbraw/zinc/76/02/79/1127760279.db2.gz BDBNIWNNBMZLFV-UHFFFAOYSA-N 1 2 281.334 3.655 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)O[C@H](CC)C1 ZINC000606433580 1127762872 /nfs/dbraw/zinc/76/28/72/1127762872.db2.gz KAHHPTNPDOFOHQ-KFNAQCHYSA-N 1 2 283.362 3.915 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)O[C@H](CC)C1 ZINC000606433580 1127762873 /nfs/dbraw/zinc/76/28/73/1127762873.db2.gz KAHHPTNPDOFOHQ-KFNAQCHYSA-N 1 2 283.362 3.915 20 0 CHADLO Cc1cn2cc(NC(=O)N[C@H](C)CCC(C)C)ccc2[nH+]1 ZINC000606569135 1127767603 /nfs/dbraw/zinc/76/76/03/1127767603.db2.gz ZBQMLOSSCJRAED-GFCCVEGCSA-N 1 2 288.395 3.589 20 0 CHADLO CSc1ccccc1[C@@H](C)Nc1[nH+]c2ccccc2n1C ZINC000409651254 1127778293 /nfs/dbraw/zinc/77/82/93/1127778293.db2.gz HYDIFEOVUAILSD-GFCCVEGCSA-N 1 2 297.427 3.890 20 0 CHADLO Cc1cc(NC[C@@H]2CCCC(F)(F)C2)nc(C(C)C)[nH+]1 ZINC000409663744 1127779305 /nfs/dbraw/zinc/77/93/05/1127779305.db2.gz VNIHGCIGARFRIB-GFCCVEGCSA-N 1 2 283.366 3.568 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H](O)c1ccccc1 ZINC000063105703 1127796574 /nfs/dbraw/zinc/79/65/74/1127796574.db2.gz LGNXPQQVOITNIP-QGZVFWFLSA-N 1 2 278.355 3.689 20 0 CHADLO CC1=C[C@@H](C)C[C@H](C[NH2+]CC(F)(F)Br)C1 ZINC000380171101 1127817486 /nfs/dbraw/zinc/81/74/86/1127817486.db2.gz DMXIRWDWTSBOEP-SCZZXKLOSA-N 1 2 282.172 3.556 20 0 CHADLO C[C@H]1CCOCC[N@@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000373075430 1127822008 /nfs/dbraw/zinc/82/20/08/1127822008.db2.gz WAEBMUSHZBBKIB-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@H]1CCOCC[N@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000373075430 1127822012 /nfs/dbraw/zinc/82/20/12/1127822012.db2.gz WAEBMUSHZBBKIB-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)cc2)[C@H](c2ccccc2)CO1 ZINC000608511037 1127873879 /nfs/dbraw/zinc/87/38/79/1127873879.db2.gz RVWDLZJDKKMXPS-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)cc2)[C@H](c2ccccc2)CO1 ZINC000608511037 1127873884 /nfs/dbraw/zinc/87/38/84/1127873884.db2.gz RVWDLZJDKKMXPS-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO FC[C@@H]1C[N@H+](Cc2ccc(Cl)c(Cl)c2)CCCO1 ZINC000411850527 1127876191 /nfs/dbraw/zinc/87/61/91/1127876191.db2.gz JYTYCZWIFHVMAM-LLVKDONJSA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@@H]1C[N@@H+](Cc2ccc(Cl)c(Cl)c2)CCCO1 ZINC000411850527 1127876196 /nfs/dbraw/zinc/87/61/96/1127876196.db2.gz JYTYCZWIFHVMAM-LLVKDONJSA-N 1 2 292.181 3.554 20 0 CHADLO CC[N@@H+](Cc1nc(C)sc1C)Cc1occc1C ZINC000412028841 1127888961 /nfs/dbraw/zinc/88/89/61/1127888961.db2.gz SUISZRALXODEFU-UHFFFAOYSA-N 1 2 264.394 3.683 20 0 CHADLO CC[N@H+](Cc1nc(C)sc1C)Cc1occc1C ZINC000412028841 1127888966 /nfs/dbraw/zinc/88/89/66/1127888966.db2.gz SUISZRALXODEFU-UHFFFAOYSA-N 1 2 264.394 3.683 20 0 CHADLO C[C@H]1CC(C)(C)C[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000412032399 1127889823 /nfs/dbraw/zinc/88/98/23/1127889823.db2.gz BQMIFDRGAGEIHP-QMMMGPOBSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@H]1CC(C)(C)C[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000412032399 1127889827 /nfs/dbraw/zinc/88/98/27/1127889827.db2.gz BQMIFDRGAGEIHP-QMMMGPOBSA-N 1 2 278.343 3.782 20 0 CHADLO CC[N@H+](CC(=O)c1c[nH]c2ccccc21)Cc1occc1C ZINC000412027640 1127891741 /nfs/dbraw/zinc/89/17/41/1127891741.db2.gz QBFXWYNLDKIXNC-UHFFFAOYSA-N 1 2 296.370 3.774 20 0 CHADLO CC[N@@H+](CC(=O)c1c[nH]c2ccccc21)Cc1occc1C ZINC000412027640 1127891747 /nfs/dbraw/zinc/89/17/47/1127891747.db2.gz QBFXWYNLDKIXNC-UHFFFAOYSA-N 1 2 296.370 3.774 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2ccccc2c(=O)[nH]1)c1ccsc1 ZINC000669828144 1127927281 /nfs/dbraw/zinc/92/72/81/1127927281.db2.gz CYGVUCXKGGYSJC-MNOVXSKESA-N 1 2 299.399 3.809 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2ccc(Cl)c(F)c2F)[C@H](C)C1 ZINC001233212841 1127938188 /nfs/dbraw/zinc/93/81/88/1127938188.db2.gz GKIPRDOZCAUAFF-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2ccc(Cl)c(F)c2F)[C@H](C)C1 ZINC001233212841 1127938192 /nfs/dbraw/zinc/93/81/92/1127938192.db2.gz GKIPRDOZCAUAFF-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO Fc1ccc(/C=C\C[N@@H+]2CCOC[C@@H]2c2ccccc2)cc1 ZINC000539850946 1127947231 /nfs/dbraw/zinc/94/72/31/1127947231.db2.gz JJJSOTZXDPVZMN-PHWHVTFISA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc(/C=C\C[N@H+]2CCOC[C@@H]2c2ccccc2)cc1 ZINC000539850946 1127947233 /nfs/dbraw/zinc/94/72/33/1127947233.db2.gz JJJSOTZXDPVZMN-PHWHVTFISA-N 1 2 297.373 3.912 20 0 CHADLO C[N@H+](Cc1ccc[nH]1)Cc1ccc(Cl)cc1Cl ZINC000539861220 1127948081 /nfs/dbraw/zinc/94/80/81/1127948081.db2.gz DOYWTUSDUHTKIS-UHFFFAOYSA-N 1 2 269.175 3.954 20 0 CHADLO C[N@@H+](Cc1ccc[nH]1)Cc1ccc(Cl)cc1Cl ZINC000539861220 1127948084 /nfs/dbraw/zinc/94/80/84/1127948084.db2.gz DOYWTUSDUHTKIS-UHFFFAOYSA-N 1 2 269.175 3.954 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2ccc(F)cc21)c1ccc(F)cc1F ZINC000467004427 1127953657 /nfs/dbraw/zinc/95/36/57/1127953657.db2.gz CNGKGYJKZXSVAF-VFZGTOFNSA-N 1 2 293.288 3.888 20 0 CHADLO CC[C@@H]1CCCC[N@@H+]1Cc1c(Cl)ncnc1Cl ZINC001233410153 1127958424 /nfs/dbraw/zinc/95/84/24/1127958424.db2.gz AYFWMAFRYQYZNY-SECBINFHSA-N 1 2 274.195 3.548 20 0 CHADLO CC[C@@H]1CCCC[N@H+]1Cc1c(Cl)ncnc1Cl ZINC001233410153 1127958427 /nfs/dbraw/zinc/95/84/27/1127958427.db2.gz AYFWMAFRYQYZNY-SECBINFHSA-N 1 2 274.195 3.548 20 0 CHADLO Cc1ccc(N[C@@H]2CCC[C@H]([C@@H]3CCOC3)C2)c(C)[nH+]1 ZINC000467340354 1127964616 /nfs/dbraw/zinc/96/46/16/1127964616.db2.gz GIGQWVRARYJJNS-ARFHVFGLSA-N 1 2 274.408 3.706 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccccc3)CC2)nc2ccccc12 ZINC000540360839 1127977305 /nfs/dbraw/zinc/97/73/05/1127977305.db2.gz FIJKKUOBFXCKKI-UHFFFAOYSA-N 1 2 289.382 3.717 20 0 CHADLO C[C@H]([NH2+]Cc1ccno1)c1nc(-c2ccccc2)cs1 ZINC000541661272 1128032446 /nfs/dbraw/zinc/03/24/46/1128032446.db2.gz UPOGBCUOIVPVFR-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC[C@@H](C2CCC2)CC1 ZINC000828339678 1128048731 /nfs/dbraw/zinc/04/87/31/1128048731.db2.gz KZJARQSTSZWABZ-CQSZACIVSA-N 1 2 297.402 3.605 20 0 CHADLO C[C@@H](Cc1ccsc1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000542152001 1128053087 /nfs/dbraw/zinc/05/30/87/1128053087.db2.gz AQSURBIZXNJRTL-ZDUSSCGKSA-N 1 2 283.400 3.977 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2F)s1)[N@H+]1CC=CCC1 ZINC000669932864 1128067964 /nfs/dbraw/zinc/06/79/64/1128067964.db2.gz QKYAWBYEZPZIEF-LLVKDONJSA-N 1 2 289.379 3.667 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2F)s1)[N@@H+]1CC=CCC1 ZINC000669932864 1128067969 /nfs/dbraw/zinc/06/79/69/1128067969.db2.gz QKYAWBYEZPZIEF-LLVKDONJSA-N 1 2 289.379 3.667 20 0 CHADLO CCCCCCC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000029908867 1128068878 /nfs/dbraw/zinc/06/88/78/1128068878.db2.gz GQKCKLGBGVSQNU-UHFFFAOYSA-N 1 2 271.364 3.781 20 0 CHADLO Cc1ccc(CC[C@@H](C)[NH2+][C@H](C)C(=O)OC(C)(C)C)cc1 ZINC000470340694 1128069581 /nfs/dbraw/zinc/06/95/81/1128069581.db2.gz PVBDWPHNRCBCAT-HUUCEWRRSA-N 1 2 291.435 3.636 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470908475 1128079860 /nfs/dbraw/zinc/07/98/60/1128079860.db2.gz IPYNHKRUYOMHMD-GDLVEWKHSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470908475 1128079865 /nfs/dbraw/zinc/07/98/65/1128079865.db2.gz IPYNHKRUYOMHMD-GDLVEWKHSA-N 1 2 281.346 3.525 20 0 CHADLO CCCC[C@H](C(=O)OC)[N@H+](C)Cc1ccccc1SC ZINC000471019982 1128085685 /nfs/dbraw/zinc/08/56/85/1128085685.db2.gz UPSHGIWFFUZUQJ-CQSZACIVSA-N 1 2 295.448 3.572 20 0 CHADLO CCCC[C@H](C(=O)OC)[N@@H+](C)Cc1ccccc1SC ZINC000471019982 1128085689 /nfs/dbraw/zinc/08/56/89/1128085689.db2.gz UPSHGIWFFUZUQJ-CQSZACIVSA-N 1 2 295.448 3.572 20 0 CHADLO CC(C)[C@H](C)CC(=O)N[C@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000173931550 1128095745 /nfs/dbraw/zinc/09/57/45/1128095745.db2.gz VBNIHESLIDTTRL-HUUCEWRRSA-N 1 2 299.418 3.732 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+]2CCc3c(cccc3C(C)C)C2)n1 ZINC000682778269 1128097473 /nfs/dbraw/zinc/09/74/73/1128097473.db2.gz ADRGYAFXMPUQQD-GFCCVEGCSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1noc([C@@H](C)[N@H+]2CCc3c(cccc3C(C)C)C2)n1 ZINC000682778269 1128097476 /nfs/dbraw/zinc/09/74/76/1128097476.db2.gz ADRGYAFXMPUQQD-GFCCVEGCSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1nn(C[NH+]2CCC(C)(C(C)C)CC2)c(=S)s1 ZINC000777561278 1128128562 /nfs/dbraw/zinc/12/85/62/1128128562.db2.gz KLVLUCUIWMXTQS-UHFFFAOYSA-N 1 2 285.482 3.698 20 0 CHADLO COC(=O)c1sccc1C[N@H+](C)Cc1sccc1C ZINC000088484776 1128141633 /nfs/dbraw/zinc/14/16/33/1128141633.db2.gz XFLKRYQQAUQLAD-UHFFFAOYSA-N 1 2 295.429 3.537 20 0 CHADLO COC(=O)c1sccc1C[N@@H+](C)Cc1sccc1C ZINC000088484776 1128141636 /nfs/dbraw/zinc/14/16/36/1128141636.db2.gz XFLKRYQQAUQLAD-UHFFFAOYSA-N 1 2 295.429 3.537 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nnc(-c3ccccc3)[nH]2)cc1 ZINC000777574579 1128143051 /nfs/dbraw/zinc/14/30/51/1128143051.db2.gz KCUVZJLCJBVHLN-AWEZNQCLSA-N 1 2 292.386 3.631 20 0 CHADLO Cc1cc([NH2+][C@@H]2CCOC[C@@H]2C(C)C)ccc1N(C)C ZINC000926052371 1128150829 /nfs/dbraw/zinc/15/08/29/1128150829.db2.gz DZYLUCRDUHUTCY-HZPDHXFCSA-N 1 2 276.424 3.534 20 0 CHADLO Cc1cc(N[C@@H]2CCOC[C@@H]2C(C)C)ccc1[NH+](C)C ZINC000926052371 1128150831 /nfs/dbraw/zinc/15/08/31/1128150831.db2.gz DZYLUCRDUHUTCY-HZPDHXFCSA-N 1 2 276.424 3.534 20 0 CHADLO Cc1cc([NH2+][C@@H]2CC[C@@H]3COCC[C@H]3C2)ccc1N(C)C ZINC000926053361 1128151142 /nfs/dbraw/zinc/15/11/42/1128151142.db2.gz ZYMSVHSRFKFHHR-ZMSDIMECSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@@H]2CC[C@@H]3COCC[C@H]3C2)ccc1[NH+](C)C ZINC000926053361 1128151145 /nfs/dbraw/zinc/15/11/45/1128151145.db2.gz ZYMSVHSRFKFHHR-ZMSDIMECSA-N 1 2 288.435 3.678 20 0 CHADLO COc1ccnc([C@@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)c1 ZINC000926067529 1128152592 /nfs/dbraw/zinc/15/25/92/1128152592.db2.gz XOEAZJUHAJTYIQ-CYBMUJFWSA-N 1 2 297.402 3.646 20 0 CHADLO COc1ccnc([C@@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)c1 ZINC000926067529 1128152593 /nfs/dbraw/zinc/15/25/93/1128152593.db2.gz XOEAZJUHAJTYIQ-CYBMUJFWSA-N 1 2 297.402 3.646 20 0 CHADLO CC(C)n1cc(CNc2ccc([NH+]3CCCC3)cc2)cn1 ZINC000226635382 1128197005 /nfs/dbraw/zinc/19/70/05/1128197005.db2.gz XCSZQWPQULUPBC-UHFFFAOYSA-N 1 2 284.407 3.676 20 0 CHADLO CCCCc1noc(C[N@H+](C)C/C(C)=C\c2ccccc2)n1 ZINC000494288105 1128168943 /nfs/dbraw/zinc/16/89/43/1128168943.db2.gz PAJKHYCWPXMQDY-QINSGFPZSA-N 1 2 299.418 3.948 20 0 CHADLO CCCCc1noc(C[N@@H+](C)C/C(C)=C\c2ccccc2)n1 ZINC000494288105 1128168947 /nfs/dbraw/zinc/16/89/47/1128168947.db2.gz PAJKHYCWPXMQDY-QINSGFPZSA-N 1 2 299.418 3.948 20 0 CHADLO CCCc1csc(CNc2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000494363329 1128170727 /nfs/dbraw/zinc/17/07/27/1128170727.db2.gz BYAZVPRXUZMVEJ-UHFFFAOYSA-N 1 2 298.415 3.893 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(C2CC2)c1)c1ncco1 ZINC000926492537 1128175791 /nfs/dbraw/zinc/17/57/91/1128175791.db2.gz FHQSELXQJCDNTC-RYUDHWBXSA-N 1 2 256.349 3.964 20 0 CHADLO C[C@@H]([NH2+]C1(c2cccc(F)c2)CCC1)c1ncco1 ZINC000926632515 1128184740 /nfs/dbraw/zinc/18/47/40/1128184740.db2.gz GWFBMDOECGXSRI-LLVKDONJSA-N 1 2 260.312 3.544 20 0 CHADLO Cc1ccc([C@@H](C)Nc2ccc([NH+](C)C)cc2)c(C)n1 ZINC000777601878 1128184787 /nfs/dbraw/zinc/18/47/87/1128184787.db2.gz GIKVLUQLFAFXQY-CQSZACIVSA-N 1 2 269.392 3.938 20 0 CHADLO Cc1ccccc1C1([NH2+][C@@H](C)c2ncco2)CCC1 ZINC000926644390 1128185183 /nfs/dbraw/zinc/18/51/83/1128185183.db2.gz YTQMOESXOXYKLZ-ZDUSSCGKSA-N 1 2 256.349 3.713 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCc3cccc(C)c32)c1 ZINC000926659813 1128186663 /nfs/dbraw/zinc/18/66/63/1128186663.db2.gz HLHVHVWXJNUBKH-OAHLLOKOSA-N 1 2 268.360 3.636 20 0 CHADLO C[C@H]1C[C@H]1C[NH2+]c1ccc(N2CCSCC2)c(Cl)c1 ZINC000754126706 1128199090 /nfs/dbraw/zinc/19/90/90/1128199090.db2.gz ILJWCGYKWUKUEG-RYUDHWBXSA-N 1 2 296.867 3.961 20 0 CHADLO CC[C@@H]1CCC[C@H]1[NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1 ZINC000777692872 1128243951 /nfs/dbraw/zinc/24/39/51/1128243951.db2.gz KKXYPBWOZJUJJN-HDMKZQKVSA-N 1 2 288.435 3.902 20 0 CHADLO COc1cc(C)c(C)cc1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC001238768558 1131242611 /nfs/dbraw/zinc/24/26/11/1131242611.db2.gz XURSKWCVYKFZFZ-HNNXBMFYSA-N 1 2 284.403 3.690 20 0 CHADLO COc1cc(C)c(C)cc1C[N@H+]1CCn2cccc2[C@@H]1C ZINC001238768558 1131242615 /nfs/dbraw/zinc/24/26/15/1131242615.db2.gz XURSKWCVYKFZFZ-HNNXBMFYSA-N 1 2 284.403 3.690 20 0 CHADLO COc1cc(Cl)cc(-c2ccn3cc[nH+]c3c2)c1 ZINC001205129808 1128260530 /nfs/dbraw/zinc/26/05/30/1128260530.db2.gz XATJGHKSLWMBJM-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CCCC2CCCC2)C2CCCCC2)n1 ZINC000494880851 1128207011 /nfs/dbraw/zinc/20/70/11/1128207011.db2.gz UGGDDKDXQXUKJS-MRXNPFEDSA-N 1 2 290.455 3.986 20 0 CHADLO Clc1csc(C[N@@H+]2CCOC3(CCCCC3)C2)c1 ZINC000494927985 1128213126 /nfs/dbraw/zinc/21/31/26/1128213126.db2.gz BXLRRIYLFCPBLJ-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Clc1csc(C[N@H+]2CCOC3(CCCCC3)C2)c1 ZINC000494927985 1128213128 /nfs/dbraw/zinc/21/31/28/1128213128.db2.gz BXLRRIYLFCPBLJ-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](C)c2ccns2)c(F)c1 ZINC000927092403 1128216456 /nfs/dbraw/zinc/21/64/56/1128216456.db2.gz HBAPVYMFHCJKJK-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO COc1ccnc([C@@H](C)[NH2+]Cc2c(F)cc(C)cc2F)c1 ZINC000927093037 1128216537 /nfs/dbraw/zinc/21/65/37/1128216537.db2.gz NFJGWSFKGVUDFA-LLVKDONJSA-N 1 2 292.329 3.528 20 0 CHADLO CCCC1(C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CCC1 ZINC000495053761 1128226811 /nfs/dbraw/zinc/22/68/11/1128226811.db2.gz PLYCSXACRFMJAW-OAHLLOKOSA-N 1 2 290.455 3.986 20 0 CHADLO CC[C@H](Nc1ccc([NH+](C)C)c(C)c1)C1CCOCC1 ZINC000777643634 1128233654 /nfs/dbraw/zinc/23/36/54/1128233654.db2.gz SBQPYZAMFPBWPC-INIZCTEOSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc3ncccc32)c(C)[nH+]1 ZINC000193183422 1128235696 /nfs/dbraw/zinc/23/56/96/1128235696.db2.gz YZTTZAMYXARZHD-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1cnn(CCF)c1 ZINC000929290869 1128267980 /nfs/dbraw/zinc/26/79/80/1128267980.db2.gz GEFGGFHWHWRYOK-GFCCVEGCSA-N 1 2 295.789 3.699 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1cnn(CCF)c1 ZINC000929290869 1128267985 /nfs/dbraw/zinc/26/79/85/1128267985.db2.gz GEFGGFHWHWRYOK-GFCCVEGCSA-N 1 2 295.789 3.699 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCO[C@H](c2ccccc2F)C1 ZINC000929486712 1128274903 /nfs/dbraw/zinc/27/49/03/1128274903.db2.gz WYWRCNVFRSAPNG-AWEZNQCLSA-N 1 2 287.325 3.634 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCO[C@H](c2ccccc2F)C1 ZINC000929486712 1128274906 /nfs/dbraw/zinc/27/49/06/1128274906.db2.gz WYWRCNVFRSAPNG-AWEZNQCLSA-N 1 2 287.325 3.634 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cc1)c1ncccn1 ZINC000929860685 1128280379 /nfs/dbraw/zinc/28/03/79/1128280379.db2.gz DESRLQLPUZFFSW-WDEREUQCSA-N 1 2 261.756 3.542 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@@H]2c2ccncc2)o1 ZINC000762294407 1128289136 /nfs/dbraw/zinc/28/91/36/1128289136.db2.gz RRWFUPDDTAJFNM-CQSZACIVSA-N 1 2 271.364 3.530 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@@H]2c2ccncc2)o1 ZINC000762294407 1128289139 /nfs/dbraw/zinc/28/91/39/1128289139.db2.gz RRWFUPDDTAJFNM-CQSZACIVSA-N 1 2 271.364 3.530 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1ncc(C(C)C)o1 ZINC000762331384 1128292531 /nfs/dbraw/zinc/29/25/31/1128292531.db2.gz NXHJXQTUPVDFOD-CQSZACIVSA-N 1 2 273.380 3.776 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1ncc(C(C)C)o1 ZINC000762331384 1128292533 /nfs/dbraw/zinc/29/25/33/1128292533.db2.gz NXHJXQTUPVDFOD-CQSZACIVSA-N 1 2 273.380 3.776 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC[C@H]2c2ccncc2)co1 ZINC000930109207 1128300258 /nfs/dbraw/zinc/30/02/58/1128300258.db2.gz FYVCFQJEMASWBW-HNNXBMFYSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC[C@H]2c2ccncc2)co1 ZINC000930109207 1128300263 /nfs/dbraw/zinc/30/02/63/1128300263.db2.gz FYVCFQJEMASWBW-HNNXBMFYSA-N 1 2 285.391 3.704 20 0 CHADLO CCc1onc(C)c1C[NH2+]C(C)(C)c1nc(C)c(C)s1 ZINC000930008377 1128297517 /nfs/dbraw/zinc/29/75/17/1128297517.db2.gz CPVPPDZCSFCILN-UHFFFAOYSA-N 1 2 293.436 3.644 20 0 CHADLO FC(F)C[N@H+](CCSc1ccncc1)CC1CCC1 ZINC000930131809 1128302440 /nfs/dbraw/zinc/30/24/40/1128302440.db2.gz PTHPPJNVIXRSLW-UHFFFAOYSA-N 1 2 286.391 3.541 20 0 CHADLO FC(F)C[N@@H+](CCSc1ccncc1)CC1CCC1 ZINC000930131809 1128302444 /nfs/dbraw/zinc/30/24/44/1128302444.db2.gz PTHPPJNVIXRSLW-UHFFFAOYSA-N 1 2 286.391 3.541 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1coc(C(C)(C)C)n1 ZINC000930181079 1128304831 /nfs/dbraw/zinc/30/48/31/1128304831.db2.gz YKJPSTUTKDNWMH-LBPRGKRZSA-N 1 2 288.391 3.832 20 0 CHADLO COc1cccc([C@H](C)[NH2+]Cc2nc(C(C)C)ns2)c1 ZINC000930190368 1128305140 /nfs/dbraw/zinc/30/51/40/1128305140.db2.gz NSDWVWJQFSLYNJ-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+][C@@H](C)c1ncccn1 ZINC000930200153 1128306316 /nfs/dbraw/zinc/30/63/16/1128306316.db2.gz DRAAGBUBSKJKFR-ZFWWWQNUSA-N 1 2 285.391 3.677 20 0 CHADLO CC(C)c1ccccc1C[N@@H+]1Cc2cccnc2C1 ZINC000930305847 1128312548 /nfs/dbraw/zinc/31/25/48/1128312548.db2.gz GLJBRTLCRRXSMT-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO CC(C)c1ccccc1C[N@H+]1Cc2cccnc2C1 ZINC000930305847 1128312553 /nfs/dbraw/zinc/31/25/53/1128312553.db2.gz GLJBRTLCRRXSMT-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(C(C)(C)C)n1)c1ccsc1 ZINC000930345307 1128314754 /nfs/dbraw/zinc/31/47/54/1128314754.db2.gz MHXPPMDVZMBQEL-SNVBAGLBSA-N 1 2 264.394 3.884 20 0 CHADLO CC(C)c1nsc(C[NH2+][C@@H](C)c2ccsc2)n1 ZINC000930345354 1128315337 /nfs/dbraw/zinc/31/53/37/1128315337.db2.gz NLGZHLZQMLMAAL-VIFPVBQESA-N 1 2 267.423 3.574 20 0 CHADLO Fc1ccc(CNc2cccc[nH+]2)c(Br)c1 ZINC000237749335 1128322754 /nfs/dbraw/zinc/32/27/54/1128322754.db2.gz ZSNDSITWVACHIA-UHFFFAOYSA-N 1 2 281.128 3.595 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc(Cl)ccc1F)c1cnccn1 ZINC001168495459 1128325499 /nfs/dbraw/zinc/32/54/99/1128325499.db2.gz DETFHCBLPHJRON-NXEZZACHSA-N 1 2 279.746 3.681 20 0 CHADLO CC(=O)C1CC[NH+](Cc2nc(C)c(C(C)(C)C)s2)CC1 ZINC000930625591 1128327924 /nfs/dbraw/zinc/32/79/24/1128327924.db2.gz JBHVPDYFYUXHND-UHFFFAOYSA-N 1 2 294.464 3.550 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](CCc1cccc(F)c1)C2 ZINC000930717751 1128331612 /nfs/dbraw/zinc/33/16/12/1128331612.db2.gz QJSHRVUPZYIHLT-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](CCc1cccc(F)c1)C2 ZINC000930717751 1128331615 /nfs/dbraw/zinc/33/16/15/1128331615.db2.gz QJSHRVUPZYIHLT-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(C)c1nsc(C[N@H+](C)CCC(C)(C)C)n1 ZINC000930819697 1128336616 /nfs/dbraw/zinc/33/66/16/1128336616.db2.gz ZJCOGCRCYLOPHZ-UHFFFAOYSA-N 1 2 255.431 3.530 20 0 CHADLO CC(C)c1nsc(C[N@@H+](C)CCC(C)(C)C)n1 ZINC000930819697 1128336619 /nfs/dbraw/zinc/33/66/19/1128336619.db2.gz ZJCOGCRCYLOPHZ-UHFFFAOYSA-N 1 2 255.431 3.530 20 0 CHADLO CC[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(C)cc1 ZINC000393387194 1128340831 /nfs/dbraw/zinc/34/08/31/1128340831.db2.gz YTYFVPLFJPBETO-CQSZACIVSA-N 1 2 277.799 3.623 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)c1ncccn1)c1ccccc1F ZINC000930968936 1128341645 /nfs/dbraw/zinc/34/16/45/1128341645.db2.gz NEANYFROGZFBIS-DOMZBBRYSA-N 1 2 273.355 3.664 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000930970541 1128342414 /nfs/dbraw/zinc/34/24/14/1128342414.db2.gz ZRWZVFSEKNNIES-ABAIWWIYSA-N 1 2 274.314 3.868 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000930970541 1128342419 /nfs/dbraw/zinc/34/24/19/1128342419.db2.gz ZRWZVFSEKNNIES-ABAIWWIYSA-N 1 2 274.314 3.868 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCCC(F)(F)CC2)co1 ZINC000931170927 1128351219 /nfs/dbraw/zinc/35/12/19/1128351219.db2.gz QOIOFJQFZJCBGX-UHFFFAOYSA-N 1 2 272.339 3.593 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCCC(F)(F)CC2)co1 ZINC000931170927 1128351222 /nfs/dbraw/zinc/35/12/22/1128351222.db2.gz QOIOFJQFZJCBGX-UHFFFAOYSA-N 1 2 272.339 3.593 20 0 CHADLO Cc1cc(NC(=O)C2(c3cccc(Cl)c3)CC2)cc[nH+]1 ZINC000041527151 1128353163 /nfs/dbraw/zinc/35/31/63/1128353163.db2.gz JLMATYPFXFTYMZ-UHFFFAOYSA-N 1 2 286.762 3.714 20 0 CHADLO CC(C)(C)[C@@H]1CSCC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000931597700 1128371454 /nfs/dbraw/zinc/37/14/54/1128371454.db2.gz WJMVTSFSVVGFAQ-ZDUSSCGKSA-N 1 2 280.437 3.516 20 0 CHADLO CC(C)(C)[C@@H]1CSCC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000931597700 1128371459 /nfs/dbraw/zinc/37/14/59/1128371459.db2.gz WJMVTSFSVVGFAQ-ZDUSSCGKSA-N 1 2 280.437 3.516 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3cc(C)ccc3C)cc2[nH+]1 ZINC000055551837 1128371608 /nfs/dbraw/zinc/37/16/08/1128371608.db2.gz KOGONLWFILREPO-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO Cn1nccc1[C@@H]1CCC[N@@H+]1Cc1ccc(Cl)c(F)c1 ZINC000932266512 1128402434 /nfs/dbraw/zinc/40/24/34/1128402434.db2.gz ZHPMREDQZCSSPN-HNNXBMFYSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@@H]1CCC[N@H+]1Cc1ccc(Cl)c(F)c1 ZINC000932266512 1128402436 /nfs/dbraw/zinc/40/24/36/1128402436.db2.gz ZHPMREDQZCSSPN-HNNXBMFYSA-N 1 2 293.773 3.550 20 0 CHADLO C[N@H+](Cc1cc(-c2ccccc2)on1)Cc1cccc(O)c1 ZINC000562851285 1128423967 /nfs/dbraw/zinc/42/39/67/1128423967.db2.gz AHERBBJLFANYLM-UHFFFAOYSA-N 1 2 294.354 3.679 20 0 CHADLO C[N@@H+](Cc1cc(-c2ccccc2)on1)Cc1cccc(O)c1 ZINC000562851285 1128423970 /nfs/dbraw/zinc/42/39/70/1128423970.db2.gz AHERBBJLFANYLM-UHFFFAOYSA-N 1 2 294.354 3.679 20 0 CHADLO C[C@H]([NH2+]Cc1ncccc1F)c1nccc2ccccc21 ZINC000562907326 1128427576 /nfs/dbraw/zinc/42/75/76/1128427576.db2.gz DMSLLWNCUXUITG-LBPRGKRZSA-N 1 2 281.334 3.620 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@@H+]1CCc2c(ncn2CC)C1 ZINC000933258502 1128432588 /nfs/dbraw/zinc/43/25/88/1128432588.db2.gz GYABFHIFMIQABR-INIZCTEOSA-N 1 2 287.382 3.552 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@H+]1CCc2c(ncn2CC)C1 ZINC000933258502 1128432590 /nfs/dbraw/zinc/43/25/90/1128432590.db2.gz GYABFHIFMIQABR-INIZCTEOSA-N 1 2 287.382 3.552 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)N1CCc2c([nH+]cn2CC)C1 ZINC000933258502 1128432592 /nfs/dbraw/zinc/43/25/92/1128432592.db2.gz GYABFHIFMIQABR-INIZCTEOSA-N 1 2 287.382 3.552 20 0 CHADLO CCOc1cccc(C[NH2+][C@@H](C)c2c(F)cccc2F)n1 ZINC000763403458 1128432869 /nfs/dbraw/zinc/43/28/69/1128432869.db2.gz OCABYYZMYCIJIQ-NSHDSACASA-N 1 2 292.329 3.609 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cc(C)oc1C ZINC000763413112 1128433723 /nfs/dbraw/zinc/43/37/23/1128433723.db2.gz XRLWNJSBFHJXJO-UHFFFAOYSA-N 1 2 269.348 3.878 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H](C)c2ccc3c(c2)CCO3)o1 ZINC000933487106 1128436028 /nfs/dbraw/zinc/43/60/28/1128436028.db2.gz LSRGHOGWJVSTEQ-GFCCVEGCSA-N 1 2 286.375 3.584 20 0 CHADLO CC[C@H](C)[C@@H]([NH2+][C@@H](c1ccccc1C)C1CC1)C(=O)OC ZINC001168518376 1128447092 /nfs/dbraw/zinc/44/70/92/1128447092.db2.gz CLDHTZYUHNGJKQ-JCURWCKSSA-N 1 2 289.419 3.623 20 0 CHADLO CCOC[C@@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000563037444 1128447411 /nfs/dbraw/zinc/44/74/11/1128447411.db2.gz CABFQANUWPJMHN-CYBMUJFWSA-N 1 2 288.366 3.627 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccccc2)cc1 ZINC000125521130 1128450981 /nfs/dbraw/zinc/45/09/81/1128450981.db2.gz CJWUUWGMIFCGFY-QGZVFWFLSA-N 1 2 277.371 3.597 20 0 CHADLO COc1cc([C@H](C)[NH2+]Cc2ncc(C)o2)cc2ccccc21 ZINC000934631841 1128465133 /nfs/dbraw/zinc/46/51/33/1128465133.db2.gz YLFADZLNIOFAAC-ZDUSSCGKSA-N 1 2 296.370 3.996 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3cccc(C)c3C)CC2)nc1 ZINC000934841473 1128470706 /nfs/dbraw/zinc/47/07/06/1128470706.db2.gz PXCUKLHNHAKPQT-UHFFFAOYSA-N 1 2 293.414 3.691 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3cccc(C)c3C)CC2)nc1 ZINC000934841473 1128470707 /nfs/dbraw/zinc/47/07/07/1128470707.db2.gz PXCUKLHNHAKPQT-UHFFFAOYSA-N 1 2 293.414 3.691 20 0 CHADLO CCCCCCNC(=O)C[N@H+](C)[C@H](C)c1ccccc1F ZINC000917064261 1128473425 /nfs/dbraw/zinc/47/34/25/1128473425.db2.gz LRYFFVILILJPMW-CQSZACIVSA-N 1 2 294.414 3.515 20 0 CHADLO CCCCCCNC(=O)C[N@@H+](C)[C@H](C)c1ccccc1F ZINC000917064261 1128473427 /nfs/dbraw/zinc/47/34/27/1128473427.db2.gz LRYFFVILILJPMW-CQSZACIVSA-N 1 2 294.414 3.515 20 0 CHADLO Cc1ccc(F)c(NCc2c[nH+]c3ccc(C)cn23)c1 ZINC000128174168 1128487810 /nfs/dbraw/zinc/48/78/10/1128487810.db2.gz DWUHKKFQRWMRCC-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1cccc([C@H]2CCCCC[N@@H+]2Cc2csnn2)c1 ZINC000128330803 1128492136 /nfs/dbraw/zinc/49/21/36/1128492136.db2.gz PGMJOGRYLNDOCB-MRXNPFEDSA-N 1 2 287.432 3.964 20 0 CHADLO Cc1cccc([C@H]2CCCCC[N@H+]2Cc2csnn2)c1 ZINC000128330803 1128492138 /nfs/dbraw/zinc/49/21/38/1128492138.db2.gz PGMJOGRYLNDOCB-MRXNPFEDSA-N 1 2 287.432 3.964 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000917429963 1128500389 /nfs/dbraw/zinc/50/03/89/1128500389.db2.gz BENNCJMDTOTTPC-LLVKDONJSA-N 1 2 283.441 3.583 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000917429963 1128500392 /nfs/dbraw/zinc/50/03/92/1128500392.db2.gz BENNCJMDTOTTPC-LLVKDONJSA-N 1 2 283.441 3.583 20 0 CHADLO C[C@H](C1CCCCC1)N(C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000935856707 1128504775 /nfs/dbraw/zinc/50/47/75/1128504775.db2.gz UYYKEZLJAIQYOM-GFCCVEGCSA-N 1 2 285.391 3.604 20 0 CHADLO CO[C@@H](C)[C@@H](C)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000564562591 1128511669 /nfs/dbraw/zinc/51/16/69/1128511669.db2.gz JLSVSSWRDGIULH-ZJUUUORDSA-N 1 2 264.756 3.724 20 0 CHADLO CC(C)[C@H]1C[C@H]([NH2+]CC(F)(F)c2ccccc2)CS1 ZINC000564537113 1128512023 /nfs/dbraw/zinc/51/20/23/1128512023.db2.gz NVAWVIZFZSYJAO-UONOGXRCSA-N 1 2 285.403 3.898 20 0 CHADLO COc1ccc(C[NH2+]Cc2nc(C(C)C)cs2)c(F)c1 ZINC000565080564 1128519026 /nfs/dbraw/zinc/51/90/26/1128519026.db2.gz MEPFZXQFISWLMA-UHFFFAOYSA-N 1 2 294.395 3.704 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCCC[C@@H]3CC(C)C)ccn12 ZINC000565430256 1128526233 /nfs/dbraw/zinc/52/62/33/1128526233.db2.gz CZNQZIIVTVDVHU-MRXNPFEDSA-N 1 2 299.418 3.684 20 0 CHADLO CC1(C)CC[N@@H+]1Cc1cc(F)cc(Br)c1 ZINC000565460022 1128526888 /nfs/dbraw/zinc/52/68/88/1128526888.db2.gz DUDBWLFQURWRME-UHFFFAOYSA-N 1 2 272.161 3.573 20 0 CHADLO CC1(C)CC[N@H+]1Cc1cc(F)cc(Br)c1 ZINC000565460022 1128526890 /nfs/dbraw/zinc/52/68/90/1128526890.db2.gz DUDBWLFQURWRME-UHFFFAOYSA-N 1 2 272.161 3.573 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1nc2ccccc2o1 ZINC000069068960 1128533681 /nfs/dbraw/zinc/53/36/81/1128533681.db2.gz ZXAQPJRCTREERT-NSHDSACASA-N 1 2 256.305 3.614 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1nc2ccccc2o1 ZINC000069068960 1128533683 /nfs/dbraw/zinc/53/36/83/1128533683.db2.gz ZXAQPJRCTREERT-NSHDSACASA-N 1 2 256.305 3.614 20 0 CHADLO CC(C)N(C(=O)C[C@@H](C)n1cc[nH+]c1)[C@@H](C)c1ccccc1 ZINC000566069418 1128538329 /nfs/dbraw/zinc/53/83/29/1128538329.db2.gz CKRVUXCEISXYEN-CVEARBPZSA-N 1 2 299.418 3.832 20 0 CHADLO Cc1csc(CNc2[nH+]c3ccccc3n2C2CC2)n1 ZINC000763426322 1128539321 /nfs/dbraw/zinc/53/93/21/1128539321.db2.gz QCZORVOFQDSIKW-UHFFFAOYSA-N 1 2 284.388 3.748 20 0 CHADLO Cc1nnsc1C[N@@H+]1CCCC[C@@H]1c1ccc(F)cc1 ZINC000566302528 1128541974 /nfs/dbraw/zinc/54/19/74/1128541974.db2.gz ZKNQEZMXWIHFLM-CQSZACIVSA-N 1 2 291.395 3.713 20 0 CHADLO Cc1nnsc1C[N@H+]1CCCC[C@@H]1c1ccc(F)cc1 ZINC000566302528 1128541979 /nfs/dbraw/zinc/54/19/79/1128541979.db2.gz ZKNQEZMXWIHFLM-CQSZACIVSA-N 1 2 291.395 3.713 20 0 CHADLO C[C@@H](CC(=O)Nc1ccccc1C(C)(C)C)n1cc[nH+]c1 ZINC000566299145 1128542032 /nfs/dbraw/zinc/54/20/32/1128542032.db2.gz SDBATWFNEVCTGN-ZDUSSCGKSA-N 1 2 285.391 3.770 20 0 CHADLO Cc1cc(C)c(NC(=O)Cn2ccc3ccccc32)c(C)[nH+]1 ZINC000129632734 1128546520 /nfs/dbraw/zinc/54/65/20/1128546520.db2.gz BPJVMBQMJKOSJW-UHFFFAOYSA-N 1 2 293.370 3.600 20 0 CHADLO COc1cncc(/C=C\CC[N@@H+]2CCCC[C@H]2C(F)F)c1 ZINC000566558747 1128546845 /nfs/dbraw/zinc/54/68/45/1128546845.db2.gz MWBBMZBQYHCPLU-ZBBQIYFKSA-N 1 2 296.361 3.613 20 0 CHADLO COc1cncc(/C=C\CC[N@H+]2CCCC[C@H]2C(F)F)c1 ZINC000566558747 1128546847 /nfs/dbraw/zinc/54/68/47/1128546847.db2.gz MWBBMZBQYHCPLU-ZBBQIYFKSA-N 1 2 296.361 3.613 20 0 CHADLO Cc1[nH]c(CNc2cccc(OC3CCCC3)c2)[nH+]c1C ZINC000566689912 1128549508 /nfs/dbraw/zinc/54/95/08/1128549508.db2.gz FPGYKHGQIBDRQI-UHFFFAOYSA-N 1 2 285.391 3.960 20 0 CHADLO Nc1ccc2c(c1)CC[N@@H+](Cc1cc(F)cc(Cl)c1)C2 ZINC001236105545 1128556056 /nfs/dbraw/zinc/55/60/56/1128556056.db2.gz OUVFJMPLRXMTGF-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc2c(c1)CC[N@H+](Cc1cc(F)cc(Cl)c1)C2 ZINC001236105545 1128556058 /nfs/dbraw/zinc/55/60/58/1128556058.db2.gz OUVFJMPLRXMTGF-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO CCC1CCC(C[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000567021383 1128556909 /nfs/dbraw/zinc/55/69/09/1128556909.db2.gz STLVUMQUFGKTDJ-VMBOVVBDSA-N 1 2 298.434 3.700 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@H](c3ccccc3)[C@H](C)C2)no1 ZINC000129812326 1128557017 /nfs/dbraw/zinc/55/70/17/1128557017.db2.gz HQXGZLCRCVQMJI-ZBFHGGJFSA-N 1 2 299.418 3.648 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@H](c3ccccc3)[C@H](C)C2)no1 ZINC000129812326 1128557018 /nfs/dbraw/zinc/55/70/18/1128557018.db2.gz HQXGZLCRCVQMJI-ZBFHGGJFSA-N 1 2 299.418 3.648 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)[NH2+][C@H](C)c1nccn1C ZINC000070444684 1128564288 /nfs/dbraw/zinc/56/42/88/1128564288.db2.gz FONXSSOBJVYZBZ-ZIAGYGMSSA-N 1 2 287.407 3.619 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CCC[N@@H+]1Cc1ccc(Cl)cn1 ZINC000567663082 1128569756 /nfs/dbraw/zinc/56/97/56/1128569756.db2.gz HAQOTEZWENGJJO-JOYOIKCWSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CCC[N@H+]1Cc1ccc(Cl)cn1 ZINC000567663082 1128569758 /nfs/dbraw/zinc/56/97/58/1128569758.db2.gz HAQOTEZWENGJJO-JOYOIKCWSA-N 1 2 292.732 3.898 20 0 CHADLO CC1(C)CN(c2[nH+]ccc3cc(F)ccc32)CCS1 ZINC000567749040 1128571489 /nfs/dbraw/zinc/57/14/89/1128571489.db2.gz RLHNRHMGLYPHQL-UHFFFAOYSA-N 1 2 276.380 3.706 20 0 CHADLO CC(C)[C@@H]1C[C@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000568441742 1128584852 /nfs/dbraw/zinc/58/48/52/1128584852.db2.gz FVVFVPOUCYESMA-LSDHHAIUSA-N 1 2 294.358 3.682 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@@H+]2CCC[C@](C)(F)C2)o1 ZINC000568715892 1128590719 /nfs/dbraw/zinc/59/07/19/1128590719.db2.gz OEJPGRQTSIQGBC-KRWDZBQOSA-N 1 2 288.366 3.974 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@H+]2CCC[C@](C)(F)C2)o1 ZINC000568715892 1128590722 /nfs/dbraw/zinc/59/07/22/1128590722.db2.gz OEJPGRQTSIQGBC-KRWDZBQOSA-N 1 2 288.366 3.974 20 0 CHADLO CCCCCC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000071823827 1128599838 /nfs/dbraw/zinc/59/98/38/1128599838.db2.gz QMCLYMVLKZPDPS-UHFFFAOYSA-N 1 2 295.452 3.648 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1nc(C2CCCC2)no1 ZINC000130929632 1128602038 /nfs/dbraw/zinc/60/20/38/1128602038.db2.gz FSJYJYSLGKHINS-ZDUSSCGKSA-N 1 2 285.391 3.920 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1nc(C2CCCC2)no1 ZINC000130929632 1128602039 /nfs/dbraw/zinc/60/20/39/1128602039.db2.gz FSJYJYSLGKHINS-ZDUSSCGKSA-N 1 2 285.391 3.920 20 0 CHADLO COc1ccc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000131120154 1128605900 /nfs/dbraw/zinc/60/59/00/1128605900.db2.gz FGCJZZWBJSDAHP-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000131120154 1128605901 /nfs/dbraw/zinc/60/59/01/1128605901.db2.gz FGCJZZWBJSDAHP-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO Clc1ncccc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000155930170 1128619105 /nfs/dbraw/zinc/61/91/05/1128619105.db2.gz HYUMITYCBSQXFA-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@H+]1CC(=O)Nc1ccc(F)cc1Cl ZINC000072697438 1128629383 /nfs/dbraw/zinc/62/93/83/1128629383.db2.gz NZALSBOMVTZDPQ-PHIMTYICSA-N 1 2 298.789 3.681 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@@H+]1CC(=O)Nc1ccc(F)cc1Cl ZINC000072697438 1128629384 /nfs/dbraw/zinc/62/93/84/1128629384.db2.gz NZALSBOMVTZDPQ-PHIMTYICSA-N 1 2 298.789 3.681 20 0 CHADLO Clc1ccccc1[C@@H]1COCC[N@@H+]1[C@@H]1CCCSC1 ZINC001257681101 1128637439 /nfs/dbraw/zinc/63/74/39/1128637439.db2.gz HLNWMKRPNSSHCC-DOMZBBRYSA-N 1 2 297.851 3.609 20 0 CHADLO Clc1ccccc1[C@@H]1COCC[N@H+]1[C@@H]1CCCSC1 ZINC001257681101 1128637441 /nfs/dbraw/zinc/63/74/41/1128637441.db2.gz HLNWMKRPNSSHCC-DOMZBBRYSA-N 1 2 297.851 3.609 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)C[N@@H+]1CCCC(C)(C)C1 ZINC000132006449 1128641741 /nfs/dbraw/zinc/64/17/41/1128641741.db2.gz XAPOJJKGXLSNDL-UHFFFAOYSA-N 1 2 294.826 3.709 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)C[N@H+]1CCCC(C)(C)C1 ZINC000132006449 1128641743 /nfs/dbraw/zinc/64/17/43/1128641743.db2.gz XAPOJJKGXLSNDL-UHFFFAOYSA-N 1 2 294.826 3.709 20 0 CHADLO FC(F)(C[NH2+][C@@H]1CCSC1)c1ccc(Cl)cc1 ZINC000556920226 1128644984 /nfs/dbraw/zinc/64/49/84/1128644984.db2.gz FTWJSGAZKFGCLV-LLVKDONJSA-N 1 2 277.767 3.527 20 0 CHADLO COc1nccc2cc(NCc3c[nH+]cn3C(C)C)ccc21 ZINC000573436910 1128654380 /nfs/dbraw/zinc/65/43/80/1128654380.db2.gz MMUUBAZULYOZED-UHFFFAOYSA-N 1 2 296.374 3.633 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+][C@H](C)c1ccn(C)n1 ZINC000576089287 1128668432 /nfs/dbraw/zinc/66/84/32/1128668432.db2.gz WVDKVTWHXZQODV-UONOGXRCSA-N 1 2 287.407 3.619 20 0 CHADLO NC(Cc1ccccc1Cl)=[NH+]OCc1ccccc1F ZINC000920257197 1128674892 /nfs/dbraw/zinc/67/48/92/1128674892.db2.gz SNMFHWSSKTXRNA-UHFFFAOYSA-N 1 2 292.741 3.720 20 0 CHADLO Cc1cc(N2CCC(CCF)CC2)nc(C2CCC2)[nH+]1 ZINC001458522216 1128678005 /nfs/dbraw/zinc/67/80/05/1128678005.db2.gz MMDZEPCACWHCQX-UHFFFAOYSA-N 1 2 277.387 3.629 20 0 CHADLO Cc1[nH]c(CNc2ccc3cnn(C(C)C)c3c2)[nH+]c1C ZINC000577866741 1128695175 /nfs/dbraw/zinc/69/51/75/1128695175.db2.gz CBTCRTSETYQZEF-UHFFFAOYSA-N 1 2 283.379 3.569 20 0 CHADLO CCOC(=O)C[N@@H+]1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000609772148 1128724379 /nfs/dbraw/zinc/72/43/79/1128724379.db2.gz VAVNNPICGHLDHA-OAHLLOKOSA-N 1 2 295.810 3.820 20 0 CHADLO CCOC(=O)C[N@H+]1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000609772148 1128724381 /nfs/dbraw/zinc/72/43/81/1128724381.db2.gz VAVNNPICGHLDHA-OAHLLOKOSA-N 1 2 295.810 3.820 20 0 CHADLO CCCCCC(C)(C)C(=O)NCCCNc1cccc[nH+]1 ZINC000175427778 1128729969 /nfs/dbraw/zinc/72/99/69/1128729969.db2.gz FSRUEEYPSUUQFU-UHFFFAOYSA-N 1 2 291.439 3.606 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1cnc(Cl)s1 ZINC000175439136 1128729975 /nfs/dbraw/zinc/72/99/75/1128729975.db2.gz CLPZCDHQQIHHFZ-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1cnc(Cl)s1 ZINC000175439136 1128729977 /nfs/dbraw/zinc/72/99/77/1128729977.db2.gz CLPZCDHQQIHHFZ-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1ccc(C)nc1 ZINC000154745599 1128730467 /nfs/dbraw/zinc/73/04/67/1128730467.db2.gz XQFQWLDXTVNMRC-UHFFFAOYSA-N 1 2 280.375 3.762 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(CO)c1 ZINC001213499700 1117754867 /nfs/dbraw/zinc/75/48/67/1117754867.db2.gz WNXLFWHWIHXBEI-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2)cc[nH+]1 ZINC000010163127 1117773823 /nfs/dbraw/zinc/77/38/23/1117773823.db2.gz BDFBLARCBDJOJZ-UHFFFAOYSA-N 1 2 281.142 3.949 20 0 CHADLO C[C@@H](CC(=O)Nc1cccc(C2CCC2)c1)n1cc[nH+]c1 ZINC000579013927 1128735715 /nfs/dbraw/zinc/73/57/15/1128735715.db2.gz KSFVTLIAFQOZHV-ZDUSSCGKSA-N 1 2 283.375 3.740 20 0 CHADLO COCCN(c1ccccc1N)c1cc(C2CC2)c[nH+]c1C ZINC001213503781 1117807061 /nfs/dbraw/zinc/80/70/61/1117807061.db2.gz XVMPVHFPLQCFIS-UHFFFAOYSA-N 1 2 297.402 3.634 20 0 CHADLO CCc1noc(C[NH2+][C@H](c2ccc(Cl)cc2)C2CC2)n1 ZINC000623048876 1117809456 /nfs/dbraw/zinc/80/94/56/1117809456.db2.gz DCCKDTABOQCNJD-HNNXBMFYSA-N 1 2 291.782 3.526 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1nc2ccccc2o1 ZINC000623121962 1117815424 /nfs/dbraw/zinc/81/54/24/1117815424.db2.gz XFLOHMSPXVORNA-UHFFFAOYSA-N 1 2 272.270 3.602 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1nc2ccccc2o1 ZINC000623121962 1117815432 /nfs/dbraw/zinc/81/54/32/1117815432.db2.gz XFLOHMSPXVORNA-UHFFFAOYSA-N 1 2 272.270 3.602 20 0 CHADLO Cc1cc(C)c(Nc2cnc(Cl)nc2Cl)c[nH+]1 ZINC001213505592 1117825129 /nfs/dbraw/zinc/82/51/29/1117825129.db2.gz JBHSHBJRHPFNJW-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1cc(C)c(Nc2csc(Br)n2)c[nH+]1 ZINC001213508513 1117851883 /nfs/dbraw/zinc/85/18/83/1117851883.db2.gz VXGAJYPIAXHSGT-UHFFFAOYSA-N 1 2 284.182 3.661 20 0 CHADLO COc1ccc(C)[nH+]c1N1CC[C@H](C2CCCCC2)C1 ZINC001167065942 1117860673 /nfs/dbraw/zinc/86/06/73/1117860673.db2.gz UIQZNNUUYIAQMW-HNNXBMFYSA-N 1 2 274.408 3.805 20 0 CHADLO Cc1cccc2ncc(CNc3ccc(C4CCC4)c[nH+]3)n21 ZINC000339560211 1117861154 /nfs/dbraw/zinc/86/11/54/1117861154.db2.gz DMUHVPGCMSOUBC-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO Cc1cc(C)c(Nc2ncccc2N2CCCCC2)c[nH+]1 ZINC001213510720 1117863879 /nfs/dbraw/zinc/86/38/79/1117863879.db2.gz HNYPDQJSBYIJNX-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO CN(c1cc[nH+]c2cc(F)c(Cl)cc21)C1CCOCC1 ZINC000649289856 1128741209 /nfs/dbraw/zinc/74/12/09/1128741209.db2.gz BOGIEFAQVJMXDN-UHFFFAOYSA-N 1 2 294.757 3.643 20 0 CHADLO CCOc1cccc(/C=[NH+]/C[C@H]2CC=CCC2)c1O ZINC000065999105 1117879265 /nfs/dbraw/zinc/87/92/65/1117879265.db2.gz BCGDLWRVSHHCMI-VLURKWGBSA-N 1 2 259.349 3.566 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2ccoc2)cs1 ZINC000178099515 1117885772 /nfs/dbraw/zinc/88/57/72/1117885772.db2.gz HLYLODVMIPOABM-NXEZZACHSA-N 1 2 250.367 3.710 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1c[nH]nc1-c1ccc(C)cc1 ZINC000623680508 1117888839 /nfs/dbraw/zinc/88/88/39/1117888839.db2.gz MTLIUMRWYYZNAD-UHFFFAOYSA-N 1 2 279.334 3.520 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cccc(-c2ccccn2)c1 ZINC000623679747 1117888963 /nfs/dbraw/zinc/88/89/63/1117888963.db2.gz HVUZUEXCSRHIGZ-UHFFFAOYSA-N 1 2 276.330 3.884 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](C)c2ccc(OC(C)C)cc2)n1 ZINC000615320395 1128743911 /nfs/dbraw/zinc/74/39/11/1128743911.db2.gz LOTGWUDEZLQFQN-VXGBXAGGSA-N 1 2 289.379 3.577 20 0 CHADLO COc1c(C)c[nH+]c(CSCCOC(C)(C)C)c1C ZINC000180377989 1117928687 /nfs/dbraw/zinc/92/86/87/1117928687.db2.gz KMECTQHEUNUUHX-UHFFFAOYSA-N 1 2 283.437 3.755 20 0 CHADLO Cl/C=C(\Cl)C[NH2+][C@H](c1ccccn1)C1CCC1 ZINC000859564829 1117945590 /nfs/dbraw/zinc/94/55/90/1117945590.db2.gz PKALPRXGLJSMTH-ZWXCPPHNSA-N 1 2 271.191 3.831 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1nc(C3CC3)no1)C(C)(C)C2 ZINC000339640278 1117946336 /nfs/dbraw/zinc/94/63/36/1117946336.db2.gz YTMITDHCGVZOJO-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1nc(C3CC3)no1)C(C)(C)C2 ZINC000339640278 1117946342 /nfs/dbraw/zinc/94/63/42/1117946342.db2.gz YTMITDHCGVZOJO-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO Cc1ccnc(C[N@@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000339662241 1117949240 /nfs/dbraw/zinc/94/92/40/1117949240.db2.gz RQZBKVSZGQZSBJ-UHFFFAOYSA-N 1 2 269.392 3.540 20 0 CHADLO Cc1ccnc(C[N@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000339662241 1117949244 /nfs/dbraw/zinc/94/92/44/1117949244.db2.gz RQZBKVSZGQZSBJ-UHFFFAOYSA-N 1 2 269.392 3.540 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000339668108 1117951611 /nfs/dbraw/zinc/95/16/11/1117951611.db2.gz DGEJQUSCGITZPY-LBPRGKRZSA-N 1 2 261.262 3.639 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000339668108 1117951614 /nfs/dbraw/zinc/95/16/14/1117951614.db2.gz DGEJQUSCGITZPY-LBPRGKRZSA-N 1 2 261.262 3.639 20 0 CHADLO COCC[C@H]([NH2+][C@@H](C)c1nc(C)cs1)c1ccc(C)o1 ZINC000623956807 1117961391 /nfs/dbraw/zinc/96/13/91/1117961391.db2.gz RINVULSRCVQNBZ-STQMWFEESA-N 1 2 294.420 3.781 20 0 CHADLO COc1ccc(F)cc1C[N@@H+]1CCc2c(F)cccc2C1 ZINC000339778765 1117975971 /nfs/dbraw/zinc/97/59/71/1117975971.db2.gz GDBYPDOENQSMOF-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(F)cc1C[N@H+]1CCc2c(F)cccc2C1 ZINC000339778765 1117975973 /nfs/dbraw/zinc/97/59/73/1117975973.db2.gz GDBYPDOENQSMOF-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(F)F)c1cccc(Br)c1 ZINC000228489136 1117983545 /nfs/dbraw/zinc/98/35/45/1117983545.db2.gz BTZWMAZDQZWJAG-HTQZYQBOSA-N 1 2 278.140 3.753 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(F)F)c1cccc(Br)c1 ZINC000228488852 1117983797 /nfs/dbraw/zinc/98/37/97/1117983797.db2.gz BTZWMAZDQZWJAG-SFYZADRCSA-N 1 2 278.140 3.753 20 0 CHADLO Cc1ccc(C)c([C@@H](C)OC(=O)CCCn2cc[nH+]c2)c1 ZINC001127720683 1117983871 /nfs/dbraw/zinc/98/38/71/1117983871.db2.gz QUTQBVCZXYEWSX-OAHLLOKOSA-N 1 2 286.375 3.585 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1c(C)noc1C ZINC000181479976 1117999162 /nfs/dbraw/zinc/99/91/62/1117999162.db2.gz KKYWGBYDIQBIRV-UHFFFAOYSA-N 1 2 284.363 3.663 20 0 CHADLO CC1(CNc2[nH]c3ccc(Br)cc3[nH+]2)CC1 ZINC000309791697 1118001876 /nfs/dbraw/zinc/00/18/76/1118001876.db2.gz PJPXQLCUUYVNSY-UHFFFAOYSA-N 1 2 280.169 3.537 20 0 CHADLO CC1(CNc2[nH]c3cc(Br)ccc3[nH+]2)CC1 ZINC000309791697 1118001882 /nfs/dbraw/zinc/00/18/82/1118001882.db2.gz PJPXQLCUUYVNSY-UHFFFAOYSA-N 1 2 280.169 3.537 20 0 CHADLO COC[C@H]([NH2+]Cc1c(F)cccc1Cl)c1ccccc1 ZINC000181690788 1118004842 /nfs/dbraw/zinc/00/48/42/1118004842.db2.gz ODQIZUQKQHVKQA-INIZCTEOSA-N 1 2 293.769 3.956 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1cocn1)c1ccccc1Cl ZINC000182063108 1118012986 /nfs/dbraw/zinc/01/29/86/1118012986.db2.gz BVQSMIPCEVVOQV-CQSZACIVSA-N 1 2 264.756 3.815 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1nccn1C ZINC000182188878 1118016331 /nfs/dbraw/zinc/01/63/31/1118016331.db2.gz GRFDFOYQHQNZJN-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO CC[C@H](C)[C@H](C)[NH2+]c1ccc(N2CCOCC2)cc1C ZINC000182612975 1118025348 /nfs/dbraw/zinc/02/53/48/1118025348.db2.gz JWZRLRUZYXFYPG-ZFWWWQNUSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1cc(C)cc(C(=O)Nc2ccccc2-n2cc[nH+]c2)c1 ZINC000045859941 1118028993 /nfs/dbraw/zinc/02/89/93/1118028993.db2.gz XZSFMSPRDVELFI-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO C[C@H]1CCN(CCCn2cc[nH+]c2)c2ccccc2S1 ZINC000182869652 1118031068 /nfs/dbraw/zinc/03/10/68/1118031068.db2.gz MAIWNUVSQIACHD-AWEZNQCLSA-N 1 2 287.432 3.664 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nccn1C)c1cc(F)ccc1F ZINC000183298618 1118041097 /nfs/dbraw/zinc/04/10/97/1118041097.db2.gz HGUQJBUQVTZGPR-HZMBPMFUSA-N 1 2 279.334 3.500 20 0 CHADLO Cc1ccc(NC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC000340074419 1118043357 /nfs/dbraw/zinc/04/33/57/1118043357.db2.gz IEPKBKPBPHLVCW-UHFFFAOYSA-N 1 2 298.371 3.886 20 0 CHADLO CC[N@H+](Cc1cnoc1C)Cc1ccccc1Cl ZINC000185177895 1118061093 /nfs/dbraw/zinc/06/10/93/1118061093.db2.gz YVKBJZPROBKXTH-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1cnoc1C)Cc1ccccc1Cl ZINC000185177895 1118061097 /nfs/dbraw/zinc/06/10/97/1118061097.db2.gz YVKBJZPROBKXTH-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2ccncc2Cl)C2CC2)s1 ZINC000185293076 1118061960 /nfs/dbraw/zinc/06/19/60/1118061960.db2.gz JAEMIMFMSOFIMC-CYBMUJFWSA-N 1 2 293.823 3.741 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+][C@H](C)c1nc(C(C)C)no1 ZINC000125712928 1118066723 /nfs/dbraw/zinc/06/67/23/1118066723.db2.gz POFZHFFWKNLFSB-CHWSQXEVSA-N 1 2 273.380 3.913 20 0 CHADLO CCN(CC)c1ncc(CNc2cc(C)c[nH+]c2C)s1 ZINC000404500847 1118070686 /nfs/dbraw/zinc/07/06/86/1118070686.db2.gz BCFSWRMNIRNJKT-UHFFFAOYSA-N 1 2 290.436 3.613 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@@H+]1Cc1cncs1 ZINC001209550597 1118079096 /nfs/dbraw/zinc/07/90/96/1118079096.db2.gz JWMRPXNPVZNWQK-CYBMUJFWSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@H+]1Cc1cncs1 ZINC001209550597 1118079100 /nfs/dbraw/zinc/07/91/00/1118079100.db2.gz JWMRPXNPVZNWQK-CYBMUJFWSA-N 1 2 280.343 3.758 20 0 CHADLO CSCc1cc[nH+]c(N2CC3(C2)CCCCC3)c1 ZINC001167183649 1118088465 /nfs/dbraw/zinc/08/84/65/1118088465.db2.gz IZNYFPOMTYQGTA-UHFFFAOYSA-N 1 2 262.422 3.715 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ncccc1F ZINC001209809627 1118093545 /nfs/dbraw/zinc/09/35/45/1118093545.db2.gz DUWVRQFPMLWQMP-UHFFFAOYSA-N 1 2 259.328 3.866 20 0 CHADLO Cc1ccc2c(Nc3ncccc3F)cccc2[nH+]1 ZINC001209810539 1118094697 /nfs/dbraw/zinc/09/46/97/1118094697.db2.gz DQHLYLMNPVNPNH-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CC[C@H]3OCC[C@@H]3C2)c1 ZINC001167212789 1118100325 /nfs/dbraw/zinc/10/03/25/1118100325.db2.gz GPOCMKVBVMXEJV-IUODEOHRSA-N 1 2 288.778 3.503 20 0 CHADLO FC[C@H]1[C@@H]2CN(c3[nH+]ccc4cc(Cl)ccc43)C[C@H]12 ZINC001167221771 1118104556 /nfs/dbraw/zinc/10/45/56/1118104556.db2.gz ILEHOTVZAWFXTA-ZSOGYDGISA-N 1 2 276.742 3.540 20 0 CHADLO CCOc1ccc(Nc2[nH+]cc(C)cc2N)c(Cl)c1 ZINC001209894145 1118106720 /nfs/dbraw/zinc/10/67/20/1118106720.db2.gz UXDBKBIVDJXMPL-UHFFFAOYSA-N 1 2 277.755 3.768 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc([C@@H](C)OC)c1 ZINC001209947183 1118115680 /nfs/dbraw/zinc/11/56/80/1118115680.db2.gz MAFXHOSGVFOSDD-LLVKDONJSA-N 1 2 258.321 3.541 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC[C@@H](C)c2ccccc21)c1csnn1 ZINC000398284277 1118116520 /nfs/dbraw/zinc/11/65/20/1118116520.db2.gz XRFOCDSUJRRFNW-JTNHKYCSSA-N 1 2 273.405 3.827 20 0 CHADLO CNc1ccc(Nc2ccc(-n3cccc3)cc2)c[nH+]1 ZINC001203455945 1118126178 /nfs/dbraw/zinc/12/61/78/1118126178.db2.gz ZTHQSHUWPKZZSI-UHFFFAOYSA-N 1 2 264.332 3.658 20 0 CHADLO C[C@@H]1CC[N@H+](Cn2ncsc2=S)CC12CCCCC2 ZINC000764954349 1118127044 /nfs/dbraw/zinc/12/70/44/1118127044.db2.gz LXGIFPHLMDJAIU-GFCCVEGCSA-N 1 2 297.493 3.924 20 0 CHADLO C[C@@H]1CC[N@@H+](Cn2ncsc2=S)CC12CCCCC2 ZINC000764954349 1118127048 /nfs/dbraw/zinc/12/70/48/1118127048.db2.gz LXGIFPHLMDJAIU-GFCCVEGCSA-N 1 2 297.493 3.924 20 0 CHADLO CCOC(=O)C[N@H+](CCC(C)(C)C)Cc1ccc(F)cc1 ZINC001167261884 1118131397 /nfs/dbraw/zinc/13/13/97/1118131397.db2.gz OERRRMODENDUHG-UHFFFAOYSA-N 1 2 295.398 3.627 20 0 CHADLO CCOC(=O)C[N@@H+](CCC(C)(C)C)Cc1ccc(F)cc1 ZINC001167261884 1118131398 /nfs/dbraw/zinc/13/13/98/1118131398.db2.gz OERRRMODENDUHG-UHFFFAOYSA-N 1 2 295.398 3.627 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(C(F)(F)F)ccc2F)[C@@H]1C ZINC000816646601 1118139065 /nfs/dbraw/zinc/13/90/65/1118139065.db2.gz GHYWCKWYHHUICO-RKDXNWHRSA-N 1 2 261.262 3.685 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(C(F)(F)F)ccc2F)[C@@H]1C ZINC000816646601 1118139069 /nfs/dbraw/zinc/13/90/69/1118139069.db2.gz GHYWCKWYHHUICO-RKDXNWHRSA-N 1 2 261.262 3.685 20 0 CHADLO Cc1cc(N[C@H]2CCc3cc(N)ccc32)nc(C(C)C)[nH+]1 ZINC000094093332 1118151890 /nfs/dbraw/zinc/15/18/90/1118151890.db2.gz OZOZCOAPOOJQTJ-HNNXBMFYSA-N 1 2 282.391 3.590 20 0 CHADLO COc1cc(Nc2cnccc2C(F)(F)F)[nH+]cc1C ZINC001210094619 1118152089 /nfs/dbraw/zinc/15/20/89/1118152089.db2.gz VPBALYHINUJSPO-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ncccc1F)c1ccc(F)cc1F ZINC000634681265 1118154682 /nfs/dbraw/zinc/15/46/82/1118154682.db2.gz MASYSQBNTIMSPL-INIZCTEOSA-N 1 2 294.320 3.986 20 0 CHADLO C[C@@H](c1cc(Cl)ccc1Cl)[N@@H+]1CCCOCC1 ZINC000468124982 1118159310 /nfs/dbraw/zinc/15/93/10/1118159310.db2.gz CSCGSEOMHQYIJP-JTQLQIEISA-N 1 2 274.191 3.777 20 0 CHADLO C[C@@H](c1cc(Cl)ccc1Cl)[N@H+]1CCCOCC1 ZINC000468124982 1118159311 /nfs/dbraw/zinc/15/93/11/1118159311.db2.gz CSCGSEOMHQYIJP-JTQLQIEISA-N 1 2 274.191 3.777 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2cnc(C3CC3)s2)c1 ZINC000650242467 1118186737 /nfs/dbraw/zinc/18/67/37/1118186737.db2.gz MFHCIDIBDRQMAH-UHFFFAOYSA-N 1 2 259.378 3.644 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(C)c(Cl)c2)c1 ZINC000650243689 1118193066 /nfs/dbraw/zinc/19/30/66/1118193066.db2.gz LOJRXMBRNKBVCW-UHFFFAOYSA-N 1 2 276.767 3.802 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cnn(CC2CCC2)c1 ZINC000921558942 1118200587 /nfs/dbraw/zinc/20/05/87/1118200587.db2.gz BXDPIDIKGYRRTP-UHFFFAOYSA-N 1 2 298.434 3.670 20 0 CHADLO c1ccc2cc(-c3cc[nH+]c(N4CCOCC4)c3)ccc2c1 ZINC000671638333 1118202091 /nfs/dbraw/zinc/20/20/91/1118202091.db2.gz QPEPVOQPKHVSLV-UHFFFAOYSA-N 1 2 290.366 3.738 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc(-c2ccncc2)cc1 ZINC001140168531 1118212276 /nfs/dbraw/zinc/21/22/76/1118212276.db2.gz FUOJCGXWLNYCJF-IRXDYDNUSA-N 1 2 288.341 3.631 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc(-c2ccncc2)cc1 ZINC001140168531 1118212279 /nfs/dbraw/zinc/21/22/79/1118212279.db2.gz FUOJCGXWLNYCJF-IRXDYDNUSA-N 1 2 288.341 3.631 20 0 CHADLO COc1cc(Nc2cccnc2C(F)(F)F)cc(C)[nH+]1 ZINC001210233844 1118215656 /nfs/dbraw/zinc/21/56/56/1118215656.db2.gz WPFMGCYTWATOTD-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO CNc1ccc(Nc2cccc(COC(C)C)c2)c[nH+]1 ZINC001203458236 1118220025 /nfs/dbraw/zinc/22/00/25/1118220025.db2.gz HFKUJSUVOBATON-UHFFFAOYSA-N 1 2 271.364 3.792 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)o1 ZINC000389076643 1118225295 /nfs/dbraw/zinc/22/52/95/1118225295.db2.gz ZEMJKJATQDBFKL-QMMMGPOBSA-N 1 2 282.746 3.935 20 0 CHADLO C[N@H+](Cc1nnc(C2CC2)o1)Cc1cccc2ccccc21 ZINC000064142077 1118228739 /nfs/dbraw/zinc/22/87/39/1118228739.db2.gz JIYQLBVEUJCOCR-UHFFFAOYSA-N 1 2 293.370 3.732 20 0 CHADLO C[N@@H+](Cc1nnc(C2CC2)o1)Cc1cccc2ccccc21 ZINC000064142077 1118228743 /nfs/dbraw/zinc/22/87/43/1118228743.db2.gz JIYQLBVEUJCOCR-UHFFFAOYSA-N 1 2 293.370 3.732 20 0 CHADLO CNc1ccc(Nc2ccc3c(c2)CCCCC3=O)c[nH+]1 ZINC001203458880 1118229608 /nfs/dbraw/zinc/22/96/08/1118229608.db2.gz ABTBLPFAPQDGHO-UHFFFAOYSA-N 1 2 281.359 3.776 20 0 CHADLO COc1ccc(Nc2c[nH+]c(C)c(N)c2)cc1C(C)C ZINC001210289425 1118236450 /nfs/dbraw/zinc/23/64/50/1118236450.db2.gz KYHDEERSSLNJDS-UHFFFAOYSA-N 1 2 271.364 3.848 20 0 CHADLO Fc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC000121826839 1118240165 /nfs/dbraw/zinc/24/01/65/1118240165.db2.gz URTWMQXAINCNIP-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO Cn1c(Cl)cnc1C[N@H+](C)Cc1ccccc1C1CC1 ZINC000779161997 1128764915 /nfs/dbraw/zinc/76/49/15/1128764915.db2.gz SJYUPSCAZAECBM-UHFFFAOYSA-N 1 2 289.810 3.583 20 0 CHADLO Cn1c(Cl)cnc1C[N@@H+](C)Cc1ccccc1C1CC1 ZINC000779161997 1128764918 /nfs/dbraw/zinc/76/49/18/1128764918.db2.gz SJYUPSCAZAECBM-UHFFFAOYSA-N 1 2 289.810 3.583 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCSCC2)cc1)C1CCC1 ZINC000179899710 1128765125 /nfs/dbraw/zinc/76/51/25/1128765125.db2.gz RNJORRSBSHEUNO-ZDUSSCGKSA-N 1 2 276.449 3.840 20 0 CHADLO Fc1ccc([C@H]2C[N@H+](Cc3cccc(F)c3)CCO2)cc1 ZINC000044736402 1118251667 /nfs/dbraw/zinc/25/16/67/1118251667.db2.gz LGTFCUCUMAXTMY-QGZVFWFLSA-N 1 2 289.325 3.538 20 0 CHADLO Fc1ccc([C@H]2C[N@@H+](Cc3cccc(F)c3)CCO2)cc1 ZINC000044736402 1118251670 /nfs/dbraw/zinc/25/16/70/1118251670.db2.gz LGTFCUCUMAXTMY-QGZVFWFLSA-N 1 2 289.325 3.538 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2cscn2)[C@@H]1c1ccccc1 ZINC000172452205 1118262958 /nfs/dbraw/zinc/26/29/58/1118262958.db2.gz YLXZXPXKQWCRTR-DOMZBBRYSA-N 1 2 290.457 3.822 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2cscn2)[C@@H]1c1ccccc1 ZINC000172452205 1118262961 /nfs/dbraw/zinc/26/29/61/1118262961.db2.gz YLXZXPXKQWCRTR-DOMZBBRYSA-N 1 2 290.457 3.822 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1cscn1 ZINC000057625675 1118281860 /nfs/dbraw/zinc/28/18/60/1118281860.db2.gz DUOPZBITCNOCOB-GFCCVEGCSA-N 1 2 264.419 3.714 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1cscn1 ZINC000057625675 1118281864 /nfs/dbraw/zinc/28/18/64/1118281864.db2.gz DUOPZBITCNOCOB-GFCCVEGCSA-N 1 2 264.419 3.714 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@H](C)c2ccncc2F)on1 ZINC000340488246 1118295002 /nfs/dbraw/zinc/29/50/02/1118295002.db2.gz OQSYQIKKMADXTQ-LLVKDONJSA-N 1 2 291.370 3.963 20 0 CHADLO Cc1ccc2c(Nc3cncc(N(C)C)c3)cccc2[nH+]1 ZINC001210476907 1118295570 /nfs/dbraw/zinc/29/55/70/1118295570.db2.gz FNFGRLDLYUHLJI-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc([C@H](C)O)c1 ZINC001210507131 1118303534 /nfs/dbraw/zinc/30/35/34/1118303534.db2.gz AJZIKQXIDCHRNG-LBPRGKRZSA-N 1 2 256.349 3.749 20 0 CHADLO Cc1cn2cc(NC(=O)C[C@H](C)CC(C)C)ccc2[nH+]1 ZINC000340515548 1118314714 /nfs/dbraw/zinc/31/47/14/1118314714.db2.gz RYXUNZLQOHMPIE-GFCCVEGCSA-N 1 2 273.380 3.654 20 0 CHADLO CCc1ccc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC000047514582 1118315749 /nfs/dbraw/zinc/31/57/49/1118315749.db2.gz BQMPOMAGGOQOCF-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO CCn1cc(Cl)cc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC001125403722 1118326742 /nfs/dbraw/zinc/32/67/42/1118326742.db2.gz FFGIPHSERHNQME-UHFFFAOYSA-N 1 2 291.782 3.734 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)c(C)[nH+]1 ZINC001125404886 1118329156 /nfs/dbraw/zinc/32/91/56/1118329156.db2.gz WAOWJDJHWPPBNQ-QDMKHBRRSA-N 1 2 272.392 3.772 20 0 CHADLO c1cn(-c2ccc(CNc3nc4ccccc4o3)cc2)c[nH+]1 ZINC000066372321 1118343504 /nfs/dbraw/zinc/34/35/04/1118343504.db2.gz WLYNRZIXYVBNHM-UHFFFAOYSA-N 1 2 290.326 3.626 20 0 CHADLO Cc1ccc(Nc2ccc(C(C)(C)O)cc2)c(C)[nH+]1 ZINC001210613806 1118350013 /nfs/dbraw/zinc/35/00/13/1118350013.db2.gz BHILOTJEMCDGTQ-UHFFFAOYSA-N 1 2 256.349 3.669 20 0 CHADLO C[N@H+](Cc1ccnc(N)c1)Cc1ccc(Cl)cc1Cl ZINC000683779148 1118358158 /nfs/dbraw/zinc/35/81/58/1118358158.db2.gz XZRQMVVTXPEWTO-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO C[N@@H+](Cc1ccnc(N)c1)Cc1ccc(Cl)cc1Cl ZINC000683779148 1118358161 /nfs/dbraw/zinc/35/81/61/1118358161.db2.gz XZRQMVVTXPEWTO-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO CCc1cc(NC2CCN(c3cccc[nH+]3)CC2)ccc1F ZINC000671765307 1118358985 /nfs/dbraw/zinc/35/89/85/1118358985.db2.gz HNPBKUXYSWKWOY-UHFFFAOYSA-N 1 2 299.393 3.864 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2c(F)cncc2F)c(C)o1 ZINC000671770741 1118365568 /nfs/dbraw/zinc/36/55/68/1118365568.db2.gz XLFDKRNPHDJSEE-NXEZZACHSA-N 1 2 280.318 3.981 20 0 CHADLO CC(C)(C)CC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000069299352 1118368581 /nfs/dbraw/zinc/36/85/81/1118368581.db2.gz VTEIOMOYIPBNHT-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO COc1c(C)c[nH+]c(CN2c3ccccc3C[C@H]2C)c1C ZINC000060330560 1118378874 /nfs/dbraw/zinc/37/88/74/1118378874.db2.gz PIMZZFJREYBCOE-CYBMUJFWSA-N 1 2 282.387 3.658 20 0 CHADLO COc1cc(Nc2cnc(-c3ccccc3)cn2)cc(C)[nH+]1 ZINC001210775576 1118381716 /nfs/dbraw/zinc/38/17/16/1118381716.db2.gz HDWNESXOQCJDBP-UHFFFAOYSA-N 1 2 292.342 3.599 20 0 CHADLO O=C(Nc1ccc(CNc2cccc[nH+]2)cc1)c1ccco1 ZINC000073394371 1118382800 /nfs/dbraw/zinc/38/28/00/1118382800.db2.gz OMFZABCWAUFMAP-UHFFFAOYSA-N 1 2 293.326 3.539 20 0 CHADLO CCC(=O)c1cccc(Nc2cc(C)[nH+]c(OC)c2)c1 ZINC001210784658 1118387200 /nfs/dbraw/zinc/38/72/00/1118387200.db2.gz SIWXFJFGYPVIDE-UHFFFAOYSA-N 1 2 270.332 3.735 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cn2c(n1)CCCC2)c1cc(C)ccn1 ZINC000631574504 1118392264 /nfs/dbraw/zinc/39/22/64/1118392264.db2.gz IDPCCZSMFYCANW-MRXNPFEDSA-N 1 2 298.434 3.554 20 0 CHADLO Oc1ccc(F)c(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001210800143 1118397102 /nfs/dbraw/zinc/39/71/02/1118397102.db2.gz ROEJVMOLDKTBTH-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(-c3ccco3)s2)C[C@H]1F ZINC000683834824 1118401081 /nfs/dbraw/zinc/40/10/81/1118401081.db2.gz KFEJUWLDGUDIHR-ZYHUDNBSSA-N 1 2 280.368 3.583 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(-c3ccco3)s2)C[C@H]1F ZINC000683834824 1118401084 /nfs/dbraw/zinc/40/10/84/1118401084.db2.gz KFEJUWLDGUDIHR-ZYHUDNBSSA-N 1 2 280.368 3.583 20 0 CHADLO CCc1cnc(C[N@H+](C)C/C=C\c2ccccc2)s1 ZINC000255363135 1118418656 /nfs/dbraw/zinc/41/86/56/1118418656.db2.gz HDWBUAWYGPRREP-YFHOEESVSA-N 1 2 272.417 3.851 20 0 CHADLO CCc1cnc(C[N@@H+](C)C/C=C\c2ccccc2)s1 ZINC000255363135 1118418658 /nfs/dbraw/zinc/41/86/58/1118418658.db2.gz HDWBUAWYGPRREP-YFHOEESVSA-N 1 2 272.417 3.851 20 0 CHADLO C[C@H]([NH2+]C/C=C\c1ccccc1)c1nc(C(C)(C)C)no1 ZINC000255373115 1118419382 /nfs/dbraw/zinc/41/93/82/1118419382.db2.gz YPTSVWJYJSGQPK-ZWXCPPHNSA-N 1 2 285.391 3.731 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCc3c(Cl)cccc3C2)o1 ZINC000683898861 1118432315 /nfs/dbraw/zinc/43/23/15/1118432315.db2.gz TXYNNMSXLUJISX-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCc3c(Cl)cccc3C2)o1 ZINC000683898861 1118432316 /nfs/dbraw/zinc/43/23/16/1118432316.db2.gz TXYNNMSXLUJISX-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(OC)nc2C)cc1 ZINC001211045808 1118445657 /nfs/dbraw/zinc/44/56/57/1118445657.db2.gz NVXVBUFWOLDWMH-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(OC)nc2C)cc1 ZINC001211045808 1118445658 /nfs/dbraw/zinc/44/56/58/1118445658.db2.gz NVXVBUFWOLDWMH-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CCOc1ccc(F)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001211049972 1118446795 /nfs/dbraw/zinc/44/67/95/1118446795.db2.gz CRPWKHVCIRGLEW-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CSc1cncc(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001211066891 1118453635 /nfs/dbraw/zinc/45/36/35/1118453635.db2.gz ATXVRDYTMPGOTH-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO CSc1cncc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001211071259 1118455344 /nfs/dbraw/zinc/45/53/44/1118455344.db2.gz LIYBHIMACUCROB-UHFFFAOYSA-N 1 2 282.372 3.733 20 0 CHADLO CC[C@@H]1CC[C@H](C)[N@@H+]1Cc1ncc(Br)s1 ZINC000683996390 1118482352 /nfs/dbraw/zinc/48/23/52/1118482352.db2.gz SODRTORWWMQTNK-DTWKUNHWSA-N 1 2 289.242 3.669 20 0 CHADLO CC[C@@H]1CC[C@H](C)[N@H+]1Cc1ncc(Br)s1 ZINC000683996390 1118482353 /nfs/dbraw/zinc/48/23/53/1118482353.db2.gz SODRTORWWMQTNK-DTWKUNHWSA-N 1 2 289.242 3.669 20 0 CHADLO Clc1ccc(-c2nc(C[NH+]3CCSCC3)co2)cc1 ZINC000047992458 1118492218 /nfs/dbraw/zinc/49/22/18/1118492218.db2.gz GYDNMNATAFJWSB-UHFFFAOYSA-N 1 2 294.807 3.544 20 0 CHADLO CCN(CCO)c1ccc(Nc2c[nH+]c(C)cc2C)c(C)c1 ZINC001213515674 1118512696 /nfs/dbraw/zinc/51/26/96/1118512696.db2.gz CLVFMIZAIUJLFX-UHFFFAOYSA-N 1 2 299.418 3.569 20 0 CHADLO COCC1(C[NH2+]c2ccc(N3CCCC3)cc2)CCC1 ZINC000383268747 1118512880 /nfs/dbraw/zinc/51/28/80/1118512880.db2.gz IISHIXPQKOVYIK-UHFFFAOYSA-N 1 2 274.408 3.515 20 0 CHADLO COCC1(CNc2ccc([NH+]3CCCC3)cc2)CCC1 ZINC000383268747 1118512882 /nfs/dbraw/zinc/51/28/82/1118512882.db2.gz IISHIXPQKOVYIK-UHFFFAOYSA-N 1 2 274.408 3.515 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cnc(-c3cccs3)s2)C1 ZINC000580839381 1118512914 /nfs/dbraw/zinc/51/29/14/1118512914.db2.gz QPQMQFWFJDJUTJ-JTQLQIEISA-N 1 2 282.409 3.806 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cnc(-c3cccs3)s2)C1 ZINC000580839381 1118512917 /nfs/dbraw/zinc/51/29/17/1118512917.db2.gz QPQMQFWFJDJUTJ-JTQLQIEISA-N 1 2 282.409 3.806 20 0 CHADLO Cc1cc(C)c(Nc2cc3nsnc3cc2C)c[nH+]1 ZINC001213518610 1118518313 /nfs/dbraw/zinc/51/83/13/1118518313.db2.gz XYTIBDRMZIXNGY-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cc1c[nH+]cc(Nc2ncccc2C(F)(F)F)c1C ZINC001213522894 1118524397 /nfs/dbraw/zinc/52/43/97/1118524397.db2.gz IUUSLNASCJHNKC-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)on1 ZINC000580930846 1118524838 /nfs/dbraw/zinc/52/48/38/1118524838.db2.gz LVBWZPKZIIZVKD-SNVBAGLBSA-N 1 2 292.766 3.724 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)on1 ZINC000580930846 1118524839 /nfs/dbraw/zinc/52/48/39/1118524839.db2.gz LVBWZPKZIIZVKD-SNVBAGLBSA-N 1 2 292.766 3.724 20 0 CHADLO COc1ccc(C[N@H+](C)[C@@H](C)c2cccnc2)c(Cl)c1 ZINC000127744974 1118531253 /nfs/dbraw/zinc/53/12/53/1118531253.db2.gz YFULMGRCRMUZGQ-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@@H](C)c2cccnc2)c(Cl)c1 ZINC000127744974 1118531255 /nfs/dbraw/zinc/53/12/55/1118531255.db2.gz YFULMGRCRMUZGQ-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO c1ccc([C@@H]2CCC[N@@H+](Cc3noc(C4CCC4)n3)C2)cc1 ZINC000625447389 1118542443 /nfs/dbraw/zinc/54/24/43/1118542443.db2.gz OACAZWPGBHDRJO-MRXNPFEDSA-N 1 2 297.402 3.717 20 0 CHADLO c1ccc([C@@H]2CCC[N@H+](Cc3noc(C4CCC4)n3)C2)cc1 ZINC000625447389 1118542445 /nfs/dbraw/zinc/54/24/45/1118542445.db2.gz OACAZWPGBHDRJO-MRXNPFEDSA-N 1 2 297.402 3.717 20 0 CHADLO CCc1noc(C[NH2+][C@@H](C)c2cccc3ccccc32)n1 ZINC000126309555 1118550273 /nfs/dbraw/zinc/55/02/73/1118550273.db2.gz WNUWQIYHWJWRBM-LBPRGKRZSA-N 1 2 281.359 3.636 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+]1Cc1ncc(Br)s1 ZINC000340906816 1118551364 /nfs/dbraw/zinc/55/13/64/1118551364.db2.gz FXFXEJWVYQQQFA-VIFPVBQESA-N 1 2 289.242 3.526 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+]1Cc1ncc(Br)s1 ZINC000340906816 1118551368 /nfs/dbraw/zinc/55/13/68/1118551368.db2.gz FXFXEJWVYQQQFA-VIFPVBQESA-N 1 2 289.242 3.526 20 0 CHADLO CC1(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CCCCC1 ZINC000128618178 1118555080 /nfs/dbraw/zinc/55/50/80/1118555080.db2.gz VHEJMQIQTRYXCQ-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO CCO[C@@H]1CCc2[nH+]c3cccc(C)c3c(C)c2C1 ZINC000656475755 1118562062 /nfs/dbraw/zinc/56/20/62/1118562062.db2.gz KMBJCIWKOJZOBG-CYBMUJFWSA-N 1 2 255.361 3.745 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCC3CCCC3)cc2[nH+]1 ZINC000340982342 1118569027 /nfs/dbraw/zinc/56/90/27/1118569027.db2.gz ZSOXIVWRHFOJIG-UHFFFAOYSA-N 1 2 271.364 3.780 20 0 CHADLO F[C@H]1CC[C@@H](Nc2[nH+]cccc2OCc2ccccc2)C1 ZINC000340984731 1118570035 /nfs/dbraw/zinc/57/00/35/1118570035.db2.gz XGPCSYJPLNLAAN-LSDHHAIUSA-N 1 2 286.350 3.963 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(c1)NCC2 ZINC001213151531 1118571292 /nfs/dbraw/zinc/57/12/92/1118571292.db2.gz AEEKKRIDSWVXCV-UHFFFAOYSA-N 1 2 253.349 3.664 20 0 CHADLO CCC[C@@](C)(NC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000889479969 1118577686 /nfs/dbraw/zinc/57/76/86/1118577686.db2.gz XFBSPRIKHFADHW-CRAIPNDOSA-N 1 2 299.418 3.666 20 0 CHADLO FC1(F)CC[N@H+](C[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001207178061 1118586426 /nfs/dbraw/zinc/58/64/26/1118586426.db2.gz UADLGULYULZPJP-AAEUAGOBSA-N 1 2 271.738 3.785 20 0 CHADLO FC1(F)CC[N@@H+](C[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001207178061 1118586429 /nfs/dbraw/zinc/58/64/29/1118586429.db2.gz UADLGULYULZPJP-AAEUAGOBSA-N 1 2 271.738 3.785 20 0 CHADLO FC(F)(F)Oc1cc[nH+]c(Nc2ccc3c(c2)NCC3)c1 ZINC001213151933 1118587494 /nfs/dbraw/zinc/58/74/94/1118587494.db2.gz OKTVVIZSHHJHRS-UHFFFAOYSA-N 1 2 295.264 3.692 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1ncc(Br)s1 ZINC000341140570 1118605085 /nfs/dbraw/zinc/60/50/85/1118605085.db2.gz QXUNHWDTUQCGKM-SECBINFHSA-N 1 2 289.242 3.670 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1ncc(Br)s1 ZINC000341140570 1118605086 /nfs/dbraw/zinc/60/50/86/1118605086.db2.gz QXUNHWDTUQCGKM-SECBINFHSA-N 1 2 289.242 3.670 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C2CCCC2)no1)c1ccccc1 ZINC000341213730 1118624651 /nfs/dbraw/zinc/62/46/51/1118624651.db2.gz RQDSLOCMWPOJOR-OAHLLOKOSA-N 1 2 285.391 3.968 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000341227171 1118628082 /nfs/dbraw/zinc/62/80/82/1118628082.db2.gz IIWFTKWMDRIFJJ-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO Cc1cc(Br)c(C[NH+]2CC(C(F)F)C2)s1 ZINC000656691945 1118639804 /nfs/dbraw/zinc/63/98/04/1118639804.db2.gz PUNVNBWYXNWKTE-UHFFFAOYSA-N 1 2 296.180 3.516 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2cnc(C3CC3)s2)C1 ZINC000656822335 1118661781 /nfs/dbraw/zinc/66/17/81/1118661781.db2.gz KPKUPQFPQBSMOJ-UHFFFAOYSA-N 1 2 290.354 3.795 20 0 CHADLO Cc1csc(-c2ccc(NCc3[nH+]cc(C)n3C)cc2)n1 ZINC000341381304 1118682021 /nfs/dbraw/zinc/68/20/21/1118682021.db2.gz CMAHIXWIYIWTTE-UHFFFAOYSA-N 1 2 298.415 3.773 20 0 CHADLO CC(C)([NH2+]C/C=C\c1ccc(F)cc1F)C(F)F ZINC000684414084 1118688107 /nfs/dbraw/zinc/68/81/07/1118688107.db2.gz RVLLUVGWOAARIX-ARJAWSKDSA-N 1 2 261.262 3.611 20 0 CHADLO Cc1nn(C(C)C)c(C)c1C[N@H+](C)Cc1ccccc1F ZINC000891476099 1118692221 /nfs/dbraw/zinc/69/22/21/1118692221.db2.gz NDPHACJCRMMNJT-UHFFFAOYSA-N 1 2 289.398 3.852 20 0 CHADLO Cc1nn(C(C)C)c(C)c1C[N@@H+](C)Cc1ccccc1F ZINC000891476099 1118692223 /nfs/dbraw/zinc/69/22/23/1118692223.db2.gz NDPHACJCRMMNJT-UHFFFAOYSA-N 1 2 289.398 3.852 20 0 CHADLO Cc1ccc(O)c(Nc2cc(C(C)C)ccc2N)[nH+]1 ZINC001216018971 1118701282 /nfs/dbraw/zinc/70/12/82/1118701282.db2.gz BZGOQDVQIVGMIE-UHFFFAOYSA-N 1 2 257.337 3.545 20 0 CHADLO C[C@H](Nc1cccc(-c2c[nH+]cn2C)c1)c1ccc(F)cn1 ZINC001167485904 1118709719 /nfs/dbraw/zinc/70/97/19/1118709719.db2.gz DAWGQZWXXZVFJO-LBPRGKRZSA-N 1 2 296.349 3.794 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccnc2)nc(C2CCC2)[nH+]1 ZINC000891560911 1118709753 /nfs/dbraw/zinc/70/97/53/1118709753.db2.gz FYMHCVTZUNXOLM-LBPRGKRZSA-N 1 2 268.364 3.621 20 0 CHADLO Cc1noc(C[NH2+][C@@H](CC(C)(C)C)c2ccc(F)cc2)n1 ZINC000341460283 1118712642 /nfs/dbraw/zinc/71/26/42/1118712642.db2.gz RXGKRSWPCXJCLK-AWEZNQCLSA-N 1 2 291.370 3.784 20 0 CHADLO Cc1cc(N(C)[C@H]2CCCC[C@H]2C)nc(C2CC2)[nH+]1 ZINC000341510793 1118717796 /nfs/dbraw/zinc/71/77/96/1118717796.db2.gz YTVYSNYEKDMQJB-RISCZKNCSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc(N[C@@H](C)c2c(F)cccc2F)nc(C2CC2)[nH+]1 ZINC000341514252 1118718167 /nfs/dbraw/zinc/71/81/67/1118718167.db2.gz VUTLGHRZSJZUTB-JTQLQIEISA-N 1 2 289.329 3.536 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)o1 ZINC000628133324 1118725649 /nfs/dbraw/zinc/72/56/49/1118725649.db2.gz ZAPBDUGMKFOENN-SNVBAGLBSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@H](C)c2ccccc2Cl)o1 ZINC000628133324 1118725650 /nfs/dbraw/zinc/72/56/50/1118725650.db2.gz ZAPBDUGMKFOENN-SNVBAGLBSA-N 1 2 264.756 3.829 20 0 CHADLO CC[N@H+](Cc1nccn1C1CC1)[C@@H](C)c1cccc(OC)c1 ZINC000891657166 1118733322 /nfs/dbraw/zinc/73/33/22/1118733322.db2.gz GFAZIJSKQLNPSR-AWEZNQCLSA-N 1 2 299.418 3.810 20 0 CHADLO CC[N@@H+](Cc1nccn1C1CC1)[C@@H](C)c1cccc(OC)c1 ZINC000891657166 1118733325 /nfs/dbraw/zinc/73/33/25/1118733325.db2.gz GFAZIJSKQLNPSR-AWEZNQCLSA-N 1 2 299.418 3.810 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1ccc(O)c(F)c1F ZINC001207225672 1118738520 /nfs/dbraw/zinc/73/85/20/1118738520.db2.gz OAAJBRHTZZRXCE-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO CC[N@H+](Cc1nccn1C1CC1)[C@@H](C)c1cccc(O)c1 ZINC000891713925 1118748904 /nfs/dbraw/zinc/74/89/04/1118748904.db2.gz PRDYSPKXXHQKNS-ZDUSSCGKSA-N 1 2 285.391 3.507 20 0 CHADLO CC[N@@H+](Cc1nccn1C1CC1)[C@@H](C)c1cccc(O)c1 ZINC000891713925 1118748907 /nfs/dbraw/zinc/74/89/07/1118748907.db2.gz PRDYSPKXXHQKNS-ZDUSSCGKSA-N 1 2 285.391 3.507 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H](C)c3ccccc3C)ccc2[nH+]1 ZINC001125545711 1118751336 /nfs/dbraw/zinc/75/13/36/1118751336.db2.gz LAQCKUYUCIBCQG-CQSZACIVSA-N 1 2 293.370 3.693 20 0 CHADLO CCCCC1(C(=O)Nc2ccc3[nH+]c(C)cn3c2)CC1 ZINC001125546030 1118752506 /nfs/dbraw/zinc/75/25/06/1118752506.db2.gz SWBHKOYJXMMYRY-UHFFFAOYSA-N 1 2 271.364 3.552 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1ccc2occc2c1 ZINC000218022472 1118754291 /nfs/dbraw/zinc/75/42/91/1118754291.db2.gz OCLIKTDTUPKCOS-UHFFFAOYSA-N 1 2 279.339 3.748 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1ccc2occc2c1 ZINC000218022472 1118754294 /nfs/dbraw/zinc/75/42/94/1118754294.db2.gz OCLIKTDTUPKCOS-UHFFFAOYSA-N 1 2 279.339 3.748 20 0 CHADLO Cc1cc(NC(=O)C[C@@H]2C[C@H](C)c3c2cccc3C)cc[nH+]1 ZINC001266882789 1118766625 /nfs/dbraw/zinc/76/66/25/1118766625.db2.gz JQKLVVYTXVXQBF-ZFWWWQNUSA-N 1 2 294.398 3.740 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C12CCC(CC1)C2 ZINC000684519342 1118782393 /nfs/dbraw/zinc/78/23/93/1118782393.db2.gz FCNQOMMEBYOSLH-UHFFFAOYSA-N 1 2 295.386 3.904 20 0 CHADLO Clc1oc2ccccc2c1C[N@@H+]1CCO[C@H]2CCC[C@H]21 ZINC000430882368 1118783201 /nfs/dbraw/zinc/78/32/01/1118783201.db2.gz JXALBJKDQDNLDT-HIFRSBDPSA-N 1 2 291.778 3.840 20 0 CHADLO Clc1oc2ccccc2c1C[N@H+]1CCO[C@H]2CCC[C@H]21 ZINC000430882368 1118783204 /nfs/dbraw/zinc/78/32/04/1118783204.db2.gz JXALBJKDQDNLDT-HIFRSBDPSA-N 1 2 291.778 3.840 20 0 CHADLO CCc1nc(N(C)[C@@H](C)c2cccs2)cc(C)[nH+]1 ZINC000341721191 1118816017 /nfs/dbraw/zinc/81/60/17/1118816017.db2.gz JSUOMZRUYTVOET-NSHDSACASA-N 1 2 261.394 3.606 20 0 CHADLO CC(C)(C)n1ncnc1C[N@@H+]1CCCC[C@@H]1c1ccccc1 ZINC000283145683 1118847124 /nfs/dbraw/zinc/84/71/24/1118847124.db2.gz OGLLEHNIFGDZSZ-MRXNPFEDSA-N 1 2 298.434 3.760 20 0 CHADLO CC(C)(C)n1ncnc1C[N@H+]1CCCC[C@@H]1c1ccccc1 ZINC000283145683 1118847127 /nfs/dbraw/zinc/84/71/27/1118847127.db2.gz OGLLEHNIFGDZSZ-MRXNPFEDSA-N 1 2 298.434 3.760 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(C(C)(C)C)co2)s1 ZINC000891923941 1118849987 /nfs/dbraw/zinc/84/99/87/1118849987.db2.gz RQRSEVLMABMALH-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(C(C)(C)C)co2)s1 ZINC000891923941 1118849991 /nfs/dbraw/zinc/84/99/91/1118849991.db2.gz RQRSEVLMABMALH-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO Nc1cccc(C[N@H+](Cc2c(F)cccc2F)C2CC2)c1 ZINC000133663796 1118865994 /nfs/dbraw/zinc/86/59/94/1118865994.db2.gz MFHBLBJZTNDJJK-UHFFFAOYSA-N 1 2 288.341 3.712 20 0 CHADLO Nc1cccc(C[N@@H+](Cc2c(F)cccc2F)C2CC2)c1 ZINC000133663796 1118865999 /nfs/dbraw/zinc/86/59/99/1118865999.db2.gz MFHBLBJZTNDJJK-UHFFFAOYSA-N 1 2 288.341 3.712 20 0 CHADLO CC(C)c1ccc(CC/[NH+]=C/c2cccc(O)c2O)cc1 ZINC000022769376 1118882869 /nfs/dbraw/zinc/88/28/69/1118882869.db2.gz JLCSWVCFYLCVSS-XDHOZWIPSA-N 1 2 283.371 3.883 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCC[C@@H]1c1ccccn1 ZINC000535780477 1118887112 /nfs/dbraw/zinc/88/71/12/1118887112.db2.gz COINCMODRVLBGH-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCC[C@@H]1c1ccccn1 ZINC000535780477 1118887116 /nfs/dbraw/zinc/88/71/16/1118887116.db2.gz COINCMODRVLBGH-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO CC[C@H](Cc1cccs1)[NH2+][C@H](CC)C(=O)OC(C)(C)C ZINC000610833399 1118898710 /nfs/dbraw/zinc/89/87/10/1118898710.db2.gz SCQXTQXOGFLLII-TZMCWYRMSA-N 1 2 297.464 3.779 20 0 CHADLO CCn1cc(C[N@H+](C)Cc2ccc(F)cc2Cl)c(C)n1 ZINC000891993650 1118930470 /nfs/dbraw/zinc/93/04/70/1118930470.db2.gz VUYRAJXLGIYDMB-UHFFFAOYSA-N 1 2 295.789 3.636 20 0 CHADLO CCn1cc(C[N@@H+](C)Cc2ccc(F)cc2Cl)c(C)n1 ZINC000891993650 1118930472 /nfs/dbraw/zinc/93/04/72/1118930472.db2.gz VUYRAJXLGIYDMB-UHFFFAOYSA-N 1 2 295.789 3.636 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2ccc(F)cc21)c1ncco1 ZINC000926336461 1118940567 /nfs/dbraw/zinc/94/05/67/1118940567.db2.gz YSLBOLGKNOMOBM-YGRLFVJLSA-N 1 2 260.312 3.542 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@@H](C)c2ccc(Cl)cc2Cl)n1 ZINC000657289116 1118959068 /nfs/dbraw/zinc/95/90/68/1118959068.db2.gz ALQQTLDTQZZHNL-VIFPVBQESA-N 1 2 284.190 3.876 20 0 CHADLO Fc1c(C[NH2+]Cc2ccc(Cl)o2)cccc1OC1CC1 ZINC000657291293 1118962954 /nfs/dbraw/zinc/96/29/54/1118962954.db2.gz XJMOAGJADVUWBO-UHFFFAOYSA-N 1 2 295.741 3.903 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](c2ccccc2F)C2CCCC2)n1 ZINC000342271814 1118964501 /nfs/dbraw/zinc/96/45/01/1118964501.db2.gz YLLPNZPTYOGRRR-GOSISDBHSA-N 1 2 299.393 3.945 20 0 CHADLO c1oc2ccccc2c1C[NH2+]Cc1noc2c1CCCC2 ZINC000342312153 1118974248 /nfs/dbraw/zinc/97/42/48/1118974248.db2.gz KXOMQJAVUHHSGO-UHFFFAOYSA-N 1 2 282.343 3.589 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1ccsc1 ZINC000724389462 1118986142 /nfs/dbraw/zinc/98/61/42/1118986142.db2.gz XWQNHAZZTHOGCB-JTQLQIEISA-N 1 2 264.394 3.884 20 0 CHADLO C[C@@H](Nc1cc[nH+]c2c(Cl)cccc12)c1cnn(C)c1 ZINC000342349306 1118987856 /nfs/dbraw/zinc/98/78/56/1118987856.db2.gz NBRNAAGFQKXDIZ-SNVBAGLBSA-N 1 2 286.766 3.795 20 0 CHADLO CCc1cccc2cc(C[NH2+]Cc3nccs3)oc21 ZINC000657317782 1118997177 /nfs/dbraw/zinc/99/71/77/1118997177.db2.gz GEQWMYJSDVOYMD-UHFFFAOYSA-N 1 2 272.373 3.742 20 0 CHADLO C[C@H]1CSCCC[N@@H+]1Cc1ccc(Cl)nc1Cl ZINC000934299627 1119014356 /nfs/dbraw/zinc/01/43/56/1119014356.db2.gz XDKBORCLIFQYCQ-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CSCCC[N@H+]1Cc1ccc(Cl)nc1Cl ZINC000934299627 1119014361 /nfs/dbraw/zinc/01/43/61/1119014361.db2.gz XDKBORCLIFQYCQ-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO CC(C)C[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000072092843 1119015879 /nfs/dbraw/zinc/01/58/79/1119015879.db2.gz QBSAQDHMCWZWQW-CQSZACIVSA-N 1 2 271.763 3.508 20 0 CHADLO CC(C)C[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000072092843 1119015882 /nfs/dbraw/zinc/01/58/82/1119015882.db2.gz QBSAQDHMCWZWQW-CQSZACIVSA-N 1 2 271.763 3.508 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000294081034 1119030860 /nfs/dbraw/zinc/03/08/60/1119030860.db2.gz LMBVKAGAYGSZET-YDHLFZDLSA-N 1 2 285.391 3.557 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@@H](C)c2cscn2)cnn1C(C)(C)C ZINC000582192408 1119032126 /nfs/dbraw/zinc/03/21/26/1119032126.db2.gz IYRAJKQDWFNHLW-MNOVXSKESA-N 1 2 292.452 3.815 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@H](C)c2cccc(Cl)c2Cl)n1 ZINC000657368241 1119033068 /nfs/dbraw/zinc/03/30/68/1119033068.db2.gz ZFBXPJHCTKMGOE-SECBINFHSA-N 1 2 284.190 3.876 20 0 CHADLO Clc1cccc2c(N3CCOC4(CCC4)C3)cc[nH+]c12 ZINC000342474990 1119044689 /nfs/dbraw/zinc/04/46/89/1119044689.db2.gz NRCIUKMOJVCKRP-UHFFFAOYSA-N 1 2 288.778 3.648 20 0 CHADLO CSc1ccc(F)cc1C[NH2+]Cc1nccn1C(C)C ZINC000657386154 1119045805 /nfs/dbraw/zinc/04/58/05/1119045805.db2.gz XPDACLYCNYNWEF-UHFFFAOYSA-N 1 2 293.411 3.615 20 0 CHADLO Cc1[nH]c(CNc2ccc(COC(C)(C)C)cc2)[nH+]c1C ZINC000657391167 1119049607 /nfs/dbraw/zinc/04/96/07/1119049607.db2.gz HZTSQRSAMLAHIC-UHFFFAOYSA-N 1 2 287.407 3.954 20 0 CHADLO CCc1nc(N(Cc2ccccc2)CC(F)F)cc(C)[nH+]1 ZINC000342501191 1119055042 /nfs/dbraw/zinc/05/50/42/1119055042.db2.gz AIZDESOHVOUZKY-UHFFFAOYSA-N 1 2 291.345 3.619 20 0 CHADLO Cc1cc(-c2ccccc2OC(F)(F)F)c[nH+]c1N ZINC000116930517 1128818732 /nfs/dbraw/zinc/81/87/32/1128818732.db2.gz BIZHVXJYUVZNGQ-UHFFFAOYSA-N 1 2 268.238 3.538 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)cc1 ZINC000353395700 1128819126 /nfs/dbraw/zinc/81/91/26/1128819126.db2.gz QWDBCFPHFVHVAY-SUMWQHHRSA-N 1 2 299.418 3.925 20 0 CHADLO Cc1cc(N2CCSC3(CCC3)CC2)nc(C(C)C)[nH+]1 ZINC000413481573 1119070589 /nfs/dbraw/zinc/07/05/89/1119070589.db2.gz MIQUZZGXXNCMHA-UHFFFAOYSA-N 1 2 291.464 3.774 20 0 CHADLO CCc1noc(C[NH2+][C@@H](CC(C)C)c2ccccc2)n1 ZINC000127740518 1119095601 /nfs/dbraw/zinc/09/56/01/1119095601.db2.gz FFUCZIBKWRWLDK-AWEZNQCLSA-N 1 2 273.380 3.509 20 0 CHADLO CC[N@H+](Cc1coc(-c2ccccc2)n1)Cc1ccccn1 ZINC000119882713 1119097117 /nfs/dbraw/zinc/09/71/17/1119097117.db2.gz PHLXJVPEJGFTMK-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC[N@@H+](Cc1coc(-c2ccccc2)n1)Cc1ccccn1 ZINC000119882713 1119097121 /nfs/dbraw/zinc/09/71/21/1119097121.db2.gz PHLXJVPEJGFTMK-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO FCc1ccccc1C[N@@H+]1CCc2c(F)ccc(F)c2C1 ZINC001167585752 1119118969 /nfs/dbraw/zinc/11/89/69/1119118969.db2.gz BGGAELBGMMPJRL-UHFFFAOYSA-N 1 2 291.316 3.993 20 0 CHADLO FCc1ccccc1C[N@H+]1CCc2c(F)ccc(F)c2C1 ZINC001167585752 1119118971 /nfs/dbraw/zinc/11/89/71/1119118971.db2.gz BGGAELBGMMPJRL-UHFFFAOYSA-N 1 2 291.316 3.993 20 0 CHADLO Cc1ccccc1NC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000152882965 1119132119 /nfs/dbraw/zinc/13/21/19/1119132119.db2.gz STEDJMZGHMUNRH-UHFFFAOYSA-N 1 2 292.342 3.825 20 0 CHADLO Cc1[nH]c(CNc2ccc3c(c2)CCCCC3)[nH+]c1C ZINC000657499731 1119133566 /nfs/dbraw/zinc/13/35/66/1119133566.db2.gz CAHNLFFNGAHHDX-UHFFFAOYSA-N 1 2 269.392 3.908 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1-c1ccc(N)[nH+]c1 ZINC000226557496 1119139761 /nfs/dbraw/zinc/13/97/61/1119139761.db2.gz BMAPLBSWSJLTSN-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H]2CCCc3cccnc32)n1 ZINC000684958808 1119143161 /nfs/dbraw/zinc/14/31/61/1119143161.db2.gz WZPOMPVJCXHRPV-ZDUSSCGKSA-N 1 2 287.432 3.829 20 0 CHADLO Cc1nc(N2CCc3sccc3[C@@H]2C)c(C)c(C)[nH+]1 ZINC000348743069 1119160008 /nfs/dbraw/zinc/16/00/08/1119160008.db2.gz MDLVUUHALWOUDM-NSHDSACASA-N 1 2 273.405 3.587 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccoc2)C2CCCCC2)n1C ZINC000342773619 1119160897 /nfs/dbraw/zinc/16/08/97/1119160897.db2.gz DTTICMXEBONLMN-UHFFFAOYSA-N 1 2 287.407 3.656 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccoc2)C2CCCCC2)n1C ZINC000342773619 1119160899 /nfs/dbraw/zinc/16/08/99/1119160899.db2.gz DTTICMXEBONLMN-UHFFFAOYSA-N 1 2 287.407 3.656 20 0 CHADLO Cc1ccc(F)cc1C[N@H+](C)Cc1cnc(Cl)s1 ZINC001140590107 1119181490 /nfs/dbraw/zinc/18/14/90/1119181490.db2.gz WOKNUDUGKOKZPL-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+](C)Cc1cnc(Cl)s1 ZINC001140590107 1119181492 /nfs/dbraw/zinc/18/14/92/1119181492.db2.gz WOKNUDUGKOKZPL-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO CCCCN(Cc1[nH]c(C)c(C)[nH+]1)Cc1ccccc1F ZINC000628143357 1119191044 /nfs/dbraw/zinc/19/10/44/1119191044.db2.gz KIQRWTKDHTUWOJ-UHFFFAOYSA-N 1 2 289.398 3.968 20 0 CHADLO Cc1ccccc1[C@H]1C[C@@H](C)N(c2cccc[nH+]2)C1 ZINC000077983934 1119196953 /nfs/dbraw/zinc/19/69/53/1119196953.db2.gz YCQRJXKVSLEMGH-CABCVRRESA-N 1 2 252.361 3.772 20 0 CHADLO Nc1cc(CNc2ccccc2OC2CCCC2)cc[nH+]1 ZINC001167617136 1119213976 /nfs/dbraw/zinc/21/39/76/1119213976.db2.gz WBTGJZSQLRZLKO-UHFFFAOYSA-N 1 2 283.375 3.597 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1NCc1cc[nH+]c(N)c1 ZINC001167617866 1119217112 /nfs/dbraw/zinc/21/71/12/1119217112.db2.gz ZALFNLUSGJMIHF-UHFFFAOYSA-N 1 2 281.281 3.603 20 0 CHADLO Cc1ccc(Cl)c2ccc(NCc3cc[nH+]c(N)c3)nc12 ZINC001167617500 1119217287 /nfs/dbraw/zinc/21/72/87/1119217287.db2.gz RHQXQNRZVNQKQJ-UHFFFAOYSA-N 1 2 298.777 3.786 20 0 CHADLO Cc1cc(NCc2cc[nH+]c(N)c2)c(OC(C)C)cc1C ZINC001167618859 1119219972 /nfs/dbraw/zinc/21/99/72/1119219972.db2.gz OEBMSWDZLVEMJU-UHFFFAOYSA-N 1 2 285.391 3.680 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2cnc(C3CC3)o2)C2CC2)n1 ZINC000628221447 1128830369 /nfs/dbraw/zinc/83/03/69/1128830369.db2.gz IPRYGAPLOMWYMY-ZDUSSCGKSA-N 1 2 289.404 3.558 20 0 CHADLO c1ccc(SC[C@@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000078526183 1119231897 /nfs/dbraw/zinc/23/18/97/1119231897.db2.gz RGPDAMHRUKATKW-CQSZACIVSA-N 1 2 270.401 3.700 20 0 CHADLO Cc1oc2ccccc2c1C[N@H+](C)Cc1cscn1 ZINC000120233053 1119234166 /nfs/dbraw/zinc/23/41/66/1119234166.db2.gz YTMRLCDYHPVEKG-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1oc2ccccc2c1C[N@@H+](C)Cc1cscn1 ZINC000120233053 1119234168 /nfs/dbraw/zinc/23/41/68/1119234168.db2.gz YTMRLCDYHPVEKG-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC(=O)[C@@H](C)C2)cc(C(F)(F)F)c1 ZINC001143448080 1119235088 /nfs/dbraw/zinc/23/50/88/1119235088.db2.gz LCSYUAMASKWVMT-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1cc(C[N@H+]2CCCC(=O)[C@@H](C)C2)cc(C(F)(F)F)c1 ZINC001143448080 1119235091 /nfs/dbraw/zinc/23/50/91/1119235091.db2.gz LCSYUAMASKWVMT-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO COCOc1ccccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001211560511 1119239574 /nfs/dbraw/zinc/23/95/74/1119239574.db2.gz IQTOTTSQOHLGQK-UHFFFAOYSA-N 1 2 295.342 3.599 20 0 CHADLO Clc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)nc1 ZINC000078655125 1119242250 /nfs/dbraw/zinc/24/22/50/1119242250.db2.gz UDUIOTGFGJPJPC-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1cc(Cl)ncc1F ZINC001140603390 1119245241 /nfs/dbraw/zinc/24/52/41/1119245241.db2.gz MFJNCDZKPOXSAL-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1cc(Cl)ncc1F ZINC001140603390 1119245246 /nfs/dbraw/zinc/24/52/46/1119245246.db2.gz MFJNCDZKPOXSAL-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO Cc1ccc(COc2cc[nH+]cc2)cc1Br ZINC000431501978 1119249598 /nfs/dbraw/zinc/24/95/98/1119249598.db2.gz IWWMGCIAHOXCFN-UHFFFAOYSA-N 1 2 278.149 3.732 20 0 CHADLO Clc1ccc([C@H]2CC[N@@H+]2Cc2cccc3nccn32)cc1 ZINC000342940643 1119257155 /nfs/dbraw/zinc/25/71/55/1119257155.db2.gz UDVPCUILCQNGKR-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccc([C@H]2CC[N@H+]2Cc2cccc3nccn32)cc1 ZINC000342940643 1119257157 /nfs/dbraw/zinc/25/71/57/1119257157.db2.gz UDVPCUILCQNGKR-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccc([C@H]2CCN2Cc2cccc3[nH+]ccn32)cc1 ZINC000342940643 1119257160 /nfs/dbraw/zinc/25/71/60/1119257160.db2.gz UDVPCUILCQNGKR-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1cc(Cl)cs1 ZINC000308876317 1119274200 /nfs/dbraw/zinc/27/42/00/1119274200.db2.gz NMRNONWURCZTIB-VIFPVBQESA-N 1 2 251.729 3.679 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1ccc(F)cc1Cl ZINC000449562893 1119291882 /nfs/dbraw/zinc/29/18/82/1119291882.db2.gz PBVVOTVYZBYWNC-JTQLQIEISA-N 1 2 281.762 3.546 20 0 CHADLO C[C@H]([NH2+][C@H]1CCSc2ccccc21)c1ccon1 ZINC000349837369 1119302276 /nfs/dbraw/zinc/30/22/76/1119302276.db2.gz QZEKHEWFVCHLAM-GWCFXTLKSA-N 1 2 260.362 3.562 20 0 CHADLO Cc1cc(C)cc(C2(C(=O)Nc3cc[nH+]c(C)c3)CC2)c1 ZINC000079718537 1119306565 /nfs/dbraw/zinc/30/65/65/1119306565.db2.gz SOHUDWOOOLNKRL-UHFFFAOYSA-N 1 2 280.371 3.677 20 0 CHADLO CC1=CC[N@H+](CC(=O)Nc2ccc(Cl)c(Cl)c2)CC1 ZINC000535962560 1119315985 /nfs/dbraw/zinc/31/59/85/1119315985.db2.gz FMFGPMMNGBPNAT-UHFFFAOYSA-N 1 2 299.201 3.584 20 0 CHADLO CC1=CC[N@@H+](CC(=O)Nc2ccc(Cl)c(Cl)c2)CC1 ZINC000535962560 1119315986 /nfs/dbraw/zinc/31/59/86/1119315986.db2.gz FMFGPMMNGBPNAT-UHFFFAOYSA-N 1 2 299.201 3.584 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccccc2OCOC)cc1 ZINC001211570369 1119317963 /nfs/dbraw/zinc/31/79/63/1119317963.db2.gz BEQDAUWQSXRLRR-UHFFFAOYSA-N 1 2 286.375 3.869 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccccc2OCOC)cc1 ZINC001211570369 1119317965 /nfs/dbraw/zinc/31/79/65/1119317965.db2.gz BEQDAUWQSXRLRR-UHFFFAOYSA-N 1 2 286.375 3.869 20 0 CHADLO Cc1ccoc1C[NH2+][C@H]1COCc2cccc(Cl)c21 ZINC000926469570 1119320503 /nfs/dbraw/zinc/32/05/03/1119320503.db2.gz FJGPHWRYAWISSZ-ZDUSSCGKSA-N 1 2 277.751 3.603 20 0 CHADLO CCc1oc2ccccc2c1C[NH2+]Cc1cc(C)on1 ZINC000044412171 1119323731 /nfs/dbraw/zinc/32/37/31/1119323731.db2.gz KQKDWQIDPDALPR-UHFFFAOYSA-N 1 2 270.332 3.581 20 0 CHADLO CCCc1noc(COc2cc(CC)[nH+]c3ccccc32)n1 ZINC000092334005 1119329170 /nfs/dbraw/zinc/32/91/70/1119329170.db2.gz WAMBSFSAGGBUPL-UHFFFAOYSA-N 1 2 297.358 3.712 20 0 CHADLO Cn1ncc2ccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cc21 ZINC001213087897 1119343915 /nfs/dbraw/zinc/34/39/15/1119343915.db2.gz GIQAXLOTIZNCNS-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO Fc1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213088085 1119345790 /nfs/dbraw/zinc/34/57/90/1119345790.db2.gz ORUSANSIUHOIAJ-UHFFFAOYSA-N 1 2 253.280 3.959 20 0 CHADLO CCc1ccc(C2=CC[N@@H+]([C@@H](C)c3nc(C)no3)CC2)cc1 ZINC000093263787 1119358559 /nfs/dbraw/zinc/35/85/59/1119358559.db2.gz VGHIJKQEHSOFDK-ZDUSSCGKSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1ccc(C2=CC[N@H+]([C@@H](C)c3nc(C)no3)CC2)cc1 ZINC000093263787 1119358561 /nfs/dbraw/zinc/35/85/61/1119358561.db2.gz VGHIJKQEHSOFDK-ZDUSSCGKSA-N 1 2 297.402 3.791 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccc(F)cc2F)c(C)s1 ZINC000051016683 1119361183 /nfs/dbraw/zinc/36/11/83/1119361183.db2.gz OAFFARJPOQSXCU-QMMMGPOBSA-N 1 2 282.359 3.889 20 0 CHADLO Cc1[nH]c(CN2CC3(CCC3)[C@H]2c2ccccc2)[nH+]c1C ZINC000628294350 1128843505 /nfs/dbraw/zinc/84/35/05/1128843505.db2.gz ONLAHUKJABWHQO-QGZVFWFLSA-N 1 2 281.403 3.754 20 0 CHADLO Cc1[nH]c(CN2CC3(CCC3)[C@@H]2c2ccccc2)[nH+]c1C ZINC000628294349 1128843602 /nfs/dbraw/zinc/84/36/02/1128843602.db2.gz ONLAHUKJABWHQO-KRWDZBQOSA-N 1 2 281.403 3.754 20 0 CHADLO Cc1cnccc1CC[NH2+][C@H](c1ccccc1)C(F)F ZINC000564725448 1119402082 /nfs/dbraw/zinc/40/20/82/1119402082.db2.gz PYLRMIGRTFRROD-OAHLLOKOSA-N 1 2 276.330 3.529 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1cc2ccccc2[nH]1 ZINC000657794249 1119414069 /nfs/dbraw/zinc/41/40/69/1119414069.db2.gz VKYKPACJODEJQE-UHFFFAOYSA-N 1 2 266.335 3.939 20 0 CHADLO C[C@H]([NH2+]Cc1c(Cl)oc2ccccc21)c1nccn1C ZINC000657794926 1119415014 /nfs/dbraw/zinc/41/50/14/1119415014.db2.gz ZBKPWPBJINIFGJ-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO Oc1c(F)c(F)ccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090764 1119417781 /nfs/dbraw/zinc/41/77/81/1119417781.db2.gz FKEKARIXNKRIIJ-UHFFFAOYSA-N 1 2 287.269 3.804 20 0 CHADLO Nc1cc2c(ccn2-c2ccc(-c3[nH]cc[nH+]3)cc2)c(F)c1 ZINC001213091095 1119424994 /nfs/dbraw/zinc/42/49/94/1119424994.db2.gz WCZOOTOFWASXKC-UHFFFAOYSA-N 1 2 292.317 3.742 20 0 CHADLO C[N@H+](Cc1nc(C(F)F)no1)[C@H]1CCCc2ccccc21 ZINC000583868075 1119427064 /nfs/dbraw/zinc/42/70/64/1119427064.db2.gz IIBOWJKNFPQVJU-LBPRGKRZSA-N 1 2 293.317 3.517 20 0 CHADLO C[N@@H+](Cc1nc(C(F)F)no1)[C@H]1CCCc2ccccc21 ZINC000583868075 1119427065 /nfs/dbraw/zinc/42/70/65/1119427065.db2.gz IIBOWJKNFPQVJU-LBPRGKRZSA-N 1 2 293.317 3.517 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2coc3ccccc23)co1 ZINC000926537696 1119431439 /nfs/dbraw/zinc/43/14/39/1119431439.db2.gz MIIVZBSPTQRIPF-NSHDSACASA-N 1 2 270.332 3.834 20 0 CHADLO CC(C)CC[C@H]1CCCC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000158145155 1119433555 /nfs/dbraw/zinc/43/35/55/1119433555.db2.gz LQUWWBQQEUCRSL-CQSZACIVSA-N 1 2 277.412 3.738 20 0 CHADLO CC(C)CC[C@H]1CCCC[N@H+]1Cc1noc(C2CC2)n1 ZINC000158145155 1119433557 /nfs/dbraw/zinc/43/35/57/1119433557.db2.gz LQUWWBQQEUCRSL-CQSZACIVSA-N 1 2 277.412 3.738 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)c1ccc(F)cn1 ZINC000147646576 1119463854 /nfs/dbraw/zinc/46/38/54/1119463854.db2.gz DUQSNSTWOZDXRJ-LBPRGKRZSA-N 1 2 273.355 3.768 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3nccc4sccc43)n2c1 ZINC000158941733 1119477474 /nfs/dbraw/zinc/47/74/74/1119477474.db2.gz SORGYUAOAWFSFM-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO CC[C@@H](Nc1[nH+]c(C)nc2[nH]ccc21)c1ccc(OC)cc1 ZINC000080764386 1119504560 /nfs/dbraw/zinc/50/45/60/1119504560.db2.gz BKHZSJUVFWXZTI-OAHLLOKOSA-N 1 2 296.374 3.838 20 0 CHADLO CCc1ccc(CNc2[nH+]c(C)nc3[nH]ccc32)s1 ZINC000080947838 1119506947 /nfs/dbraw/zinc/50/69/47/1119506947.db2.gz NXABQTCDANRHOG-UHFFFAOYSA-N 1 2 272.377 3.502 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2coc3ccccc23)[nH+]1 ZINC000080986748 1119508693 /nfs/dbraw/zinc/50/86/93/1119508693.db2.gz FVWBHBPQNJKUPG-UHFFFAOYSA-N 1 2 278.315 3.625 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000081605683 1119519967 /nfs/dbraw/zinc/51/99/67/1119519967.db2.gz JSYOWDDOISZBNI-OAHLLOKOSA-N 1 2 276.767 3.892 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000081605683 1119519969 /nfs/dbraw/zinc/51/99/69/1119519969.db2.gz JSYOWDDOISZBNI-OAHLLOKOSA-N 1 2 276.767 3.892 20 0 CHADLO C[C@H]([N@H+](C)Cn1nc(C2CC2)sc1=S)C1(C)CC1 ZINC000176833770 1119521800 /nfs/dbraw/zinc/52/18/00/1119521800.db2.gz KBIJSNHNBFVHJM-VIFPVBQESA-N 1 2 283.466 3.629 20 0 CHADLO C[C@H]([N@@H+](C)Cn1nc(C2CC2)sc1=S)C1(C)CC1 ZINC000176833770 1119521804 /nfs/dbraw/zinc/52/18/04/1119521804.db2.gz KBIJSNHNBFVHJM-VIFPVBQESA-N 1 2 283.466 3.629 20 0 CHADLO Cc1ccccc1Cc1nc(C[NH+]2[C@H](C)CCC[C@H]2C)no1 ZINC000083170734 1119524790 /nfs/dbraw/zinc/52/47/90/1119524790.db2.gz CFHKQIMKLLTKOI-HUUCEWRRSA-N 1 2 299.418 3.732 20 0 CHADLO CC(C)c1cc(NC[C@@H](C)C[C@H](C)O)nc(C(C)C)[nH+]1 ZINC000090094617 1119546107 /nfs/dbraw/zinc/54/61/07/1119546107.db2.gz BAHWTPHZMDOICQ-STQMWFEESA-N 1 2 279.428 3.542 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3nc(C4CC4)ncc3C2)s1 ZINC000139638653 1119578747 /nfs/dbraw/zinc/57/87/47/1119578747.db2.gz DFTQYSCNVYVXCE-UHFFFAOYSA-N 1 2 299.443 3.536 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3nc(C4CC4)ncc3C2)s1 ZINC000139638653 1119578750 /nfs/dbraw/zinc/57/87/50/1119578750.db2.gz DFTQYSCNVYVXCE-UHFFFAOYSA-N 1 2 299.443 3.536 20 0 CHADLO Cn1c[nH+]cc1COc1ccccc1Cc1ccccc1 ZINC000197455101 1119586708 /nfs/dbraw/zinc/58/67/08/1119586708.db2.gz ONYCAGVCYJLJNQ-UHFFFAOYSA-N 1 2 278.355 3.590 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ccn[nH]1)c1ccc2c(c1)CCCC2 ZINC000657882209 1119592488 /nfs/dbraw/zinc/59/24/88/1119592488.db2.gz QGPAJEPNIWZMML-SFHVURJKSA-N 1 2 283.419 3.775 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1ccc(Cl)nc1Cl ZINC000235255660 1119592662 /nfs/dbraw/zinc/59/26/62/1119592662.db2.gz URTVVTGEGJWKQX-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1ccc(Cl)nc1Cl ZINC000235255660 1119592664 /nfs/dbraw/zinc/59/26/64/1119592664.db2.gz URTVVTGEGJWKQX-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO CC[N@H+](Cc1cscc1Cl)Cc1ccncc1 ZINC000685450754 1119609101 /nfs/dbraw/zinc/60/91/01/1119609101.db2.gz CRDKECPWXUFCRZ-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO Cc1c(C)c(C)c(CO[C@H]2C[NH2+]CC(F)(F)C2)c(C)c1C ZINC001217857208 1119645964 /nfs/dbraw/zinc/64/59/64/1119645964.db2.gz UUWPCFJXYZNPFM-OAHLLOKOSA-N 1 2 297.389 3.743 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)C(C)(C)C(F)F ZINC000657951868 1119650447 /nfs/dbraw/zinc/65/04/47/1119650447.db2.gz GAWHIRHSPCKIGG-LLVKDONJSA-N 1 2 283.366 3.774 20 0 CHADLO CCC1(C(=O)NNc2cc[nH+]cc2Cl)CCCCC1 ZINC000184355231 1119651821 /nfs/dbraw/zinc/65/18/21/1119651821.db2.gz NUZXKRCJDLCTLE-UHFFFAOYSA-N 1 2 281.787 3.539 20 0 CHADLO Brc1cscc1CNc1cccc[nH+]1 ZINC000307711752 1119653361 /nfs/dbraw/zinc/65/33/61/1119653361.db2.gz VDYLAVZKGGKASH-UHFFFAOYSA-N 1 2 269.167 3.518 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@@H+]1CCO[C@@H](CCF)C1 ZINC000628458397 1128862757 /nfs/dbraw/zinc/86/27/57/1128862757.db2.gz PJOVIEBEZPGLOH-AWEZNQCLSA-N 1 2 285.790 3.517 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@H+]1CCO[C@@H](CCF)C1 ZINC000628458397 1128862763 /nfs/dbraw/zinc/86/27/63/1128862763.db2.gz PJOVIEBEZPGLOH-AWEZNQCLSA-N 1 2 285.790 3.517 20 0 CHADLO COc1cccc(Nc2ccc(N(C)C)[nH+]c2)c1C1CC1 ZINC001213797324 1119697287 /nfs/dbraw/zinc/69/72/87/1119697287.db2.gz XMMLVSCNURKMBT-UHFFFAOYSA-N 1 2 283.375 3.777 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)C(C)(C)C)c1cccc(CO)c1 ZINC000658337962 1119727709 /nfs/dbraw/zinc/72/77/09/1119727709.db2.gz XQRVQCKJYLFSGT-LLVKDONJSA-N 1 2 271.351 3.511 20 0 CHADLO Fc1ccc(C[NH2+]Cc2csc(C(F)(F)F)n2)cc1 ZINC000892443495 1119740730 /nfs/dbraw/zinc/74/07/30/1119740730.db2.gz GODYDOXINBBNNN-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccnn1C)c1nc(C(C)(C)C)cs1 ZINC000192841132 1119744670 /nfs/dbraw/zinc/74/46/70/1119744670.db2.gz PGJZAOVYWSBWLB-WDEREUQCSA-N 1 2 292.452 3.586 20 0 CHADLO COCOc1ccc(Nc2cccc(C(C)C)[nH+]2)cc1 ZINC001211631073 1119747329 /nfs/dbraw/zinc/74/73/29/1119747329.db2.gz NJUHFYXSEVITRZ-UHFFFAOYSA-N 1 2 272.348 3.931 20 0 CHADLO CCCCN(C(=O)CCc1c[nH]c[nH+]1)[C@H]1CCC[C@H](C)C1 ZINC000359272455 1119747556 /nfs/dbraw/zinc/74/75/56/1119747556.db2.gz ZDBKMKFJSBURBF-HOCLYGCPSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCN(C(=O)CCc1c[nH+]c[nH]1)[C@H]1CCC[C@H](C)C1 ZINC000359272455 1119747560 /nfs/dbraw/zinc/74/75/60/1119747560.db2.gz ZDBKMKFJSBURBF-HOCLYGCPSA-N 1 2 291.439 3.550 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+]Cc2nc(C)c(C)o2)cc1 ZINC000223622497 1119751717 /nfs/dbraw/zinc/75/17/17/1119751717.db2.gz KYKWJMWORICFKS-GFCCVEGCSA-N 1 2 274.364 3.541 20 0 CHADLO Cc1[nH]c(CN2CC[C@@H](C)c3ccccc32)[nH+]c1C ZINC000628236057 1119756407 /nfs/dbraw/zinc/75/64/07/1119756407.db2.gz OIXSGSQODTWNQW-LLVKDONJSA-N 1 2 255.365 3.540 20 0 CHADLO Fc1cc(C[NH2+]Cc2cnc(C3CC3)o2)c(F)cc1Cl ZINC000628254888 1119765027 /nfs/dbraw/zinc/76/50/27/1119765027.db2.gz SZJPCRDWGXYUGO-UHFFFAOYSA-N 1 2 298.720 3.773 20 0 CHADLO Cc1[nH]c2ccc(C[NH2+][C@@H]3CCCc4[nH]ncc43)cc2c1C ZINC000375853563 1119771091 /nfs/dbraw/zinc/77/10/91/1119771091.db2.gz QUCWIBZGRZBIHM-MRXNPFEDSA-N 1 2 294.402 3.675 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccn(C3CCCC3)n2)c(F)c1 ZINC000631094625 1119775210 /nfs/dbraw/zinc/77/52/10/1119775210.db2.gz YSAXTQJWVQVEFR-UHFFFAOYSA-N 1 2 287.382 3.736 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)c1ccc(Cl)c(Cl)c1 ZINC001625884156 1119814493 /nfs/dbraw/zinc/81/44/93/1119814493.db2.gz KJGXPQUVHYONPK-UHFFFAOYSA-N 1 2 295.169 3.679 20 0 CHADLO CCCCOc1ccc(NCc2c[nH+]cn2C)c(C)c1 ZINC000177555220 1119826274 /nfs/dbraw/zinc/82/62/74/1119826274.db2.gz IRLHPADQUNYTSB-UHFFFAOYSA-N 1 2 273.380 3.520 20 0 CHADLO C[C@H]([NH2+]C[C@@]1(C)CC1(F)F)C(F)(F)c1ccccc1 ZINC000926703175 1119828956 /nfs/dbraw/zinc/82/89/56/1119828956.db2.gz DNSJGYUDARHUED-CMPLNLGQSA-N 1 2 275.289 3.802 20 0 CHADLO Clc1scc(C[NH2+]Cc2ccccn2)c1Cl ZINC000309562845 1119829001 /nfs/dbraw/zinc/82/90/01/1119829001.db2.gz JXOBVYMJMGIEFJ-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO C[C@@H](CC(=O)OCc1cc[nH+]c(N(C)C)c1)CC(C)(C)C ZINC000755903495 1119896547 /nfs/dbraw/zinc/89/65/47/1119896547.db2.gz CHAIIDFMDZTMQC-ZDUSSCGKSA-N 1 2 292.423 3.653 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000180166826 1119935368 /nfs/dbraw/zinc/93/53/68/1119935368.db2.gz SMBJYQZNJCPRDR-XOVFHRFOSA-N 1 2 283.371 3.756 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000180166826 1119935370 /nfs/dbraw/zinc/93/53/70/1119935370.db2.gz SMBJYQZNJCPRDR-XOVFHRFOSA-N 1 2 283.371 3.756 20 0 CHADLO CCn1ccc(C[NH2+][C@H](c2cc(F)ccc2F)C(C)C)n1 ZINC000414208554 1119940384 /nfs/dbraw/zinc/94/03/84/1119940384.db2.gz LUNUAYJIHMLPHR-INIZCTEOSA-N 1 2 293.361 3.668 20 0 CHADLO COc1ncc([C@@H](C)[NH2+][C@@H](C)c2nccs2)cc1Cl ZINC000414228237 1119949301 /nfs/dbraw/zinc/94/93/01/1119949301.db2.gz XQVCYOVIGNSWKX-BDAKNGLRSA-N 1 2 297.811 3.612 20 0 CHADLO CCN(CC)c1ccc(Nc2ncnc3ccccc32)c[nH+]1 ZINC000613517488 1119964376 /nfs/dbraw/zinc/96/43/76/1119964376.db2.gz RULVRSFETNMXQA-UHFFFAOYSA-N 1 2 293.374 3.615 20 0 CHADLO CCc1nc([C@H]2CCCC[N@@H+]2Cc2ccccc2C)no1 ZINC000613527922 1119973824 /nfs/dbraw/zinc/97/38/24/1119973824.db2.gz CBRAHMTWYXXQEP-OAHLLOKOSA-N 1 2 285.391 3.668 20 0 CHADLO CCc1nc([C@H]2CCCC[N@H+]2Cc2ccccc2C)no1 ZINC000613527922 1119973827 /nfs/dbraw/zinc/97/38/27/1119973827.db2.gz CBRAHMTWYXXQEP-OAHLLOKOSA-N 1 2 285.391 3.668 20 0 CHADLO CCc1nc([C@H]2CCCC[N@@H+]2Cc2cc(C)ccc2C)no1 ZINC000613530331 1119974845 /nfs/dbraw/zinc/97/48/45/1119974845.db2.gz ASPMHGPTPALRJM-MRXNPFEDSA-N 1 2 299.418 3.976 20 0 CHADLO CCc1nc([C@H]2CCCC[N@H+]2Cc2cc(C)ccc2C)no1 ZINC000613530331 1119974848 /nfs/dbraw/zinc/97/48/48/1119974848.db2.gz ASPMHGPTPALRJM-MRXNPFEDSA-N 1 2 299.418 3.976 20 0 CHADLO CCCCCN(CCCCC)c1[nH+]cnc2c1cnn2C ZINC000613530836 1119978414 /nfs/dbraw/zinc/97/84/14/1119978414.db2.gz IEACPJHBUSTJBH-UHFFFAOYSA-N 1 2 289.427 3.550 20 0 CHADLO Cc1ccc(CCNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC000685908727 1119983837 /nfs/dbraw/zinc/98/38/37/1119983837.db2.gz YWVOVKYVKWOTCE-UHFFFAOYSA-N 1 2 251.333 3.526 20 0 CHADLO Cc1occc1C[NH2+][C@H](COCC(C)C)c1ccco1 ZINC000414353009 1119995317 /nfs/dbraw/zinc/99/53/17/1119995317.db2.gz XVKBAWSDKHVQCB-OAHLLOKOSA-N 1 2 277.364 3.685 20 0 CHADLO C[C@H](CN(C)C(=O)c1ccc(-n2cc[nH+]c2)cc1)C(C)(C)C ZINC000636432578 1119999412 /nfs/dbraw/zinc/99/94/12/1119999412.db2.gz ALQNMEZLMPJEIO-CQSZACIVSA-N 1 2 299.418 3.627 20 0 CHADLO Cc1occc1CNc1c[nH+]ccc1OC(C)(C)C ZINC000414366684 1120001059 /nfs/dbraw/zinc/00/10/59/1120001059.db2.gz OKZNJAYTFNCGNW-UHFFFAOYSA-N 1 2 260.337 3.772 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)nn1 ZINC000414374179 1120004877 /nfs/dbraw/zinc/00/48/77/1120004877.db2.gz VDXINAAMKYQQEG-YVEFUNNKSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)nn1 ZINC000414374179 1120004881 /nfs/dbraw/zinc/00/48/81/1120004881.db2.gz VDXINAAMKYQQEG-YVEFUNNKSA-N 1 2 297.402 3.666 20 0 CHADLO Fc1cccc([C@@H]([NH2+]Cc2ccoc2)c2ccccn2)c1 ZINC000765797822 1120017364 /nfs/dbraw/zinc/01/73/64/1120017364.db2.gz SBJRYZQFPCCYIY-QGZVFWFLSA-N 1 2 282.318 3.693 20 0 CHADLO C=COc1ccc(NC(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC001670976787 1120033941 /nfs/dbraw/zinc/03/39/41/1120033941.db2.gz HIKGVJUJARMYBC-UHFFFAOYSA-N 1 2 294.314 3.501 20 0 CHADLO CC(C)c1nc(C[N@H+](C2CC2)C2CCC(C)CC2)no1 ZINC000053610830 1120042842 /nfs/dbraw/zinc/04/28/42/1120042842.db2.gz BXXVZNCJLAHVPV-UHFFFAOYSA-N 1 2 277.412 3.736 20 0 CHADLO CC(C)c1nc(C[N@@H+](C2CC2)C2CCC(C)CC2)no1 ZINC000053610830 1120042851 /nfs/dbraw/zinc/04/28/51/1120042851.db2.gz BXXVZNCJLAHVPV-UHFFFAOYSA-N 1 2 277.412 3.736 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+]Cc3ncccc3C)co2)cc1 ZINC000085313650 1120053521 /nfs/dbraw/zinc/05/35/21/1120053521.db2.gz FHOONTQWIURNBR-UHFFFAOYSA-N 1 2 293.370 3.643 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)/C=C\C3CC3)cc2)[nH+]c1C ZINC000921659840 1120056867 /nfs/dbraw/zinc/05/68/67/1120056867.db2.gz GIBHDAYKOUDLKW-YHYXMXQVSA-N 1 2 281.359 3.598 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C=CC3CC3)cc2)[nH+]c1C ZINC000921659840 1120056874 /nfs/dbraw/zinc/05/68/74/1120056874.db2.gz GIBHDAYKOUDLKW-YHYXMXQVSA-N 1 2 281.359 3.598 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C3CC=CC3)cc2)[nH+]c1C ZINC000921663201 1120059382 /nfs/dbraw/zinc/05/93/82/1120059382.db2.gz SXGWRKGLLRDBTC-UHFFFAOYSA-N 1 2 281.359 3.598 20 0 CHADLO CCC[N@H+](Cc1ccccc1F)Cc1ccncc1F ZINC000648359281 1120082857 /nfs/dbraw/zinc/08/28/57/1120082857.db2.gz RHMFUQRQRVKJHF-UHFFFAOYSA-N 1 2 276.330 3.772 20 0 CHADLO CCC[N@@H+](Cc1ccccc1F)Cc1ccncc1F ZINC000648359281 1120082864 /nfs/dbraw/zinc/08/28/64/1120082864.db2.gz RHMFUQRQRVKJHF-UHFFFAOYSA-N 1 2 276.330 3.772 20 0 CHADLO Clc1ccc(C[N@@H+]2CCCC3(CC3)C2)c(Cl)n1 ZINC000695138112 1120112306 /nfs/dbraw/zinc/11/23/06/1120112306.db2.gz IKJIAKRSJWGZOV-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO CC1CCC([N@H+](C)Cc2nnc(C(F)(F)F)s2)CC1 ZINC000659822897 1120117997 /nfs/dbraw/zinc/11/79/97/1120117997.db2.gz WQBSOXDZHMHGGZ-UHFFFAOYSA-N 1 2 293.358 3.567 20 0 CHADLO CC1CCC([N@@H+](C)Cc2nnc(C(F)(F)F)s2)CC1 ZINC000659822897 1120117999 /nfs/dbraw/zinc/11/79/99/1120117999.db2.gz WQBSOXDZHMHGGZ-UHFFFAOYSA-N 1 2 293.358 3.567 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccc(F)cc2F)ccc1F ZINC001116439826 1120120897 /nfs/dbraw/zinc/12/08/97/1120120897.db2.gz WQWZKVRIRJXAHL-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccc(F)cc2F)ccc1F ZINC001116439826 1120120899 /nfs/dbraw/zinc/12/08/99/1120120899.db2.gz WQWZKVRIRJXAHL-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccccc1)c1cccc(F)c1)c1ncc[nH]1 ZINC000353394244 1120128533 /nfs/dbraw/zinc/12/85/33/1120128533.db2.gz LWYPLEATVTZMBJ-DYVFJYSZSA-N 1 2 295.361 3.989 20 0 CHADLO CCCCOC1CC[NH+]([C@@H](C)c2nc(C(C)C)no2)CC1 ZINC000362452663 1120141361 /nfs/dbraw/zinc/14/13/61/1120141361.db2.gz VQHIPWXNMVSFNA-ZDUSSCGKSA-N 1 2 295.427 3.535 20 0 CHADLO C[C@H]1CCC[C@@H]([N@H+](C)Cc2nnc(C(F)(F)F)s2)C1 ZINC000659842788 1120164938 /nfs/dbraw/zinc/16/49/38/1120164938.db2.gz UKMVGCOTVPORLN-DTWKUNHWSA-N 1 2 293.358 3.567 20 0 CHADLO C[C@H]1CCC[C@@H]([N@@H+](C)Cc2nnc(C(F)(F)F)s2)C1 ZINC000659842788 1120164941 /nfs/dbraw/zinc/16/49/41/1120164941.db2.gz UKMVGCOTVPORLN-DTWKUNHWSA-N 1 2 293.358 3.567 20 0 CHADLO Cc1cc(N[C@H]2CCC(F)(F)C2)nc(C2CCC2)[nH+]1 ZINC000892937625 1120165948 /nfs/dbraw/zinc/16/59/48/1120165948.db2.gz FOCFLXLXVYYKNF-NSHDSACASA-N 1 2 267.323 3.652 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](C)c2nc3ccccc3s2)c1 ZINC000328242980 1120169104 /nfs/dbraw/zinc/16/91/04/1120169104.db2.gz HQMKWQOLQNQAQV-LBPRGKRZSA-N 1 2 283.400 3.851 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2cccc(C)n2)nc(CC)[nH+]1 ZINC000892942351 1120169120 /nfs/dbraw/zinc/16/91/20/1120169120.db2.gz AFUDRODJXWXXSI-MRXNPFEDSA-N 1 2 296.418 3.646 20 0 CHADLO COc1ccc(-c2ccc3[nH+]c(C)cn3c2)c(C)c1 ZINC001239827603 1120169923 /nfs/dbraw/zinc/16/99/23/1120169923.db2.gz XXXJSJCTQAWDGE-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CC(C)CCCNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000314169146 1120174356 /nfs/dbraw/zinc/17/43/56/1120174356.db2.gz ZFDYYCDELQZDPW-UHFFFAOYSA-N 1 2 295.390 3.664 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@]2(C)CC2(C)C)c(C)[nH+]1 ZINC001219010005 1120184211 /nfs/dbraw/zinc/18/42/11/1120184211.db2.gz WMTPQAAABNXEDU-MRXNPFEDSA-N 1 2 275.396 3.565 20 0 CHADLO CC[C@](C)([NH2+]Cc1cc(O)cc(Cl)c1)c1nccs1 ZINC000892990458 1120190416 /nfs/dbraw/zinc/19/04/16/1120190416.db2.gz BIAZWCVKMTXOOV-AWEZNQCLSA-N 1 2 296.823 3.917 20 0 CHADLO CCOc1cc(Cl)ccc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000181821017 1120190696 /nfs/dbraw/zinc/19/06/96/1120190696.db2.gz CSRMZRHGWLUQTL-UHFFFAOYSA-N 1 2 290.750 3.694 20 0 CHADLO FC(F)(F)c1cc(Cl)ccc1C[N@@H+]1CCCOCC1 ZINC001143499215 1120211389 /nfs/dbraw/zinc/21/13/89/1120211389.db2.gz JGQIVEODASHRJC-UHFFFAOYSA-N 1 2 293.716 3.581 20 0 CHADLO FC(F)(F)c1cc(Cl)ccc1C[N@H+]1CCCOCC1 ZINC001143499215 1120211391 /nfs/dbraw/zinc/21/13/91/1120211391.db2.gz JGQIVEODASHRJC-UHFFFAOYSA-N 1 2 293.716 3.581 20 0 CHADLO CCCC[N@H+](Cc1cn(C)cn1)Cc1ccccc1Cl ZINC000659871133 1120225372 /nfs/dbraw/zinc/22/53/72/1120225372.db2.gz NRACSABLTTXBAA-UHFFFAOYSA-N 1 2 291.826 3.876 20 0 CHADLO CCCC[N@@H+](Cc1cn(C)cn1)Cc1ccccc1Cl ZINC000659871133 1120225373 /nfs/dbraw/zinc/22/53/73/1120225373.db2.gz NRACSABLTTXBAA-UHFFFAOYSA-N 1 2 291.826 3.876 20 0 CHADLO Cc1cc(N[C@H]2CCOc3ccccc32)nc(C(C)C)[nH+]1 ZINC000053674399 1120247037 /nfs/dbraw/zinc/24/70/37/1120247037.db2.gz BTNRVVXMJRXTIB-AWEZNQCLSA-N 1 2 283.375 3.844 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1ccc(-n2cccn2)c(F)c1 ZINC000338748261 1120249797 /nfs/dbraw/zinc/24/97/97/1120249797.db2.gz HLYWJCKKJBCDKN-LBPRGKRZSA-N 1 2 282.322 3.580 20 0 CHADLO Cc1cc(NC[C@H](C)c2nccs2)nc(C2CCC2)[nH+]1 ZINC000893162869 1120250556 /nfs/dbraw/zinc/25/05/56/1120250556.db2.gz QAXXDIJLZABTTG-JTQLQIEISA-N 1 2 288.420 3.725 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1ccc(-n2c[nH+]c(C)c2C)nn1 ZINC001168691668 1120264908 /nfs/dbraw/zinc/26/49/08/1120264908.db2.gz VNHROGTWCFACFS-VXGBXAGGSA-N 1 2 287.411 3.516 20 0 CHADLO CSCCNc1cc[nH+]c2c(Cl)cccc12 ZINC000309883181 1120281668 /nfs/dbraw/zinc/28/16/68/1120281668.db2.gz WPRKBRURUACWCV-UHFFFAOYSA-N 1 2 252.770 3.663 20 0 CHADLO Cc1nc(C[N@@H+]2CCSC[C@@H]2c2ccccc2)c(C)o1 ZINC000659932448 1120292244 /nfs/dbraw/zinc/29/22/44/1120292244.db2.gz FDKHSEBKZCEUCI-MRXNPFEDSA-N 1 2 288.416 3.582 20 0 CHADLO Cc1nc(C[N@H+]2CCSC[C@@H]2c2ccccc2)c(C)o1 ZINC000659932448 1120292248 /nfs/dbraw/zinc/29/22/48/1120292248.db2.gz FDKHSEBKZCEUCI-MRXNPFEDSA-N 1 2 288.416 3.582 20 0 CHADLO COc1ccc2ccc(N[C@@H]3CCO[C@H](C4CC4)C3)[nH+]c2c1 ZINC000893194080 1120294277 /nfs/dbraw/zinc/29/42/77/1120294277.db2.gz CTTFCOFMDVXRSF-PBHICJAKSA-N 1 2 298.386 3.613 20 0 CHADLO CC(C)(C)Oc1cccc(-c2ccc3[nH+]ccn3c2)n1 ZINC001240214669 1120299580 /nfs/dbraw/zinc/29/95/80/1120299580.db2.gz PHSMQXAJNFWRQS-UHFFFAOYSA-N 1 2 267.332 3.574 20 0 CHADLO Cc1cc(N2C[C@H](C)[C@@H]3CCCC[C@@H]32)nc(C2CC2)[nH+]1 ZINC000542965233 1120300587 /nfs/dbraw/zinc/30/05/87/1120300587.db2.gz KEHUTHUVDJVOKM-CQDKDKBSSA-N 1 2 271.408 3.677 20 0 CHADLO COc1ccc(-c2ccc3[nH+]ccn3c2)c(C)c1C ZINC001240217710 1120301784 /nfs/dbraw/zinc/30/17/84/1120301784.db2.gz NBRXLNFMNOUZSW-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)s1 ZINC000679546776 1120308917 /nfs/dbraw/zinc/30/89/17/1120308917.db2.gz UFSLJIGMHBFDLY-WCBMZHEXSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)s1 ZINC000679546776 1120308923 /nfs/dbraw/zinc/30/89/23/1120308923.db2.gz UFSLJIGMHBFDLY-WCBMZHEXSA-N 1 2 278.343 3.614 20 0 CHADLO CCc1cnccc1[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000921963268 1120309173 /nfs/dbraw/zinc/30/91/73/1120309173.db2.gz HEZSKZFNCBLTDW-GFCCVEGCSA-N 1 2 287.407 3.780 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)c(C)o1 ZINC000659969733 1120315845 /nfs/dbraw/zinc/31/58/45/1120315845.db2.gz JOXNCWLBUBZXLV-IAQYHMDHSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)c(C)o1 ZINC000659969733 1120315850 /nfs/dbraw/zinc/31/58/50/1120315850.db2.gz JOXNCWLBUBZXLV-IAQYHMDHSA-N 1 2 274.364 3.858 20 0 CHADLO CCCCCC[C@H](C)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178348813 1120317895 /nfs/dbraw/zinc/31/78/95/1120317895.db2.gz WXGSPTIBPGGXQH-AWEZNQCLSA-N 1 2 287.407 3.557 20 0 CHADLO c1csc(-c2nc(-c3ccc(-n4cc[nH+]c4)cc3)no2)c1 ZINC001212464357 1120326848 /nfs/dbraw/zinc/32/68/48/1120326848.db2.gz KVGGHPXFHIYUQF-UHFFFAOYSA-N 1 2 294.339 3.651 20 0 CHADLO COCCOc1ccc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000672622110 1120336227 /nfs/dbraw/zinc/33/62/27/1120336227.db2.gz RWTMQCSPEBVXJH-SWLSCSKDSA-N 1 2 299.361 3.550 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+][C@@H](c1cccnc1)C1CCC1 ZINC000672621680 1120336543 /nfs/dbraw/zinc/33/65/43/1120336543.db2.gz DLSSHYLGTQEPQV-ZIAGYGMSSA-N 1 2 266.335 3.700 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+][C@@H](c1ccccc1)C1CCC1)CCC2 ZINC000367620660 1120336971 /nfs/dbraw/zinc/33/69/71/1120336971.db2.gz QPDJNROXHIVFGU-WMZOPIPTSA-N 1 2 281.403 3.918 20 0 CHADLO Cc1nc2c(s1)[C@@H]([NH2+][C@@H]1CCCC1(F)F)CCC2 ZINC000672622845 1120337070 /nfs/dbraw/zinc/33/70/70/1120337070.db2.gz VLKHOEBQFGTWHL-WDEREUQCSA-N 1 2 272.364 3.606 20 0 CHADLO CCOc1ccc(CNc2ccc([NH2+]C)cc2)cc1 ZINC000629388514 1128909643 /nfs/dbraw/zinc/90/96/43/1128909643.db2.gz DBTYNKADALPRDQ-UHFFFAOYSA-N 1 2 256.349 3.739 20 0 CHADLO CCOc1ccccc1CNc1ccc([NH2+]C)cc1 ZINC000629389765 1128909744 /nfs/dbraw/zinc/90/97/44/1128909744.db2.gz VHRYMFPNZDSEOV-UHFFFAOYSA-N 1 2 256.349 3.739 20 0 CHADLO Cc1cc(NC(=O)c2cccc3[nH+]ccn32)cc(C)c1Cl ZINC001128304141 1120347649 /nfs/dbraw/zinc/34/76/49/1120347649.db2.gz JLJZEXCHRIZVCB-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2scnc2Cl)cn1 ZINC001137823295 1120359740 /nfs/dbraw/zinc/35/97/40/1120359740.db2.gz ADRSRHWMTOZPTQ-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2scnc2Cl)cn1 ZINC001137823295 1120359743 /nfs/dbraw/zinc/35/97/43/1120359743.db2.gz ADRSRHWMTOZPTQ-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO CCc1cc(OCc2nccs2)c2ccccc2[nH+]1 ZINC000092333924 1128911959 /nfs/dbraw/zinc/91/19/59/1128911959.db2.gz UTSKVIJURQKZSB-UHFFFAOYSA-N 1 2 270.357 3.833 20 0 CHADLO COc1cc(C[N@@H+]2CC(C)(C)[C@H]2c2ccccc2)sn1 ZINC000660090858 1120373074 /nfs/dbraw/zinc/37/30/74/1120373074.db2.gz KTHHVMCRUVSAQX-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO COc1cc(C[N@H+]2CC(C)(C)[C@H]2c2ccccc2)sn1 ZINC000660090858 1120373080 /nfs/dbraw/zinc/37/30/80/1120373080.db2.gz KTHHVMCRUVSAQX-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)[N@@H+]1C/C=C\Cl ZINC000255765981 1120404123 /nfs/dbraw/zinc/40/41/23/1120404123.db2.gz CCXZJPBEZZCEMW-PKMOYZETSA-N 1 2 265.784 3.589 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)[N@H+]1C/C=C\Cl ZINC000255765981 1120404126 /nfs/dbraw/zinc/40/41/26/1120404126.db2.gz CCXZJPBEZZCEMW-PKMOYZETSA-N 1 2 265.784 3.589 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2sccc2Cl)c(C)[nH+]1 ZINC000417165133 1120407511 /nfs/dbraw/zinc/40/75/11/1120407511.db2.gz QXBXHVJFIMUYHP-GOSISDBHSA-N 1 2 299.848 3.862 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cscn1)c1ccccc1OC ZINC000162355150 1120411669 /nfs/dbraw/zinc/41/16/69/1120411669.db2.gz ZSQXNWLEAAHFAX-AAEUAGOBSA-N 1 2 276.405 3.954 20 0 CHADLO CC(C)[N@@H+]1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000066851211 1120414900 /nfs/dbraw/zinc/41/49/00/1120414900.db2.gz GGHVPOYZZBZHFT-CYBMUJFWSA-N 1 2 274.191 3.775 20 0 CHADLO CC(C)[N@H+]1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000066851211 1120414903 /nfs/dbraw/zinc/41/49/03/1120414903.db2.gz GGHVPOYZZBZHFT-CYBMUJFWSA-N 1 2 274.191 3.775 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cccc(OC(F)F)c2)c(C)o1 ZINC000660178560 1120420806 /nfs/dbraw/zinc/42/08/06/1120420806.db2.gz MSHPSQNSUDPQLR-VIFPVBQESA-N 1 2 296.317 3.744 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cccc(F)n1)c1ncccc1Cl ZINC000925592321 1120423562 /nfs/dbraw/zinc/42/35/62/1120423562.db2.gz HVYYROVUJJVHRM-CYBMUJFWSA-N 1 2 293.773 3.900 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000079288046 1120431905 /nfs/dbraw/zinc/43/19/05/1120431905.db2.gz KOEYLDDYXDUXOD-NWDGAFQWSA-N 1 2 285.391 3.669 20 0 CHADLO CCc1cccc2cc(C[NH2+][C@H]3C[C@@H](C)n4ccnc43)oc21 ZINC000657483156 1120470690 /nfs/dbraw/zinc/47/06/90/1120470690.db2.gz HGNBUZMLIVIUOX-WBMJQRKESA-N 1 2 295.386 3.987 20 0 CHADLO Cc1cccc([C@@H]2CC[N@H+](Cc3noc(C(C)(C)C)n3)C2)c1 ZINC000543249055 1120474926 /nfs/dbraw/zinc/47/49/26/1120474926.db2.gz CUEJFQXFXUKVSB-OAHLLOKOSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1cccc([C@@H]2CC[N@@H+](Cc3noc(C(C)(C)C)n3)C2)c1 ZINC000543249055 1120474931 /nfs/dbraw/zinc/47/49/31/1120474931.db2.gz CUEJFQXFXUKVSB-OAHLLOKOSA-N 1 2 299.418 3.665 20 0 CHADLO COc1cccc(C[NH2+][C@H](C)c2ncc(C)s2)c1Cl ZINC000660269516 1120476673 /nfs/dbraw/zinc/47/66/73/1120476673.db2.gz NWTMUDQOAONDCJ-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(SC)ccc1C ZINC000417837325 1120485130 /nfs/dbraw/zinc/48/51/30/1120485130.db2.gz MEEXZGWLGJQCKQ-UHFFFAOYSA-N 1 2 261.394 3.545 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccn(C(F)F)n2)C2CC2)cc1 ZINC000347706583 1120502100 /nfs/dbraw/zinc/50/21/00/1120502100.db2.gz QMCOGIDVLRPJBC-UHFFFAOYSA-N 1 2 295.308 3.582 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccn(C(F)F)n2)C2CC2)cc1 ZINC000347706583 1120502107 /nfs/dbraw/zinc/50/21/07/1120502107.db2.gz QMCOGIDVLRPJBC-UHFFFAOYSA-N 1 2 295.308 3.582 20 0 CHADLO CCc1ccc(NCc2c[nH+]cn2CC)cc1Cl ZINC000418058952 1120512394 /nfs/dbraw/zinc/51/23/94/1120512394.db2.gz RSQKJZKZXHOLNH-UHFFFAOYSA-N 1 2 263.772 3.731 20 0 CHADLO Cc1ccc2[nH+]c(NCc3nc(C(C)(C)C)co3)[nH]c2c1 ZINC000922337173 1120519523 /nfs/dbraw/zinc/51/95/23/1120519523.db2.gz BUJOTSLAJJAWQX-UHFFFAOYSA-N 1 2 284.363 3.769 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1coc2ccccc12 ZINC000397932634 1120535190 /nfs/dbraw/zinc/53/51/90/1120535190.db2.gz CEQQQWSMQAOMKY-ZDUSSCGKSA-N 1 2 251.276 3.710 20 0 CHADLO Cc1cc(CNc2cnn(C(C)C)c2)c2c([nH+]1)CCCC2 ZINC000893347931 1120550010 /nfs/dbraw/zinc/55/00/10/1120550010.db2.gz PAJAIJNSSXHQRG-UHFFFAOYSA-N 1 2 284.407 3.658 20 0 CHADLO FC(F)(F)c1ccccc1C[NH2+][C@H]1CCCC1(F)F ZINC000398015184 1120555575 /nfs/dbraw/zinc/55/55/75/1120555575.db2.gz VVTGPLXUWCVJRU-NSHDSACASA-N 1 2 279.252 3.983 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C)s1)c1cc(F)ccc1OC ZINC000922620618 1120564362 /nfs/dbraw/zinc/56/43/62/1120564362.db2.gz DUGOELSXVWTVOB-CYBMUJFWSA-N 1 2 294.395 3.840 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C(F)(F)F)OC(C)(C)C2)cc1 ZINC000428928580 1120572891 /nfs/dbraw/zinc/57/28/91/1120572891.db2.gz IDOPLXUNDMTFPZ-ZDUSSCGKSA-N 1 2 287.325 3.537 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C(F)(F)F)OC(C)(C)C2)cc1 ZINC000428928580 1120572894 /nfs/dbraw/zinc/57/28/94/1120572894.db2.gz IDOPLXUNDMTFPZ-ZDUSSCGKSA-N 1 2 287.325 3.537 20 0 CHADLO COCc1cc[nH+]c(NC[C@@H](C)Cc2ccccc2)c1 ZINC000652068004 1120577768 /nfs/dbraw/zinc/57/77/68/1120577768.db2.gz ONHYQWNUCATTOX-AWEZNQCLSA-N 1 2 270.376 3.519 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@@H]1c1ccc(-c2ccc(F)cc2)cc1 ZINC000629692810 1128925785 /nfs/dbraw/zinc/92/57/85/1128925785.db2.gz VRNJGJSPPNMVBJ-GOSISDBHSA-N 1 2 283.346 3.829 20 0 CHADLO C[N@H+]1CCC(=O)C[C@@H]1c1ccc(-c2ccc(F)cc2)cc1 ZINC000629692810 1128925788 /nfs/dbraw/zinc/92/57/88/1128925788.db2.gz VRNJGJSPPNMVBJ-GOSISDBHSA-N 1 2 283.346 3.829 20 0 CHADLO FC(F)c1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000398212711 1120593139 /nfs/dbraw/zinc/59/31/39/1120593139.db2.gz SUANVXKHVKIYEP-NSHDSACASA-N 1 2 261.262 3.902 20 0 CHADLO CC(C)O[C@H](C[N@@H+]1CCC[C@@H]1C(F)F)c1ccccc1 ZINC000428984518 1120599779 /nfs/dbraw/zinc/59/97/79/1120599779.db2.gz IAJGNPKDCRLKME-HUUCEWRRSA-N 1 2 283.362 3.882 20 0 CHADLO CC(C)O[C@H](C[N@H+]1CCC[C@@H]1C(F)F)c1ccccc1 ZINC000428984518 1120599780 /nfs/dbraw/zinc/59/97/80/1120599780.db2.gz IAJGNPKDCRLKME-HUUCEWRRSA-N 1 2 283.362 3.882 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]C/C(C)=C/c2ccccc2)no1 ZINC000500394318 1120606997 /nfs/dbraw/zinc/60/69/97/1120606997.db2.gz LXMVTBRGBICKLX-ACCUITESSA-N 1 2 285.391 3.560 20 0 CHADLO CC(C)(C)[C@@H]1C[N@H+](Cc2nsc3ccccc32)CCO1 ZINC000660442653 1120615248 /nfs/dbraw/zinc/61/52/48/1120615248.db2.gz YCNLFUWHKHPONP-HNNXBMFYSA-N 1 2 290.432 3.543 20 0 CHADLO CC(C)(C)[C@@H]1C[N@@H+](Cc2nsc3ccccc32)CCO1 ZINC000660442653 1120615251 /nfs/dbraw/zinc/61/52/51/1120615251.db2.gz YCNLFUWHKHPONP-HNNXBMFYSA-N 1 2 290.432 3.543 20 0 CHADLO C(c1noc(C2CCC2)n1)[N@H+](C1CC1)C1CCCCC1 ZINC000625085822 1120632240 /nfs/dbraw/zinc/63/22/40/1120632240.db2.gz WGUTXVIJNOQXOL-UHFFFAOYSA-N 1 2 275.396 3.634 20 0 CHADLO C(c1noc(C2CCC2)n1)[N@@H+](C1CC1)C1CCCCC1 ZINC000625085822 1120632241 /nfs/dbraw/zinc/63/22/41/1120632241.db2.gz WGUTXVIJNOQXOL-UHFFFAOYSA-N 1 2 275.396 3.634 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625112135 1120635286 /nfs/dbraw/zinc/63/52/86/1120635286.db2.gz UIQGYTOACDFPIP-JTQLQIEISA-N 1 2 265.740 3.832 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625112135 1120635289 /nfs/dbraw/zinc/63/52/89/1120635289.db2.gz UIQGYTOACDFPIP-JTQLQIEISA-N 1 2 265.740 3.832 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCCC23CC3)c(C)[nH+]1 ZINC000636581825 1120646412 /nfs/dbraw/zinc/64/64/12/1120646412.db2.gz MEWLLVFNGSXTHD-ZDUSSCGKSA-N 1 2 258.365 3.526 20 0 CHADLO CC(C)c1cc(N2CC(C3CC3)C2)nc(C(C)C)[nH+]1 ZINC000643170154 1120661690 /nfs/dbraw/zinc/66/16/90/1120661690.db2.gz BXAJDBZUYCOXBN-UHFFFAOYSA-N 1 2 259.397 3.570 20 0 CHADLO Cc1oc(C)c(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c1C ZINC000047533669 1120663639 /nfs/dbraw/zinc/66/36/39/1120663639.db2.gz YAQCAERGYGTAAQ-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO CCCC[C@H](C)[N@H+](C)Cc1nnc(C(F)(F)F)s1 ZINC000660588183 1120665863 /nfs/dbraw/zinc/66/58/63/1120665863.db2.gz YSKCTXINJGZTOK-QMMMGPOBSA-N 1 2 281.347 3.567 20 0 CHADLO CCCC[C@H](C)[N@@H+](C)Cc1nnc(C(F)(F)F)s1 ZINC000660588183 1120665864 /nfs/dbraw/zinc/66/58/64/1120665864.db2.gz YSKCTXINJGZTOK-QMMMGPOBSA-N 1 2 281.347 3.567 20 0 CHADLO Cc1cocc1-c1ccc([C@H]2CC(=O)CC[N@H+]2C)cc1 ZINC000629738317 1128932069 /nfs/dbraw/zinc/93/20/69/1128932069.db2.gz MJJUHFYKZJKCDC-QGZVFWFLSA-N 1 2 269.344 3.591 20 0 CHADLO Cc1cocc1-c1ccc([C@H]2CC(=O)CC[N@@H+]2C)cc1 ZINC000629738317 1128932070 /nfs/dbraw/zinc/93/20/70/1128932070.db2.gz MJJUHFYKZJKCDC-QGZVFWFLSA-N 1 2 269.344 3.591 20 0 CHADLO C[C@H](c1cc(Cl)ccc1Cl)N1CCc2[nH+]c[nH]c2C1 ZINC000625437848 1120680583 /nfs/dbraw/zinc/68/05/83/1120680583.db2.gz VFPJXGGUZHGEGQ-SECBINFHSA-N 1 2 296.201 3.836 20 0 CHADLO c1cc(C[NH2+]Cc2ccc(Oc3ccccc3)cc2)no1 ZINC000673885893 1120688266 /nfs/dbraw/zinc/68/82/66/1120688266.db2.gz OFRLUBXCFHTFES-UHFFFAOYSA-N 1 2 280.327 3.757 20 0 CHADLO Cc1cc(NC(C2CC2)C2CC2)cc(OC(F)F)[nH+]1 ZINC001168747316 1120689985 /nfs/dbraw/zinc/68/99/85/1120689985.db2.gz SFPLRNWJAYBNBP-UHFFFAOYSA-N 1 2 268.307 3.592 20 0 CHADLO CC(C)c1cccc(C[NH2+][C@H](C)c2csnn2)c1 ZINC000660807178 1120690836 /nfs/dbraw/zinc/69/08/36/1120690836.db2.gz HRYQKEDFFYMQKM-LLVKDONJSA-N 1 2 261.394 3.512 20 0 CHADLO C[N@@H+](Cc1noc(C2CC2)n1)C[C@H]1CCCCC1(C)C ZINC000660813040 1120691227 /nfs/dbraw/zinc/69/12/27/1120691227.db2.gz SUYWVQCIGZBZBR-CYBMUJFWSA-N 1 2 277.412 3.595 20 0 CHADLO C[N@H+](Cc1noc(C2CC2)n1)C[C@H]1CCCCC1(C)C ZINC000660813040 1120691231 /nfs/dbraw/zinc/69/12/31/1120691231.db2.gz SUYWVQCIGZBZBR-CYBMUJFWSA-N 1 2 277.412 3.595 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)CCCC3=O)c[nH+]1 ZINC001213102245 1120693158 /nfs/dbraw/zinc/69/31/58/1120693158.db2.gz RDUWLAGXTZRSGJ-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO CC[C@@]1(C)CC[N@@H+]([C@@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001307583889 1120696781 /nfs/dbraw/zinc/69/67/81/1120696781.db2.gz RZNWTRLGIQBSIE-ZBFHGGJFSA-N 1 2 295.810 3.676 20 0 CHADLO CC[C@@]1(C)CC[N@H+]([C@@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001307583889 1120696783 /nfs/dbraw/zinc/69/67/83/1120696783.db2.gz RZNWTRLGIQBSIE-ZBFHGGJFSA-N 1 2 295.810 3.676 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2[nH]ncc21)c1ccc(Cl)cc1 ZINC000335082743 1120714094 /nfs/dbraw/zinc/71/40/94/1120714094.db2.gz JBUCCXDFPHKWCC-QMTHXVAHSA-N 1 2 275.783 3.791 20 0 CHADLO c1coc([C@@H]2[N@H+](Cc3cncs3)CC23CCCC3)c1 ZINC000661435559 1120765945 /nfs/dbraw/zinc/76/59/45/1120765945.db2.gz PGFIQLPRMLEGNT-AWEZNQCLSA-N 1 2 274.389 3.853 20 0 CHADLO c1coc([C@@H]2[N@@H+](Cc3cncs3)CC23CCCC3)c1 ZINC000661435559 1120765952 /nfs/dbraw/zinc/76/59/52/1120765952.db2.gz PGFIQLPRMLEGNT-AWEZNQCLSA-N 1 2 274.389 3.853 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)nc(C)n1 ZINC000661435451 1120766353 /nfs/dbraw/zinc/76/63/53/1120766353.db2.gz NZLFQOJIRDWLHX-KRWDZBQOSA-N 1 2 297.402 3.804 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)nc(C)n1 ZINC000661435451 1120766354 /nfs/dbraw/zinc/76/63/54/1120766354.db2.gz NZLFQOJIRDWLHX-KRWDZBQOSA-N 1 2 297.402 3.804 20 0 CHADLO COc1cc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)ccn1 ZINC000661435408 1120766603 /nfs/dbraw/zinc/76/66/03/1120766603.db2.gz GPUNDSYTTMHCCP-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO COc1cc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)ccn1 ZINC000661435408 1120766606 /nfs/dbraw/zinc/76/66/06/1120766606.db2.gz GPUNDSYTTMHCCP-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO CC[C@H]1C[N@@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000045752337 1120768395 /nfs/dbraw/zinc/76/83/95/1120768395.db2.gz KENSQCQIFIBSQQ-RYUDHWBXSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@H]1C[N@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000045752337 1120768398 /nfs/dbraw/zinc/76/83/98/1120768398.db2.gz KENSQCQIFIBSQQ-RYUDHWBXSA-N 1 2 253.773 3.512 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2ccccc2F)sn1 ZINC000637898656 1129234999 /nfs/dbraw/zinc/23/49/99/1129234999.db2.gz YIFSXXLXUVKZCD-NSHDSACASA-N 1 2 264.369 3.784 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2ccccc2F)sn1 ZINC000637898656 1129235003 /nfs/dbraw/zinc/23/50/03/1129235003.db2.gz YIFSXXLXUVKZCD-NSHDSACASA-N 1 2 264.369 3.784 20 0 CHADLO CC[C@@H](OCC[NH2+][C@H](C)c1cn[nH]c1C)c1ccccc1 ZINC000652236481 1120802867 /nfs/dbraw/zinc/80/28/67/1120802867.db2.gz OXKJPXJXZNPIDY-CXAGYDPISA-N 1 2 287.407 3.537 20 0 CHADLO CCOCCC[N@@H+]1CCO[C@H](c2cccc(Cl)c2)[C@H]1C ZINC000661799928 1120816098 /nfs/dbraw/zinc/81/60/98/1120816098.db2.gz RLZQRVDDODWOIO-CJNGLKHVSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCC[N@H+]1CCO[C@H](c2cccc(Cl)c2)[C@H]1C ZINC000661799928 1120816099 /nfs/dbraw/zinc/81/60/99/1120816099.db2.gz RLZQRVDDODWOIO-CJNGLKHVSA-N 1 2 297.826 3.528 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccc(Cl)cc2)s1 ZINC000273327883 1120818019 /nfs/dbraw/zinc/81/80/19/1120818019.db2.gz LLAIYILXWAYKHL-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO CC[C@@H]1CC[C@@H](C)[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000661861058 1120826283 /nfs/dbraw/zinc/82/62/83/1120826283.db2.gz NICPZDPOQXRSAT-HUUCEWRRSA-N 1 2 299.418 3.671 20 0 CHADLO CC[C@@H]1CC[C@@H](C)[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000661861058 1120826287 /nfs/dbraw/zinc/82/62/87/1120826287.db2.gz NICPZDPOQXRSAT-HUUCEWRRSA-N 1 2 299.418 3.671 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCc3sccc32)c1 ZINC000652330837 1120828853 /nfs/dbraw/zinc/82/88/53/1120828853.db2.gz QPFDNYAMHNCOTI-ZDUSSCGKSA-N 1 2 274.389 3.779 20 0 CHADLO CC[C@H](Nc1cc(COC)cc[nH+]1)c1cccs1 ZINC000652330787 1120828924 /nfs/dbraw/zinc/82/89/24/1120828924.db2.gz PUCXEMWGCHSKAL-LBPRGKRZSA-N 1 2 262.378 3.853 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(OC)cc2F)c1 ZINC000652330844 1120829003 /nfs/dbraw/zinc/82/90/03/1120829003.db2.gz QPSPPOBGEGXNHR-LLVKDONJSA-N 1 2 290.338 3.549 20 0 CHADLO FC(F)(F)c1ccccc1-c1c[nH+]c2n1CCCC2 ZINC000630049695 1128945327 /nfs/dbraw/zinc/94/53/27/1128945327.db2.gz IZQRONQFRAXMAU-UHFFFAOYSA-N 1 2 266.266 3.905 20 0 CHADLO COc1cc(-c2c[nH+]c(C(C)C)n2C)ccc1Cl ZINC000630050380 1128945527 /nfs/dbraw/zinc/94/55/27/1128945527.db2.gz PJRLEMAAZCCRPU-UHFFFAOYSA-N 1 2 264.756 3.873 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccccc2Cl)nn1C ZINC000487378230 1120877644 /nfs/dbraw/zinc/87/76/44/1120877644.db2.gz MAPQLSKBCGPAPY-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccccc2Cl)nn1C ZINC000487378230 1120877647 /nfs/dbraw/zinc/87/76/47/1120877647.db2.gz MAPQLSKBCGPAPY-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1[nH]c(CN2CCC[C@H]2c2ccc(F)cc2F)[nH+]c1C ZINC000628302696 1120890690 /nfs/dbraw/zinc/89/06/90/1120890690.db2.gz ONMLZIQVIIOBLA-HNNXBMFYSA-N 1 2 291.345 3.642 20 0 CHADLO Cc1sc(Cn2cc[nH+]c2)nc1-c1ccc(C)c(C)c1 ZINC000663218918 1120891544 /nfs/dbraw/zinc/89/15/44/1120891544.db2.gz FRMQLZRNPOZWRF-UHFFFAOYSA-N 1 2 283.400 3.980 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)O[C@H](CC(F)(F)F)C2)cc1 ZINC000662239851 1120908422 /nfs/dbraw/zinc/90/84/22/1120908422.db2.gz XZXDMKALRXMVBJ-TZMCWYRMSA-N 1 2 287.325 3.537 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)O[C@H](CC(F)(F)F)C2)cc1 ZINC000662239851 1120908427 /nfs/dbraw/zinc/90/84/27/1120908427.db2.gz XZXDMKALRXMVBJ-TZMCWYRMSA-N 1 2 287.325 3.537 20 0 CHADLO Cc1nnc(C[N@H+](C)CCc2ccc3ccccc3c2)s1 ZINC000662259987 1120913776 /nfs/dbraw/zinc/91/37/76/1120913776.db2.gz FQWAYUFLVPHUDZ-UHFFFAOYSA-N 1 2 297.427 3.674 20 0 CHADLO Cc1nnc(C[N@@H+](C)CCc2ccc3ccccc3c2)s1 ZINC000662259987 1120913780 /nfs/dbraw/zinc/91/37/80/1120913780.db2.gz FQWAYUFLVPHUDZ-UHFFFAOYSA-N 1 2 297.427 3.674 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1O ZINC001211693243 1120931596 /nfs/dbraw/zinc/93/15/96/1120931596.db2.gz KFQBSVOZMVIJGF-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO Cc1cccc(C[NH2+][C@H](C)c2nc3c(s2)CCCC3)n1 ZINC000093399729 1128952639 /nfs/dbraw/zinc/95/26/39/1128952639.db2.gz FSLUZSVVUDOMAS-GFCCVEGCSA-N 1 2 287.432 3.576 20 0 CHADLO COC(=O)[C@H]1CC[C@H](Nc2cc3ccc(C)cc3c[nH+]2)CC1 ZINC001167828190 1121006510 /nfs/dbraw/zinc/00/65/10/1121006510.db2.gz MMXUHZLYQLCYJX-SAZUREKKSA-N 1 2 298.386 3.687 20 0 CHADLO CN(C)c1ccc([NH2+][C@H]2CCOC3(CCCC3)C2)cc1 ZINC000094367991 1121011148 /nfs/dbraw/zinc/01/11/48/1121011148.db2.gz FGKDFVQWBMMLOY-HNNXBMFYSA-N 1 2 274.408 3.656 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCOC3(CCCC3)C2)cc1 ZINC000094367991 1121011153 /nfs/dbraw/zinc/01/11/53/1121011153.db2.gz FGKDFVQWBMMLOY-HNNXBMFYSA-N 1 2 274.408 3.656 20 0 CHADLO CCOC(=O)c1c[nH+]c2ccccc2c1N1CCC(C)CC1 ZINC000005651786 1121013382 /nfs/dbraw/zinc/01/33/82/1121013382.db2.gz JXSVIGJDJXQUNZ-UHFFFAOYSA-N 1 2 298.386 3.648 20 0 CHADLO Cc1ccc(Nc2ccc(OC(C)C)nc2)c(C)[nH+]1 ZINC001174763567 1121023769 /nfs/dbraw/zinc/02/37/69/1121023769.db2.gz XKDPMKGTCYUIDX-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO CCCO[C@H]1CCCN(c2[nH+]ccc3c(N)cccc32)CC1 ZINC000663578917 1121024594 /nfs/dbraw/zinc/02/45/94/1121024594.db2.gz RNWBKMMEMXVGBL-AWEZNQCLSA-N 1 2 299.418 3.603 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@@H]1COc2c1ccc(C)c2C ZINC000925365644 1121025547 /nfs/dbraw/zinc/02/55/47/1121025547.db2.gz CFDSAGYQKVFHGA-HUUCEWRRSA-N 1 2 298.386 3.701 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2cc[nH]c2c1 ZINC001174747349 1121034947 /nfs/dbraw/zinc/03/49/47/1121034947.db2.gz RJKVXDDZAFXCHW-UHFFFAOYSA-N 1 2 253.305 3.705 20 0 CHADLO Cc1ncc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)s1 ZINC000674009612 1121038036 /nfs/dbraw/zinc/03/80/36/1121038036.db2.gz KNLCANFILQOSLQ-AWEZNQCLSA-N 1 2 262.353 3.538 20 0 CHADLO Cc1ncc(C[N@H+]2CC[C@H]2c2cccc(F)c2)s1 ZINC000674009612 1121038044 /nfs/dbraw/zinc/03/80/44/1121038044.db2.gz KNLCANFILQOSLQ-AWEZNQCLSA-N 1 2 262.353 3.538 20 0 CHADLO COc1c[nH+]c(NCC(C)(C)CC(F)(F)F)c(C)c1 ZINC001162249404 1121040285 /nfs/dbraw/zinc/04/02/85/1121040285.db2.gz LKTKCTQQOQNNSX-UHFFFAOYSA-N 1 2 276.302 3.789 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(OC(C)C)nc2)c(C)c1 ZINC001174759778 1121042939 /nfs/dbraw/zinc/04/29/39/1121042939.db2.gz PCFGTIIOVPYKQD-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO Cc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1O ZINC001211700077 1121063268 /nfs/dbraw/zinc/06/32/68/1121063268.db2.gz UVENFZZPMJGQPG-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO c1c[nH+]c(N2CCCCC2)c(Nc2ccc3c(c2)OCO3)c1 ZINC001174861004 1121069851 /nfs/dbraw/zinc/06/98/51/1121069851.db2.gz COVVRAGTPJRNSW-UHFFFAOYSA-N 1 2 297.358 3.544 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(Oc2cccnc2)cc1 ZINC000344111947 1121087539 /nfs/dbraw/zinc/08/75/39/1121087539.db2.gz RJYXCSNSXKWIPO-CYBMUJFWSA-N 1 2 295.342 3.713 20 0 CHADLO CC[C@@H](C)C[C@@H](CC)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348832968 1121097695 /nfs/dbraw/zinc/09/76/95/1121097695.db2.gz KWKLRDAPWIVJMU-GDBMZVCRSA-N 1 2 286.423 3.953 20 0 CHADLO Cc1cc(NC(=O)C[C@H](C)c2ccccc2F)cc[nH+]1 ZINC000171265580 1121107209 /nfs/dbraw/zinc/10/72/09/1121107209.db2.gz QGMRXJYHMLEOIB-NSHDSACASA-N 1 2 272.323 3.661 20 0 CHADLO Cc1ccc(Nc2cc(F)c(F)c(F)c2)c(C)[nH+]1 ZINC001174954557 1121109357 /nfs/dbraw/zinc/10/93/57/1121109357.db2.gz HHXHXDPOFZHHQE-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Cc1cn2cccc(Nc3cc(F)c(F)c(F)c3)c2[nH+]1 ZINC001174955565 1121110251 /nfs/dbraw/zinc/11/02/51/1121110251.db2.gz OFLHFXKEYZNRRG-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO CSc1cc(Nc2cc(F)c(F)c(F)c2)cc[nH+]1 ZINC001174958725 1121112011 /nfs/dbraw/zinc/11/20/11/1121112011.db2.gz INRUNJHJCTYJBZ-UHFFFAOYSA-N 1 2 270.279 3.964 20 0 CHADLO Cc1cc(C)c(NC(=O)Cc2cccc(Cl)c2)c(C)[nH+]1 ZINC000171406765 1121112790 /nfs/dbraw/zinc/11/27/90/1121112790.db2.gz FTUGLMMOUCIVBO-UHFFFAOYSA-N 1 2 288.778 3.841 20 0 CHADLO CN(C)c1cccc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001174961656 1121113796 /nfs/dbraw/zinc/11/37/96/1121113796.db2.gz BMRMHPKNROBMLA-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CN(C)c1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001174962935 1121115030 /nfs/dbraw/zinc/11/50/30/1121115030.db2.gz PSOBODBARVAFLZ-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO COc1cc(Nc2ccc(F)c(F)c2F)cc(C)[nH+]1 ZINC001174967256 1121117492 /nfs/dbraw/zinc/11/74/92/1121117492.db2.gz LWWSFZKSYHMOIB-UHFFFAOYSA-N 1 2 268.238 3.560 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](CCSc1ccccc1F)C2 ZINC000625727532 1121120986 /nfs/dbraw/zinc/12/09/86/1121120986.db2.gz ZMFOOCHZSHSPJJ-UHFFFAOYSA-N 1 2 289.375 3.639 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](CCSc1ccccc1F)C2 ZINC000625727532 1121120992 /nfs/dbraw/zinc/12/09/92/1121120992.db2.gz ZMFOOCHZSHSPJJ-UHFFFAOYSA-N 1 2 289.375 3.639 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ccccc2Cl)nc1 ZINC000674388507 1121127794 /nfs/dbraw/zinc/12/77/94/1121127794.db2.gz SYWHQFBJMSOELG-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ccccc2Cl)nc1 ZINC000674388507 1121127798 /nfs/dbraw/zinc/12/77/98/1121127798.db2.gz SYWHQFBJMSOELG-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1cc(F)cc(Nc2cc(C)[nH+]c(OC)c2)c1 ZINC001175002835 1121127793 /nfs/dbraw/zinc/12/77/93/1121127793.db2.gz SMZBKTKGSFWQRK-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO COc1cc(F)cc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001175010212 1121132557 /nfs/dbraw/zinc/13/25/57/1121132557.db2.gz NZAGLYRPDWKKSF-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO COc1cc(Nc2ccc(N3CCCC3)[nH+]c2)ccc1C ZINC001175016737 1121135687 /nfs/dbraw/zinc/13/56/87/1121135687.db2.gz OQKNDUPAPFJIPA-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)ccc(F)c1F ZINC001175024979 1121138947 /nfs/dbraw/zinc/13/89/47/1121138947.db2.gz FEAKRZHSTNMDFR-UHFFFAOYSA-N 1 2 268.238 3.641 20 0 CHADLO c1cc2cc(NCCCCOc3ccccc3)[nH+]cc2[nH]1 ZINC000672153656 1121139478 /nfs/dbraw/zinc/13/94/78/1121139478.db2.gz ZZTAQQNJNWSRRS-UHFFFAOYSA-N 1 2 281.359 3.834 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cc(F)cc(C(F)(F)F)c1 ZINC001175061171 1121146163 /nfs/dbraw/zinc/14/61/63/1121146163.db2.gz WEUWGPTZGXARNC-UHFFFAOYSA-N 1 2 286.228 3.997 20 0 CHADLO CCOc1cc(Nc2ccc(C)[nH+]c2C)ccc1F ZINC001175048197 1121160059 /nfs/dbraw/zinc/16/00/59/1121160059.db2.gz MPWNHDHOMMZIDT-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CNc1ccc(Nc2ccc(Cl)nc2Cl)c[nH+]1 ZINC001203448978 1121163581 /nfs/dbraw/zinc/16/35/81/1121163581.db2.gz TUTPPMCKYKAJAH-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO Cc1ccc(/C=C\CC(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000674685699 1121168670 /nfs/dbraw/zinc/16/86/70/1121168670.db2.gz ZZSXNQVIKMENSS-IHWYPQMZSA-N 1 2 291.354 3.685 20 0 CHADLO COc1cc(Nc2cc(F)c(F)cc2F)cc(C)[nH+]1 ZINC001175084918 1121177523 /nfs/dbraw/zinc/17/75/23/1121177523.db2.gz AUYYGXKSVOIGEQ-UHFFFAOYSA-N 1 2 268.238 3.560 20 0 CHADLO Cc1ccc(Nc2c(F)cc(F)cc2F)c(C)[nH+]1 ZINC001175145590 1121201055 /nfs/dbraw/zinc/20/10/55/1121201055.db2.gz QGAOMVVTVPDOIY-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Fc1ccc(C[NH2+][C@@H]2COc3c2cccc3Cl)c(F)c1 ZINC000675103659 1121216358 /nfs/dbraw/zinc/21/63/58/1121216358.db2.gz ZOTUOYOHLBHODU-CQSZACIVSA-N 1 2 295.716 3.842 20 0 CHADLO CCCCC[NH2+][C@@H](Cc1ccccc1Cl)C(=O)OCC ZINC001175173446 1121216518 /nfs/dbraw/zinc/21/65/18/1121216518.db2.gz INVUXOSRSDRXSR-HNNXBMFYSA-N 1 2 297.826 3.594 20 0 CHADLO CCOc1cc(C)c(-n2c(C)[nH+]c3ccc(N)cc32)c(C)c1 ZINC001175173961 1121216781 /nfs/dbraw/zinc/21/67/81/1121216781.db2.gz RLUAJXPESNKLIO-UHFFFAOYSA-N 1 2 295.386 3.932 20 0 CHADLO CC(C)(C)CC(C)(C)NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000675141246 1121223192 /nfs/dbraw/zinc/22/31/92/1121223192.db2.gz CJMXTLUIXWDRKN-UHFFFAOYSA-N 1 2 288.395 3.671 20 0 CHADLO CC(=O)OCc1cccc(C)c1Nc1c[nH+]c(C)cc1C ZINC001212768439 1121227215 /nfs/dbraw/zinc/22/72/15/1121227215.db2.gz HAWOEGISLKRMJS-UHFFFAOYSA-N 1 2 284.359 3.814 20 0 CHADLO CCOc1cccc(F)c1Nc1cccn2cc[nH+]c12 ZINC001175222703 1121229689 /nfs/dbraw/zinc/22/96/89/1121229689.db2.gz KOFDJEOCUPQWTL-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)cccc1OCC ZINC001175222037 1121229850 /nfs/dbraw/zinc/22/98/50/1121229850.db2.gz FLJUEHYPJZYGRI-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO Cc1ccc(Nc2c[nH+]c(N3CCCC[C@@H]3C)c(C)c2)nn1 ZINC000663780986 1121230173 /nfs/dbraw/zinc/23/01/73/1121230173.db2.gz NWOFNQZVHSRNAO-AWEZNQCLSA-N 1 2 297.406 3.611 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H](C)c2ccncc2)c(C)[nH+]1 ZINC000675212652 1121235622 /nfs/dbraw/zinc/23/56/22/1121235622.db2.gz LGGOZEKGNMLHKY-NSHDSACASA-N 1 2 283.375 3.534 20 0 CHADLO C[C@@H]1CCN(c2nccc3sccc32)C[C@H]1n1cc[nH+]c1 ZINC000675228914 1121237405 /nfs/dbraw/zinc/23/74/05/1121237405.db2.gz SXRHDLSSIJJTNG-TZMCWYRMSA-N 1 2 298.415 3.580 20 0 CHADLO CCOc1cccc(Nc2ccn3cc[nH+]c3c2)c1F ZINC001175236874 1121238014 /nfs/dbraw/zinc/23/80/14/1121238014.db2.gz VDHNSHZTXDMMTN-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO COCc1ccccc1/C=C(/C)C[N@@H+]1CCC=C(F)C1 ZINC000512301666 1121250138 /nfs/dbraw/zinc/25/01/38/1121250138.db2.gz VBNZYLKMZZWCRM-UVTDQMKNSA-N 1 2 275.367 3.795 20 0 CHADLO COCc1ccccc1/C=C(/C)C[N@H+]1CCC=C(F)C1 ZINC000512301666 1121250144 /nfs/dbraw/zinc/25/01/44/1121250144.db2.gz VBNZYLKMZZWCRM-UVTDQMKNSA-N 1 2 275.367 3.795 20 0 CHADLO CCOc1cc(F)ccc1Nc1cccn2cc(C)[nH+]c12 ZINC001175287207 1121255106 /nfs/dbraw/zinc/25/51/06/1121255106.db2.gz OFPKVSRSAVJCKP-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+][C@@H](C)c2nnc(C)o2)cc1 ZINC000112543496 1121256786 /nfs/dbraw/zinc/25/67/86/1121256786.db2.gz MKNBEIXBBRRDAL-MNOVXSKESA-N 1 2 291.420 3.902 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(C(=O)OC(C)C)cc2)c1 ZINC001175258277 1121264358 /nfs/dbraw/zinc/26/43/58/1121264358.db2.gz YSWFLVOPENJRGZ-UHFFFAOYSA-N 1 2 270.332 3.699 20 0 CHADLO CN(C)c1ccc(Nc2cnc3cc(F)ccc3c2)c[nH+]1 ZINC001175341343 1121271845 /nfs/dbraw/zinc/27/18/45/1121271845.db2.gz HAOHOLXQYLRRIW-UHFFFAOYSA-N 1 2 282.322 3.579 20 0 CHADLO CN(C)c1ccc(Nc2cnc3c(F)cccc3c2)c[nH+]1 ZINC001175342835 1121272840 /nfs/dbraw/zinc/27/28/40/1121272840.db2.gz XKYMACBNXRPNRX-UHFFFAOYSA-N 1 2 282.322 3.579 20 0 CHADLO CN(C)c1ccc(Nc2c(O)cccc2C(F)(F)F)c[nH+]1 ZINC001175346681 1121274163 /nfs/dbraw/zinc/27/41/63/1121274163.db2.gz XKCFSNPPHKILSM-UHFFFAOYSA-N 1 2 297.280 3.616 20 0 CHADLO CN(C)c1ccc(Nc2ccc3scnc3c2)c[nH+]1 ZINC001175344110 1121274664 /nfs/dbraw/zinc/27/46/64/1121274664.db2.gz USUXZYGOSVURPR-UHFFFAOYSA-N 1 2 270.361 3.501 20 0 CHADLO CCOc1cc(Nc2ccc(N3CCCC3)nc2)cc(C)[nH+]1 ZINC001175348723 1121275846 /nfs/dbraw/zinc/27/58/46/1121275846.db2.gz JBIIBWQCZVHYTJ-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@H](C)c2nnc(C)s2)cc1 ZINC000274252470 1121285202 /nfs/dbraw/zinc/28/52/02/1121285202.db2.gz SLCIOZVQMDSABO-GHMZBOCLSA-N 1 2 291.420 3.657 20 0 CHADLO CC(=O)c1ccc(F)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175349112 1121286368 /nfs/dbraw/zinc/28/63/68/1121286368.db2.gz LAHLNZIYNXDBLQ-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO CCc1cccc(Nc2c(C)cccc2COC(C)=O)[nH+]1 ZINC001212769016 1121288209 /nfs/dbraw/zinc/28/82/09/1121288209.db2.gz JTDKXSJVYBJOKF-UHFFFAOYSA-N 1 2 284.359 3.759 20 0 CHADLO Cn1c[nH+]cc1CN1C[C@@H](C(C)(C)C)Cc2ccccc21 ZINC001175333601 1121288299 /nfs/dbraw/zinc/28/82/99/1121288299.db2.gz ZJBWSEUVZLYSCW-HNNXBMFYSA-N 1 2 283.419 3.645 20 0 CHADLO Cc1nc2c(F)cccc2cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175340622 1121290532 /nfs/dbraw/zinc/29/05/32/1121290532.db2.gz AHVRNFYINTXVOA-UHFFFAOYSA-N 1 2 296.349 3.887 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)cc(Cl)n2)c[nH+]1 ZINC001175338251 1121290538 /nfs/dbraw/zinc/29/05/38/1121290538.db2.gz AMSGUWIAQBXTSS-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO Cc1ccc(-c2cc(Nc3ccc(N(C)C)[nH+]c3)on2)cc1 ZINC001175338554 1121290555 /nfs/dbraw/zinc/29/05/55/1121290555.db2.gz HVFDYSLGHORXTD-UHFFFAOYSA-N 1 2 294.358 3.855 20 0 CHADLO CN(C)c1ccc(Nc2c(Cl)ccnc2Cl)c[nH+]1 ZINC001175339160 1121290577 /nfs/dbraw/zinc/29/05/77/1121290577.db2.gz ZNTLJQXZMCODGF-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO COc1cc(C)ccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175350911 1121292517 /nfs/dbraw/zinc/29/25/17/1121292517.db2.gz FHLZBXAPPGPAMU-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO COc1cc(Nc2ccc(N3CCCC3)[nH+]c2)ccc1F ZINC001175353065 1121293446 /nfs/dbraw/zinc/29/34/46/1121293446.db2.gz XZOQMWQUZIFDSI-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO Cc1cccc(F)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175352506 1121293989 /nfs/dbraw/zinc/29/39/89/1121293989.db2.gz QFTORVUUBFNBON-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO CC(C)(C)OC(=O)C(C)(C)CNc1cccc(C2CC2)[nH+]1 ZINC001162602665 1121299987 /nfs/dbraw/zinc/29/99/87/1121299987.db2.gz GEUODZIPKOTTLR-UHFFFAOYSA-N 1 2 290.407 3.739 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(-n3cccn3)cc2)c1 ZINC001175370265 1121300608 /nfs/dbraw/zinc/30/06/08/1121300608.db2.gz WDRCDILUCWUOIM-UHFFFAOYSA-N 1 2 278.359 3.963 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1nc2ccc(F)cc2s1 ZINC000432738474 1121302319 /nfs/dbraw/zinc/30/23/19/1121302319.db2.gz KDDIQXDVZUENJD-UHFFFAOYSA-N 1 2 290.363 3.969 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1nc2ccc(F)cc2s1 ZINC000432738474 1121302332 /nfs/dbraw/zinc/30/23/32/1121302332.db2.gz KDDIQXDVZUENJD-UHFFFAOYSA-N 1 2 290.363 3.969 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+](C/C(Cl)=C\Cl)C2)c1 ZINC000763533834 1128980161 /nfs/dbraw/zinc/98/01/61/1128980161.db2.gz PYXOXJGKAJEOFU-LAPSENCUSA-N 1 2 274.166 3.934 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+](C/C(Cl)=C\Cl)C2)c1 ZINC000763533834 1128980162 /nfs/dbraw/zinc/98/01/62/1128980162.db2.gz PYXOXJGKAJEOFU-LAPSENCUSA-N 1 2 274.166 3.934 20 0 CHADLO Cn1ncc2c1cccc2Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001175435835 1121322428 /nfs/dbraw/zinc/32/24/28/1121322428.db2.gz ORQDXSFEAABNIB-UHFFFAOYSA-N 1 2 297.749 3.618 20 0 CHADLO Cc1cnc(C[N@@H+]2CCCC[C@H]2c2cccnc2)s1 ZINC001139810895 1121323182 /nfs/dbraw/zinc/32/31/82/1121323182.db2.gz XZZRBNCYJYSGTR-AWEZNQCLSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1cnc(C[N@H+]2CCCC[C@H]2c2cccnc2)s1 ZINC001139810895 1121323187 /nfs/dbraw/zinc/32/31/87/1121323187.db2.gz XZZRBNCYJYSGTR-AWEZNQCLSA-N 1 2 273.405 3.574 20 0 CHADLO c1ccc(N2Cc3cccc(NCCC4CC4)c3C2)[nH+]c1 ZINC000438293964 1121329807 /nfs/dbraw/zinc/32/98/07/1121329807.db2.gz IZHYPAILHHPNBU-UHFFFAOYSA-N 1 2 279.387 3.814 20 0 CHADLO c1cn(-c2ccc(Nc3ccc4nccnc4c3)cc2)c[nH+]1 ZINC001175489161 1121341548 /nfs/dbraw/zinc/34/15/48/1121341548.db2.gz NALOVXQAGSOMOO-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO CCc1ccc(Nc2cc(C3CC3)c[nH+]c2C)cn1 ZINC001213494641 1121345192 /nfs/dbraw/zinc/34/51/92/1121345192.db2.gz DETUOFCAXPHAAB-UHFFFAOYSA-N 1 2 253.349 3.968 20 0 CHADLO Cc1noc(CCCOc2cc(C)[nH+]c3c(C)cccc23)n1 ZINC000418946027 1121345527 /nfs/dbraw/zinc/34/55/27/1121345527.db2.gz QLKNZVQFBRICKP-UHFFFAOYSA-N 1 2 297.358 3.555 20 0 CHADLO Cc1ccc(Nc2cc3c[nH]nc3c(C)c2)c(C)[nH+]1 ZINC001175516749 1121357131 /nfs/dbraw/zinc/35/71/31/1121357131.db2.gz ZIGWOBGFNAYMQS-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1cc(Cl)ccc1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000675795739 1121360172 /nfs/dbraw/zinc/36/01/72/1121360172.db2.gz IJZKZZPKIGLINY-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO COCc1ccc(C[N@@H+]2CCC[C@H]2c2cc(C)on2)s1 ZINC001139824471 1121364915 /nfs/dbraw/zinc/36/49/15/1121364915.db2.gz XUSJQBGGQSMSNQ-HNNXBMFYSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1ccc(C[N@H+]2CCC[C@H]2c2cc(C)on2)s1 ZINC001139824471 1121364924 /nfs/dbraw/zinc/36/49/24/1121364924.db2.gz XUSJQBGGQSMSNQ-HNNXBMFYSA-N 1 2 292.404 3.528 20 0 CHADLO Fc1ccccc1[C@H]1CC[N@H+](C/C(Cl)=C\Cl)C1 ZINC000763538213 1128980829 /nfs/dbraw/zinc/98/08/29/1128980829.db2.gz JRHGJBZYUKJIED-VUBLIPMDSA-N 1 2 274.166 3.934 20 0 CHADLO Fc1ccccc1[C@H]1CC[N@@H+](C/C(Cl)=C\Cl)C1 ZINC000763538213 1128980833 /nfs/dbraw/zinc/98/08/33/1128980833.db2.gz JRHGJBZYUKJIED-VUBLIPMDSA-N 1 2 274.166 3.934 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c2c[nH]nc2ccc1C ZINC001175526617 1121369199 /nfs/dbraw/zinc/36/91/99/1121369199.db2.gz VNCQTHSEDVANLC-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO CC(C)(C)OC[C@@H]1CCC[N@@H+]1Cc1csc(Cl)n1 ZINC000932168638 1121373472 /nfs/dbraw/zinc/37/34/72/1121373472.db2.gz QVVQBIUUGGPLKW-NSHDSACASA-N 1 2 288.844 3.576 20 0 CHADLO CC(C)(C)OC[C@@H]1CCC[N@H+]1Cc1csc(Cl)n1 ZINC000932168638 1121373479 /nfs/dbraw/zinc/37/34/79/1121373479.db2.gz QVVQBIUUGGPLKW-NSHDSACASA-N 1 2 288.844 3.576 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc2nn(C)cc21 ZINC001175588616 1121374870 /nfs/dbraw/zinc/37/48/70/1121374870.db2.gz LAZNEWKDASAJKW-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2nn(C)cc21 ZINC001175590834 1121374907 /nfs/dbraw/zinc/37/49/07/1121374907.db2.gz DRSVJURJWGOZMA-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO CCCOc1cccc(Nc2cccc3[nH+]ccn32)c1 ZINC001175599075 1121379300 /nfs/dbraw/zinc/37/93/00/1121379300.db2.gz XZKLLTSFUMOYJX-UHFFFAOYSA-N 1 2 267.332 3.867 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc(CO)cc1 ZINC001175564615 1121388305 /nfs/dbraw/zinc/38/83/05/1121388305.db2.gz APXYKMUAJZOKTM-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO C[N@@H+]1CCCC(=Nc2cc(-c3ccc(Cl)s3)on2)C1 ZINC001175577426 1121395007 /nfs/dbraw/zinc/39/50/07/1121395007.db2.gz SEZMPTIKEVOKEZ-UHFFFAOYSA-N 1 2 295.795 3.688 20 0 CHADLO C[N@H+]1CCCC(=Nc2cc(-c3ccc(Cl)s3)on2)C1 ZINC001175577426 1121395017 /nfs/dbraw/zinc/39/50/17/1121395017.db2.gz SEZMPTIKEVOKEZ-UHFFFAOYSA-N 1 2 295.795 3.688 20 0 CHADLO CCC[C@]1(C)CCC[N@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC000432836957 1121400977 /nfs/dbraw/zinc/40/09/77/1121400977.db2.gz PYRRDUOYITWIJY-MRXNPFEDSA-N 1 2 278.444 3.504 20 0 CHADLO CCC[C@]1(C)CCC[N@@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC000432836957 1121400979 /nfs/dbraw/zinc/40/09/79/1121400979.db2.gz PYRRDUOYITWIJY-MRXNPFEDSA-N 1 2 278.444 3.504 20 0 CHADLO c1cn(Cc2cccc(Nc3cccc4c[nH]nc43)c2)c[nH+]1 ZINC001175639184 1121403800 /nfs/dbraw/zinc/40/38/00/1121403800.db2.gz IDSHMOZOKNTETN-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO Cc1n[nH]c2ccc(Nc3ccc[nH+]c3N3CCCC3)cc12 ZINC001175696456 1121416647 /nfs/dbraw/zinc/41/66/47/1121416647.db2.gz XWLSCNWCQFHRHP-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1[nH]nc2ccc(Nc3ccc[nH+]c3N3CCCC3)cc21 ZINC001175696456 1121416649 /nfs/dbraw/zinc/41/66/49/1121416649.db2.gz XWLSCNWCQFHRHP-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO COc1c(F)cccc1C[N@H+](C)Cc1ccccc1F ZINC001143532219 1121419490 /nfs/dbraw/zinc/41/94/90/1121419490.db2.gz CNLSEXRCASEQON-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1c(F)cccc1C[N@@H+](C)Cc1ccccc1F ZINC001143532219 1121419494 /nfs/dbraw/zinc/41/94/94/1121419494.db2.gz CNLSEXRCASEQON-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO Cc1[nH]nc2cc(Nc3[nH+]cc(C)cc3C)ccc21 ZINC001175656947 1121422235 /nfs/dbraw/zinc/42/22/35/1121422235.db2.gz MCIMOPMWZXWIAT-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO COc1cc(Nc2ccc3c(C)cc[nH+]c3c2)c(F)cn1 ZINC001175692424 1121433824 /nfs/dbraw/zinc/43/38/24/1121433824.db2.gz SCIDZDKMMCRBBS-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO CC[C@H](C[N@@H+]1C[C@@H](c2ccccc2Cl)OC[C@H]1C)OC ZINC000419207072 1121436248 /nfs/dbraw/zinc/43/62/48/1121436248.db2.gz OCFPZDFVFNRDKM-IOASZLSFSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C[N@H+]1C[C@@H](c2ccccc2Cl)OC[C@H]1C)OC ZINC000419207072 1121436251 /nfs/dbraw/zinc/43/62/51/1121436251.db2.gz OCFPZDFVFNRDKM-IOASZLSFSA-N 1 2 297.826 3.527 20 0 CHADLO COc1cc(F)c(Nc2ccc(C)[nH+]c2C)c(F)c1 ZINC001175721692 1121438786 /nfs/dbraw/zinc/43/87/86/1121438786.db2.gz SZSJMFLBTOMFDX-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000893944154 1121444868 /nfs/dbraw/zinc/44/48/68/1121444868.db2.gz SWROOBKBHSRCQU-AWEZNQCLSA-N 1 2 275.421 3.932 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000893944154 1121444872 /nfs/dbraw/zinc/44/48/72/1121444872.db2.gz SWROOBKBHSRCQU-AWEZNQCLSA-N 1 2 275.421 3.932 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@@H+]1Cc1nccs1 ZINC000676714752 1121458171 /nfs/dbraw/zinc/45/81/71/1121458171.db2.gz FFNYTOXHIYFPJY-CQSZACIVSA-N 1 2 288.416 3.879 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@H+]1Cc1nccs1 ZINC000676714752 1121458173 /nfs/dbraw/zinc/45/81/73/1121458173.db2.gz FFNYTOXHIYFPJY-CQSZACIVSA-N 1 2 288.416 3.879 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@@H+]1Cc1nccs1 ZINC000676714751 1121458272 /nfs/dbraw/zinc/45/82/72/1121458272.db2.gz FFNYTOXHIYFPJY-AWEZNQCLSA-N 1 2 288.416 3.879 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@H+]1Cc1nccs1 ZINC000676714751 1121458274 /nfs/dbraw/zinc/45/82/74/1121458274.db2.gz FFNYTOXHIYFPJY-AWEZNQCLSA-N 1 2 288.416 3.879 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(OCCOC)cc2)c1 ZINC001175785579 1121464104 /nfs/dbraw/zinc/46/41/04/1121464104.db2.gz UFANCLYLHQABGV-UHFFFAOYSA-N 1 2 286.375 3.803 20 0 CHADLO COc1cc(F)c(C(C)C)cc1Nc1[nH+]cc(C)cc1N ZINC001175829373 1121467811 /nfs/dbraw/zinc/46/78/11/1121467811.db2.gz PDCFKULQUKJDEG-UHFFFAOYSA-N 1 2 289.354 3.987 20 0 CHADLO COc1c(F)cc(F)cc1C[N@@H+]1CCc2ccccc2C1 ZINC001143550333 1121468225 /nfs/dbraw/zinc/46/82/25/1121468225.db2.gz JROQIRWTTODIPI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1c(F)cc(F)cc1C[N@H+]1CCc2ccccc2C1 ZINC001143550333 1121468227 /nfs/dbraw/zinc/46/82/27/1121468227.db2.gz JROQIRWTTODIPI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCC[C@H]1CCC[N@H+](Cc2csc(Cl)n2)C1 ZINC000708501763 1121468557 /nfs/dbraw/zinc/46/85/57/1121468557.db2.gz IMRAWTVNBAGMGH-JTQLQIEISA-N 1 2 258.818 3.809 20 0 CHADLO CCC[C@H]1CCC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000708501763 1121468558 /nfs/dbraw/zinc/46/85/58/1121468558.db2.gz IMRAWTVNBAGMGH-JTQLQIEISA-N 1 2 258.818 3.809 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H]1c2ccccc2CC[C@H]1C ZINC000246013745 1121469706 /nfs/dbraw/zinc/46/97/06/1121469706.db2.gz UBPPIIIMRIFVOG-BWACUDIHSA-N 1 2 269.392 3.692 20 0 CHADLO CCCn1nc(C)cc1Nc1ccccc1-n1cc[nH+]c1 ZINC001175803555 1121473043 /nfs/dbraw/zinc/47/30/43/1121473043.db2.gz MXTIRMBVLCJUEH-UHFFFAOYSA-N 1 2 281.363 3.531 20 0 CHADLO COc1cc[nH+]c(Nc2cnn(C3CCCCC3)c2)c1 ZINC001175879116 1121475006 /nfs/dbraw/zinc/47/50/06/1121475006.db2.gz MLPGSECLJIBCLO-UHFFFAOYSA-N 1 2 272.352 3.536 20 0 CHADLO CC(C)(C)n1cc(Nc2cc(Cl)c3[nH+]ccn3c2)cn1 ZINC001212569485 1121479152 /nfs/dbraw/zinc/47/91/52/1121479152.db2.gz GKKVRRNXOOWBCC-UHFFFAOYSA-N 1 2 289.770 3.683 20 0 CHADLO Cc1nn(C)c(C)c1C[C@@H](C)Nc1cc2ccccc2c[nH+]1 ZINC000631129396 1128987010 /nfs/dbraw/zinc/98/70/10/1128987010.db2.gz BCDZGHQAXOVDDM-GFCCVEGCSA-N 1 2 294.402 3.628 20 0 CHADLO Fc1ccc(Nc2ccc([NH+]3CCCCC3)cc2)nc1 ZINC001175851338 1121480843 /nfs/dbraw/zinc/48/08/43/1121480843.db2.gz UJOKRDYVBDHYBG-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO CO[C@H]1C[N@H+](Cc2csc(Cl)c2Cl)CC[C@H]1C ZINC000432917557 1121481596 /nfs/dbraw/zinc/48/15/96/1121481596.db2.gz LMRNIGGORKTJEO-SCZZXKLOSA-N 1 2 294.247 3.912 20 0 CHADLO CO[C@H]1C[N@@H+](Cc2csc(Cl)c2Cl)CC[C@H]1C ZINC000432917557 1121481598 /nfs/dbraw/zinc/48/15/98/1121481598.db2.gz LMRNIGGORKTJEO-SCZZXKLOSA-N 1 2 294.247 3.912 20 0 CHADLO Cn1ccc2c1cccc2Nc1cccc2[nH+]ccn21 ZINC001175862798 1121483474 /nfs/dbraw/zinc/48/34/74/1121483474.db2.gz MDZPATKOXQCTAU-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO COc1cc(Nc2ccc3scnc3c2)cc(C)[nH+]1 ZINC001175914311 1121498133 /nfs/dbraw/zinc/49/81/33/1121498133.db2.gz DVAWPWADBOPERE-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2nnc(C)s2)C2CCCC2)o1 ZINC000543596312 1121498635 /nfs/dbraw/zinc/49/86/35/1121498635.db2.gz CMNUFINOJKCJOM-HNNXBMFYSA-N 1 2 291.420 3.769 20 0 CHADLO CCc1cccc(Nc2ccc(C3(C(=O)OC)CC3)cc2)[nH+]1 ZINC001175918958 1121499613 /nfs/dbraw/zinc/49/96/13/1121499613.db2.gz OGFFZSKBIVJVII-UHFFFAOYSA-N 1 2 296.370 3.592 20 0 CHADLO C[C@H]([NH2+]Cc1nccs1)c1cc(Cl)cc2c1OCC2 ZINC000922986799 1121504520 /nfs/dbraw/zinc/50/45/20/1121504520.db2.gz LVKISZYRXABHCC-VIFPVBQESA-N 1 2 294.807 3.582 20 0 CHADLO c1csc([C@H]2CSCC[N@H+]2CC2=CCCCC2)n1 ZINC000450750929 1121508363 /nfs/dbraw/zinc/50/83/63/1121508363.db2.gz BYMQFUQEIILMCK-CYBMUJFWSA-N 1 2 280.462 3.733 20 0 CHADLO c1csc([C@H]2CSCC[N@@H+]2CC2=CCCCC2)n1 ZINC000450750929 1121508368 /nfs/dbraw/zinc/50/83/68/1121508368.db2.gz BYMQFUQEIILMCK-CYBMUJFWSA-N 1 2 280.462 3.733 20 0 CHADLO c1cc(Nc2ccc([NH+]3CCCCC3)cc2)cc2nn[nH]c12 ZINC001176002059 1121519826 /nfs/dbraw/zinc/51/98/26/1121519826.db2.gz FRUVFUZQLHVBLX-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)[C@@H](C)c2nc(C3CC3)no2)c1 ZINC000429312280 1121521343 /nfs/dbraw/zinc/52/13/43/1121521343.db2.gz RYHJSQSVVKRNES-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)[C@@H](C)c2nc(C3CC3)no2)c1 ZINC000429312280 1121521347 /nfs/dbraw/zinc/52/13/47/1121521347.db2.gz RYHJSQSVVKRNES-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO COC(=O)CC1(C[N@@H+](c2ccc(C)cc2)C2CCC2)CC1 ZINC001176087693 1121534778 /nfs/dbraw/zinc/53/47/78/1121534778.db2.gz RUZZSLJLFLPQPQ-UHFFFAOYSA-N 1 2 287.403 3.697 20 0 CHADLO COC(=O)CC1(C[N@H+](c2ccc(C)cc2)C2CCC2)CC1 ZINC001176087693 1121534785 /nfs/dbraw/zinc/53/47/85/1121534785.db2.gz RUZZSLJLFLPQPQ-UHFFFAOYSA-N 1 2 287.403 3.697 20 0 CHADLO Cc1nocc1C[NH2+]Cc1ccc(F)c(Cl)c1Cl ZINC000631208602 1128992108 /nfs/dbraw/zinc/99/21/08/1128992108.db2.gz HMSHSWCMOOYYOJ-UHFFFAOYSA-N 1 2 289.137 3.719 20 0 CHADLO FC(F)[C@@H]([NH2+]CC[C@H]1CCCCO1)c1ccccc1 ZINC000433049708 1121537581 /nfs/dbraw/zinc/53/75/81/1121537581.db2.gz XQITVUKVOLCTOI-KGLIPLIRSA-N 1 2 269.335 3.542 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1ccc(NC(=O)C2CC2)cc1 ZINC000051823571 1121548940 /nfs/dbraw/zinc/54/89/40/1121548940.db2.gz DCRBYAHIFIZICS-LBPRGKRZSA-N 1 2 281.359 3.603 20 0 CHADLO COc1cc[nH+]cc1Nc1cncc(-c2ccccc2)c1 ZINC001176119085 1121556622 /nfs/dbraw/zinc/55/66/22/1121556622.db2.gz NZOQHOHOJOUBDX-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1nc2cc(C)ccc2s1 ZINC001176116440 1121556684 /nfs/dbraw/zinc/55/66/84/1121556684.db2.gz DIZXFZZMAHUNAJ-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(O)c(C)cc1Cl ZINC001176124055 1121558774 /nfs/dbraw/zinc/55/87/74/1121558774.db2.gz GZAOIEARLCROKM-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)c(Cl)cc1F ZINC001176122803 1121559208 /nfs/dbraw/zinc/55/92/08/1121559208.db2.gz AAZNTBXCQWVICL-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2ncc(F)cc21 ZINC001176124647 1121560165 /nfs/dbraw/zinc/56/01/65/1121560165.db2.gz SWGWHFSYIUZUGT-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(OC(C)C)ccc1C ZINC001176124925 1121560765 /nfs/dbraw/zinc/56/07/65/1121560765.db2.gz SBIOYHLVCWGVNV-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO c1ccc2cc(N3CCC([C@H]4CCCO4)CC3)[nH+]cc2c1 ZINC000631233227 1128993876 /nfs/dbraw/zinc/99/38/76/1128993876.db2.gz IWGDFWNGTYGWCH-QGZVFWFLSA-N 1 2 282.387 3.630 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3ccccc3[C@H](C)C2)ns1 ZINC001249570543 1121573674 /nfs/dbraw/zinc/57/36/74/1121573674.db2.gz FBETXOMPTOHWHE-LLVKDONJSA-N 1 2 258.390 3.571 20 0 CHADLO Cc1cc(C[N@H+]2Cc3ccccc3[C@H](C)C2)ns1 ZINC001249570543 1121573679 /nfs/dbraw/zinc/57/36/79/1121573679.db2.gz FBETXOMPTOHWHE-LLVKDONJSA-N 1 2 258.390 3.571 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1C1CC1)c1ccccc1Cl ZINC000711764977 1121574362 /nfs/dbraw/zinc/57/43/62/1121574362.db2.gz NSGAEIXCAUYNKN-LLVKDONJSA-N 1 2 275.783 3.722 20 0 CHADLO CCc1nc(C)c(COc2cc(C)[nH+]c3ccccc32)o1 ZINC000664019410 1121581415 /nfs/dbraw/zinc/58/14/15/1121581415.db2.gz GXBGOYRTORMRSA-UHFFFAOYSA-N 1 2 282.343 3.981 20 0 CHADLO CC(C)[N@H+](Cc1cc(-c2ccco2)on1)Cc1cccnc1 ZINC000411407977 1121581618 /nfs/dbraw/zinc/58/16/18/1121581618.db2.gz LBUJSEFVYQFANJ-UHFFFAOYSA-N 1 2 297.358 3.740 20 0 CHADLO CC(C)[N@@H+](Cc1cc(-c2ccco2)on1)Cc1cccnc1 ZINC000411407977 1121581621 /nfs/dbraw/zinc/58/16/21/1121581621.db2.gz LBUJSEFVYQFANJ-UHFFFAOYSA-N 1 2 297.358 3.740 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)CCC3=O)c(C)[nH+]1 ZINC001176232062 1121582105 /nfs/dbraw/zinc/58/21/05/1121582105.db2.gz UJGQOHRRTFIFTG-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000614280690 1121592447 /nfs/dbraw/zinc/59/24/47/1121592447.db2.gz FINUXOULRMDMBB-NWANDNLSSA-N 1 2 295.386 3.760 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2cc(C)sn2)cc1 ZINC001249588878 1121595997 /nfs/dbraw/zinc/59/59/97/1121595997.db2.gz OHXQZMFNPKHLBO-GFCCVEGCSA-N 1 2 276.405 3.653 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2cc(C)sn2)cc1 ZINC001249588878 1121596001 /nfs/dbraw/zinc/59/60/01/1121596001.db2.gz OHXQZMFNPKHLBO-GFCCVEGCSA-N 1 2 276.405 3.653 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1CCC1(C)C ZINC000614282727 1121596752 /nfs/dbraw/zinc/59/67/52/1121596752.db2.gz WBZIODKRGVTTSW-CYBMUJFWSA-N 1 2 283.375 3.760 20 0 CHADLO Cc1cn2c(cccc2Nc2c(F)cc(F)c(F)c2F)[nH+]1 ZINC001176347193 1121603617 /nfs/dbraw/zinc/60/36/17/1121603617.db2.gz QUTMNVVAZAPTOZ-UHFFFAOYSA-N 1 2 295.239 3.943 20 0 CHADLO CC(C)(C)n1cc(Nc2cccc(-n3cc[nH+]c3)c2)cn1 ZINC001212570507 1121604747 /nfs/dbraw/zinc/60/47/47/1121604747.db2.gz MHXLABDRCQTWEB-UHFFFAOYSA-N 1 2 281.363 3.567 20 0 CHADLO CN(C)c1ccc(Nc2ccc3cc(O)ccc3c2)c[nH+]1 ZINC001176384869 1121607450 /nfs/dbraw/zinc/60/74/50/1121607450.db2.gz XEJAZNWDTUFAKP-UHFFFAOYSA-N 1 2 279.343 3.750 20 0 CHADLO FC[C@@H]([NH2+]Cc1scnc1C1CC1)c1ccc(F)cc1 ZINC000631284745 1128996817 /nfs/dbraw/zinc/99/68/17/1128996817.db2.gz SVKUZFQDQTWQEJ-CYBMUJFWSA-N 1 2 294.370 3.960 20 0 CHADLO c1cn(-c2ccc(NCc3scnc3C3CC3)cc2)c[nH+]1 ZINC000486929561 1121616756 /nfs/dbraw/zinc/61/67/56/1121616756.db2.gz BBAGCVUHGJLWKF-UHFFFAOYSA-N 1 2 296.399 3.818 20 0 CHADLO Cc1ccc([C@H]2CC[N@H+](Cc3noc(C(C)(C)C)n3)C2)cc1 ZINC000544183984 1121619672 /nfs/dbraw/zinc/61/96/72/1121619672.db2.gz OTLMVGAHZDMIEO-HNNXBMFYSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1ccc([C@H]2CC[N@@H+](Cc3noc(C(C)(C)C)n3)C2)cc1 ZINC000544183984 1121619675 /nfs/dbraw/zinc/61/96/75/1121619675.db2.gz OTLMVGAHZDMIEO-HNNXBMFYSA-N 1 2 299.418 3.665 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccccc3Cl)ccc21 ZINC001176552090 1121652907 /nfs/dbraw/zinc/65/29/07/1121652907.db2.gz KXLSIMINSVJTST-UHFFFAOYSA-N 1 2 257.724 3.970 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3cc(Cl)ccc32)CCCO1 ZINC001163962981 1121662885 /nfs/dbraw/zinc/66/28/85/1121662885.db2.gz ZUWMLKJJRDEWNZ-NSHDSACASA-N 1 2 276.767 3.503 20 0 CHADLO Cc1cc(N2CC[C@H](C)C(F)(F)CC2)nc(C2CC2)[nH+]1 ZINC001164118546 1121668908 /nfs/dbraw/zinc/66/89/08/1121668908.db2.gz VSVCGNQFQDFLEN-JTQLQIEISA-N 1 2 281.350 3.534 20 0 CHADLO Cc1cn2c(cccc2Nc2c(F)cc(F)c(O)c2F)[nH+]1 ZINC001176662180 1121677133 /nfs/dbraw/zinc/67/71/33/1121677133.db2.gz ITHAFPFYFAJDKZ-UHFFFAOYSA-N 1 2 293.248 3.509 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)on1 ZINC000052163067 1121681016 /nfs/dbraw/zinc/68/10/16/1121681016.db2.gz FPPXTRVHLNHFQN-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2ccccc2Cl)on1 ZINC000052163067 1121681018 /nfs/dbraw/zinc/68/10/18/1121681018.db2.gz FPPXTRVHLNHFQN-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)c(C)cc1O ZINC001176750788 1121687935 /nfs/dbraw/zinc/68/79/35/1121687935.db2.gz MOSRINYYGJAKNA-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO Cc1cc(C)c(O)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001176750418 1121688128 /nfs/dbraw/zinc/68/81/28/1121688128.db2.gz GWCUUHICJPXHAK-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO Cc1nc2cc(Nc3ccc[nH+]c3N3CCCC3)ccc2o1 ZINC001176750821 1121688338 /nfs/dbraw/zinc/68/83/38/1121688338.db2.gz NZQSKKKWJFSNGR-UHFFFAOYSA-N 1 2 294.358 3.875 20 0 CHADLO Fc1cnc(Cl)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176750432 1121688368 /nfs/dbraw/zinc/68/83/68/1121688368.db2.gz SGXZBHKKWXCYGN-UHFFFAOYSA-N 1 2 292.745 3.613 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)ccc1OCOC ZINC001176719948 1121691551 /nfs/dbraw/zinc/69/15/51/1121691551.db2.gz YOOHWNLFIGEMAM-UHFFFAOYSA-N 1 2 290.338 3.818 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cc(-c2ccco2)on1 ZINC000053133819 1121696474 /nfs/dbraw/zinc/69/64/74/1121696474.db2.gz NTSWPGARDBEFDL-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cc(-c2ccco2)on1 ZINC000053133819 1121696477 /nfs/dbraw/zinc/69/64/77/1121696477.db2.gz NTSWPGARDBEFDL-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO CCCCN(C(=O)CCc1c[nH]c[nH+]1)[C@@H](C)c1ccccc1 ZINC000279601845 1121709680 /nfs/dbraw/zinc/70/96/80/1121709680.db2.gz DQNDTFYIWGOXEF-HNNXBMFYSA-N 1 2 299.418 3.732 20 0 CHADLO CCCCN(C(=O)CCc1c[nH+]c[nH]1)[C@@H](C)c1ccccc1 ZINC000279601845 1121709687 /nfs/dbraw/zinc/70/96/87/1121709687.db2.gz DQNDTFYIWGOXEF-HNNXBMFYSA-N 1 2 299.418 3.732 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)[C@@H](C)c1nc(C2CC2)no1 ZINC000152799454 1129004208 /nfs/dbraw/zinc/00/42/08/1129004208.db2.gz WGYGTAUIPYOHFX-NSHDSACASA-N 1 2 289.354 3.669 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)[C@@H](C)c1nc(C2CC2)no1 ZINC000152799454 1129004213 /nfs/dbraw/zinc/00/42/13/1129004213.db2.gz WGYGTAUIPYOHFX-NSHDSACASA-N 1 2 289.354 3.669 20 0 CHADLO CC(C)(C)C[C@H](NC(=O)CCc1c[nH]c[nH+]1)c1ccccc1 ZINC000280109640 1121717480 /nfs/dbraw/zinc/71/74/80/1121717480.db2.gz BVDSNXXLFYHIFE-INIZCTEOSA-N 1 2 299.418 3.636 20 0 CHADLO CC(C)(C)C[C@H](NC(=O)CCc1c[nH+]c[nH]1)c1ccccc1 ZINC000280109640 1121717485 /nfs/dbraw/zinc/71/74/85/1121717485.db2.gz BVDSNXXLFYHIFE-INIZCTEOSA-N 1 2 299.418 3.636 20 0 CHADLO C[C@H]([NH2+]Cc1coc(C2CC2)n1)c1ccc(F)c(F)c1 ZINC000727464311 1121718597 /nfs/dbraw/zinc/71/85/97/1121718597.db2.gz XUGFNNPSHDJYQQ-VIFPVBQESA-N 1 2 278.302 3.681 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+]Cc2coc(C3CC3)n2)c1 ZINC000727468775 1121721926 /nfs/dbraw/zinc/72/19/26/1121721926.db2.gz OCCYYBNVFATPQG-GFCCVEGCSA-N 1 2 286.375 3.802 20 0 CHADLO Cc1cc(Br)c(CNc2cccc[nH+]2)s1 ZINC001177370376 1121725383 /nfs/dbraw/zinc/72/53/83/1121725383.db2.gz BCKNDMZGKHUKOX-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO Cc1nc2ccc(NCc3cccc4[nH+]ccn43)cc2s1 ZINC001177379122 1121727561 /nfs/dbraw/zinc/72/75/61/1121727561.db2.gz NYDZDNZGENYFLD-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OC1CCCC1)c1ncc[nH]1 ZINC000285488147 1121760785 /nfs/dbraw/zinc/76/07/85/1121760785.db2.gz BYRZMQAYIXWARO-CYBMUJFWSA-N 1 2 285.391 3.582 20 0 CHADLO Fc1ccccc1NCc1cc(-n2cc[nH+]c2)cs1 ZINC001178010264 1121762007 /nfs/dbraw/zinc/76/20/07/1121762007.db2.gz UHKHWJKVAQNSFT-UHFFFAOYSA-N 1 2 273.336 3.685 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2cc(C(C)C)no2)c(F)c1 ZINC000169213984 1121774050 /nfs/dbraw/zinc/77/40/50/1121774050.db2.gz RBWILKGCMUCQLD-NSHDSACASA-N 1 2 292.354 3.797 20 0 CHADLO COc1cc[nH+]cc1NCc1cccc(Cl)c1C ZINC001178259452 1121777634 /nfs/dbraw/zinc/77/76/34/1121777634.db2.gz MVTBZRGHKJMPMX-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO CC1(C)Cc2cc(SCCCn3cc[nH+]c3)ccc2O1 ZINC001166600415 1121777832 /nfs/dbraw/zinc/77/78/32/1121777832.db2.gz HDSYGZNLDOISPP-UHFFFAOYSA-N 1 2 288.416 3.779 20 0 CHADLO c1ccc(Cc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC001249864556 1121781029 /nfs/dbraw/zinc/78/10/29/1121781029.db2.gz CJIWXWGKLBADKR-UHFFFAOYSA-N 1 2 252.361 3.663 20 0 CHADLO CCC[C@H]([NH2+]Cc1ccn(C(C)C)n1)c1cc(C)ccn1 ZINC000631583200 1129009745 /nfs/dbraw/zinc/00/97/45/1129009745.db2.gz WIMMKHUJKMKLAL-INIZCTEOSA-N 1 2 286.423 3.798 20 0 CHADLO Cc1nc2[nH]ccc2c(NCCCSc2ccccc2)[nH+]1 ZINC000433773192 1121806515 /nfs/dbraw/zinc/80/65/15/1121806515.db2.gz HFNDXCZSDQTVDJ-UHFFFAOYSA-N 1 2 298.415 3.812 20 0 CHADLO CC[C@@H](Nc1[nH+]c(C)nc2[nH]ccc21)c1cccs1 ZINC000433790481 1121810063 /nfs/dbraw/zinc/81/00/63/1121810063.db2.gz XUCICWWDWZLHMX-LLVKDONJSA-N 1 2 272.377 3.891 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2c3ccccc3C[C@@H]2C)[nH+]1 ZINC000433790705 1121810714 /nfs/dbraw/zinc/81/07/14/1121810714.db2.gz ZTTDXRXROXERES-BONVTDFDSA-N 1 2 278.359 3.612 20 0 CHADLO Fc1ccccc1-c1ccc(C[NH2+][C@@H]2CCn3ccnc32)o1 ZINC001178778726 1121819709 /nfs/dbraw/zinc/81/97/09/1121819709.db2.gz VHVZOMJODKZRID-OAHLLOKOSA-N 1 2 297.333 3.517 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1ccoc1 ZINC001204245130 1121883257 /nfs/dbraw/zinc/88/32/57/1121883257.db2.gz YEWTXORNXYVVHJ-MRXNPFEDSA-N 1 2 271.360 3.763 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ccoc1 ZINC001204245130 1121883268 /nfs/dbraw/zinc/88/32/68/1121883268.db2.gz YEWTXORNXYVVHJ-MRXNPFEDSA-N 1 2 271.360 3.763 20 0 CHADLO CCCC1(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CCC1 ZINC000345679148 1121907030 /nfs/dbraw/zinc/90/70/30/1121907030.db2.gz VUCZYGLRTFUUHV-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@H](C)c1cccc(C(F)(F)F)c1 ZINC000822268636 1121951025 /nfs/dbraw/zinc/95/10/25/1121951025.db2.gz WQHWROBCSKMULQ-BDAKNGLRSA-N 1 2 299.296 3.809 20 0 CHADLO CCC[C@H]1C[N@H+](CCCc2c(F)cccc2Cl)CCO1 ZINC001180203738 1121962968 /nfs/dbraw/zinc/96/29/68/1121962968.db2.gz YMKXOSDIMCVPCQ-ZDUSSCGKSA-N 1 2 299.817 3.913 20 0 CHADLO CCC[C@H]1C[N@@H+](CCCc2c(F)cccc2Cl)CCO1 ZINC001180203738 1121962975 /nfs/dbraw/zinc/96/29/75/1121962975.db2.gz YMKXOSDIMCVPCQ-ZDUSSCGKSA-N 1 2 299.817 3.913 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCc3ccccc3[C@H]2C)o1 ZINC000733309951 1121973987 /nfs/dbraw/zinc/97/39/87/1121973987.db2.gz CHJHZHUTJXPURH-CYBMUJFWSA-N 1 2 270.376 3.917 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCc3ccccc3[C@H]2C)o1 ZINC000733309951 1121973993 /nfs/dbraw/zinc/97/39/93/1121973993.db2.gz CHJHZHUTJXPURH-CYBMUJFWSA-N 1 2 270.376 3.917 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2nn(C)cc2Cl)c1 ZINC000420903649 1122040927 /nfs/dbraw/zinc/04/09/27/1122040927.db2.gz OJLAKSGRGPHUIN-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2nn(C)cc2Cl)c1 ZINC000420903649 1122040932 /nfs/dbraw/zinc/04/09/32/1122040932.db2.gz OJLAKSGRGPHUIN-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@H+](Cc2cn(C3CC3)cn2)C1 ZINC001181196771 1122041132 /nfs/dbraw/zinc/04/11/32/1122041132.db2.gz YBXIJVGIUFIWRX-GOSISDBHSA-N 1 2 299.393 3.597 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@@H+](Cc2cn(C3CC3)cn2)C1 ZINC001181196771 1122041138 /nfs/dbraw/zinc/04/11/38/1122041138.db2.gz YBXIJVGIUFIWRX-GOSISDBHSA-N 1 2 299.393 3.597 20 0 CHADLO CC(=O)c1ccnc(Cl)c1Nc1ccc(C)[nH+]c1C ZINC001203366795 1122049156 /nfs/dbraw/zinc/04/91/56/1122049156.db2.gz DQRRWJXEZXFEBO-UHFFFAOYSA-N 1 2 275.739 3.693 20 0 CHADLO CCn1ccnc1C[N@H+](C(C)C)[C@@H](C)c1ccsc1 ZINC000421016276 1122062987 /nfs/dbraw/zinc/06/29/87/1122062987.db2.gz ULMACTAPKQCYFK-ZDUSSCGKSA-N 1 2 277.437 3.936 20 0 CHADLO CCn1ccnc1C[N@@H+](C(C)C)[C@@H](C)c1ccsc1 ZINC000421016276 1122062992 /nfs/dbraw/zinc/06/29/92/1122062992.db2.gz ULMACTAPKQCYFK-ZDUSSCGKSA-N 1 2 277.437 3.936 20 0 CHADLO CC(C)[N@H+](Cc1ncccn1)[C@@H](C)c1ccsc1 ZINC000421020622 1122064276 /nfs/dbraw/zinc/06/42/76/1122064276.db2.gz ZVRARFJGJJYCHT-LBPRGKRZSA-N 1 2 261.394 3.510 20 0 CHADLO CC(C)[N@@H+](Cc1ncccn1)[C@@H](C)c1ccsc1 ZINC000421020622 1122064280 /nfs/dbraw/zinc/06/42/80/1122064280.db2.gz ZVRARFJGJJYCHT-LBPRGKRZSA-N 1 2 261.394 3.510 20 0 CHADLO Cc1cc(C)cc(NC(=O)NCc2cc(C)[nH+]c(C)c2)c1 ZINC000421040303 1122066244 /nfs/dbraw/zinc/06/62/44/1122066244.db2.gz VTXJCJQRCFUTDZ-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1ccc(Nc2cnc(Br)cc2C)c(C)[nH+]1 ZINC001203367996 1122074591 /nfs/dbraw/zinc/07/45/91/1122074591.db2.gz SDIYOEQWVUFTMU-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCC[C@H](CC1CCCCC1)c1nc(C[NH2+]C)no1 ZINC000414502165 1122077084 /nfs/dbraw/zinc/07/70/84/1122077084.db2.gz OVPHMZZTXWMEJS-CYBMUJFWSA-N 1 2 265.401 3.643 20 0 CHADLO FC[C@@H]([NH2+][C@H]1CCCc2ncccc21)c1ccc(F)cc1 ZINC000631797684 1129029776 /nfs/dbraw/zinc/02/97/76/1129029776.db2.gz DRZAUGDBVQWJMA-DLBZAZTESA-N 1 2 288.341 3.899 20 0 CHADLO Cc1ccc(Nc2cnc(Cl)nc2Cl)c(C)[nH+]1 ZINC001203368302 1122082922 /nfs/dbraw/zinc/08/29/22/1122082922.db2.gz XKMGEEKURSYDDW-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO CSCC[C@@H](C)[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001258224992 1129031350 /nfs/dbraw/zinc/03/13/50/1129031350.db2.gz RLKVERYWRHGOIB-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO CSCC[C@@H](C)[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001258224992 1129031352 /nfs/dbraw/zinc/03/13/52/1129031352.db2.gz RLKVERYWRHGOIB-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO CC[C@@H]1C[C@@]1([NH2+]Cc1cc(C)on1)c1cccc(C)c1 ZINC000502558437 1122107860 /nfs/dbraw/zinc/10/78/60/1122107860.db2.gz JKUFVYRQVPUGNZ-PBHICJAKSA-N 1 2 270.376 3.706 20 0 CHADLO Oc1ccc(CNc2ccc(C3CCC3)c[nH+]2)cc1F ZINC001182115877 1122110344 /nfs/dbraw/zinc/11/03/44/1122110344.db2.gz VFYDKHFPPJKROS-UHFFFAOYSA-N 1 2 272.323 3.806 20 0 CHADLO Cc1ccc(Nc2ncccc2OC(F)(F)F)c(C)[nH+]1 ZINC001203369571 1122110409 /nfs/dbraw/zinc/11/04/09/1122110409.db2.gz ALQLLTQMAOERNG-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO C[C@@H](CC(=O)Nc1ccn2cc[nH+]c2c1)CC(C)(C)C ZINC001182173795 1122113626 /nfs/dbraw/zinc/11/36/26/1122113626.db2.gz WLEJLSHFYFZGCB-LBPRGKRZSA-N 1 2 273.380 3.735 20 0 CHADLO Cc1ccc(Nc2cnc3cc(C(C)C)nn3c2)c(C)[nH+]1 ZINC001203370259 1122117751 /nfs/dbraw/zinc/11/77/51/1122117751.db2.gz YKBCATBFRYAXSB-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO C[C@@H](CC(=O)NCc1c[nH+]cn1C1CCC1)CC(C)(C)C ZINC001182176020 1122119520 /nfs/dbraw/zinc/11/95/20/1122119520.db2.gz VVSFTVPYIQJKAT-ZDUSSCGKSA-N 1 2 291.439 3.687 20 0 CHADLO COc1ccccc1CCC[N@@H+]1CCCC[C@@H]1C(F)F ZINC000502833204 1122151353 /nfs/dbraw/zinc/15/13/53/1122151353.db2.gz SYAQFFOKDZEBLN-CQSZACIVSA-N 1 2 283.362 3.747 20 0 CHADLO COc1ccccc1CCC[N@H+]1CCCC[C@@H]1C(F)F ZINC000502833204 1122151358 /nfs/dbraw/zinc/15/13/58/1122151358.db2.gz SYAQFFOKDZEBLN-CQSZACIVSA-N 1 2 283.362 3.747 20 0 CHADLO Cc1cc(F)cc([C@@]2(F)CC[N@H+](Cc3ccco3)C2)c1 ZINC000503047656 1122164742 /nfs/dbraw/zinc/16/47/42/1122164742.db2.gz IXSLMEQFHTXALK-MRXNPFEDSA-N 1 2 277.314 3.798 20 0 CHADLO Cc1cc(F)cc([C@@]2(F)CC[N@@H+](Cc3ccco3)C2)c1 ZINC000503047656 1122164743 /nfs/dbraw/zinc/16/47/43/1122164743.db2.gz IXSLMEQFHTXALK-MRXNPFEDSA-N 1 2 277.314 3.798 20 0 CHADLO C[C@@H]1CC(C)(C)C[C@@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC001182500916 1122171286 /nfs/dbraw/zinc/17/12/86/1122171286.db2.gz XKYSYOQXBKJLEN-HIFRSBDPSA-N 1 2 273.424 3.918 20 0 CHADLO CC[C@@H](Cc1cccs1)[NH2+][C@@H](CC)C(=O)OC(C)(C)C ZINC001182533698 1122173720 /nfs/dbraw/zinc/17/37/20/1122173720.db2.gz SCQXTQXOGFLLII-JSGCOSHPSA-N 1 2 297.464 3.779 20 0 CHADLO Cc1coc(C[N@H+]2CCO[C@@H](c3cccc(F)c3)[C@@H]2C)c1 ZINC001182545002 1122174808 /nfs/dbraw/zinc/17/48/08/1122174808.db2.gz TYEMTGSYVFJFDF-SUMWQHHRSA-N 1 2 289.350 3.689 20 0 CHADLO Cc1coc(C[N@@H+]2CCO[C@@H](c3cccc(F)c3)[C@@H]2C)c1 ZINC001182545002 1122174810 /nfs/dbraw/zinc/17/48/10/1122174810.db2.gz TYEMTGSYVFJFDF-SUMWQHHRSA-N 1 2 289.350 3.689 20 0 CHADLO Cc1ccc2cc(CNc3cc4cc[nH]c4c[nH+]3)[nH]c2c1 ZINC001182626599 1122178469 /nfs/dbraw/zinc/17/84/69/1122178469.db2.gz ZSTKAMZUTMCJBO-UHFFFAOYSA-N 1 2 276.343 3.965 20 0 CHADLO Cc1csc(C2([NH2+][C@H](C)c3cncc(F)c3)CCC2)n1 ZINC000272021771 1122186719 /nfs/dbraw/zinc/18/67/19/1122186719.db2.gz XXHKOSYYQKRSRX-LLVKDONJSA-N 1 2 291.395 3.716 20 0 CHADLO COCC[N@H+](CCF)[C@@H]1C[C@H](C)Sc2sccc21 ZINC001182781273 1122189164 /nfs/dbraw/zinc/18/91/64/1122189164.db2.gz KSVMHIPBRXGMNL-CMPLNLGQSA-N 1 2 289.441 3.591 20 0 CHADLO COCC[N@@H+](CCF)[C@@H]1C[C@H](C)Sc2sccc21 ZINC001182781273 1122189166 /nfs/dbraw/zinc/18/91/66/1122189166.db2.gz KSVMHIPBRXGMNL-CMPLNLGQSA-N 1 2 289.441 3.591 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2ccc(F)c(C)c2)C2CC2)o1 ZINC000272079845 1122189339 /nfs/dbraw/zinc/18/93/39/1122189339.db2.gz LGLBYNJMCWNBEV-INIZCTEOSA-N 1 2 274.339 3.671 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1)C1CC1 ZINC000272165332 1122192488 /nfs/dbraw/zinc/19/24/88/1122192488.db2.gz NKWFUTRLRLCLEU-MGPQQGTHSA-N 1 2 274.408 3.511 20 0 CHADLO COc1cccc(C2([NH2+][C@H](C)c3csc(C)n3)CC2)c1 ZINC000272337876 1122198937 /nfs/dbraw/zinc/19/89/37/1122198937.db2.gz ZDFNBOOOLXYCBK-LLVKDONJSA-N 1 2 288.416 3.800 20 0 CHADLO CC[C@H](C)[C@H](C)C(=O)N[C@H](C)c1ccc(-n2cc[nH+]c2)cc1 ZINC001183019164 1122201628 /nfs/dbraw/zinc/20/16/28/1122201628.db2.gz VMDADLZSLFJOIQ-SOUVJXGZSA-N 1 2 299.418 3.732 20 0 CHADLO CCC[C@@H]([NH2+][C@H]1CCCc2oc(C)nc21)c1ccccn1 ZINC000924947416 1122215367 /nfs/dbraw/zinc/21/53/67/1122215367.db2.gz RCJSWBQDDZQUIN-CABCVRRESA-N 1 2 285.391 3.886 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc3c(c1)C[C@H](C)O3)C2 ZINC001183337747 1122220214 /nfs/dbraw/zinc/22/02/14/1122220214.db2.gz ZVGWZDQZXXOOJT-ZDUSSCGKSA-N 1 2 295.382 3.534 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc3c(c1)C[C@H](C)O3)C2 ZINC001183337747 1122220218 /nfs/dbraw/zinc/22/02/18/1122220218.db2.gz ZVGWZDQZXXOOJT-ZDUSSCGKSA-N 1 2 295.382 3.534 20 0 CHADLO Cc1nc(N2CC[C@@H]2c2ccc(F)cc2)c2c([nH+]1)CCCC2 ZINC000664291708 1122222610 /nfs/dbraw/zinc/22/26/10/1122222610.db2.gz YDBVBXLSVMUIQG-QGZVFWFLSA-N 1 2 297.377 3.754 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1nnc(C2CC2)[nH]1 ZINC000273412115 1122232113 /nfs/dbraw/zinc/23/21/13/1122232113.db2.gz ONXHYGDYAHDPPL-LLVKDONJSA-N 1 2 296.374 3.588 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nnc(C)o1)c1ccc(Cl)s1 ZINC000273468301 1122234413 /nfs/dbraw/zinc/23/44/13/1122234413.db2.gz FKDYJIALNXXPAI-VXNVDRBHSA-N 1 2 285.800 3.895 20 0 CHADLO FC(F)(F)[C@@H](NCCCCn1cc[nH+]c1)c1ccccc1 ZINC000070397356 1122267132 /nfs/dbraw/zinc/26/71/32/1122267132.db2.gz GIICFANQTQRHHE-AWEZNQCLSA-N 1 2 297.324 3.557 20 0 CHADLO c1[nH+]cn2c1c(NCCc1ccccc1)nc1ccccc12 ZINC001184580363 1122285931 /nfs/dbraw/zinc/28/59/31/1122285931.db2.gz NQZWBZQIDSZIBF-UHFFFAOYSA-N 1 2 288.354 3.537 20 0 CHADLO Cc1nc(N[C@@H]2CCC[C@H](C)[C@@H]2C)c2c([nH+]1)CCCC2 ZINC001184617171 1122288679 /nfs/dbraw/zinc/28/86/79/1122288679.db2.gz KBHWDFNJBXHCAZ-SLEUVZQESA-N 1 2 273.424 3.900 20 0 CHADLO CN(CC1=CCCOC1)c1cc[nH+]c2c(Cl)cccc12 ZINC000664365322 1122294895 /nfs/dbraw/zinc/29/48/95/1122294895.db2.gz JKNBDCOGXXVLKC-UHFFFAOYSA-N 1 2 288.778 3.671 20 0 CHADLO Cc1c[nH+]c(N2CCCCCC2)nc1N1CCCCCC1 ZINC000504526907 1122296491 /nfs/dbraw/zinc/29/64/91/1122296491.db2.gz UWFQILWFIHLWHI-UHFFFAOYSA-N 1 2 288.439 3.546 20 0 CHADLO Oc1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1Cl ZINC001184968959 1122304178 /nfs/dbraw/zinc/30/41/78/1122304178.db2.gz RILLTAGSTSLUHZ-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO Cc1cc(NC(=O)c2cccc3[nH+]ccn32)ccc1C1CC1 ZINC001269670693 1122315992 /nfs/dbraw/zinc/31/59/92/1122315992.db2.gz QNAKGKDIXOHVCF-UHFFFAOYSA-N 1 2 291.354 3.772 20 0 CHADLO CCOc1ccccc1CCNc1cc(CC)[nH+]c(CC)n1 ZINC001185205117 1122326122 /nfs/dbraw/zinc/32/61/22/1122326122.db2.gz TXOXONMOTPNCKG-UHFFFAOYSA-N 1 2 299.418 3.655 20 0 CHADLO CC[C@@H](C)[C@@H](C)Nc1nc2ccccc2n2c[nH+]cc12 ZINC001185440227 1122340256 /nfs/dbraw/zinc/34/02/56/1122340256.db2.gz YTLXZIVAWISZIT-VXGBXAGGSA-N 1 2 268.364 3.729 20 0 CHADLO CC[C@H](C)[C@@H](C)Nc1nc2ccccc2n2c[nH+]cc12 ZINC001185440224 1122341175 /nfs/dbraw/zinc/34/11/75/1122341175.db2.gz YTLXZIVAWISZIT-NWDGAFQWSA-N 1 2 268.364 3.729 20 0 CHADLO C[C@@H](C1CC1)[N@H+]([C@@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000637927215 1122343694 /nfs/dbraw/zinc/34/36/94/1122343694.db2.gz JVGLAYLAGOQMNE-BLLLJJGKSA-N 1 2 288.439 3.689 20 0 CHADLO C[C@@H](C1CC1)[N@@H+]([C@@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000637927215 1122343697 /nfs/dbraw/zinc/34/36/97/1122343697.db2.gz JVGLAYLAGOQMNE-BLLLJJGKSA-N 1 2 288.439 3.689 20 0 CHADLO Cc1cc(N2CC[C@H](C(C)C)C2)nc(C2CCC2)[nH+]1 ZINC001185691925 1122353791 /nfs/dbraw/zinc/35/37/91/1122353791.db2.gz DOJAHYIUVRXTOK-AWEZNQCLSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1sccc1Cl)CC2 ZINC001205339965 1122365301 /nfs/dbraw/zinc/36/53/01/1122365301.db2.gz UZWHNLWKZOSFMK-UHFFFAOYSA-N 1 2 284.837 3.725 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1sccc1Cl)CC2 ZINC001205339965 1122365306 /nfs/dbraw/zinc/36/53/06/1122365306.db2.gz UZWHNLWKZOSFMK-UHFFFAOYSA-N 1 2 284.837 3.725 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+]1CCOc2c(F)cccc2C1 ZINC000434622409 1122383185 /nfs/dbraw/zinc/38/31/85/1122383185.db2.gz DNEFCKAJWNMBDM-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+]1CCOc2c(F)cccc2C1 ZINC000434622409 1122383194 /nfs/dbraw/zinc/38/31/94/1122383194.db2.gz DNEFCKAJWNMBDM-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1ccc2c(c1)CCC[N@H+]2C ZINC000281230956 1122396485 /nfs/dbraw/zinc/39/64/85/1122396485.db2.gz DUMPNICPEOLCQF-UHFFFAOYSA-N 1 2 298.434 3.767 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1ccc2c(c1)CCC[N@@H+]2C ZINC000281230956 1122396489 /nfs/dbraw/zinc/39/64/89/1122396489.db2.gz DUMPNICPEOLCQF-UHFFFAOYSA-N 1 2 298.434 3.767 20 0 CHADLO Cc1cc(OCc2cnc(C)nc2)c2cccc(C)c2[nH+]1 ZINC000505511417 1122412287 /nfs/dbraw/zinc/41/22/87/1122412287.db2.gz DFPBOXFSGNXLND-UHFFFAOYSA-N 1 2 279.343 3.529 20 0 CHADLO CCC[C@@H](C)[C@@H](CO)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001186914875 1122414400 /nfs/dbraw/zinc/41/44/00/1122414400.db2.gz ROWQGFVEAFGWGZ-UKRRQHHQSA-N 1 2 293.455 3.932 20 0 CHADLO CC(C)[C@]1(C)C[C@@H]1C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000775078334 1122418086 /nfs/dbraw/zinc/41/80/86/1122418086.db2.gz RBUHMOZRQHVKOV-AEFFLSMTSA-N 1 2 298.386 3.598 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnc(Br)c2)c1 ZINC001203654886 1122421669 /nfs/dbraw/zinc/42/16/69/1122421669.db2.gz QSWSFBAZKJPUDE-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000505568453 1122423159 /nfs/dbraw/zinc/42/31/59/1122423159.db2.gz KBQLPAVLVJKSJQ-INIZCTEOSA-N 1 2 259.299 3.912 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CC[C@H]1c1ccccc1 ZINC000505568453 1122423164 /nfs/dbraw/zinc/42/31/64/1122423164.db2.gz KBQLPAVLVJKSJQ-INIZCTEOSA-N 1 2 259.299 3.912 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc3cccc(O)c3c2)c1 ZINC001203656893 1122423945 /nfs/dbraw/zinc/42/39/45/1122423945.db2.gz BSYDAKTYRKKHIX-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(Cl)c(F)c2)c1 ZINC001203657067 1122424708 /nfs/dbraw/zinc/42/47/08/1122424708.db2.gz HYFIMPKPYWQMNE-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO CCOc1cc(F)ccc1Nc1cc(C)c[nH+]c1C ZINC001203660030 1122426185 /nfs/dbraw/zinc/42/61/85/1122426185.db2.gz AAEGNWAUMOGCRO-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCCOc1cc(F)ccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001214078656 1122428599 /nfs/dbraw/zinc/42/85/99/1122428599.db2.gz RMEMRRARJRXKKD-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO CC[C@H]1CCC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435130428 1122436941 /nfs/dbraw/zinc/43/69/41/1122436941.db2.gz RRAOXWNZNOSBBW-QMMMGPOBSA-N 1 2 264.316 3.536 20 0 CHADLO CC(C)(C)O[C@H]1C[C@H](Nc2cccc[nH+]2)C12CCC2 ZINC000664467546 1122432372 /nfs/dbraw/zinc/43/23/72/1122432372.db2.gz DFZVBEPTTKUQML-STQMWFEESA-N 1 2 260.381 3.620 20 0 CHADLO CC[C@H]1CCC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435130428 1122436945 /nfs/dbraw/zinc/43/69/45/1122436945.db2.gz RRAOXWNZNOSBBW-QMMMGPOBSA-N 1 2 264.316 3.536 20 0 CHADLO CCc1cc(N[C@H]2CCCC3(CC3)C2)nc(CC)[nH+]1 ZINC001187739852 1122441585 /nfs/dbraw/zinc/44/15/85/1122441585.db2.gz LRULJLXODBCQRL-ZDUSSCGKSA-N 1 2 259.397 3.736 20 0 CHADLO CCc1c[nH]c2nc[nH+]c(Nc3ccc4c(c3)C[C@@H](C)O4)c12 ZINC001187765658 1122442293 /nfs/dbraw/zinc/44/22/93/1122442293.db2.gz NABJEUUNKBPEJD-SNVBAGLBSA-N 1 2 294.358 3.587 20 0 CHADLO C[C@H]([NH2+][C@H](CN(C)C)c1ccc(Cl)cc1)c1ccco1 ZINC000282953855 1122442908 /nfs/dbraw/zinc/44/29/08/1122442908.db2.gz CBPIIIWZLOTPHD-SWLSCSKDSA-N 1 2 292.810 3.887 20 0 CHADLO C[N@H+](Cc1cc(F)cc(F)c1)Cc1ccccc1F ZINC000505631844 1122443891 /nfs/dbraw/zinc/44/38/91/1122443891.db2.gz FCCZYJYOQDCMLG-UHFFFAOYSA-N 1 2 265.278 3.736 20 0 CHADLO C[N@@H+](Cc1cc(F)cc(F)c1)Cc1ccccc1F ZINC000505631844 1122443892 /nfs/dbraw/zinc/44/38/92/1122443892.db2.gz FCCZYJYOQDCMLG-UHFFFAOYSA-N 1 2 265.278 3.736 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(-c3cc(CO)on3)cc2)c1 ZINC001203669340 1122445506 /nfs/dbraw/zinc/44/55/06/1122445506.db2.gz QLGHKTPSXNSMSE-UHFFFAOYSA-N 1 2 295.342 3.589 20 0 CHADLO Clc1ccc2ncc(C[N@@H+]3CCc4ccccc4C3)n2c1 ZINC000435241738 1122448732 /nfs/dbraw/zinc/44/87/32/1122448732.db2.gz HNVHZFDBYIPJQT-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2ncc(C[N@H+]3CCc4ccccc4C3)n2c1 ZINC000435241738 1122448733 /nfs/dbraw/zinc/44/87/33/1122448733.db2.gz HNVHZFDBYIPJQT-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO C[N@H+](Cc1nnsc1Cl)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000515005212 1122469627 /nfs/dbraw/zinc/46/96/27/1122469627.db2.gz ANZXWHWIJHKRSW-ONGXEEELSA-N 1 2 287.860 3.838 20 0 CHADLO C[N@@H+](Cc1nnsc1Cl)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000515005212 1122469631 /nfs/dbraw/zinc/46/96/31/1122469631.db2.gz ANZXWHWIJHKRSW-ONGXEEELSA-N 1 2 287.860 3.838 20 0 CHADLO Cc1cc(NC(=O)c2cc(C)c(Cl)cc2C)c(C)c[nH+]1 ZINC000515027261 1122472391 /nfs/dbraw/zinc/47/23/91/1122472391.db2.gz STPKKIAPZSIJCU-UHFFFAOYSA-N 1 2 288.778 3.643 20 0 CHADLO Cc1nc(N[C@@H]2C[C@H]2c2ccc(Cl)c(F)c2)cc[nH+]1 ZINC000435568584 1122474356 /nfs/dbraw/zinc/47/43/56/1122474356.db2.gz HVUAGMHBXJFHOB-GXFFZTMASA-N 1 2 277.730 3.546 20 0 CHADLO FC(F)(F)CNc1cc[nH+]c(OCc2ccccc2)c1 ZINC001161629457 1122485149 /nfs/dbraw/zinc/48/51/49/1122485149.db2.gz BILPUOHXPDGWEI-UHFFFAOYSA-N 1 2 282.265 3.635 20 0 CHADLO COc1c(C)c[nH+]c(CNc2cccc3ccn(C)c32)c1C ZINC001205421054 1122485579 /nfs/dbraw/zinc/48/55/79/1122485579.db2.gz PNVYHEPAHOACFE-UHFFFAOYSA-N 1 2 295.386 3.811 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CN(C)c1ccc(F)cc1 ZINC001190400335 1122536656 /nfs/dbraw/zinc/53/66/56/1122536656.db2.gz YCIAHOHMMZTRRO-UHFFFAOYSA-N 1 2 295.361 3.956 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C(C)C)no1)c1ccccc1 ZINC000775388383 1122540076 /nfs/dbraw/zinc/54/00/76/1122540076.db2.gz QVOLABIMKRDQJH-INIZCTEOSA-N 1 2 273.380 3.608 20 0 CHADLO CCc1nc(C[N@@H+]2CC(C)(C)C[C@H]2c2ccccc2)no1 ZINC000425540300 1122546271 /nfs/dbraw/zinc/54/62/71/1122546271.db2.gz LLGFYPYVYPKLPD-AWEZNQCLSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1nc(C[N@H+]2CC(C)(C)C[C@H]2c2ccccc2)no1 ZINC000425540300 1122546276 /nfs/dbraw/zinc/54/62/76/1122546276.db2.gz LLGFYPYVYPKLPD-AWEZNQCLSA-N 1 2 285.391 3.605 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](c1cc2ccccc2o1)C(C)C ZINC000775420042 1122559711 /nfs/dbraw/zinc/55/97/11/1122559711.db2.gz DBLDDDJOKXJNTI-MRXNPFEDSA-N 1 2 285.347 3.611 20 0 CHADLO COc1nscc1C[N@@H+]1CC[C@H](C)C[C@H]1c1ccco1 ZINC001191213481 1122582556 /nfs/dbraw/zinc/58/25/56/1122582556.db2.gz IJEYUQCTMTWBDK-AAEUAGOBSA-N 1 2 292.404 3.718 20 0 CHADLO COc1nscc1C[N@H+]1CC[C@H](C)C[C@H]1c1ccco1 ZINC001191213481 1122582560 /nfs/dbraw/zinc/58/25/60/1122582560.db2.gz IJEYUQCTMTWBDK-AAEUAGOBSA-N 1 2 292.404 3.718 20 0 CHADLO C[C@H]1C[N@H+](CCCSC(C)(C)C)C[C@@H](C(F)(F)F)O1 ZINC001191214921 1122583167 /nfs/dbraw/zinc/58/31/67/1122583167.db2.gz RJEJNYNZUPCNOJ-QWRGUYRKSA-N 1 2 299.402 3.560 20 0 CHADLO C[C@H]1C[N@@H+](CCCSC(C)(C)C)C[C@@H](C(F)(F)F)O1 ZINC001191214921 1122583169 /nfs/dbraw/zinc/58/31/69/1122583169.db2.gz RJEJNYNZUPCNOJ-QWRGUYRKSA-N 1 2 299.402 3.560 20 0 CHADLO CCCCCCNC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC001191942371 1122621151 /nfs/dbraw/zinc/62/11/51/1122621151.db2.gz FYCLAUXFBYZACY-UHFFFAOYSA-N 1 2 286.379 3.574 20 0 CHADLO C[C@H](O)c1ncc(C[N@H+]([C@@H](C)c2ccco2)C2CC2)s1 ZINC000289341942 1122626827 /nfs/dbraw/zinc/62/68/27/1122626827.db2.gz LYGYXELADCNEBC-QWRGUYRKSA-N 1 2 292.404 3.515 20 0 CHADLO C[C@H](O)c1ncc(C[N@@H+]([C@@H](C)c2ccco2)C2CC2)s1 ZINC000289341942 1122626829 /nfs/dbraw/zinc/62/68/29/1122626829.db2.gz LYGYXELADCNEBC-QWRGUYRKSA-N 1 2 292.404 3.515 20 0 CHADLO CCc1ccccc1CC[N@H+](CC(F)F)C(C)C ZINC001192186003 1122632710 /nfs/dbraw/zinc/63/27/10/1122632710.db2.gz ZZHRMSTTXWBVKY-UHFFFAOYSA-N 1 2 255.352 3.767 20 0 CHADLO CCc1ccccc1CC[N@@H+](CC(F)F)C(C)C ZINC001192186003 1122632713 /nfs/dbraw/zinc/63/27/13/1122632713.db2.gz ZZHRMSTTXWBVKY-UHFFFAOYSA-N 1 2 255.352 3.767 20 0 CHADLO CN(CC1=CCSC1)c1cc[nH+]c2c(Cl)cccc12 ZINC000664640985 1122640320 /nfs/dbraw/zinc/64/03/20/1122640320.db2.gz SYPULJNVQMOMRX-UHFFFAOYSA-N 1 2 290.819 3.998 20 0 CHADLO CCC[N@H+](CC(F)F)C[C@@H](C)c1ccc(F)cc1 ZINC000437738507 1122651929 /nfs/dbraw/zinc/65/19/29/1122651929.db2.gz SNMMILYNDFVGFJ-LLVKDONJSA-N 1 2 259.315 3.906 20 0 CHADLO CCC[N@@H+](CC(F)F)C[C@@H](C)c1ccc(F)cc1 ZINC000437738507 1122651931 /nfs/dbraw/zinc/65/19/31/1122651931.db2.gz SNMMILYNDFVGFJ-LLVKDONJSA-N 1 2 259.315 3.906 20 0 CHADLO CCC(CC)(CC)NC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000438111468 1122678926 /nfs/dbraw/zinc/67/89/26/1122678926.db2.gz ZGRMQTZOWJWSAK-UHFFFAOYSA-N 1 2 288.395 3.733 20 0 CHADLO CCC[C@H](CC)[NH2+][C@@H](Cc1ccc(Cl)cc1)C(=O)OC ZINC000438295480 1122689906 /nfs/dbraw/zinc/68/99/06/1122689906.db2.gz VIXDFTUFIZNCOE-GJZGRUSLSA-N 1 2 297.826 3.592 20 0 CHADLO Fc1cccc(NCc2c[nH+]cn2Cc2ccccc2)c1 ZINC000438313580 1122691097 /nfs/dbraw/zinc/69/10/97/1122691097.db2.gz VEBZYYAEUCLFOO-UHFFFAOYSA-N 1 2 281.334 3.683 20 0 CHADLO Cc1cc(F)ccc1-c1ccc(C[N@@H+]2CCO[C@H](C)C2)o1 ZINC000506261370 1122707146 /nfs/dbraw/zinc/70/71/46/1122707146.db2.gz FYJNLBAXYGHQPO-CYBMUJFWSA-N 1 2 289.350 3.615 20 0 CHADLO Cc1cc(F)ccc1-c1ccc(C[N@H+]2CCO[C@H](C)C2)o1 ZINC000506261370 1122707148 /nfs/dbraw/zinc/70/71/48/1122707148.db2.gz FYJNLBAXYGHQPO-CYBMUJFWSA-N 1 2 289.350 3.615 20 0 CHADLO Cc1cc(F)ccc1-c1ccc(C[N@@H+]2CCO[C@@H](C)C2)o1 ZINC000506261371 1122707228 /nfs/dbraw/zinc/70/72/28/1122707228.db2.gz FYJNLBAXYGHQPO-ZDUSSCGKSA-N 1 2 289.350 3.615 20 0 CHADLO Cc1cc(F)ccc1-c1ccc(C[N@H+]2CCO[C@@H](C)C2)o1 ZINC000506261371 1122707229 /nfs/dbraw/zinc/70/72/29/1122707229.db2.gz FYJNLBAXYGHQPO-ZDUSSCGKSA-N 1 2 289.350 3.615 20 0 CHADLO CC[C@]1(C)CC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000438532521 1122707906 /nfs/dbraw/zinc/70/79/06/1122707906.db2.gz DZJGOGOXGRFYQW-MRXNPFEDSA-N 1 2 277.412 3.739 20 0 CHADLO CC[C@]1(C)CC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000438532521 1122707910 /nfs/dbraw/zinc/70/79/10/1122707910.db2.gz DZJGOGOXGRFYQW-MRXNPFEDSA-N 1 2 277.412 3.739 20 0 CHADLO CC[C@](C)([NH2+]Cc1cc(OC)ccc1F)c1nccs1 ZINC000293551190 1122714165 /nfs/dbraw/zinc/71/41/65/1122714165.db2.gz LDONKAUAGVNFCI-HNNXBMFYSA-N 1 2 294.395 3.706 20 0 CHADLO Cc1cc(C)c(NC(=O)C(F)(F)c2ccccc2)c(C)[nH+]1 ZINC000193387670 1129074127 /nfs/dbraw/zinc/07/41/27/1129074127.db2.gz YUQKSDNHPUMDRM-UHFFFAOYSA-N 1 2 290.313 3.737 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccsc2Br)nc1 ZINC000336742086 1122738818 /nfs/dbraw/zinc/73/88/18/1122738818.db2.gz RSKSHLMKWINBOQ-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CC=C(C(F)(F)F)CC2)c1 ZINC000295058931 1122741489 /nfs/dbraw/zinc/74/14/89/1122741489.db2.gz YLUFMPCYCGSBQQ-UHFFFAOYSA-N 1 2 283.293 3.584 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CC=C(C(F)(F)F)CC2)c1 ZINC000295058931 1122741495 /nfs/dbraw/zinc/74/14/95/1122741495.db2.gz YLUFMPCYCGSBQQ-UHFFFAOYSA-N 1 2 283.293 3.584 20 0 CHADLO COc1c(C)c[nH+]c(CN2CCc3cc(C)c(C)cc32)c1C ZINC001194231118 1122755859 /nfs/dbraw/zinc/75/58/59/1122755859.db2.gz JFMVDMGQTJTOEV-UHFFFAOYSA-N 1 2 296.414 3.887 20 0 CHADLO Cc1ccc(OC(=O)Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001194457692 1122775633 /nfs/dbraw/zinc/77/56/33/1122775633.db2.gz AFNPVTFBMQTSSZ-UHFFFAOYSA-N 1 2 293.326 3.792 20 0 CHADLO CC(C)CNc1[nH+]cccc1OCc1ccccc1F ZINC001161647246 1122794230 /nfs/dbraw/zinc/79/42/30/1122794230.db2.gz RSUPKOJVCZOVQH-UHFFFAOYSA-N 1 2 274.339 3.868 20 0 CHADLO Cc1cn2cc(NC(=O)C(C)(C)CC(C)C)ccc2[nH+]1 ZINC000439811517 1122807454 /nfs/dbraw/zinc/80/74/54/1122807454.db2.gz NLGVBSWCTGYCTG-UHFFFAOYSA-N 1 2 273.380 3.654 20 0 CHADLO S=c1scnn1C[N@H+](CC1CCCCC1)C1CC1 ZINC000101536332 1122828385 /nfs/dbraw/zinc/82/83/85/1122828385.db2.gz AVRXSOYNQGYKAM-UHFFFAOYSA-N 1 2 283.466 3.676 20 0 CHADLO S=c1scnn1C[N@@H+](CC1CCCCC1)C1CC1 ZINC000101536332 1122828390 /nfs/dbraw/zinc/82/83/90/1122828390.db2.gz AVRXSOYNQGYKAM-UHFFFAOYSA-N 1 2 283.466 3.676 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000073637544 1122847747 /nfs/dbraw/zinc/84/77/47/1122847747.db2.gz LNIIZDKYQGRELI-RKDXNWHRSA-N 1 2 299.296 3.809 20 0 CHADLO CCC(=O)N1CC[N@@H+]([C@H](C)c2ccc(C(C)(C)C)cc2)C1 ZINC001195342322 1122863830 /nfs/dbraw/zinc/86/38/30/1122863830.db2.gz NPEGTQWIVHQLTQ-CQSZACIVSA-N 1 2 288.435 3.557 20 0 CHADLO CCC(=O)N1CC[N@H+]([C@H](C)c2ccc(C(C)(C)C)cc2)C1 ZINC001195342322 1122863832 /nfs/dbraw/zinc/86/38/32/1122863832.db2.gz NPEGTQWIVHQLTQ-CQSZACIVSA-N 1 2 288.435 3.557 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1cnn(C)n1)c1ccccc1Cl ZINC000925074882 1122866784 /nfs/dbraw/zinc/86/67/84/1122866784.db2.gz HJMUBKWQSLUWKZ-NHYWBVRUSA-N 1 2 292.814 3.516 20 0 CHADLO CC[C@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)c1cc(C)ccc1C ZINC000629108381 1122881858 /nfs/dbraw/zinc/88/18/58/1122881858.db2.gz GYPTUQVXGBVVHN-WBVHZDCISA-N 1 2 299.418 3.719 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)[C@@H](C)c2ccccc2F)cn1 ZINC000440477829 1122906770 /nfs/dbraw/zinc/90/67/70/1122906770.db2.gz ZOQOURLJEYQDJP-ZDUSSCGKSA-N 1 2 287.382 3.932 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)[C@@H](C)c2ccccc2F)cn1 ZINC000440477829 1122906773 /nfs/dbraw/zinc/90/67/73/1122906773.db2.gz ZOQOURLJEYQDJP-ZDUSSCGKSA-N 1 2 287.382 3.932 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cccc(O)c2Cl)cc1 ZINC000506926840 1122912968 /nfs/dbraw/zinc/91/29/68/1122912968.db2.gz NNRNEMLXPGEUAJ-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cccc(O)c2Cl)cc1 ZINC000506926840 1122912970 /nfs/dbraw/zinc/91/29/70/1122912970.db2.gz NNRNEMLXPGEUAJ-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1c(C)c[nH+]c(CSCCCCF)c1C ZINC000507098461 1122925314 /nfs/dbraw/zinc/92/53/14/1122925314.db2.gz RISFAFSHESYQOJ-UHFFFAOYSA-N 1 2 257.374 3.690 20 0 CHADLO Cc1nccc(C[N@@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)n1 ZINC000507163208 1122932129 /nfs/dbraw/zinc/93/21/29/1122932129.db2.gz YBTQPMNTTBDIIJ-YVEFUNNKSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1nccc(C[N@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)n1 ZINC000507163208 1122932133 /nfs/dbraw/zinc/93/21/33/1122932133.db2.gz YBTQPMNTTBDIIJ-YVEFUNNKSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1nccc(C[N@@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)n1 ZINC000507163207 1122932390 /nfs/dbraw/zinc/93/23/90/1122932390.db2.gz YBTQPMNTTBDIIJ-SJKOYZFVSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1nccc(C[N@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)n1 ZINC000507163207 1122932392 /nfs/dbraw/zinc/93/23/92/1122932392.db2.gz YBTQPMNTTBDIIJ-SJKOYZFVSA-N 1 2 297.402 3.666 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)Cc1cccc(O)c1 ZINC000507195139 1122937340 /nfs/dbraw/zinc/93/73/40/1122937340.db2.gz TVNBRJOGVOSMFU-LLVKDONJSA-N 1 2 277.314 3.863 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)Cc1cccc(O)c1 ZINC000507195139 1122937342 /nfs/dbraw/zinc/93/73/42/1122937342.db2.gz TVNBRJOGVOSMFU-LLVKDONJSA-N 1 2 277.314 3.863 20 0 CHADLO c1n[nH]c2c1C[N@H+](Cc1csc3ccccc13)CCC2 ZINC000664862118 1122942641 /nfs/dbraw/zinc/94/26/41/1122942641.db2.gz CMEODBICXXGAML-UHFFFAOYSA-N 1 2 283.400 3.573 20 0 CHADLO c1n[nH]c2c1C[N@@H+](Cc1csc3ccccc13)CCC2 ZINC000664862118 1122942644 /nfs/dbraw/zinc/94/26/44/1122942644.db2.gz CMEODBICXXGAML-UHFFFAOYSA-N 1 2 283.400 3.573 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+](C)Cc1ccoc1 ZINC000507251506 1122942691 /nfs/dbraw/zinc/94/26/91/1122942691.db2.gz IBDUDPITKMGCHD-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+](C)Cc1ccoc1 ZINC000507251506 1122942695 /nfs/dbraw/zinc/94/26/95/1122942695.db2.gz IBDUDPITKMGCHD-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO CC1(C)Cc2n[nH]cc2C[N@@H+](Cc2ccsc2Cl)C1 ZINC000664864128 1122945005 /nfs/dbraw/zinc/94/50/05/1122945005.db2.gz FBDARXZELLTBHF-UHFFFAOYSA-N 1 2 295.839 3.709 20 0 CHADLO CC1(C)Cc2n[nH]cc2C[N@H+](Cc2ccsc2Cl)C1 ZINC000664864128 1122945009 /nfs/dbraw/zinc/94/50/09/1122945009.db2.gz FBDARXZELLTBHF-UHFFFAOYSA-N 1 2 295.839 3.709 20 0 CHADLO CCN(Cc1cc[nH+]c(N(C)C)c1)c1cccc(C)c1F ZINC001197157273 1122946953 /nfs/dbraw/zinc/94/69/53/1122946953.db2.gz RLKKNVKSABFHJA-UHFFFAOYSA-N 1 2 287.382 3.622 20 0 CHADLO CC(C)c1cccc(N(C)C(=O)[C@H]2CCCc3[nH+]c[nH]c32)c1 ZINC000334318863 1122985758 /nfs/dbraw/zinc/98/57/58/1122985758.db2.gz XBYALFZGIVXPEM-HNNXBMFYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1ccc2[nH+]cc(CN3CC4(CC4)c4ccccc43)n2c1 ZINC000507694878 1122986407 /nfs/dbraw/zinc/98/64/07/1122986407.db2.gz OBKKWDMKGMAHON-UHFFFAOYSA-N 1 2 289.382 3.695 20 0 CHADLO Cc1cn2cc(NC(=S)Nc3ccccc3C)ccc2[nH+]1 ZINC001198403623 1122989951 /nfs/dbraw/zinc/98/99/51/1122989951.db2.gz NUAQCUASJVVKNK-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CC=C(c2cccc(C)c2C)CC1 ZINC001198572298 1122998970 /nfs/dbraw/zinc/99/89/70/1122998970.db2.gz CTOBVWVCNXUFMU-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO Cc1cn2cc(NC(=S)Nc3cccc(C)c3)ccc2[nH+]1 ZINC001198657583 1123004122 /nfs/dbraw/zinc/00/41/22/1123004122.db2.gz DZUTZDGEMUIMRM-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CCCOc3cc(Cl)ccc32)no1 ZINC000334424267 1123011280 /nfs/dbraw/zinc/01/12/80/1123011280.db2.gz GNFCPIVPDIVYCF-AWEZNQCLSA-N 1 2 292.766 3.640 20 0 CHADLO COc1cccc2c(N[C@@H]3CCCC[C@H]3OC)cc[nH+]c12 ZINC000440566690 1123016556 /nfs/dbraw/zinc/01/65/56/1123016556.db2.gz MALJRAPFMGLFCY-HUUCEWRRSA-N 1 2 286.375 3.613 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ccccc2Br)C1 ZINC001203319954 1123025567 /nfs/dbraw/zinc/02/55/67/1123025567.db2.gz DOIHTOCGRKYKBT-ZDUSSCGKSA-N 1 2 286.188 3.773 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ccccc2Br)C1 ZINC001203319954 1123025568 /nfs/dbraw/zinc/02/55/68/1123025568.db2.gz DOIHTOCGRKYKBT-ZDUSSCGKSA-N 1 2 286.188 3.773 20 0 CHADLO CC(C)c1ncsc1Nc1ccn2cc[nH+]c2c1 ZINC001204971361 1123027024 /nfs/dbraw/zinc/02/70/24/1123027024.db2.gz JBOXEKVQRRYHEO-UHFFFAOYSA-N 1 2 258.350 3.658 20 0 CHADLO Cc1[nH]c(CNc2cccc(OCC(F)(F)F)c2)[nH+]c1C ZINC000519832156 1123028547 /nfs/dbraw/zinc/02/85/47/1123028547.db2.gz HBYZQKKSSKNNIS-UHFFFAOYSA-N 1 2 299.296 3.580 20 0 CHADLO CC[C@H](F)C[N@@H+]1C[C@H](c2ccccc2)OCC1(C)C ZINC000440688486 1123028637 /nfs/dbraw/zinc/02/86/37/1123028637.db2.gz ABZLDGURKQMWRO-LSDHHAIUSA-N 1 2 265.372 3.587 20 0 CHADLO CC[C@H](F)C[N@H+]1C[C@H](c2ccccc2)OCC1(C)C ZINC000440688486 1123028638 /nfs/dbraw/zinc/02/86/38/1123028638.db2.gz ABZLDGURKQMWRO-LSDHHAIUSA-N 1 2 265.372 3.587 20 0 CHADLO FC(F)(F)Cc1ccc(C[NH+]2CC(C(F)(F)F)C2)cc1 ZINC000894891000 1123042590 /nfs/dbraw/zinc/04/25/90/1123042590.db2.gz RJXGJQPESAUYPC-UHFFFAOYSA-N 1 2 297.242 3.786 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000049000377 1123050681 /nfs/dbraw/zinc/05/06/81/1123050681.db2.gz LWASKMBJNYOVQI-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000049000377 1123050686 /nfs/dbraw/zinc/05/06/86/1123050686.db2.gz LWASKMBJNYOVQI-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccnc1Cl)c1nc(C)cs1 ZINC000105502830 1123054368 /nfs/dbraw/zinc/05/43/68/1123054368.db2.gz AJAZERZNUXJWGA-LLVKDONJSA-N 1 2 281.812 3.741 20 0 CHADLO Cc1cc(Br)c(Nc2ccc(N)[nH+]c2)cc1F ZINC001201285284 1123080666 /nfs/dbraw/zinc/08/06/66/1123080666.db2.gz FYVJFNBUOYMJLR-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1cc(Br)c(Nc2ccc(N)[nH+]c2)cc1C ZINC001201292023 1123083929 /nfs/dbraw/zinc/08/39/29/1123083929.db2.gz UKVXYHNJMNHEFO-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1cc(Oc2ccccc2)ncc1Nc1ccc(N)[nH+]c1 ZINC001201279306 1123085137 /nfs/dbraw/zinc/08/51/37/1123085137.db2.gz TVUUIOTUOVZCOJ-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO Nc1ccc(Nc2cnc3ccc(Cl)cc3c2)c[nH+]1 ZINC001201278498 1123085315 /nfs/dbraw/zinc/08/53/15/1123085315.db2.gz KGAFUVCCSTYCTJ-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO COc1cc(Nc2cnn(-c3ccccc3)c2C)cc(C)[nH+]1 ZINC001201367626 1123088059 /nfs/dbraw/zinc/08/80/59/1123088059.db2.gz BFKYHMRXOJGDTA-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO Cc1n[nH]c(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2F)c1C ZINC000894940832 1123091282 /nfs/dbraw/zinc/09/12/82/1123091282.db2.gz JXDZCXQHRKDJJR-MRXNPFEDSA-N 1 2 291.345 3.642 20 0 CHADLO Cc1n[nH]c(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2F)c1C ZINC000894940832 1123091289 /nfs/dbraw/zinc/09/12/89/1123091289.db2.gz JXDZCXQHRKDJJR-MRXNPFEDSA-N 1 2 291.345 3.642 20 0 CHADLO CCSCc1cc[nH+]c(NCC2(CC)CCOCC2)c1 ZINC001201458172 1123102147 /nfs/dbraw/zinc/10/21/47/1123102147.db2.gz MRCJTDPGISACOD-UHFFFAOYSA-N 1 2 294.464 3.953 20 0 CHADLO CSc1cc(Nc2ccc([NH2+]C(C)C)cc2)n(C)n1 ZINC001201491572 1123109310 /nfs/dbraw/zinc/10/93/10/1123109310.db2.gz PVBQBEUBAZFTIS-UHFFFAOYSA-N 1 2 276.409 3.706 20 0 CHADLO CCc1nnc2ccc(Nc3ccc([NH2+]C(C)C)cc3)cn21 ZINC001201490518 1123109561 /nfs/dbraw/zinc/10/95/61/1123109561.db2.gz FWAAEQSJCLONRD-UHFFFAOYSA-N 1 2 295.390 3.856 20 0 CHADLO CC(C)[NH2+]c1ccc(-n2ccnc2-c2ccccc2N)cc1 ZINC001201500265 1123112303 /nfs/dbraw/zinc/11/23/03/1123112303.db2.gz WYLAPSTVTXNVSG-UHFFFAOYSA-N 1 2 292.386 3.942 20 0 CHADLO CCOc1ncc(Cl)cc1Nc1ccc(C)[nH+]c1C ZINC001201504139 1123112431 /nfs/dbraw/zinc/11/24/31/1123112431.db2.gz BYQMVJUBAVVVIQ-UHFFFAOYSA-N 1 2 277.755 3.889 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1cncc(F)c1 ZINC000188463432 1123115396 /nfs/dbraw/zinc/11/53/96/1123115396.db2.gz LUWDKCFGOJXFDN-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cncc(OC(C)C)c1 ZINC001201540822 1123116690 /nfs/dbraw/zinc/11/66/90/1123116690.db2.gz CUYNCDNXQAIIPG-UHFFFAOYSA-N 1 2 271.364 3.878 20 0 CHADLO F[C@@H]1CCC[N@H+](CCCc2ccccc2)CC1(F)F ZINC001201653152 1123137171 /nfs/dbraw/zinc/13/71/71/1123137171.db2.gz WAYJYAXVPCHAGL-CQSZACIVSA-N 1 2 271.326 3.688 20 0 CHADLO F[C@@H]1CCC[N@@H+](CCCc2ccccc2)CC1(F)F ZINC001201653152 1123137173 /nfs/dbraw/zinc/13/71/73/1123137173.db2.gz WAYJYAXVPCHAGL-CQSZACIVSA-N 1 2 271.326 3.688 20 0 CHADLO CCCCn1ncc(NCc2cc(C)[nH+]c(C)c2)c1C ZINC001201704776 1123137749 /nfs/dbraw/zinc/13/77/49/1123137749.db2.gz QZPBHZSJOAVXRZ-UHFFFAOYSA-N 1 2 272.396 3.616 20 0 CHADLO CCCC[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001201717146 1123140167 /nfs/dbraw/zinc/14/01/67/1123140167.db2.gz BOSOKOQZXUOGPD-CQSZACIVSA-N 1 2 253.773 3.513 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1-c1ccc(N)[nH+]c1 ZINC000713289453 1123166496 /nfs/dbraw/zinc/16/64/96/1123166496.db2.gz RKZJQHPTEKSYPO-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO C[C@@H]1CC[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC1(C)C ZINC000759001619 1123182415 /nfs/dbraw/zinc/18/24/15/1123182415.db2.gz XSSXGLXXGZUPCJ-LLVKDONJSA-N 1 2 296.484 3.564 20 0 CHADLO C[C@@H]1CC[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC1(C)C ZINC000759001619 1123182417 /nfs/dbraw/zinc/18/24/17/1123182417.db2.gz XSSXGLXXGZUPCJ-LLVKDONJSA-N 1 2 296.484 3.564 20 0 CHADLO Cc1cc(NC2(C)CC(F)(F)C2)nc(C2CCC2)[nH+]1 ZINC000895092642 1123190970 /nfs/dbraw/zinc/19/09/70/1123190970.db2.gz VJIXDIBFYXVCQB-UHFFFAOYSA-N 1 2 267.323 3.652 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2ncc(-c3cccs3)o2)[C@H]1C ZINC000118417316 1123193074 /nfs/dbraw/zinc/19/30/74/1123193074.db2.gz YPICJBYKZFWUPA-WDEREUQCSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2ncc(-c3cccs3)o2)[C@H]1C ZINC000118417316 1123193076 /nfs/dbraw/zinc/19/30/76/1123193076.db2.gz YPICJBYKZFWUPA-WDEREUQCSA-N 1 2 294.445 3.729 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3cccc(C)c3)ccc2[nH+]1 ZINC001202307079 1123194623 /nfs/dbraw/zinc/19/46/23/1123194623.db2.gz OSTFKDNRUVCZAV-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO COc1cccc(C[N@@H+]2CCC(C)=C(Br)C2)c1 ZINC000797527359 1123201836 /nfs/dbraw/zinc/20/18/36/1123201836.db2.gz OSOXTQFBGGLNKR-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO COc1cccc(C[N@H+]2CCC(C)=C(Br)C2)c1 ZINC000797527359 1123201839 /nfs/dbraw/zinc/20/18/39/1123201839.db2.gz OSOXTQFBGGLNKR-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc3c(n2)CCC3)[C@@H]1c1ccncc1 ZINC000334518397 1123205026 /nfs/dbraw/zinc/20/50/26/1123205026.db2.gz QWPXXLYMHVDSQH-GOSISDBHSA-N 1 2 293.414 3.548 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc3c(n2)CCC3)[C@@H]1c1ccncc1 ZINC000334518397 1123205029 /nfs/dbraw/zinc/20/50/29/1123205029.db2.gz QWPXXLYMHVDSQH-GOSISDBHSA-N 1 2 293.414 3.548 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000184447536 1123206950 /nfs/dbraw/zinc/20/69/50/1123206950.db2.gz CKMOCEHALIDKAA-JTQLQIEISA-N 1 2 293.436 3.896 20 0 CHADLO c1nnsc1C[N@@H+]1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000334520017 1123207898 /nfs/dbraw/zinc/20/78/98/1123207898.db2.gz UQBAPVAYKRKTPN-OAHLLOKOSA-N 1 2 285.416 3.655 20 0 CHADLO c1nnsc1C[N@H+]1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000334520017 1123207900 /nfs/dbraw/zinc/20/79/00/1123207900.db2.gz UQBAPVAYKRKTPN-OAHLLOKOSA-N 1 2 285.416 3.655 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)nc1 ZINC000156222752 1129111024 /nfs/dbraw/zinc/11/10/24/1129111024.db2.gz LFXHPJRIVDMHKJ-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)nc1 ZINC000156222752 1129111025 /nfs/dbraw/zinc/11/10/25/1129111025.db2.gz LFXHPJRIVDMHKJ-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO CN(C)c1ccc(NCc2c(F)cccc2Cl)[nH+]c1 ZINC000427783631 1123249188 /nfs/dbraw/zinc/24/91/88/1123249188.db2.gz YRIZJVNCSUWLSX-UHFFFAOYSA-N 1 2 279.746 3.552 20 0 CHADLO FCC(CF)[NH2+]Cc1cccc(C2CCCC2)c1 ZINC000850313290 1123252822 /nfs/dbraw/zinc/25/28/22/1123252822.db2.gz VNLJEYZHSVCNEN-UHFFFAOYSA-N 1 2 253.336 3.741 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CC[C@H]3c3ccccc3)oc2c1 ZINC000466968099 1123291041 /nfs/dbraw/zinc/29/10/41/1123291041.db2.gz WFCMJRFJRAMBLO-HNNXBMFYSA-N 1 2 282.318 3.914 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CC[C@H]3c3ccccc3)oc2c1 ZINC000466968099 1123291047 /nfs/dbraw/zinc/29/10/47/1123291047.db2.gz WFCMJRFJRAMBLO-HNNXBMFYSA-N 1 2 282.318 3.914 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)cs2)nc1 ZINC000134297433 1123320461 /nfs/dbraw/zinc/32/04/61/1123320461.db2.gz PCSNBMSHDSQUTC-GFCCVEGCSA-N 1 2 289.448 3.995 20 0 CHADLO CCc1c2ccccc2oc1[C@@H](C)[NH2+][C@@H](C)c1nncn1C ZINC000271886372 1129115736 /nfs/dbraw/zinc/11/57/36/1129115736.db2.gz QTJOEJQFKNQPQF-NEPJUHHUSA-N 1 2 298.390 3.536 20 0 CHADLO CCO[C@H]1CCN(c2[nH+]ccc3cc(F)ccc32)C[C@H]1C ZINC000335348970 1123361986 /nfs/dbraw/zinc/36/19/86/1123361986.db2.gz CVPKSXBGKMSVDH-WBMJQRKESA-N 1 2 288.366 3.625 20 0 CHADLO CCC1CCN(C(=O)Nc2c(C)cc(C)[nH+]c2C)CC1 ZINC000335586696 1123379712 /nfs/dbraw/zinc/37/97/12/1123379712.db2.gz UBKZHXMVZMIAEJ-UHFFFAOYSA-N 1 2 275.396 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@H](C)c2ccccn2)c(C)[nH+]1 ZINC000335586166 1123380107 /nfs/dbraw/zinc/38/01/07/1123380107.db2.gz MFPZEZWYFRAYNG-CQSZACIVSA-N 1 2 298.390 3.627 20 0 CHADLO COc1cccc(NC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000335591503 1123381302 /nfs/dbraw/zinc/38/13/02/1123381302.db2.gz WCYPXYMWHOMIJZ-UHFFFAOYSA-N 1 2 285.347 3.659 20 0 CHADLO Cc1nocc1C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000335573501 1123382036 /nfs/dbraw/zinc/38/20/36/1123382036.db2.gz VIRPAAVILLSQGZ-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1nocc1C[N@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000335573501 1123382039 /nfs/dbraw/zinc/38/20/39/1123382039.db2.gz VIRPAAVILLSQGZ-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO C/C=C/c1ccc(NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000335694201 1123396241 /nfs/dbraw/zinc/39/62/41/1123396241.db2.gz UNQVEJOBUMUJKT-KWEXDPCDSA-N 1 2 281.359 3.501 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398400684 1123403992 /nfs/dbraw/zinc/40/39/92/1123403992.db2.gz WGDSBMQYFTZPOK-ZJUUUORDSA-N 1 2 279.434 3.672 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2c2nc3cc(C)ccc3o2)[nH+]c1C ZINC000895193677 1123404514 /nfs/dbraw/zinc/40/45/14/1123404514.db2.gz QXXYVIVNXFSCBJ-AWEZNQCLSA-N 1 2 296.374 3.818 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1csnn1)c1ccccc1 ZINC000398446772 1123405767 /nfs/dbraw/zinc/40/57/67/1123405767.db2.gz BMUVZBNQSIWQKB-YPMHNXCESA-N 1 2 261.394 3.730 20 0 CHADLO CC(C)c1ccc(C[NH2+][C@H](C)c2csnn2)cc1 ZINC000398457782 1123406003 /nfs/dbraw/zinc/40/60/03/1123406003.db2.gz HTDCZQKOHPGAET-LLVKDONJSA-N 1 2 261.394 3.512 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1conc1C ZINC000853637696 1123445085 /nfs/dbraw/zinc/44/50/85/1123445085.db2.gz GHVNXBRCFVEKKJ-UHFFFAOYSA-N 1 2 284.363 3.593 20 0 CHADLO CCc1onc(C)c1C[NH2+][C@@H](c1nc(C)cs1)C1CC1 ZINC000930239555 1123446812 /nfs/dbraw/zinc/44/68/12/1123446812.db2.gz XBZVNKHMHXTJGW-CQSZACIVSA-N 1 2 291.420 3.551 20 0 CHADLO Cc1sccc1CC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000335860303 1123451300 /nfs/dbraw/zinc/45/13/00/1123451300.db2.gz FTPOJVUUFDXNGH-UHFFFAOYSA-N 1 2 274.389 3.558 20 0 CHADLO C[N@@H+](Cc1noc(C2CCCCC2)n1)CC1CCCC1 ZINC000157151873 1129122794 /nfs/dbraw/zinc/12/27/94/1129122794.db2.gz PNLGTHFDSMSYLC-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO C[N@H+](Cc1noc(C2CCCCC2)n1)CC1CCCC1 ZINC000157151873 1129122795 /nfs/dbraw/zinc/12/27/95/1129122795.db2.gz PNLGTHFDSMSYLC-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO CC(C)c1cc(N[C@@H]2COC3(CCC3)C2)nc(C(C)C)[nH+]1 ZINC000853827008 1123458188 /nfs/dbraw/zinc/45/81/88/1123458188.db2.gz HHEQQIOXKCGZFM-ZDUSSCGKSA-N 1 2 289.423 3.847 20 0 CHADLO CC[N@@H+](Cc1csc(C2CCCC2)n1)C[C@H]1CCCO1 ZINC000051554567 1123462461 /nfs/dbraw/zinc/46/24/61/1123462461.db2.gz SUIDWFMNZHEWDO-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO CC[N@H+](Cc1csc(C2CCCC2)n1)C[C@H]1CCCO1 ZINC000051554567 1123462465 /nfs/dbraw/zinc/46/24/65/1123462465.db2.gz SUIDWFMNZHEWDO-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1cc(N)nc(SC[C@@H]2CCCc3ccccc32)[nH+]1 ZINC000113649633 1123469437 /nfs/dbraw/zinc/46/94/37/1123469437.db2.gz IYJBOIJCRDJNDK-ZDUSSCGKSA-N 1 2 285.416 3.579 20 0 CHADLO CCCC(C)(C)CC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000346069086 1123470619 /nfs/dbraw/zinc/47/06/19/1123470619.db2.gz LUUOBQRSFCFBPM-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO C[NH+](C)C1(C(=O)Oc2ccc3oc4ccccc4c3c2)CC1 ZINC000854313770 1123482253 /nfs/dbraw/zinc/48/22/53/1123482253.db2.gz AEPCVTKMHXNPER-UHFFFAOYSA-N 1 2 295.338 3.586 20 0 CHADLO Cc1ccccc1[C@@H](C)N(CC(C)C)C(=O)Cc1[nH]cc[nH+]1 ZINC000854410529 1123484421 /nfs/dbraw/zinc/48/44/21/1123484421.db2.gz ZTFKQMUXESZODQ-OAHLLOKOSA-N 1 2 299.418 3.506 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2cc3ccccc3o2)s1 ZINC000273320606 1129124964 /nfs/dbraw/zinc/12/49/64/1129124964.db2.gz RWZIARZKFIVFMO-JTQLQIEISA-N 1 2 287.388 3.698 20 0 CHADLO Clc1ccc2c(CCNc3cccc[nH+]3)c[nH]c2c1 ZINC000621051530 1129125021 /nfs/dbraw/zinc/12/50/21/1129125021.db2.gz XLQHLVPCKUVWAF-UHFFFAOYSA-N 1 2 271.751 3.871 20 0 CHADLO Cc1nc(N[C@H](c2ccccn2)C2CC2)c2c([nH+]1)CCCC2 ZINC000895794093 1123514425 /nfs/dbraw/zinc/51/44/25/1123514425.db2.gz SDWKURANCQAVQO-KRWDZBQOSA-N 1 2 294.402 3.622 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cccc(F)c1Cl ZINC000338987902 1123548594 /nfs/dbraw/zinc/54/85/94/1123548594.db2.gz ZONJTGBDSVJSCD-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cccc(F)c1Cl ZINC000338987902 1123548600 /nfs/dbraw/zinc/54/86/00/1123548600.db2.gz ZONJTGBDSVJSCD-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO CC[C@H]([NH2+]Cc1cn(C)nc1Cl)c1ccc(F)cc1F ZINC000856769833 1123566742 /nfs/dbraw/zinc/56/67/42/1123566742.db2.gz DRHWXWRVAJRHGQ-ZDUSSCGKSA-N 1 2 299.752 3.593 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H]2CCSc3ccccc32)s1 ZINC000274247137 1129130339 /nfs/dbraw/zinc/13/03/39/1129130339.db2.gz RRKJJICKMONDMK-CABZTGNLSA-N 1 2 291.445 3.734 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@H](C)c2nnc(C)s2)cc1 ZINC000274253806 1129130720 /nfs/dbraw/zinc/13/07/20/1129130720.db2.gz STBDCQDDOJOAOP-VHSXEESVSA-N 1 2 293.461 3.980 20 0 CHADLO CCO[C@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000896405748 1123579619 /nfs/dbraw/zinc/57/96/19/1123579619.db2.gz NVMFLLQCVDJPSE-NSHDSACASA-N 1 2 276.767 3.503 20 0 CHADLO Cc1nc(N2C[C@H](c3ccccc3)C[C@H]2C)c(C)c(C)[nH+]1 ZINC000474770562 1123584288 /nfs/dbraw/zinc/58/42/88/1123584288.db2.gz FZMAHIDEBAPSCA-SJKOYZFVSA-N 1 2 281.403 3.784 20 0 CHADLO CCCC[C@H](CC)CCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC000621111722 1129131542 /nfs/dbraw/zinc/13/15/42/1129131542.db2.gz RGGFZOHCXGWKGI-HNNXBMFYSA-N 1 2 293.455 3.716 20 0 CHADLO CCC[C@H]([NH2+]Cc1cc(CC)no1)c1ncccc1Cl ZINC000925135497 1123612254 /nfs/dbraw/zinc/61/22/54/1123612254.db2.gz XYXMSIZMGXLPSW-AWEZNQCLSA-N 1 2 293.798 3.916 20 0 CHADLO CCc1cnc(C[N@@H+]2CC3(CCCC3)OC(C)(C)C2)s1 ZINC000443793503 1123630855 /nfs/dbraw/zinc/63/08/55/1123630855.db2.gz WVJJANNMCHMYEE-UHFFFAOYSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1cnc(C[N@H+]2CC3(CCCC3)OC(C)(C)C2)s1 ZINC000443793503 1123630858 /nfs/dbraw/zinc/63/08/58/1123630858.db2.gz WVJJANNMCHMYEE-UHFFFAOYSA-N 1 2 294.464 3.629 20 0 CHADLO C=Cc1ccc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC000191942044 1123632832 /nfs/dbraw/zinc/63/28/32/1123632832.db2.gz XCHZBKAAZIECRV-UHFFFAOYSA-N 1 2 289.338 3.768 20 0 CHADLO Cc1cc([C@H]2CCCN2c2[nH+]ccc3ccccc32)no1 ZINC000858341001 1123645989 /nfs/dbraw/zinc/64/59/89/1123645989.db2.gz VHRPLXQUZBIOEM-MRXNPFEDSA-N 1 2 279.343 3.873 20 0 CHADLO CC(C)[C@H](C(=O)Nc1cccc2[nH+]ccn21)c1ccccc1 ZINC000443884864 1123647239 /nfs/dbraw/zinc/64/72/39/1123647239.db2.gz YQBHQKRYDUOSEO-KRWDZBQOSA-N 1 2 293.370 3.713 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2cc(C)cc(Cl)n2)n1 ZINC000858415339 1123651923 /nfs/dbraw/zinc/65/19/23/1123651923.db2.gz RFVAMZLZJZVRCL-JTQLQIEISA-N 1 2 281.812 3.659 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@H](C)c2cccc(O)c2)s1 ZINC000346204127 1123672313 /nfs/dbraw/zinc/67/23/13/1123672313.db2.gz OWGCLNNKRGJGPZ-LLVKDONJSA-N 1 2 276.405 3.604 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@H](C)c2cccc(O)c2)s1 ZINC000346204127 1123672315 /nfs/dbraw/zinc/67/23/15/1123672315.db2.gz OWGCLNNKRGJGPZ-LLVKDONJSA-N 1 2 276.405 3.604 20 0 CHADLO CCc1cnc(CNc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC000346199067 1123672335 /nfs/dbraw/zinc/67/23/35/1123672335.db2.gz KQKBOUCUVQQSLN-UHFFFAOYSA-N 1 2 284.388 3.503 20 0 CHADLO C[C@@H](CCC(C)(C)C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000346261058 1123676628 /nfs/dbraw/zinc/67/66/28/1123676628.db2.gz UWKRVAOPFPKLSY-AWEZNQCLSA-N 1 2 299.418 3.817 20 0 CHADLO Cn1nc(C[NH2+]C2(c3ccc(Cl)cc3)CC2)cc1Cl ZINC000859232255 1123692283 /nfs/dbraw/zinc/69/22/83/1123692283.db2.gz ITVVIOLQRJEZHR-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO Cn1nc(C[N@H+](Cc2ccsc2)C(C)(C)C)cc1Cl ZINC000859345497 1123694905 /nfs/dbraw/zinc/69/49/05/1123694905.db2.gz GFCFVTUSQAIMEY-UHFFFAOYSA-N 1 2 297.855 3.936 20 0 CHADLO Cn1nc(C[N@@H+](Cc2ccsc2)C(C)(C)C)cc1Cl ZINC000859345497 1123694909 /nfs/dbraw/zinc/69/49/09/1123694909.db2.gz GFCFVTUSQAIMEY-UHFFFAOYSA-N 1 2 297.855 3.936 20 0 CHADLO COc1ccc(C2([NH2+][C@@H](C)c3csc(C)n3)CC2)cc1 ZINC000346742588 1123710752 /nfs/dbraw/zinc/71/07/52/1123710752.db2.gz MPLALQHKYHNNNP-NSHDSACASA-N 1 2 288.416 3.800 20 0 CHADLO CCCc1nc(C[N@@H+](Cc2ccccc2)CC(C)C)no1 ZINC000346797605 1123714000 /nfs/dbraw/zinc/71/40/00/1123714000.db2.gz SSZGDAAITWPKQI-UHFFFAOYSA-N 1 2 287.407 3.680 20 0 CHADLO CCCc1nc(C[N@H+](Cc2ccccc2)CC(C)C)no1 ZINC000346797605 1123714005 /nfs/dbraw/zinc/71/40/05/1123714005.db2.gz SSZGDAAITWPKQI-UHFFFAOYSA-N 1 2 287.407 3.680 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](c2nc(C)cs2)C2CC2)o1 ZINC000428322741 1123719926 /nfs/dbraw/zinc/71/99/26/1123719926.db2.gz IBDCFBCRTBFWHO-CQSZACIVSA-N 1 2 291.420 3.551 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1ccc([NH+](C)C)c(C)c1 ZINC000281551284 1123724973 /nfs/dbraw/zinc/72/49/73/1123724973.db2.gz ZXAJIZXKLVEWQE-UHFFFAOYSA-N 1 2 286.423 3.759 20 0 CHADLO CCc1ccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)s1 ZINC000195150666 1129141927 /nfs/dbraw/zinc/14/19/27/1129141927.db2.gz LHDLISSUSWTOFA-UHFFFAOYSA-N 1 2 297.383 3.953 20 0 CHADLO C[C@@H]1COCCN1c1ccc([NH2+][C@@H]2CCC23CCC3)cc1 ZINC000281933307 1123749824 /nfs/dbraw/zinc/74/98/24/1123749824.db2.gz BDCYLFOAPOQNKO-RHSMWYFYSA-N 1 2 286.419 3.656 20 0 CHADLO CC(C)(C)c1ccccc1NC(=O)c1cccc2[nH+]ccn21 ZINC000082323988 1129142927 /nfs/dbraw/zinc/14/29/27/1129142927.db2.gz HCVLDFSXKPDAPN-UHFFFAOYSA-N 1 2 293.370 3.884 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc3[nH+]ccn32)c(Cl)c1 ZINC000082325226 1129143094 /nfs/dbraw/zinc/14/30/94/1129143094.db2.gz FUHHUXSJQUHOKY-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO Cc1[nH]c(CNC(=O)c2ccc(C3=CCCC3)cc2)[nH+]c1C ZINC000896909410 1123772503 /nfs/dbraw/zinc/77/25/03/1123772503.db2.gz FJBYARFCZSBWIY-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO Cc1[nH]c(CN2CCc3c2cccc3C(F)(F)F)[nH+]c1C ZINC000862326589 1123800513 /nfs/dbraw/zinc/80/05/13/1123800513.db2.gz QKMQMPLIHDZKHS-UHFFFAOYSA-N 1 2 295.308 3.608 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C(F)(F)F)C(C)(C)C2)oc1C ZINC000190173789 1123814444 /nfs/dbraw/zinc/81/44/44/1123814444.db2.gz ZTBJFMDZJBMVOG-NSHDSACASA-N 1 2 290.329 3.702 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C(F)(F)F)C(C)(C)C2)oc1C ZINC000190173789 1123814448 /nfs/dbraw/zinc/81/44/48/1123814448.db2.gz ZTBJFMDZJBMVOG-NSHDSACASA-N 1 2 290.329 3.702 20 0 CHADLO Fc1ccc([C@@H]2C[C@H]2Nc2cc3cc[nH]c3c[nH+]2)cc1F ZINC001168065107 1123864655 /nfs/dbraw/zinc/86/46/55/1123864655.db2.gz UWXWUYAQPXDXLT-SMDDNHRTSA-N 1 2 285.297 3.809 20 0 CHADLO Fc1ccc(SCCCNc2cccc[nH+]2)cc1 ZINC000301065848 1123871982 /nfs/dbraw/zinc/87/19/82/1123871982.db2.gz YWZGZDSJTMVPIF-UHFFFAOYSA-N 1 2 262.353 3.815 20 0 CHADLO CS/C=C/C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000083600404 1129150995 /nfs/dbraw/zinc/15/09/95/1129150995.db2.gz ICXDCKGIUDFDGH-BQYQJAHWSA-N 1 2 287.388 3.509 20 0 CHADLO CSC=CC(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000083600404 1129151000 /nfs/dbraw/zinc/15/10/00/1129151000.db2.gz ICXDCKGIUDFDGH-BQYQJAHWSA-N 1 2 287.388 3.509 20 0 CHADLO Cc1ccc(CN(C)c2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000301153146 1123877699 /nfs/dbraw/zinc/87/76/99/1123877699.db2.gz LUJLFZIWWYEOAF-UHFFFAOYSA-N 1 2 275.421 3.915 20 0 CHADLO C[C@H](CCc1ccccc1)Nc1cc(N2CCCC2)nc[nH+]1 ZINC000301195921 1123880706 /nfs/dbraw/zinc/88/07/06/1123880706.db2.gz YVMZDVCCKHEJFO-OAHLLOKOSA-N 1 2 296.418 3.510 20 0 CHADLO C[C@H](CCc1ccccc1)Nc1cc(N2CCCC2)[nH+]cn1 ZINC000301195921 1123880711 /nfs/dbraw/zinc/88/07/11/1123880711.db2.gz YVMZDVCCKHEJFO-OAHLLOKOSA-N 1 2 296.418 3.510 20 0 CHADLO c1ccc(NCCCOc2cccc3cccnc32)[nH+]c1 ZINC000301256876 1123885382 /nfs/dbraw/zinc/88/53/82/1123885382.db2.gz KNPRBOJYCPNGRE-UHFFFAOYSA-N 1 2 279.343 3.511 20 0 CHADLO CCc1cc(N(Cc2ccc(OC)cc2)C2CC2)nc(C)[nH+]1 ZINC000301325403 1123891513 /nfs/dbraw/zinc/89/15/13/1123891513.db2.gz AMNVGZUUBNXDKX-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@H]2CC=CCC2)c1 ZINC000301522610 1123908286 /nfs/dbraw/zinc/90/82/86/1123908286.db2.gz OCETUUZVTXLJFH-JTQLQIEISA-N 1 2 256.271 3.869 20 0 CHADLO COC[C@H](Nc1cc(C)[nH+]c(C(C)C)n1)c1ccc(C)o1 ZINC000301545082 1123910090 /nfs/dbraw/zinc/91/00/90/1123910090.db2.gz DINHCTDBTAYPDH-ZDUSSCGKSA-N 1 2 289.379 3.609 20 0 CHADLO Cc1cc(N(C)[C@H](C)c2cccnc2)nc(C(C)C)[nH+]1 ZINC000301623333 1123918873 /nfs/dbraw/zinc/91/88/73/1123918873.db2.gz GEOQWEMIVPSQFK-CYBMUJFWSA-N 1 2 270.380 3.501 20 0 CHADLO CO[C@@H](CNc1cccc[nH+]1)c1ccccc1Cl ZINC000301688202 1123924124 /nfs/dbraw/zinc/92/41/24/1123924124.db2.gz VLKHSOKAZYQPPQ-ZDUSSCGKSA-N 1 2 262.740 3.535 20 0 CHADLO COC[C@H](Nc1cc(C)[nH+]c(C(C)C)n1)c1ccccc1 ZINC000301691917 1123924196 /nfs/dbraw/zinc/92/41/96/1123924196.db2.gz ZADXIGGLOFRVEV-HNNXBMFYSA-N 1 2 285.391 3.708 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@H]2/C=C\c2ccccc2)[nH]1 ZINC000428343911 1123925484 /nfs/dbraw/zinc/92/54/84/1123925484.db2.gz FADFXXPIJZPHEK-SNGWPZLWSA-N 1 2 296.418 3.606 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@H]2/C=C\c2ccccc2)[nH]1 ZINC000428343911 1123925486 /nfs/dbraw/zinc/92/54/86/1123925486.db2.gz FADFXXPIJZPHEK-SNGWPZLWSA-N 1 2 296.418 3.606 20 0 CHADLO CC(C)c1cc(N[C@H]2CCC[C@]2(C)CO)nc(C(C)C)[nH+]1 ZINC000301799285 1123933770 /nfs/dbraw/zinc/93/37/70/1123933770.db2.gz BNPNAYVDVBLOQQ-WMLDXEAASA-N 1 2 291.439 3.686 20 0 CHADLO CC(C)c1cc(N[C@H]2CCC[C@@]2(C)CO)nc(C(C)C)[nH+]1 ZINC000301799286 1123933840 /nfs/dbraw/zinc/93/38/40/1123933840.db2.gz BNPNAYVDVBLOQQ-YOEHRIQHSA-N 1 2 291.439 3.686 20 0 CHADLO Fc1cccc([C@@H](Nc2cccc[nH+]2)c2ccccn2)c1 ZINC000301895751 1123942023 /nfs/dbraw/zinc/94/20/23/1123942023.db2.gz PYZXSAHNFMLZMP-QGZVFWFLSA-N 1 2 279.318 3.817 20 0 CHADLO CCc1cc(N2CCc3cc(F)ccc3[C@@H]2C)nc(C)[nH+]1 ZINC000302170526 1123959854 /nfs/dbraw/zinc/95/98/54/1123959854.db2.gz ACRXRLDWIYKQCQ-NSHDSACASA-N 1 2 285.366 3.610 20 0 CHADLO COc1cccc2c(NCc3cccc(C)n3)cc[nH+]c12 ZINC000302403089 1123967675 /nfs/dbraw/zinc/96/76/75/1123967675.db2.gz FIRRHGCSTCVWKG-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CC(C)c1ccccc1NC(=O)Cn1c[nH+]c(C(C)(C)C)c1 ZINC000865374859 1123976462 /nfs/dbraw/zinc/97/64/62/1123976462.db2.gz MUIOGNCAKLCELR-UHFFFAOYSA-N 1 2 299.418 3.943 20 0 CHADLO CC(C)(C)c1cn(Cc2ccn(C3CCCC3)n2)c[nH+]1 ZINC000865376562 1123977545 /nfs/dbraw/zinc/97/75/45/1123977545.db2.gz TVPOCZKEECBFGL-UHFFFAOYSA-N 1 2 272.396 3.541 20 0 CHADLO COc1cccc2c(NCc3ccccn3)c(C)c[nH+]c12 ZINC000302735824 1123981238 /nfs/dbraw/zinc/98/12/38/1123981238.db2.gz ZHKUUAWSKKZJDN-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CCc1csc(NCc2ccccc2Cn2cc[nH+]c2)n1 ZINC000865424556 1123983489 /nfs/dbraw/zinc/98/34/89/1123983489.db2.gz WVYHZVFQOYYHIQ-UHFFFAOYSA-N 1 2 298.415 3.562 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C2CC2)cn1)c1ccc(F)cc1Cl ZINC000865468359 1123987460 /nfs/dbraw/zinc/98/74/60/1123987460.db2.gz GTTGKXBSTAVMLU-SNVBAGLBSA-N 1 2 293.773 3.861 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1ccnc(C)c1)CC3 ZINC000621266368 1129159289 /nfs/dbraw/zinc/15/92/89/1129159289.db2.gz JCCAFFJNPADRAA-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1ccnc(C)c1)CC3 ZINC000621266368 1129159293 /nfs/dbraw/zinc/15/92/93/1129159293.db2.gz JCCAFFJNPADRAA-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](CC)c2nc(C)cs2)o1 ZINC000428353096 1123995766 /nfs/dbraw/zinc/99/57/66/1123995766.db2.gz SVFUTLVXFQUBLG-LLVKDONJSA-N 1 2 279.409 3.551 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccccc2CC(F)(F)F)co1 ZINC000865591678 1123996792 /nfs/dbraw/zinc/99/67/92/1123996792.db2.gz KCQGSUSRBQDLSV-UHFFFAOYSA-N 1 2 298.308 3.632 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+]Cc1cn(C2CC2)cn1 ZINC000865582883 1123996901 /nfs/dbraw/zinc/99/69/01/1123996901.db2.gz JOYDFGLXDCFDJE-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO Cc1cc(C[NH2+]Cc2c(Cl)cncc2Cl)cc(C)n1 ZINC000865605841 1123998089 /nfs/dbraw/zinc/99/80/89/1123998089.db2.gz ILUXJFZGLAZOMC-UHFFFAOYSA-N 1 2 296.201 3.690 20 0 CHADLO Clc1cnn(C[N@@H+]2CCC[C@H]2/C=C\c2ccccc2)c1 ZINC000779890542 1129159840 /nfs/dbraw/zinc/15/98/40/1129159840.db2.gz WVEZNMGSXFTREM-QWGSZXSUSA-N 1 2 287.794 3.672 20 0 CHADLO Clc1cnn(C[N@H+]2CCC[C@H]2/C=C\c2ccccc2)c1 ZINC000779890542 1129159848 /nfs/dbraw/zinc/15/98/48/1129159848.db2.gz WVEZNMGSXFTREM-QWGSZXSUSA-N 1 2 287.794 3.672 20 0 CHADLO COc1ccc2c(c1)[C@H]([NH2+][C@@H](C)C(C)(F)F)CCC2 ZINC000389446600 1124023939 /nfs/dbraw/zinc/02/39/39/1124023939.db2.gz NXXZLUGVDFFYQS-IINYFYTJSA-N 1 2 269.335 3.706 20 0 CHADLO CC1=C(C)C[N@H+](CN2c3cccc4cccc(c34)C2=O)CC1 ZINC000794879249 1124026348 /nfs/dbraw/zinc/02/63/48/1124026348.db2.gz GFKLCMWGPCKKIZ-UHFFFAOYSA-N 1 2 292.382 3.800 20 0 CHADLO CC1=C(C)C[N@@H+](CN2c3cccc4cccc(c34)C2=O)CC1 ZINC000794879249 1124026352 /nfs/dbraw/zinc/02/63/52/1124026352.db2.gz GFKLCMWGPCKKIZ-UHFFFAOYSA-N 1 2 292.382 3.800 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(OC(F)(F)F)cc1)C(C)(F)F ZINC000389460047 1124028813 /nfs/dbraw/zinc/02/88/13/1124028813.db2.gz DYPHXKIQZIADNQ-MRVPVSSYSA-N 1 2 283.240 3.719 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2OC[C@@H]1F)c1cccc(F)c1 ZINC000866213347 1124037565 /nfs/dbraw/zinc/03/75/65/1124037565.db2.gz PLAQRLXYJQDYEJ-KCTSRDHCSA-N 1 2 289.325 3.948 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@H]3CSC[C@H]3C2)c1 ZINC000866202255 1124037779 /nfs/dbraw/zinc/03/77/79/1124037779.db2.gz TYCZZLMQXGSWII-QWHCGFSZSA-N 1 2 288.391 3.563 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)c(C)s1 ZINC000866271607 1124045530 /nfs/dbraw/zinc/04/55/30/1124045530.db2.gz BCAIAIMOOBVXRT-GOEBONIOSA-N 1 2 291.391 3.926 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc(C)cc(C)c2)oc1C ZINC000444719262 1124057476 /nfs/dbraw/zinc/05/74/76/1124057476.db2.gz YMGCBMDXQIGADT-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc(C)cc(C)c2)oc1C ZINC000444719262 1124057472 /nfs/dbraw/zinc/05/74/72/1124057472.db2.gz YMGCBMDXQIGADT-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO C[C@H](c1ccc2c(c1)CCC2)[N@H+](C)Cc1cscn1 ZINC000445227374 1124078199 /nfs/dbraw/zinc/07/81/99/1124078199.db2.gz WEBPUIAFWUHULS-GFCCVEGCSA-N 1 2 272.417 3.825 20 0 CHADLO C[C@H](c1ccc2c(c1)CCC2)[N@@H+](C)Cc1cscn1 ZINC000445227374 1124078203 /nfs/dbraw/zinc/07/82/03/1124078203.db2.gz WEBPUIAFWUHULS-GFCCVEGCSA-N 1 2 272.417 3.825 20 0 CHADLO Cc1cscc1-c1noc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000445631952 1124095776 /nfs/dbraw/zinc/09/57/76/1124095776.db2.gz IAZMGCHIGCMZBM-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1cscc1-c1noc(C[N@H+](C)Cc2ccccc2)n1 ZINC000445631952 1124095778 /nfs/dbraw/zinc/09/57/78/1124095778.db2.gz IAZMGCHIGCMZBM-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc2cc(F)ccc2o1)c1ccccn1 ZINC000634958876 1129167631 /nfs/dbraw/zinc/16/76/31/1129167631.db2.gz BOMWHZUSIQZARA-CYBMUJFWSA-N 1 2 299.349 3.993 20 0 CHADLO CC(C)C[C@@H](C(=O)Nc1cccc(Cl)c1)n1cc[nH+]c1 ZINC000635060364 1129171782 /nfs/dbraw/zinc/17/17/82/1129171782.db2.gz FWVYFSBCNFHNDF-AWEZNQCLSA-N 1 2 291.782 3.762 20 0 CHADLO Cc1cc(F)cc(C[N@H+](C)Cc2ccncc2)c1Cl ZINC001238775414 1131244640 /nfs/dbraw/zinc/24/46/40/1131244640.db2.gz AZQUWQGLBQHZDG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)cc(C[N@@H+](C)Cc2ccncc2)c1Cl ZINC001238775414 1131244647 /nfs/dbraw/zinc/24/46/47/1131244647.db2.gz AZQUWQGLBQHZDG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccc(Cl)cc2Cl)nn1C ZINC000447326784 1124466995 /nfs/dbraw/zinc/46/69/95/1124466995.db2.gz QLGOGXUJANPMIF-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO c1[nH+]c(SC[C@H]2CCC3(CCCC3)O2)n2ccccc12 ZINC000526068646 1124504121 /nfs/dbraw/zinc/50/41/21/1124504121.db2.gz CWVLVHHFAFNPPY-CQSZACIVSA-N 1 2 288.416 3.918 20 0 CHADLO CC1(C)CC=C(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC001136270081 1131265466 /nfs/dbraw/zinc/26/54/66/1131265466.db2.gz FNHWTXUGHMZOHT-UHFFFAOYSA-N 1 2 295.386 3.947 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(-c2ccco2)cc1F)c1cnccn1 ZINC000447797874 1124525008 /nfs/dbraw/zinc/52/50/08/1124525008.db2.gz OQBSSTFCTPFOOX-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO FC1(CNc2cccc(-c3[nH+]cc4n3CCCC4)c2)CC1 ZINC000526988461 1124539536 /nfs/dbraw/zinc/53/95/36/1124539536.db2.gz LWCCRRXWTJVDNI-UHFFFAOYSA-N 1 2 285.366 3.800 20 0 CHADLO CC[C@@H](CC(F)(F)F)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000448623281 1124600037 /nfs/dbraw/zinc/60/00/37/1124600037.db2.gz CURYCYDDLGGNCS-VIFPVBQESA-N 1 2 299.296 3.788 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@]3(C)CCC[C@H]3C)cc2[nH+]1 ZINC000448625362 1124600307 /nfs/dbraw/zinc/60/03/07/1124600307.db2.gz NESCMXBQANYJMM-HWPZZCPQSA-N 1 2 271.364 3.636 20 0 CHADLO CCCCC[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C(C)(C)C ZINC000820023026 1131272602 /nfs/dbraw/zinc/27/26/02/1131272602.db2.gz MONKAZLIDVWBPC-UMVBOHGHSA-N 1 2 291.439 3.624 20 0 CHADLO CCCCC[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C(C)(C)C ZINC000820023026 1131272605 /nfs/dbraw/zinc/27/26/05/1131272605.db2.gz MONKAZLIDVWBPC-UMVBOHGHSA-N 1 2 291.439 3.624 20 0 CHADLO CC(C)[N@H+](Cc1ccccc1F)Cc1ccncc1F ZINC000449015097 1124629525 /nfs/dbraw/zinc/62/95/25/1124629525.db2.gz RSLJTZJLJNJNOI-UHFFFAOYSA-N 1 2 276.330 3.770 20 0 CHADLO CC(C)[N@@H+](Cc1ccccc1F)Cc1ccncc1F ZINC000449015097 1124629529 /nfs/dbraw/zinc/62/95/29/1124629529.db2.gz RSLJTZJLJNJNOI-UHFFFAOYSA-N 1 2 276.330 3.770 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1ccncc1F)C1CC1 ZINC000449018013 1124630574 /nfs/dbraw/zinc/63/05/74/1124630574.db2.gz IRLXXAXMOXTHBN-LLVKDONJSA-N 1 2 260.312 3.539 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1ccncc1F)C1CC1 ZINC000449018013 1124630578 /nfs/dbraw/zinc/63/05/78/1124630578.db2.gz IRLXXAXMOXTHBN-LLVKDONJSA-N 1 2 260.312 3.539 20 0 CHADLO COc1cccc(F)c1C[NH2+][C@H](C)c1cc(O)cc(F)c1 ZINC001120258747 1131273958 /nfs/dbraw/zinc/27/39/58/1131273958.db2.gz IBHMEPDKJJZJBE-SNVBAGLBSA-N 1 2 293.313 3.530 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ncccc2Cl)cc1C ZINC000528373200 1124646644 /nfs/dbraw/zinc/64/66/44/1124646644.db2.gz RSHAMOGWBOTPPG-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ncccc2Cl)cc1C ZINC000528373200 1124646646 /nfs/dbraw/zinc/64/66/46/1124646646.db2.gz RSHAMOGWBOTPPG-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CC(C)(C)c1cn(C[C@H](O)c2ccc(Cl)c(F)c2)c[nH+]1 ZINC000871989550 1124655606 /nfs/dbraw/zinc/65/56/06/1124655606.db2.gz OKXPKVQJWXLSJA-ZDUSSCGKSA-N 1 2 296.773 3.707 20 0 CHADLO FC(F)(F)c1ncccc1C[N@@H+]1CCC[C@@H]1c1ccc[nH]1 ZINC000528404857 1124655698 /nfs/dbraw/zinc/65/56/98/1124655698.db2.gz CMUMWOABKVIOET-CYBMUJFWSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1ncccc1C[N@H+]1CCC[C@@H]1c1ccc[nH]1 ZINC000528404857 1124655701 /nfs/dbraw/zinc/65/57/01/1124655701.db2.gz CMUMWOABKVIOET-CYBMUJFWSA-N 1 2 295.308 3.766 20 0 CHADLO O[C@H](CCSc1[nH+]cc2ccccn21)c1ccccc1 ZINC000795197662 1124656550 /nfs/dbraw/zinc/65/65/50/1124656550.db2.gz PJAHONDSKCNZSO-OAHLLOKOSA-N 1 2 284.384 3.550 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(C)cc2OC)cs1 ZINC000449158326 1124657925 /nfs/dbraw/zinc/65/79/25/1124657925.db2.gz SUMRQRFWQMITIY-LBPRGKRZSA-N 1 2 290.432 3.873 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@@H](C)c1cc(O)ccc1F)CO2 ZINC000872048812 1124665871 /nfs/dbraw/zinc/66/58/71/1124665871.db2.gz MFRDAMOLUFSBGB-ZBEGNZNMSA-N 1 2 287.334 3.624 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3ccncc3F)CCC2)c(F)c1 ZINC000449210065 1124669483 /nfs/dbraw/zinc/66/94/83/1124669483.db2.gz VOKSETBQVGSHTG-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO CSc1cc(-c2ccc(Cn3cc[nH+]c3)cn2)ccc1F ZINC001238954039 1131276715 /nfs/dbraw/zinc/27/67/15/1131276715.db2.gz NBBITNRCUGGZKC-UHFFFAOYSA-N 1 2 299.374 3.854 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449242035 1124679028 /nfs/dbraw/zinc/67/90/28/1124679028.db2.gz VYNNEOQQMNLTOO-CQSZACIVSA-N 1 2 284.407 3.586 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449242035 1124679030 /nfs/dbraw/zinc/67/90/30/1124679030.db2.gz VYNNEOQQMNLTOO-CQSZACIVSA-N 1 2 284.407 3.586 20 0 CHADLO Cc1oncc1C[NH2+]C(C)(C)c1nc2ccccc2s1 ZINC000528601693 1124704586 /nfs/dbraw/zinc/70/45/86/1124704586.db2.gz GKBWOFZHNWLNTL-UHFFFAOYSA-N 1 2 287.388 3.618 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+]Cc1cn2ccccc2n1 ZINC000449374210 1124706410 /nfs/dbraw/zinc/70/64/10/1124706410.db2.gz YCCHOBZJAIMCAV-UHFFFAOYSA-N 1 2 295.361 3.641 20 0 CHADLO Cc1nc2ccc(C[NH2+][C@H](C)C(C)(F)F)cc2s1 ZINC000449413907 1124721475 /nfs/dbraw/zinc/72/14/75/1124721475.db2.gz KOVGCUURKQWKPR-MRVPVSSYSA-N 1 2 270.348 3.738 20 0 CHADLO F[C@H]1CC[N@H+](Cc2ccc(Oc3ccccc3)o2)C1 ZINC000449392812 1124722153 /nfs/dbraw/zinc/72/21/53/1124722153.db2.gz LAPYPLZTIPMYJY-LBPRGKRZSA-N 1 2 261.296 3.616 20 0 CHADLO F[C@H]1CC[N@@H+](Cc2ccc(Oc3ccccc3)o2)C1 ZINC000449392812 1124722155 /nfs/dbraw/zinc/72/21/55/1124722155.db2.gz LAPYPLZTIPMYJY-LBPRGKRZSA-N 1 2 261.296 3.616 20 0 CHADLO Cc1cc(C[NH2+][C@H](CCc2ccccc2)C(F)F)ccn1 ZINC000449402130 1124725536 /nfs/dbraw/zinc/72/55/36/1124725536.db2.gz KBXPBIGDOPFFCI-MRXNPFEDSA-N 1 2 290.357 3.746 20 0 CHADLO CC(C)CCn1cccc1C[NH2+]Cc1ncc(Cl)s1 ZINC000873166113 1124744494 /nfs/dbraw/zinc/74/44/94/1124744494.db2.gz UTJIBHUJNYZTTR-UHFFFAOYSA-N 1 2 297.855 3.934 20 0 CHADLO CCc1ccc(N2C[C@H](C)C[C@@H]2c2cccnc2)[nH+]c1 ZINC001120833326 1131284445 /nfs/dbraw/zinc/28/44/45/1131284445.db2.gz SUWOBTSJMLSPGJ-CZUORRHYSA-N 1 2 267.376 3.627 20 0 CHADLO Cc1ncoc1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000820592227 1131293744 /nfs/dbraw/zinc/29/37/44/1131293744.db2.gz ZMYSIYLUFDSDIT-UHFFFAOYSA-N 1 2 274.368 3.632 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000449575657 1124809135 /nfs/dbraw/zinc/80/91/35/1124809135.db2.gz YNCVKIUODAMZSJ-XHDPSFHLSA-N 1 2 289.810 3.895 20 0 CHADLO c1coc([C@H]2[N@H+](Cc3cccc4nccn43)CC23CCC3)c1 ZINC000449639000 1124831463 /nfs/dbraw/zinc/83/14/63/1124831463.db2.gz NHXUJRBJVYZOFO-QGZVFWFLSA-N 1 2 293.370 3.655 20 0 CHADLO c1coc([C@H]2[N@@H+](Cc3cccc4nccn43)CC23CCC3)c1 ZINC000449639000 1124831467 /nfs/dbraw/zinc/83/14/67/1124831467.db2.gz NHXUJRBJVYZOFO-QGZVFWFLSA-N 1 2 293.370 3.655 20 0 CHADLO Fc1c(Cl)cccc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000449700263 1124842366 /nfs/dbraw/zinc/84/23/66/1124842366.db2.gz STRUFKSDGSEJKB-UHFFFAOYSA-N 1 2 280.730 3.634 20 0 CHADLO CC(C)Oc1ncc(Cl)cc1-c1ccc2[nH+]ccn2c1 ZINC001239318890 1131316457 /nfs/dbraw/zinc/31/64/57/1131316457.db2.gz KCFLJHWXLOEDBD-UHFFFAOYSA-N 1 2 287.750 3.837 20 0 CHADLO C[N@H+](Cc1cnc(C2CC2)s1)Cc1ccc(Cl)nc1 ZINC000125763175 1124925289 /nfs/dbraw/zinc/92/52/89/1124925289.db2.gz LDRPHGIFWPXGDB-UHFFFAOYSA-N 1 2 293.823 3.701 20 0 CHADLO C[N@@H+](Cc1cnc(C2CC2)s1)Cc1ccc(Cl)nc1 ZINC000125763175 1124925294 /nfs/dbraw/zinc/92/52/94/1124925294.db2.gz LDRPHGIFWPXGDB-UHFFFAOYSA-N 1 2 293.823 3.701 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(F)c2)C[C@H](c2ccsc2)O1 ZINC000120505910 1124946396 /nfs/dbraw/zinc/94/63/96/1124946396.db2.gz CIDVPKKMVCCXAV-BLLLJJGKSA-N 1 2 291.391 3.849 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(F)c2)C[C@H](c2ccsc2)O1 ZINC000120505910 1124946402 /nfs/dbraw/zinc/94/64/02/1124946402.db2.gz CIDVPKKMVCCXAV-BLLLJJGKSA-N 1 2 291.391 3.849 20 0 CHADLO c1ccc([C@@H]2CCC[N@H+]2Cc2nnc(C3CC3)s2)cc1 ZINC000450212002 1124947196 /nfs/dbraw/zinc/94/71/96/1124947196.db2.gz BOTDOJGGCSVQHK-AWEZNQCLSA-N 1 2 285.416 3.753 20 0 CHADLO c1ccc([C@@H]2CCC[N@@H+]2Cc2nnc(C3CC3)s2)cc1 ZINC000450212002 1124947204 /nfs/dbraw/zinc/94/72/04/1124947204.db2.gz BOTDOJGGCSVQHK-AWEZNQCLSA-N 1 2 285.416 3.753 20 0 CHADLO CCCC[C@H](CC)COCCCNc1c(F)cc[nH+]c1N ZINC001168116214 1124950572 /nfs/dbraw/zinc/95/05/72/1124950572.db2.gz ZPBNNIQXARPPKI-ZDUSSCGKSA-N 1 2 297.418 3.838 20 0 CHADLO CCC(=O)CCC[N@H+](C)Cc1c(F)cccc1Cl ZINC000450221826 1124952446 /nfs/dbraw/zinc/95/24/46/1124952446.db2.gz WNQOMSJUXHFIDQ-UHFFFAOYSA-N 1 2 271.763 3.670 20 0 CHADLO CCC(=O)CCC[N@@H+](C)Cc1c(F)cccc1Cl ZINC000450221826 1124952454 /nfs/dbraw/zinc/95/24/54/1124952454.db2.gz WNQOMSJUXHFIDQ-UHFFFAOYSA-N 1 2 271.763 3.670 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(C)cc2F)no1 ZINC000876591214 1124964506 /nfs/dbraw/zinc/96/45/06/1124964506.db2.gz FXCRHQJQZRKBRP-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(C)cc2F)no1 ZINC000876591214 1124964509 /nfs/dbraw/zinc/96/45/09/1124964509.db2.gz FXCRHQJQZRKBRP-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2F)C[C@H](c2ccsc2)O1 ZINC000120662222 1124966320 /nfs/dbraw/zinc/96/63/20/1124966320.db2.gz FETHUXPSDSWOBI-MLGOLLRUSA-N 1 2 291.391 3.849 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@H](c2ccsc2)O1 ZINC000120662222 1124966327 /nfs/dbraw/zinc/96/63/27/1124966327.db2.gz FETHUXPSDSWOBI-MLGOLLRUSA-N 1 2 291.391 3.849 20 0 CHADLO CS[C@H]1CCCC[N@H+](Cc2nc(Cl)cs2)C1 ZINC000876812830 1124979379 /nfs/dbraw/zinc/97/93/79/1124979379.db2.gz ZLAMVZNDDAJEIX-VIFPVBQESA-N 1 2 276.858 3.514 20 0 CHADLO CS[C@H]1CCCC[N@@H+](Cc2nc(Cl)cs2)C1 ZINC000876812830 1124979383 /nfs/dbraw/zinc/97/93/83/1124979383.db2.gz ZLAMVZNDDAJEIX-VIFPVBQESA-N 1 2 276.858 3.514 20 0 CHADLO Cc1cc(NCc2cc(C3CC3)no2)ccc1[NH+](C)C ZINC000876844781 1124985002 /nfs/dbraw/zinc/98/50/02/1124985002.db2.gz IYUXJHYMBBSMMV-UHFFFAOYSA-N 1 2 271.364 3.539 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000877344579 1125023889 /nfs/dbraw/zinc/02/38/89/1125023889.db2.gz JKSSCRAGQCHRIT-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000877344579 1125023893 /nfs/dbraw/zinc/02/38/93/1125023893.db2.gz JKSSCRAGQCHRIT-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc(C)c2C)c(C)[nH+]1 ZINC000121109107 1125048866 /nfs/dbraw/zinc/04/88/66/1125048866.db2.gz SXBXTMBBBUQPTA-UHFFFAOYSA-N 1 2 268.360 3.876 20 0 CHADLO CC[C@@H](C)Cc1noc([C@@H](c2ccccc2)[N@H+](C)CC)n1 ZINC000632249309 1125061543 /nfs/dbraw/zinc/06/15/43/1125061543.db2.gz KJTSVRUPAAZSSH-CZUORRHYSA-N 1 2 287.407 3.699 20 0 CHADLO CC[C@@H](C)Cc1noc([C@@H](c2ccccc2)[N@@H+](C)CC)n1 ZINC000632249309 1125061550 /nfs/dbraw/zinc/06/15/50/1125061550.db2.gz KJTSVRUPAAZSSH-CZUORRHYSA-N 1 2 287.407 3.699 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877893348 1125096887 /nfs/dbraw/zinc/09/68/87/1125096887.db2.gz NXUCWIMRORZRGB-LBPRGKRZSA-N 1 2 288.366 3.886 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877893348 1125096897 /nfs/dbraw/zinc/09/68/97/1125096897.db2.gz NXUCWIMRORZRGB-LBPRGKRZSA-N 1 2 288.366 3.886 20 0 CHADLO CCC[N@H+](Cc1nocc1C)[C@H](C)c1ccccc1OC ZINC000878006942 1125111783 /nfs/dbraw/zinc/11/17/83/1125111783.db2.gz FMLKJMJMPUVVLF-CQSZACIVSA-N 1 2 288.391 3.965 20 0 CHADLO CCC[N@@H+](Cc1nocc1C)[C@H](C)c1ccccc1OC ZINC000878006942 1125111788 /nfs/dbraw/zinc/11/17/88/1125111788.db2.gz FMLKJMJMPUVVLF-CQSZACIVSA-N 1 2 288.391 3.965 20 0 CHADLO Cc1conc1C[N@H+]1CCS[C@@H](C)[C@@H]1c1ccccc1 ZINC000878116798 1125120832 /nfs/dbraw/zinc/12/08/32/1125120832.db2.gz WEUXOMHFOVVGTN-XJKSGUPXSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1conc1C[N@@H+]1CCS[C@@H](C)[C@@H]1c1ccccc1 ZINC000878116798 1125120835 /nfs/dbraw/zinc/12/08/35/1125120835.db2.gz WEUXOMHFOVVGTN-XJKSGUPXSA-N 1 2 288.416 3.662 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137878419 1125127964 /nfs/dbraw/zinc/12/79/64/1125127964.db2.gz UVFXOADAGVERQR-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137878419 1125127966 /nfs/dbraw/zinc/12/79/66/1125127966.db2.gz UVFXOADAGVERQR-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO CC1(C)c2ccccc2CC[N@H+]1Cc1coc(C2CC2)n1 ZINC000878343833 1125143645 /nfs/dbraw/zinc/14/36/45/1125143645.db2.gz LDHPVDIQBCGOCH-UHFFFAOYSA-N 1 2 282.387 3.845 20 0 CHADLO CC1(C)c2ccccc2CC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000878343833 1125143649 /nfs/dbraw/zinc/14/36/49/1125143649.db2.gz LDHPVDIQBCGOCH-UHFFFAOYSA-N 1 2 282.387 3.845 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2scnc2Cl)C2CC2)n1 ZINC000878377754 1125146290 /nfs/dbraw/zinc/14/62/90/1125146290.db2.gz GWPTWTUCCNVVNY-JTQLQIEISA-N 1 2 299.852 3.802 20 0 CHADLO CC(C)CCc1nc(C[N@H+](C)C[C@@H]2CCCCO2)cs1 ZINC000121742542 1125148124 /nfs/dbraw/zinc/14/81/24/1125148124.db2.gz UQVHZRDUMNULSG-HNNXBMFYSA-N 1 2 296.480 3.733 20 0 CHADLO CC(C)CCc1nc(C[N@@H+](C)C[C@@H]2CCCCO2)cs1 ZINC000121742542 1125148127 /nfs/dbraw/zinc/14/81/27/1125148127.db2.gz UQVHZRDUMNULSG-HNNXBMFYSA-N 1 2 296.480 3.733 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(C3CCC3)no2)s1 ZINC000878416083 1125151901 /nfs/dbraw/zinc/15/19/01/1125151901.db2.gz BDUOUDIKOGRERQ-SNVBAGLBSA-N 1 2 277.393 3.558 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@H+](Cc2scnc2Cl)C1 ZINC000878429266 1125153187 /nfs/dbraw/zinc/15/31/87/1125153187.db2.gz YZTVIPFQRPMTTP-CMPLNLGQSA-N 1 2 293.823 3.775 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@@H+](Cc2scnc2Cl)C1 ZINC000878429266 1125153191 /nfs/dbraw/zinc/15/31/91/1125153191.db2.gz YZTVIPFQRPMTTP-CMPLNLGQSA-N 1 2 293.823 3.775 20 0 CHADLO Clc1cccc(Cl)c1SCCn1cc[nH+]c1 ZINC000121822297 1125156968 /nfs/dbraw/zinc/15/69/68/1125156968.db2.gz GRAULMYJAMAKRM-UHFFFAOYSA-N 1 2 273.188 3.982 20 0 CHADLO C[C@@H](C[NH2+][C@@H](c1nnc[nH]1)c1ccccc1)CC(C)(C)C ZINC000878484412 1125156746 /nfs/dbraw/zinc/15/67/46/1125156746.db2.gz XPSWZQICQVUPPS-UKRRQHHQSA-N 1 2 286.423 3.556 20 0 CHADLO COc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC000121844289 1125158545 /nfs/dbraw/zinc/15/85/45/1125158545.db2.gz PLXRXUBLKVRJSH-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000878540683 1125160670 /nfs/dbraw/zinc/16/06/70/1125160670.db2.gz XURHPZJXBTUJEK-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000878540683 1125160671 /nfs/dbraw/zinc/16/06/71/1125160671.db2.gz XURHPZJXBTUJEK-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@H]1CC3(CCC3)CO1)C2 ZINC000878618569 1125165947 /nfs/dbraw/zinc/16/59/47/1125165947.db2.gz NRHHGNSRUZAJBI-CYBMUJFWSA-N 1 2 277.795 3.615 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@H]1CC3(CCC3)CO1)C2 ZINC000878618569 1125165950 /nfs/dbraw/zinc/16/59/50/1125165950.db2.gz NRHHGNSRUZAJBI-CYBMUJFWSA-N 1 2 277.795 3.615 20 0 CHADLO C[C@@H]1CC[C@H](CC(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000122012118 1125168299 /nfs/dbraw/zinc/16/82/99/1125168299.db2.gz SFKWMHQCZGHHRG-KGLIPLIRSA-N 1 2 283.375 3.637 20 0 CHADLO COc1ccccc1C1([NH2+]Cc2scnc2Cl)CC1 ZINC000878759044 1125178570 /nfs/dbraw/zinc/17/85/70/1125178570.db2.gz GQDCUBNSXNCVKV-UHFFFAOYSA-N 1 2 294.807 3.584 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1CC(F)F)c1ccccc1F ZINC000878806223 1125179959 /nfs/dbraw/zinc/17/99/59/1125179959.db2.gz ZIRBXUHBMAOIGI-ZDUSSCGKSA-N 1 2 297.324 3.528 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1CC(F)F)c1ccccc1F ZINC000878844433 1125180718 /nfs/dbraw/zinc/18/07/18/1125180718.db2.gz ZIRBXUHBMAOIGI-CYBMUJFWSA-N 1 2 297.324 3.528 20 0 CHADLO Clc1ccccc1C[N@H+](Cc1ccncc1)C1CC1 ZINC000122276312 1125182558 /nfs/dbraw/zinc/18/25/58/1125182558.db2.gz MXEBFRNJANWZGY-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO Clc1ccccc1C[N@@H+](Cc1ccncc1)C1CC1 ZINC000122276312 1125182560 /nfs/dbraw/zinc/18/25/60/1125182560.db2.gz MXEBFRNJANWZGY-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO C=C/C=C/CC[N@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000878921055 1125185924 /nfs/dbraw/zinc/18/59/24/1125185924.db2.gz LIDGRKWRIBNIIY-AAOUONPWSA-N 1 2 297.324 3.765 20 0 CHADLO C=C/C=C/CC[N@@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000878921055 1125185931 /nfs/dbraw/zinc/18/59/31/1125185931.db2.gz LIDGRKWRIBNIIY-AAOUONPWSA-N 1 2 297.324 3.765 20 0 CHADLO c1cc(C[NH+](Cc2ccccc2)Cc2ccccc2)no1 ZINC000122397604 1125188292 /nfs/dbraw/zinc/18/82/92/1125188292.db2.gz ZGBPXAQHLNMKRN-UHFFFAOYSA-N 1 2 278.355 3.877 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@@H]2c2ccccn2)c1F ZINC000530962406 1125190144 /nfs/dbraw/zinc/19/01/44/1125190144.db2.gz BMSLLKHXRMYCGB-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@@H]2c2ccccn2)c1F ZINC000530962406 1125190149 /nfs/dbraw/zinc/19/01/49/1125190149.db2.gz BMSLLKHXRMYCGB-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cccc(F)c3CO)ccc12 ZINC001214619157 1125213621 /nfs/dbraw/zinc/21/36/21/1125213621.db2.gz RBZVIABPIMZUEP-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)cc(C)c1O ZINC001211909177 1125227641 /nfs/dbraw/zinc/22/76/41/1125227641.db2.gz WKXWNXQNOXBFOL-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2ccn(C(C)C)n2)c1 ZINC000879750701 1125231523 /nfs/dbraw/zinc/23/15/23/1125231523.db2.gz VDRPOWCBIQSYSE-KRWDZBQOSA-N 1 2 284.407 3.505 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2ccn(C(C)C)n2)c1 ZINC000879750701 1125231529 /nfs/dbraw/zinc/23/15/29/1125231529.db2.gz VDRPOWCBIQSYSE-KRWDZBQOSA-N 1 2 284.407 3.505 20 0 CHADLO Cc1oncc1C[N@@H+]1CCc2ccc(Cl)c(C)c2C1 ZINC000879769643 1125233231 /nfs/dbraw/zinc/23/32/31/1125233231.db2.gz UWVOSCIJMLOLHO-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1oncc1C[N@H+]1CCc2ccc(Cl)c(C)c2C1 ZINC000879769643 1125233239 /nfs/dbraw/zinc/23/32/39/1125233239.db2.gz UWVOSCIJMLOLHO-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO CCC(C)(C)COC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000821624620 1131341104 /nfs/dbraw/zinc/34/11/04/1131341104.db2.gz QLKVLWLCYNBRLW-UHFFFAOYSA-N 1 2 286.375 3.524 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001239484911 1131341408 /nfs/dbraw/zinc/34/14/08/1131341408.db2.gz YRDHHLDWUWHAFC-UHFFFAOYSA-N 1 2 250.301 3.548 20 0 CHADLO Cc1csc([C@@H]2CC[N@H+](C/C(Cl)=C/Cl)C2)n1 ZINC000880009823 1125245141 /nfs/dbraw/zinc/24/51/41/1125245141.db2.gz PUGHOWMPYZMLFN-BABIGQSTSA-N 1 2 277.220 3.560 20 0 CHADLO Cc1csc([C@@H]2CC[N@@H+](C/C(Cl)=C/Cl)C2)n1 ZINC000880009823 1125245143 /nfs/dbraw/zinc/24/51/43/1125245143.db2.gz PUGHOWMPYZMLFN-BABIGQSTSA-N 1 2 277.220 3.560 20 0 CHADLO Cc1csc([C@H]2CC[N@H+](C/C(Cl)=C\Cl)C2)n1 ZINC000880009826 1125245587 /nfs/dbraw/zinc/24/55/87/1125245587.db2.gz PUGHOWMPYZMLFN-YNYSXPKMSA-N 1 2 277.220 3.560 20 0 CHADLO Cc1csc([C@H]2CC[N@@H+](C/C(Cl)=C\Cl)C2)n1 ZINC000880009826 1125245591 /nfs/dbraw/zinc/24/55/91/1125245591.db2.gz PUGHOWMPYZMLFN-YNYSXPKMSA-N 1 2 277.220 3.560 20 0 CHADLO CC[C@@H]1CCC[C@@]1(C)C(=O)NCc1c[nH+]c(C)cc1C ZINC000880240710 1125259799 /nfs/dbraw/zinc/25/97/99/1125259799.db2.gz JKOGLWPVEXSXSZ-NVXWUHKLSA-N 1 2 274.408 3.531 20 0 CHADLO CCCc1cc(NC2(c3ccccn3)CC2)nc(CCC)[nH+]1 ZINC001168150609 1125267740 /nfs/dbraw/zinc/26/77/40/1125267740.db2.gz OMPIFTCFFPTNGS-UHFFFAOYSA-N 1 2 296.418 3.878 20 0 CHADLO Cn1c(Cl)cnc1C[N@@H+]1CCC[C@]1(C)c1ccccc1 ZINC000880370738 1125268193 /nfs/dbraw/zinc/26/81/93/1125268193.db2.gz QEWLHKBOENHAGG-MRXNPFEDSA-N 1 2 289.810 3.585 20 0 CHADLO Cn1c(Cl)cnc1C[N@H+]1CCC[C@]1(C)c1ccccc1 ZINC000880370738 1125268195 /nfs/dbraw/zinc/26/81/95/1125268195.db2.gz QEWLHKBOENHAGG-MRXNPFEDSA-N 1 2 289.810 3.585 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000880377579 1125269396 /nfs/dbraw/zinc/26/93/96/1125269396.db2.gz ZOZYTUTYWHKUOE-SNVBAGLBSA-N 1 2 274.389 3.733 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000880377579 1125269400 /nfs/dbraw/zinc/26/94/00/1125269400.db2.gz ZOZYTUTYWHKUOE-SNVBAGLBSA-N 1 2 274.389 3.733 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1ccc(Cl)cn1 ZINC000880377114 1125270447 /nfs/dbraw/zinc/27/04/47/1125270447.db2.gz OIMXRDJMHPWMTM-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1ccc(Cl)cn1 ZINC000880377114 1125270450 /nfs/dbraw/zinc/27/04/50/1125270450.db2.gz OIMXRDJMHPWMTM-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO Fc1ccc2c[nH+]c(NC3(c4ccccn4)CC3)cc2c1 ZINC001168151008 1125270448 /nfs/dbraw/zinc/27/04/48/1125270448.db2.gz RPCSMIJLPUEDQD-UHFFFAOYSA-N 1 2 279.318 3.870 20 0 CHADLO C[C@@H]1OCC[C@@H]1C[NH2+][C@@H](c1cccc(Cl)c1)C(F)F ZINC000880535035 1125277642 /nfs/dbraw/zinc/27/76/42/1125277642.db2.gz XXUDMLBCQWXCGS-NDMJEZRESA-N 1 2 289.753 3.661 20 0 CHADLO C[C@@H]1OCC[C@H]1C[NH2+][C@@H](c1cccc(Cl)c1)C(F)F ZINC000880535034 1125278244 /nfs/dbraw/zinc/27/82/44/1125278244.db2.gz XXUDMLBCQWXCGS-GAFUQQFSSA-N 1 2 289.753 3.661 20 0 CHADLO c1csc(C[N@@H+]2C[C@@H]3CC[C@H]2c2ccccc23)n1 ZINC000880539037 1125279315 /nfs/dbraw/zinc/27/93/15/1125279315.db2.gz QDIVRFLFLDSWOR-FZMZJTMJSA-N 1 2 256.374 3.577 20 0 CHADLO c1csc(C[N@H+]2C[C@@H]3CC[C@H]2c2ccccc23)n1 ZINC000880539037 1125279319 /nfs/dbraw/zinc/27/93/19/1125279319.db2.gz QDIVRFLFLDSWOR-FZMZJTMJSA-N 1 2 256.374 3.577 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cn2cc(Cl)ccc2n1 ZINC000050274985 1125293590 /nfs/dbraw/zinc/29/35/90/1125293590.db2.gz YGYGXTKOHQGODI-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cn2cc(Cl)ccc2n1 ZINC000050274985 1125293595 /nfs/dbraw/zinc/29/35/95/1125293595.db2.gz YGYGXTKOHQGODI-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[C@H](Cc1ccc(F)cc1)Nc1ccc([NH+](C)C)cc1 ZINC000128761393 1125303559 /nfs/dbraw/zinc/30/35/59/1125303559.db2.gz PWCIWMSRQHHWNB-CYBMUJFWSA-N 1 2 272.367 3.935 20 0 CHADLO C[C@H](Cc1ccc(F)cc1)[NH2+]c1ccc(N(C)C)cc1 ZINC000128761393 1125303565 /nfs/dbraw/zinc/30/35/65/1125303565.db2.gz PWCIWMSRQHHWNB-CYBMUJFWSA-N 1 2 272.367 3.935 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(Oc2ccccc2)cc1 ZINC001239495115 1131346992 /nfs/dbraw/zinc/34/69/92/1131346992.db2.gz ZITUDEBEQXFPTD-UHFFFAOYSA-N 1 2 250.301 3.879 20 0 CHADLO Fc1ccc2c(c1F)C[N@@H+](CCOC1CCCCC1)CC2 ZINC001168174356 1125328936 /nfs/dbraw/zinc/32/89/36/1125328936.db2.gz HMZRHMUEDINHFV-UHFFFAOYSA-N 1 2 295.373 3.672 20 0 CHADLO Fc1ccc2c(c1F)C[N@H+](CCOC1CCCCC1)CC2 ZINC001168174356 1125328939 /nfs/dbraw/zinc/32/89/39/1125328939.db2.gz HMZRHMUEDINHFV-UHFFFAOYSA-N 1 2 295.373 3.672 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(Cl)cc1F ZINC000192150030 1125330915 /nfs/dbraw/zinc/33/09/15/1125330915.db2.gz YUZJNQJISNBBML-UHFFFAOYSA-N 1 2 254.667 3.625 20 0 CHADLO CCOc1ccc(CNc2cc[nH+]cc2F)cc1OCC ZINC000192149590 1125330900 /nfs/dbraw/zinc/33/09/00/1125330900.db2.gz QDEGOTQMKVMSCG-UHFFFAOYSA-N 1 2 290.338 3.630 20 0 CHADLO Fc1ccc(Br)cc1CNc1cc[nH+]cc1F ZINC000192150920 1125331013 /nfs/dbraw/zinc/33/10/13/1125331013.db2.gz UBERQWWHLPGWMU-UHFFFAOYSA-N 1 2 299.118 3.734 20 0 CHADLO c1nc(C[N@H+]2CC=C(c3c[nH]c4ccccc43)CC2)cs1 ZINC000193226931 1125336904 /nfs/dbraw/zinc/33/69/04/1125336904.db2.gz OHBSMEIFHWJXRX-UHFFFAOYSA-N 1 2 295.411 3.914 20 0 CHADLO c1nc(C[N@@H+]2CC=C(c3c[nH]c4ccccc43)CC2)cs1 ZINC000193226931 1125336907 /nfs/dbraw/zinc/33/69/07/1125336907.db2.gz OHBSMEIFHWJXRX-UHFFFAOYSA-N 1 2 295.411 3.914 20 0 CHADLO CCC(C)(C)CC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882872693 1125359153 /nfs/dbraw/zinc/35/91/53/1125359153.db2.gz KGYHPUQHAUOUNV-INIZCTEOSA-N 1 2 299.418 3.567 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC2(CCCCO2)CC1 ZINC000882949241 1125363087 /nfs/dbraw/zinc/36/30/87/1125363087.db2.gz HUQQZDGSHHXCTD-UHFFFAOYSA-N 1 2 282.387 3.774 20 0 CHADLO C[C@@H](CCc1ccco1)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000036982273 1125364215 /nfs/dbraw/zinc/36/42/15/1125364215.db2.gz FAACDHTXJXYWKJ-AWEZNQCLSA-N 1 2 285.391 3.708 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+][C@@H](C)c2cccc(F)c2F)n1 ZINC000883079005 1125370480 /nfs/dbraw/zinc/37/04/80/1125370480.db2.gz HQTDEEAQTZPCKE-JTQLQIEISA-N 1 2 279.334 3.662 20 0 CHADLO CCSCc1cc[nH+]c(NCc2nc(C(C)C)c[nH]2)c1 ZINC000883078531 1125370532 /nfs/dbraw/zinc/37/05/32/1125370532.db2.gz UWKHNOXACCEFON-UHFFFAOYSA-N 1 2 290.436 3.793 20 0 CHADLO CSc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)o1 ZINC000883071733 1125370722 /nfs/dbraw/zinc/37/07/22/1125370722.db2.gz LKNJWRJEIDIUAZ-UHFFFAOYSA-N 1 2 289.404 3.609 20 0 CHADLO CC[C@@H]([NH2+]Cc1nn(CC)nc1C)c1sccc1Cl ZINC000883230757 1125381106 /nfs/dbraw/zinc/38/11/06/1125381106.db2.gz PNYRDOVLPVIPGH-LLVKDONJSA-N 1 2 298.843 3.562 20 0 CHADLO CCSc1ccc(C[NH2+][C@@H]2CCCc3[nH]ncc32)cc1 ZINC000883244998 1125382587 /nfs/dbraw/zinc/38/25/87/1125382587.db2.gz BLVNOHICXPWKDM-OAHLLOKOSA-N 1 2 287.432 3.689 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000223615666 1125386033 /nfs/dbraw/zinc/38/60/33/1125386033.db2.gz VKJFYELNPCWDTD-ZDUSSCGKSA-N 1 2 284.403 3.967 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3ncccn3)CCC2)cs1 ZINC000883311758 1125393645 /nfs/dbraw/zinc/39/36/45/1125393645.db2.gz KMHCWASDCRUAKT-LBPRGKRZSA-N 1 2 273.405 3.577 20 0 CHADLO CC[C@H]([NH2+]C1(c2ncccn2)CCC1)c1cccc(F)c1 ZINC000883312239 1125393937 /nfs/dbraw/zinc/39/39/37/1125393937.db2.gz YAZVKUGWLBNZBX-HNNXBMFYSA-N 1 2 285.366 3.736 20 0 CHADLO CC(C)OCC[N@@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000104724219 1125395074 /nfs/dbraw/zinc/39/50/74/1125395074.db2.gz ZGCHRURJZZDJTN-UHFFFAOYSA-N 1 2 292.810 3.604 20 0 CHADLO CC(C)OCC[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000104724219 1125395077 /nfs/dbraw/zinc/39/50/77/1125395077.db2.gz ZGCHRURJZZDJTN-UHFFFAOYSA-N 1 2 292.810 3.604 20 0 CHADLO CCc1noc(C)c1[C@@H](C)[NH2+]Cc1cc(O)ccc1Cl ZINC000883325676 1125397347 /nfs/dbraw/zinc/39/73/47/1125397347.db2.gz HZLBZGXSGRBUBG-SECBINFHSA-N 1 2 294.782 3.755 20 0 CHADLO Cc1ccc(-c2n[nH]cc2CNc2c[nH+]c(C)c(C)c2)o1 ZINC000883341892 1125398324 /nfs/dbraw/zinc/39/83/24/1125398324.db2.gz CXTHSTPLZAZPFQ-UHFFFAOYSA-N 1 2 282.347 3.602 20 0 CHADLO COc1ccc([C@H](C)Nc2c[nH+]c(C)c(C)c2)cc1OC ZINC000883341522 1125398614 /nfs/dbraw/zinc/39/86/14/1125398614.db2.gz RUQZIDXIBRRMTK-ZDUSSCGKSA-N 1 2 286.375 3.889 20 0 CHADLO Cc1cc(N[C@@H](c2cncc(F)c2)C2CC2)c[nH+]c1C ZINC000883342774 1125398632 /nfs/dbraw/zinc/39/86/32/1125398632.db2.gz LVDUUTALZMNENU-MRXNPFEDSA-N 1 2 271.339 3.796 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc(C(=O)N(C)C)cc2)c[nH+]c1C ZINC000883342768 1125398657 /nfs/dbraw/zinc/39/86/57/1125398657.db2.gz LJPWCTROJUNQND-CQSZACIVSA-N 1 2 297.402 3.573 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cnc(C)s1 ZINC000883378418 1125405052 /nfs/dbraw/zinc/40/50/52/1125405052.db2.gz LNGIMGLCHQBVLN-UHFFFAOYSA-N 1 2 279.434 3.712 20 0 CHADLO CC1(C)Nc2ccccc2[C@@H]1[NH2+]Cc1ncc(Cl)s1 ZINC000883463944 1125411127 /nfs/dbraw/zinc/41/11/27/1125411127.db2.gz BQCKBAKXNBUOKM-ZDUSSCGKSA-N 1 2 293.823 3.832 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc(C)nc3ccccc23)cs1 ZINC000124113296 1125435345 /nfs/dbraw/zinc/43/53/45/1125435345.db2.gz PIJBKPJUICADIZ-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc(C)nc3ccccc23)cs1 ZINC000124113296 1125435347 /nfs/dbraw/zinc/43/53/47/1125435347.db2.gz PIJBKPJUICADIZ-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccccc2Br)o1 ZINC000042206125 1125440799 /nfs/dbraw/zinc/44/07/99/1125440799.db2.gz CFAYCJXSTFQPOY-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccccc2Br)o1 ZINC000042206125 1125440803 /nfs/dbraw/zinc/44/08/03/1125440803.db2.gz CFAYCJXSTFQPOY-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc3ccc(F)cc3o2)o1 ZINC000348263285 1125449066 /nfs/dbraw/zinc/44/90/66/1125449066.db2.gz RSUMTWNVAYNWQU-JTQLQIEISA-N 1 2 274.295 3.719 20 0 CHADLO CC(C)C[C@H](C(=O)N1CCC[C@@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000635502056 1129183464 /nfs/dbraw/zinc/18/34/64/1129183464.db2.gz WIUWGZIGXWAWDI-HUUCEWRRSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1cccc(F)c1NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000635769628 1129190736 /nfs/dbraw/zinc/19/07/36/1129190736.db2.gz FAPGXPXFLISOLA-CQSZACIVSA-N 1 2 289.354 3.557 20 0 CHADLO CCCC[C@H](C(=O)NCc1c[nH+]c(C)cc1C)C(C)C ZINC000622095286 1129227958 /nfs/dbraw/zinc/22/79/58/1129227958.db2.gz IHKITVLVJPAQHH-INIZCTEOSA-N 1 2 276.424 3.777 20 0 CHADLO Cc1ccc2c(c1C)OC[C@H]2[NH2+]Cc1nc2ccccc2o1 ZINC000638278192 1129251913 /nfs/dbraw/zinc/25/19/13/1129251913.db2.gz YEKXLUHYISTJMQ-OAHLLOKOSA-N 1 2 294.354 3.668 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nnc[nH]1)C1CCCCC1)c1ccccc1 ZINC000638291971 1129252830 /nfs/dbraw/zinc/25/28/30/1129252830.db2.gz CTNCGRPNDLUAMX-CJNGLKHVSA-N 1 2 284.407 3.777 20 0 CHADLO CC[C@H](C(=O)Nc1cc[nH+]c(C)c1)C1CCC(C)CC1 ZINC000622368383 1129253225 /nfs/dbraw/zinc/25/32/25/1129253225.db2.gz UZXCSHUJTRDMFE-PXCJXSSVSA-N 1 2 274.408 3.603 20 0 CHADLO CC(C)(C)C(C)(C)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000639205609 1129293128 /nfs/dbraw/zinc/29/31/28/1129293128.db2.gz YVOGGVDKJXGMSH-ZDUSSCGKSA-N 1 2 278.444 3.840 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nc(CC3CC3)no2)cc1 ZINC000639452817 1129316626 /nfs/dbraw/zinc/31/66/26/1129316626.db2.gz TWIPKOHQHWGIPC-CYBMUJFWSA-N 1 2 285.391 3.524 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nc(CC3CC3)no2)cc1 ZINC000639452817 1129316630 /nfs/dbraw/zinc/31/66/30/1129316630.db2.gz TWIPKOHQHWGIPC-CYBMUJFWSA-N 1 2 285.391 3.524 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1nc(CC2CC2)no1 ZINC000639457895 1129317334 /nfs/dbraw/zinc/31/73/34/1129317334.db2.gz FRKVSFUWKLDCBQ-ZDUSSCGKSA-N 1 2 285.391 3.524 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1nc(CC2CC2)no1 ZINC000639457895 1129317339 /nfs/dbraw/zinc/31/73/39/1129317339.db2.gz FRKVSFUWKLDCBQ-ZDUSSCGKSA-N 1 2 285.391 3.524 20 0 CHADLO C[C@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccc(Cl)cc1 ZINC000639520222 1129320284 /nfs/dbraw/zinc/32/02/84/1129320284.db2.gz SUIIYVWSFPLBTK-JTQLQIEISA-N 1 2 291.782 3.526 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nc(CC2CC2)no1)C1CC1 ZINC000639571441 1129323752 /nfs/dbraw/zinc/32/37/52/1129323752.db2.gz RXSXGEFKUXTZCM-GOSISDBHSA-N 1 2 297.402 3.571 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccc(C)cc1 ZINC000639560233 1129323879 /nfs/dbraw/zinc/32/38/79/1129323879.db2.gz BBWWTCIWOVDTCY-OAHLLOKOSA-N 1 2 285.391 3.571 20 0 CHADLO CCc1ccc(C(C)(C)[NH2+]Cc2nc(C3CCC3)no2)cc1 ZINC000639566978 1129323985 /nfs/dbraw/zinc/32/39/85/1129323985.db2.gz DXMXEYNXRNQKSP-UHFFFAOYSA-N 1 2 299.418 3.924 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+]Cc2nc(C3CCC3)no2)c1 ZINC000639563723 1129324094 /nfs/dbraw/zinc/32/40/94/1129324094.db2.gz ADQCYMOTSBLVGA-CYBMUJFWSA-N 1 2 285.391 3.805 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1cccc(-n2ccnc2)c1 ZINC000639601700 1129326482 /nfs/dbraw/zinc/32/64/82/1129326482.db2.gz UITKDAWZUYXVNX-LBPRGKRZSA-N 1 2 279.334 3.568 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@H](c3cccnc3)C2)s1 ZINC000639697073 1129329657 /nfs/dbraw/zinc/32/96/57/1129329657.db2.gz VVKOHWBGWUUECR-GFCCVEGCSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@H](c3cccnc3)C2)s1 ZINC000639697073 1129329662 /nfs/dbraw/zinc/32/96/62/1129329662.db2.gz VVKOHWBGWUUECR-GFCCVEGCSA-N 1 2 293.823 3.571 20 0 CHADLO CC(C)n1ccc(C[N@H+]2Cc3ccccc3[C@@H](C)C2)n1 ZINC000735077520 1129331159 /nfs/dbraw/zinc/33/11/59/1129331159.db2.gz SKNGBBUAYFLRAW-AWEZNQCLSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2Cc3ccccc3[C@@H](C)C2)n1 ZINC000735077520 1129331163 /nfs/dbraw/zinc/33/11/63/1129331163.db2.gz SKNGBBUAYFLRAW-AWEZNQCLSA-N 1 2 269.392 3.583 20 0 CHADLO Fc1cccc(Cl)c1C[N@@H+]1CCC[C@H]1c1cn[nH]c1 ZINC000639777995 1129332566 /nfs/dbraw/zinc/33/25/66/1129332566.db2.gz PAHYBMACCZNNJC-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cccc(Cl)c1C[N@H+]1CCC[C@H]1c1cn[nH]c1 ZINC000639777995 1129332569 /nfs/dbraw/zinc/33/25/69/1129332569.db2.gz PAHYBMACCZNNJC-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1ccc2ccccc2n1 ZINC000178238241 1129334878 /nfs/dbraw/zinc/33/48/78/1129334878.db2.gz KOCRZRSDLVOUKK-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC000639842159 1129336445 /nfs/dbraw/zinc/33/64/45/1129336445.db2.gz BHPASIXVEBZNHD-INIZCTEOSA-N 1 2 297.402 3.601 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC000639842159 1129336449 /nfs/dbraw/zinc/33/64/49/1129336449.db2.gz BHPASIXVEBZNHD-INIZCTEOSA-N 1 2 297.402 3.601 20 0 CHADLO Cc1cccc(C[NH2+]Cc2ncc(Cl)s2)c1F ZINC000719464371 1129338810 /nfs/dbraw/zinc/33/88/10/1129338810.db2.gz ZROPGVFQADFGJE-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CSc3ccccc32)sc1C ZINC000719528121 1129342242 /nfs/dbraw/zinc/34/22/42/1129342242.db2.gz OLTUDNUYQSPNCH-GFCCVEGCSA-N 1 2 276.430 3.697 20 0 CHADLO Cc1cncc(-c2c[nH+]c(N3CCCCC3)cc2C)c1 ZINC001240805422 1129359238 /nfs/dbraw/zinc/35/92/38/1129359238.db2.gz GJYQUGNQUCLQMI-UHFFFAOYSA-N 1 2 267.376 3.751 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1cn(C)c2ccccc12 ZINC001239531968 1131368969 /nfs/dbraw/zinc/36/89/69/1131368969.db2.gz WFEDFNYXVFTICR-UHFFFAOYSA-N 1 2 265.360 3.615 20 0 CHADLO Cc1cn2cccc(Nc3ccc(CO)c(Cl)c3)c2[nH+]1 ZINC001212889392 1129371139 /nfs/dbraw/zinc/37/11/39/1129371139.db2.gz YDPWKZUEIWEMNQ-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO CSc1ccc(C[NH2+][C@@H](C)c2nc(C)cs2)o1 ZINC000641715159 1129388739 /nfs/dbraw/zinc/38/87/39/1129388739.db2.gz IBIKCILHXYJRLB-VIFPVBQESA-N 1 2 268.407 3.617 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OCc1c(C)cccc1C ZINC000738289809 1129392425 /nfs/dbraw/zinc/39/24/25/1129392425.db2.gz SMTSEXIHWGOYFD-UHFFFAOYSA-N 1 2 268.360 3.659 20 0 CHADLO C[C@H]([NH2+]CCC(C)(C)c1ccccc1)C(=O)OC(C)(C)C ZINC000738417088 1129393291 /nfs/dbraw/zinc/39/32/91/1129393291.db2.gz VDZYGEBLPHMJKN-AWEZNQCLSA-N 1 2 291.435 3.674 20 0 CHADLO OCCc1ccc(Nc2cccc(C3CCC3)[nH+]2)cc1 ZINC001212927896 1129400878 /nfs/dbraw/zinc/40/08/78/1129400878.db2.gz DWXDLCZLKRDXHG-UHFFFAOYSA-N 1 2 268.360 3.628 20 0 CHADLO C[C@@H](Nc1[nH+]c2ccccc2n1C)c1nc2ccccc2[nH]1 ZINC000179285526 1129407640 /nfs/dbraw/zinc/40/76/40/1129407640.db2.gz XZCIGTFKRTYKJQ-LLVKDONJSA-N 1 2 291.358 3.623 20 0 CHADLO COc1ccc(-c2cn3cc[nH+]c3cc2C)c(F)c1F ZINC001241041924 1129414389 /nfs/dbraw/zinc/41/43/89/1129414389.db2.gz NNAICWDQYPIVAX-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)[N@@H+]1Cc1ccc(C#N)o1 ZINC000093322747 1129421275 /nfs/dbraw/zinc/42/12/75/1129421275.db2.gz JIJOIVMALJJGFL-QWQRMKEZSA-N 1 2 296.370 3.502 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)[C@H](C)[N@H+]1Cc1ccc(C#N)o1 ZINC000093322747 1129421276 /nfs/dbraw/zinc/42/12/76/1129421276.db2.gz JIJOIVMALJJGFL-QWQRMKEZSA-N 1 2 296.370 3.502 20 0 CHADLO Cc1cc(-c2cc(F)cc(OC(C)C)c2)c[nH+]c1N ZINC001241167216 1129468491 /nfs/dbraw/zinc/46/84/91/1129468491.db2.gz SIOLOIKBZZORJG-UHFFFAOYSA-N 1 2 260.312 3.566 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)C[C@H]1C ZINC000644544335 1129469558 /nfs/dbraw/zinc/46/95/58/1129469558.db2.gz ZYRXTALUUDOKHW-LUYZLQTOSA-N 1 2 290.455 3.840 20 0 CHADLO Cc1cccc(F)c1C[NH2+]Cc1csc(C2CC2)n1 ZINC000742381456 1129472850 /nfs/dbraw/zinc/47/28/50/1129472850.db2.gz UYAOCFFSYYRRRI-UHFFFAOYSA-N 1 2 276.380 3.758 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2cccnc2Cl)C2CC2)s1 ZINC000742377151 1129475615 /nfs/dbraw/zinc/47/56/15/1129475615.db2.gz ILACDOPHBCPAQQ-LBPRGKRZSA-N 1 2 293.823 3.741 20 0 CHADLO CC(C)(C)OCCC[NH2+][C@@H](c1ccccc1)C(F)F ZINC000645013838 1129482981 /nfs/dbraw/zinc/48/29/81/1129482981.db2.gz LQQLQZSQAOYIMF-ZDUSSCGKSA-N 1 2 271.351 3.788 20 0 CHADLO CC(C)(C)C(C)(C)CNC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000645009805 1129483000 /nfs/dbraw/zinc/48/30/00/1129483000.db2.gz FXKFZCKUTWGRAA-UHFFFAOYSA-N 1 2 288.395 3.528 20 0 CHADLO Cc1sccc1C[NH2+][C@@H]1COc2cc(F)cc(F)c21 ZINC000645171713 1129489934 /nfs/dbraw/zinc/48/99/34/1129489934.db2.gz BVDAJDWPJFBSDT-GFCCVEGCSA-N 1 2 281.327 3.558 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(CCc3ccccc3)n2)[C@@H]1C ZINC000645216118 1129491734 /nfs/dbraw/zinc/49/17/34/1129491734.db2.gz JOXSJMWSAKEXDY-ZIAGYGMSSA-N 1 2 286.444 3.769 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(CCc3ccccc3)n2)[C@@H]1C ZINC000645216118 1129491737 /nfs/dbraw/zinc/49/17/37/1129491737.db2.gz JOXSJMWSAKEXDY-ZIAGYGMSSA-N 1 2 286.444 3.769 20 0 CHADLO Cc1cc(N2CC3(CC=CC3)[C@@H]2C(C)C)nc(C2CC2)[nH+]1 ZINC000645386451 1129496548 /nfs/dbraw/zinc/49/65/48/1129496548.db2.gz BXJJQGFQDJPJMX-INIZCTEOSA-N 1 2 283.419 3.843 20 0 CHADLO CCOc1cccc(-c2[nH+]ccc3[nH]ccc32)c1F ZINC001241261515 1129501081 /nfs/dbraw/zinc/50/10/81/1129501081.db2.gz YRWXCUWEEOSFFK-UHFFFAOYSA-N 1 2 256.280 3.768 20 0 CHADLO Cc1nnc([C@@H]2Cc3ccccc3C[N@@H+]2CC2=CCCC2)o1 ZINC000645779559 1129505549 /nfs/dbraw/zinc/50/55/49/1129505549.db2.gz NMUNINXATXOLIC-KRWDZBQOSA-N 1 2 295.386 3.588 20 0 CHADLO Cc1nnc([C@@H]2Cc3ccccc3C[N@H+]2CC2=CCCC2)o1 ZINC000645779559 1129505552 /nfs/dbraw/zinc/50/55/52/1129505552.db2.gz NMUNINXATXOLIC-KRWDZBQOSA-N 1 2 295.386 3.588 20 0 CHADLO CCOc1cc(F)ccc1-c1cccc2[nH+]c(C)cn21 ZINC001241319932 1129515224 /nfs/dbraw/zinc/51/52/24/1129515224.db2.gz YDEVWAOYAKWFCY-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO CCCCCN(C(=O)Nc1ccc2[nH+]ccn2c1)C(C)C ZINC000743171557 1129515716 /nfs/dbraw/zinc/51/57/16/1129515716.db2.gz SAFPLGMBPHVCJX-UHFFFAOYSA-N 1 2 288.395 3.767 20 0 CHADLO CCOc1cc(F)ccc1-c1cc(C)cn2cc[nH+]c12 ZINC001241320155 1129515739 /nfs/dbraw/zinc/51/57/39/1129515739.db2.gz KBFDXHWHDNONQD-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3nnc(C(C)C)o3)CCC2)c1 ZINC000646021643 1129517834 /nfs/dbraw/zinc/51/78/34/1129517834.db2.gz QWXNSTAFBIEUQB-UHFFFAOYSA-N 1 2 285.391 3.670 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)n1 ZINC000646116847 1129524623 /nfs/dbraw/zinc/52/46/23/1129524623.db2.gz HJEKAPAWURLODA-CZUORRHYSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1noc([C@@H](C)[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)n1 ZINC000646116847 1129524625 /nfs/dbraw/zinc/52/46/25/1129524625.db2.gz HJEKAPAWURLODA-CZUORRHYSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)o1 ZINC000646117107 1129524671 /nfs/dbraw/zinc/52/46/71/1129524671.db2.gz PDMONBUQWUNAOM-CZUORRHYSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)o1 ZINC000646117107 1129524676 /nfs/dbraw/zinc/52/46/76/1129524676.db2.gz PDMONBUQWUNAOM-CZUORRHYSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H]1CC(C)(C)c2ccccc21 ZINC000180969900 1129526993 /nfs/dbraw/zinc/52/69/93/1129526993.db2.gz SYIYDESPUMJODK-MEDUHNTESA-N 1 2 269.392 3.791 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)c2ccc(C)cc2C)c1C ZINC000303130115 1129529676 /nfs/dbraw/zinc/52/96/76/1129529676.db2.gz BQQPAIYDFDGNEF-UHFFFAOYSA-N 1 2 284.403 3.960 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+]1Cc1csc(Br)n1 ZINC001137584117 1131381764 /nfs/dbraw/zinc/38/17/64/1131381764.db2.gz GCQRSMQOCFTBGA-JTQLQIEISA-N 1 2 289.242 3.526 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+]1Cc1csc(Br)n1 ZINC001137584117 1131381767 /nfs/dbraw/zinc/38/17/67/1131381767.db2.gz GCQRSMQOCFTBGA-JTQLQIEISA-N 1 2 289.242 3.526 20 0 CHADLO C[C@H]([NH2+]C1(c2cccc(F)c2)CC1)c1cc2n(n1)CCCC2 ZINC000647297234 1129565467 /nfs/dbraw/zinc/56/54/67/1129565467.db2.gz SCYXYWJOGPAVBQ-ZDUSSCGKSA-N 1 2 299.393 3.698 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3cccc(F)c3)CCC2)no1 ZINC000647329199 1129568726 /nfs/dbraw/zinc/56/87/26/1129568726.db2.gz IYZTYYHNUWCUKO-GFCCVEGCSA-N 1 2 274.339 3.852 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3ccccc3F)CCC2)no1 ZINC000647332661 1129570219 /nfs/dbraw/zinc/57/02/19/1129570219.db2.gz BNXFYOWMZYJENR-LBPRGKRZSA-N 1 2 274.339 3.852 20 0 CHADLO Cc1cc2c(cc1C)N(Cc1c[nH+]cn1C(C)C)CC2 ZINC000822481841 1131384078 /nfs/dbraw/zinc/38/40/78/1131384078.db2.gz VIMZNWZRLFTZGN-UHFFFAOYSA-N 1 2 269.392 3.644 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1ccncc1C)CC3 ZINC000648360249 1129603584 /nfs/dbraw/zinc/60/35/84/1129603584.db2.gz VPRTXLWAASRPGQ-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1ccncc1C)CC3 ZINC000648360249 1129603587 /nfs/dbraw/zinc/60/35/87/1129603587.db2.gz VPRTXLWAASRPGQ-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccc2c(c1)OCCCCO2 ZINC000648588995 1129614726 /nfs/dbraw/zinc/61/47/26/1129614726.db2.gz BDSQRVZUOBLCKD-HNNXBMFYSA-N 1 2 297.345 3.515 20 0 CHADLO Cc1ncc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)s1 ZINC000183147151 1129618519 /nfs/dbraw/zinc/61/85/19/1129618519.db2.gz KBRCILMNWZAAEM-LLVKDONJSA-N 1 2 288.420 3.620 20 0 CHADLO CCCCCc1ccc(NC(=O)CCc2c[nH]c[nH+]2)cc1 ZINC000188982870 1129638293 /nfs/dbraw/zinc/63/82/93/1129638293.db2.gz RDTHBSIEORSFGP-UHFFFAOYSA-N 1 2 285.391 3.714 20 0 CHADLO CCCCCc1ccc(NC(=O)CCc2c[nH+]c[nH]2)cc1 ZINC000188982870 1129638295 /nfs/dbraw/zinc/63/82/95/1129638295.db2.gz RDTHBSIEORSFGP-UHFFFAOYSA-N 1 2 285.391 3.714 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1nnc(C2CC2)s1 ZINC000649313030 1129640484 /nfs/dbraw/zinc/64/04/84/1129640484.db2.gz BCVUNPZBSZCDQN-HNNXBMFYSA-N 1 2 299.443 3.925 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1nnc(C2CC2)s1 ZINC000649313030 1129640488 /nfs/dbraw/zinc/64/04/88/1129640488.db2.gz BCVUNPZBSZCDQN-HNNXBMFYSA-N 1 2 299.443 3.925 20 0 CHADLO COC(=O)C(C)(C)c1ccc(-c2cc(C)[nH+]c(C)c2)cc1 ZINC001241992749 1129642014 /nfs/dbraw/zinc/64/20/14/1129642014.db2.gz YPDIFIFDIMMCDS-UHFFFAOYSA-N 1 2 283.371 3.816 20 0 CHADLO C[N@H+](Cc1cc2n(n1)CCC2)Cc1ccc(C(C)(C)C)cc1 ZINC000649480556 1129652816 /nfs/dbraw/zinc/65/28/16/1129652816.db2.gz KJYPYQDZQDGHQL-UHFFFAOYSA-N 1 2 297.446 3.759 20 0 CHADLO C[N@@H+](Cc1cc2n(n1)CCC2)Cc1ccc(C(C)(C)C)cc1 ZINC000649480556 1129652818 /nfs/dbraw/zinc/65/28/18/1129652818.db2.gz KJYPYQDZQDGHQL-UHFFFAOYSA-N 1 2 297.446 3.759 20 0 CHADLO C[C@H]([NH2+]Cc1cc2n(n1)CCCC2)c1ccccc1Cl ZINC000649553141 1129657528 /nfs/dbraw/zinc/65/75/28/1129657528.db2.gz FFRODGIENXWQIT-LBPRGKRZSA-N 1 2 289.810 3.724 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@@H]1C[C@@H]1C1CCCC1 ZINC000649568333 1129658681 /nfs/dbraw/zinc/65/86/81/1129658681.db2.gz XQMBPZWLOVCNBM-IAGOWNOFSA-N 1 2 295.386 3.637 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ncccn1)c1ccc(C)c(F)c1 ZINC001168793963 1129666049 /nfs/dbraw/zinc/66/60/49/1129666049.db2.gz WORWVFSRGLKZQU-WFASDCNBSA-N 1 2 273.355 3.726 20 0 CHADLO CC(C)(C(=O)Nc1cccc2[nH+]ccn21)C1CCCCC1 ZINC000766471935 1129669104 /nfs/dbraw/zinc/66/91/04/1129669104.db2.gz ZHHBCMZETCZVBV-UHFFFAOYSA-N 1 2 285.391 3.879 20 0 CHADLO CC(C)c1cc(CNc2[nH+]cccc2-n2cccc2)on1 ZINC001168823782 1129674849 /nfs/dbraw/zinc/67/48/49/1129674849.db2.gz WAPOANAZKYOSFJ-UHFFFAOYSA-N 1 2 282.347 3.596 20 0 CHADLO COC(=[NH2+])c1cccc(N[C@H](C)c2ccc(C)cn2)c1 ZINC001168859644 1129678240 /nfs/dbraw/zinc/67/82/40/1129678240.db2.gz ZEZLGGWOBSQMAR-GFCCVEGCSA-N 1 2 269.348 3.535 20 0 CHADLO COc1ccc2cc(NCCC3CCC3)[nH+]cc2c1 ZINC001168886690 1129682045 /nfs/dbraw/zinc/68/20/45/1129682045.db2.gz LGPWLEVLACSXNO-UHFFFAOYSA-N 1 2 256.349 3.846 20 0 CHADLO FC(F)(F)c1ccc(C2([NH2+]Cc3nccs3)CC2)cc1 ZINC000649886112 1129683570 /nfs/dbraw/zinc/68/35/70/1129683570.db2.gz NDFLFGVYSPKANR-UHFFFAOYSA-N 1 2 298.333 3.941 20 0 CHADLO CCOc1ccc(NCCc2cn3ccccc3[nH+]2)cc1C ZINC001169004604 1129689321 /nfs/dbraw/zinc/68/93/21/1129689321.db2.gz OUUKFGHEHPTJIA-UHFFFAOYSA-N 1 2 295.386 3.696 20 0 CHADLO Cc1cc2cc(NCCc3cn4ccccc4[nH+]3)ccc2[nH]1 ZINC001169004876 1129689389 /nfs/dbraw/zinc/68/93/89/1129689389.db2.gz UVKRDHGBBFUALE-UHFFFAOYSA-N 1 2 290.370 3.779 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1ccc(Cl)cc1F ZINC001137662489 1131396040 /nfs/dbraw/zinc/39/60/40/1131396040.db2.gz ULQOIKHEXHWNDX-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1ccc(Cl)cc1F ZINC001137662489 1131396044 /nfs/dbraw/zinc/39/60/44/1131396044.db2.gz ULQOIKHEXHWNDX-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccsc1CN1CC2(CC[N@@H+]2Cc2ccccc2)C1 ZINC001204021655 1129698210 /nfs/dbraw/zinc/69/82/10/1129698210.db2.gz MAERCJLWUIMHAV-UHFFFAOYSA-N 1 2 298.455 3.517 20 0 CHADLO Cc1ccsc1CN1CC2(CC[N@H+]2Cc2ccccc2)C1 ZINC001204021655 1129698213 /nfs/dbraw/zinc/69/82/13/1129698213.db2.gz MAERCJLWUIMHAV-UHFFFAOYSA-N 1 2 298.455 3.517 20 0 CHADLO CCc1cccc(F)c1CNc1cc(COC)cc[nH+]1 ZINC000650243573 1129702539 /nfs/dbraw/zinc/70/25/39/1129702539.db2.gz FZMRGUNRYXCHKR-UHFFFAOYSA-N 1 2 274.339 3.542 20 0 CHADLO COC(=[NH2+])c1cccc(N[C@@H]2CCCC(F)(F)C2)c1 ZINC001169216914 1129709408 /nfs/dbraw/zinc/70/94/08/1129709408.db2.gz BJCDDXCBWWKOII-GFCCVEGCSA-N 1 2 268.307 3.648 20 0 CHADLO CCC[C@@H]1CCC[N@H+](Cn2nc(C(C)C)n(C)c2=S)C1 ZINC000121340419 1129717615 /nfs/dbraw/zinc/71/76/15/1129717615.db2.gz QVGOVQAQAZCDQN-CYBMUJFWSA-N 1 2 296.484 3.544 20 0 CHADLO CCC[C@@H]1CCC[N@@H+](Cn2nc(C(C)C)n(C)c2=S)C1 ZINC000121340419 1129717618 /nfs/dbraw/zinc/71/76/18/1129717618.db2.gz QVGOVQAQAZCDQN-CYBMUJFWSA-N 1 2 296.484 3.544 20 0 CHADLO COc1cc[nH+]cc1N[C@H]1C[C@@H](C)c2ccccc21 ZINC001169379238 1129723088 /nfs/dbraw/zinc/72/30/88/1129723088.db2.gz WNZMYBMJXXQUDL-RISCZKNCSA-N 1 2 254.333 3.751 20 0 CHADLO c1ccn(CCNc2[nH+]cccc2OCc2ccccc2)c1 ZINC001156302925 1129723804 /nfs/dbraw/zinc/72/38/04/1129723804.db2.gz JLTZMGGFGZGDQX-UHFFFAOYSA-N 1 2 293.370 3.574 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@H]1C[C@H]1C1CCCC1 ZINC000651214086 1129733487 /nfs/dbraw/zinc/73/34/87/1129733487.db2.gz XSYJUPVXDBSDLB-HOTGVXAUSA-N 1 2 295.386 3.842 20 0 CHADLO CCc1cc(C[NH2+][C@@H]2CCc3ccc(F)c(Cl)c32)on1 ZINC000651664088 1129743482 /nfs/dbraw/zinc/74/34/82/1129743482.db2.gz CUVRAMAHSCIAOP-CYBMUJFWSA-N 1 2 294.757 3.807 20 0 CHADLO c1cn2ccc(N[C@@H]3CCO[C@@H](c4ccccc4)C3)cc2[nH+]1 ZINC001169755045 1129754663 /nfs/dbraw/zinc/75/46/63/1129754663.db2.gz JQFQVXNARLYXKB-IAGOWNOFSA-N 1 2 293.370 3.667 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc([C@@H]3C[C@H]3C)o2)c1 ZINC000652068930 1129757604 /nfs/dbraw/zinc/75/76/04/1129757604.db2.gz UESLRBKGNJNAHV-BXUZGUMPSA-N 1 2 272.348 3.557 20 0 CHADLO Cc1cn2cc(-c3cc(F)c(C)c(F)c3)ccc2[nH+]1 ZINC001242287479 1129758639 /nfs/dbraw/zinc/75/86/39/1129758639.db2.gz LTZDGGDTRXOPFE-UHFFFAOYSA-N 1 2 258.271 3.896 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCc3ccc(OC)cc32)c1 ZINC000652330741 1129763118 /nfs/dbraw/zinc/76/31/18/1129763118.db2.gz OCIBXTGWAIDRNC-QGZVFWFLSA-N 1 2 298.386 3.726 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCc3ccc(F)cc32)c1 ZINC000652330337 1129763324 /nfs/dbraw/zinc/76/33/24/1129763324.db2.gz CVFQFGRXOIDVBF-INIZCTEOSA-N 1 2 286.350 3.857 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2c3ccccc3C[C@H]2C)c1 ZINC000652330280 1129763392 /nfs/dbraw/zinc/76/33/92/1129763392.db2.gz BLANIPLWZASVBS-PXAZEXFGSA-N 1 2 268.360 3.573 20 0 CHADLO CC/C(C)=C/C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000822729771 1131396660 /nfs/dbraw/zinc/39/66/60/1131396660.db2.gz AFGUKHIMJJPNJT-PKNBQFBNSA-N 1 2 283.375 3.988 20 0 CHADLO CCC(C)=CC(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000822729771 1131396664 /nfs/dbraw/zinc/39/66/64/1131396664.db2.gz AFGUKHIMJJPNJT-PKNBQFBNSA-N 1 2 283.375 3.988 20 0 CHADLO Cc1cc(NC(=O)C2=CCCCC2)ccc1-n1cc[nH+]c1 ZINC001136624908 1131396960 /nfs/dbraw/zinc/39/69/60/1131396960.db2.gz PMHWQVKCBADLJZ-UHFFFAOYSA-N 1 2 281.359 3.620 20 0 CHADLO Cc1cccc(C[N@@H+]2CCCC(F)(F)[C@H](F)C2)c1F ZINC001137673582 1131397585 /nfs/dbraw/zinc/39/75/85/1131397585.db2.gz JPEUDMPSMIEJBP-GFCCVEGCSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cccc(C[N@H+]2CCCC(F)(F)[C@H](F)C2)c1F ZINC001137673582 1131397591 /nfs/dbraw/zinc/39/75/91/1131397591.db2.gz JPEUDMPSMIEJBP-GFCCVEGCSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2c2cc[nH]n2)c(Cl)c1 ZINC000653649234 1129789854 /nfs/dbraw/zinc/78/98/54/1129789854.db2.gz VTBONPKYEJKVNF-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2c2cc[nH]n2)c(Cl)c1 ZINC000653649234 1129789856 /nfs/dbraw/zinc/78/98/56/1129789856.db2.gz VTBONPKYEJKVNF-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Fc1cc2c(cc1F)C[NH+](CCCc1ccsc1)C2 ZINC000653830775 1129797089 /nfs/dbraw/zinc/79/70/89/1129797089.db2.gz JUMHIFHIXRWIKX-UHFFFAOYSA-N 1 2 279.355 3.975 20 0 CHADLO Cc1cnc(CC[NH+]2Cc3cc(F)c(F)cc3C2)c(C)c1 ZINC000653830516 1129797275 /nfs/dbraw/zinc/79/72/75/1129797275.db2.gz BYNAURNINDYDLH-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO CCC(C)(CC)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000767612130 1129799603 /nfs/dbraw/zinc/79/96/03/1129799603.db2.gz LDILYXGGTWVJSI-UHFFFAOYSA-N 1 2 286.375 3.742 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@@H](C)c2ccc(C(C)(C)C)cc2)n1 ZINC000653889095 1129800266 /nfs/dbraw/zinc/80/02/66/1129800266.db2.gz QVNSNGQCLWDHLV-LBPRGKRZSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1noc(C[N@H+](C)[C@@H](C)c2ccc(C(C)(C)C)cc2)n1 ZINC000653889095 1129800269 /nfs/dbraw/zinc/80/02/69/1129800269.db2.gz QVNSNGQCLWDHLV-LBPRGKRZSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1nc(C[N@H+]2C[C@H](C)OC3(CCCCC3)C2)sc1C ZINC000653904687 1129801352 /nfs/dbraw/zinc/80/13/52/1129801352.db2.gz ZSIICAPNSXHOAI-LBPRGKRZSA-N 1 2 294.464 3.684 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@H](C)OC3(CCCCC3)C2)sc1C ZINC000653904687 1129801360 /nfs/dbraw/zinc/80/13/60/1129801360.db2.gz ZSIICAPNSXHOAI-LBPRGKRZSA-N 1 2 294.464 3.684 20 0 CHADLO NC(Cc1ccccc1)=[NH+]OCc1cc2ccccc2o1 ZINC000767825812 1129816093 /nfs/dbraw/zinc/81/60/93/1129816093.db2.gz ZHPRAUJTESULKX-UHFFFAOYSA-N 1 2 280.327 3.674 20 0 CHADLO COCC[C@H]([NH2+]Cc1nc(C)c(C)s1)c1ccc(C)o1 ZINC000273242121 1129839722 /nfs/dbraw/zinc/83/97/22/1129839722.db2.gz FQMBQIKCBCKZMX-ZDUSSCGKSA-N 1 2 294.420 3.529 20 0 CHADLO c1ccc(-c2nc3c(s2)C[N@@H+](CC2CCC2)C3)cc1 ZINC001202791671 1129844845 /nfs/dbraw/zinc/84/48/45/1129844845.db2.gz CYRALVPNRZYNCT-UHFFFAOYSA-N 1 2 270.401 3.926 20 0 CHADLO c1ccc(-c2nc3c(s2)C[N@H+](CC2CCC2)C3)cc1 ZINC001202791671 1129844847 /nfs/dbraw/zinc/84/48/47/1129844847.db2.gz CYRALVPNRZYNCT-UHFFFAOYSA-N 1 2 270.401 3.926 20 0 CHADLO CC[N@H+](Cc1coc(C2CC2)n1)Cc1ccc(OC)cc1 ZINC000768334311 1129850919 /nfs/dbraw/zinc/85/09/19/1129850919.db2.gz OHWISDIXTGFZRW-UHFFFAOYSA-N 1 2 286.375 3.583 20 0 CHADLO CC[N@@H+](Cc1coc(C2CC2)n1)Cc1ccc(OC)cc1 ZINC000768334311 1129850924 /nfs/dbraw/zinc/85/09/24/1129850924.db2.gz OHWISDIXTGFZRW-UHFFFAOYSA-N 1 2 286.375 3.583 20 0 CHADLO Clc1ccc([C@H]2C[N@H+](Cc3ccoc3)CCO2)cc1 ZINC000768377373 1129855624 /nfs/dbraw/zinc/85/56/24/1129855624.db2.gz ZXAFZASGLFOTHH-OAHLLOKOSA-N 1 2 277.751 3.507 20 0 CHADLO Clc1ccc([C@H]2C[N@@H+](Cc3ccoc3)CCO2)cc1 ZINC000768377373 1129855629 /nfs/dbraw/zinc/85/56/29/1129855629.db2.gz ZXAFZASGLFOTHH-OAHLLOKOSA-N 1 2 277.751 3.507 20 0 CHADLO Fc1cccc(C[NH+]2CC3(CCC3)C2)c1Br ZINC001137716860 1131407815 /nfs/dbraw/zinc/40/78/15/1131407815.db2.gz BGRNYBUOSMFVPV-UHFFFAOYSA-N 1 2 284.172 3.574 20 0 CHADLO Cc1cccc(C)c1C[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000768742669 1129870938 /nfs/dbraw/zinc/87/09/38/1129870938.db2.gz MHTBZESODITAFA-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1cccc(C)c1C[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000768742669 1129870943 /nfs/dbraw/zinc/87/09/43/1129870943.db2.gz MHTBZESODITAFA-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c[nH]c3ccc(Cl)cc23)CCC1=O ZINC001137708040 1131405407 /nfs/dbraw/zinc/40/54/07/1131405407.db2.gz FSBJWBTZHIZNAW-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c[nH]c3ccc(Cl)cc23)CCC1=O ZINC001137708040 1131405409 /nfs/dbraw/zinc/40/54/09/1131405409.db2.gz FSBJWBTZHIZNAW-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2coc(C3CC3)n2)s1 ZINC000769317606 1129901687 /nfs/dbraw/zinc/90/16/87/1129901687.db2.gz UHNGPPZXYZAYJG-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+][C@H]3CCC[C@H]4C[C@H]43)cc2)CCO1 ZINC000800982637 1129902318 /nfs/dbraw/zinc/90/23/18/1129902318.db2.gz XMAQCROAEDULES-NONVJHHQSA-N 1 2 286.419 3.512 20 0 CHADLO CN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000822924592 1131406499 /nfs/dbraw/zinc/40/64/99/1131406499.db2.gz NQWNHOARCOAOKY-XJKSGUPXSA-N 1 2 299.418 3.850 20 0 CHADLO CC[C@]1(C)CCC[N@H+](Cc2c(Cl)nc(Cl)n2C)C1 ZINC000769447227 1129910436 /nfs/dbraw/zinc/91/04/36/1129910436.db2.gz WLDHJBOAOVXSHH-CYBMUJFWSA-N 1 2 290.238 3.739 20 0 CHADLO CC[C@]1(C)CCC[N@@H+](Cc2c(Cl)nc(Cl)n2C)C1 ZINC000769447227 1129910437 /nfs/dbraw/zinc/91/04/37/1129910437.db2.gz WLDHJBOAOVXSHH-CYBMUJFWSA-N 1 2 290.238 3.739 20 0 CHADLO Clc1nc(C[N@@H+]2Cc3ccccc3C3(CC3)C2)cs1 ZINC000828960443 1131407346 /nfs/dbraw/zinc/40/73/46/1131407346.db2.gz WEVPIKYBMDLRDT-UHFFFAOYSA-N 1 2 290.819 3.844 20 0 CHADLO Clc1nc(C[N@H+]2Cc3ccccc3C3(CC3)C2)cs1 ZINC000828960443 1131407348 /nfs/dbraw/zinc/40/73/48/1131407348.db2.gz WEVPIKYBMDLRDT-UHFFFAOYSA-N 1 2 290.819 3.844 20 0 CHADLO Cc1cccc(C)c1-c1c[nH+]c(N2CCOCC2)cc1C ZINC001239597703 1131407457 /nfs/dbraw/zinc/40/74/57/1131407457.db2.gz LDEQLVBYEYANRY-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2Cc3ccccc3[C@@H](C)C2)s1 ZINC000801508873 1129922718 /nfs/dbraw/zinc/92/27/18/1129922718.db2.gz VNNCGIWTNGCTBJ-QWRGUYRKSA-N 1 2 273.405 3.527 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2Cc3ccccc3[C@@H](C)C2)s1 ZINC000801508873 1129922720 /nfs/dbraw/zinc/92/27/20/1129922720.db2.gz VNNCGIWTNGCTBJ-QWRGUYRKSA-N 1 2 273.405 3.527 20 0 CHADLO c1[nH+]c(Cc2ccccc2)cn1C[C@@H]1CCCC2(CCC2)O1 ZINC000901593233 1129938711 /nfs/dbraw/zinc/93/87/11/1129938711.db2.gz JKJXTSCHYARUGM-SFHVURJKSA-N 1 2 296.414 3.966 20 0 CHADLO c1nc2cc(CNc3ccc([NH+]4CCCC4)cc3)ccc2[nH]1 ZINC000770480106 1129949584 /nfs/dbraw/zinc/94/95/84/1129949584.db2.gz CNESAJVDDNZLNE-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO CCn1cnc(C[NH2+]Cc2ccccc2OC2CCCC2)c1 ZINC000902074916 1129977837 /nfs/dbraw/zinc/97/78/37/1129977837.db2.gz CIXXVSJTOPQUSD-UHFFFAOYSA-N 1 2 299.418 3.514 20 0 CHADLO C[C@@H](c1nc(C(C)(C)c2ccccc2Cl)no1)[NH+](C)C ZINC000771645679 1129986269 /nfs/dbraw/zinc/98/62/69/1129986269.db2.gz CMCXIBYWXWHHQR-JTQLQIEISA-N 1 2 293.798 3.672 20 0 CHADLO CC[C@@H](OC(=O)[C@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000780088059 1129994257 /nfs/dbraw/zinc/99/42/57/1129994257.db2.gz HRZBGRBYCFNUAH-MSOLQXFVSA-N 1 2 297.398 3.984 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc3c(c2)OCCO3)c1 ZINC001239604000 1130007610 /nfs/dbraw/zinc/00/76/10/1130007610.db2.gz AQMXHBITRQHOLI-UHFFFAOYSA-N 1 2 292.338 3.525 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1csc(N)n1 ZINC000902488855 1130017030 /nfs/dbraw/zinc/01/70/30/1130017030.db2.gz PDKOUBPLQJHGHF-JTQLQIEISA-N 1 2 287.388 3.631 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(OC)cc2OC)c1 ZINC001239622719 1130017344 /nfs/dbraw/zinc/01/73/44/1130017344.db2.gz RZRGDOJKTVBQIW-UHFFFAOYSA-N 1 2 285.343 3.733 20 0 CHADLO CC(=O)c1cccc(-c2ccc3[nH+]c(C)cn3c2)c1 ZINC001239635847 1130026784 /nfs/dbraw/zinc/02/67/84/1130026784.db2.gz BEAVOLFLBCRVPJ-UHFFFAOYSA-N 1 2 250.301 3.512 20 0 CHADLO CC1(C)CCC[C@@H]1C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000772608397 1130033407 /nfs/dbraw/zinc/03/34/07/1130033407.db2.gz QKWWHGFNXIEGAF-MRXNPFEDSA-N 1 2 298.386 3.742 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1cn(C)nn1)c1ccccc1Cl ZINC000902806892 1130061083 /nfs/dbraw/zinc/06/10/83/1130061083.db2.gz QBSFWSCGGWAEBU-NHYWBVRUSA-N 1 2 292.814 3.516 20 0 CHADLO CCCn1cc([C@H](C)[NH2+]Cc2oc3ccccc3c2C)nn1 ZINC000902807051 1130061538 /nfs/dbraw/zinc/06/15/38/1130061538.db2.gz VBMOQCIWEKCGCQ-ZDUSSCGKSA-N 1 2 298.390 3.594 20 0 CHADLO COC[C@H]([NH2+]C/C(Cl)=C/Cl)c1ccc(Cl)cc1 ZINC000785953606 1130070183 /nfs/dbraw/zinc/07/01/83/1130070183.db2.gz RFIXXHHGJPWWLX-DSDFTUOUSA-N 1 2 294.609 3.936 20 0 CHADLO CCCn1cc([C@@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)nn1 ZINC000902967841 1130092279 /nfs/dbraw/zinc/09/22/79/1130092279.db2.gz ZDWFZRQQYHWJFQ-OLZOCXBDSA-N 1 2 298.390 3.846 20 0 CHADLO CN(C)c1cc(CN2CCC=Cc3ccccc32)cc[nH+]1 ZINC000786443493 1130093383 /nfs/dbraw/zinc/09/33/83/1130093383.db2.gz JBUUCUPYIUVQST-UHFFFAOYSA-N 1 2 279.387 3.571 20 0 CHADLO CC(=O)Nc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)cc1 ZINC001239755545 1130094323 /nfs/dbraw/zinc/09/43/23/1130094323.db2.gz DWYJOVRVQQIBDQ-UHFFFAOYSA-N 1 2 291.354 3.713 20 0 CHADLO Cc1cn2cc(-c3cnc4ccccc4c3)ccc2[nH+]1 ZINC001239793631 1130110025 /nfs/dbraw/zinc/11/00/25/1130110025.db2.gz KSZQBTNTNHRDKO-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccc3c(c2)CCO3)cc1F ZINC001239807450 1130117015 /nfs/dbraw/zinc/11/70/15/1130117015.db2.gz CAGZGMXKIOJMFQ-UHFFFAOYSA-N 1 2 289.325 3.628 20 0 CHADLO C[NH+](C)c1ccc(N[C@H](c2ccccn2)C2CC2)cc1 ZINC000903143137 1130118707 /nfs/dbraw/zinc/11/87/07/1130118707.db2.gz VBBVXKZKUQCCRN-KRWDZBQOSA-N 1 2 267.376 3.711 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1cnn(CC2CCC2)c1 ZINC000903142385 1130119091 /nfs/dbraw/zinc/11/90/91/1130119091.db2.gz JDPPUFYODOGSGF-AWEZNQCLSA-N 1 2 298.434 3.922 20 0 CHADLO CC(=O)OCc1cccc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)c1 ZINC000903171054 1130123502 /nfs/dbraw/zinc/12/35/02/1130123502.db2.gz MHSWVTMTQFCMHV-ABAIWWIYSA-N 1 2 297.345 3.588 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2c(s1)CCC2)c1cccnc1Cl ZINC000903194095 1130127608 /nfs/dbraw/zinc/12/76/08/1130127608.db2.gz ZKLJVPKPYCGCTQ-SECBINFHSA-N 1 2 293.823 3.531 20 0 CHADLO COc1ccc(-c2cc3[nH]c[nH+]c3cc2OC)c(C)c1 ZINC001239827582 1130128438 /nfs/dbraw/zinc/12/84/38/1130128438.db2.gz WTWRVWVHDHHTPE-UHFFFAOYSA-N 1 2 268.316 3.556 20 0 CHADLO COc1ccc2cc(-c3ccn4cc(N)[nH+]c4c3)ccc2c1 ZINC001239839451 1130131604 /nfs/dbraw/zinc/13/16/04/1130131604.db2.gz DFZPTTIXDZGFJD-UHFFFAOYSA-N 1 2 289.338 3.745 20 0 CHADLO CCCC(C)(C)CC(=O)N[C@@H](Cn1cc[nH+]c1)C(C)(C)C ZINC000787159121 1130134063 /nfs/dbraw/zinc/13/40/63/1130134063.db2.gz UFMZDYSMVOEZCE-AWEZNQCLSA-N 1 2 293.455 3.630 20 0 CHADLO COc1c(Cl)cccc1C[NH2+][C@H](C)c1nccs1 ZINC000903245784 1130135584 /nfs/dbraw/zinc/13/55/84/1130135584.db2.gz IVVHLZMIVLMIOZ-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO COc1c(Cl)cccc1C[NH2+][C@H](C)c1csc(C)n1 ZINC000903247384 1130136486 /nfs/dbraw/zinc/13/64/86/1130136486.db2.gz VKCUUESITXGQRW-SECBINFHSA-N 1 2 296.823 3.964 20 0 CHADLO CC1(C[N@@H+]2Cc3ccc(Br)cc3C2)CC1 ZINC000787276995 1130144598 /nfs/dbraw/zinc/14/45/98/1130144598.db2.gz YKLZOSDFEGIVRD-UHFFFAOYSA-N 1 2 266.182 3.565 20 0 CHADLO CC1(C[N@H+]2Cc3ccc(Br)cc3C2)CC1 ZINC000787276995 1130144599 /nfs/dbraw/zinc/14/45/99/1130144599.db2.gz YKLZOSDFEGIVRD-UHFFFAOYSA-N 1 2 266.182 3.565 20 0 CHADLO CCOc1ccc(F)c(-c2[nH+]ccc3[nH]ccc32)c1 ZINC001242935241 1130145977 /nfs/dbraw/zinc/14/59/77/1130145977.db2.gz QTPASNKDLHQWCF-UHFFFAOYSA-N 1 2 256.280 3.768 20 0 CHADLO Cc1cccnc1[C@H]([NH2+][C@H](C)c1ncco1)C(C)(C)C ZINC000903331581 1130158094 /nfs/dbraw/zinc/15/80/94/1130158094.db2.gz ZDQCMCPNAAXLBG-OCCSQVGLSA-N 1 2 273.380 3.816 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](c2ccccn2)C2CC2)cs1 ZINC000903335693 1130160439 /nfs/dbraw/zinc/16/04/39/1130160439.db2.gz RYARRFORLKSTLO-MEBBXXQBSA-N 1 2 273.405 3.648 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](c2ccccn2)C2CC2)c(C)s1 ZINC000903340996 1130162274 /nfs/dbraw/zinc/16/22/74/1130162274.db2.gz UNMMZEJXFIFRNQ-MGPLVRAMSA-N 1 2 287.432 3.957 20 0 CHADLO Cc1c(-c2ccc3c(ccn3C)c2)ccc2[nH+]ccn21 ZINC001239942939 1130163340 /nfs/dbraw/zinc/16/33/40/1130163340.db2.gz DUZDZLYSFVYITF-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc(Cl)cn1)c1ccc(F)cc1F ZINC000788022280 1130171954 /nfs/dbraw/zinc/17/19/54/1130171954.db2.gz BMEIVAMKJOJRRA-ZDUSSCGKSA-N 1 2 297.736 3.649 20 0 CHADLO CC(C)Oc1ccc(-c2c[nH+]c3c(c2)CCCN3)cc1 ZINC001239960874 1130172707 /nfs/dbraw/zinc/17/27/07/1130172707.db2.gz AMAOFEZNEDXTIJ-UHFFFAOYSA-N 1 2 268.360 3.537 20 0 CHADLO CC(C)Oc1cccc(-c2cc[nH+]c(N(C)C)c2)c1 ZINC001239968250 1130177487 /nfs/dbraw/zinc/17/74/87/1130177487.db2.gz PCQOOCOFRCNSAR-UHFFFAOYSA-N 1 2 256.349 3.602 20 0 CHADLO CCSCC[C@@H](C)N(C)C(=O)c1c(C)cc(C)[nH+]c1C ZINC000903674735 1130188725 /nfs/dbraw/zinc/18/87/25/1130188725.db2.gz LVRFFJBGELAJTP-CYBMUJFWSA-N 1 2 294.464 3.611 20 0 CHADLO Cc1cn2cc(-c3cnn(Cc4ccccc4)c3)ccc2[nH+]1 ZINC001240002444 1130188852 /nfs/dbraw/zinc/18/88/52/1130188852.db2.gz DYHHYSVPLLUJJB-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO Cn1ccc2c1cc[nH+]c2-c1cc(F)cc2[nH]ccc21 ZINC001240018026 1130194664 /nfs/dbraw/zinc/19/46/64/1130194664.db2.gz LTYAGGKFJTXPSL-UHFFFAOYSA-N 1 2 265.291 3.861 20 0 CHADLO Fc1cc2[nH]ccc2c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240033013 1130200868 /nfs/dbraw/zinc/20/08/68/1130200868.db2.gz MKWQBHHTTLWTTH-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO CC1=CC[C@@H]([NH2+]c2ccc(N3CCO[C@H](C)C3)cc2)CC1 ZINC000788731020 1130203471 /nfs/dbraw/zinc/20/34/71/1130203471.db2.gz ZLYSVCCZJVLSOC-HZPDHXFCSA-N 1 2 286.419 3.822 20 0 CHADLO Nc1cc(-c2ccc(C(=O)c3ccccc3)cc2)cc[nH+]1 ZINC001240136095 1130221347 /nfs/dbraw/zinc/22/13/47/1130221347.db2.gz ACTBOPQWPFXQQB-UHFFFAOYSA-N 1 2 274.323 3.562 20 0 CHADLO Cn1c[nH+]cc1-c1ccc2nc(C(F)(F)F)ccc2c1 ZINC001243068475 1130225576 /nfs/dbraw/zinc/22/55/76/1130225576.db2.gz AGDCXAXWZKKOLY-UHFFFAOYSA-N 1 2 277.249 3.654 20 0 CHADLO Fc1cccc2c1ccnc2-c1ccc2[nH+]ccn2c1 ZINC001240214936 1130233410 /nfs/dbraw/zinc/23/34/10/1130233410.db2.gz RMDWKRFNHDNFEC-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1c2cc[nH]c2ccc1F ZINC001240214402 1130233921 /nfs/dbraw/zinc/23/39/21/1130233921.db2.gz PZXNACAOWBNZRK-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO c1cn2cc(-c3nccc4sccc43)ccc2[nH+]1 ZINC001240214003 1130233967 /nfs/dbraw/zinc/23/39/67/1130233967.db2.gz JDXOMQYIUFDSKJ-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Fc1cccc2ccc(-c3ccc4[nH+]ccn4c3)nc12 ZINC001240216359 1130234484 /nfs/dbraw/zinc/23/44/84/1130234484.db2.gz GEBOOGGRPNVNNQ-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Fc1ccc(-c2cc(-c3ccc4[nH+]ccn4c3)ncn2)cc1 ZINC001240216066 1130234627 /nfs/dbraw/zinc/23/46/27/1130234627.db2.gz BEMRYLSYBUXBJD-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO Cc1ccc2nc(-c3ccc4[nH+]ccn4c3)ccc2c1 ZINC001240215063 1130234932 /nfs/dbraw/zinc/23/49/32/1130234932.db2.gz PCKUTISERMDELU-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1nc(-c2ccccc2)cc(-c2ccc3[nH+]ccn3c2)n1 ZINC001240215372 1130235078 /nfs/dbraw/zinc/23/50/78/1130235078.db2.gz YEMIYELQIUFCMK-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO CC[C@@H](C)c1nc2[nH]ccc2c(-c2ccc3[nH+]ccn3c2)n1 ZINC001240217848 1130235886 /nfs/dbraw/zinc/23/58/86/1130235886.db2.gz YBUAQZJGSQSSFI-LLVKDONJSA-N 1 2 291.358 3.738 20 0 CHADLO Cc1cncc2c1cccc2-c1ccc2[nH+]ccn2c1 ZINC001240218873 1130236738 /nfs/dbraw/zinc/23/67/38/1130236738.db2.gz NFTPSXNENFMIEE-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCOc1cc(F)ccc1-c1ccc2[nH+]ccn2c1 ZINC001240218577 1130236898 /nfs/dbraw/zinc/23/68/98/1130236898.db2.gz FPHIALVWOBNTNC-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO CCc1cc(-c2ccc3[nH+]ccn3c2)ccc1NC(C)=O ZINC001240218525 1130237470 /nfs/dbraw/zinc/23/74/70/1130237470.db2.gz PXSRGXJFBTVXBU-UHFFFAOYSA-N 1 2 279.343 3.522 20 0 CHADLO CC(C)C(=O)Nc1cccc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240219668 1130238326 /nfs/dbraw/zinc/23/83/26/1130238326.db2.gz QYHCKJCUURFOEQ-UHFFFAOYSA-N 1 2 279.343 3.596 20 0 CHADLO CC(C)Oc1ccc(F)cc1-c1ccc2[nH+]ccn2c1 ZINC001240219813 1130238501 /nfs/dbraw/zinc/23/85/01/1130238501.db2.gz VMVQAIWJGCJVPH-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO CC(C)(C)Oc1ccnc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240221929 1130239524 /nfs/dbraw/zinc/23/95/24/1130239524.db2.gz ZRJGZXCPNUUALJ-UHFFFAOYSA-N 1 2 267.332 3.574 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CC[C@@H](C)SC1 ZINC000689479782 1130259105 /nfs/dbraw/zinc/25/91/05/1130259105.db2.gz ABNVREGCTGXNFR-OLZOCXBDSA-N 1 2 264.438 3.757 20 0 CHADLO F[C@H]1C[C@H](CNc2cc(-c3ccnc(Cl)c3)cc[nH+]2)C1 ZINC001157453149 1130259239 /nfs/dbraw/zinc/25/92/39/1130259239.db2.gz WMOIPBQYXRKTEO-MJHDQNEOSA-N 1 2 291.757 3.957 20 0 CHADLO CCCCC[C@H]([NH2+]C[C@@H]1C[C@H]1c1ccccc1)C(=O)OC ZINC000789577789 1130260215 /nfs/dbraw/zinc/26/02/15/1130260215.db2.gz YRYBCBCTDGKUHN-ULQDDVLXSA-N 1 2 289.419 3.502 20 0 CHADLO CCN(Cc1[nH+]c2ccccn2c1C)Cc1ccccc1F ZINC001137273639 1130279842 /nfs/dbraw/zinc/27/98/42/1130279842.db2.gz QJERIAYYRJLPQN-UHFFFAOYSA-N 1 2 297.377 3.804 20 0 CHADLO CC[N@H+](Cc1nc2ccccn2c1C)Cc1ccccc1F ZINC001137273639 1130279851 /nfs/dbraw/zinc/27/98/51/1130279851.db2.gz QJERIAYYRJLPQN-UHFFFAOYSA-N 1 2 297.377 3.804 20 0 CHADLO CC[N@@H+](Cc1nc2ccccn2c1C)Cc1ccccc1F ZINC001137273639 1130279857 /nfs/dbraw/zinc/27/98/57/1130279857.db2.gz QJERIAYYRJLPQN-UHFFFAOYSA-N 1 2 297.377 3.804 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H]2CCc3c2c(F)ccc3F)on1 ZINC000677129842 1130291897 /nfs/dbraw/zinc/29/18/97/1130291897.db2.gz FDGAVCVUGRUWNP-AWEZNQCLSA-N 1 2 292.329 3.853 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cccc(C(C)(C)O)c1 ZINC001240407501 1130294446 /nfs/dbraw/zinc/29/44/46/1130294446.db2.gz FETKSMTYFLDMPD-UHFFFAOYSA-N 1 2 266.344 3.537 20 0 CHADLO c1cn(Cc2ccc(-c3ccc4occc4c3)nc2)c[nH+]1 ZINC001240422895 1130303340 /nfs/dbraw/zinc/30/33/40/1130303340.db2.gz DJQYUOOQOHHGGA-UHFFFAOYSA-N 1 2 275.311 3.740 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cnc3ccnn3c2)c1 ZINC001240443013 1130314389 /nfs/dbraw/zinc/31/43/89/1130314389.db2.gz HCUIIXZUPYBAPC-UHFFFAOYSA-N 1 2 280.375 3.551 20 0 CHADLO CCC[C@@H](C)[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790504227 1130316437 /nfs/dbraw/zinc/31/64/37/1130316437.db2.gz BXUOTIGLIVDLTE-KFWWJZLASA-N 1 2 276.424 3.901 20 0 CHADLO Cc1nn(C)c2ccc(-c3cc[nH+]c(N4CCCC4)c3)cc12 ZINC001240457214 1130317770 /nfs/dbraw/zinc/31/77/70/1130317770.db2.gz RMKNUGAGLOMXEJ-UHFFFAOYSA-N 1 2 292.386 3.544 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CC[C@H](C(F)F)C2)cs1 ZINC000677730737 1130324779 /nfs/dbraw/zinc/32/47/79/1130324779.db2.gz IQLRNLFETWOVOP-VIFPVBQESA-N 1 2 274.380 3.528 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CC[C@H](C(F)F)C2)cs1 ZINC000677730737 1130324785 /nfs/dbraw/zinc/32/47/85/1130324785.db2.gz IQLRNLFETWOVOP-VIFPVBQESA-N 1 2 274.380 3.528 20 0 CHADLO Fc1ccc2ccnc(-c3ccn4cc[nH+]c4c3)c2c1 ZINC001240496046 1130328034 /nfs/dbraw/zinc/32/80/34/1130328034.db2.gz FBWXOLVADGQNJH-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1sc2nc(C)nc(-c3ccn4cc[nH+]c4c3)c2c1C ZINC001240496415 1130328301 /nfs/dbraw/zinc/32/83/01/1130328301.db2.gz NYFXRINWRPHWML-UHFFFAOYSA-N 1 2 294.383 3.931 20 0 CHADLO CSc1nc2ccccc2c(-c2ccn3cc[nH+]c3c2)n1 ZINC001240497764 1130329994 /nfs/dbraw/zinc/32/99/94/1130329994.db2.gz YYSDKJGNPOYMMH-UHFFFAOYSA-N 1 2 292.367 3.666 20 0 CHADLO c1cn2ccc(-c3ncnc4c5ccccc5oc43)cc2[nH+]1 ZINC001240497040 1130330061 /nfs/dbraw/zinc/33/00/61/1130330061.db2.gz WQTIOOYURKOOMA-UHFFFAOYSA-N 1 2 286.294 3.691 20 0 CHADLO Cn1c2ccccc2[nH+]c1NCc1nccc2ccccc21 ZINC000677806486 1130332638 /nfs/dbraw/zinc/33/26/38/1130332638.db2.gz XQONRBJOFAYHJK-UHFFFAOYSA-N 1 2 288.354 3.734 20 0 CHADLO c1cn2ccc(-c3cncc(OC4CCCCC4)n3)cc2[nH+]1 ZINC001240503443 1130332767 /nfs/dbraw/zinc/33/27/67/1130332767.db2.gz ASMWMQIERGOEPS-UHFFFAOYSA-N 1 2 294.358 3.503 20 0 CHADLO c1csc(-c2cc(-c3ccn4cc[nH+]c4c3)ncn2)c1 ZINC001240504467 1130333106 /nfs/dbraw/zinc/33/31/06/1130333106.db2.gz PPJRNMYKFKYYAE-UHFFFAOYSA-N 1 2 278.340 3.520 20 0 CHADLO C[C@H]1CCCCN1c1cccc(-c2ccn3cc[nH+]c3c2)n1 ZINC001240504636 1130333629 /nfs/dbraw/zinc/33/36/29/1130333629.db2.gz UECIKFMNGKMROR-AWEZNQCLSA-N 1 2 292.386 3.775 20 0 CHADLO Fc1cc2cccnc2c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240510985 1130336049 /nfs/dbraw/zinc/33/60/49/1130336049.db2.gz WXKLXCHMGNMRHA-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO COc1cccc2ncc(-c3ccn4cc[nH+]c4c3)cc21 ZINC001240511540 1130336172 /nfs/dbraw/zinc/33/61/72/1130336172.db2.gz GLGQRFAKKBJPKQ-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO c1cn2ccc(-c3ccc(N4CCSCC4)cc3)cc2[nH+]1 ZINC001240518346 1130340953 /nfs/dbraw/zinc/34/09/53/1130340953.db2.gz ODPMFQXFLJZBHY-UHFFFAOYSA-N 1 2 295.411 3.555 20 0 CHADLO Nc1cn2ccc(-c3cc(F)ccc3C(F)(F)F)cc2[nH+]1 ZINC001240532345 1130346698 /nfs/dbraw/zinc/34/66/98/1130346698.db2.gz LVFXAUXGNSGTLX-UHFFFAOYSA-N 1 2 295.239 3.741 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccc(Cl)o2)C2CC2)o1 ZINC000678135106 1130355696 /nfs/dbraw/zinc/35/56/96/1130355696.db2.gz GZOIWHHQVOFZSZ-UHFFFAOYSA-N 1 2 265.740 3.999 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccc(Cl)o2)C2CC2)o1 ZINC000678135106 1130355703 /nfs/dbraw/zinc/35/57/03/1130355703.db2.gz GZOIWHHQVOFZSZ-UHFFFAOYSA-N 1 2 265.740 3.999 20 0 CHADLO COc1nscc1C[N@H+]([C@H](C)c1ccccc1)C1CC1 ZINC001170150412 1130357463 /nfs/dbraw/zinc/35/74/63/1130357463.db2.gz RZRKMXRDIAVHJZ-GFCCVEGCSA-N 1 2 288.416 3.877 20 0 CHADLO COc1nscc1C[N@@H+]([C@H](C)c1ccccc1)C1CC1 ZINC001170150412 1130357468 /nfs/dbraw/zinc/35/74/68/1130357468.db2.gz RZRKMXRDIAVHJZ-GFCCVEGCSA-N 1 2 288.416 3.877 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)[C@H]1CC[C@@H](C)C1 ZINC000791439161 1130374149 /nfs/dbraw/zinc/37/41/49/1130374149.db2.gz YZMIPXMFGNVWAY-HIFRSBDPSA-N 1 2 298.386 3.660 20 0 CHADLO C[N@H+](CC(F)F)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000678233425 1130374240 /nfs/dbraw/zinc/37/42/40/1130374240.db2.gz DSCBHCXTCXURQB-UONOGXRCSA-N 1 2 253.336 3.910 20 0 CHADLO C[N@@H+](CC(F)F)[C@@H]1CCCC[C@H]1c1ccccc1 ZINC000678233425 1130374242 /nfs/dbraw/zinc/37/42/42/1130374242.db2.gz DSCBHCXTCXURQB-UONOGXRCSA-N 1 2 253.336 3.910 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cnc([C@H]2CCCO2)s1 ZINC000678233712 1130376405 /nfs/dbraw/zinc/37/64/05/1130376405.db2.gz SFEUCMONGRGUMO-CQSZACIVSA-N 1 2 292.404 3.610 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cnc([C@H]2CCCO2)s1 ZINC000678233712 1130376412 /nfs/dbraw/zinc/37/64/12/1130376412.db2.gz SFEUCMONGRGUMO-CQSZACIVSA-N 1 2 292.404 3.610 20 0 CHADLO Cc1cc(F)cc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001240594945 1130379078 /nfs/dbraw/zinc/37/90/78/1130379078.db2.gz XNFAZJIZYYOTTL-UHFFFAOYSA-N 1 2 252.292 3.987 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(-c2ccc(O)cc2)cc1 ZINC001240607888 1130384337 /nfs/dbraw/zinc/38/43/37/1130384337.db2.gz AXDQJLADTDNLMP-TUOIWHRTSA-N 1 2 251.329 3.935 20 0 CHADLO CC(C)(C)n1cc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)cn1 ZINC000678284560 1130391837 /nfs/dbraw/zinc/39/18/37/1130391837.db2.gz XZJNIOPPDLLGKX-MRXNPFEDSA-N 1 2 287.382 3.724 20 0 CHADLO CC(C)(C)n1cc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)cn1 ZINC000678284560 1130391843 /nfs/dbraw/zinc/39/18/43/1130391843.db2.gz XZJNIOPPDLLGKX-MRXNPFEDSA-N 1 2 287.382 3.724 20 0 CHADLO C[C@@]1(O)CC[N@H+](Cc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000678295413 1130392656 /nfs/dbraw/zinc/39/26/56/1130392656.db2.gz ZHOVURXXEONABT-GFCCVEGCSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@@]1(O)CC[N@@H+](Cc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000678295413 1130392658 /nfs/dbraw/zinc/39/26/58/1130392658.db2.gz ZHOVURXXEONABT-GFCCVEGCSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@H]1C[N@H+](Cc2cn3cccc(F)c3n2)[C@H]1c1ccccc1 ZINC000678295572 1130394117 /nfs/dbraw/zinc/39/41/17/1130394117.db2.gz IZBLVXPTKIRTTP-SUMWQHHRSA-N 1 2 295.361 3.666 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cn3cccc(F)c3n2)[C@H]1c1ccccc1 ZINC000678295572 1130394124 /nfs/dbraw/zinc/39/41/24/1130394124.db2.gz IZBLVXPTKIRTTP-SUMWQHHRSA-N 1 2 295.361 3.666 20 0 CHADLO Cc1cc(O)ccc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001240645585 1130398483 /nfs/dbraw/zinc/39/84/83/1130398483.db2.gz RXLVFPFQVIBAPF-UHFFFAOYSA-N 1 2 268.291 3.692 20 0 CHADLO Oc1ccc(F)cc1-c1cc(N2CCCCC2)cc[nH+]1 ZINC001240694239 1130421350 /nfs/dbraw/zinc/42/13/50/1130421350.db2.gz RYRQQWHGFVMAQQ-UHFFFAOYSA-N 1 2 272.323 3.584 20 0 CHADLO CCC(C)(C)c1ccc(C(=O)OCc2cc[nH+]c(N)c2)cc1 ZINC000792048404 1130428901 /nfs/dbraw/zinc/42/89/01/1130428901.db2.gz CIYQPZUSFYGKLZ-UHFFFAOYSA-N 1 2 298.386 3.708 20 0 CHADLO Cc1cccc2c(C)cc(NC[C@@H]3CC(C)(C)O3)[nH+]c12 ZINC001157654269 1130429847 /nfs/dbraw/zinc/42/98/47/1130429847.db2.gz GFKGGCCPQAYDMD-ZDUSSCGKSA-N 1 2 270.376 3.831 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccccc2-c2ccccc2)n1 ZINC000792177475 1130435484 /nfs/dbraw/zinc/43/54/84/1130435484.db2.gz SWEBHAGTNYTRCQ-ZDUSSCGKSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ccnc(Cl)c1)C2 ZINC000792312071 1130443913 /nfs/dbraw/zinc/44/39/13/1130443913.db2.gz NMXCFRJBLBDZLK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ccnc(Cl)c1)C2 ZINC000792312071 1130443917 /nfs/dbraw/zinc/44/39/17/1130443917.db2.gz NMXCFRJBLBDZLK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1[nH]nc3ccccc31)CC2 ZINC001137343861 1130446203 /nfs/dbraw/zinc/44/62/03/1130446203.db2.gz IPBZWOPADAKETO-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1[nH]nc3ccccc31)CC2 ZINC001137343861 1130446208 /nfs/dbraw/zinc/44/62/08/1130446208.db2.gz IPBZWOPADAKETO-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO CCc1noc(C[NH2+][C@@H](CC(C)(C)C)c2ccccc2)n1 ZINC000678749445 1130449436 /nfs/dbraw/zinc/44/94/36/1130449436.db2.gz PQHHLPFPVGOORU-AWEZNQCLSA-N 1 2 287.407 3.899 20 0 CHADLO C[C@@H]([NH2+]C1c2ccccc2-c2ccccc21)c1nccn1C ZINC000678764257 1130451246 /nfs/dbraw/zinc/45/12/46/1130451246.db2.gz MQGHZXUBRJGNMT-CYBMUJFWSA-N 1 2 289.382 3.841 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(-c2ccccc2F)o1)c1nccn1C ZINC000678768127 1130451264 /nfs/dbraw/zinc/45/12/64/1130451264.db2.gz IPCLGEBWWYZZEI-GFCCVEGCSA-N 1 2 299.349 3.670 20 0 CHADLO c1ccc([C@H](CC2CC2)[NH2+]Cc2nnc(C3CC3)o2)cc1 ZINC000678778644 1130453817 /nfs/dbraw/zinc/45/38/17/1130453817.db2.gz YWAXFUNOPQTYEC-HNNXBMFYSA-N 1 2 283.375 3.578 20 0 CHADLO CC(C)(C)c1noc(C[NH2+][C@H](CC2CC2)c2ccccc2)n1 ZINC000678777116 1130454104 /nfs/dbraw/zinc/45/41/04/1130454104.db2.gz MUHJSDYUUNYRCL-OAHLLOKOSA-N 1 2 299.418 3.998 20 0 CHADLO FC(F)C[NH2+]C1(c2cccc(Cl)c2)CCCC1 ZINC000678794297 1130456458 /nfs/dbraw/zinc/45/64/58/1130456458.db2.gz WYKYGDGGCPAYDF-UHFFFAOYSA-N 1 2 259.727 3.964 20 0 CHADLO CC[NH+](CC)Cc1c(Cl)ccc(F)c1Cl ZINC001137353602 1130465870 /nfs/dbraw/zinc/46/58/70/1130465870.db2.gz SAZRPRMUKRJPIT-UHFFFAOYSA-N 1 2 250.144 3.974 20 0 CHADLO CC(C)Oc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001240811022 1130484373 /nfs/dbraw/zinc/48/43/73/1130484373.db2.gz MVTHAJXUSFAOJR-UHFFFAOYSA-N 1 2 279.343 3.722 20 0 CHADLO COc1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1C ZINC001240865231 1130497065 /nfs/dbraw/zinc/49/70/65/1130497065.db2.gz KKLJSRRDBPSQLL-UHFFFAOYSA-N 1 2 278.355 3.915 20 0 CHADLO Cc1ccc(-c2ccc(Oc3cccnc3)cc2)[nH+]c1N ZINC001240882634 1130501238 /nfs/dbraw/zinc/50/12/38/1130501238.db2.gz JIBDNCJRFLATJZ-UHFFFAOYSA-N 1 2 277.327 3.827 20 0 CHADLO CC[C@H]([NH2+]Cc1csc(N(C)C)n1)c1ccccc1F ZINC000679344952 1130518391 /nfs/dbraw/zinc/51/83/91/1130518391.db2.gz IYUFKLGVLLVYFX-AWEZNQCLSA-N 1 2 293.411 3.589 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(C3CCCC3)s2)C[C@H]1F ZINC000679357685 1130520943 /nfs/dbraw/zinc/52/09/43/1130520943.db2.gz CWMOHTKHHBIJGJ-BXUZGUMPSA-N 1 2 282.428 3.981 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(C3CCCC3)s2)C[C@H]1F ZINC000679357685 1130520945 /nfs/dbraw/zinc/52/09/45/1130520945.db2.gz CWMOHTKHHBIJGJ-BXUZGUMPSA-N 1 2 282.428 3.981 20 0 CHADLO Cn1c[nH+]cc1CCOC(=O)CC(C)(C)C1CCCCC1 ZINC000793250503 1130536335 /nfs/dbraw/zinc/53/63/35/1130536335.db2.gz OMYOPQYTWCARBU-UHFFFAOYSA-N 1 2 292.423 3.502 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc3occc(=O)c3c2)c1 ZINC001240970637 1130544313 /nfs/dbraw/zinc/54/43/13/1130544313.db2.gz RWGCCFFNEOLNMC-UHFFFAOYSA-N 1 2 293.322 3.822 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CC[C@H](C)[C@@H](C)C3)cc2[nH+]1 ZINC001137393363 1130562958 /nfs/dbraw/zinc/56/29/58/1130562958.db2.gz ZPCKRKPTYDHUAQ-GMXVVIOVSA-N 1 2 285.391 3.882 20 0 CHADLO Cc1cc([C@@H](C)Nc2ccc([NH+]3CCCC3)cc2)n(C)n1 ZINC000793548815 1130569094 /nfs/dbraw/zinc/56/90/94/1130569094.db2.gz VWJRORXCINDAOF-CQSZACIVSA-N 1 2 284.407 3.502 20 0 CHADLO COc1ccc([NH2+]CC2Cc3ccccc3C2)c(OC)c1 ZINC000811468437 1130611752 /nfs/dbraw/zinc/61/17/52/1130611752.db2.gz QZOKWFDARJACTF-UHFFFAOYSA-N 1 2 283.371 3.531 20 0 CHADLO CC(C)Oc1cccc(OC2C[NH+](Cc3ccccc3)C2)c1 ZINC001234289177 1130631273 /nfs/dbraw/zinc/63/12/73/1130631273.db2.gz ZRPLDAZRBMYYHF-UHFFFAOYSA-N 1 2 297.398 3.737 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)O[C@H](C)c1ccco1)c1ccccc1 ZINC000780467832 1130680854 /nfs/dbraw/zinc/68/08/54/1130680854.db2.gz GZDGDODMBOXREZ-CJNGLKHVSA-N 1 2 287.359 3.577 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)O[C@H](C)c1ccco1)c1ccccc1 ZINC000780467832 1130680857 /nfs/dbraw/zinc/68/08/57/1130680857.db2.gz GZDGDODMBOXREZ-CJNGLKHVSA-N 1 2 287.359 3.577 20 0 CHADLO NC(Cc1ccccc1)=[NH+]OC[C@@H]1C[C@H]1c1ccccc1 ZINC000812304036 1130682856 /nfs/dbraw/zinc/68/28/56/1130682856.db2.gz HODSPKZRIFTQTJ-IRXDYDNUSA-N 1 2 280.371 3.531 20 0 CHADLO O=C(Nc1cccc(C2CCC2)c1)c1cccc2[nH+]ccn21 ZINC000812661881 1130705727 /nfs/dbraw/zinc/70/57/27/1130705727.db2.gz TWZMYHUFLQECJH-UHFFFAOYSA-N 1 2 291.354 3.854 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H](C)C[C@@H]3CCOC3)cc2)c1C ZINC000812785112 1130714439 /nfs/dbraw/zinc/71/44/39/1130714439.db2.gz FVXXNDJEASGLOL-CJNGLKHVSA-N 1 2 299.418 3.716 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H](C)C[C@H]3CCOC3)cc2)c1C ZINC000812785115 1130714568 /nfs/dbraw/zinc/71/45/68/1130714568.db2.gz FVXXNDJEASGLOL-XJKSGUPXSA-N 1 2 299.418 3.716 20 0 CHADLO Cc1cc(Cl)c(C[N@H+](C)[C@H]2CCOC2)c(Cl)c1 ZINC001235167176 1130715836 /nfs/dbraw/zinc/71/58/36/1130715836.db2.gz BZKFEIBNNZWVTB-JTQLQIEISA-N 1 2 274.191 3.523 20 0 CHADLO Cc1cc(Cl)c(C[N@@H+](C)[C@H]2CCOC2)c(Cl)c1 ZINC001235167176 1130715832 /nfs/dbraw/zinc/71/58/32/1130715832.db2.gz BZKFEIBNNZWVTB-JTQLQIEISA-N 1 2 274.191 3.523 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@@H+]1Cc1ncc(Cl)cn1 ZINC001235407994 1130739456 /nfs/dbraw/zinc/73/94/56/1130739456.db2.gz SESDAVUZQRAVOA-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@H+]1Cc1ncc(Cl)cn1 ZINC001235407994 1130739460 /nfs/dbraw/zinc/73/94/60/1130739460.db2.gz SESDAVUZQRAVOA-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cc(C)cc(Cl)c1 ZINC001235538636 1130754099 /nfs/dbraw/zinc/75/40/99/1130754099.db2.gz UBZSEGGOPAPQOR-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO Cc1ccc(SCc2cc[nH+]c(N(C)C)c2)cc1 ZINC000782110556 1130755641 /nfs/dbraw/zinc/75/56/41/1130755641.db2.gz LSRMTLUWOOPPIC-UHFFFAOYSA-N 1 2 258.390 3.748 20 0 CHADLO C[C@H](C1CC1)[N@@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000782194694 1130760914 /nfs/dbraw/zinc/76/09/14/1130760914.db2.gz UBXFTLRJBJMBGN-BMIGLBTASA-N 1 2 283.774 3.651 20 0 CHADLO C[C@H](C1CC1)[N@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000782194694 1130760918 /nfs/dbraw/zinc/76/09/18/1130760918.db2.gz UBXFTLRJBJMBGN-BMIGLBTASA-N 1 2 283.774 3.651 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CC[C@H]4CCCC[C@@H]4C3)[nH]c2c1 ZINC001235696105 1130770429 /nfs/dbraw/zinc/77/04/29/1130770429.db2.gz AOOYZUBJTSSHOA-CHWSQXEVSA-N 1 2 287.382 3.714 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CC[C@H]4CCCC[C@@H]4C3)[nH]c2c1 ZINC001235696105 1130770433 /nfs/dbraw/zinc/77/04/33/1130770433.db2.gz AOOYZUBJTSSHOA-CHWSQXEVSA-N 1 2 287.382 3.714 20 0 CHADLO CCOc1cc(C[N@@H+]2CCc3sccc3C2)ccc1F ZINC001235798067 1130780151 /nfs/dbraw/zinc/78/01/51/1130780151.db2.gz GYPVFSARFZNWDM-UHFFFAOYSA-N 1 2 291.391 3.844 20 0 CHADLO CCOc1cc(C[N@H+]2CCc3sccc3C2)ccc1F ZINC001235798067 1130780157 /nfs/dbraw/zinc/78/01/57/1130780157.db2.gz GYPVFSARFZNWDM-UHFFFAOYSA-N 1 2 291.391 3.844 20 0 CHADLO Cc1cccc(C)c1C[NH2+][C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000813859535 1130790499 /nfs/dbraw/zinc/79/04/99/1130790499.db2.gz WBWOKNWEXIHWCI-QGZVFWFLSA-N 1 2 298.434 3.833 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]c2ccc(N3CCSCC3)cc2)[C@@H]1C ZINC000813865595 1130791683 /nfs/dbraw/zinc/79/16/83/1130791683.db2.gz BRFQTLOZFCAMTJ-IOASZLSFSA-N 1 2 276.449 3.696 20 0 CHADLO Cc1ccc(C2CC[NH+](C/C(Cl)=C/Cl)CC2)cn1 ZINC000783020511 1130794349 /nfs/dbraw/zinc/79/43/49/1130794349.db2.gz OXCDEXSCHJZGCR-ZSOIEALJSA-N 1 2 285.218 3.888 20 0 CHADLO Cc1ccc(F)cc1CO[NH+]=C(N)Cc1cccc(F)c1 ZINC000783150982 1130798157 /nfs/dbraw/zinc/79/81/57/1130798157.db2.gz HDJHWUQEOVFFGB-UHFFFAOYSA-N 1 2 290.313 3.514 20 0 CHADLO Cc1cc([NH2+][C@@H]2CC[C@@H]2C)ccc1N1CCSCC1 ZINC000783281225 1130810641 /nfs/dbraw/zinc/81/06/41/1130810641.db2.gz OXAIBNMAJZTKJP-SWLSCSKDSA-N 1 2 276.449 3.759 20 0 CHADLO C[C@H](Nc1cc(-c2ccncc2)cc[nH+]1)c1cccc(N)c1 ZINC001170729400 1130830703 /nfs/dbraw/zinc/83/07/03/1130830703.db2.gz NZFZATSTTSBXNI-ZDUSSCGKSA-N 1 2 290.370 3.899 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1ccc(N2CCCOC2=O)cc1 ZINC000814263013 1130854408 /nfs/dbraw/zinc/85/44/08/1130854408.db2.gz ATHIIELWXCGRJN-ZDUSSCGKSA-N 1 2 297.358 3.601 20 0 CHADLO C[C@H]([NH2+]C[C@H](c1ccccc1)C1CC1)C(=O)OC(C)(C)C ZINC000814248439 1130855725 /nfs/dbraw/zinc/85/57/25/1130855725.db2.gz VFXQONKTNVZEKL-XJKSGUPXSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(NC(=O)C(C)C)cc1 ZINC001236267421 1130909468 /nfs/dbraw/zinc/90/94/68/1130909468.db2.gz VBJTWMVSDURXHE-UHFFFAOYSA-N 1 2 268.360 3.960 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+]Cc1cc3c(s1)CCCC3)CCC2 ZINC000796728785 1130926056 /nfs/dbraw/zinc/92/60/56/1130926056.db2.gz MIJOHQPRHYDGPO-AWEZNQCLSA-N 1 2 287.432 3.517 20 0 CHADLO CNc1ccc(Nc2c(C)cc(F)cc2Cl)c[nH+]1 ZINC001159253628 1130933566 /nfs/dbraw/zinc/93/35/66/1130933566.db2.gz BNJDNGRCXSZAQT-UHFFFAOYSA-N 1 2 265.719 3.968 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+][C@H](CC1CC1)c1ccccc1)CCC2 ZINC000796877569 1130942765 /nfs/dbraw/zinc/94/27/65/1130942765.db2.gz AQUWGLOAUAJEFX-SJLPKXTDSA-N 1 2 281.403 3.918 20 0 CHADLO COc1nc(C(C)C)ccc1-c1ccn2cc[nH+]c2c1 ZINC001236444627 1130943771 /nfs/dbraw/zinc/94/37/71/1130943771.db2.gz WIONSIMSPSZUTI-UHFFFAOYSA-N 1 2 267.332 3.528 20 0 CHADLO CC(C)[C@H]1CC[C@H]1[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000796925560 1130947187 /nfs/dbraw/zinc/94/71/87/1130947187.db2.gz KHFRUFWLRFNGMT-ZTFGCOKTSA-N 1 2 288.435 3.758 20 0 CHADLO COc1ccc2ccccc2c1Nc1ccc(N)[nH+]c1 ZINC001159281837 1130957740 /nfs/dbraw/zinc/95/77/40/1130957740.db2.gz DHZBWRHJLRMTEY-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO COc1ccc(F)c(CNc2ccc([NH+](C)C)cc2)c1 ZINC000815129443 1130960472 /nfs/dbraw/zinc/96/04/72/1130960472.db2.gz NYESTXPCTRNRAO-UHFFFAOYSA-N 1 2 274.339 3.512 20 0 CHADLO CC(C)Oc1cncc(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001236519977 1130962075 /nfs/dbraw/zinc/96/20/75/1130962075.db2.gz NBNPQXXITKXZHF-UHFFFAOYSA-N 1 2 293.370 3.936 20 0 CHADLO CCOc1ccc(C)c(F)c1-c1cc(N(C)C)cc[nH+]1 ZINC001236519477 1130962554 /nfs/dbraw/zinc/96/25/54/1130962554.db2.gz OURJTPXFMMCETF-UHFFFAOYSA-N 1 2 274.339 3.661 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCC[C@@H]2c2cc(F)ccc2F)o1 ZINC001236591718 1130981678 /nfs/dbraw/zinc/98/16/78/1130981678.db2.gz PVGBTAJMIISXMY-OAHLLOKOSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCC[C@@H]2c2cc(F)ccc2F)o1 ZINC001236591718 1130981680 /nfs/dbraw/zinc/98/16/80/1130981680.db2.gz PVGBTAJMIISXMY-OAHLLOKOSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC001236589258 1130982641 /nfs/dbraw/zinc/98/26/41/1130982641.db2.gz JGONGAIAJJSCPN-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC001236589258 1130982646 /nfs/dbraw/zinc/98/26/46/1130982646.db2.gz JGONGAIAJJSCPN-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+](C)Cc2ccccc2F)n1 ZINC001236638803 1130986692 /nfs/dbraw/zinc/98/66/92/1130986692.db2.gz KFLDKFCYSYCLRL-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+](C)Cc2ccccc2F)n1 ZINC001236638803 1130986695 /nfs/dbraw/zinc/98/66/95/1130986695.db2.gz KFLDKFCYSYCLRL-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc2sccc21 ZINC001236691297 1130993945 /nfs/dbraw/zinc/99/39/45/1130993945.db2.gz ZYFKSEAZRWMFRH-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc2sccc21 ZINC001236691297 1130993947 /nfs/dbraw/zinc/99/39/47/1130993947.db2.gz ZYFKSEAZRWMFRH-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO Cc1cc(CNc2cccc(-n3cc[nH+]c3)c2)cc(Cl)n1 ZINC000797588889 1130996697 /nfs/dbraw/zinc/99/66/97/1130996697.db2.gz IGXJHHILHIAFRO-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Cc1cc(Br)sc1C[NH+]1CC(C(F)F)C1 ZINC001236839166 1131018995 /nfs/dbraw/zinc/01/89/95/1131018995.db2.gz IUJIJDJQORRDCR-UHFFFAOYSA-N 1 2 296.180 3.516 20 0 CHADLO CCc1cccc(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)c1 ZINC001135304923 1131036563 /nfs/dbraw/zinc/03/65/63/1131036563.db2.gz XLRRGFUXRHEDKA-MRXNPFEDSA-N 1 2 285.391 3.671 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1ccc(F)c(F)c1C)CC2 ZINC001237161415 1131061745 /nfs/dbraw/zinc/06/17/45/1131061745.db2.gz JHOBAVFSJCAPLW-UHFFFAOYSA-N 1 2 294.370 3.596 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1ccc(F)c(F)c1C)CC2 ZINC001237161415 1131061749 /nfs/dbraw/zinc/06/17/49/1131061749.db2.gz JHOBAVFSJCAPLW-UHFFFAOYSA-N 1 2 294.370 3.596 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cc(Br)ccc1Cl ZINC000722374919 1131064540 /nfs/dbraw/zinc/06/45/40/1131064540.db2.gz IWJRZYOTOHHNLN-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cc(Br)ccc1Cl ZINC000722374919 1131064547 /nfs/dbraw/zinc/06/45/47/1131064547.db2.gz IWJRZYOTOHHNLN-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2cnc(Cl)cn2)cc1 ZINC000799106429 1131068777 /nfs/dbraw/zinc/06/87/77/1131068777.db2.gz AAWWMCNAGZSGNU-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2cnc(Cl)cn2)cc1 ZINC000799106429 1131068782 /nfs/dbraw/zinc/06/87/82/1131068782.db2.gz AAWWMCNAGZSGNU-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO c1ccc2c(c1)sc1ccc(C[NH+]3CCOCC3)cc12 ZINC001237194196 1131071056 /nfs/dbraw/zinc/07/10/56/1131071056.db2.gz AULUTYUYFNLYNH-UHFFFAOYSA-N 1 2 283.396 3.887 20 0 CHADLO Fc1ccc2c(c1)[C@H]([N@@H+]1CCC=C(Br)C1)CC2 ZINC000799327743 1131080326 /nfs/dbraw/zinc/08/03/26/1131080326.db2.gz ZTDJFJMTYYFKEU-CQSZACIVSA-N 1 2 296.183 3.798 20 0 CHADLO Fc1ccc2c(c1)[C@H]([N@H+]1CCC=C(Br)C1)CC2 ZINC000799327743 1131080332 /nfs/dbraw/zinc/08/03/32/1131080332.db2.gz ZTDJFJMTYYFKEU-CQSZACIVSA-N 1 2 296.183 3.798 20 0 CHADLO Cc1cc(C)cc(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)c1 ZINC001135544301 1131081087 /nfs/dbraw/zinc/08/10/87/1131081087.db2.gz XRVGPGQMOOHZLI-MRXNPFEDSA-N 1 2 285.391 3.726 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C ZINC001237325534 1131083730 /nfs/dbraw/zinc/08/37/30/1131083730.db2.gz MDLHEYLSRHKBBA-ZDUSSCGKSA-N 1 2 295.398 3.605 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C ZINC001237325534 1131083736 /nfs/dbraw/zinc/08/37/36/1131083736.db2.gz MDLHEYLSRHKBBA-ZDUSSCGKSA-N 1 2 295.398 3.605 20 0 CHADLO Fc1cc(C[NH+]2CC3(C2)CCCCC3)cnc1Cl ZINC001237405045 1131096762 /nfs/dbraw/zinc/09/67/62/1131096762.db2.gz ONIJEYCCLXNFTH-UHFFFAOYSA-N 1 2 268.763 3.640 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cccc(C)c1OC)C2 ZINC001237512409 1131108124 /nfs/dbraw/zinc/10/81/24/1131108124.db2.gz DPPYAHXDQITWQA-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cccc(C)c1OC)C2 ZINC001237512409 1131108128 /nfs/dbraw/zinc/10/81/28/1131108128.db2.gz DPPYAHXDQITWQA-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO Cc1cc(N)nc(SCCSC2CCCCC2)[nH+]1 ZINC000799876340 1131110652 /nfs/dbraw/zinc/11/06/52/1131110652.db2.gz NZVOUMSOZNGHPJ-UHFFFAOYSA-N 1 2 283.466 3.525 20 0 CHADLO CC[C@H](C)C[N@@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116248425 1131136908 /nfs/dbraw/zinc/13/69/08/1131136908.db2.gz ILDFBFDWKRYGDN-WDEREUQCSA-N 1 2 273.829 3.821 20 0 CHADLO CC[C@H](C)C[N@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116248425 1131136911 /nfs/dbraw/zinc/13/69/11/1131136911.db2.gz ILDFBFDWKRYGDN-WDEREUQCSA-N 1 2 273.829 3.821 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1c(F)cc(C(F)(F)F)cc1F ZINC001237706304 1131130719 /nfs/dbraw/zinc/13/07/19/1131130719.db2.gz STSZTDQALCJNIP-ZETCQYMHSA-N 1 2 265.225 3.578 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1c(F)cc(C(F)(F)F)cc1F ZINC001237706304 1131130720 /nfs/dbraw/zinc/13/07/20/1131130720.db2.gz STSZTDQALCJNIP-ZETCQYMHSA-N 1 2 265.225 3.578 20 0 CHADLO CC[N@H+](Cc1c(F)cc(C(F)(F)F)cc1F)C1CC1 ZINC001237706608 1131130777 /nfs/dbraw/zinc/13/07/77/1131130777.db2.gz WMTPSXMWTKBOMI-UHFFFAOYSA-N 1 2 279.252 3.968 20 0 CHADLO CC[N@@H+](Cc1c(F)cc(C(F)(F)F)cc1F)C1CC1 ZINC001237706608 1131130779 /nfs/dbraw/zinc/13/07/79/1131130779.db2.gz WMTPSXMWTKBOMI-UHFFFAOYSA-N 1 2 279.252 3.968 20 0 CHADLO COc1ccc(C)c(C[N@@H+]2CCOC[C@@H]2c2ccccc2)c1 ZINC001237707780 1131130917 /nfs/dbraw/zinc/13/09/17/1131130917.db2.gz KESJFJSLIMSVMU-LJQANCHMSA-N 1 2 297.398 3.577 20 0 CHADLO COc1ccc(C)c(C[N@H+]2CCOC[C@@H]2c2ccccc2)c1 ZINC001237707780 1131130920 /nfs/dbraw/zinc/13/09/20/1131130920.db2.gz KESJFJSLIMSVMU-LJQANCHMSA-N 1 2 297.398 3.577 20 0 CHADLO Cc1nc(NCc2ccc(-n3cc[nH+]c3)cc2)sc1C ZINC001116248019 1131136819 /nfs/dbraw/zinc/13/68/19/1131136819.db2.gz MACAURPLQZSELJ-UHFFFAOYSA-N 1 2 284.388 3.558 20 0 CHADLO COc1cccc([C@H]2CCCC[N@@H+]2Cc2ncc(C)cn2)c1 ZINC001237805448 1131142112 /nfs/dbraw/zinc/14/21/12/1131142112.db2.gz GXJQMHUHRDXIDI-QGZVFWFLSA-N 1 2 297.402 3.521 20 0 CHADLO COc1cccc([C@H]2CCCC[N@H+]2Cc2ncc(C)cn2)c1 ZINC001237805448 1131142118 /nfs/dbraw/zinc/14/21/18/1131142118.db2.gz GXJQMHUHRDXIDI-QGZVFWFLSA-N 1 2 297.402 3.521 20 0 CHADLO Cc1nc(C[N@@H+]2CCSc3ccccc3C2)ccc1F ZINC001237829085 1131143649 /nfs/dbraw/zinc/14/36/49/1131143649.db2.gz WSALKJRFORYHDL-UHFFFAOYSA-N 1 2 288.391 3.637 20 0 CHADLO Cc1nc(C[N@H+]2CCSc3ccccc3C2)ccc1F ZINC001237829085 1131143652 /nfs/dbraw/zinc/14/36/52/1131143652.db2.gz WSALKJRFORYHDL-UHFFFAOYSA-N 1 2 288.391 3.637 20 0 CHADLO Fc1c(Cl)ccc(-c2ccn3cc[nH+]c3c2)c1F ZINC001245738845 1131143870 /nfs/dbraw/zinc/14/38/70/1131143870.db2.gz LAZASOFLBAMIBF-UHFFFAOYSA-N 1 2 264.662 3.933 20 0 CHADLO COc1ccc(C[N@H+](Cc2cc(C)[nH]n2)[C@H](C)C2CC2)cc1 ZINC001116439465 1131145367 /nfs/dbraw/zinc/14/53/67/1131145367.db2.gz BHMWQBLZRGZWAO-CQSZACIVSA-N 1 2 299.418 3.527 20 0 CHADLO COc1ccc(C[N@@H+](Cc2cc(C)[nH]n2)[C@H](C)C2CC2)cc1 ZINC001116439465 1131145370 /nfs/dbraw/zinc/14/53/70/1131145370.db2.gz BHMWQBLZRGZWAO-CQSZACIVSA-N 1 2 299.418 3.527 20 0 CHADLO COc1cc(C[N@@H+]2CCc3cccc(F)c3C2)ccc1F ZINC001116443774 1131146693 /nfs/dbraw/zinc/14/66/93/1131146693.db2.gz GVOKHRYSRVTLNZ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(C[N@H+]2CCc3cccc(F)c3C2)ccc1F ZINC001116443774 1131146697 /nfs/dbraw/zinc/14/66/97/1131146697.db2.gz GVOKHRYSRVTLNZ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc([C@H](C)[NH2+]Cc2ncoc2C(C)C)c1 ZINC001116466122 1131149301 /nfs/dbraw/zinc/14/93/01/1131149301.db2.gz PLORRHYSHXMKBN-LBPRGKRZSA-N 1 2 274.364 3.657 20 0 CHADLO C[C@H](c1nc(-c2cccc3ccccc32)no1)n1cc[nH+]c1 ZINC001116582926 1131155773 /nfs/dbraw/zinc/15/57/73/1131155773.db2.gz JJWXQOOYDVIRIJ-GFCCVEGCSA-N 1 2 290.326 3.696 20 0 CHADLO Cc1sccc1C[NH+]1CC2(CCN2Cc2ccccc2)C1 ZINC001237973210 1131156626 /nfs/dbraw/zinc/15/66/26/1131156626.db2.gz ZUZHPJNFTUSVCR-UHFFFAOYSA-N 1 2 298.455 3.517 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237988322 1131157552 /nfs/dbraw/zinc/15/75/52/1131157552.db2.gz GOFLYJJDOMCGRR-SNVBAGLBSA-N 1 2 271.763 3.949 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237988322 1131157550 /nfs/dbraw/zinc/15/75/50/1131157550.db2.gz GOFLYJJDOMCGRR-SNVBAGLBSA-N 1 2 271.763 3.949 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237988319 1131158283 /nfs/dbraw/zinc/15/82/83/1131158283.db2.gz GOFLYJJDOMCGRR-JTQLQIEISA-N 1 2 271.763 3.949 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237988319 1131158287 /nfs/dbraw/zinc/15/82/87/1131158287.db2.gz GOFLYJJDOMCGRR-JTQLQIEISA-N 1 2 271.763 3.949 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(F)c(O)c(Cl)c2)[C@H](C)C1 ZINC001237994546 1131159538 /nfs/dbraw/zinc/15/95/38/1131159538.db2.gz FBGXVXMCNLWVIY-NXEZZACHSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)[C@H](C)C1 ZINC001237994546 1131159541 /nfs/dbraw/zinc/15/95/41/1131159541.db2.gz FBGXVXMCNLWVIY-NXEZZACHSA-N 1 2 271.763 3.805 20 0 CHADLO C/C(=C/c1ccc(C)cc1)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000817458927 1131162703 /nfs/dbraw/zinc/16/27/03/1131162703.db2.gz NIQIXTPCLHDSSZ-ZROIWOOFSA-N 1 2 294.398 3.727 20 0 CHADLO Cc1cc(N2CCS[C@@H](C)CC2)[nH+]c2ccccc12 ZINC001116777374 1131163500 /nfs/dbraw/zinc/16/35/00/1131163500.db2.gz MIIKFQPJROZDRZ-ZDUSSCGKSA-N 1 2 272.417 3.875 20 0 CHADLO CCOC(=O)c1c(Cl)cccc1C[N@@H+]1CCC[C@@H]2C[C@@H]21 ZINC001238045961 1131165493 /nfs/dbraw/zinc/16/54/93/1131165493.db2.gz FFAWFSNOFBUROW-RISCZKNCSA-N 1 2 293.794 3.501 20 0 CHADLO CCOC(=O)c1c(Cl)cccc1C[N@H+]1CCC[C@@H]2C[C@@H]21 ZINC001238045961 1131165496 /nfs/dbraw/zinc/16/54/96/1131165496.db2.gz FFAWFSNOFBUROW-RISCZKNCSA-N 1 2 293.794 3.501 20 0 CHADLO COC1C[NH+](Cc2ccc(Oc3ccccc3)cc2C)C1 ZINC001238061552 1131166377 /nfs/dbraw/zinc/16/63/77/1131166377.db2.gz KICGXAYLSIOIOE-UHFFFAOYSA-N 1 2 283.371 3.618 20 0 CHADLO CCOc1cc(C[N@@H+]2Cc3ccc(OC)cc3C2)ccc1C ZINC001238070104 1131168549 /nfs/dbraw/zinc/16/85/49/1131168549.db2.gz FSSTYCBZUYWRML-UHFFFAOYSA-N 1 2 297.398 3.918 20 0 CHADLO CCOc1cc(C[N@H+]2Cc3ccc(OC)cc3C2)ccc1C ZINC001238070104 1131168552 /nfs/dbraw/zinc/16/85/52/1131168552.db2.gz FSSTYCBZUYWRML-UHFFFAOYSA-N 1 2 297.398 3.918 20 0 CHADLO CC[C@H]1COCC[N@@H+]1Cc1cc(C)c(Cl)c(C)c1 ZINC001238095611 1131170685 /nfs/dbraw/zinc/17/06/85/1131170685.db2.gz JTMQTKQPLWULGV-AWEZNQCLSA-N 1 2 267.800 3.568 20 0 CHADLO CC[C@H]1COCC[N@H+]1Cc1cc(C)c(Cl)c(C)c1 ZINC001238095611 1131170689 /nfs/dbraw/zinc/17/06/89/1131170689.db2.gz JTMQTKQPLWULGV-AWEZNQCLSA-N 1 2 267.800 3.568 20 0 CHADLO C[C@@H]1CCC[C@@H](C)[NH+]1Cc1cc(Cl)c(O)cc1F ZINC001238144586 1131173946 /nfs/dbraw/zinc/17/39/46/1131173946.db2.gz WBXDGWTYMQZXCJ-NXEZZACHSA-N 1 2 271.763 3.948 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CC[C@H]3CCC[C@@H]3C2)cc1Cl ZINC001238145069 1131175223 /nfs/dbraw/zinc/17/52/23/1131175223.db2.gz BWAOMUWNYHEKRV-GHMZBOCLSA-N 1 2 283.774 3.807 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CC[C@H]3CCC[C@@H]3C2)cc1Cl ZINC001238145069 1131175224 /nfs/dbraw/zinc/17/52/24/1131175224.db2.gz BWAOMUWNYHEKRV-GHMZBOCLSA-N 1 2 283.774 3.807 20 0 CHADLO Oc1cc(F)c(C[NH+]2CCCCCCC2)cc1Cl ZINC001238152334 1131175685 /nfs/dbraw/zinc/17/56/85/1131175685.db2.gz MYAYUIFZNBTCMK-UHFFFAOYSA-N 1 2 271.763 3.951 20 0 CHADLO F[C@H]1C[N@H+](Cc2cncc(C3CC3)c2)CCCC1(F)F ZINC001238182454 1131177807 /nfs/dbraw/zinc/17/78/07/1131177807.db2.gz TWAWPSSYQDBSLI-AWEZNQCLSA-N 1 2 284.325 3.528 20 0 CHADLO F[C@H]1C[N@@H+](Cc2cncc(C3CC3)c2)CCCC1(F)F ZINC001238182454 1131177809 /nfs/dbraw/zinc/17/78/09/1131177809.db2.gz TWAWPSSYQDBSLI-AWEZNQCLSA-N 1 2 284.325 3.528 20 0 CHADLO Cc1c(C)c(C[N@@H+]2CCC(=O)C(C)(C)C2)ccc1Cl ZINC001238219125 1131183310 /nfs/dbraw/zinc/18/33/10/1131183310.db2.gz NJLVNQNEXHUSBZ-UHFFFAOYSA-N 1 2 279.811 3.758 20 0 CHADLO Cc1c(C)c(C[N@H+]2CCC(=O)C(C)(C)C2)ccc1Cl ZINC001238219125 1131183313 /nfs/dbraw/zinc/18/33/13/1131183313.db2.gz NJLVNQNEXHUSBZ-UHFFFAOYSA-N 1 2 279.811 3.758 20 0 CHADLO Cc1c(Cl)ccc(C[N@@H+]2CCOCC23CCC3)c1C ZINC001238218616 1131184044 /nfs/dbraw/zinc/18/40/44/1131184044.db2.gz KJQGPQJULWCAPZ-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO Cc1c(Cl)ccc(C[N@H+]2CCOCC23CCC3)c1C ZINC001238218616 1131184048 /nfs/dbraw/zinc/18/40/48/1131184048.db2.gz KJQGPQJULWCAPZ-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO CC(C)c1cc(CN2CCn3c[nH+]cc3C2)ccc1Cl ZINC001238227929 1131185149 /nfs/dbraw/zinc/18/51/49/1131185149.db2.gz LEFQDNUDTZPKSZ-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC3(CC3)C2)c(F)c1C(F)(F)F ZINC001238241589 1131186052 /nfs/dbraw/zinc/18/60/52/1131186052.db2.gz ABVYXHYBPVOZPD-UHFFFAOYSA-N 1 2 291.263 3.970 20 0 CHADLO Fc1ccc(C[N@H+]2CCC3(CC3)C2)c(F)c1C(F)(F)F ZINC001238241589 1131186056 /nfs/dbraw/zinc/18/60/56/1131186056.db2.gz ABVYXHYBPVOZPD-UHFFFAOYSA-N 1 2 291.263 3.970 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1cccnc1Cl ZINC000817950741 1131189051 /nfs/dbraw/zinc/18/90/51/1131189051.db2.gz YILHCCVDDCDXJW-JTQLQIEISA-N 1 2 273.767 3.876 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001238333095 1131191528 /nfs/dbraw/zinc/19/15/28/1131191528.db2.gz SUIGZFFXJFBPOV-DGCLKSJQSA-N 1 2 269.335 3.545 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001238333095 1131191532 /nfs/dbraw/zinc/19/15/32/1131191532.db2.gz SUIGZFFXJFBPOV-DGCLKSJQSA-N 1 2 269.335 3.545 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3[C@H]2C)cnc1OC(C)C ZINC001238386849 1131194396 /nfs/dbraw/zinc/19/43/96/1131194396.db2.gz QVFWDZXEZLTZRP-OAHLLOKOSA-N 1 2 299.418 3.556 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3[C@H]2C)cnc1OC(C)C ZINC001238386849 1131194398 /nfs/dbraw/zinc/19/43/98/1131194398.db2.gz QVFWDZXEZLTZRP-OAHLLOKOSA-N 1 2 299.418 3.556 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCO[C@H](C(C)C)C2)c(Cl)c1 ZINC001238398245 1131196041 /nfs/dbraw/zinc/19/60/41/1131196041.db2.gz ASDJCQBKHDTTOK-INIZCTEOSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@H+]2CCO[C@H](C(C)C)C2)c(Cl)c1 ZINC001238398245 1131196044 /nfs/dbraw/zinc/19/60/44/1131196044.db2.gz ASDJCQBKHDTTOK-INIZCTEOSA-N 1 2 297.826 3.596 20 0 CHADLO C[C@@]1(CO)CCC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC001117784056 1131199160 /nfs/dbraw/zinc/19/91/60/1131199160.db2.gz NIWOZTDBWGBLCG-GFCCVEGCSA-N 1 2 294.247 3.649 20 0 CHADLO C[C@@]1(CO)CCC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC001117784056 1131199163 /nfs/dbraw/zinc/19/91/63/1131199163.db2.gz NIWOZTDBWGBLCG-GFCCVEGCSA-N 1 2 294.247 3.649 20 0 CHADLO CC(C)Oc1ccc(C[N@H+](C)Cc2cccnc2)c(F)c1 ZINC001238443473 1131199909 /nfs/dbraw/zinc/19/99/09/1131199909.db2.gz FGYKGIIONDBTER-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+](C)Cc2cccnc2)c(F)c1 ZINC001238443473 1131199910 /nfs/dbraw/zinc/19/99/10/1131199910.db2.gz FGYKGIIONDBTER-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCC[C@@](C)(F)C2)c(F)c1 ZINC001238446071 1131202627 /nfs/dbraw/zinc/20/26/27/1131202627.db2.gz YHPZRRWCGZYFGX-MRXNPFEDSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCC[C@@](C)(F)C2)c(F)c1 ZINC001238446071 1131202629 /nfs/dbraw/zinc/20/26/29/1131202629.db2.gz YHPZRRWCGZYFGX-MRXNPFEDSA-N 1 2 283.362 3.937 20 0 CHADLO COc1c(C[N@@H+]2CCc3ccsc3C2)ccc(F)c1F ZINC001238471748 1131205886 /nfs/dbraw/zinc/20/58/86/1131205886.db2.gz AXVURSHGUHNHBK-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO COc1c(C[N@H+]2CCc3ccsc3C2)ccc(F)c1F ZINC001238471748 1131205888 /nfs/dbraw/zinc/20/58/88/1131205888.db2.gz AXVURSHGUHNHBK-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H](F)C2)cc1C1CC1 ZINC001238502588 1131211113 /nfs/dbraw/zinc/21/11/13/1131211113.db2.gz WBLFYUSCSTXPFV-CYBMUJFWSA-N 1 2 251.320 3.637 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](F)C[C@H]2C)c(Br)c1 ZINC001137803149 1131417823 /nfs/dbraw/zinc/41/78/23/1131417823.db2.gz VGROVKXMZJIBHP-PWSUYJOCSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2C)c(Br)c1 ZINC001137803149 1131417826 /nfs/dbraw/zinc/41/78/26/1131417826.db2.gz VGROVKXMZJIBHP-PWSUYJOCSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H]3C[C@@H]32)ccc1Oc1ccccc1 ZINC001238523521 1131214038 /nfs/dbraw/zinc/21/40/38/1131214038.db2.gz LXORCDYYHXDKQH-PKOBYXMFSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H]3C[C@@H]32)ccc1Oc1ccccc1 ZINC001238523521 1131214040 /nfs/dbraw/zinc/21/40/40/1131214040.db2.gz LXORCDYYHXDKQH-PKOBYXMFSA-N 1 2 295.382 3.760 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)c(-c3ccccc3)c2)CCC1=O ZINC001238560449 1131216812 /nfs/dbraw/zinc/21/68/12/1131216812.db2.gz IMWSEVUKXYJYLJ-CQSZACIVSA-N 1 2 297.373 3.904 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)c(-c3ccccc3)c2)CCC1=O ZINC001238560449 1131216814 /nfs/dbraw/zinc/21/68/14/1131216814.db2.gz IMWSEVUKXYJYLJ-CQSZACIVSA-N 1 2 297.373 3.904 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cnc(C3CC3)c(C)c1)C2 ZINC001238619130 1131223800 /nfs/dbraw/zinc/22/38/00/1131223800.db2.gz XJCPDOGUJHOZIL-UHFFFAOYSA-N 1 2 294.398 3.792 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cnc(C3CC3)c(C)c1)C2 ZINC001238619130 1131223802 /nfs/dbraw/zinc/22/38/02/1131223802.db2.gz XJCPDOGUJHOZIL-UHFFFAOYSA-N 1 2 294.398 3.792 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1C[N@H+](C)Cc1ccon1 ZINC001238663860 1131228102 /nfs/dbraw/zinc/22/81/02/1131228102.db2.gz DJYOKRXLLDCDSK-UHFFFAOYSA-N 1 2 288.391 3.711 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1C[N@@H+](C)Cc1ccon1 ZINC001238663860 1131228104 /nfs/dbraw/zinc/22/81/04/1131228104.db2.gz DJYOKRXLLDCDSK-UHFFFAOYSA-N 1 2 288.391 3.711 20 0 CHADLO COc1ccc(F)c(-c2cc(N(C)C)cc[nH+]2)c1Cl ZINC001245886517 1131229976 /nfs/dbraw/zinc/22/99/76/1131229976.db2.gz ZRHAPTGPJOYSQL-UHFFFAOYSA-N 1 2 280.730 3.616 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@]34C[C@H]3CCC4)cc2)[nH+]c1C ZINC000829387622 1131418503 /nfs/dbraw/zinc/41/85/03/1131418503.db2.gz JELYDAFTCXFNPL-RDTXWAMCSA-N 1 2 295.386 3.822 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2cc(F)ncc2F)cc1 ZINC000823394781 1131424633 /nfs/dbraw/zinc/42/46/33/1131424633.db2.gz AMXNWVXRZHAPIL-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2cc(F)ncc2F)cc1 ZINC000823394781 1131424634 /nfs/dbraw/zinc/42/46/34/1131424634.db2.gz AMXNWVXRZHAPIL-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)c1 ZINC001137868494 1131425756 /nfs/dbraw/zinc/42/57/56/1131425756.db2.gz KXMGJYPSUQWPFX-AOOOYVTPSA-N 1 2 291.263 3.581 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)c1 ZINC001137868494 1131425759 /nfs/dbraw/zinc/42/57/59/1131425759.db2.gz KXMGJYPSUQWPFX-AOOOYVTPSA-N 1 2 291.263 3.581 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CCCC(F)(F)CC2)c1 ZINC001137869021 1131426299 /nfs/dbraw/zinc/42/62/99/1131426299.db2.gz ZGRNXSINMCTFNN-UHFFFAOYSA-N 1 2 279.252 3.725 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CCCC(F)(F)CC2)c1 ZINC001137869021 1131426301 /nfs/dbraw/zinc/42/63/01/1131426301.db2.gz ZGRNXSINMCTFNN-UHFFFAOYSA-N 1 2 279.252 3.725 20 0 CHADLO Fc1cc(F)c(F)c(C[NH+]2CC3(C2)CCCCC3)c1 ZINC001137873575 1131427666 /nfs/dbraw/zinc/42/76/66/1131427666.db2.gz KXVIWZWJJIDUIW-UHFFFAOYSA-N 1 2 269.310 3.870 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1cc(F)c(F)cc1F ZINC001137891419 1131430051 /nfs/dbraw/zinc/43/00/51/1131430051.db2.gz OZHBBUUCTLDHCD-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1cc(F)c(F)cc1F ZINC001137891419 1131430052 /nfs/dbraw/zinc/43/00/52/1131430052.db2.gz OZHBBUUCTLDHCD-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)ccc(F)c2F)CCC1(F)F ZINC001137925316 1131436298 /nfs/dbraw/zinc/43/62/98/1131436298.db2.gz GBBKAKHTIADLLM-SECBINFHSA-N 1 2 293.279 3.971 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)ccc(F)c2F)CCC1(F)F ZINC001137925316 1131436300 /nfs/dbraw/zinc/43/63/00/1131436300.db2.gz GBBKAKHTIADLLM-SECBINFHSA-N 1 2 293.279 3.971 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H](C(F)F)C2)c(F)c1F ZINC001137939410 1131438718 /nfs/dbraw/zinc/43/87/18/1131438718.db2.gz WATBELHRFMBKFD-VIFPVBQESA-N 1 2 279.252 3.581 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H](C(F)F)C2)c(F)c1F ZINC001137939410 1131438722 /nfs/dbraw/zinc/43/87/22/1131438722.db2.gz WATBELHRFMBKFD-VIFPVBQESA-N 1 2 279.252 3.581 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccsc3C2)c(F)c1F ZINC001137968718 1131444193 /nfs/dbraw/zinc/44/41/93/1131444193.db2.gz PXLTWTZPYASSGS-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccsc3C2)c(F)c1F ZINC001137968718 1131444195 /nfs/dbraw/zinc/44/41/95/1131444195.db2.gz PXLTWTZPYASSGS-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](F)[C@@H](F)C2)c2ccccc12 ZINC001138014593 1131451210 /nfs/dbraw/zinc/45/12/10/1131451210.db2.gz TZBSXVYSXDWIGZ-IYBDPMFKSA-N 1 2 261.315 3.640 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](F)[C@@H](F)C2)c2ccccc12 ZINC001138014593 1131451214 /nfs/dbraw/zinc/45/12/14/1131451214.db2.gz TZBSXVYSXDWIGZ-IYBDPMFKSA-N 1 2 261.315 3.640 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1cc(F)ncc1F)CC2 ZINC000824202380 1131459084 /nfs/dbraw/zinc/45/90/84/1131459084.db2.gz KDODGYCBUHOSDQ-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1cc(F)ncc1F)CC2 ZINC000824202380 1131459086 /nfs/dbraw/zinc/45/90/86/1131459086.db2.gz KDODGYCBUHOSDQ-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1ccc(N)c(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001160335744 1131459972 /nfs/dbraw/zinc/45/99/72/1131459972.db2.gz FEKHCFIDOWAEFT-UHFFFAOYSA-N 1 2 255.365 3.695 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2cc(F)ncc2F)c1 ZINC000824287129 1131463512 /nfs/dbraw/zinc/46/35/12/1131463512.db2.gz NZZVFIPJHPNOQY-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2cc(F)ncc2F)c1 ZINC000824287129 1131463515 /nfs/dbraw/zinc/46/35/15/1131463515.db2.gz NZZVFIPJHPNOQY-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO CCC(CC)[C@@H](NC(=O)CCc1[nH]cc[nH+]1)c1ccccc1 ZINC001136903934 1131476378 /nfs/dbraw/zinc/47/63/78/1131476378.db2.gz PBOWRCSBEAYZRX-GOSISDBHSA-N 1 2 299.418 3.636 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2c(F)c(F)cc(F)c2F)CC1 ZINC001138229660 1131479353 /nfs/dbraw/zinc/47/93/53/1131479353.db2.gz OQOGETWTOIXQHN-SECBINFHSA-N 1 2 275.289 3.865 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)CC1 ZINC001138229660 1131479355 /nfs/dbraw/zinc/47/93/55/1131479355.db2.gz OQOGETWTOIXQHN-SECBINFHSA-N 1 2 275.289 3.865 20 0 CHADLO C[C@]1(C2CCCCC2)CCN1C(=O)c1cccc2[nH+]ccn21 ZINC000831201843 1131479456 /nfs/dbraw/zinc/47/94/56/1131479456.db2.gz LMXPCPOXZQMVBO-GOSISDBHSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2c(F)c(F)cc(F)c2F)[C@H]1C ZINC001138234296 1131480285 /nfs/dbraw/zinc/48/02/85/1131480285.db2.gz MJFFTGAHXZSCDQ-IUCAKERBSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)[C@H]1C ZINC001138234296 1131480286 /nfs/dbraw/zinc/48/02/86/1131480286.db2.gz MJFFTGAHXZSCDQ-IUCAKERBSA-N 1 2 275.289 3.863 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCCC2(CCCC2)CC1 ZINC000824841790 1131487379 /nfs/dbraw/zinc/48/73/79/1131487379.db2.gz NROFGMXWEBDJRS-UHFFFAOYSA-N 1 2 297.402 3.749 20 0 CHADLO CC(C)(C)c1[nH]c2ccccc2c1C[NH+]1C[C@@H](F)[C@H](F)C1 ZINC001138310090 1131488171 /nfs/dbraw/zinc/48/81/71/1131488171.db2.gz AZBOFRVAFDWVRD-ZIAGYGMSSA-N 1 2 292.373 3.957 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1cc(O)cc(O)c1 ZINC001138333001 1131492088 /nfs/dbraw/zinc/49/20/88/1131492088.db2.gz PBNWJZNJOWMHIR-NSHDSACASA-N 1 2 291.778 3.944 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1cc(O)cc(O)c1 ZINC001138333001 1131492090 /nfs/dbraw/zinc/49/20/90/1131492090.db2.gz PBNWJZNJOWMHIR-NSHDSACASA-N 1 2 291.778 3.944 20 0 CHADLO CCc1nc(C[NH2+]Cc2cnc(C3CCCC3)s2)co1 ZINC000825057820 1131497059 /nfs/dbraw/zinc/49/70/59/1131497059.db2.gz QGRWIMRDQGSEPK-UHFFFAOYSA-N 1 2 291.420 3.641 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2ccoc2)c(F)c1 ZINC000825215044 1131502390 /nfs/dbraw/zinc/50/23/90/1131502390.db2.gz DOZCNTNZPQCGFO-HNNXBMFYSA-N 1 2 263.287 3.895 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2ccoc2)c(F)c1 ZINC000825215044 1131502393 /nfs/dbraw/zinc/50/23/93/1131502393.db2.gz DOZCNTNZPQCGFO-HNNXBMFYSA-N 1 2 263.287 3.895 20 0 CHADLO Cc1c2ccccc2sc1C[N@@H+](C)Cc1ncccn1 ZINC001138459248 1131508124 /nfs/dbraw/zinc/50/81/24/1131508124.db2.gz QNRZBYVBFHKGLR-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1c2ccccc2sc1C[N@H+](C)Cc1ncccn1 ZINC001138459248 1131508128 /nfs/dbraw/zinc/50/81/28/1131508128.db2.gz QNRZBYVBFHKGLR-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cccc2[nH]c(C[N@@H+]3CCC[C@@H]3c3ncc[nH]3)cc21 ZINC000825513166 1131513922 /nfs/dbraw/zinc/51/39/22/1131513922.db2.gz CXSRQUGSHBBGEA-MRXNPFEDSA-N 1 2 280.375 3.537 20 0 CHADLO Cc1cccc2[nH]c(C[N@H+]3CCC[C@@H]3c3ncc[nH]3)cc21 ZINC000825513166 1131513923 /nfs/dbraw/zinc/51/39/23/1131513923.db2.gz CXSRQUGSHBBGEA-MRXNPFEDSA-N 1 2 280.375 3.537 20 0 CHADLO COc1ccc(F)c(C[NH+]2CC3(C2)CCCC3)c1Cl ZINC001143363769 1131516440 /nfs/dbraw/zinc/51/64/40/1131516440.db2.gz WIHIQHIETLIVHT-UHFFFAOYSA-N 1 2 283.774 3.864 20 0 CHADLO O=C(/C=C/C1CCCC1)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000843533030 1131518495 /nfs/dbraw/zinc/51/84/95/1131518495.db2.gz DSZITUFPGBHRAI-VMPITWQZSA-N 1 2 299.349 3.696 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c(F)c1Cl ZINC001143625942 1131520166 /nfs/dbraw/zinc/52/01/66/1131520166.db2.gz AGUWXSBXBJNBPR-ATZCPNFKSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c(F)c1Cl ZINC001143625942 1131520167 /nfs/dbraw/zinc/52/01/67/1131520167.db2.gz AGUWXSBXBJNBPR-ATZCPNFKSA-N 1 2 275.701 3.551 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(F)ccc2Cl)cn1 ZINC001138675389 1131536448 /nfs/dbraw/zinc/53/64/48/1131536448.db2.gz SWLCKSNLPXEFGX-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(F)ccc2Cl)cn1 ZINC001138675389 1131536450 /nfs/dbraw/zinc/53/64/50/1131536450.db2.gz SWLCKSNLPXEFGX-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[N@H+](Cc1cc(F)cnc1Cl)[C@@H]1CCc2ccccc21 ZINC001138898071 1131559256 /nfs/dbraw/zinc/55/92/56/1131559256.db2.gz SZUWHWIQWSGXHR-OAHLLOKOSA-N 1 2 290.769 3.993 20 0 CHADLO C[N@@H+](Cc1cc(F)cnc1Cl)[C@@H]1CCc2ccccc21 ZINC001138898071 1131559261 /nfs/dbraw/zinc/55/92/61/1131559261.db2.gz SZUWHWIQWSGXHR-OAHLLOKOSA-N 1 2 290.769 3.993 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(Cl)ccc(F)c2F)[C@H](C)C1 ZINC001143960889 1131560257 /nfs/dbraw/zinc/56/02/57/1131560257.db2.gz DERAPXPOIHUOKM-ZJUUUORDSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(Cl)ccc(F)c2F)[C@H](C)C1 ZINC001143960889 1131560261 /nfs/dbraw/zinc/56/02/61/1131560261.db2.gz DERAPXPOIHUOKM-ZJUUUORDSA-N 1 2 289.753 3.618 20 0 CHADLO FC1(F)C[C@]12CCC[N@H+](Cc1csc(C3CC3)n1)C2 ZINC000844441632 1131565781 /nfs/dbraw/zinc/56/57/81/1131565781.db2.gz PRYBXUNBSXTHIX-ZDUSSCGKSA-N 1 2 284.375 3.642 20 0 CHADLO FC1(F)C[C@]12CCC[N@@H+](Cc1csc(C3CC3)n1)C2 ZINC000844441632 1131565783 /nfs/dbraw/zinc/56/57/83/1131565783.db2.gz PRYBXUNBSXTHIX-ZDUSSCGKSA-N 1 2 284.375 3.642 20 0 CHADLO CO[C@H]1C[N@H+](Cc2ccc(-c3cccs3)s2)C[C@@H]1F ZINC001139016898 1131572105 /nfs/dbraw/zinc/57/21/05/1131572105.db2.gz FRNIIQDSCWNUIY-RYUDHWBXSA-N 1 2 297.420 3.645 20 0 CHADLO CO[C@H]1C[N@@H+](Cc2ccc(-c3cccs3)s2)C[C@@H]1F ZINC001139016898 1131572107 /nfs/dbraw/zinc/57/21/07/1131572107.db2.gz FRNIIQDSCWNUIY-RYUDHWBXSA-N 1 2 297.420 3.645 20 0 CHADLO C[C@H]1COCC[N@@H+]1Cc1ccc(-c2cccs2)s1 ZINC001139017391 1131572579 /nfs/dbraw/zinc/57/25/79/1131572579.db2.gz ONJCGUOHTYDCFF-NSHDSACASA-N 1 2 279.430 3.697 20 0 CHADLO FCC1CC[NH+](Cc2c(F)cc(Cl)cc2F)CC1 ZINC001139051381 1131575682 /nfs/dbraw/zinc/57/56/82/1131575682.db2.gz MHHCGVPXOCJYSI-UHFFFAOYSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2Oc2ccccc2)CCN1C ZINC001139054906 1131576859 /nfs/dbraw/zinc/57/68/59/1131576859.db2.gz GZZAKAVRYCSJGP-MRXNPFEDSA-N 1 2 296.414 3.615 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2Oc2ccccc2)CCN1C ZINC001139054906 1131576862 /nfs/dbraw/zinc/57/68/62/1131576862.db2.gz GZZAKAVRYCSJGP-MRXNPFEDSA-N 1 2 296.414 3.615 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1c(C)n[nH]c1C ZINC001139103025 1131581851 /nfs/dbraw/zinc/58/18/51/1131581851.db2.gz RWLRZDLWUKMRKX-KRWDZBQOSA-N 1 2 299.418 3.510 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1c(C)n[nH]c1C ZINC001139103025 1131581856 /nfs/dbraw/zinc/58/18/56/1131581856.db2.gz RWLRZDLWUKMRKX-KRWDZBQOSA-N 1 2 299.418 3.510 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1c(C)n[nH]c1C ZINC001139101010 1131582002 /nfs/dbraw/zinc/58/20/02/1131582002.db2.gz IQVHSRKJLNRRKT-WBVHZDCISA-N 1 2 293.455 3.790 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1c(C)n[nH]c1C ZINC001139101010 1131582005 /nfs/dbraw/zinc/58/20/05/1131582005.db2.gz IQVHSRKJLNRRKT-WBVHZDCISA-N 1 2 293.455 3.790 20 0 CHADLO CCCC(C)(C)C(=O)N(C)Cc1ccc(-n2cc[nH+]c2)cc1 ZINC001144114671 1131585391 /nfs/dbraw/zinc/58/53/91/1131585391.db2.gz CFHZBWGQMUKVRU-UHFFFAOYSA-N 1 2 299.418 3.657 20 0 CHADLO CN(c1ccc(Nc2cnoc2)c[nH+]1)C1CCCCC1 ZINC001212613412 1131589488 /nfs/dbraw/zinc/58/94/88/1131589488.db2.gz SFUZBBHBQATNKN-UHFFFAOYSA-N 1 2 272.352 3.582 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144134341 1131589921 /nfs/dbraw/zinc/58/99/21/1131589921.db2.gz LKFCXUSVYYGNQX-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144134341 1131589925 /nfs/dbraw/zinc/58/99/25/1131589925.db2.gz LKFCXUSVYYGNQX-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1cc(Cl)c(F)cc1F ZINC001144134406 1131590105 /nfs/dbraw/zinc/59/01/05/1131590105.db2.gz MLZNNUDGOQHUEB-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1cc(Cl)c(F)cc1F ZINC001144134406 1131590108 /nfs/dbraw/zinc/59/01/08/1131590108.db2.gz MLZNNUDGOQHUEB-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO CCCCc1nc(Cl)c(C[NH+]2CC3(C2)CCCC3)[nH]1 ZINC001139176563 1131591379 /nfs/dbraw/zinc/59/13/79/1131591379.db2.gz OPBJNTWZSGATJG-UHFFFAOYSA-N 1 2 281.831 3.782 20 0 CHADLO Cc1ncncc1Nc1ccc(N(C)C2CCCCC2)[nH+]c1 ZINC001212613500 1131594063 /nfs/dbraw/zinc/59/40/63/1131594063.db2.gz WZPJAYBVNCYEDM-UHFFFAOYSA-N 1 2 297.406 3.693 20 0 CHADLO Cn1ccc2cc(C[N@@H+]3Cc4cccc(F)c4C3)ccc21 ZINC001139190795 1131594274 /nfs/dbraw/zinc/59/42/74/1131594274.db2.gz XNHAMQNLSBAPRP-UHFFFAOYSA-N 1 2 280.346 3.833 20 0 CHADLO Cn1ccc2cc(C[N@H+]3Cc4cccc(F)c4C3)ccc21 ZINC001139190795 1131594279 /nfs/dbraw/zinc/59/42/79/1131594279.db2.gz XNHAMQNLSBAPRP-UHFFFAOYSA-N 1 2 280.346 3.833 20 0 CHADLO Brc1cscc1C[NH+]1CC2(C1)CCCC2 ZINC001139347810 1131603366 /nfs/dbraw/zinc/60/33/66/1131603366.db2.gz QBRKBJUBBZMYEI-UHFFFAOYSA-N 1 2 286.238 3.887 20 0 CHADLO CSC1CC[NH+](Cc2cc(Cl)cnc2Cl)CC1 ZINC001139355440 1131604235 /nfs/dbraw/zinc/60/42/35/1131604235.db2.gz UUZSXBVDYFMJTE-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO Clc1cnc(Cl)c(C[N@@H+]2CCCC23CC3)c1 ZINC001139354428 1131604383 /nfs/dbraw/zinc/60/43/83/1131604383.db2.gz TWGQSXKMRODKRN-UHFFFAOYSA-N 1 2 257.164 3.517 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1c(Cl)ccnc1Cl ZINC001139476408 1131610717 /nfs/dbraw/zinc/61/07/17/1131610717.db2.gz NFTRZFZQRRWNBY-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1c(Cl)ccnc1Cl ZINC001139476408 1131610720 /nfs/dbraw/zinc/61/07/20/1131610720.db2.gz NFTRZFZQRRWNBY-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO CC[N@H+](Cc1cc(Cl)ccn1)Cc1ccccc1F ZINC001139566307 1131616510 /nfs/dbraw/zinc/61/65/10/1131616510.db2.gz WPYFMHFMXHPLTO-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cc(Cl)ccn1)Cc1ccccc1F ZINC001139566307 1131616511 /nfs/dbraw/zinc/61/65/11/1131616511.db2.gz WPYFMHFMXHPLTO-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CCc1cccc(C[N@@H+]2CCc3ncc(Cl)cc3C2)c1 ZINC001144326629 1131618377 /nfs/dbraw/zinc/61/83/77/1131618377.db2.gz JXCQESNTGYGJIA-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cccc(C[N@H+]2CCc3ncc(Cl)cc3C2)c1 ZINC001144326629 1131618379 /nfs/dbraw/zinc/61/83/79/1131618379.db2.gz JXCQESNTGYGJIA-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCOc1ccc(C)cc1C[NH+]1CC(Oc2ccccc2)C1 ZINC001139604299 1131620864 /nfs/dbraw/zinc/62/08/64/1131620864.db2.gz KSJIBIHGBVZVNP-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ccc(O)cc2C(F)(F)F)C1 ZINC001144382476 1131623280 /nfs/dbraw/zinc/62/32/80/1131623280.db2.gz XLYZQULCOQTCLO-ZDUSSCGKSA-N 1 2 291.288 3.735 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ccc(O)cc2C(F)(F)F)C1 ZINC001144382476 1131623281 /nfs/dbraw/zinc/62/32/81/1131623281.db2.gz XLYZQULCOQTCLO-ZDUSSCGKSA-N 1 2 291.288 3.735 20 0 CHADLO CC[C@@H](COC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000845460906 1131636217 /nfs/dbraw/zinc/63/62/17/1131636217.db2.gz HJFUQFDAKJBGRS-CABCVRRESA-N 1 2 286.375 3.571 20 0 CHADLO CC[C@@H](COC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000845460907 1131636312 /nfs/dbraw/zinc/63/63/12/1131636312.db2.gz HJFUQFDAKJBGRS-GJZGRUSLSA-N 1 2 286.375 3.571 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccccc2C(F)F)C[C@@H]1F ZINC001139796489 1131636657 /nfs/dbraw/zinc/63/66/57/1131636657.db2.gz ZFEUKDXXRDCWBB-JSGCOSHPSA-N 1 2 275.289 3.896 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccccc2C(F)F)C[C@@H]1F ZINC001139796489 1131636658 /nfs/dbraw/zinc/63/66/58/1131636658.db2.gz ZFEUKDXXRDCWBB-JSGCOSHPSA-N 1 2 275.289 3.896 20 0 CHADLO COC(=O)C[N@H+](C)Cc1cccc2c3ccccc3sc21 ZINC001139844441 1131641138 /nfs/dbraw/zinc/64/11/38/1131641138.db2.gz YJBIRVOMVQHNIR-UHFFFAOYSA-N 1 2 299.395 3.659 20 0 CHADLO COC(=O)C[N@@H+](C)Cc1cccc2c3ccccc3sc21 ZINC001139844441 1131641142 /nfs/dbraw/zinc/64/11/42/1131641142.db2.gz YJBIRVOMVQHNIR-UHFFFAOYSA-N 1 2 299.395 3.659 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001139888213 1131646556 /nfs/dbraw/zinc/64/65/56/1131646556.db2.gz MEGYJIIDKKMEAL-MFKMUULPSA-N 1 2 275.289 3.946 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001139888213 1131646557 /nfs/dbraw/zinc/64/65/57/1131646557.db2.gz MEGYJIIDKKMEAL-MFKMUULPSA-N 1 2 275.289 3.946 20 0 CHADLO Cc1cc(NCC[C@]2(C)C[C@@]2(F)Cl)nc(C2CC2)[nH+]1 ZINC000845648527 1131649993 /nfs/dbraw/zinc/64/99/93/1131649993.db2.gz BVPSPCOMNUSXAA-KGLIPLIRSA-N 1 2 283.778 3.779 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2sc(Cl)nc2Cl)CC1 ZINC001139954064 1131653010 /nfs/dbraw/zinc/65/30/10/1131653010.db2.gz SAEHDVITDHETSK-ZETCQYMHSA-N 1 2 283.199 3.774 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2sc(Cl)nc2Cl)CC1 ZINC001139954064 1131653012 /nfs/dbraw/zinc/65/30/12/1131653012.db2.gz SAEHDVITDHETSK-ZETCQYMHSA-N 1 2 283.199 3.774 20 0 CHADLO Clc1ccc([C@H]2CCC[N@@H+]2Cc2ccnnc2)s1 ZINC001139984001 1131653436 /nfs/dbraw/zinc/65/34/36/1131653436.db2.gz RJIWVJDAKJRGNW-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@H]2CCC[N@H+]2Cc2ccnnc2)s1 ZINC001139984001 1131653438 /nfs/dbraw/zinc/65/34/38/1131653438.db2.gz RJIWVJDAKJRGNW-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Oc1cc(F)c(C[N@H+](Cc2ccccc2)C2CC2)c(F)c1 ZINC001144559931 1131659184 /nfs/dbraw/zinc/65/91/84/1131659184.db2.gz SEAGLWUMXKZQFX-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Oc1cc(F)c(C[N@@H+](Cc2ccccc2)C2CC2)c(F)c1 ZINC001144559931 1131659187 /nfs/dbraw/zinc/65/91/87/1131659187.db2.gz SEAGLWUMXKZQFX-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO C[N@H+](Cc1ccccc1F)Cc1ccc(O)cc1Cl ZINC001140085690 1131662782 /nfs/dbraw/zinc/66/27/82/1131662782.db2.gz VLQNYVKTOPOBNX-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccccc1F)Cc1ccc(O)cc1Cl ZINC001140085690 1131662784 /nfs/dbraw/zinc/66/27/84/1131662784.db2.gz VLQNYVKTOPOBNX-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO CCN(Cc1[nH+]cn2ccccc12)Cc1ccc(C)cc1 ZINC001144627242 1131667899 /nfs/dbraw/zinc/66/78/99/1131667899.db2.gz KLQORRITQUCPGH-UHFFFAOYSA-N 1 2 279.387 3.665 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@@H+]1Cc1ncn2ccccc12 ZINC001144622214 1131669420 /nfs/dbraw/zinc/66/94/20/1131669420.db2.gz GNXASHSKWPEMIF-KRWDZBQOSA-N 1 2 295.361 3.811 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@H+]1Cc1ncn2ccccc12 ZINC001144622214 1131669421 /nfs/dbraw/zinc/66/94/21/1131669421.db2.gz GNXASHSKWPEMIF-KRWDZBQOSA-N 1 2 295.361 3.811 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3ccc(F)cc3C2)c(C)cc1O ZINC001140201196 1131676168 /nfs/dbraw/zinc/67/61/68/1131676168.db2.gz GUEAPKREYXECST-UHFFFAOYSA-N 1 2 271.335 3.664 20 0 CHADLO Cc1cc(C[N@H+]2Cc3ccc(F)cc3C2)c(C)cc1O ZINC001140201196 1131676169 /nfs/dbraw/zinc/67/61/69/1131676169.db2.gz GUEAPKREYXECST-UHFFFAOYSA-N 1 2 271.335 3.664 20 0 CHADLO COc1ccc(Nc2[nH+]cc(O)cc2C)cc1OC(C)C ZINC001203011864 1131677119 /nfs/dbraw/zinc/67/71/19/1131677119.db2.gz ACIVFGMXRVZXPE-UHFFFAOYSA-N 1 2 288.347 3.635 20 0 CHADLO FC(F)SCc1ccc(C[N@@H+]2CCC[C@@H](F)C2)o1 ZINC000846120534 1131688703 /nfs/dbraw/zinc/68/87/03/1131688703.db2.gz RKSYFRZJWWKRBC-SECBINFHSA-N 1 2 279.327 3.669 20 0 CHADLO FC(F)SCc1ccc(C[N@H+]2CCC[C@@H](F)C2)o1 ZINC000846120534 1131688705 /nfs/dbraw/zinc/68/87/05/1131688705.db2.gz RKSYFRZJWWKRBC-SECBINFHSA-N 1 2 279.327 3.669 20 0 CHADLO Cc1ccc2n[nH]c(C[N@@H+]3CCc4ccc(F)cc4C3)c2c1 ZINC001140330140 1131699078 /nfs/dbraw/zinc/69/90/78/1131699078.db2.gz NSEGTHFATGLJMB-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1ccc2n[nH]c(C[N@H+]3CCc4ccc(F)cc4C3)c2c1 ZINC001140330140 1131699080 /nfs/dbraw/zinc/69/90/80/1131699080.db2.gz NSEGTHFATGLJMB-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(-c2ccccc2)[nH]1)c1ccsc1 ZINC000834742000 1131699468 /nfs/dbraw/zinc/69/94/68/1131699468.db2.gz WIOAKUXCNVDQKB-AWEZNQCLSA-N 1 2 298.415 3.774 20 0 CHADLO Cc1ccc2n[nH]c(C[N@@H+](C)Cc3ccccc3F)c2c1 ZINC001140331134 1131699994 /nfs/dbraw/zinc/69/99/94/1131699994.db2.gz XCOZCPAUDGNRAH-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO Cc1ccc2n[nH]c(C[N@H+](C)Cc3ccccc3F)c2c1 ZINC001140331134 1131699997 /nfs/dbraw/zinc/69/99/97/1131699997.db2.gz XCOZCPAUDGNRAH-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCc3cc(C)ccc32)c1 ZINC000846211788 1131703469 /nfs/dbraw/zinc/70/34/69/1131703469.db2.gz GIONDDSRMQCXCC-MRXNPFEDSA-N 1 2 268.360 3.636 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cc(F)cnc1Cl)c1ccccn1 ZINC000834841556 1131711437 /nfs/dbraw/zinc/71/14/37/1131711437.db2.gz WWUCFJCJUUYMGT-CYBMUJFWSA-N 1 2 293.773 3.900 20 0 CHADLO Fc1c(Cl)cccc1Nc1cccc2[nH+]ccn21 ZINC001203032740 1131714523 /nfs/dbraw/zinc/71/45/23/1131714523.db2.gz UXICSIFCSFVZTJ-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CCc1nc(C[NH2+][C@H](c2ccc(C)o2)C2CCC2)co1 ZINC000834901005 1131725870 /nfs/dbraw/zinc/72/58/70/1131725870.db2.gz YLDFXKOYTRZDSX-INIZCTEOSA-N 1 2 274.364 3.769 20 0 CHADLO CC(C)c1ccc(C(C)(C)[NH2+]Cc2cnsn2)cc1 ZINC000846824181 1131739359 /nfs/dbraw/zinc/73/93/59/1131739359.db2.gz JRQIHYCXIZBXDH-UHFFFAOYSA-N 1 2 275.421 3.686 20 0 CHADLO CCc1ccc([C@@H]([NH2+]Cc2cnsn2)C(C)C)cc1 ZINC000846818076 1131746750 /nfs/dbraw/zinc/74/67/50/1131746750.db2.gz QNDUFYXNJYWYSI-HNNXBMFYSA-N 1 2 275.421 3.587 20 0 CHADLO Clc1ccc([C@H]([NH2+]Cc2cnsn2)C2CCC2)cc1 ZINC000846845561 1131749512 /nfs/dbraw/zinc/74/95/12/1131749512.db2.gz YNADFIMFSLJKGD-CQSZACIVSA-N 1 2 293.823 3.823 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cnc(Cl)c(F)c2)cs1 ZINC000846854472 1131750939 /nfs/dbraw/zinc/75/09/39/1131750939.db2.gz HYOXEOLDWATXOD-QMMMGPOBSA-N 1 2 299.802 3.744 20 0 CHADLO Fc1cc(C[N@@H+]2CC[C@H](CC(F)(F)F)C2)cnc1Cl ZINC000846875699 1131753809 /nfs/dbraw/zinc/75/38/09/1131753809.db2.gz IWALQDBQNNZBOV-MRVPVSSYSA-N 1 2 296.695 3.648 20 0 CHADLO Fc1cc(C[N@H+]2CC[C@H](CC(F)(F)F)C2)cnc1Cl ZINC000846875699 1131753810 /nfs/dbraw/zinc/75/38/10/1131753810.db2.gz IWALQDBQNNZBOV-MRVPVSSYSA-N 1 2 296.695 3.648 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2cnsn2)C2CC2)cc1Cl ZINC000846952227 1131764413 /nfs/dbraw/zinc/76/44/13/1131764413.db2.gz WMZIPLGTRXKOMT-CYBMUJFWSA-N 1 2 297.786 3.572 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccnn1-c1ccccc1)C2 ZINC001140524861 1131779519 /nfs/dbraw/zinc/77/95/19/1131779519.db2.gz ZDLHOHDWQKKDOH-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccnn1-c1ccccc1)C2 ZINC001140524861 1131779521 /nfs/dbraw/zinc/77/95/21/1131779521.db2.gz ZDLHOHDWQKKDOH-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO COCc1cc[nH+]c(NCc2nccc(Cl)c2Cl)c1 ZINC001160927254 1131784940 /nfs/dbraw/zinc/78/49/40/1131784940.db2.gz NEWZDPOEYGWRFP-UHFFFAOYSA-N 1 2 298.173 3.542 20 0 CHADLO Fc1cccc(C[N@H+]2CCCC[C@@H](F)C2)c1Cl ZINC001140560355 1131793120 /nfs/dbraw/zinc/79/31/20/1131793120.db2.gz ZBEGQYIIFNWMBC-LLVKDONJSA-N 1 2 259.727 3.803 20 0 CHADLO Fc1cccc(C[N@@H+]2CCCC[C@@H](F)C2)c1Cl ZINC001140560355 1131793125 /nfs/dbraw/zinc/79/31/25/1131793125.db2.gz ZBEGQYIIFNWMBC-LLVKDONJSA-N 1 2 259.727 3.803 20 0 CHADLO C[C@@H]1CC[C@@H](CO)C[N@@H+]1Cc1cc(Cl)sc1Cl ZINC001140585063 1131809375 /nfs/dbraw/zinc/80/93/75/1131809375.db2.gz QUEWZWBFAMITTN-RKDXNWHRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1CC[C@@H](CO)C[N@H+]1Cc1cc(Cl)sc1Cl ZINC001140585063 1131809384 /nfs/dbraw/zinc/80/93/84/1131809384.db2.gz QUEWZWBFAMITTN-RKDXNWHRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1CC[C@@H](CO)C[N@@H+]1Cc1cc(Cl)sc1Cl ZINC001140585061 1131809518 /nfs/dbraw/zinc/80/95/18/1131809518.db2.gz QUEWZWBFAMITTN-DTWKUNHWSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1CC[C@@H](CO)C[N@H+]1Cc1cc(Cl)sc1Cl ZINC001140585061 1131809525 /nfs/dbraw/zinc/80/95/25/1131809525.db2.gz QUEWZWBFAMITTN-DTWKUNHWSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Cl)ncc2F)CCC1(F)F ZINC001140606079 1131825451 /nfs/dbraw/zinc/82/54/51/1131825451.db2.gz WASPLHUBHDAJFF-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Cl)ncc2F)CCC1(F)F ZINC001140606079 1131825455 /nfs/dbraw/zinc/82/54/55/1131825455.db2.gz WASPLHUBHDAJFF-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO CC1(C)CCC[C@@H]1OC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835391886 1131831375 /nfs/dbraw/zinc/83/13/75/1131831375.db2.gz KYSHMSXPVLXNKO-INIZCTEOSA-N 1 2 298.386 3.667 20 0 CHADLO Cc1ccc(Br)cc1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001140646803 1131845241 /nfs/dbraw/zinc/84/52/41/1131845241.db2.gz MLNPYXPFKGOOOR-MFKMUULPSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1ccc(Br)cc1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001140646803 1131845245 /nfs/dbraw/zinc/84/52/45/1131845245.db2.gz MLNPYXPFKGOOOR-MFKMUULPSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1ccc(Br)cc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001140647382 1131845397 /nfs/dbraw/zinc/84/53/97/1131845397.db2.gz YIWVNGVZEYYLIG-FPMFFAJLSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1ccc(Br)cc1C[N@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001140647382 1131845401 /nfs/dbraw/zinc/84/54/01/1131845401.db2.gz YIWVNGVZEYYLIG-FPMFFAJLSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1[nH]c2ccc(OC3CCC(F)(F)CC3)cc2[nH+]1 ZINC001228326306 1131859601 /nfs/dbraw/zinc/85/96/01/1131859601.db2.gz GWBJRCQHOJOWLL-UHFFFAOYSA-N 1 2 266.291 3.828 20 0 CHADLO Cc1[nH]c2ccc(O[C@H]3CCc4ccccc43)cc2[nH+]1 ZINC001228327990 1131860395 /nfs/dbraw/zinc/86/03/95/1131860395.db2.gz YYNVRXCWAYNIKF-KRWDZBQOSA-N 1 2 264.328 3.938 20 0 CHADLO Fc1cc(C[NH+]2C3CCC2CC3)c(F)cc1Cl ZINC001140701394 1131881511 /nfs/dbraw/zinc/88/15/11/1131881511.db2.gz LFQBAZAIIIDQDE-UHFFFAOYSA-N 1 2 257.711 3.745 20 0 CHADLO Fc1cc(C[N@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c(F)cc1Cl ZINC001140701239 1131882749 /nfs/dbraw/zinc/88/27/49/1131882749.db2.gz DQGFOUFPAMSBLB-OVYXKVPISA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c(F)cc1Cl ZINC001140701239 1131882759 /nfs/dbraw/zinc/88/27/59/1131882759.db2.gz DQGFOUFPAMSBLB-OVYXKVPISA-N 1 2 275.701 3.551 20 0 CHADLO Nc1ccc(Cl)cc1C[N@@H+]1CCc2c(F)cccc2C1 ZINC001140717959 1131893394 /nfs/dbraw/zinc/89/33/94/1131893394.db2.gz PMITZOZQBNZJCY-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(Cl)cc1C[N@H+]1CCc2c(F)cccc2C1 ZINC001140717959 1131893402 /nfs/dbraw/zinc/89/34/02/1131893402.db2.gz PMITZOZQBNZJCY-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO CCc1cc(OC)ccc1NC(=[NH2+])c1cccnc1Cl ZINC001171349082 1131909117 /nfs/dbraw/zinc/90/91/17/1131909117.db2.gz SXBVBTNIHZRYBF-UHFFFAOYSA-N 1 2 289.766 3.743 20 0 CHADLO CCOc1ccc(NC(=[NH2+])c2cccnc2Cl)cc1 ZINC001171348011 1131910988 /nfs/dbraw/zinc/91/09/88/1131910988.db2.gz BEKHMLNLFMIFSY-UHFFFAOYSA-N 1 2 275.739 3.571 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)c(Cl)c1 ZINC001140796466 1131920997 /nfs/dbraw/zinc/92/09/97/1131920997.db2.gz JKDYKMYYHULTHL-ZBFHGGJFSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)c(Cl)c1 ZINC001140796466 1131921000 /nfs/dbraw/zinc/92/10/00/1131921000.db2.gz JKDYKMYYHULTHL-ZBFHGGJFSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)Cc2nc3ccccc3s2)c1C ZINC001140858021 1131949242 /nfs/dbraw/zinc/94/92/42/1131949242.db2.gz FCYPRMIYXYWVJG-UHFFFAOYSA-N 1 2 285.416 3.873 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)Cc2nc3ccccc3s2)c1C ZINC001140858021 1131949247 /nfs/dbraw/zinc/94/92/47/1131949247.db2.gz FCYPRMIYXYWVJG-UHFFFAOYSA-N 1 2 285.416 3.873 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1ncco1 ZINC001141011058 1131984397 /nfs/dbraw/zinc/98/43/97/1131984397.db2.gz NIPMZZNYBQOYNG-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1ncco1 ZINC001141011058 1131984405 /nfs/dbraw/zinc/98/44/05/1131984405.db2.gz NIPMZZNYBQOYNG-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@@H](C)c2ccns2)n1 ZINC000715974881 1132006613 /nfs/dbraw/zinc/00/66/13/1132006613.db2.gz GQKWMVSCXYPUNM-NSHDSACASA-N 1 2 278.425 3.552 20 0 CHADLO O=C(c1ccc(-n2cc[nH+]c2)cc1)N1CCCCCCCC1 ZINC000836924432 1132012789 /nfs/dbraw/zinc/01/27/89/1132012789.db2.gz NIWURGFNWCEOGP-UHFFFAOYSA-N 1 2 297.402 3.669 20 0 CHADLO FC(F)(F)c1ccc(C(F)(F)F)c(C[NH+]2CCC2)c1 ZINC001141105779 1132020077 /nfs/dbraw/zinc/02/00/77/1132020077.db2.gz DHKQOZLSYDNVJE-UHFFFAOYSA-N 1 2 283.215 3.930 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1ncc(Cl)nc1Cl ZINC001141132166 1132025321 /nfs/dbraw/zinc/02/53/21/1132025321.db2.gz XNJGEVIUNXONTP-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1ncc(Cl)nc1Cl ZINC001141132166 1132025327 /nfs/dbraw/zinc/02/53/27/1132025327.db2.gz XNJGEVIUNXONTP-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO Clc1cnc(C[NH+]2CCC3(CCC3)CC2)c(Cl)n1 ZINC001141133041 1132025506 /nfs/dbraw/zinc/02/55/06/1132025506.db2.gz IGBQCLBKOXMRQH-UHFFFAOYSA-N 1 2 286.206 3.550 20 0 CHADLO CCCC1CC[NH+](Cc2ncc(Cl)nc2Cl)CC1 ZINC001141136673 1132026363 /nfs/dbraw/zinc/02/63/63/1132026363.db2.gz KCMHHRFORQFOFG-UHFFFAOYSA-N 1 2 288.222 3.796 20 0 CHADLO c1cc2cc(NCC3(c4ccccc4)CC3)[nH+]cc2[nH]1 ZINC001171457556 1132033699 /nfs/dbraw/zinc/03/36/99/1132033699.db2.gz GWLHPLCFFZYDIK-UHFFFAOYSA-N 1 2 263.344 3.707 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1cccc2ncoc21 ZINC001171458309 1132035676 /nfs/dbraw/zinc/03/56/76/1132035676.db2.gz VBMLHUZTRVJFEM-UHFFFAOYSA-N 1 2 283.331 3.622 20 0 CHADLO CC1(C)CCc2cc(C[N@@H+]3CCn4cccc4C3)ccc2O1 ZINC001141183415 1132036460 /nfs/dbraw/zinc/03/64/60/1132036460.db2.gz FQOLDOATQAQUOW-UHFFFAOYSA-N 1 2 296.414 3.608 20 0 CHADLO CC1(C)CCc2cc(C[N@H+]3CCn4cccc4C3)ccc2O1 ZINC001141183415 1132036467 /nfs/dbraw/zinc/03/64/67/1132036467.db2.gz FQOLDOATQAQUOW-UHFFFAOYSA-N 1 2 296.414 3.608 20 0 CHADLO COc1c[nH+]c(NCC2(c3ccccc3)CC2)c2[nH]ccc21 ZINC001171461865 1132036447 /nfs/dbraw/zinc/03/64/47/1132036447.db2.gz QRLJNPSAAIVCTF-UHFFFAOYSA-N 1 2 293.370 3.715 20 0 CHADLO CCCCCC[C@@H](C)C(=O)NCc1c[nH+]c(C)cc1C ZINC000837104815 1132038669 /nfs/dbraw/zinc/03/86/69/1132038669.db2.gz LRAMJBXFXQYVAI-CYBMUJFWSA-N 1 2 276.424 3.921 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccc(F)cc3C2)c(F)c1 ZINC001141196251 1132042537 /nfs/dbraw/zinc/04/25/37/1132042537.db2.gz NPKMAOYRXUZWSM-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccc(F)cc3C2)c(F)c1 ZINC001141196251 1132042541 /nfs/dbraw/zinc/04/25/41/1132042541.db2.gz NPKMAOYRXUZWSM-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1cc(C[N@H+](C)CC(=O)c2ccccc2)ccc1Cl ZINC001141236110 1132053494 /nfs/dbraw/zinc/05/34/94/1132053494.db2.gz JTSMUNRPPLLGND-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO Cc1cc(C[N@@H+](C)CC(=O)c2ccccc2)ccc1Cl ZINC001141236110 1132053500 /nfs/dbraw/zinc/05/35/00/1132053500.db2.gz JTSMUNRPPLLGND-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO C[C@@H]([NH2+][C@@H](Cc1ccccc1)c1ncco1)c1ccncc1 ZINC001171567547 1132057778 /nfs/dbraw/zinc/05/77/78/1132057778.db2.gz LZPCEVHPEQRBGP-PBHICJAKSA-N 1 2 293.370 3.704 20 0 CHADLO CC(C)CCCCC(=O)N[C@H](Cn1cc[nH+]c1)C(C)(C)C ZINC000837358902 1132069888 /nfs/dbraw/zinc/06/98/88/1132069888.db2.gz CMASLHZFVYFDFF-OAHLLOKOSA-N 1 2 293.455 3.630 20 0 CHADLO CCCCCOC(=O)C[NH2+][C@@H](C)CCc1ccc(C)cc1 ZINC001171666411 1132072492 /nfs/dbraw/zinc/07/24/92/1132072492.db2.gz WFYQTMIRDNXEHU-INIZCTEOSA-N 1 2 291.435 3.639 20 0 CHADLO Cc1ccc(CC[C@@H](C)[NH+]2CC(F)(C3CC3)C2)cc1 ZINC001171671471 1132075124 /nfs/dbraw/zinc/07/51/24/1132075124.db2.gz PTOZYEMMPZTMEV-CQSZACIVSA-N 1 2 261.384 3.750 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(F)c(Cl)c2)[C@@H](CC)CO1 ZINC001171778578 1132095142 /nfs/dbraw/zinc/09/51/42/1132095142.db2.gz NYWWWWRXAFNSCM-QWHCGFSZSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(F)c(Cl)c2)[C@@H](CC)CO1 ZINC001171778578 1132095148 /nfs/dbraw/zinc/09/51/48/1132095148.db2.gz NYWWWWRXAFNSCM-QWHCGFSZSA-N 1 2 285.790 3.869 20 0 CHADLO CC(C)c1cccc(O)c1OC1C[NH+](Cc2ccccc2)C1 ZINC001229706934 1132108115 /nfs/dbraw/zinc/10/81/15/1132108115.db2.gz GPULOKYVULGRGV-UHFFFAOYSA-N 1 2 297.398 3.779 20 0 CHADLO Cc1ccc(/C=C/c2ccc(Cn3cc[nH+]c3)cn2)cc1 ZINC001206152946 1132115477 /nfs/dbraw/zinc/11/54/77/1132115477.db2.gz HQNLJEOOTUOBNE-SOFGYWHQSA-N 1 2 275.355 3.805 20 0 CHADLO Cc1nc(C(F)(F)F)ccc1C[NH+]1Cc2ccccc2C1 ZINC001141421249 1132120279 /nfs/dbraw/zinc/12/02/79/1132120279.db2.gz CCGAURDVOANMLX-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO CC(=O)[C@@H](Oc1ccc(-n2cc[nH+]c2)cc1)c1ccccc1 ZINC001229954001 1132135551 /nfs/dbraw/zinc/13/55/51/1132135551.db2.gz HINFGOCLWMRCFX-GOSISDBHSA-N 1 2 292.338 3.581 20 0 CHADLO C[C@H](Oc1ccc(-n2cc[nH+]c2)cc1)C1CCCC1 ZINC001229954029 1132135645 /nfs/dbraw/zinc/13/56/45/1132135645.db2.gz IIHQOBXHKYDKHR-ZDUSSCGKSA-N 1 2 256.349 3.830 20 0 CHADLO CCCC[N@H+](Cc1cnn(CC)n1)[C@H](C)c1ccc(C)o1 ZINC000838796912 1132146971 /nfs/dbraw/zinc/14/69/71/1132146971.db2.gz LGDHENVMRFVKLI-CQSZACIVSA-N 1 2 290.411 3.563 20 0 CHADLO CCCC[N@@H+](Cc1cnn(CC)n1)[C@H](C)c1ccc(C)o1 ZINC000838796912 1132146976 /nfs/dbraw/zinc/14/69/76/1132146976.db2.gz LGDHENVMRFVKLI-CQSZACIVSA-N 1 2 290.411 3.563 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@@H+]1CC[C@@H](CF)C(F)(F)C1 ZINC001172341505 1132178418 /nfs/dbraw/zinc/17/84/18/1132178418.db2.gz UPNFTSLWQJIKDL-AVGNSLFASA-N 1 2 281.362 3.507 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@H+]1CC[C@@H](CF)C(F)(F)C1 ZINC001172341505 1132178422 /nfs/dbraw/zinc/17/84/22/1132178422.db2.gz UPNFTSLWQJIKDL-AVGNSLFASA-N 1 2 281.362 3.507 20 0 CHADLO CCCCn1nc(C)c(C[NH+]2CC3(CC(F)C3)C2)c1Cl ZINC000839139390 1132184707 /nfs/dbraw/zinc/18/47/07/1132184707.db2.gz FPXVHPDGYCHWGS-UHFFFAOYSA-N 1 2 299.821 3.579 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cc3cccnc3cc2F)C[C@H]1F ZINC001141623387 1132213728 /nfs/dbraw/zinc/21/37/28/1132213728.db2.gz FPXKPSNLJCWOKW-CVEARBPZSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cc3cccnc3cc2F)C[C@H]1F ZINC001141623387 1132213734 /nfs/dbraw/zinc/21/37/34/1132213734.db2.gz FPXKPSNLJCWOKW-CVEARBPZSA-N 1 2 294.320 3.646 20 0 CHADLO CC(C)COCC[C@@H](C)[N@@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001172474659 1132225786 /nfs/dbraw/zinc/22/57/86/1132225786.db2.gz UYZCDXBNICIFCI-CHWSQXEVSA-N 1 2 281.362 3.507 20 0 CHADLO CC(C)COCC[C@@H](C)[N@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001172474659 1132225790 /nfs/dbraw/zinc/22/57/90/1132225790.db2.gz UYZCDXBNICIFCI-CHWSQXEVSA-N 1 2 281.362 3.507 20 0 CHADLO Oc1cc2c(cc1O[C@H]1CCCc3ccccc31)CC[NH+]=C2 ZINC001230920868 1132227863 /nfs/dbraw/zinc/22/78/63/1132227863.db2.gz WPEHJEWVPRYOLO-SFHVURJKSA-N 1 2 293.366 3.824 20 0 CHADLO CCCCC[C@H](C)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230919622 1132228143 /nfs/dbraw/zinc/22/81/43/1132228143.db2.gz FVRIWZRZCAECFA-LBPRGKRZSA-N 1 2 261.365 3.715 20 0 CHADLO COc1ccc([C@H](C)Oc2cc3c(cc2O)C=[NH+]CC3)cc1 ZINC001230920917 1132228278 /nfs/dbraw/zinc/22/82/78/1132228278.db2.gz XNQLRWWJNOBUEJ-LBPRGKRZSA-N 1 2 297.354 3.516 20 0 CHADLO CC/C=C(\C)[C@H](CC)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230921427 1132228856 /nfs/dbraw/zinc/22/88/56/1132228856.db2.gz MVWMPBFVUUOWLE-JCSUNJAGSA-N 1 2 273.376 3.881 20 0 CHADLO S=c1sc(C2CC2)nn1C[N@@H+]1CC[C@@H](C2CCC2)C1 ZINC000840187653 1132248892 /nfs/dbraw/zinc/24/88/92/1132248892.db2.gz DLIBYIMIQFOHPO-GFCCVEGCSA-N 1 2 295.477 3.631 20 0 CHADLO S=c1sc(C2CC2)nn1C[N@H+]1CC[C@@H](C2CCC2)C1 ZINC000840187653 1132248896 /nfs/dbraw/zinc/24/88/96/1132248896.db2.gz DLIBYIMIQFOHPO-GFCCVEGCSA-N 1 2 295.477 3.631 20 0 CHADLO CCCn1c(C)nn(C[N@H+](CC)C2CC(C)(C)C2)c1=S ZINC000840184007 1132248976 /nfs/dbraw/zinc/24/89/76/1132248976.db2.gz KSPJPGNUAPYPKZ-UHFFFAOYSA-N 1 2 296.484 3.601 20 0 CHADLO CCCn1c(C)nn(C[N@@H+](CC)C2CC(C)(C)C2)c1=S ZINC000840184007 1132248980 /nfs/dbraw/zinc/24/89/80/1132248980.db2.gz KSPJPGNUAPYPKZ-UHFFFAOYSA-N 1 2 296.484 3.601 20 0 CHADLO C[C@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1nccs1 ZINC001231083176 1132252578 /nfs/dbraw/zinc/25/25/78/1132252578.db2.gz DOEZTGRXXYWDRN-NSHDSACASA-N 1 2 285.372 3.684 20 0 CHADLO F[C@H]1CCCC[C@@H]1Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231081798 1132253970 /nfs/dbraw/zinc/25/39/70/1132253970.db2.gz NNAHZRNXEXCJIL-KBPBESRZSA-N 1 2 260.312 3.736 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@@H]2CCc3cccnc32)cc1 ZINC001231084200 1132254375 /nfs/dbraw/zinc/25/43/75/1132254375.db2.gz ZPCMPJZMYILUPB-QGZVFWFLSA-N 1 2 291.354 3.548 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@H]2CCC(=O)CC2(C)C)cc1 ZINC001231083909 1132254743 /nfs/dbraw/zinc/25/47/43/1132254743.db2.gz PCCSIYBUMRLBHY-KRWDZBQOSA-N 1 2 298.386 3.614 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2N[C@H](C1CC1)C1CCC1 ZINC000840319943 1132255548 /nfs/dbraw/zinc/25/55/48/1132255548.db2.gz QANITCKFJGNJCH-AWEZNQCLSA-N 1 2 299.422 3.572 20 0 CHADLO FC1(F)COCC[N@@H+]([C@H]2CCC[C@@H](c3ccccc3)C2)C1 ZINC001172546428 1132278618 /nfs/dbraw/zinc/27/86/18/1132278618.db2.gz PRDWENSUVCZSSB-CVEARBPZSA-N 1 2 295.373 3.680 20 0 CHADLO FC1(F)COCC[N@H+]([C@H]2CCC[C@@H](c3ccccc3)C2)C1 ZINC001172546428 1132278621 /nfs/dbraw/zinc/27/86/21/1132278621.db2.gz PRDWENSUVCZSSB-CVEARBPZSA-N 1 2 295.373 3.680 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2Cc3cccnc3C2)cc1C ZINC001231482106 1132299605 /nfs/dbraw/zinc/29/96/05/1132299605.db2.gz DMDCLOSDNNECRB-UHFFFAOYSA-N 1 2 252.361 3.523 20 0 CHADLO Cc1cc(C)c(C[N@H+]2Cc3cccnc3C2)cc1C ZINC001231482106 1132299611 /nfs/dbraw/zinc/29/96/11/1132299611.db2.gz DMDCLOSDNNECRB-UHFFFAOYSA-N 1 2 252.361 3.523 20 0 CHADLO Cc1c(C)c(C)c(C[N@@H+]2CCC[C@@H](F)C(=O)C2)c(C)c1C ZINC001231492402 1132302646 /nfs/dbraw/zinc/30/26/46/1132302646.db2.gz JDXOZBVSYUSRTN-QGZVFWFLSA-N 1 2 291.410 3.732 20 0 CHADLO Cc1c(C)c(C)c(C[N@H+]2CCC[C@@H](F)C(=O)C2)c(C)c1C ZINC001231492402 1132302652 /nfs/dbraw/zinc/30/26/52/1132302652.db2.gz JDXOZBVSYUSRTN-QGZVFWFLSA-N 1 2 291.410 3.732 20 0 CHADLO Cc1c(C)c(C)c(C[N@@H+]2Cc3cncnc3C2)c(C)c1C ZINC001231492086 1132303639 /nfs/dbraw/zinc/30/36/39/1132303639.db2.gz HDEHGJGVIGOFTB-UHFFFAOYSA-N 1 2 281.403 3.535 20 0 CHADLO Cc1c(C)c(C)c(C[N@H+]2Cc3cncnc3C2)c(C)c1C ZINC001231492086 1132303643 /nfs/dbraw/zinc/30/36/43/1132303643.db2.gz HDEHGJGVIGOFTB-UHFFFAOYSA-N 1 2 281.403 3.535 20 0 CHADLO C[C@@H](CSc1ccc(Cl)cc1)[NH2+]CC(F)F ZINC001172871339 1132352284 /nfs/dbraw/zinc/35/22/84/1132352284.db2.gz NWFMKIXZJMCKCV-QMMMGPOBSA-N 1 2 265.756 3.675 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1c[nH]c3cnccc13)C2 ZINC001231520353 1132314034 /nfs/dbraw/zinc/31/40/34/1132314034.db2.gz VNPCNMGKEUIVEP-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1c[nH]c3cnccc13)C2 ZINC001231520353 1132314040 /nfs/dbraw/zinc/31/40/40/1132314040.db2.gz VNPCNMGKEUIVEP-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Cc1ccc2c(c1)C[C@@H]([N@@H+]1CCCC(F)(F)C1)CC2 ZINC001172828534 1132323358 /nfs/dbraw/zinc/32/33/58/1132323358.db2.gz VASDREBFJVGHLN-HNNXBMFYSA-N 1 2 265.347 3.583 20 0 CHADLO Cc1ccc2c(c1)C[C@@H]([N@H+]1CCCC(F)(F)C1)CC2 ZINC001172828534 1132323364 /nfs/dbraw/zinc/32/33/64/1132323364.db2.gz VASDREBFJVGHLN-HNNXBMFYSA-N 1 2 265.347 3.583 20 0 CHADLO Oc1cc(Cl)c(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c(Cl)c1 ZINC001142118368 1132328337 /nfs/dbraw/zinc/32/83/37/1132328337.db2.gz IPUWIAWZIZMZIF-OQPBUACISA-N 1 2 272.175 3.683 20 0 CHADLO Oc1cc(Cl)c(C[N@H+]2CCC[C@@H]3C[C@@H]32)c(Cl)c1 ZINC001142118368 1132328345 /nfs/dbraw/zinc/32/83/45/1132328345.db2.gz IPUWIAWZIZMZIF-OQPBUACISA-N 1 2 272.175 3.683 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCC[C@](C)(F)C2)c(Cl)n1 ZINC001142125586 1132331456 /nfs/dbraw/zinc/33/14/56/1132331456.db2.gz VVDARYKXIRMDLU-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1ccc(C[N@H+]2CCCC[C@](C)(F)C2)c(Cl)n1 ZINC001142125586 1132331464 /nfs/dbraw/zinc/33/14/64/1132331464.db2.gz VVDARYKXIRMDLU-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO CCCOC1C[NH+]([C@H](C)Cc2ccc(F)cc2Cl)C1 ZINC001172868093 1132332323 /nfs/dbraw/zinc/33/23/23/1132332323.db2.gz DTZOJPWDJWGHCL-LLVKDONJSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC001172859434 1132344377 /nfs/dbraw/zinc/34/43/77/1132344377.db2.gz APVRRESQPWWRIT-SDDRHHMPSA-N 1 2 285.790 3.519 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC001172859434 1132344384 /nfs/dbraw/zinc/34/43/84/1132344384.db2.gz APVRRESQPWWRIT-SDDRHHMPSA-N 1 2 285.790 3.519 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCOC[C@H]1C1CC1 ZINC001172861285 1132345619 /nfs/dbraw/zinc/34/56/19/1132345619.db2.gz JIDWPFFREXWXKR-ZBEGNZNMSA-N 1 2 297.801 3.521 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCOC[C@H]1C1CC1 ZINC001172861285 1132345627 /nfs/dbraw/zinc/34/56/27/1132345627.db2.gz JIDWPFFREXWXKR-ZBEGNZNMSA-N 1 2 297.801 3.521 20 0 CHADLO CC(C)Oc1ncccc1C[N@H+](C)Cc1cccc(F)c1 ZINC001231754618 1132353566 /nfs/dbraw/zinc/35/35/66/1132353566.db2.gz GZBBWUWWRYXUMP-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1ncccc1C[N@@H+](C)Cc1cccc(F)c1 ZINC001231754618 1132353574 /nfs/dbraw/zinc/35/35/74/1132353574.db2.gz GZBBWUWWRYXUMP-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CCCCc1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001231780909 1132359629 /nfs/dbraw/zinc/35/96/29/1132359629.db2.gz ZPWQZPOVHFQPTQ-HOTGVXAUSA-N 1 2 267.363 3.911 20 0 CHADLO CCCCc1ccc(C[N@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001231780909 1132359635 /nfs/dbraw/zinc/35/96/35/1132359635.db2.gz ZPWQZPOVHFQPTQ-HOTGVXAUSA-N 1 2 267.363 3.911 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2CCC[C@H]3C[C@H]32)c(Cl)c1 ZINC001142296264 1132363149 /nfs/dbraw/zinc/36/31/49/1132363149.db2.gz BKTAJYHWAKOIBB-LKFCYVNXSA-N 1 2 286.202 3.986 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2CCC[C@H]3C[C@H]32)c(Cl)c1 ZINC001142296264 1132363158 /nfs/dbraw/zinc/36/31/58/1132363158.db2.gz BKTAJYHWAKOIBB-LKFCYVNXSA-N 1 2 286.202 3.986 20 0 CHADLO c1cc(-c2ccccc2)oc1C[NH+]1CC2(C1)CCCCO2 ZINC001231810045 1132368319 /nfs/dbraw/zinc/36/83/19/1132368319.db2.gz ILIQYHUITKDQFC-UHFFFAOYSA-N 1 2 283.371 3.702 20 0 CHADLO CCCc1ccc(CN2CC[NH+](Cc3ccco3)CC2)cc1 ZINC001142364216 1132374715 /nfs/dbraw/zinc/37/47/15/1132374715.db2.gz KMOWDDOJVBVPMV-UHFFFAOYSA-N 1 2 298.430 3.550 20 0 CHADLO C[C@@H](O)c1ccccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212699250 1132375673 /nfs/dbraw/zinc/37/56/73/1132375673.db2.gz WAPQATBACZZQFM-CQSZACIVSA-N 1 2 293.370 3.728 20 0 CHADLO Cc1cccc(O)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212697822 1132377191 /nfs/dbraw/zinc/37/71/91/1132377191.db2.gz VLODZAQIDJQISU-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO Cc1cc(N)c(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1F ZINC001212696866 1132377696 /nfs/dbraw/zinc/37/76/96/1132377696.db2.gz QQUMDHOULWJUEV-UHFFFAOYSA-N 1 2 296.349 3.705 20 0 CHADLO FC(F)c1cc(C[N@@H+]2CCOC3(CCCCC3)C2)ccn1 ZINC001231892470 1132394978 /nfs/dbraw/zinc/39/49/78/1132394978.db2.gz CTLXIIKWPLGPBN-UHFFFAOYSA-N 1 2 296.361 3.554 20 0 CHADLO FC(F)c1cc(C[N@H+]2CCOC3(CCCCC3)C2)ccn1 ZINC001231892470 1132394985 /nfs/dbraw/zinc/39/49/85/1132394985.db2.gz CTLXIIKWPLGPBN-UHFFFAOYSA-N 1 2 296.361 3.554 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ncccc2Cl)cc1 ZINC001231959472 1132403850 /nfs/dbraw/zinc/40/38/50/1132403850.db2.gz YJOIXLIOGWLAGF-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ncccc2Cl)cc1 ZINC001231959472 1132403853 /nfs/dbraw/zinc/40/38/53/1132403853.db2.gz YJOIXLIOGWLAGF-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO Clc1cccnc1C[N@@H+]1CCSc2ccccc2C1 ZINC001231960220 1132404107 /nfs/dbraw/zinc/40/41/07/1132404107.db2.gz JRJYBDGAXFOIGZ-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1cccnc1C[N@H+]1CCSc2ccccc2C1 ZINC001231960220 1132404111 /nfs/dbraw/zinc/40/41/11/1132404111.db2.gz JRJYBDGAXFOIGZ-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1cncc(O)c1 ZINC001232047118 1132414625 /nfs/dbraw/zinc/41/46/25/1132414625.db2.gz QOKDODKQWMAQKX-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1cncc(O)c1 ZINC001232047118 1132414627 /nfs/dbraw/zinc/41/46/27/1132414627.db2.gz QOKDODKQWMAQKX-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO Fc1cc(F)c(C[NH+]2C3CCC2CC3)c(Cl)c1 ZINC001232100334 1132421906 /nfs/dbraw/zinc/42/19/06/1132421906.db2.gz OBLVTZUAKZGPBZ-UHFFFAOYSA-N 1 2 257.711 3.745 20 0 CHADLO Fc1ccc(Cl)nc1C[N@@H+]1CCCC2(CCC2)C1 ZINC001232161399 1132430623 /nfs/dbraw/zinc/43/06/23/1132430623.db2.gz NVNIVCHBQUXGKP-UHFFFAOYSA-N 1 2 268.763 3.640 20 0 CHADLO Fc1ccc(Cl)nc1C[N@H+]1CCCC2(CCC2)C1 ZINC001232161399 1132430630 /nfs/dbraw/zinc/43/06/30/1132430630.db2.gz NVNIVCHBQUXGKP-UHFFFAOYSA-N 1 2 268.763 3.640 20 0 CHADLO Clc1cc(C[N@@H+]2CCOC3(CCCCC3)C2)cs1 ZINC001142775751 1132445693 /nfs/dbraw/zinc/44/56/93/1132445693.db2.gz KSLRVEAPXRXDNU-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Clc1cc(C[N@H+]2CCOC3(CCCCC3)C2)cs1 ZINC001142775751 1132445698 /nfs/dbraw/zinc/44/56/98/1132445698.db2.gz KSLRVEAPXRXDNU-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Cc1c(F)cc(C[NH+]2CC(C)(C)OC(C)(C)C2)cc1F ZINC001232427116 1132469575 /nfs/dbraw/zinc/46/95/75/1132469575.db2.gz SGENEZDHVORIPV-UHFFFAOYSA-N 1 2 283.362 3.663 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+]2CC[C@@H](C(F)(F)F)C2)o1 ZINC001232444124 1132472058 /nfs/dbraw/zinc/47/20/58/1132472058.db2.gz OXKWPCFPDKGJQN-SSDOTTSWSA-N 1 2 287.203 3.683 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+]2CC[C@@H](C(F)(F)F)C2)o1 ZINC001232444124 1132472061 /nfs/dbraw/zinc/47/20/61/1132472061.db2.gz OXKWPCFPDKGJQN-SSDOTTSWSA-N 1 2 287.203 3.683 20 0 CHADLO Cc1[nH]c(CN(C)[C@@H](C)c2ccccc2Cl)c[nH+]1 ZINC001232465370 1132473068 /nfs/dbraw/zinc/47/30/68/1132473068.db2.gz KGGYMGMWBCDVLE-JTQLQIEISA-N 1 2 263.772 3.565 20 0 CHADLO Cc1[nH]cc(CN(C)[C@@H](C)c2ccccc2Cl)[nH+]1 ZINC001232465370 1132473074 /nfs/dbraw/zinc/47/30/74/1132473074.db2.gz KGGYMGMWBCDVLE-JTQLQIEISA-N 1 2 263.772 3.565 20 0 CHADLO Cc1[nH]c(CN(C)Cc2cccc(Cl)c2Cl)c[nH+]1 ZINC001232463933 1132474044 /nfs/dbraw/zinc/47/40/44/1132474044.db2.gz PKBUGPGPOJJEOT-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1[nH]cc(CN(C)Cc2cccc(Cl)c2Cl)[nH+]1 ZINC001232463933 1132474051 /nfs/dbraw/zinc/47/40/51/1132474051.db2.gz PKBUGPGPOJJEOT-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(C(=O)N(C)C)cc2C)c1 ZINC001212729669 1132482668 /nfs/dbraw/zinc/48/26/68/1132482668.db2.gz KCQOIRBLIWDZTF-UHFFFAOYSA-N 1 2 297.402 3.788 20 0 CHADLO Clc1cnc(C[N@@H+]2CCCCC23CC3)c(Cl)c1 ZINC001232575030 1132486859 /nfs/dbraw/zinc/48/68/59/1132486859.db2.gz MBOHYUZYSMKGRD-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO C[N@H+](Cc1cccn2ccnc12)Cc1ccccc1Cl ZINC001142954942 1132487795 /nfs/dbraw/zinc/48/77/95/1132487795.db2.gz DNUZCHYRPATUKR-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@@H+](Cc1cccn2ccnc12)Cc1ccccc1Cl ZINC001142954942 1132487799 /nfs/dbraw/zinc/48/77/99/1132487799.db2.gz DNUZCHYRPATUKR-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO CSc1cc(Nc2cccnc2C2CC2)cc[nH+]1 ZINC001212738122 1132490732 /nfs/dbraw/zinc/49/07/32/1132490732.db2.gz VMBBNLSOWUZTBZ-UHFFFAOYSA-N 1 2 257.362 3.820 20 0 CHADLO CC(C)OC1C[NH+](Cc2ccc3ccsc3c2)C1 ZINC001232615846 1132491238 /nfs/dbraw/zinc/49/12/38/1132491238.db2.gz IYTDHXSKFRLWHZ-UHFFFAOYSA-N 1 2 261.390 3.511 20 0 CHADLO c1cn(-c2ccccc2Nc2cccnc2C2CC2)c[nH+]1 ZINC001212739058 1132493986 /nfs/dbraw/zinc/49/39/86/1132493986.db2.gz ZUAAWZFEAPLVGH-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO Fc1nccc(Cl)c1C[N@@H+]1CCCC2(CCC2)C1 ZINC001232618998 1132494828 /nfs/dbraw/zinc/49/48/28/1132494828.db2.gz KKWIYYONBHMHHR-UHFFFAOYSA-N 1 2 268.763 3.640 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc2ccsc2c1 ZINC001232614401 1132495410 /nfs/dbraw/zinc/49/54/10/1132495410.db2.gz FSXDBIRRTVRMFW-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc2ccsc2c1 ZINC001232614401 1132495414 /nfs/dbraw/zinc/49/54/14/1132495414.db2.gz FSXDBIRRTVRMFW-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[N@H+](Cc1ccc(Br)[nH]1)Cc1ccccc1F ZINC001232688583 1132504259 /nfs/dbraw/zinc/50/42/59/1132504259.db2.gz WEWGQQHIBOMIFW-UHFFFAOYSA-N 1 2 297.171 3.548 20 0 CHADLO C[N@@H+](Cc1ccc(Br)[nH]1)Cc1ccccc1F ZINC001232688583 1132504269 /nfs/dbraw/zinc/50/42/69/1132504269.db2.gz WEWGQQHIBOMIFW-UHFFFAOYSA-N 1 2 297.171 3.548 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc3ccc(Cl)cc3[nH]2)CCC1=O ZINC001232728882 1132510698 /nfs/dbraw/zinc/51/06/98/1132510698.db2.gz WYACAONIAKSXMO-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc3ccc(Cl)cc3[nH]2)CCC1=O ZINC001232728882 1132510704 /nfs/dbraw/zinc/51/07/04/1132510704.db2.gz WYACAONIAKSXMO-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(SC)cc1 ZINC001173812105 1132516566 /nfs/dbraw/zinc/51/65/66/1132516566.db2.gz WNVWIIKKERDGMN-UHFFFAOYSA-N 1 2 260.362 3.946 20 0 CHADLO CC(C)(C)C1CC[NH+](Cc2ccc(F)c(O)c2F)CC1 ZINC001232931915 1132543547 /nfs/dbraw/zinc/54/35/47/1132543547.db2.gz TUDWTJIBVPDUFP-UHFFFAOYSA-N 1 2 283.362 3.929 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cc1)Cc1ccc(F)c(O)c1F ZINC001232935753 1132545963 /nfs/dbraw/zinc/54/59/63/1132545963.db2.gz WZIZUJGMXYEPQG-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cc1)Cc1ccc(F)c(O)c1F ZINC001232935753 1132545970 /nfs/dbraw/zinc/54/59/70/1132545970.db2.gz WZIZUJGMXYEPQG-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO Fc1ccccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001173845923 1132548924 /nfs/dbraw/zinc/54/89/24/1132548924.db2.gz KOVWFBOHSXQMHI-UHFFFAOYSA-N 1 2 253.280 3.755 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(O)c(F)c(F)c2)CCC1(F)F ZINC001232971217 1132553711 /nfs/dbraw/zinc/55/37/11/1132553711.db2.gz YKEXGTKCVCBSSA-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OCC)cc1 ZINC001173851193 1132554773 /nfs/dbraw/zinc/55/47/73/1132554773.db2.gz FRAFCZMUFLSKDI-UHFFFAOYSA-N 1 2 258.321 3.623 20 0 CHADLO Cc1cn2cc(-c3cc(OC(C)C)ncc3F)ccc2[nH+]1 ZINC001206634724 1132555725 /nfs/dbraw/zinc/55/57/25/1132555725.db2.gz PERPMCFOQIHWJW-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1cc(O)c(F)c(F)c1 ZINC001232975747 1132556275 /nfs/dbraw/zinc/55/62/75/1132556275.db2.gz OGSVXKGEHSSUGB-NSHDSACASA-N 1 2 289.325 3.617 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1cc(O)c(F)c(F)c1 ZINC001232975747 1132556280 /nfs/dbraw/zinc/55/62/80/1132556280.db2.gz OGSVXKGEHSSUGB-NSHDSACASA-N 1 2 289.325 3.617 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)cccc1F ZINC001173858694 1132560893 /nfs/dbraw/zinc/56/08/93/1132560893.db2.gz CGURVIJCEGRGMZ-UHFFFAOYSA-N 1 2 250.248 3.502 20 0 CHADLO Cc1cc(C)c(C[N@@H+](CC(=O)c2ccccc2)C(C)C)cn1 ZINC001233008127 1132563665 /nfs/dbraw/zinc/56/36/65/1132563665.db2.gz BLDOCDKWPJWLMV-UHFFFAOYSA-N 1 2 296.414 3.792 20 0 CHADLO Cc1cc(C)c(C[N@H+](CC(=O)c2ccccc2)C(C)C)cn1 ZINC001233008127 1132563669 /nfs/dbraw/zinc/56/36/69/1132563669.db2.gz BLDOCDKWPJWLMV-UHFFFAOYSA-N 1 2 296.414 3.792 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c(C)cn32)cc1F ZINC001173862440 1132565458 /nfs/dbraw/zinc/56/54/58/1132565458.db2.gz RYNZIYAHSJNVIV-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CC(C)[N@H+](Cc1nc2ccccn2n1)[C@@H](C)c1ccccc1 ZINC001233075702 1132570268 /nfs/dbraw/zinc/57/02/68/1132570268.db2.gz LWPVQSUGMJUSOA-HNNXBMFYSA-N 1 2 294.402 3.701 20 0 CHADLO CC(C)[N@@H+](Cc1nc2ccccn2n1)[C@@H](C)c1ccccc1 ZINC001233075702 1132570270 /nfs/dbraw/zinc/57/02/70/1132570270.db2.gz LWPVQSUGMJUSOA-HNNXBMFYSA-N 1 2 294.402 3.701 20 0 CHADLO CCOc1ncc(Cl)cc1C[N@H+](C)Cc1cccs1 ZINC001233171778 1132576482 /nfs/dbraw/zinc/57/64/82/1132576482.db2.gz GUKXPTNYPVEUTI-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC(C)=CCCC(C)=CCNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001151975532 1132576903 /nfs/dbraw/zinc/57/69/03/1132576903.db2.gz BIKZGFCPVZGOPA-VGOFMYFVSA-N 1 2 297.402 3.985 20 0 CHADLO CCCO[C@H]1CC[N@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233210028 1132581270 /nfs/dbraw/zinc/58/12/70/1132581270.db2.gz ARGBYQAGARJPNX-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@H]1CC[N@@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233210028 1132581272 /nfs/dbraw/zinc/58/12/72/1132581272.db2.gz ARGBYQAGARJPNX-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1c(C)cccc1C ZINC001173875183 1132585739 /nfs/dbraw/zinc/58/57/39/1132585739.db2.gz NQCMEHYZYAXGSE-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Fc1cccc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001173833007 1132588017 /nfs/dbraw/zinc/58/80/17/1132588017.db2.gz JTGBTUIANFRJLK-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO CC(=O)c1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001173901542 1132606380 /nfs/dbraw/zinc/60/63/80/1132606380.db2.gz WWFUNKFLWTXMLR-UHFFFAOYSA-N 1 2 291.354 3.878 20 0 CHADLO CN(Cc1cccc(N)[nH+]1)Cc1c(Cl)cccc1Cl ZINC001233371311 1132611995 /nfs/dbraw/zinc/61/19/95/1132611995.db2.gz KEFOGUKOQSFGJB-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO O=C(Nc1ccc(F)c(C2CC2)c1)c1cccc2[nH+]ccn21 ZINC001154523407 1132616400 /nfs/dbraw/zinc/61/64/00/1132616400.db2.gz BFULDURBTOEZOP-UHFFFAOYSA-N 1 2 295.317 3.603 20 0 CHADLO CSCc1cc[nH+]c(NCc2nc3ccccc3o2)c1 ZINC001154655654 1132620865 /nfs/dbraw/zinc/62/08/65/1132620865.db2.gz HLBPKLGHAFVUON-UHFFFAOYSA-N 1 2 285.372 3.698 20 0 CHADLO COc1c[nH+]c(NCc2ccc3c(ccn3C)c2)c(C)c1 ZINC001154803907 1132625891 /nfs/dbraw/zinc/62/58/91/1132625891.db2.gz HUWGGWBRIOCHDS-UHFFFAOYSA-N 1 2 281.359 3.502 20 0 CHADLO FC1(F)CCC[N@H+](Cc2cn3c(cccc3Cl)n2)CC1 ZINC001233552796 1132635578 /nfs/dbraw/zinc/63/55/78/1132635578.db2.gz YZWNMYSWIHOHQW-UHFFFAOYSA-N 1 2 299.752 3.609 20 0 CHADLO FC1(F)CCC[N@@H+](Cc2cn3c(cccc3Cl)n2)CC1 ZINC001233552796 1132635585 /nfs/dbraw/zinc/63/55/85/1132635585.db2.gz YZWNMYSWIHOHQW-UHFFFAOYSA-N 1 2 299.752 3.609 20 0 CHADLO CCSc1cc[nH+]c(NCCCCCCC(=O)OC)c1 ZINC001155007982 1132635835 /nfs/dbraw/zinc/63/58/35/1132635835.db2.gz HNINTTHELOIPDJ-UHFFFAOYSA-N 1 2 296.436 3.729 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1nc(Cl)nc2ccc(F)cc21 ZINC001155176192 1132644877 /nfs/dbraw/zinc/64/48/77/1132644877.db2.gz TZAAUXXJUBBFJI-UHFFFAOYSA-N 1 2 280.734 3.858 20 0 CHADLO COc1ccc(C)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001173985107 1132664838 /nfs/dbraw/zinc/66/48/38/1132664838.db2.gz NIGJGYCARMRGMD-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1cc(C)cc(C)c1 ZINC001155897693 1132669249 /nfs/dbraw/zinc/66/92/49/1132669249.db2.gz JOQZKWCQUYPHIY-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO Cc1cc(Cl)c(C)c(NC[C@H]2Cc3ccccc3O2)[nH+]1 ZINC001155973434 1132675037 /nfs/dbraw/zinc/67/50/37/1132675037.db2.gz UBFHHDXWSQTEEZ-CYBMUJFWSA-N 1 2 288.778 3.767 20 0 CHADLO COc1ccc(C)[nH+]c1N[C@H](c1cccnc1)C(F)(F)F ZINC001156157565 1132684903 /nfs/dbraw/zinc/68/49/03/1132684903.db2.gz YSZWRXCGJHHJED-GFCCVEGCSA-N 1 2 297.280 3.509 20 0 CHADLO CCOc1cc(Nc2cccc(OC)c2OC)cc(C)[nH+]1 ZINC001174016494 1132691187 /nfs/dbraw/zinc/69/11/87/1132691187.db2.gz XBVPWFKCRPPNDF-UHFFFAOYSA-N 1 2 288.347 3.550 20 0 CHADLO Cc1ccc(Nc2cc(Cl)ccc2CO)c(C)[nH+]1 ZINC001203376859 1132691273 /nfs/dbraw/zinc/69/12/73/1132691273.db2.gz VDOFZULMAPDFNI-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO COc1c[nH+]c(NC/C=C/c2ccccc2)c(C)c1 ZINC001156463598 1132699414 /nfs/dbraw/zinc/69/94/14/1132699414.db2.gz KFCHJVPNPGRPIF-RMKNXTFCSA-N 1 2 254.333 3.524 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(F)cn2)nc(C(C)(C)C)[nH+]1 ZINC001156679016 1132705868 /nfs/dbraw/zinc/70/58/68/1132705868.db2.gz MCGQVZIBEMDBJK-NSHDSACASA-N 1 2 288.370 3.790 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cncc2ccccc21 ZINC001174100197 1132717591 /nfs/dbraw/zinc/71/75/91/1132717591.db2.gz SIPDCFMAYFLMBX-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO COC(=O)[C@H]1CC[C@H](Nc2[nH+]c3ccccc3cc2C)CC1 ZINC001157036347 1132719067 /nfs/dbraw/zinc/71/90/67/1132719067.db2.gz MCMGVZNXWJYPDA-CTYIDZIISA-N 1 2 298.386 3.687 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)[C@H](c2ccccc2)C(C)C)[nH+]1 ZINC001157119574 1132722656 /nfs/dbraw/zinc/72/26/56/1132722656.db2.gz NABGYERJXXIXJC-INIZCTEOSA-N 1 2 299.418 3.589 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)[C@H](c2ccccc2)C(C)C)c[nH+]1 ZINC001157119574 1132722661 /nfs/dbraw/zinc/72/26/61/1132722661.db2.gz NABGYERJXXIXJC-INIZCTEOSA-N 1 2 299.418 3.589 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)NCc1c[nH]c(C(C)C)[nH+]1 ZINC001157120268 1132722707 /nfs/dbraw/zinc/72/27/07/1132722707.db2.gz TUMISJMPMZFIFK-AWEZNQCLSA-N 1 2 291.439 3.756 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)NCc1c[nH+]c(C(C)C)[nH]1 ZINC001157120268 1132722711 /nfs/dbraw/zinc/72/27/11/1132722711.db2.gz TUMISJMPMZFIFK-AWEZNQCLSA-N 1 2 291.439 3.756 20 0 CHADLO CC(C)Oc1ccc(Nc2[nH+]cccc2N(C)C)cc1 ZINC001174109486 1132724819 /nfs/dbraw/zinc/72/48/19/1132724819.db2.gz JFAGVCWYRHDMHJ-UHFFFAOYSA-N 1 2 271.364 3.678 20 0 CHADLO Cc1cn2cccc(Nc3cc(C)ccc3F)c2[nH+]1 ZINC001174110833 1132725491 /nfs/dbraw/zinc/72/54/91/1132725491.db2.gz OWPFQVSPHLCGKD-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO CC1(C)C[C@H](CNc2cc(-c3ccccc3)cc[nH+]2)O1 ZINC001157663221 1132737880 /nfs/dbraw/zinc/73/78/80/1132737880.db2.gz SAJYQFSVXNHRKF-OAHLLOKOSA-N 1 2 268.360 3.728 20 0 CHADLO Cc1cccc2c(C)cc(N[C@@H](CO)C(C)(C)C)[nH+]c12 ZINC001157750458 1132740151 /nfs/dbraw/zinc/74/01/51/1132740151.db2.gz KNHGZJKOCDQAMA-AWEZNQCLSA-N 1 2 272.392 3.671 20 0 CHADLO CSc1cc[nH+]c(N[C@@H]2CCNc3ccccc32)c1 ZINC001157835286 1132746407 /nfs/dbraw/zinc/74/64/07/1132746407.db2.gz DKXKZOHRLXACJA-CQSZACIVSA-N 1 2 271.389 3.772 20 0 CHADLO Cc1cc(C)c(Nc2cccc(C(=O)NCC(C)C)c2)c[nH+]1 ZINC001174192703 1132755742 /nfs/dbraw/zinc/75/57/42/1132755742.db2.gz WMXOSVJQKBDBAZ-UHFFFAOYSA-N 1 2 297.402 3.828 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(C)[nH+]c(C(C)(C)C)n2)nc1 ZINC001158020711 1132762033 /nfs/dbraw/zinc/76/20/33/1132762033.db2.gz KWSXLKGSJIURFE-CYBMUJFWSA-N 1 2 284.407 3.959 20 0 CHADLO CSc1cc[nH+]c(N[C@H](C)c2ccc(C)cn2)c1 ZINC001158020177 1132762261 /nfs/dbraw/zinc/76/22/61/1132762261.db2.gz BUNUVCJVRQQGQP-LLVKDONJSA-N 1 2 259.378 3.680 20 0 CHADLO COc1cc2ccc(NCCC3CCC3)[nH+]c2cc1OC ZINC001158051220 1132763178 /nfs/dbraw/zinc/76/31/78/1132763178.db2.gz FBSOSDQAGZGTJE-UHFFFAOYSA-N 1 2 286.375 3.854 20 0 CHADLO CSc1cc(Nc2cccc(-n3cccn3)c2)cc[nH+]1 ZINC001174299258 1132778394 /nfs/dbraw/zinc/77/83/94/1132778394.db2.gz VUBAVFKHERBSCT-UHFFFAOYSA-N 1 2 282.372 3.733 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(N2CCCCC2)c1 ZINC001174302649 1132780987 /nfs/dbraw/zinc/78/09/87/1132780987.db2.gz ZOXOMALHTCHODL-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Cc1ccc(CNc2cc(N(C)C)nc[nH+]2)c2ccccc12 ZINC001158502957 1132781766 /nfs/dbraw/zinc/78/17/66/1132781766.db2.gz XINNCAYTIPUATB-UHFFFAOYSA-N 1 2 292.386 3.616 20 0 CHADLO Cc1ccc(CNc2cc(N(C)C)[nH+]cn2)c2ccccc12 ZINC001158502957 1132781772 /nfs/dbraw/zinc/78/17/72/1132781772.db2.gz XINNCAYTIPUATB-UHFFFAOYSA-N 1 2 292.386 3.616 20 0 CHADLO Cc1cccc2c(C)cc(N[C@@H](C)c3cnccn3)[nH+]c12 ZINC001158594486 1132783328 /nfs/dbraw/zinc/78/33/28/1132783328.db2.gz QRZQNGIDKVMDIE-ZDUSSCGKSA-N 1 2 278.359 3.815 20 0 CHADLO CSc1nc(NCCc2coc3ccccc23)cc(C)[nH+]1 ZINC001158660241 1132787579 /nfs/dbraw/zinc/78/75/79/1132787579.db2.gz YWYIYBVGGLHSIT-UHFFFAOYSA-N 1 2 299.399 3.908 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C(F)(F)F)nc1 ZINC001174311798 1132790906 /nfs/dbraw/zinc/79/09/06/1132790906.db2.gz WHYAYBDRJXTRBR-UHFFFAOYSA-N 1 2 283.253 3.638 20 0 CHADLO O=C(CCNc1cccc(C2CC2)[nH+]1)c1ccccc1 ZINC001159023264 1132802776 /nfs/dbraw/zinc/80/27/76/1132802776.db2.gz NHVFUQJUNDLGOT-UHFFFAOYSA-N 1 2 266.344 3.644 20 0 CHADLO Nc1ccc2cc(Nc3cccc4[nH+]ccn43)ccc2c1 ZINC001159107226 1132806685 /nfs/dbraw/zinc/80/66/85/1132806685.db2.gz LTKCQHILULKAJW-UHFFFAOYSA-N 1 2 274.327 3.813 20 0 CHADLO Cn1cnc2ccc(Nc3ccccc3-n3cc[nH+]c3)cc21 ZINC001174349059 1132806922 /nfs/dbraw/zinc/80/69/22/1132806922.db2.gz MRZACSZLADLPTQ-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c(c2)CCCN3)[nH+]1 ZINC001159109084 1132807308 /nfs/dbraw/zinc/80/73/08/1132807308.db2.gz TYMWPPQCAAVQIU-UHFFFAOYSA-N 1 2 278.359 3.744 20 0 CHADLO CCCCOc1ccc(Nc2ccc(N)[nH+]c2)c(F)c1F ZINC001159281831 1132822961 /nfs/dbraw/zinc/82/29/61/1132822961.db2.gz CVOXSAOYQMTBJE-UHFFFAOYSA-N 1 2 293.317 3.865 20 0 CHADLO Nc1ccc(Nc2ccc(F)c3ccccc23)c[nH+]1 ZINC001159280949 1132823754 /nfs/dbraw/zinc/82/37/54/1132823754.db2.gz JDUPCSDBBCWCAY-UHFFFAOYSA-N 1 2 253.280 3.700 20 0 CHADLO COc1ccc2c(c1)C[C@H](Nc1[nH+]ccc(F)c1C)CC2 ZINC001159361263 1132832554 /nfs/dbraw/zinc/83/25/54/1132832554.db2.gz UJHJSFLWLRFJSD-CQSZACIVSA-N 1 2 286.350 3.507 20 0 CHADLO Cc1ccc(Nc2cnn(CCC(C)C)c2)c(C)[nH+]1 ZINC001174412191 1132850307 /nfs/dbraw/zinc/85/03/07/1132850307.db2.gz JDQXIDXEYQZHNY-UHFFFAOYSA-N 1 2 258.369 3.685 20 0 CHADLO O=C1CCCc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2N1 ZINC001174412775 1132851098 /nfs/dbraw/zinc/85/10/98/1132851098.db2.gz FAGKEHNKICEFKZ-UHFFFAOYSA-N 1 2 292.342 3.533 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnn(CCC(C)C)c1 ZINC001174413170 1132851977 /nfs/dbraw/zinc/85/19/77/1132851977.db2.gz DICAGKJYVPCWAK-UHFFFAOYSA-N 1 2 272.396 3.939 20 0 CHADLO COc1cc(C)c(Nc2cc[nH+]c3[nH]ccc32)cc1C ZINC001174413555 1132852277 /nfs/dbraw/zinc/85/22/77/1132852277.db2.gz ZJBYNXROUUTVEL-UHFFFAOYSA-N 1 2 267.332 3.884 20 0 CHADLO COc1cc(Nc2cc[nH+]c3[nH]ccc32)cc2cccnc21 ZINC001174414453 1132854086 /nfs/dbraw/zinc/85/40/86/1132854086.db2.gz CYFDAFROTBIXCJ-UHFFFAOYSA-N 1 2 290.326 3.815 20 0 CHADLO CCc1cccc(Nc2cccc(-c3cc[nH]n3)c2)[nH+]1 ZINC001174506468 1132904868 /nfs/dbraw/zinc/90/48/68/1132904868.db2.gz IAOPDCFMUFBCRO-UHFFFAOYSA-N 1 2 264.332 3.778 20 0 CHADLO c1nc2c(cccc2Nc2ccc(N3CCCCC3)[nH+]c2)o1 ZINC001174524178 1132912486 /nfs/dbraw/zinc/91/24/86/1132912486.db2.gz HNSUWQASKNVHPF-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cncc(C(C)(C)O)c1 ZINC001174592429 1132918721 /nfs/dbraw/zinc/91/87/21/1132918721.db2.gz QMGSUYHOVKTEIQ-UHFFFAOYSA-N 1 2 285.391 3.879 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(O)c(OC)c2)cc1 ZINC001174546206 1132920317 /nfs/dbraw/zinc/92/03/17/1132920317.db2.gz ZXYJMLYEBZTSRO-UHFFFAOYSA-N 1 2 272.348 3.601 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(O)c(OC)c2)cc1 ZINC001174546206 1132920322 /nfs/dbraw/zinc/92/03/22/1132920322.db2.gz ZXYJMLYEBZTSRO-UHFFFAOYSA-N 1 2 272.348 3.601 20 0 CHADLO COc1cc(Nc2c(C)cc[nH+]c2C(C)C)cnc1OC ZINC001174546831 1132920831 /nfs/dbraw/zinc/92/08/31/1132920831.db2.gz ALGSKKNUQYOWBO-UHFFFAOYSA-N 1 2 287.363 3.669 20 0 CHADLO CC(=O)c1ccc([C@H](C)Nc2nc(C)[nH+]c3c2CCC3)cc1 ZINC001159913435 1132924275 /nfs/dbraw/zinc/92/42/75/1132924275.db2.gz WBAGVAVCRVPNTI-NSHDSACASA-N 1 2 295.386 3.649 20 0 CHADLO Cc1cc(O)ccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001174563315 1132925029 /nfs/dbraw/zinc/92/50/29/1132925029.db2.gz WUKDFGZTIOVJRN-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CC[NH2+]Cc1cccc(Nc2cccc(S)c2)c1 ZINC001159956589 1132928666 /nfs/dbraw/zinc/92/86/66/1132928666.db2.gz LOICBQGWOLRACK-UHFFFAOYSA-N 1 2 258.390 3.828 20 0 CHADLO c1cc2c(cccc2Nc2ccc(N3CCCC3)[nH+]c2)[nH]1 ZINC001174647730 1132948064 /nfs/dbraw/zinc/94/80/64/1132948064.db2.gz JODTVVIIWKLKRK-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO COc1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1N ZINC001160310519 1132960507 /nfs/dbraw/zinc/96/05/07/1132960507.db2.gz CMYLLXPDDSXFCD-UHFFFAOYSA-N 1 2 269.348 3.602 20 0 CHADLO CNc1ccc(Nc2ccc3c(c2)C(C)(C)CCN3)c[nH+]1 ZINC001160332360 1132963930 /nfs/dbraw/zinc/96/39/30/1132963930.db2.gz LODGLPTZNXOZBQ-UHFFFAOYSA-N 1 2 282.391 3.960 20 0 CHADLO CSCc1cc[nH+]c(NCc2noc3ccccc23)c1 ZINC001160586904 1132975724 /nfs/dbraw/zinc/97/57/24/1132975724.db2.gz XUIMNGJLKDDGIW-UHFFFAOYSA-N 1 2 285.372 3.698 20 0 CHADLO FC(F)(F)c1ccc(Nc2cccc3[nH+]c[nH]c32)nc1 ZINC001213026309 1132979822 /nfs/dbraw/zinc/97/98/22/1132979822.db2.gz SIYPBGLADXSAAN-UHFFFAOYSA-N 1 2 278.237 3.720 20 0 CHADLO Cc1cc(Cl)ccc1[C@@H](C)Nc1cc(N(C)C)nc[nH+]1 ZINC001160998458 1132988799 /nfs/dbraw/zinc/98/87/99/1132988799.db2.gz SCNZRWCMGJOSNZ-LLVKDONJSA-N 1 2 290.798 3.678 20 0 CHADLO Cc1cc(Cl)ccc1[C@@H](C)Nc1cc(N(C)C)[nH+]cn1 ZINC001160998458 1132988801 /nfs/dbraw/zinc/98/88/01/1132988801.db2.gz SCNZRWCMGJOSNZ-LLVKDONJSA-N 1 2 290.798 3.678 20 0 CHADLO CSc1c[nH+]c(NCCCc2cccc(N)c2)c(C)c1 ZINC001161012771 1132990213 /nfs/dbraw/zinc/99/02/13/1132990213.db2.gz QESVYDKPYNOGDA-UHFFFAOYSA-N 1 2 287.432 3.739 20 0 CHADLO Cc1cnn(CC2CCC2)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213028954 1132992347 /nfs/dbraw/zinc/99/23/47/1132992347.db2.gz ALHNYRPWIDIVGF-UHFFFAOYSA-N 1 2 281.363 3.612 20 0 CHADLO Cn1c(Nc2cccc3[nH+]c[nH]c32)cc2ccccc21 ZINC001213029417 1132993363 /nfs/dbraw/zinc/99/33/63/1132993363.db2.gz LNPLTTCVCPNWKM-UHFFFAOYSA-N 1 2 262.316 3.798 20 0 CHADLO Nc1cc(F)c(Cl)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213031440 1132995768 /nfs/dbraw/zinc/99/57/68/1132995768.db2.gz AAFDUHAWCUEOFX-UHFFFAOYSA-N 1 2 276.702 3.681 20 0 CHADLO Cc1noc(C2(Nc3cc(C)c4cccc(C)c4[nH+]3)CC2)n1 ZINC001161306956 1132997596 /nfs/dbraw/zinc/99/75/96/1132997596.db2.gz HEENQCUZJIBUAI-UHFFFAOYSA-N 1 2 294.358 3.644 20 0 CHADLO COCc1ccc(CNc2cc(CSC)cc[nH+]2)cc1 ZINC001161334354 1132997916 /nfs/dbraw/zinc/99/79/16/1132997916.db2.gz KSBSCXOFDLOFTR-UHFFFAOYSA-N 1 2 288.416 3.703 20 0 CHADLO COc1cc(F)c(Nc2cccc3[nH+]c[nH]c32)c(F)c1 ZINC001213031947 1132998423 /nfs/dbraw/zinc/99/84/23/1132998423.db2.gz RTSWPHCYOMWUOA-UHFFFAOYSA-N 1 2 275.258 3.593 20 0 CHADLO CN(c1cccc2[nH+]c[nH]c21)c1cc(Cl)ccc1N ZINC001213032801 1133001583 /nfs/dbraw/zinc/00/15/83/1133001583.db2.gz YKPWUUIKVBZZPM-UHFFFAOYSA-N 1 2 272.739 3.566 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213032730 1133001688 /nfs/dbraw/zinc/00/16/88/1133001688.db2.gz VJSMHFWCJRDEPH-UHFFFAOYSA-N 1 2 253.305 3.705 20 0 CHADLO Cc1nc(NCc2cncn2CC2CCC2)cc(C(C)C)[nH+]1 ZINC001161529915 1133005334 /nfs/dbraw/zinc/00/53/34/1133005334.db2.gz PEHFCAVCGHGFNP-UHFFFAOYSA-N 1 2 299.422 3.517 20 0 CHADLO CC(=O)n1ccc2cc(Nc3cccc4[nH+]c[nH]c43)ccc21 ZINC001213033444 1133005651 /nfs/dbraw/zinc/00/56/51/1133005651.db2.gz GQDDNCBHPFOFLC-UHFFFAOYSA-N 1 2 290.326 3.921 20 0 CHADLO Cc1ccccc1CNc1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001161624396 1133011564 /nfs/dbraw/zinc/01/15/64/1133011564.db2.gz ZIZXHUPFMNHXEB-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO C[C@H]1C[C@@H](Nc2[nH+]ccc3cc(Cl)ccc32)CCO1 ZINC001161692057 1133017584 /nfs/dbraw/zinc/01/75/84/1133017584.db2.gz RRGZMEGHDSUULR-GWCFXTLKSA-N 1 2 276.767 3.868 20 0 CHADLO Clc1cccc2cc[nH+]c(NCCc3cnoc3)c12 ZINC001161699312 1133017977 /nfs/dbraw/zinc/01/79/77/1133017977.db2.gz RUILIATYVDSRQT-UHFFFAOYSA-N 1 2 273.723 3.531 20 0 CHADLO c1ccc2c(c1)CC(Nc1cc(-c3ccncc3)cc[nH+]1)C2 ZINC001161754273 1133023108 /nfs/dbraw/zinc/02/31/08/1133023108.db2.gz YIMGNAGFJJABCE-UHFFFAOYSA-N 1 2 287.366 3.723 20 0 CHADLO Cc1ccc(C)c(CCNc2ccn3cc[nH+]c3c2)c1 ZINC001161833206 1133033494 /nfs/dbraw/zinc/03/34/94/1133033494.db2.gz ZYMLBKOKJQOWIC-UHFFFAOYSA-N 1 2 265.360 3.606 20 0 CHADLO Cc1ccc2cc(NCc3ncccc3C)[nH+]cc2c1 ZINC001161881267 1133037169 /nfs/dbraw/zinc/03/71/69/1133037169.db2.gz RUNUYQCLPGDMDL-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO Cc1cccnc1CNc1cc2c3c(c1)CCC[NH+]3CCC2 ZINC001161886361 1133037900 /nfs/dbraw/zinc/03/79/00/1133037900.db2.gz BACZPXWOFSSYOU-UHFFFAOYSA-N 1 2 293.414 3.701 20 0 CHADLO CSc1cc[nH+]c(NC2(CC3CCC3)CCOCC2)c1 ZINC001161923345 1133039478 /nfs/dbraw/zinc/03/94/78/1133039478.db2.gz WZSJIIPVCRVKQP-UHFFFAOYSA-N 1 2 292.448 3.955 20 0 CHADLO COCCOc1cc(N[C@@H](c2ccccc2)C2CC2)cc[nH+]1 ZINC001161963165 1133044065 /nfs/dbraw/zinc/04/40/65/1133044065.db2.gz VYCOYQMYAQUPQS-SFHVURJKSA-N 1 2 298.386 3.670 20 0 CHADLO Cc1cc(NCc2cccc(OC(F)F)c2)c[nH+]c1C ZINC001161986802 1133046982 /nfs/dbraw/zinc/04/69/82/1133046982.db2.gz GMWSBEZQOMZMLY-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO C[C@H](Cc1ccco1)Nc1nc2ccccc2n2c[nH+]cc12 ZINC001162121159 1133051474 /nfs/dbraw/zinc/05/14/74/1133051474.db2.gz YQMZTNSDNNSLOO-GFCCVEGCSA-N 1 2 292.342 3.519 20 0 CHADLO C/C(=C\C(=O)NCc1cc(C)[nH+]c(C)c1)c1ccccc1C ZINC001162227698 1133062375 /nfs/dbraw/zinc/06/23/75/1133062375.db2.gz CAPWAAHXAQYBPX-NTEUORMPSA-N 1 2 294.398 3.727 20 0 CHADLO Cc1cc(NC[C@H]2CCC[C@H](C)C2)nc(C2CC2)[nH+]1 ZINC001162266604 1133065117 /nfs/dbraw/zinc/06/51/17/1133065117.db2.gz IDBMBQWERFVEQL-AAEUAGOBSA-N 1 2 259.397 3.901 20 0 CHADLO c1ccc(-c2cc[nH+]c(N[C@@H]3C[C@]34CCCOC4)c2)cc1 ZINC001162371068 1133072861 /nfs/dbraw/zinc/07/28/61/1133072861.db2.gz OVZZJIOZJGSKOP-AEFFLSMTSA-N 1 2 280.371 3.730 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C[NH2+]c1ccc2c(c1)NCCO2 ZINC001162523421 1133087607 /nfs/dbraw/zinc/08/76/07/1133087607.db2.gz AMLGZFCNHHETJX-CHWSQXEVSA-N 1 2 260.381 3.729 20 0 CHADLO Cc1nc2c(ccn2C)c(NC[C@H]2CCCC[C@H]2C)[nH+]1 ZINC001162524117 1133087722 /nfs/dbraw/zinc/08/77/22/1133087722.db2.gz KJFBPCYCANYBJX-DGCLKSJQSA-N 1 2 272.396 3.515 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)OCCN3C)c1 ZINC001213043390 1133107596 /nfs/dbraw/zinc/10/75/96/1133107596.db2.gz BUGMLUTXYYDLDR-UHFFFAOYSA-N 1 2 283.375 3.606 20 0 CHADLO CC(=O)Nc1c(C)cc[nH+]c1N[C@@H]1CCc2sccc21 ZINC001162766663 1133110699 /nfs/dbraw/zinc/11/06/99/1133110699.db2.gz VSROBQHGKJJQRT-GFCCVEGCSA-N 1 2 287.388 3.509 20 0 CHADLO Cc1ccc([C@@H](CO)Nc2cc(C)[nH+]c(C(C)(C)C)n2)cc1 ZINC001162949162 1133123844 /nfs/dbraw/zinc/12/38/44/1133123844.db2.gz LOGQIKJHVPKIFT-OAHLLOKOSA-N 1 2 299.418 3.536 20 0 CHADLO CCc1cc(N[C@@H](C)c2ccsc2)nc(CC)[nH+]1 ZINC001163160361 1133132338 /nfs/dbraw/zinc/13/23/38/1133132338.db2.gz RWAZJOJEMOFLKU-JTQLQIEISA-N 1 2 261.394 3.836 20 0 CHADLO CCc1cc(NCC2C(C)(C)C2(C)C)nc(CC)[nH+]1 ZINC001163160546 1133132632 /nfs/dbraw/zinc/13/26/32/1133132632.db2.gz WILBGCSVGKQFAP-UHFFFAOYSA-N 1 2 261.413 3.696 20 0 CHADLO Cc1cc(N[C@@H]2CCc3c2cccc3O)nc(C(C)(C)C)[nH+]1 ZINC001163194900 1133135754 /nfs/dbraw/zinc/13/57/54/1133135754.db2.gz JGNSFFGWMUUHGG-CQSZACIVSA-N 1 2 297.402 3.888 20 0 CHADLO Cc1nc(N[C@H](C)c2ccno2)cc(C2CCCCC2)[nH+]1 ZINC001163214562 1133137550 /nfs/dbraw/zinc/13/75/50/1133137550.db2.gz WZGVCKXTYGHFMP-LLVKDONJSA-N 1 2 286.379 3.994 20 0 CHADLO Cc1cc(Cl)c(C)c(N(C)C2CC(F)(F)C2)[nH+]1 ZINC001163768305 1133173572 /nfs/dbraw/zinc/17/35/72/1133173572.db2.gz LGXJRYNGUUZAOS-UHFFFAOYSA-N 1 2 260.715 3.586 20 0 CHADLO CCc1cc(N2C[C@H](C(C)C)[C@H]2C(C)C)nc(CC)[nH+]1 ZINC001163294452 1133140622 /nfs/dbraw/zinc/14/06/22/1133140622.db2.gz MURIFARMCBCKKE-RHSMWYFYSA-N 1 2 275.440 3.718 20 0 CHADLO CCC1(CCNc2cc(-c3ccccc3)cc[nH+]2)OCCO1 ZINC001163351714 1133145146 /nfs/dbraw/zinc/14/51/46/1133145146.db2.gz FPNLQJNESKOWFM-UHFFFAOYSA-N 1 2 298.386 3.704 20 0 CHADLO Cc1ccc2cc(NCCC(=O)OC(C)(C)C)[nH+]cc2c1 ZINC001163407561 1133148887 /nfs/dbraw/zinc/14/88/87/1133148887.db2.gz BKMJQAKQBKFGKF-UHFFFAOYSA-N 1 2 286.375 3.687 20 0 CHADLO Cc1cc(N2CC[C@@H](CC(C)(C)C)C2)nc(C2CC2)[nH+]1 ZINC001163519733 1133155214 /nfs/dbraw/zinc/15/52/14/1133155214.db2.gz PCVAYKCMIRCWHD-ZDUSSCGKSA-N 1 2 273.424 3.925 20 0 CHADLO Cc1cc(N[C@H]2CCCC23CCC3)nc(C2CC2)[nH+]1 ZINC001163521995 1133156163 /nfs/dbraw/zinc/15/61/63/1133156163.db2.gz YNSRKTXZNZDRAP-ZDUSSCGKSA-N 1 2 257.381 3.797 20 0 CHADLO Cc1nc(N2CC[C@H](C3CCCC3)C2)c2c([nH+]1)CCCC2 ZINC001163545841 1133157686 /nfs/dbraw/zinc/15/76/86/1133157686.db2.gz UCLGLEDIEXZKTI-HNNXBMFYSA-N 1 2 285.435 3.680 20 0 CHADLO CCN(Cc1ccncc1)c1cc(C)c2ccccc2[nH+]1 ZINC001163607788 1133162659 /nfs/dbraw/zinc/16/26/59/1133162659.db2.gz JNHAKRAEQCLHKE-UHFFFAOYSA-N 1 2 277.371 3.965 20 0 CHADLO CCCN(CCOC)c1cc(-c2ccccc2)cc[nH+]1 ZINC001163642460 1133163879 /nfs/dbraw/zinc/16/38/79/1133163879.db2.gz RRJKMAYEVBVXEM-UHFFFAOYSA-N 1 2 270.376 3.611 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC3(C2)CC(F)(F)C3)[nH+]1 ZINC001163745777 1133171416 /nfs/dbraw/zinc/17/14/16/1133171416.db2.gz JKDDBCHAQWEGLF-UHFFFAOYSA-N 1 2 272.726 3.587 20 0 CHADLO COc1c[nH+]c(NCc2cc(C)cc(C)c2)c2[nH]ccc21 ZINC001163747246 1133171488 /nfs/dbraw/zinc/17/14/88/1133171488.db2.gz CNZLEAXQMQYZJZ-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO CC(C)c1ccc(N2CC[C@@H](F)C(F)(F)CC2)[nH+]c1 ZINC001163779700 1133174605 /nfs/dbraw/zinc/17/46/05/1133174605.db2.gz GIPQGFBDUXENKH-GFCCVEGCSA-N 1 2 272.314 3.779 20 0 CHADLO F[C@@H]1CCCN(c2cc3ccccc3c[nH+]2)CC1(F)F ZINC001164060206 1133195269 /nfs/dbraw/zinc/19/52/69/1133195269.db2.gz WSJGKEGLCMSBGM-CYBMUJFWSA-N 1 2 280.293 3.808 20 0 CHADLO Cc1cc(N2CC[C@@](C)(F)[C@H](F)C2)[nH+]c2ccccc12 ZINC001164332768 1133213306 /nfs/dbraw/zinc/21/33/06/1133213306.db2.gz IUICONWPZUECDT-GDBMZVCRSA-N 1 2 276.330 3.820 20 0 CHADLO Cc1cc(N2CCC(F)(F)C(C)(C)C2)nc(C(C)C)[nH+]1 ZINC001165217280 1133229943 /nfs/dbraw/zinc/22/99/43/1133229943.db2.gz WECGNKWOZKOXNB-UHFFFAOYSA-N 1 2 283.366 3.780 20 0 CHADLO CCC[C@@H](C)CCCSc1nc(N)cc(C)[nH+]1 ZINC001165288059 1133236918 /nfs/dbraw/zinc/23/69/18/1133236918.db2.gz DDDLAHJGDRIZKX-SNVBAGLBSA-N 1 2 253.415 3.676 20 0 CHADLO CC(C)c1ccc(N2CCC3(CC(F)C3)CC2)[nH+]c1 ZINC001165309345 1133239171 /nfs/dbraw/zinc/23/91/71/1133239171.db2.gz YZHWKJWQLLZICJ-UHFFFAOYSA-N 1 2 262.372 3.924 20 0 CHADLO Cc1cc(N2CC[C@@H]3CCCO[C@@H]3C2)[nH+]c2ccccc12 ZINC001165332000 1133240339 /nfs/dbraw/zinc/24/03/39/1133240339.db2.gz GDVMBRUBWRTVLV-WMLDXEAASA-N 1 2 282.387 3.549 20 0 CHADLO Cc1cccc2c(C)cc(N3C[C@@H]4C[C@@H]4[C@H](F)C3)[nH+]c12 ZINC001165365398 1133241195 /nfs/dbraw/zinc/24/11/95/1133241195.db2.gz OXTFUFQBSPTRTO-AEGPPILISA-N 1 2 270.351 3.646 20 0 CHADLO COC(C)(C)CNc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001165830544 1133252432 /nfs/dbraw/zinc/25/24/32/1133252432.db2.gz WBALGFICNXDMAQ-UHFFFAOYSA-N 1 2 288.313 3.512 20 0 CHADLO Cc1cc(N[C@@H](C)CCO)[nH+]c2c1cccc2C(C)C ZINC001165894934 1133254983 /nfs/dbraw/zinc/25/49/83/1133254983.db2.gz XDNQMIACTVXSSM-ZDUSSCGKSA-N 1 2 272.392 3.849 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1ccccc1Cl)CC2 ZINC001203383131 1133265157 /nfs/dbraw/zinc/26/51/57/1133265157.db2.gz NHCXFODOHXQXPC-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1ccccc1Cl)CC2 ZINC001203383131 1133265162 /nfs/dbraw/zinc/26/51/62/1133265162.db2.gz NHCXFODOHXQXPC-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1nc(N2CCC[C@H](Cc3ccccc3)C2)c(C)c(C)[nH+]1 ZINC001166564226 1133271331 /nfs/dbraw/zinc/27/13/31/1133271331.db2.gz POAOEKTYGKESLW-GOSISDBHSA-N 1 2 295.430 3.861 20 0 CHADLO COc1ccc(C)[nH+]c1N1CCCC[C@H]1c1cccnc1 ZINC001166575557 1133272717 /nfs/dbraw/zinc/27/27/17/1133272717.db2.gz LJVAABOEEVVTSN-HNNXBMFYSA-N 1 2 283.375 3.525 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCC[C@H](C)[C@@H]2C)[nH+]1 ZINC001166612961 1133275965 /nfs/dbraw/zinc/27/59/65/1133275965.db2.gz RDWWAHGNDDEHFW-CABZTGNLSA-N 1 2 252.789 3.977 20 0 CHADLO c1cn2ccc(NCc3ccccc3C3CC3)cc2[nH+]1 ZINC001167012665 1133300316 /nfs/dbraw/zinc/30/03/16/1133300316.db2.gz MXBQKPCLABGGNS-UHFFFAOYSA-N 1 2 263.344 3.824 20 0 CHADLO COc1cc(Nc2coc3ccccc23)[nH+]cc1C ZINC001203153902 1133302845 /nfs/dbraw/zinc/30/28/45/1133302845.db2.gz JISWXGQFINCAOT-UHFFFAOYSA-N 1 2 254.289 3.888 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@H+](Cc1ccco1)CC2 ZINC001203283953 1133310089 /nfs/dbraw/zinc/31/00/89/1133310089.db2.gz OQCQQIKFCQCMCN-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@@H+](Cc1ccco1)CC2 ZINC001203283953 1133310093 /nfs/dbraw/zinc/31/00/93/1133310093.db2.gz OQCQQIKFCQCMCN-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO Cc1cccc(Nc2cccc(C(=O)C(F)(F)F)c2)[nH+]1 ZINC001203333619 1133316180 /nfs/dbraw/zinc/31/61/80/1133316180.db2.gz GAIUILGGYUBUPP-UHFFFAOYSA-N 1 2 280.249 3.879 20 0 CHADLO CCOC(=O)c1ccsc1Nc1ccc(C)[nH+]c1C ZINC001203362589 1133318953 /nfs/dbraw/zinc/31/89/53/1133318953.db2.gz BUDPRELLEXNUAO-UHFFFAOYSA-N 1 2 276.361 3.680 20 0 CHADLO Cc1ccc(Nc2ccnc(C(C)(C)C)n2)c(C)[nH+]1 ZINC001203368713 1133318673 /nfs/dbraw/zinc/31/86/73/1133318673.db2.gz FQWHGAIIKCDPSM-UHFFFAOYSA-N 1 2 256.353 3.530 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCC3=O)cc2)c(C)[nH+]1 ZINC001203373176 1133319805 /nfs/dbraw/zinc/31/98/05/1133319805.db2.gz IQZNYCVPCADHHY-UHFFFAOYSA-N 1 2 281.359 3.569 20 0 CHADLO C[N@@H+](Cc1c(F)c(F)c(F)c(F)c1F)C[C@@H]1CC1(C)C ZINC001203370969 1133319897 /nfs/dbraw/zinc/31/98/97/1133319897.db2.gz FEFGSAMMUNEFKW-ZETCQYMHSA-N 1 2 293.279 3.860 20 0 CHADLO C[N@H+](Cc1c(F)c(F)c(F)c(F)c1F)C[C@@H]1CC1(C)C ZINC001203370969 1133319898 /nfs/dbraw/zinc/31/98/98/1133319898.db2.gz FEFGSAMMUNEFKW-ZETCQYMHSA-N 1 2 293.279 3.860 20 0 CHADLO Cc1ccc(Nc2cc(Br)ccc2O)c(C)[nH+]1 ZINC001203373429 1133319962 /nfs/dbraw/zinc/31/99/62/1133319962.db2.gz NEDBSZCPEUDUTL-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO CNc1ccc(Nc2c(C)cnn2-c2ccccc2C)c[nH+]1 ZINC001203452559 1133329239 /nfs/dbraw/zinc/32/92/39/1133329239.db2.gz OUWPQGKZQQVYEY-UHFFFAOYSA-N 1 2 293.374 3.669 20 0 CHADLO CCCOc1ccc(Nc2ccc(NC)[nH+]c2)cc1 ZINC001203455838 1133329841 /nfs/dbraw/zinc/32/98/41/1133329841.db2.gz WLPMMLSRVCFXTJ-UHFFFAOYSA-N 1 2 257.337 3.656 20 0 CHADLO CNc1ccc(Nc2c(C)ccnc2C(C)C)c[nH+]1 ZINC001203455151 1133329966 /nfs/dbraw/zinc/32/99/66/1133329966.db2.gz QQMSYIOJTHUQIB-UHFFFAOYSA-N 1 2 256.353 3.694 20 0 CHADLO CNc1ccc(Nc2ccc(Cc3cccnc3)cc2)c[nH+]1 ZINC001203458902 1133330713 /nfs/dbraw/zinc/33/07/13/1133330713.db2.gz ASTAWCMVEAAMEL-UHFFFAOYSA-N 1 2 290.370 3.853 20 0 CHADLO CNc1ccc(Nc2ccccc2OCC(F)(F)F)c[nH+]1 ZINC001203460845 1133330908 /nfs/dbraw/zinc/33/09/08/1133330908.db2.gz XKOIKQKCLSKRRN-UHFFFAOYSA-N 1 2 297.280 3.808 20 0 CHADLO Cc1ccc2[nH+]c(NC[C@@H]3CCOc4ccccc43)[nH]c2c1 ZINC001203479694 1133332042 /nfs/dbraw/zinc/33/20/42/1133332042.db2.gz WILMIKODDOWWPV-ZDUSSCGKSA-N 1 2 293.370 3.850 20 0 CHADLO CN(Cc1ccccc1)c1ccc(Nc2cnsc2)c[nH+]1 ZINC001203515783 1133334330 /nfs/dbraw/zinc/33/43/30/1133334330.db2.gz QBGFDVOMUQYWDN-UHFFFAOYSA-N 1 2 296.399 3.918 20 0 CHADLO CCOc1ccccc1C[N@@H+]1CCCC(F)(F)[C@@H](F)C1 ZINC001203535427 1133337041 /nfs/dbraw/zinc/33/70/41/1133337041.db2.gz UKYKSWOHLFUIMT-AWEZNQCLSA-N 1 2 287.325 3.655 20 0 CHADLO CCOc1ccccc1C[N@H+]1CCCC(F)(F)[C@@H](F)C1 ZINC001203535427 1133337048 /nfs/dbraw/zinc/33/70/48/1133337048.db2.gz UKYKSWOHLFUIMT-AWEZNQCLSA-N 1 2 287.325 3.655 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc3ccc(F)cc3o2)c1 ZINC001203654463 1133347561 /nfs/dbraw/zinc/34/75/61/1133347561.db2.gz KIZADQHLALEIPC-UHFFFAOYSA-N 1 2 257.268 3.722 20 0 CHADLO CCOC(=O)c1ccc(Nc2cc(C)c[nH+]c2C)c(F)c1 ZINC001203660398 1133348983 /nfs/dbraw/zinc/34/89/83/1133348983.db2.gz IMZDVECTHIGXMC-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO COc1cc(C)c(Nc2cc(C)c[nH+]c2C)cc1OC ZINC001203663112 1133349008 /nfs/dbraw/zinc/34/90/08/1133349008.db2.gz JPOYYGNHZUIIQD-UHFFFAOYSA-N 1 2 272.348 3.768 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnc(Cl)c2F)c1 ZINC001203656268 1133349129 /nfs/dbraw/zinc/34/91/29/1133349129.db2.gz OVMOXUDYDIRKAT-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3nnc(C(C)C)n3c2)c1 ZINC001203661250 1133349355 /nfs/dbraw/zinc/34/93/55/1133349355.db2.gz TTZUOAZVJPNKNA-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c[nH]c(=O)c3ccccc23)c1 ZINC001203656622 1133349618 /nfs/dbraw/zinc/34/96/18/1133349618.db2.gz XORFUALLVNVKHP-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(F)ccc2Br)c1 ZINC001203658171 1133350402 /nfs/dbraw/zinc/35/04/02/1133350402.db2.gz ZEBYYFAHSBGSQE-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)OCCCO3)c1 ZINC001203660110 1133350439 /nfs/dbraw/zinc/35/04/39/1133350439.db2.gz CMPDHDLKNZQYRW-UHFFFAOYSA-N 1 2 270.332 3.603 20 0 CHADLO Cc1nc2c(cccc2Nc2cc(C)c[nH+]c2C)o1 ZINC001203663592 1133351109 /nfs/dbraw/zinc/35/11/09/1133351109.db2.gz SYYNEKUFIBWBGF-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)C(=O)CCC3)c1 ZINC001203663906 1133352027 /nfs/dbraw/zinc/35/20/27/1133352027.db2.gz YNXLWPHYGODHQD-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3cncnc3c2)cc1 ZINC001203712523 1133357554 /nfs/dbraw/zinc/35/75/54/1133357554.db2.gz IPKWLNMPSPJANW-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3cncnc3c2)cc1 ZINC001203712523 1133357559 /nfs/dbraw/zinc/35/75/59/1133357559.db2.gz IPKWLNMPSPJANW-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO OCCc1ccc(Nc2ccc([NH+]3CCCCC3)cc2)cc1 ZINC001203719084 1133358693 /nfs/dbraw/zinc/35/86/93/1133358693.db2.gz QNAOLVABDLPIPU-UHFFFAOYSA-N 1 2 296.414 3.955 20 0 CHADLO Cc1ncc(Nc2ccc([NH+]3CCCCC3)cc2)c(C)n1 ZINC001203717703 1133358860 /nfs/dbraw/zinc/35/88/60/1133358860.db2.gz MAJKMZZKSSKZEH-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCOC[C@@H]2c2cccnc2)c(C)c1 ZINC001203745958 1133363651 /nfs/dbraw/zinc/36/36/51/1133363651.db2.gz HWLGINWPTVCVRZ-LJQANCHMSA-N 1 2 296.414 3.580 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCOC[C@@H]2c2cccnc2)c(C)c1 ZINC001203745958 1133363654 /nfs/dbraw/zinc/36/36/54/1133363654.db2.gz HWLGINWPTVCVRZ-LJQANCHMSA-N 1 2 296.414 3.580 20 0 CHADLO c1ccc(Oc2cccc(C[NH+]3CC4(C3)CCCO4)c2)cc1 ZINC001203810777 1133372939 /nfs/dbraw/zinc/37/29/39/1133372939.db2.gz JZGXLROGJXUTJN-UHFFFAOYSA-N 1 2 295.382 3.844 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2ccc(Br)cc2)C1 ZINC001203909343 1133382717 /nfs/dbraw/zinc/38/27/17/1133382717.db2.gz MQEWNDBLOAUDNC-GWCFXTLKSA-N 1 2 286.188 3.629 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2ccc(Br)cc2)C1 ZINC001203909343 1133382720 /nfs/dbraw/zinc/38/27/20/1133382720.db2.gz MQEWNDBLOAUDNC-GWCFXTLKSA-N 1 2 286.188 3.629 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ncc(Cl)cc3C2)s1 ZINC001204033964 1133401340 /nfs/dbraw/zinc/40/13/40/1133401340.db2.gz AUCUWKTWICNAPA-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ncc(Cl)cc3C2)s1 ZINC001204033964 1133401345 /nfs/dbraw/zinc/40/13/45/1133401345.db2.gz AUCUWKTWICNAPA-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(SC)cc1)C2 ZINC001204142888 1133410439 /nfs/dbraw/zinc/41/04/39/1133410439.db2.gz XTDAXOWWRKLRAJ-UHFFFAOYSA-N 1 2 285.412 3.933 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(SC)cc1)C2 ZINC001204142888 1133410443 /nfs/dbraw/zinc/41/04/43/1133410443.db2.gz XTDAXOWWRKLRAJ-UHFFFAOYSA-N 1 2 285.412 3.933 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCOC[C@H]1C1CCCCC1 ZINC001204207309 1133419471 /nfs/dbraw/zinc/41/94/71/1133419471.db2.gz KHVYKGZNJZMDFX-KRWDZBQOSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCOC[C@H]1C1CCCCC1 ZINC001204207309 1133419474 /nfs/dbraw/zinc/41/94/74/1133419474.db2.gz KHVYKGZNJZMDFX-KRWDZBQOSA-N 1 2 295.373 3.746 20 0 CHADLO COc1ccc2cc(C[N@@H+]3C[C@@H](F)C[C@H]3CF)ccc2c1 ZINC001204515856 1133456180 /nfs/dbraw/zinc/45/61/80/1133456180.db2.gz NYQOBXHKWMZENY-HOTGVXAUSA-N 1 2 291.341 3.730 20 0 CHADLO COc1ccc2cc(C[N@H+]3C[C@@H](F)C[C@H]3CF)ccc2c1 ZINC001204515856 1133456182 /nfs/dbraw/zinc/45/61/82/1133456182.db2.gz NYQOBXHKWMZENY-HOTGVXAUSA-N 1 2 291.341 3.730 20 0 CHADLO COc1ccc2cc(C[N@@H+]3Cc4cccnc4C3)ccc2c1 ZINC001204515129 1133456395 /nfs/dbraw/zinc/45/63/95/1133456395.db2.gz BYSUJDYCNDLRFI-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1ccc2cc(C[N@H+]3Cc4cccnc4C3)ccc2c1 ZINC001204515129 1133456398 /nfs/dbraw/zinc/45/63/98/1133456398.db2.gz BYSUJDYCNDLRFI-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO CC(=O)C[N@@H+](C)Cc1ccc(-c2cccc(Cl)c2)o1 ZINC001204695710 1133477862 /nfs/dbraw/zinc/47/78/62/1133477862.db2.gz ZAEBYFUPSMHPTE-UHFFFAOYSA-N 1 2 277.751 3.621 20 0 CHADLO CC(=O)C[N@H+](C)Cc1ccc(-c2cccc(Cl)c2)o1 ZINC001204695710 1133477865 /nfs/dbraw/zinc/47/78/65/1133477865.db2.gz ZAEBYFUPSMHPTE-UHFFFAOYSA-N 1 2 277.751 3.621 20 0 CHADLO Cc1cc2cc(Nc3ccccc3-n3cc[nH+]c3)cnc2[nH]1 ZINC001204921054 1133499710 /nfs/dbraw/zinc/49/97/10/1133499710.db2.gz YASKIUDOMPWYPH-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1ccc2nc(Nc3ccn4cc[nH+]c4c3)sc2c1 ZINC001204951692 1133507311 /nfs/dbraw/zinc/50/73/11/1133507311.db2.gz XAEXQZCHCUVNHQ-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO Fc1cc(Nc2ccn3cc[nH+]c3c2)c(Cl)nc1Cl ZINC001204959390 1133508119 /nfs/dbraw/zinc/50/81/19/1133508119.db2.gz MCUZMEHGKAPOLT-UHFFFAOYSA-N 1 2 297.120 3.919 20 0 CHADLO COc1c(Cl)cccc1Nc1ccn2cc[nH+]c2c1 ZINC001204970925 1133510093 /nfs/dbraw/zinc/51/00/93/1133510093.db2.gz BQLMPSGTNSUVIZ-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO Cc1noc2ccc(Nc3ccn4cc[nH+]c4c3)cc12 ZINC001204982698 1133511231 /nfs/dbraw/zinc/51/12/31/1133511231.db2.gz FVJRQHTZFMVVFK-UHFFFAOYSA-N 1 2 264.288 3.528 20 0 CHADLO Oc1cc(Nc2ccn3cc[nH+]c3c2)c(F)cc1Cl ZINC001204978504 1133511528 /nfs/dbraw/zinc/51/15/28/1133511528.db2.gz SVAJBNKZJFKJBP-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO Oc1ccc2ccc(Nc3ccn4cc[nH+]c4c3)cc2c1 ZINC001204978245 1133511722 /nfs/dbraw/zinc/51/17/22/1133511722.db2.gz RCUXBPFVFRPCKA-UHFFFAOYSA-N 1 2 275.311 3.937 20 0 CHADLO Nc1ccc(C(F)(F)F)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204978626 1133511829 /nfs/dbraw/zinc/51/18/29/1133511829.db2.gz WVWRNTKUJCOMRV-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO c1cn2ccc(Nc3cccc4ncsc43)cc2[nH+]1 ZINC001204983645 1133512388 /nfs/dbraw/zinc/51/23/88/1133512388.db2.gz YJKHHBZYRIBMCS-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO Cc1c(F)ccc(Nc2ccn3cc[nH+]c3c2)c1C ZINC001204990818 1133513601 /nfs/dbraw/zinc/51/36/01/1133513601.db2.gz GXPBBPBUYZHVED-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO COc1cccc(Cl)c1Nc1ccn2cc[nH+]c2c1 ZINC001204990804 1133514009 /nfs/dbraw/zinc/51/40/09/1133514009.db2.gz GFZPTQIDQOAPQU-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO CSc1ccc(Nc2ccn3cc[nH+]c3c2)c(F)c1 ZINC001204996980 1133514903 /nfs/dbraw/zinc/51/49/03/1133514903.db2.gz DRUYZJWIGCDLJU-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO Cc1cc(Nc2ccn3cc[nH+]c3c2)cc(F)c1F ZINC001205005335 1133515777 /nfs/dbraw/zinc/51/57/77/1133515777.db2.gz FETCMNOJYJBZGA-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO Clc1ncnc2ccc(Nc3ccn4cc[nH+]c4c3)cc12 ZINC001205006092 1133515786 /nfs/dbraw/zinc/51/57/86/1133515786.db2.gz SAZRBTFTGZTVKA-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC(F)(F)[C@H](F)C2)ccc1F ZINC001205162998 1133539362 /nfs/dbraw/zinc/53/93/62/1133539362.db2.gz ZEIISURITKMOGT-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cc(C[N@H+]2CCCC(F)(F)[C@H](F)C2)ccc1F ZINC001205162998 1133539366 /nfs/dbraw/zinc/53/93/66/1133539366.db2.gz ZEIISURITKMOGT-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccc(C(F)(F)F)nc1)C2 ZINC001205359339 1133568959 /nfs/dbraw/zinc/56/89/59/1133568959.db2.gz SKFNSCCWDIKMOR-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccc(C(F)(F)F)nc1)C2 ZINC001205359339 1133568964 /nfs/dbraw/zinc/56/89/64/1133568964.db2.gz SKFNSCCWDIKMOR-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(C)cc1OCOC ZINC001205460171 1133579195 /nfs/dbraw/zinc/57/91/95/1133579195.db2.gz FWAKDXNZBYPNGT-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO CCn1cc(C[N@@H+]2CCC[C@H]2c2cc(F)ccc2F)cn1 ZINC001205538597 1133586306 /nfs/dbraw/zinc/58/63/06/1133586306.db2.gz QFKSDZHKQUHFDG-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cc(C[N@H+]2CCC[C@H]2c2cc(F)ccc2F)cn1 ZINC001205538597 1133586310 /nfs/dbraw/zinc/58/63/10/1133586310.db2.gz QFKSDZHKQUHFDG-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO Sc1ccccc1-c1ccc(CC[NH+]2CCOCC2)cc1 ZINC001205655521 1133600421 /nfs/dbraw/zinc/60/04/21/1133600421.db2.gz VPJHQUOYXLIVTQ-UHFFFAOYSA-N 1 2 299.439 3.517 20 0 CHADLO CC[C@@H](C)[C@H](C[N@@H+]1CCn2c(cc3ccccc32)C1)OC ZINC001206032115 1133644957 /nfs/dbraw/zinc/64/49/57/1133644957.db2.gz NGMGYMSFQADVMA-KDOFPFPSSA-N 1 2 286.419 3.518 20 0 CHADLO CC[C@@H](C)[C@H](C[N@H+]1CCn2c(cc3ccccc32)C1)OC ZINC001206032115 1133644958 /nfs/dbraw/zinc/64/49/58/1133644958.db2.gz NGMGYMSFQADVMA-KDOFPFPSSA-N 1 2 286.419 3.518 20 0 CHADLO Cc1c[nH]c2ncc(Nc3[nH+]cccc3C3CC3)cc12 ZINC001206033291 1133645233 /nfs/dbraw/zinc/64/52/33/1133645233.db2.gz LKTNUBYKFMZSOP-UHFFFAOYSA-N 1 2 264.332 3.887 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cc(F)cc(F)c2F)c1 ZINC001206375491 1133695424 /nfs/dbraw/zinc/69/54/24/1133695424.db2.gz FLOYFAFHRMSPRT-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cc3n[nH]cc3c(F)c2)c1 ZINC001206593739 1133724046 /nfs/dbraw/zinc/72/40/46/1133724046.db2.gz FWQVHVVFZAHTKJ-UHFFFAOYSA-N 1 2 283.306 3.731 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cc3n[nH]cc3c(F)c2)cc1 ZINC001206593622 1133724404 /nfs/dbraw/zinc/72/44/04/1133724404.db2.gz ANGUHYTYDIDBSE-UHFFFAOYSA-N 1 2 283.306 3.731 20 0 CHADLO C=Cc1cccc(-c2ccc(C[NH+]3CCOCC3)cc2F)c1 ZINC001206704506 1133734370 /nfs/dbraw/zinc/73/43/70/1133734370.db2.gz WXOHBSSOOKUWBU-UHFFFAOYSA-N 1 2 297.373 3.968 20 0 CHADLO Oc1cc(F)c(-c2cc(N3CCCCC3)cc[nH+]2)cc1F ZINC001206767703 1133746389 /nfs/dbraw/zinc/74/63/89/1133746389.db2.gz IEGBQHFGDBSEAM-UHFFFAOYSA-N 1 2 290.313 3.723 20 0 CHADLO CC[N@H+](Cc1ccc(C)cc1)Cc1ncc(F)cc1F ZINC001207103377 1133767853 /nfs/dbraw/zinc/76/78/53/1133767853.db2.gz SAGPDFPBHAQCPX-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccc(C)cc1)Cc1ncc(F)cc1F ZINC001207103377 1133767859 /nfs/dbraw/zinc/76/78/59/1133767859.db2.gz SAGPDFPBHAQCPX-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccc(C(F)F)c1 ZINC001207132222 1133771097 /nfs/dbraw/zinc/77/10/97/1133771097.db2.gz URYQDHCSCWZQAR-AWEZNQCLSA-N 1 2 267.319 3.568 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cccc(C(F)F)c1 ZINC001207132222 1133771100 /nfs/dbraw/zinc/77/11/00/1133771100.db2.gz URYQDHCSCWZQAR-AWEZNQCLSA-N 1 2 267.319 3.568 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](CCc1cccc(Cl)c1)C2 ZINC001207425112 1133799902 /nfs/dbraw/zinc/79/99/02/1133799902.db2.gz BDLRKICLKBCMSF-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](CCc1cccc(Cl)c1)C2 ZINC001207425112 1133799906 /nfs/dbraw/zinc/79/99/06/1133799906.db2.gz BDLRKICLKBCMSF-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO COC1(CNc2[nH+]c3ccccc3n2C(C)C)CCC1 ZINC001207873064 1133857701 /nfs/dbraw/zinc/85/77/01/1133857701.db2.gz KXLUZSYSLBPPOA-UHFFFAOYSA-N 1 2 273.380 3.598 20 0 CHADLO C[C@H](Cc1ccc(C(C)(C)C)cc1)C[N@@H+]1CCCC(=O)C1 ZINC001207916748 1133864748 /nfs/dbraw/zinc/86/47/48/1133864748.db2.gz OEBDDFXSQFOYLC-OAHLLOKOSA-N 1 2 287.447 3.828 20 0 CHADLO C[C@H](Cc1ccc(C(C)(C)C)cc1)C[N@H+]1CCCC(=O)C1 ZINC001207916748 1133864754 /nfs/dbraw/zinc/86/47/54/1133864754.db2.gz OEBDDFXSQFOYLC-OAHLLOKOSA-N 1 2 287.447 3.828 20 0 CHADLO COC(C)(C)CCC[C@H](C)CC[N@H+](C)CC(F)F ZINC001208212244 1133909712 /nfs/dbraw/zinc/90/97/12/1133909712.db2.gz IATCDJNNUYIVQG-LBPRGKRZSA-N 1 2 265.388 3.805 20 0 CHADLO COC(C)(C)CCC[C@H](C)CC[N@@H+](C)CC(F)F ZINC001208212244 1133909716 /nfs/dbraw/zinc/90/97/16/1133909716.db2.gz IATCDJNNUYIVQG-LBPRGKRZSA-N 1 2 265.388 3.805 20 0 CHADLO C[C@H](CC[N@@H+]1CC(F)(F)CC[C@@H]1CO)CC(C)(C)C ZINC001208655332 1133922938 /nfs/dbraw/zinc/92/29/38/1133922938.db2.gz QRNPUFZBXXXRBJ-CHWSQXEVSA-N 1 2 277.399 3.541 20 0 CHADLO C[C@H](CC[N@H+]1CC(F)(F)CC[C@@H]1CO)CC(C)(C)C ZINC001208655332 1133922939 /nfs/dbraw/zinc/92/29/39/1133922939.db2.gz QRNPUFZBXXXRBJ-CHWSQXEVSA-N 1 2 277.399 3.541 20 0 CHADLO C[C@H](C[N@@H+]1CCC[C@@H](F)C(=O)C1)c1cccc2ccccc21 ZINC001208707873 1133932934 /nfs/dbraw/zinc/93/29/34/1133932934.db2.gz UUHYJLIVCPJASJ-RDTXWAMCSA-N 1 2 299.389 3.946 20 0 CHADLO C[C@H](C[N@H+]1CCC[C@@H](F)C(=O)C1)c1cccc2ccccc21 ZINC001208707873 1133932937 /nfs/dbraw/zinc/93/29/37/1133932937.db2.gz UUHYJLIVCPJASJ-RDTXWAMCSA-N 1 2 299.389 3.946 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc(C)c2ncccc12 ZINC000090204449 1133987324 /nfs/dbraw/zinc/98/73/24/1133987324.db2.gz DUOUAVQARYBXSV-UHFFFAOYSA-N 1 2 280.375 3.762 20 0 CHADLO FC1(F)CC[N@H+](CCCCCc2ccccc2)C1 ZINC001209226920 1133991221 /nfs/dbraw/zinc/99/12/21/1133991221.db2.gz BSUSALVZTSEQTE-UHFFFAOYSA-N 1 2 253.336 3.740 20 0 CHADLO FC1(F)CC[N@@H+](CCCCCc2ccccc2)C1 ZINC001209226920 1133991225 /nfs/dbraw/zinc/99/12/25/1133991225.db2.gz BSUSALVZTSEQTE-UHFFFAOYSA-N 1 2 253.336 3.740 20 0 CHADLO CC/C=C\CCCCCC[N@@H+]1Cc2cnn(C)c2C1 ZINC001209284770 1134000873 /nfs/dbraw/zinc/00/08/73/1134000873.db2.gz BVDOCECASRSHHX-PLNGDYQASA-N 1 2 261.413 3.652 20 0 CHADLO CC/C=C\CCCCCC[N@H+]1Cc2cnn(C)c2C1 ZINC001209284770 1134000877 /nfs/dbraw/zinc/00/08/77/1134000877.db2.gz BVDOCECASRSHHX-PLNGDYQASA-N 1 2 261.413 3.652 20 0 CHADLO FC(F)(F)c1ccccc1CC[N@@H+]1CCCCC12COC2 ZINC001209284978 1134002474 /nfs/dbraw/zinc/00/24/74/1134002474.db2.gz ZBDQRPJCHMHURC-UHFFFAOYSA-N 1 2 299.336 3.503 20 0 CHADLO FC(F)(F)c1ccccc1CC[N@H+]1CCCCC12COC2 ZINC001209284978 1134002478 /nfs/dbraw/zinc/00/24/78/1134002478.db2.gz ZBDQRPJCHMHURC-UHFFFAOYSA-N 1 2 299.336 3.503 20 0 CHADLO C[C@H]1C[C@H]1C[N@H+]1Cc2nc(-c3ccccc3)sc2C1 ZINC001209425327 1134015382 /nfs/dbraw/zinc/01/53/82/1134015382.db2.gz FBYRUUZJIHEWJH-AAEUAGOBSA-N 1 2 270.401 3.782 20 0 CHADLO C[C@H]1C[C@H]1C[N@@H+]1Cc2nc(-c3ccccc3)sc2C1 ZINC001209425327 1134015389 /nfs/dbraw/zinc/01/53/89/1134015389.db2.gz FBYRUUZJIHEWJH-AAEUAGOBSA-N 1 2 270.401 3.782 20 0 CHADLO c1ncc(C[N@H+](Cc2ccccc2)Cc2ccncc2)s1 ZINC001209545952 1134036239 /nfs/dbraw/zinc/03/62/39/1134036239.db2.gz CEOVGKQDIFNCSB-UHFFFAOYSA-N 1 2 295.411 3.741 20 0 CHADLO c1ncc(C[N@@H+](Cc2ccccc2)Cc2ccncc2)s1 ZINC001209545952 1134036244 /nfs/dbraw/zinc/03/62/44/1134036244.db2.gz CEOVGKQDIFNCSB-UHFFFAOYSA-N 1 2 295.411 3.741 20 0 CHADLO Cc1[nH]ncc1C[NH+]1CCC(n2ccc3ccccc32)CC1 ZINC001209556905 1134036766 /nfs/dbraw/zinc/03/67/66/1134036766.db2.gz INXGPDSRVSJFAA-UHFFFAOYSA-N 1 2 294.402 3.510 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc(C)[nH]2)Cc2ccccc2)on1 ZINC001209578642 1134038502 /nfs/dbraw/zinc/03/85/02/1134038502.db2.gz LECLGUXOGRSXOQ-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc(C)[nH]2)Cc2ccccc2)on1 ZINC001209578642 1134038509 /nfs/dbraw/zinc/03/85/09/1134038509.db2.gz LECLGUXOGRSXOQ-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO COc1c(Cl)ccc(C[N@@H+](C)Cc2cccnc2)c1F ZINC001209699783 1134050375 /nfs/dbraw/zinc/05/03/75/1134050375.db2.gz UGUIILUHVQUMIN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1c(Cl)ccc(C[N@H+](C)Cc2cccnc2)c1F ZINC001209699783 1134050368 /nfs/dbraw/zinc/05/03/68/1134050368.db2.gz UGUIILUHVQUMIN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc3cc(Cl)ccc3[nH]2)CCC1=O ZINC001209719640 1134054179 /nfs/dbraw/zinc/05/41/79/1134054179.db2.gz ZBDDGLSEJPQKFC-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc3cc(Cl)ccc3[nH]2)CCC1=O ZINC001209719640 1134054185 /nfs/dbraw/zinc/05/41/85/1134054185.db2.gz ZBDDGLSEJPQKFC-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+](Cc2n[nH]c3ccc(Cl)cc32)C1 ZINC001209755442 1134057557 /nfs/dbraw/zinc/05/75/57/1134057557.db2.gz KXFYFPORKNGHHQ-SECBINFHSA-N 1 2 299.752 3.693 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+](Cc2n[nH]c3ccc(Cl)cc32)C1 ZINC001209755442 1134057562 /nfs/dbraw/zinc/05/75/62/1134057562.db2.gz KXFYFPORKNGHHQ-SECBINFHSA-N 1 2 299.752 3.693 20 0 CHADLO Clc1ccc2n[nH]c(C[NH+]3CC4(C3)CCCCC4)c2c1 ZINC001209760454 1134061110 /nfs/dbraw/zinc/06/11/10/1134061110.db2.gz XDOPHAQPXUTZLB-UHFFFAOYSA-N 1 2 289.810 3.982 20 0 CHADLO Clc1ccc2[nH]nc(C[NH+]3CC4(C3)CCCCC4)c2c1 ZINC001209760454 1134061118 /nfs/dbraw/zinc/06/11/18/1134061118.db2.gz XDOPHAQPXUTZLB-UHFFFAOYSA-N 1 2 289.810 3.982 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2Nc1ccc2c(c1)NCCO2 ZINC001209792938 1134064257 /nfs/dbraw/zinc/06/42/57/1134064257.db2.gz ZXQQKNLUMHESMQ-UHFFFAOYSA-N 1 2 277.327 3.783 20 0 CHADLO CC(C)(C)c1cc(Nc2ccc3[nH]c[nH+]c3c2)on1 ZINC001209842055 1134078650 /nfs/dbraw/zinc/07/86/50/1134078650.db2.gz ALLTTZGBHFOYTH-UHFFFAOYSA-N 1 2 256.309 3.592 20 0 CHADLO Fc1nc(Nc2ccc3[nH]c[nH+]c3c2)c(F)c(Cl)c1F ZINC001209847328 1134081192 /nfs/dbraw/zinc/08/11/92/1134081192.db2.gz CDVCOGSLILMTBQ-UHFFFAOYSA-N 1 2 298.655 3.772 20 0 CHADLO c1[nH]c2ccc(Nc3cc(-c4ccccc4)cnn3)cc2[nH+]1 ZINC001209848355 1134084562 /nfs/dbraw/zinc/08/45/62/1134084562.db2.gz KMBPCYSWJFMMNX-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO FC(F)(F)c1ccc2c(Nc3ccnnc3)cc[nH+]c2c1 ZINC001209953162 1134108673 /nfs/dbraw/zinc/10/86/73/1134108673.db2.gz DALYTCUJFRDFRC-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO Cc1cn2cccc(Nc3ccc4c(c3)OCCCO4)c2[nH+]1 ZINC001209998906 1134119777 /nfs/dbraw/zinc/11/97/77/1134119777.db2.gz HBDDJCJNISGCGI-UHFFFAOYSA-N 1 2 295.342 3.548 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)OCCCO3)c(C2CC2)c1 ZINC001210003054 1134119840 /nfs/dbraw/zinc/11/98/40/1134119840.db2.gz DCZCZOPSVQHTFA-UHFFFAOYSA-N 1 2 282.343 3.864 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)ccc1N(C)C ZINC001210040082 1134131509 /nfs/dbraw/zinc/13/15/09/1134131509.db2.gz PMMVMEGNXQVOQE-UHFFFAOYSA-N 1 2 296.418 3.800 20 0 CHADLO Cc1cc(Nc2cccc3cnn(C)c32)ccc1[NH+](C)C ZINC001210042757 1134132024 /nfs/dbraw/zinc/13/20/24/1134132024.db2.gz CEDPCYGYGWFONZ-UHFFFAOYSA-N 1 2 280.375 3.691 20 0 CHADLO Cc1cc(-n2ccc3c2cc(N)cc3F)ccc1[NH+](C)C ZINC001210045437 1134132915 /nfs/dbraw/zinc/13/29/15/1134132915.db2.gz SUXDEAVXVJNJMQ-UHFFFAOYSA-N 1 2 283.350 3.726 20 0 CHADLO Cc1cc(Nc2cccc3c2cnn3C)ccc1[NH+](C)C ZINC001210043465 1134133032 /nfs/dbraw/zinc/13/30/32/1134133032.db2.gz NSDNQAOPZWUIFB-UHFFFAOYSA-N 1 2 280.375 3.691 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210043688 1134133088 /nfs/dbraw/zinc/13/30/88/1134133088.db2.gz INAHGEYKBVCVCZ-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO CC(=O)c1cccnc1Nc1ccc2c[nH+]ccc2c1 ZINC001210044460 1134133621 /nfs/dbraw/zinc/13/36/21/1134133621.db2.gz QIQUEOJGPGOZGJ-UHFFFAOYSA-N 1 2 263.300 3.576 20 0 CHADLO CC(C)c1nccnc1Nc1ccc2c[nH+]ccc2c1 ZINC001210047066 1134134559 /nfs/dbraw/zinc/13/45/59/1134134559.db2.gz IRQUQEXCMATPCH-UHFFFAOYSA-N 1 2 264.332 3.892 20 0 CHADLO COc1ccc(C(C)=O)cc1Nc1cccc2[nH+]c(C)cn21 ZINC001210076846 1134141703 /nfs/dbraw/zinc/14/17/03/1134141703.db2.gz XDEQPLUEFJKABH-UHFFFAOYSA-N 1 2 295.342 3.598 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cnccc1C(F)(F)F ZINC001210093002 1134143959 /nfs/dbraw/zinc/14/39/59/1134143959.db2.gz HPRPACBMXATSDZ-UHFFFAOYSA-N 1 2 283.253 3.638 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cnccc2C(F)(F)F)c1 ZINC001210092348 1134144134 /nfs/dbraw/zinc/14/41/34/1134144134.db2.gz XZSMMWJUCFXFPR-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO CCOc1cc(Nc2cnccc2C(F)(F)F)cc(C)[nH+]1 ZINC001210093827 1134145043 /nfs/dbraw/zinc/14/50/43/1134145043.db2.gz YUBVHSZNXPVMCD-UHFFFAOYSA-N 1 2 297.280 3.946 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(Cl)c2O)[nH+]1 ZINC001210216663 1134169280 /nfs/dbraw/zinc/16/92/80/1134169280.db2.gz MAEVELUZWBUPHC-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO CCCc1cc(Nc2cccc3cc[nH+]cc32)on1 ZINC001210222359 1134170047 /nfs/dbraw/zinc/17/00/47/1134170047.db2.gz DEXJASVNEJZMCK-UHFFFAOYSA-N 1 2 253.305 3.919 20 0 CHADLO c1ccc2nc(Nc3cccc4cc[nH+]cc43)ncc2c1 ZINC001210222512 1134170248 /nfs/dbraw/zinc/17/02/48/1134170248.db2.gz LDPBEUYLJNLZEI-UHFFFAOYSA-N 1 2 272.311 3.922 20 0 CHADLO COc1ncc(F)cc1Nc1cccc2cc[nH+]cc21 ZINC001210224015 1134171657 /nfs/dbraw/zinc/17/16/57/1134171657.db2.gz AVTXRUSOFIJETG-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO COc1nccc(C)c1Nc1cccc2cc[nH+]cc21 ZINC001210224388 1134171904 /nfs/dbraw/zinc/17/19/04/1134171904.db2.gz YXDRGIGKWBPWMM-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO CC(C)n1cnc(Nc2cccc3cc[nH+]cc32)c1 ZINC001210225481 1134172313 /nfs/dbraw/zinc/17/23/13/1134172313.db2.gz HQCREKSAJXTKPH-UHFFFAOYSA-N 1 2 252.321 3.756 20 0 CHADLO c1c(Nc2cccc3cc[nH+]cc32)nn2ccccc12 ZINC001210225529 1134172907 /nfs/dbraw/zinc/17/29/07/1134172907.db2.gz IMJGJWJYFITIEM-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1ccc(N)c(N(C)c2cccc3cc[nH+]cc32)c1 ZINC001210232917 1134174999 /nfs/dbraw/zinc/17/49/99/1134174999.db2.gz YHIRSBZLSGLRGA-UHFFFAOYSA-N 1 2 263.344 3.893 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)nc3ccccc32)cc1N ZINC001210286975 1134185437 /nfs/dbraw/zinc/18/54/37/1134185437.db2.gz HPSRXLXMCHUXNY-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cc(C3CCCCC3)no2)cc1N ZINC001210286226 1134185610 /nfs/dbraw/zinc/18/56/10/1134185610.db2.gz YNSVBFIORYPDMN-UHFFFAOYSA-N 1 2 272.352 3.752 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)ccc2Br)cc1N ZINC001210287999 1134185740 /nfs/dbraw/zinc/18/57/40/1134185740.db2.gz GKJDEJKNJIQPAO-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1[nH+]cc(Nc2cccnc2-c2ccccc2)cc1N ZINC001210287619 1134185798 /nfs/dbraw/zinc/18/57/98/1134185798.db2.gz YGJYPRVWDCKGQJ-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)cc2C(F)(F)F)cc1N ZINC001210288974 1134186796 /nfs/dbraw/zinc/18/67/96/1134186796.db2.gz YQLJPAMKTNINNE-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3c(c2)CCCCC3=O)cc1N ZINC001210289469 1134187035 /nfs/dbraw/zinc/18/70/35/1134187035.db2.gz MWYJMPAJWFCMPI-UHFFFAOYSA-N 1 2 281.359 3.625 20 0 CHADLO c1cn(-c2ccc(Nc3[nH+]ccc4ccccc43)cc2)nn1 ZINC001210294537 1134187739 /nfs/dbraw/zinc/18/77/39/1134187739.db2.gz ZPMFZNWCZHFXPL-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2-c2nccs2)cc1N ZINC001210292987 1134188007 /nfs/dbraw/zinc/18/80/07/1134188007.db2.gz DELUTFYBCUJUFY-UHFFFAOYSA-N 1 2 282.372 3.839 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(OC(F)F)nc2)cc1 ZINC001210303423 1134188965 /nfs/dbraw/zinc/18/89/65/1134188965.db2.gz KMKREXRVVHFFTF-UHFFFAOYSA-N 1 2 293.317 3.883 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(OC(F)F)nc2)cc1 ZINC001210303423 1134188968 /nfs/dbraw/zinc/18/89/68/1134188968.db2.gz KMKREXRVVHFFTF-UHFFFAOYSA-N 1 2 293.317 3.883 20 0 CHADLO COc1ncc(Nc2ccc3c(C)cc[nH+]c3c2)cc1C ZINC001210317258 1134191621 /nfs/dbraw/zinc/19/16/21/1134191621.db2.gz KVPHEHPDNZVOEE-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(OC(F)F)cc1 ZINC001210327998 1134193699 /nfs/dbraw/zinc/19/36/99/1134193699.db2.gz VTVJEFHONWQMDI-UHFFFAOYSA-N 1 2 289.285 3.518 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(-c3nccn3C)cc2)c1 ZINC001210428993 1134212309 /nfs/dbraw/zinc/21/23/09/1134212309.db2.gz BMUDAFSXVLVZGO-UHFFFAOYSA-N 1 2 264.332 3.534 20 0 CHADLO Cc1ccc(Nc2ccc(-c3nccn3C)cc2)[nH+]c1 ZINC001210430448 1134212973 /nfs/dbraw/zinc/21/29/73/1134212973.db2.gz LJYMQAASQHRYEH-UHFFFAOYSA-N 1 2 264.332 3.534 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3ncncc3c2)c1 ZINC001210456462 1134218897 /nfs/dbraw/zinc/21/88/97/1134218897.db2.gz XWMVWIOKFVPYAL-UHFFFAOYSA-N 1 2 264.332 3.721 20 0 CHADLO CCc1ncccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001210468224 1134222578 /nfs/dbraw/zinc/22/25/78/1134222578.db2.gz SWMQNGYAECPCFV-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO CCc1cccc(Nc2ccc(OCOC)cc2C)[nH+]1 ZINC001210491172 1134227872 /nfs/dbraw/zinc/22/78/72/1134227872.db2.gz SXLSJCYNHLAEOG-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO C[C@@H](O)c1cccc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001210503532 1134232600 /nfs/dbraw/zinc/23/26/00/1134232600.db2.gz LYWKFGLQLOWCPQ-CQSZACIVSA-N 1 2 297.402 3.869 20 0 CHADLO C[C@H](O)c1cccc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001210508587 1134234407 /nfs/dbraw/zinc/23/44/07/1134234407.db2.gz VPMFKCVTOXREFT-JTQLQIEISA-N 1 2 287.750 3.785 20 0 CHADLO Cc1cc(C)c(Nc2ccc(NC(=O)N(C)C)cc2)c[nH+]1 ZINC001210630704 1134263690 /nfs/dbraw/zinc/26/36/90/1134263690.db2.gz TXVNFABIINKPHS-UHFFFAOYSA-N 1 2 284.363 3.536 20 0 CHADLO C[C@H](O)c1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001210648949 1134268484 /nfs/dbraw/zinc/26/84/84/1134268484.db2.gz ORGDIIOSPMLPFT-ZDUSSCGKSA-N 1 2 279.343 3.669 20 0 CHADLO CCc1cccc(Nc2ccc(OC)cc2CC)[nH+]1 ZINC001210715014 1134281238 /nfs/dbraw/zinc/28/12/38/1134281238.db2.gz GTEYGPJNMQDKPY-UHFFFAOYSA-N 1 2 256.349 3.959 20 0 CHADLO COc1ccc2ncc(Nc3cc(C)[nH+]c(OC)c3)cc2c1 ZINC001210774656 1134292903 /nfs/dbraw/zinc/29/29/03/1134292903.db2.gz QDAAODJWSBHXQI-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO COc1cc(Nc2c(OC)ccc3cccnc32)cc(C)[nH+]1 ZINC001210783252 1134295511 /nfs/dbraw/zinc/29/55/11/1134295511.db2.gz DKLJZRJOEREVLA-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO COc1cc(Nc2ccc(C3CCC3)nc2)cc(C)[nH+]1 ZINC001210779295 1134295555 /nfs/dbraw/zinc/29/55/55/1134295555.db2.gz KBPFSUCPFMWNLL-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO CCOc1c(F)cccc1Nc1cc(C)[nH+]c(OC)c1 ZINC001210783029 1134295576 /nfs/dbraw/zinc/29/55/76/1134295576.db2.gz AQBAFZBMLJMOFK-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO c1ccc(OC2CC2)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001210834566 1134307787 /nfs/dbraw/zinc/30/77/87/1134307787.db2.gz ARNGSTHJWMWTLY-UHFFFAOYSA-N 1 2 295.386 3.967 20 0 CHADLO COc1c(F)cc(F)cc1Nc1cc(C)cc(C)[nH+]1 ZINC001210865849 1134318932 /nfs/dbraw/zinc/31/89/32/1134318932.db2.gz ZXIROZPCZPUZOO-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CSc1ccc(Nc2cccn3cc[nH+]c23)c(F)c1 ZINC001210869481 1134319984 /nfs/dbraw/zinc/31/99/84/1134319984.db2.gz HJEPPVGCIGKIJQ-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c(c2)C(=O)CCC3)[nH+]1 ZINC001210930378 1134329115 /nfs/dbraw/zinc/32/91/15/1134329115.db2.gz BVMWUGAQAWJQPG-UHFFFAOYSA-N 1 2 291.354 3.905 20 0 CHADLO C[N@@H+]1CCc2ccc(Nc3cccc(S)c3)cc2C1 ZINC001210949663 1134337652 /nfs/dbraw/zinc/33/76/52/1134337652.db2.gz KNPVJWLVOKTJSJ-UHFFFAOYSA-N 1 2 270.401 3.707 20 0 CHADLO C[N@H+]1CCc2ccc(Nc3cccc(S)c3)cc2C1 ZINC001210949663 1134337659 /nfs/dbraw/zinc/33/76/59/1134337659.db2.gz KNPVJWLVOKTJSJ-UHFFFAOYSA-N 1 2 270.401 3.707 20 0 CHADLO C=C(Nc1ccccc1C[NH+]1CCOCC1)c1ccccc1 ZINC001210987771 1134343836 /nfs/dbraw/zinc/34/38/36/1134343836.db2.gz UQXRULQBUHNMOW-UHFFFAOYSA-N 1 2 294.398 3.602 20 0 CHADLO Cc1cc(Oc2ccc(Nc3ccncn3)cc2)cc[nH+]1 ZINC001211030363 1134353134 /nfs/dbraw/zinc/35/31/34/1134353134.db2.gz FMTRGZPLSYPYSW-UHFFFAOYSA-N 1 2 278.315 3.716 20 0 CHADLO COCc1cncc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001211077245 1134363934 /nfs/dbraw/zinc/36/39/34/1134363934.db2.gz AUHVMUIWBDIJMA-UHFFFAOYSA-N 1 2 297.402 3.962 20 0 CHADLO Cc1c(CO)cccc1Nc1cccc(C(C)C)[nH+]1 ZINC001211162285 1134381906 /nfs/dbraw/zinc/38/19/06/1134381906.db2.gz VONFWIMIRNCNJH-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO Oc1cc(F)c(-c2ccc(C3=[NH+]CCC3)cc2)cc1F ZINC001211338400 1134410415 /nfs/dbraw/zinc/41/04/15/1134410415.db2.gz DPPMPURZMNJLAQ-UHFFFAOYSA-N 1 2 273.282 3.920 20 0 CHADLO Cc1[nH]c2ccc(-n3ccc4c3cc(F)cc4N)cc2[nH+]1 ZINC001213076307 1134423788 /nfs/dbraw/zinc/42/37/88/1134423788.db2.gz PRWUITZSMNGZOI-UHFFFAOYSA-N 1 2 280.306 3.537 20 0 CHADLO COc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1O ZINC001213088027 1134424100 /nfs/dbraw/zinc/42/41/00/1134424100.db2.gz LYVLFGGBSUAYHG-UHFFFAOYSA-N 1 2 281.315 3.535 20 0 CHADLO CC(=O)c1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cnc1C ZINC001213088017 1134424593 /nfs/dbraw/zinc/42/45/93/1134424593.db2.gz LHFUZJMMRTZSFX-UHFFFAOYSA-N 1 2 292.342 3.726 20 0 CHADLO Fc1cc(F)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)nc1F ZINC001213085450 1134424954 /nfs/dbraw/zinc/42/49/54/1134424954.db2.gz GCYHYBKHTHKTQK-UHFFFAOYSA-N 1 2 290.248 3.633 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1O ZINC001213089748 1134425331 /nfs/dbraw/zinc/42/53/31/1134425331.db2.gz BYEMHNHRKWALPK-UHFFFAOYSA-N 1 2 293.326 3.729 20 0 CHADLO Nc1cc(F)cc2c1ccn2-c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090774 1134425703 /nfs/dbraw/zinc/42/57/03/1134425703.db2.gz FUWYAIDUVXUVJW-UHFFFAOYSA-N 1 2 292.317 3.742 20 0 CHADLO Cc1ccc(CO)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213091896 1134426129 /nfs/dbraw/zinc/42/61/29/1134426129.db2.gz QEUCNNNSSSDINO-UHFFFAOYSA-N 1 2 279.343 3.621 20 0 CHADLO CCn1cc(Nc2cc(C3CC3)c[nH+]c2C)c(C)n1 ZINC001213491579 1134454216 /nfs/dbraw/zinc/45/42/16/1134454216.db2.gz NRVHQBQIYLJSLU-UHFFFAOYSA-N 1 2 256.353 3.536 20 0 CHADLO COC(=O)Cc1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1 ZINC001213499388 1134455226 /nfs/dbraw/zinc/45/52/26/1134455226.db2.gz IPJNLEBQCWJIRF-UHFFFAOYSA-N 1 2 296.370 3.727 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cn(CC(F)(F)F)cn1 ZINC001213497074 1134455594 /nfs/dbraw/zinc/45/55/94/1134455594.db2.gz HCFGBUJOOADHFW-UHFFFAOYSA-N 1 2 296.296 3.770 20 0 CHADLO COC(=O)c1cccc(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213499155 1134455660 /nfs/dbraw/zinc/45/56/60/1134455660.db2.gz FADLYAQQFWXTHO-UHFFFAOYSA-N 1 2 282.343 3.798 20 0 CHADLO Cc1cc(C)c(Nc2ccc(C(F)(F)F)cn2)c[nH+]1 ZINC001213503714 1134456405 /nfs/dbraw/zinc/45/64/05/1134456405.db2.gz UFJCTEPMYDMPKE-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1N1CCCc2cccc(N)c21 ZINC001213500925 1134457025 /nfs/dbraw/zinc/45/70/25/1134457025.db2.gz CWBFHWHYLZMMJB-UHFFFAOYSA-N 1 2 279.387 3.934 20 0 CHADLO Cc1cc(C)c(Nc2c[nH]c(=O)c(C(F)(F)F)c2)c[nH+]1 ZINC001213511084 1134457242 /nfs/dbraw/zinc/45/72/42/1134457242.db2.gz VLLYMXWOEIELMW-UHFFFAOYSA-N 1 2 283.253 3.561 20 0 CHADLO Cc1cc(C)c(Nc2cnc(Br)c(C)c2)c[nH+]1 ZINC001213505615 1134457284 /nfs/dbraw/zinc/45/72/84/1134457284.db2.gz KLAALDBPIBQXNC-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2cnc(Br)cc2C)c[nH+]1 ZINC001213505409 1134457812 /nfs/dbraw/zinc/45/78/12/1134457812.db2.gz DFPUNSTVOHXNAR-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2c[nH]c3cc(=O)ccc-3c2)c[nH+]1 ZINC001213510951 1134458170 /nfs/dbraw/zinc/45/81/70/1134458170.db2.gz NWKLHLCMFBCBJP-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO COc1ccc2c(c1Nc1c[nH+]c(C)cc1C)C(=O)CC2 ZINC001213518563 1134458980 /nfs/dbraw/zinc/45/89/80/1134458980.db2.gz VLEWVSRHLNDDGZ-UHFFFAOYSA-N 1 2 282.343 3.580 20 0 CHADLO Cc1cc(C)c(Nc2ccccc2CN2CCCC2=O)c[nH+]1 ZINC001213518651 1134459420 /nfs/dbraw/zinc/45/94/20/1134459420.db2.gz ZWEPUWFXDGQBSZ-UHFFFAOYSA-N 1 2 295.386 3.564 20 0 CHADLO CCOC(=O)c1ccc(NC)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213515902 1134459434 /nfs/dbraw/zinc/45/94/34/1134459434.db2.gz RVASPUDXBKJKIT-UHFFFAOYSA-N 1 2 299.374 3.660 20 0 CHADLO Cc1cc(C)c(Nc2ccc(-c3cc(CO)on3)cc2)c[nH+]1 ZINC001213521154 1134460129 /nfs/dbraw/zinc/46/01/29/1134460129.db2.gz WRSLNBFSYZNYSV-UHFFFAOYSA-N 1 2 295.342 3.589 20 0 CHADLO CCNc1ccnc(Cl)c1Nc1c[nH+]cc(C)c1C ZINC001213524836 1134460237 /nfs/dbraw/zinc/46/02/37/1134460237.db2.gz GJZVJWJKGHESJL-UHFFFAOYSA-N 1 2 276.771 3.922 20 0 CHADLO COC(=O)c1c(C)csc1Nc1c[nH+]cc(C)c1C ZINC001213524900 1134460305 /nfs/dbraw/zinc/46/03/05/1134460305.db2.gz IWDSTNOSNGDFKN-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(-c3ccccc3)nn2C)c1C ZINC001213523418 1134460442 /nfs/dbraw/zinc/46/04/42/1134460442.db2.gz RQZVSEAQRCRBPZ-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnc(F)c2Br)c1C ZINC001213527316 1134460682 /nfs/dbraw/zinc/46/06/82/1134460682.db2.gz FSVRQFYHORWGQL-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO COCCNc1cccc(F)c1Nc1c[nH+]cc(C)c1C ZINC001213533963 1134461078 /nfs/dbraw/zinc/46/10/78/1134461078.db2.gz FKGFFQPAHLLIQG-UHFFFAOYSA-N 1 2 289.354 3.639 20 0 CHADLO CC(=O)c1c(F)cccc1Nc1c[nH+]cc(C)c1C ZINC001213533923 1134461112 /nfs/dbraw/zinc/46/11/12/1134461112.db2.gz CJAFROAAZGTMBX-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc(Cn3cccn3)c2)c1C ZINC001213530445 1134461323 /nfs/dbraw/zinc/46/13/23/1134461323.db2.gz BIQGCHLHCZATNN-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO Cc1c[nH+]cc(Nc2c(O)cccc2Br)c1C ZINC001213530621 1134461601 /nfs/dbraw/zinc/46/16/01/1134461601.db2.gz HJVPYVPJRITVEF-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO COc1c(F)ccc(Nc2c[nH+]cc(C)c2C)c1F ZINC001213532472 1134461923 /nfs/dbraw/zinc/46/19/23/1134461923.db2.gz KDBLLBSOVONFFT-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(F)cc(F)c2F)c1C ZINC001213532461 1134461945 /nfs/dbraw/zinc/46/19/45/1134461945.db2.gz JQBLDKPCIZZEDM-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)C(C)(C)NC(=O)N3)c1C ZINC001213536974 1134462697 /nfs/dbraw/zinc/46/26/97/1134462697.db2.gz VIVJQSQTSYSJPN-UHFFFAOYSA-N 1 2 296.374 3.812 20 0 CHADLO CC(=O)Oc1ccc(F)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213537209 1134462806 /nfs/dbraw/zinc/46/28/06/1134462806.db2.gz XBYDJVGUYRZOHX-UHFFFAOYSA-N 1 2 274.295 3.506 20 0 CHADLO CO[C@H]1CCOc2c(Nc3c[nH+]cc(C)c3C)cccc21 ZINC001213534257 1134462980 /nfs/dbraw/zinc/46/29/80/1134462980.db2.gz UGZZVKGOXDKOBD-INIZCTEOSA-N 1 2 284.359 3.912 20 0 CHADLO COc1ccc(Nc2c[nH+]cc(C)c2C)c(C(C)=O)c1 ZINC001213536937 1134463103 /nfs/dbraw/zinc/46/31/03/1134463103.db2.gz SYBZPEWCTOGHPJ-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COC(=O)/C=C/c1cccc(Nc2c[nH+]cc(C)c2C)c1 ZINC001213536259 1134463307 /nfs/dbraw/zinc/46/33/07/1134463307.db2.gz FANKSNOMCXSXCI-BQYQJAHWSA-N 1 2 282.343 3.628 20 0 CHADLO CCc1cccc(Nc2ccc(N3CCOCC3)cc2C)[nH+]1 ZINC001213558511 1134463830 /nfs/dbraw/zinc/46/38/30/1134463830.db2.gz JOYBAGWSKZPOSI-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO Cc1cccc(Nc2ccc(N3CCCC3)cc2F)[nH+]1 ZINC001213843005 1134490372 /nfs/dbraw/zinc/49/03/72/1134490372.db2.gz AOSRCCALJXWTFU-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO COCOc1ccc(C)cc1Nc1c[nH+]c(C)cc1C ZINC001214237349 1134524407 /nfs/dbraw/zinc/52/44/07/1134524407.db2.gz QLFATVGSLZGGBG-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO Cc1cc(CO)ccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001214657291 1134562373 /nfs/dbraw/zinc/56/23/73/1134562373.db2.gz HNQCXLMMWMMNQW-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1cc[nH+]c(Nc2cnc(OC(C)C)c(C)c2)c1 ZINC001214937485 1134583556 /nfs/dbraw/zinc/58/35/56/1134583556.db2.gz VECJSMVWNVOMSC-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO COc1c(F)cc(Nc2ccc(C)c[nH+]2)cc1Cl ZINC001214964355 1134588369 /nfs/dbraw/zinc/58/83/69/1134588369.db2.gz YIDDJOGHRRNQGD-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO C[C@H]([NH2+]Cc1noc2c1CCCC2)c1ccc(F)c(F)c1 ZINC000181633341 1134599943 /nfs/dbraw/zinc/59/99/43/1134599943.db2.gz DLYIIBJUPHTNPH-JTQLQIEISA-N 1 2 292.329 3.682 20 0 CHADLO Cc1c(CO)ccc(Nc2[nH+]cccc2N2CCCC2)c1C ZINC001215240727 1134609049 /nfs/dbraw/zinc/60/90/49/1134609049.db2.gz MHKNSRKYNJBMHB-UHFFFAOYSA-N 1 2 297.402 3.535 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)s1 ZINC000401610312 1134617969 /nfs/dbraw/zinc/61/79/69/1134617969.db2.gz MKZCUYNZARGMTE-JQWIXIFHSA-N 1 2 261.394 3.508 20 0 CHADLO CCOc1c(Nc2cc(C)[nH+]c(OC)c2)ccc(F)c1F ZINC001215413083 1134625047 /nfs/dbraw/zinc/62/50/47/1134625047.db2.gz PDIPVYANRQMDCL-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO COCc1cc(C)c(Nc2cccc3[nH+]ccn32)c(C)c1 ZINC001215528763 1134637409 /nfs/dbraw/zinc/63/74/09/1134637409.db2.gz QHOHYBVTXYYENN-UHFFFAOYSA-N 1 2 281.359 3.841 20 0 CHADLO COCc1ccc(Cl)c(Nc2c[nH+]ccc2OC)c1 ZINC001215595431 1134649196 /nfs/dbraw/zinc/64/91/96/1134649196.db2.gz JFOITCZLZRBYKI-UHFFFAOYSA-N 1 2 278.739 3.634 20 0 CHADLO COc1c(Nc2[nH+]cc(C)cc2C)ccc(C)c1F ZINC001215600177 1134651113 /nfs/dbraw/zinc/65/11/13/1134651113.db2.gz KSBGGLGWWVIUIA-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO Cc1cccc(Nc2cc(C(F)(F)F)ccc2CO)[nH+]1 ZINC001215680142 1134658977 /nfs/dbraw/zinc/65/89/77/1134658977.db2.gz HFBUBLBVIBDRMO-UHFFFAOYSA-N 1 2 282.265 3.645 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)cc(C)cc1OC ZINC001215706113 1134663139 /nfs/dbraw/zinc/66/31/39/1134663139.db2.gz RDBDVGRCHXDION-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO Cc1ccc(F)c(Nc2ccc(-n3cc[nH+]c3)cc2)c1O ZINC001215739437 1134670638 /nfs/dbraw/zinc/67/06/38/1134670638.db2.gz OHFZDVVIAOHFKX-UHFFFAOYSA-N 1 2 283.306 3.769 20 0 CHADLO Cc1cc(OC(C)C)ncc1Nc1ccc2c(c1)[nH+]cn2C ZINC001215772781 1134682937 /nfs/dbraw/zinc/68/29/37/1134682937.db2.gz VDDNRVWNJMOEEW-UHFFFAOYSA-N 1 2 296.374 3.808 20 0 CHADLO Cc1c[nH+]c(Nc2ccnc(Oc3ccccc3)c2)c(N)c1 ZINC001215774958 1134683962 /nfs/dbraw/zinc/68/39/62/1134683962.db2.gz FAELUAHWDDDQFV-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO CCOCc1cncc(Nc2[nH+]c(C)ccc2CC)c1 ZINC001215785460 1134685601 /nfs/dbraw/zinc/68/56/01/1134685601.db2.gz HFDOPFMGPOAHJC-UHFFFAOYSA-N 1 2 271.364 3.628 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2noc3c2CCCC3)c(C)o1 ZINC000183778402 1134702929 /nfs/dbraw/zinc/70/29/29/1134702929.db2.gz ILDNBDSXNAUMSB-NSHDSACASA-N 1 2 274.364 3.614 20 0 CHADLO Cc1cn2cccc(Nc3cc(Cl)cc4n[nH]cc43)c2[nH+]1 ZINC001215857335 1134703990 /nfs/dbraw/zinc/70/39/90/1134703990.db2.gz OJSHCHBTBNKETE-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO CSc1cc(F)cc(Nc2cccc3[nH+]ccn32)c1 ZINC001215902490 1134713892 /nfs/dbraw/zinc/71/38/92/1134713892.db2.gz NQENNMRRCNFLQS-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CSc1ncc(Cl)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001215914574 1134718871 /nfs/dbraw/zinc/71/88/71/1134718871.db2.gz NLYPYZAOIJNFII-UHFFFAOYSA-N 1 2 294.811 3.662 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)c(SC)c(F)c1 ZINC001215922669 1134722075 /nfs/dbraw/zinc/72/20/75/1134722075.db2.gz OOGMIOZOIKOBLI-UHFFFAOYSA-N 1 2 282.315 3.834 20 0 CHADLO COc1nccc(SC)c1Nc1c[nH+]c(C)cc1C ZINC001215927284 1134723291 /nfs/dbraw/zinc/72/32/91/1134723291.db2.gz ICBZBQGZNLXFMO-UHFFFAOYSA-N 1 2 275.377 3.568 20 0 CHADLO CCc1cccc(Nc2ccc(OC)cc2COC)[nH+]1 ZINC001215979101 1134739763 /nfs/dbraw/zinc/73/97/63/1134739763.db2.gz SRUNBSMPXAKRLS-UHFFFAOYSA-N 1 2 272.348 3.543 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1c(O)cccc1Cl ZINC001215983553 1134740706 /nfs/dbraw/zinc/74/07/06/1134740706.db2.gz GGVOYWBARGARKC-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C(C)C)ccc2N)c[nH+]1 ZINC001216022268 1134751728 /nfs/dbraw/zinc/75/17/28/1134751728.db2.gz PFVWJAZFZZGTIB-UHFFFAOYSA-N 1 2 284.407 3.987 20 0 CHADLO Cc1ccc(Nc2ccc(N)c(C(F)(F)F)c2)[nH+]c1 ZINC001216049046 1134761443 /nfs/dbraw/zinc/76/14/43/1134761443.db2.gz MTDSMBNFYBCKPZ-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(N(C)C)c(Cl)c1 ZINC001216059502 1134764347 /nfs/dbraw/zinc/76/43/47/1134764347.db2.gz JNAPACJHHXOQOZ-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO Cc1cc(Nc2cccn3cc[nH+]c23)cc(Cl)c1O ZINC001216084284 1134772071 /nfs/dbraw/zinc/77/20/71/1134772071.db2.gz QVMDXTNHIKNPDE-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO Cc1cc(Cl)c(N)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001216090916 1134773277 /nfs/dbraw/zinc/77/32/77/1134773277.db2.gz AQEVOQOAIODJPW-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO CCOc1cc(Nc2cc(C)c(CO)c(C)c2)cc(C)[nH+]1 ZINC001216119370 1134783290 /nfs/dbraw/zinc/78/32/90/1134783290.db2.gz IKIDHCZSYGRFIU-UHFFFAOYSA-N 1 2 286.375 3.641 20 0 CHADLO CSc1ncc(C)cc1Nc1ccc(C)[nH+]c1C ZINC001216239471 1134819644 /nfs/dbraw/zinc/81/96/44/1134819644.db2.gz LWPIROGUZOUQBI-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO CSc1ncc(C)cc1Nc1cccc2[nH+]ccn21 ZINC001216240254 1134820329 /nfs/dbraw/zinc/82/03/29/1134820329.db2.gz ZYEAPGMRYCPFCZ-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO CCOc1cc(C)cc(F)c1Nc1cccn2cc[nH+]c12 ZINC001216367840 1134869765 /nfs/dbraw/zinc/86/97/65/1134869765.db2.gz ULBVBRPTENVBSB-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CC(=O)c1cccc(Nc2cccn3cc(C)[nH+]c23)c1F ZINC001216497615 1134908477 /nfs/dbraw/zinc/90/84/77/1134908477.db2.gz SHCYZIMXMGJCTE-UHFFFAOYSA-N 1 2 283.306 3.728 20 0 CHADLO Nc1c(Cl)cccc1Nc1[nH+]cccc1C1CC1 ZINC001216526594 1134915927 /nfs/dbraw/zinc/91/59/27/1134915927.db2.gz QSEFCONBBXFHCX-UHFFFAOYSA-N 1 2 259.740 3.938 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(SC)cc(F)c1OC ZINC001216579630 1134922879 /nfs/dbraw/zinc/92/28/79/1134922879.db2.gz ILAYXLKTMHZESP-UHFFFAOYSA-N 1 2 294.351 3.703 20 0 CHADLO c1cn2ccc(Nc3ccnc(OCC4CCC4)c3)cc2[nH+]1 ZINC001216594674 1134928950 /nfs/dbraw/zinc/92/89/50/1134928950.db2.gz GCGSHCJOJVPZNV-UHFFFAOYSA-N 1 2 294.358 3.652 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3onc(C)c3c2)[nH+]1 ZINC001216637306 1134936695 /nfs/dbraw/zinc/93/66/95/1134936695.db2.gz GJLWKFAMABZTAZ-UHFFFAOYSA-N 1 2 278.315 3.836 20 0 CHADLO FC1(F)CC[NH+](Cc2sccc2Br)CC1 ZINC000404785745 1134947034 /nfs/dbraw/zinc/94/70/34/1134947034.db2.gz YIKUDWXGITUCQL-UHFFFAOYSA-N 1 2 296.180 3.742 20 0 CHADLO Clc1ccc(-c2noc(-c3cccc4[nH+]ccn43)n2)cc1 ZINC001217500828 1134981993 /nfs/dbraw/zinc/98/19/93/1134981993.db2.gz NDYRPPXUIWXCOR-UHFFFAOYSA-N 1 2 296.717 3.705 20 0 CHADLO Cc1ccc(CO[C@H]2C[NH2+]CC(F)(F)C2)c2ccccc12 ZINC001217854918 1135009846 /nfs/dbraw/zinc/00/98/46/1135009846.db2.gz JTDYUEXQYHZQAT-CQSZACIVSA-N 1 2 291.341 3.662 20 0 CHADLO CC[C@H](C)c1ccccc1O[C@H]1CC[NH2+]CC1(F)F ZINC001218006621 1135042008 /nfs/dbraw/zinc/04/20/08/1135042008.db2.gz MXHJTOMTDRMTQL-FZMZJTMJSA-N 1 2 269.335 3.576 20 0 CHADLO Cc1cc(COCCn2cc[nH+]c2)cc(C)c1OC(C)C ZINC001222139045 1135198994 /nfs/dbraw/zinc/19/89/94/1135198994.db2.gz MUASBMNKYJIBBR-UHFFFAOYSA-N 1 2 288.391 3.504 20 0 CHADLO COc1c(F)c(C)ccc1-c1ccc2[nH+]ccn2c1C ZINC001222322809 1135226060 /nfs/dbraw/zinc/22/60/60/1135226060.db2.gz PDNPVGKKUIEJOZ-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO CCC[C@@H](OCc1c[nH+]cn1C)C1CCCCC1 ZINC001222594618 1135253957 /nfs/dbraw/zinc/25/39/57/1135253957.db2.gz HSMODRUOYGHABC-OAHLLOKOSA-N 1 2 250.386 3.686 20 0 CHADLO Cc1cc(CO[C@H](C)COCc2ccccc2)cc(C)[nH+]1 ZINC001223418793 1135342021 /nfs/dbraw/zinc/34/20/21/1135342021.db2.gz ASVPJWROECMYSR-MRXNPFEDSA-N 1 2 285.387 3.820 20 0 CHADLO Cc1cc(CO[C@@H](C)COCc2ccccc2)cc(C)[nH+]1 ZINC001223418788 1135342046 /nfs/dbraw/zinc/34/20/46/1135342046.db2.gz ASVPJWROECMYSR-INIZCTEOSA-N 1 2 285.387 3.820 20 0 CHADLO Cc1cc(COC(C(F)(F)F)C(F)(F)F)cc(C)[nH+]1 ZINC001223422256 1135342547 /nfs/dbraw/zinc/34/25/47/1135342547.db2.gz VTOVRFNIZDRHCS-UHFFFAOYSA-N 1 2 287.203 3.708 20 0 CHADLO Cc1cc(CO[C@@H]2CCC(=O)c3ccccc32)cc(C)[nH+]1 ZINC001223421955 1135344057 /nfs/dbraw/zinc/34/40/57/1135344057.db2.gz SVUHTFJXDCBSHP-GOSISDBHSA-N 1 2 281.355 3.933 20 0 CHADLO Cc1cc(CO[C@@H](C)c2ccncc2F)cc(C)[nH+]1 ZINC001223425963 1135344378 /nfs/dbraw/zinc/34/43/78/1135344378.db2.gz WDLXCOCKURNWPE-LBPRGKRZSA-N 1 2 260.312 3.510 20 0 CHADLO C[NH+]1CC(OC(C)(C)c2ccc(C(C)(C)Cl)cc2)C1 ZINC001223957130 1135402739 /nfs/dbraw/zinc/40/27/39/1135402739.db2.gz YSJRCEZIADCCSV-UHFFFAOYSA-N 1 2 281.827 3.726 20 0 CHADLO CC(C)(C)[NH+]1CC(Oc2cccc(Cl)c2Cl)C1 ZINC001225209690 1135514056 /nfs/dbraw/zinc/51/40/56/1135514056.db2.gz ZABGXSDDCMWQAX-UHFFFAOYSA-N 1 2 274.191 3.855 20 0 CHADLO Brc1ccc2c(c1)CC[C@H]2Oc1cc[nH+]cc1 ZINC001225290186 1135536001 /nfs/dbraw/zinc/53/60/01/1135536001.db2.gz VXKIREIXLCHOST-CQSZACIVSA-N 1 2 290.160 3.910 20 0 CHADLO c1ccc([C@@H](Oc2cc[nH+]cc2)c2ccccn2)cc1 ZINC001225290580 1135536055 /nfs/dbraw/zinc/53/60/55/1135536055.db2.gz BMSHDCANPVPLNY-QGZVFWFLSA-N 1 2 262.312 3.645 20 0 CHADLO COC(=O)c1ccc([C@@H](C)Oc2c(C)cc[nH+]c2C)cc1 ZINC001227260707 1135810730 /nfs/dbraw/zinc/81/07/30/1135810730.db2.gz UEJWOADLASODNG-CYBMUJFWSA-N 1 2 285.343 3.625 20 0 CHADLO Cc1cc[nH+]c(C)c1OC(C(F)(F)F)C(F)(F)F ZINC001227259336 1135811400 /nfs/dbraw/zinc/81/14/00/1135811400.db2.gz HCGYTJFVESBDHX-UHFFFAOYSA-N 1 2 273.176 3.570 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2ccccc2)c2ccc(C)cc2)o1 ZINC000096980582 185145659 /nfs/dbraw/zinc/14/56/59/185145659.db2.gz RFGVVPKEKRJKEF-GOSISDBHSA-N 1 2 293.370 3.566 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCCC[C@H]1c1nnc(C2CCC2)o1 ZINC000347311295 529436890 /nfs/dbraw/zinc/43/68/90/529436890.db2.gz PINZZMINVXAQAY-STQMWFEESA-N 1 2 281.375 3.612 20 0 CHADLO CC[C@H](F)C[N@H+]1CCCC[C@H]1c1nnc(C2CCC2)o1 ZINC000347311295 529436891 /nfs/dbraw/zinc/43/68/91/529436891.db2.gz PINZZMINVXAQAY-STQMWFEESA-N 1 2 281.375 3.612 20 0 CHADLO CC[C@@H]1[C@H](C)CCN1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334698931 529482701 /nfs/dbraw/zinc/48/27/01/529482701.db2.gz CHKYGFZOGGZYPQ-QMTHXVAHSA-N 1 2 275.396 3.659 20 0 CHADLO CCc1cc(C(=O)Nc2c(C)cc[nH+]c2C)sc1C ZINC000335528203 529520055 /nfs/dbraw/zinc/52/00/55/529520055.db2.gz CMRVXXMEORVIOJ-UHFFFAOYSA-N 1 2 274.389 3.883 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)o1 ZINC000353720758 529639419 /nfs/dbraw/zinc/63/94/19/529639419.db2.gz PESHVQOHZLKVHR-MRXNPFEDSA-N 1 2 270.376 3.820 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)o1 ZINC000353720758 529639422 /nfs/dbraw/zinc/63/94/22/529639422.db2.gz PESHVQOHZLKVHR-MRXNPFEDSA-N 1 2 270.376 3.820 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@@H]2c2nccs2)cn1 ZINC000347850622 529639270 /nfs/dbraw/zinc/63/92/70/529639270.db2.gz ODIGQRILHDEOAR-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@@H]2c2nccs2)cn1 ZINC000347850622 529639274 /nfs/dbraw/zinc/63/92/74/529639274.db2.gz ODIGQRILHDEOAR-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CC[C@H](Nc1ccc(C)[nH+]c1)c1cccc(OC)c1 ZINC000347132569 529676064 /nfs/dbraw/zinc/67/60/64/529676064.db2.gz PRRJOAUDUUYUFH-INIZCTEOSA-N 1 2 256.349 3.962 20 0 CHADLO CN(Cc1cc2ccccc2o1)c1cc[nH+]c(C2CC2)n1 ZINC000301462686 529981221 /nfs/dbraw/zinc/98/12/21/529981221.db2.gz FKIZRVIITPRBEM-UHFFFAOYSA-N 1 2 279.343 3.737 20 0 CHADLO C[N@H+](Cc1cc[nH]n1)Cc1cccc(Oc2ccccc2)c1 ZINC000353528717 529981906 /nfs/dbraw/zinc/98/19/06/529981906.db2.gz HJCZCAUQUNZRJN-UHFFFAOYSA-N 1 2 293.370 3.834 20 0 CHADLO C[N@@H+](Cc1cc[nH]n1)Cc1cccc(Oc2ccccc2)c1 ZINC000353528717 529981907 /nfs/dbraw/zinc/98/19/07/529981907.db2.gz HJCZCAUQUNZRJN-UHFFFAOYSA-N 1 2 293.370 3.834 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1cc2ccccc2s1 ZINC000353813152 530013604 /nfs/dbraw/zinc/01/36/04/530013604.db2.gz HPCWRHQKAQSLHD-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1cc2ccccc2s1 ZINC000353813152 530013605 /nfs/dbraw/zinc/01/36/05/530013605.db2.gz HPCWRHQKAQSLHD-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO FCCCSCc1[nH+]ccn1Cc1ccccc1 ZINC000161901302 261262857 /nfs/dbraw/zinc/26/28/57/261262857.db2.gz UUVUUXXAVXXWIO-UHFFFAOYSA-N 1 2 264.369 3.524 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+]Cc1noc(C(C)C)n1 ZINC000355064960 227362712 /nfs/dbraw/zinc/36/27/12/227362712.db2.gz RTVJYFJOUQNYNI-CYBMUJFWSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1[nH]c(CN[C@@]2(c3ccc(Cl)cc3)C[C@H]2C)[nH+]c1C ZINC000574533085 335027879 /nfs/dbraw/zinc/02/78/79/335027879.db2.gz ZXROZMKNXQMYJK-HWPZZCPQSA-N 1 2 289.810 3.705 20 0 CHADLO COc1cc[nH+]cc1COc1ccc(C(F)(F)F)cc1 ZINC000351917402 533084572 /nfs/dbraw/zinc/08/45/72/533084572.db2.gz AYIWUGGIWGNSSM-UHFFFAOYSA-N 1 2 283.249 3.688 20 0 CHADLO CCN(CC)c1ccc(NCc2ccccc2)c[nH+]1 ZINC000037003487 260062307 /nfs/dbraw/zinc/06/23/07/260062307.db2.gz LOFTXBGNGGZHHJ-UHFFFAOYSA-N 1 2 255.365 3.540 20 0 CHADLO COc1c2ccccc2oc1C[NH2+]Cc1ccc(C)o1 ZINC000353225828 533451398 /nfs/dbraw/zinc/45/13/98/533451398.db2.gz PBOKVHJTEBIMPW-UHFFFAOYSA-N 1 2 271.316 3.633 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccn1-c1ccccc1)c1ccco1 ZINC000347171298 533508476 /nfs/dbraw/zinc/50/84/76/533508476.db2.gz QEXSELROQNMNOT-KGLIPLIRSA-N 1 2 281.359 3.877 20 0 CHADLO CSC1(CNc2ccc(-n3cc[nH+]c3)c(F)c2)CCC1 ZINC000356122495 533513160 /nfs/dbraw/zinc/51/31/60/533513160.db2.gz LTUMWOYCINFIQT-UHFFFAOYSA-N 1 2 291.395 3.709 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2ncc(C)cn2)cc1 ZINC000341797508 130036272 /nfs/dbraw/zinc/03/62/72/130036272.db2.gz GPCRTVMTODEROD-CYBMUJFWSA-N 1 2 287.432 3.748 20 0 CHADLO CCSCc1cccc(N[C@H](C)c2[nH]cc[nH+]2)c1 ZINC000353670653 130053368 /nfs/dbraw/zinc/05/33/68/130053368.db2.gz MOHUIMQNLRNHHW-LLVKDONJSA-N 1 2 261.394 3.836 20 0 CHADLO CC[C@@H](C)[C@H]([NH2+]Cc1noc(C)n1)c1ccc(Cl)cc1 ZINC000341860654 130077327 /nfs/dbraw/zinc/07/73/27/130077327.db2.gz SDKQCIMPJHJGEF-BMIGLBTASA-N 1 2 293.798 3.908 20 0 CHADLO CCC(C)(C)CC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000342031226 130247725 /nfs/dbraw/zinc/24/77/25/130247725.db2.gz WJZQKNGXYXWGMZ-UHFFFAOYSA-N 1 2 285.391 3.519 20 0 CHADLO Cc1cccc2[nH]c(-c3cc(-n4cc[nH+]c4)cs3)nc21 ZINC000342112508 130270574 /nfs/dbraw/zinc/27/05/74/130270574.db2.gz AFSIPBTYHGKRTP-UHFFFAOYSA-N 1 2 280.356 3.786 20 0 CHADLO Cc1cccc2nc(-c3cc(-n4cc[nH+]c4)cs3)[nH]c21 ZINC000342112508 130270576 /nfs/dbraw/zinc/27/05/76/130270576.db2.gz AFSIPBTYHGKRTP-UHFFFAOYSA-N 1 2 280.356 3.786 20 0 CHADLO Cc1cc[nH+]c(N[C@@H](C)C[C@H]2CCCO2)c1Br ZINC000342117718 130273262 /nfs/dbraw/zinc/27/32/62/130273262.db2.gz OVGYXRFGUGWREK-WDEREUQCSA-N 1 2 299.212 3.522 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ncc(C3CC3)o2)cc1F ZINC000342245256 130367756 /nfs/dbraw/zinc/36/77/56/130367756.db2.gz QKBFQQRKNIAIHD-LLVKDONJSA-N 1 2 274.339 3.850 20 0 CHADLO FC(F)(F)c1ccc(CNc2cccc[nH+]2)cc1 ZINC000008699346 170346175 /nfs/dbraw/zinc/34/61/75/170346175.db2.gz ODMZFHPVGSWOJZ-UHFFFAOYSA-N 1 2 252.239 3.713 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](C)c2ccccn2)c(C)s1 ZINC000020123961 171005439 /nfs/dbraw/zinc/00/54/39/171005439.db2.gz ITUJBCFUEQHYOB-NXEZZACHSA-N 1 2 261.394 3.567 20 0 CHADLO C1=CC[C@@H](C[NH2+]c2ccc3c(c2)OCCCO3)CC1 ZINC000020561708 171252413 /nfs/dbraw/zinc/25/24/13/171252413.db2.gz NAIYZKMDAVBEPG-CYBMUJFWSA-N 1 2 259.349 3.616 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccccc2OC(F)F)cs1 ZINC000035231606 172071849 /nfs/dbraw/zinc/07/18/49/172071849.db2.gz MJZPLNXOVMKPTD-VIFPVBQESA-N 1 2 298.358 3.904 20 0 CHADLO Clc1cccnc1Nc1ccc2c(c1)CCC[NH2+]2 ZINC000071214993 176086054 /nfs/dbraw/zinc/08/60/54/176086054.db2.gz OVKISFFSQQNGPZ-UHFFFAOYSA-N 1 2 259.740 3.837 20 0 CHADLO Cc1cc(N[C@@H]2CSc3ccccc32)nc(C(C)C)[nH+]1 ZINC000344603593 225116612 /nfs/dbraw/zinc/11/66/12/225116612.db2.gz QMSDCFZTMWICCR-CYBMUJFWSA-N 1 2 285.416 3.589 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)CC2(C)C)c(C)[nH+]1 ZINC000334711380 225284364 /nfs/dbraw/zinc/28/43/64/225284364.db2.gz BZBUJAOYQKIIGB-SNVBAGLBSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@H](C)[C@H]2C)c(C)[nH+]1 ZINC000334717565 225287056 /nfs/dbraw/zinc/28/70/56/225287056.db2.gz LRLHEVYKFVQRNB-IINYFYTJSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cccc(C2=CC[N@H+]([C@H](C)c3csnn3)CC2)c1 ZINC000334792707 225323136 /nfs/dbraw/zinc/32/31/36/225323136.db2.gz JOVODJYUCPCBGJ-CYBMUJFWSA-N 1 2 285.416 3.697 20 0 CHADLO Cc1cccc(C2=CC[N@@H+]([C@H](C)c3csnn3)CC2)c1 ZINC000334792707 225323140 /nfs/dbraw/zinc/32/31/40/225323140.db2.gz JOVODJYUCPCBGJ-CYBMUJFWSA-N 1 2 285.416 3.697 20 0 CHADLO C[C@H]1C[C@H](NC(=O)c2ccc(C3CCC3)cc2)c2[nH+]ccn21 ZINC000334766518 225313604 /nfs/dbraw/zinc/31/36/04/225313604.db2.gz CCBANYYQYPPLHO-LRDDRELGSA-N 1 2 295.386 3.586 20 0 CHADLO Cc1ccc(N[C@@H](C[C@H]2CCOC2)c2ccccc2)c[nH+]1 ZINC000347150437 226060064 /nfs/dbraw/zinc/06/00/64/226060064.db2.gz NYJJQNTUIYXXGH-QAPCUYQASA-N 1 2 282.387 3.970 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1ccn(C(F)F)n1 ZINC000347716786 226232219 /nfs/dbraw/zinc/23/22/19/226232219.db2.gz IRXYADHHPAVZPB-SNVBAGLBSA-N 1 2 283.297 3.610 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1ccn(C(F)F)n1 ZINC000347716786 226232224 /nfs/dbraw/zinc/23/22/24/226232224.db2.gz IRXYADHHPAVZPB-SNVBAGLBSA-N 1 2 283.297 3.610 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)c1 ZINC000347692938 226237243 /nfs/dbraw/zinc/23/72/43/226237243.db2.gz WMOHJFMDBOZQDD-CQSZACIVSA-N 1 2 295.308 3.754 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)c1 ZINC000347692938 226237246 /nfs/dbraw/zinc/23/72/46/226237246.db2.gz WMOHJFMDBOZQDD-CQSZACIVSA-N 1 2 295.308 3.754 20 0 CHADLO COCC[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1ccco1 ZINC000347936401 226393909 /nfs/dbraw/zinc/39/39/09/226393909.db2.gz QZSGTJDXSHPIHL-CQSZACIVSA-N 1 2 297.757 3.940 20 0 CHADLO Cc1cc(NC(=O)c2cc3cccc(C)c3s2)cc[nH+]1 ZINC000575158151 335073549 /nfs/dbraw/zinc/07/35/49/335073549.db2.gz XDJNFBDDLSPEDE-UHFFFAOYSA-N 1 2 282.368 3.587 20 0 CHADLO Cc1cc(N(C)C2CCC(C)CC2)nc(-c2ccncc2)[nH+]1 ZINC000352426940 226932265 /nfs/dbraw/zinc/93/22/65/226932265.db2.gz ZPAXRMWLDLKNEH-UHFFFAOYSA-N 1 2 296.418 3.862 20 0 CHADLO FC(F)(F)c1ncc(C[N@@H+]2CCCC3(CC3)C2)s1 ZINC000336430028 227005311 /nfs/dbraw/zinc/00/53/11/227005311.db2.gz FSOVOZIZOHQWGF-UHFFFAOYSA-N 1 2 276.327 3.538 20 0 CHADLO FC(F)(F)c1ncc(C[N@H+]2CCCC3(CC3)C2)s1 ZINC000336430028 227005317 /nfs/dbraw/zinc/00/53/17/227005317.db2.gz FSOVOZIZOHQWGF-UHFFFAOYSA-N 1 2 276.327 3.538 20 0 CHADLO C[C@]1(CNc2[nH+]ccc3ccc(F)cc32)CCCC[C@@H]1O ZINC000354560494 227032612 /nfs/dbraw/zinc/03/26/12/227032612.db2.gz MWCZJVNTRSQKMG-DOTOQJQBSA-N 1 2 288.366 3.727 20 0 CHADLO Clc1ccc2[nH+]cc(CNc3ccc4c(c3)COC4)n2c1 ZINC000350698166 227057128 /nfs/dbraw/zinc/05/71/28/227057128.db2.gz AAKYIPIERMPOHA-UHFFFAOYSA-N 1 2 299.761 3.630 20 0 CHADLO Cc1ccc(CCCNc2c[nH]nc2-c2ccccc2)c[nH+]1 ZINC000354647649 227057693 /nfs/dbraw/zinc/05/76/93/227057693.db2.gz KLOMAOOVGOLEMS-UHFFFAOYSA-N 1 2 292.386 3.825 20 0 CHADLO Clc1sccc1C[N@@H+]1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000354710108 227078725 /nfs/dbraw/zinc/07/87/25/227078725.db2.gz QOQBZTLCQYXIDU-GXTWGEPZSA-N 1 2 283.824 3.545 20 0 CHADLO Clc1sccc1C[N@H+]1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000354710108 227078729 /nfs/dbraw/zinc/07/87/29/227078729.db2.gz QOQBZTLCQYXIDU-GXTWGEPZSA-N 1 2 283.824 3.545 20 0 CHADLO Cc1ncc(C[NH+]2CCC(=Cc3cccc(F)c3)CC2)cn1 ZINC000336601810 227170508 /nfs/dbraw/zinc/17/05/08/227170508.db2.gz YGKHLTUONJNDJQ-UHFFFAOYSA-N 1 2 297.377 3.604 20 0 CHADLO Cc1ccccc1[C@@H](Nc1cc[nH+]c(C2CC2)n1)C1CC1 ZINC000352781409 227216603 /nfs/dbraw/zinc/21/66/03/227216603.db2.gz BJYWQCAAIPEKCA-KRWDZBQOSA-N 1 2 279.387 3.648 20 0 CHADLO CCn1cncc1C[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000417936407 227235445 /nfs/dbraw/zinc/23/54/45/227235445.db2.gz HNGUHCQIHZAQNE-JTQLQIEISA-N 1 2 281.762 3.546 20 0 CHADLO Cc1nnc(C[N@@H+]([C@H](C)c2ccccc2)C2CC2)n1C1CC1 ZINC000352819887 227256148 /nfs/dbraw/zinc/25/61/48/227256148.db2.gz XYTADAWXDKXEPU-CYBMUJFWSA-N 1 2 296.418 3.647 20 0 CHADLO Cc1nnc(C[N@H+]([C@H](C)c2ccccc2)C2CC2)n1C1CC1 ZINC000352819887 227256151 /nfs/dbraw/zinc/25/61/51/227256151.db2.gz XYTADAWXDKXEPU-CYBMUJFWSA-N 1 2 296.418 3.647 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@H](CC(F)(F)F)c1ccccc1C ZINC000352860911 227343875 /nfs/dbraw/zinc/34/38/75/227343875.db2.gz CJQZPHVLJHUEQE-CYBMUJFWSA-N 1 2 297.324 3.810 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@H](CC(F)(F)F)c1ccccc1C ZINC000352860911 227343880 /nfs/dbraw/zinc/34/38/80/227343880.db2.gz CJQZPHVLJHUEQE-CYBMUJFWSA-N 1 2 297.324 3.810 20 0 CHADLO COc1ccc([C@H](C)Nc2cc[nH+]c(C3CC3)n2)c(OC)c1 ZINC000110203370 227959958 /nfs/dbraw/zinc/95/99/58/227959958.db2.gz OQNWVJWQVWTHTD-NSHDSACASA-N 1 2 299.374 3.544 20 0 CHADLO C[C@H]1[C@@H](Cc2ccccc2)CCN1c1cc[nH+]c(C2CC2)n1 ZINC000353491866 228110631 /nfs/dbraw/zinc/11/06/31/228110631.db2.gz VVUQAEDLXZVFMD-WMLDXEAASA-N 1 2 293.414 3.812 20 0 CHADLO Cc1ccc(OCCCOc2cc[nH+]cc2)cc1C ZINC000356093437 228117324 /nfs/dbraw/zinc/11/73/24/228117324.db2.gz KPODEHJLCWTWLB-UHFFFAOYSA-N 1 2 257.333 3.546 20 0 CHADLO c1ccc(CN(c2cc[nH+]c(C3CC3)n2)C2CC2)cc1 ZINC000112964260 228037975 /nfs/dbraw/zinc/03/79/75/228037975.db2.gz INAHQGCUALSIRZ-UHFFFAOYSA-N 1 2 265.360 3.523 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CS[C@H]1CCCOC1 ZINC000355957471 228066952 /nfs/dbraw/zinc/06/69/52/228066952.db2.gz YQZFVYQECPCXSY-INIZCTEOSA-N 1 2 288.416 3.593 20 0 CHADLO c1sc(CCc2ccccc2)nc1COc1cc[nH+]cc1 ZINC000356100466 228120681 /nfs/dbraw/zinc/12/06/81/228120681.db2.gz SYRMXQLZGATZRP-UHFFFAOYSA-N 1 2 296.395 3.902 20 0 CHADLO Cc1ccc(Cc2noc(/C=C\c3ccccc3C)n2)c[nH+]1 ZINC000356109517 228124654 /nfs/dbraw/zinc/12/46/54/228124654.db2.gz LDQXNMMMIANNGF-KTKRTIGZSA-N 1 2 291.354 3.843 20 0 CHADLO Cc1ccc(Cc2noc(C[C@@H]3CCC[C@@H](C)C3)n2)c[nH+]1 ZINC000356119627 228129170 /nfs/dbraw/zinc/12/91/70/228129170.db2.gz CAAFNHJJAGYQHL-TZMCWYRMSA-N 1 2 285.391 3.733 20 0 CHADLO Cc1ccc(Cc2noc(-c3cccc(Cl)c3)n2)c[nH+]1 ZINC000356126699 228132378 /nfs/dbraw/zinc/13/23/78/228132378.db2.gz NLNFSTHNISNZSV-UHFFFAOYSA-N 1 2 285.734 3.684 20 0 CHADLO Cc1cc([C@@H](C)Nc2ccc(N3CCCCC3)c[nH+]2)no1 ZINC000332173476 228150784 /nfs/dbraw/zinc/15/07/84/228150784.db2.gz TXASPNHFVUBLQF-CYBMUJFWSA-N 1 2 286.379 3.541 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CCC[C@@H](C)CC1 ZINC000332320438 228155373 /nfs/dbraw/zinc/15/53/73/228155373.db2.gz KHNFFEGHXDHILI-BXUZGUMPSA-N 1 2 275.396 3.789 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2ccc(Cl)cc21)c1nccs1 ZINC000335970492 228171964 /nfs/dbraw/zinc/17/19/64/228171964.db2.gz UQAPYWLTLAPSMZ-GZMMTYOYSA-N 1 2 280.780 3.581 20 0 CHADLO c1cc2c(o1)CCC[N@@H+](Cc1nc(C3CC3)cs1)C2 ZINC000335993471 228175689 /nfs/dbraw/zinc/17/56/89/228175689.db2.gz JFVUAIJELKFKOH-UHFFFAOYSA-N 1 2 274.389 3.562 20 0 CHADLO c1cc2c(o1)CCC[N@H+](Cc1nc(C3CC3)cs1)C2 ZINC000335993471 228175690 /nfs/dbraw/zinc/17/56/90/228175690.db2.gz JFVUAIJELKFKOH-UHFFFAOYSA-N 1 2 274.389 3.562 20 0 CHADLO C[C@H]1CCCCN1C(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000336130973 228193366 /nfs/dbraw/zinc/19/33/66/228193366.db2.gz DTOVAOHBGNMZQS-AWEZNQCLSA-N 1 2 296.370 3.889 20 0 CHADLO FC(F)C1([NH2+]Cc2nc3cccnc3s2)CCCCC1 ZINC000352229064 228201591 /nfs/dbraw/zinc/20/15/91/228201591.db2.gz CNIJMTXYSSTNKV-UHFFFAOYSA-N 1 2 297.374 3.749 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2ccc(OC(F)F)cc2)no1 ZINC000352240613 228205150 /nfs/dbraw/zinc/20/51/50/228205150.db2.gz CINXYNQSPAQTIK-NSHDSACASA-N 1 2 296.317 3.777 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2ccc(OC(F)F)cc2)no1 ZINC000352240613 228205151 /nfs/dbraw/zinc/20/51/51/228205151.db2.gz CINXYNQSPAQTIK-NSHDSACASA-N 1 2 296.317 3.777 20 0 CHADLO Cc1ncsc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000336211675 228214488 /nfs/dbraw/zinc/21/44/88/228214488.db2.gz FIQUUJZRSZYSRK-CQSZACIVSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1ncsc1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000336211675 228214489 /nfs/dbraw/zinc/21/44/89/228214489.db2.gz FIQUUJZRSZYSRK-CQSZACIVSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CC(C)(C)Oc3cc(F)ccc32)no1 ZINC000336227112 228217844 /nfs/dbraw/zinc/21/78/44/228217844.db2.gz JZSPMLZDIVJMPS-CQSZACIVSA-N 1 2 290.338 3.514 20 0 CHADLO CN(CCc1ccccc1)c1ccc2ccccc2[nH+]1 ZINC000519966495 260034159 /nfs/dbraw/zinc/03/41/59/260034159.db2.gz QCZDEPGYLXUKTJ-UHFFFAOYSA-N 1 2 262.356 3.914 20 0 CHADLO Fc1ccc(F)c(CNc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000036939794 260054417 /nfs/dbraw/zinc/05/44/17/260054417.db2.gz XQILQCPCQKWSAQ-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)c1cccs1 ZINC000036982214 260060327 /nfs/dbraw/zinc/06/03/27/260060327.db2.gz KQWWBDCWNONCHA-GFCCVEGCSA-N 1 2 273.405 3.916 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H]1c2ccccc2CC[C@H]1C ZINC000179850103 260077699 /nfs/dbraw/zinc/07/76/99/260077699.db2.gz PEKKPEXEVRXSIO-PXAZEXFGSA-N 1 2 295.386 3.835 20 0 CHADLO C[C@H]([NH2+]Cc1cccc2c1OCO2)c1c(F)cccc1F ZINC000037771892 260116366 /nfs/dbraw/zinc/11/63/66/260116366.db2.gz MEPZDYVPSVUVHR-JTQLQIEISA-N 1 2 291.297 3.544 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2ccsc2Cl)c1 ZINC000312555503 260148322 /nfs/dbraw/zinc/14/83/22/260148322.db2.gz KXZWTOBLVBPGPJ-UHFFFAOYSA-N 1 2 273.735 3.970 20 0 CHADLO Cc1cccc([C@H](C)CNc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000525461287 260153862 /nfs/dbraw/zinc/15/38/62/260153862.db2.gz MSVZBVHSIUOANT-CYBMUJFWSA-N 1 2 281.403 3.608 20 0 CHADLO Cc1cccc([C@@H](C)CNc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000525461288 260153962 /nfs/dbraw/zinc/15/39/62/260153962.db2.gz MSVZBVHSIUOANT-ZDUSSCGKSA-N 1 2 281.403 3.608 20 0 CHADLO Cc1cc[nH+]cc1NCc1csc(-c2ccccn2)n1 ZINC000071413749 260162476 /nfs/dbraw/zinc/16/24/76/260162476.db2.gz USQDQISEKMRPAD-UHFFFAOYSA-N 1 2 282.372 3.521 20 0 CHADLO C[C@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1ccccn1 ZINC000078591974 260168432 /nfs/dbraw/zinc/16/84/32/260168432.db2.gz QCGLGZKPSLPVOI-VIFPVBQESA-N 1 2 267.254 3.669 20 0 CHADLO OC1(CNc2ccc3ccccc3[nH+]2)CCCCCC1 ZINC000079618028 260176395 /nfs/dbraw/zinc/17/63/95/260176395.db2.gz OHJOXVUSXFVHOS-UHFFFAOYSA-N 1 2 270.376 3.732 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@H](C)c1nccs1 ZINC000080265778 260179309 /nfs/dbraw/zinc/17/93/09/260179309.db2.gz GKEUHEWJXHURRW-NWDGAFQWSA-N 1 2 276.405 3.872 20 0 CHADLO COc1cc[nH+]cc1COc1ccc(Cl)cc1C(C)=O ZINC000312730484 260194608 /nfs/dbraw/zinc/19/46/08/260194608.db2.gz JCNWVZBFPWNSHZ-UHFFFAOYSA-N 1 2 291.734 3.525 20 0 CHADLO Cc1noc(C)c1[C@H](C)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000092340362 260269531 /nfs/dbraw/zinc/26/95/31/260269531.db2.gz JSRSROCOIATQGC-ZETCQYMHSA-N 1 2 285.269 3.878 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(C(F)(F)F)cc1 ZINC000093326670 260275360 /nfs/dbraw/zinc/27/53/60/260275360.db2.gz SILUKPLPLLIRMC-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO CCn1cc[nH+]c1CN1CCCSc2ccc(F)cc21 ZINC000517790578 260306892 /nfs/dbraw/zinc/30/68/92/260306892.db2.gz VQDXUXGGHPBCIL-UHFFFAOYSA-N 1 2 291.395 3.545 20 0 CHADLO CCn1cc[nH+]c1CNc1cc(C)ccc1Br ZINC000090083709 260318726 /nfs/dbraw/zinc/31/87/26/260318726.db2.gz NKXPUXDLSPDASN-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@H+](Cc2ccncc2F)C1 ZINC000449308788 260388970 /nfs/dbraw/zinc/38/89/70/260388970.db2.gz ZTPXTTGIORUGNQ-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@@H+](Cc2ccncc2F)C1 ZINC000449308788 260388971 /nfs/dbraw/zinc/38/89/71/260388971.db2.gz ZTPXTTGIORUGNQ-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2nccs2)cs1 ZINC000091723605 260482839 /nfs/dbraw/zinc/48/28/39/260482839.db2.gz BWVSJTJFIMFWGX-RKDXNWHRSA-N 1 2 267.423 3.574 20 0 CHADLO CCc1ccccc1-c1noc(CCc2c[nH+]cc(C)c2)n1 ZINC000426440474 260779371 /nfs/dbraw/zinc/77/93/71/260779371.db2.gz POACLQHTYBWMFS-UHFFFAOYSA-N 1 2 293.370 3.788 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccc(F)cc2Cl)s1 ZINC000136249006 260925735 /nfs/dbraw/zinc/92/57/35/260925735.db2.gz NORQVBVDPGDHPE-UHFFFAOYSA-N 1 2 284.787 3.788 20 0 CHADLO COc1cc[nH+]cc1NC(=O)CC[C@@H](C)c1ccccc1 ZINC000138539444 260939720 /nfs/dbraw/zinc/93/97/20/260939720.db2.gz UASJMPVYEMJPMT-CYBMUJFWSA-N 1 2 284.359 3.613 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2ccc(CC(C)C)cc2)C2CC2)no1 ZINC000152571156 261065889 /nfs/dbraw/zinc/06/58/89/261065889.db2.gz KBZUETRHYPNQMK-SFHVURJKSA-N 1 2 299.418 3.817 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ncc(C(C)(C)C)s2)CCS1 ZINC000448337465 261118098 /nfs/dbraw/zinc/11/80/98/261118098.db2.gz PRMYHSRWIDREIU-LLVKDONJSA-N 1 2 284.494 3.768 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ncc(C(C)(C)C)s2)CCS1 ZINC000448337465 261118099 /nfs/dbraw/zinc/11/80/99/261118099.db2.gz PRMYHSRWIDREIU-LLVKDONJSA-N 1 2 284.494 3.768 20 0 CHADLO CC(C)(C)[C@@H]1C[N@H+](Cc2ccsc2Cl)CCO1 ZINC000448356401 261124365 /nfs/dbraw/zinc/12/43/65/261124365.db2.gz SKQPECJTMWHEAR-NSHDSACASA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)(C)[C@@H]1C[N@@H+](Cc2ccsc2Cl)CCO1 ZINC000448356401 261124366 /nfs/dbraw/zinc/12/43/66/261124366.db2.gz SKQPECJTMWHEAR-NSHDSACASA-N 1 2 273.829 3.648 20 0 CHADLO CC[C@@H]1CCC[C@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000448896432 261141416 /nfs/dbraw/zinc/14/14/16/261141416.db2.gz NJJFARDGSUTQFL-IUODEOHRSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@H](C)[NH2+]C/C(Cl)=C/Cl ZINC000157806928 261152189 /nfs/dbraw/zinc/15/21/89/261152189.db2.gz VBRFCBQHLGVZPW-ISAHRAOESA-N 1 2 290.238 3.916 20 0 CHADLO CC(C)COc1cccc(NCc2cn3ccccc3[nH+]2)c1 ZINC000174185392 261179136 /nfs/dbraw/zinc/17/91/36/261179136.db2.gz RITMVIOERUJZPG-UHFFFAOYSA-N 1 2 295.386 3.981 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)Cc2cccc(F)c2)c(C)[nH+]1 ZINC000176185526 261268336 /nfs/dbraw/zinc/26/83/36/261268336.db2.gz DETPAVOXXJJRDX-LLVKDONJSA-N 1 2 286.350 3.655 20 0 CHADLO CCC(C)(C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000102608276 261268522 /nfs/dbraw/zinc/26/85/22/261268522.db2.gz OMDJRGHDFFRRMK-UHFFFAOYSA-N 1 2 291.782 3.900 20 0 CHADLO Cc1cccn2cc(CNC(=O)CC3CCCCCC3)[nH+]c12 ZINC000176318440 261278026 /nfs/dbraw/zinc/27/80/26/261278026.db2.gz FTDMJNJYTGEFDO-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO O=C(CCc1ccsc1)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000103966141 261286447 /nfs/dbraw/zinc/28/64/47/261286447.db2.gz FUWUNXMZPJUHQW-UHFFFAOYSA-N 1 2 297.383 3.710 20 0 CHADLO CCc1noc(C)c1C[N@H+](C)[C@H](C)c1ccccc1F ZINC000162937524 261299746 /nfs/dbraw/zinc/29/97/46/261299746.db2.gz UOHXVWWZGUGCHL-LLVKDONJSA-N 1 2 276.355 3.878 20 0 CHADLO CCc1noc(C)c1C[N@@H+](C)[C@H](C)c1ccccc1F ZINC000162937524 261299749 /nfs/dbraw/zinc/29/97/49/261299749.db2.gz UOHXVWWZGUGCHL-LLVKDONJSA-N 1 2 276.355 3.878 20 0 CHADLO Cc1cc(NC(=O)Cc2ccccc2C(F)(F)F)cc[nH+]1 ZINC000104827859 261303035 /nfs/dbraw/zinc/30/30/35/261303035.db2.gz GWMZFMCXBUDOJE-UHFFFAOYSA-N 1 2 294.276 3.590 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H]2CCc3c2cccc3O)cs1 ZINC000105486455 261310953 /nfs/dbraw/zinc/31/09/53/261310953.db2.gz UGFUDBKFOAOFAK-CQSZACIVSA-N 1 2 288.416 3.749 20 0 CHADLO COCCC[C@H](C)[NH2+]c1ccc(OC(C)C)cc1 ZINC000182917262 261468019 /nfs/dbraw/zinc/46/80/19/261468019.db2.gz NTAQRHNBNYXTSZ-ZDUSSCGKSA-N 1 2 251.370 3.701 20 0 CHADLO CC(C)n1ccnc1C[NH2+]C1(c2cccc(Cl)c2)CC1 ZINC000179671662 261808138 /nfs/dbraw/zinc/80/81/38/261808138.db2.gz MFSBRGIASVCAPS-UHFFFAOYSA-N 1 2 289.810 3.896 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2ccc(F)cc2)C2CCCC2)o1 ZINC000180296294 261852270 /nfs/dbraw/zinc/85/22/70/261852270.db2.gz SKWJYRNBFYMZCK-INIZCTEOSA-N 1 2 289.354 3.538 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cccc(Cl)n2)cs1 ZINC000184728529 262028210 /nfs/dbraw/zinc/02/82/10/262028210.db2.gz YYKNOYHXYJZFAV-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO COc1ccccc1SCc1ccc(C)[nH+]c1C ZINC000186596970 262101514 /nfs/dbraw/zinc/10/15/14/262101514.db2.gz AQIJCGUVFCTHAH-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+]([C@H](C)c1nc(C3CC3)no1)CC2 ZINC000186734745 262107229 /nfs/dbraw/zinc/10/72/29/262107229.db2.gz BGIPOJAPBQQCDQ-CYBMUJFWSA-N 1 2 297.402 3.683 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+]([C@H](C)c1nc(C3CC3)no1)CC2 ZINC000186734745 262107231 /nfs/dbraw/zinc/10/72/31/262107231.db2.gz BGIPOJAPBQQCDQ-CYBMUJFWSA-N 1 2 297.402 3.683 20 0 CHADLO CCCOc1cccc2c(N[C@H]3CCSC3)cc[nH+]c12 ZINC000497213235 262110631 /nfs/dbraw/zinc/11/06/31/262110631.db2.gz FRQABVFAFJPCQN-LBPRGKRZSA-N 1 2 288.416 3.941 20 0 CHADLO Cn1c(Cl)cnc1C[NH+]1CCC(c2ccsc2)CC1 ZINC000186911222 262116071 /nfs/dbraw/zinc/11/60/71/262116071.db2.gz OJKUEQRHZWTBGP-UHFFFAOYSA-N 1 2 295.839 3.515 20 0 CHADLO Cc1cccc(OCCCNc2cccc[nH+]2)c1C ZINC000019777555 262154373 /nfs/dbraw/zinc/15/43/73/262154373.db2.gz PXYWSYZVOVNVRU-UHFFFAOYSA-N 1 2 256.349 3.579 20 0 CHADLO COc1ccc(CNc2c[nH+]cc(C)c2)c(Cl)c1OC ZINC000119614402 262168353 /nfs/dbraw/zinc/16/83/53/262168353.db2.gz YAYLUROBIBBDNQ-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO CCc1cnc(C[N@@H+](CC)[C@H](C)c2cccc(OC)c2)o1 ZINC000525783019 262231411 /nfs/dbraw/zinc/23/14/11/262231411.db2.gz TTYXDOHUGMKMQM-CYBMUJFWSA-N 1 2 288.391 3.829 20 0 CHADLO CCc1cnc(C[N@H+](CC)[C@H](C)c2cccc(OC)c2)o1 ZINC000525783019 262231412 /nfs/dbraw/zinc/23/14/12/262231412.db2.gz TTYXDOHUGMKMQM-CYBMUJFWSA-N 1 2 288.391 3.829 20 0 CHADLO COc1ccc(F)cc1CNc1cccc(-n2cc[nH+]c2)c1 ZINC000320089641 262390423 /nfs/dbraw/zinc/39/04/23/262390423.db2.gz DDLCAKQGFGBFPF-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1ccc([C@@H](C)N(C)c2[nH+]c(C)nc3[nH]ccc32)cc1 ZINC000433797084 262664898 /nfs/dbraw/zinc/66/48/98/262664898.db2.gz SIRCPRFMPILEHC-GFCCVEGCSA-N 1 2 280.375 3.772 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2ccc(Cl)c(Cl)c2)[nH]1 ZINC000411154571 262681501 /nfs/dbraw/zinc/68/15/01/262681501.db2.gz LEUFCJRYCBJYHO-MRVPVSSYSA-N 1 2 299.205 3.613 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2ccc(Cl)c(Cl)c2)[nH]1 ZINC000411154571 262681502 /nfs/dbraw/zinc/68/15/02/262681502.db2.gz LEUFCJRYCBJYHO-MRVPVSSYSA-N 1 2 299.205 3.613 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(=O)c2sccc2[nH]1)C(C)(C)C ZINC000411787986 262706554 /nfs/dbraw/zinc/70/65/54/262706554.db2.gz DYUUOCPKQBYQIA-LLVKDONJSA-N 1 2 293.436 3.701 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H]2CC(C)(C)Cc3occc32)s1 ZINC000274275004 263022576 /nfs/dbraw/zinc/02/25/76/263022576.db2.gz XGRFYDHWVOXQGK-JOYOIKCWSA-N 1 2 291.420 3.804 20 0 CHADLO CCO[C@@H](C(=O)Nc1c(C)cc(C)[nH+]c1C)c1ccccc1 ZINC000414782656 263046105 /nfs/dbraw/zinc/04/61/05/263046105.db2.gz ITVVYVNCRDIWGE-QGZVFWFLSA-N 1 2 298.386 3.723 20 0 CHADLO Cc1cc(NCCC[C@@H](C)CO)c2cccc(F)c2[nH+]1 ZINC000340080305 263067408 /nfs/dbraw/zinc/06/74/08/263067408.db2.gz WUUGNEIHCFTOMC-LLVKDONJSA-N 1 2 276.355 3.503 20 0 CHADLO Cn1c[nH+]cc1COc1ccc(SC(F)(F)F)cc1 ZINC000284974624 263088953 /nfs/dbraw/zinc/08/89/53/263088953.db2.gz ARQSZPLMQALHHT-UHFFFAOYSA-N 1 2 288.294 3.611 20 0 CHADLO COc1ccncc1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000287855590 263102935 /nfs/dbraw/zinc/10/29/35/263102935.db2.gz RLYKTETYFIUGID-HNNXBMFYSA-N 1 2 288.778 3.691 20 0 CHADLO COc1ccncc1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000287855590 263102936 /nfs/dbraw/zinc/10/29/36/263102936.db2.gz RLYKTETYFIUGID-HNNXBMFYSA-N 1 2 288.778 3.691 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H](C)c2ccncc2F)n1 ZINC000340269086 263103539 /nfs/dbraw/zinc/10/35/39/263103539.db2.gz ZEIBCFKZSGHRCV-SNVBAGLBSA-N 1 2 279.384 3.651 20 0 CHADLO CC(C)COc1ccc([C@H](C)[NH2+]Cc2ccon2)cc1 ZINC000148716328 263108647 /nfs/dbraw/zinc/10/86/47/263108647.db2.gz HCEKSCWGVLUKQQ-ZDUSSCGKSA-N 1 2 274.364 3.560 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1cccc(C)c1C)C(=O)OCC ZINC000439597232 263135203 /nfs/dbraw/zinc/13/52/03/263135203.db2.gz QMJKWLBQOXTZBO-HOCLYGCPSA-N 1 2 277.408 3.686 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1nnc(C(C)C)o1)C(C)(C)C2 ZINC000293425424 263140150 /nfs/dbraw/zinc/14/01/50/263140150.db2.gz NJXCXLJPZFNYTP-UHFFFAOYSA-N 1 2 299.418 3.838 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1nnc(C(C)C)o1)C(C)(C)C2 ZINC000293425424 263140151 /nfs/dbraw/zinc/14/01/51/263140151.db2.gz NJXCXLJPZFNYTP-UHFFFAOYSA-N 1 2 299.418 3.838 20 0 CHADLO CCOc1ccccc1C[NH2+]Cc1cccc(O)c1Cl ZINC000293468077 263141332 /nfs/dbraw/zinc/14/13/32/263141332.db2.gz DRGVJHDBOCGXJX-UHFFFAOYSA-N 1 2 291.778 3.734 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)N[C@H](CC(C)(C)C)C(F)(F)F ZINC000453193129 263200956 /nfs/dbraw/zinc/20/09/56/263200956.db2.gz DRVVVDLZAMHVBN-WDEREUQCSA-N 1 2 291.361 3.921 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC)C(F)F)c1ccccc1OC ZINC000453246265 263207921 /nfs/dbraw/zinc/20/79/21/263207921.db2.gz MYNANXPRKDDSOP-RYUDHWBXSA-N 1 2 257.324 3.780 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@@H](CC)C(F)F)cc1 ZINC000453254225 263210977 /nfs/dbraw/zinc/21/09/77/263210977.db2.gz YAYCNTVTCADIOP-GWCFXTLKSA-N 1 2 257.324 3.780 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000187712002 263267468 /nfs/dbraw/zinc/26/74/68/263267468.db2.gz QUDHNYBNAOTOMJ-RDTXWAMCSA-N 1 2 293.370 3.818 20 0 CHADLO COC(=O)C[NH2+][C@@H](CCCc1ccccc1)c1ccccc1 ZINC000342501435 263443306 /nfs/dbraw/zinc/44/33/06/263443306.db2.gz BILRTAGMTVGLQY-SFHVURJKSA-N 1 2 297.398 3.513 20 0 CHADLO COCc1cnc(C[N@H+](C)[C@@H](C)c2cccs2)s1 ZINC000342683225 263463471 /nfs/dbraw/zinc/46/34/71/263463471.db2.gz UDFQZLIFKLLTNO-JTQLQIEISA-N 1 2 282.434 3.544 20 0 CHADLO COCc1cnc(C[N@@H+](C)[C@@H](C)c2cccs2)s1 ZINC000342683225 263463473 /nfs/dbraw/zinc/46/34/73/263463473.db2.gz UDFQZLIFKLLTNO-JTQLQIEISA-N 1 2 282.434 3.544 20 0 CHADLO c1ccc(N2Cc3cccc(N[C@H]4CCSC4)c3C2)[nH+]c1 ZINC000334285438 263553864 /nfs/dbraw/zinc/55/38/64/263553864.db2.gz KHGWRSICTTWAGS-AWEZNQCLSA-N 1 2 297.427 3.519 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CC4CCC3CC4)cc2[nH+]1 ZINC000343068271 263587332 /nfs/dbraw/zinc/58/73/32/263587332.db2.gz WFZSOMJOEPOXOE-ORHYLEIMSA-N 1 2 283.375 3.636 20 0 CHADLO CC[C@H]1CN(Cc2c[nH+]cn2C(C)C)c2ccccc2O1 ZINC000425334736 264011602 /nfs/dbraw/zinc/01/16/02/264011602.db2.gz HCZILPOXRGWMES-HNNXBMFYSA-N 1 2 285.391 3.642 20 0 CHADLO CC(C)n1c[nH+]cc1CN(CC1CCC1)CC(F)(F)F ZINC000425335304 264011998 /nfs/dbraw/zinc/01/19/98/264011998.db2.gz IKLFRLZDKVVFRM-UHFFFAOYSA-N 1 2 289.345 3.628 20 0 CHADLO Cc1cccc(N(C)Cc2c[nH+]cn2C(C)C)c1C ZINC000425336965 264013169 /nfs/dbraw/zinc/01/31/69/264013169.db2.gz LYRMTBJIMZXNFO-UHFFFAOYSA-N 1 2 257.381 3.717 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ncc(C(F)(F)F)s2)[C@H](C)C1 ZINC000425347724 264021075 /nfs/dbraw/zinc/02/10/75/264021075.db2.gz BGYYVBGHFUVIIN-RKDXNWHRSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ncc(C(F)(F)F)s2)[C@H](C)C1 ZINC000425347724 264021076 /nfs/dbraw/zinc/02/10/76/264021076.db2.gz BGYYVBGHFUVIIN-RKDXNWHRSA-N 1 2 278.343 3.782 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H](C)CCC=C(C)C)c1ccccc1 ZINC000428077549 264036546 /nfs/dbraw/zinc/03/65/46/264036546.db2.gz PHLDNTIPUBEWGF-ZBFHGGJFSA-N 1 2 275.392 3.625 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCCC[C@]2(C)C(N)=O)n1 ZINC000330881712 264062301 /nfs/dbraw/zinc/06/23/01/264062301.db2.gz ZOMIMUNVGGZABL-MRXNPFEDSA-N 1 2 292.427 3.524 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCCC[C@]2(C)C(N)=O)n1 ZINC000330881712 264062302 /nfs/dbraw/zinc/06/23/02/264062302.db2.gz ZOMIMUNVGGZABL-MRXNPFEDSA-N 1 2 292.427 3.524 20 0 CHADLO CC(C)[C@H](NC(=O)C[C@H](C)C1CCCCC1)c1[nH]cc[nH+]1 ZINC000330967056 264091466 /nfs/dbraw/zinc/09/14/66/264091466.db2.gz ADFPYXICNGTHJY-BBRMVZONSA-N 1 2 291.439 3.830 20 0 CHADLO CO[C@H]1CCC[C@@H](CC(=O)Nc2c(C)cc(C)[nH+]c2C)C1 ZINC000331154607 264140475 /nfs/dbraw/zinc/14/04/75/264140475.db2.gz IQGHGEAGXWJYHM-CABCVRRESA-N 1 2 290.407 3.541 20 0 CHADLO Fc1ccc2nc(C[NH+]3CC(CC(F)F)C3)sc2c1 ZINC000425367281 264212328 /nfs/dbraw/zinc/21/23/28/264212328.db2.gz SDIMKCVILIBCTI-UHFFFAOYSA-N 1 2 286.322 3.522 20 0 CHADLO Cc1cc(NC[C@@]2(C)CCCS2)nc(C(C)C)[nH+]1 ZINC000126399504 264218876 /nfs/dbraw/zinc/21/88/76/264218876.db2.gz OQXYXLHACYMAJT-CQSZACIVSA-N 1 2 265.426 3.606 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)Cc2nc(C(F)F)no2)cc1 ZINC000425388140 264221784 /nfs/dbraw/zinc/22/17/84/264221784.db2.gz WFPDDAVCMFUWNF-UHFFFAOYSA-N 1 2 295.333 3.763 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)Cc2nc(C(F)F)no2)cc1 ZINC000425388140 264221786 /nfs/dbraw/zinc/22/17/86/264221786.db2.gz WFPDDAVCMFUWNF-UHFFFAOYSA-N 1 2 295.333 3.763 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)C1CCCC1)c1ccc(Cl)cc1 ZINC000474931066 264242250 /nfs/dbraw/zinc/24/22/50/264242250.db2.gz YLQOXIWZCRAQSS-NHYWBVRUSA-N 1 2 295.810 3.722 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@@H+]1Cc1nc(C(F)F)no1 ZINC000425406940 264284278 /nfs/dbraw/zinc/28/42/78/264284278.db2.gz NZRFVXWWULSMJZ-GFCCVEGCSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@H+]1Cc1nc(C(F)F)no1 ZINC000425406940 264284280 /nfs/dbraw/zinc/28/42/80/264284280.db2.gz NZRFVXWWULSMJZ-GFCCVEGCSA-N 1 2 293.317 3.653 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1ccc(F)cc1 ZINC000425411483 264293941 /nfs/dbraw/zinc/29/39/41/264293941.db2.gz DKRDHNBRQMKFDM-GFCCVEGCSA-N 1 2 299.296 3.633 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)cc1 ZINC000073984387 264301566 /nfs/dbraw/zinc/30/15/66/264301566.db2.gz GHNRVRAWUIYREM-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO CC(C)OCC[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000189491682 264302663 /nfs/dbraw/zinc/30/26/63/264302663.db2.gz CFLUTCHMOLPTKZ-XJKSGUPXSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OCC[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000189491682 264302664 /nfs/dbraw/zinc/30/26/64/264302664.db2.gz CFLUTCHMOLPTKZ-XJKSGUPXSA-N 1 2 297.826 3.527 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccc(F)c(F)c1 ZINC000162298737 264318605 /nfs/dbraw/zinc/31/86/05/264318605.db2.gz XLLSGHBJENNOCD-BDAKNGLRSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1ccc(C)c(NC(=O)NCc2c[nH+]c(C)cc2C)c1 ZINC000334501570 264338766 /nfs/dbraw/zinc/33/87/66/264338766.db2.gz KPOMSTCDZUGBFT-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cncc(C[N@@H+]2CCc3ccc(Cl)cc3C2)c1 ZINC000189979985 264338907 /nfs/dbraw/zinc/33/89/07/264338907.db2.gz MHDKMHISYVFHLX-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cncc(C[N@H+]2CCc3ccc(Cl)cc3C2)c1 ZINC000189979985 264338908 /nfs/dbraw/zinc/33/89/08/264338908.db2.gz MHDKMHISYVFHLX-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nc(C(F)F)no1 ZINC000425468875 264341675 /nfs/dbraw/zinc/34/16/75/264341675.db2.gz DGVWHEJLUDOUNN-DHZHZOJOSA-N 1 2 293.317 3.543 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nc(C(F)F)no1 ZINC000425468875 264341676 /nfs/dbraw/zinc/34/16/76/264341676.db2.gz DGVWHEJLUDOUNN-DHZHZOJOSA-N 1 2 293.317 3.543 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@@H+]1Cc1csc(C(C)(C)C)n1 ZINC000118412734 264356743 /nfs/dbraw/zinc/35/67/43/264356743.db2.gz AXYIZWSCAXQJPZ-MNOVXSKESA-N 1 2 284.494 3.766 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@H+]1Cc1csc(C(C)(C)C)n1 ZINC000118412734 264356746 /nfs/dbraw/zinc/35/67/46/264356746.db2.gz AXYIZWSCAXQJPZ-MNOVXSKESA-N 1 2 284.494 3.766 20 0 CHADLO CCCc1csc(C[N@H+](C)CCOc2ccccc2)n1 ZINC000426043082 264672978 /nfs/dbraw/zinc/67/29/78/264672978.db2.gz MUZAVMGJMQBGFX-UHFFFAOYSA-N 1 2 290.432 3.606 20 0 CHADLO CCCc1csc(C[N@@H+](C)CCOc2ccccc2)n1 ZINC000426043082 264672981 /nfs/dbraw/zinc/67/29/81/264672981.db2.gz MUZAVMGJMQBGFX-UHFFFAOYSA-N 1 2 290.432 3.606 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2ccc([C@H]3C[C@H]3C)o2)o1 ZINC000291704755 264838245 /nfs/dbraw/zinc/83/82/45/264838245.db2.gz KNTLALJMQOCPDM-MFKMUULPSA-N 1 2 274.364 3.552 20 0 CHADLO CSCc1cccc(Nc2[nH+]cnc3[nH]ccc32)c1 ZINC000518844760 265179802 /nfs/dbraw/zinc/17/98/02/265179802.db2.gz NBJJXVSDCDOUBW-UHFFFAOYSA-N 1 2 270.361 3.565 20 0 CHADLO Cc1cc(NCC2(Sc3ccccc3)CCCC2)nc[nH+]1 ZINC000518914707 265213461 /nfs/dbraw/zinc/21/34/61/265213461.db2.gz DBLSRDHVDKDODF-UHFFFAOYSA-N 1 2 299.443 3.724 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H](C)CC(C)(C)C)ccc2[nH+]1 ZINC000355980481 266052325 /nfs/dbraw/zinc/05/23/25/266052325.db2.gz FRSIENUACRYSLX-LLVKDONJSA-N 1 2 273.380 3.654 20 0 CHADLO Cc1ccc(Cc2noc([C@H](C)c3ccccc3F)n2)c[nH+]1 ZINC000356168770 266061634 /nfs/dbraw/zinc/06/16/34/266061634.db2.gz KSRRPUFEPGROMJ-GFCCVEGCSA-N 1 2 297.333 3.655 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N3C[C@H](C)CCC[C@H]3C)cc2n1C ZINC000356193538 266069202 /nfs/dbraw/zinc/06/92/02/266069202.db2.gz POQVHMZTSOILNF-CHWSQXEVSA-N 1 2 299.418 3.532 20 0 CHADLO Cc1c[nH]nc1C[NH+]1Cc2ccccc2-c2ccccc2C1 ZINC000356235629 266078582 /nfs/dbraw/zinc/07/85/82/266078582.db2.gz WIBNOQGEGDLIOI-UHFFFAOYSA-N 1 2 289.382 3.901 20 0 CHADLO Cn1c[nH+]cc1CN1CCCSc2ccc(Cl)cc21 ZINC000356336458 266097854 /nfs/dbraw/zinc/09/78/54/266097854.db2.gz GNMVNUVIDYYHAU-UHFFFAOYSA-N 1 2 293.823 3.576 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cscc2C(F)(F)F)[C@@H](C)[C@H](C)O1 ZINC000356388030 266106826 /nfs/dbraw/zinc/10/68/26/266106826.db2.gz HBUSXPJEFBNWTQ-UTLUCORTSA-N 1 2 293.354 3.765 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cscc2C(F)(F)F)[C@@H](C)[C@H](C)O1 ZINC000356388030 266106828 /nfs/dbraw/zinc/10/68/28/266106828.db2.gz HBUSXPJEFBNWTQ-UTLUCORTSA-N 1 2 293.354 3.765 20 0 CHADLO Clc1sccc1C[N@@H+]1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000356526213 266130680 /nfs/dbraw/zinc/13/06/80/266130680.db2.gz MRBBUBAURDMSEH-CHWSQXEVSA-N 1 2 297.851 3.935 20 0 CHADLO Clc1sccc1C[N@H+]1CC2(CCC2)[C@H]1[C@H]1CCCO1 ZINC000356526213 266130682 /nfs/dbraw/zinc/13/06/82/266130682.db2.gz MRBBUBAURDMSEH-CHWSQXEVSA-N 1 2 297.851 3.935 20 0 CHADLO CC/C=C(/C)c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000356596122 266145638 /nfs/dbraw/zinc/14/56/38/266145638.db2.gz KQUYITCCANXLSK-XGICHPGQSA-N 1 2 280.331 3.736 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+](C)Cc1ccoc1 ZINC000356746368 266168594 /nfs/dbraw/zinc/16/85/94/266168594.db2.gz YUCNZOKQXGEIHM-LLVKDONJSA-N 1 2 262.353 3.758 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+](C)Cc1ccoc1 ZINC000356746368 266168596 /nfs/dbraw/zinc/16/85/96/266168596.db2.gz YUCNZOKQXGEIHM-LLVKDONJSA-N 1 2 262.353 3.758 20 0 CHADLO CC[C@H]([NH2+]Cc1nc2ccccc2[nH]1)c1nc(C)cs1 ZINC000356840871 266185925 /nfs/dbraw/zinc/18/59/25/266185925.db2.gz GIESMBQWLVGTSE-NSHDSACASA-N 1 2 286.404 3.569 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+][C@@H](COC)c1ccc(C)o1 ZINC000356867100 266199007 /nfs/dbraw/zinc/19/90/07/266199007.db2.gz MMCQKRJBTFGPKN-ZFWWWQNUSA-N 1 2 288.391 3.584 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+][C@H](C)c1nc(C)cs1 ZINC000356906524 266210134 /nfs/dbraw/zinc/21/01/34/266210134.db2.gz WAUDBNVYYPMVOU-VXGBXAGGSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2cc3ccccc3o2)o1 ZINC000356986446 266225282 /nfs/dbraw/zinc/22/52/82/266225282.db2.gz OSYIASQAWNNLKB-LBPRGKRZSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2cc3ccccc3o2)o1 ZINC000356986446 266225288 /nfs/dbraw/zinc/22/52/88/266225288.db2.gz OSYIASQAWNNLKB-LBPRGKRZSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1cc(C)cc(N(C)Cc2cccc3[nH+]ccn32)c1 ZINC000356990035 266226006 /nfs/dbraw/zinc/22/60/06/266226006.db2.gz ZYONFZGOHDNFDN-UHFFFAOYSA-N 1 2 265.360 3.588 20 0 CHADLO Cc1ccccc1[C@@H](C)CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000357117723 266250822 /nfs/dbraw/zinc/25/08/22/266250822.db2.gz KAPCERZZLDJWFY-GOEBONIOSA-N 1 2 297.402 3.575 20 0 CHADLO Cc1ccc(C(=O)NCC2(C)CC2)cc1Oc1cc[nH+]cc1 ZINC000357563936 266326340 /nfs/dbraw/zinc/32/63/40/266326340.db2.gz WIPGFJPZMCPXNC-UHFFFAOYSA-N 1 2 296.370 3.712 20 0 CHADLO CC(C)[N@H+](Cc1cccnc1)Cc1ccncc1Cl ZINC000357610561 266335394 /nfs/dbraw/zinc/33/53/94/266335394.db2.gz CMBUBNAYEOBKSF-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO CC(C)[N@@H+](Cc1cccnc1)Cc1ccncc1Cl ZINC000357610561 266335396 /nfs/dbraw/zinc/33/53/96/266335396.db2.gz CMBUBNAYEOBKSF-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO COCc1cc(N2CCC=C(C)C2)c2cc(F)ccc2[nH+]1 ZINC000357666606 266342800 /nfs/dbraw/zinc/34/28/00/266342800.db2.gz ZBJKCEWDYINHFB-UHFFFAOYSA-N 1 2 286.350 3.677 20 0 CHADLO CC[C@H](C)n1ncc(NCc2c[nH+]c3c(C)cccn23)c1C ZINC000359805164 266640513 /nfs/dbraw/zinc/64/05/13/266640513.db2.gz UHBDTESIXFREPS-ZDUSSCGKSA-N 1 2 297.406 3.731 20 0 CHADLO Cc1nc(N[C@@H]2CC[C@H](c3ccc(F)cc3)C2)cc[nH+]1 ZINC000359880332 266651040 /nfs/dbraw/zinc/65/10/40/266651040.db2.gz SFEZPBFLVPJKAG-DZGCQCFKSA-N 1 2 271.339 3.672 20 0 CHADLO CCC[C@@H](C)[C@H]1CCCN1c1[nH+]c(C)nc2[nH]ccc21 ZINC000362999749 267071854 /nfs/dbraw/zinc/07/18/54/267071854.db2.gz APYUYPXUQOAGKY-BXUZGUMPSA-N 1 2 272.396 3.671 20 0 CHADLO Cc1cc(NC(=O)C[C@@H](C)c2ccccc2C)cc[nH+]1 ZINC000116199438 267127571 /nfs/dbraw/zinc/12/75/71/267127571.db2.gz APVGSMMYMXHKDO-CYBMUJFWSA-N 1 2 268.360 3.831 20 0 CHADLO CC(C)c1nc(N2CC(C)(C)[C@H]2c2ccncc2)cc[nH+]1 ZINC000368027514 267137628 /nfs/dbraw/zinc/13/76/28/267137628.db2.gz LPOMYDOUXNCSIC-OAHLLOKOSA-N 1 2 282.391 3.583 20 0 CHADLO c1cc([C@H]2CCCC[N@H+]2Cc2cc3ccccc3[nH]2)n[nH]1 ZINC000368040788 267140313 /nfs/dbraw/zinc/14/03/13/267140313.db2.gz JVWGFTHPQSZRMB-QGZVFWFLSA-N 1 2 280.375 3.618 20 0 CHADLO c1cc([C@H]2CCCC[N@@H+]2Cc2cc3ccccc3[nH]2)n[nH]1 ZINC000368040788 267140315 /nfs/dbraw/zinc/14/03/15/267140315.db2.gz JVWGFTHPQSZRMB-QGZVFWFLSA-N 1 2 280.375 3.618 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2c3ccc(F)cc3O[C@H]2C)cs1 ZINC000368584716 267188645 /nfs/dbraw/zinc/18/86/45/267188645.db2.gz NWFJWKXQTIBJSH-KOSWAMCASA-N 1 2 292.379 3.763 20 0 CHADLO CC(C)(C)CCCCC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000368598139 267190046 /nfs/dbraw/zinc/19/00/46/267190046.db2.gz MQOZDOBMOOXHDR-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000117246871 267208120 /nfs/dbraw/zinc/20/81/20/267208120.db2.gz MNQLLWYOWBYAKR-OAHLLOKOSA-N 1 2 291.439 3.653 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(C3CCCCC3)cs2)CCCO1 ZINC000369366812 267238172 /nfs/dbraw/zinc/23/81/72/267238172.db2.gz SDTHOICDJLEONF-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(C3CCCCC3)cs2)CCCO1 ZINC000369366812 267238173 /nfs/dbraw/zinc/23/81/73/267238173.db2.gz SDTHOICDJLEONF-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO c1csc(-c2noc(-c3cccc(-n4cc[nH+]c4)c3)n2)c1 ZINC000118165528 267240412 /nfs/dbraw/zinc/24/04/12/267240412.db2.gz KUNYOWBHMBWHJD-UHFFFAOYSA-N 1 2 294.339 3.651 20 0 CHADLO Fc1ccccc1-c1cnc(C[NH+]2Cc3ccccc3C2)o1 ZINC000119334191 267322680 /nfs/dbraw/zinc/32/26/80/267322680.db2.gz NIQDYRFKWSFFLP-UHFFFAOYSA-N 1 2 294.329 3.997 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000370631894 267332076 /nfs/dbraw/zinc/33/20/76/267332076.db2.gz UJONPNLXSPEIPZ-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000370631894 267332079 /nfs/dbraw/zinc/33/20/79/267332079.db2.gz UJONPNLXSPEIPZ-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CCOc1ccccc1C[N@@H+]1CCC[C@@H]1c1nccs1 ZINC000370656237 267335493 /nfs/dbraw/zinc/33/54/93/267335493.db2.gz KPCTYBKLKLWXOF-CQSZACIVSA-N 1 2 288.416 3.879 20 0 CHADLO CCOc1ccccc1C[N@H+]1CCC[C@@H]1c1nccs1 ZINC000370656237 267335495 /nfs/dbraw/zinc/33/54/95/267335495.db2.gz KPCTYBKLKLWXOF-CQSZACIVSA-N 1 2 288.416 3.879 20 0 CHADLO CCC[C@@H]1[C@H](C)CCCN1C(=O)Nc1cc[nH+]c(C)c1 ZINC000119482727 267338610 /nfs/dbraw/zinc/33/86/10/267338610.db2.gz CUZYKNQEYGRKEJ-IUODEOHRSA-N 1 2 275.396 3.823 20 0 CHADLO C[C@@H]1[C@@H](C)[C@H](C)C[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000418127351 267355432 /nfs/dbraw/zinc/35/54/32/267355432.db2.gz QVKNMWIMTXGRCI-HRDYMLBCSA-N 1 2 278.343 3.638 20 0 CHADLO C[C@@H]1[C@@H](C)[C@H](C)C[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000418127351 267355434 /nfs/dbraw/zinc/35/54/34/267355434.db2.gz QVKNMWIMTXGRCI-HRDYMLBCSA-N 1 2 278.343 3.638 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2cccc(C)c2)[nH+]1 ZINC000371247650 267376488 /nfs/dbraw/zinc/37/64/88/267376488.db2.gz OYTUFQCQYRJIEO-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2occc2C)[nH+]1 ZINC000371246326 267376739 /nfs/dbraw/zinc/37/67/39/267376739.db2.gz CMPGYSONUXVCHP-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2C[C@@H]2c2cccc(Cl)c2)cc[nH+]1 ZINC000120120320 267383988 /nfs/dbraw/zinc/38/39/88/267383988.db2.gz VULQFOZXLIQDMW-HUUCEWRRSA-N 1 2 286.762 3.786 20 0 CHADLO c1nc([C@H]2CCC[N@H+]2Cc2cc3ccccc3s2)no1 ZINC000371373758 267395832 /nfs/dbraw/zinc/39/58/32/267395832.db2.gz NXCOGAFVGWLIBK-CYBMUJFWSA-N 1 2 285.372 3.621 20 0 CHADLO c1nc([C@H]2CCC[N@@H+]2Cc2cc3ccccc3s2)no1 ZINC000371373758 267395837 /nfs/dbraw/zinc/39/58/37/267395837.db2.gz NXCOGAFVGWLIBK-CYBMUJFWSA-N 1 2 285.372 3.621 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000377067913 268044428 /nfs/dbraw/zinc/04/44/28/268044428.db2.gz PDIXFKPUQIUOPR-CYBMUJFWSA-N 1 2 277.318 3.684 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000377067913 268044429 /nfs/dbraw/zinc/04/44/29/268044429.db2.gz PDIXFKPUQIUOPR-CYBMUJFWSA-N 1 2 277.318 3.684 20 0 CHADLO CCOc1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)ccc1C ZINC000172001916 335088002 /nfs/dbraw/zinc/08/80/02/335088002.db2.gz GBFBAQYSMBDMDM-UHFFFAOYSA-N 1 2 298.386 3.966 20 0 CHADLO Cc1cc(NCc2ccc(Cl)s2)nc(C2CC2)[nH+]1 ZINC000172014424 335088522 /nfs/dbraw/zinc/08/85/22/335088522.db2.gz XLURYHSSSATQNI-UHFFFAOYSA-N 1 2 279.796 3.989 20 0 CHADLO CCC1(CC)C[N@@H+](Cc2ncc(Cl)s2)CCS1 ZINC000351990394 529083169 /nfs/dbraw/zinc/08/31/69/529083169.db2.gz ZXHZJBSCABBAGL-UHFFFAOYSA-N 1 2 290.885 3.904 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H](C)c2cn3ccccc3n2)n1 ZINC000570816126 327622093 /nfs/dbraw/zinc/62/20/93/327622093.db2.gz LEGMPSSWYLRISP-VXGBXAGGSA-N 1 2 286.404 3.511 20 0 CHADLO CC(C)c1nc2cc(N[C@@H]3CCn4cc[nH+]c43)ccc2o1 ZINC000379012089 327777657 /nfs/dbraw/zinc/77/76/57/327777657.db2.gz NMLAULJTUCLMEJ-GFCCVEGCSA-N 1 2 282.347 3.705 20 0 CHADLO Cc1cccc(NC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)c1C ZINC000175277680 327780810 /nfs/dbraw/zinc/78/08/10/327780810.db2.gz ZGNGTHMFBQVJQW-OAHLLOKOSA-N 1 2 298.390 3.786 20 0 CHADLO Cc1nc(C[NH2+][C@H](CC2CCCC2)c2ccccc2)no1 ZINC000181941832 327811385 /nfs/dbraw/zinc/81/13/85/327811385.db2.gz KUAKTQPAIJNFAZ-MRXNPFEDSA-N 1 2 285.391 3.789 20 0 CHADLO CCc1cnccc1[C@H](C)[NH2+]Cc1cc(C(C)(C)C)on1 ZINC000582091513 327841275 /nfs/dbraw/zinc/84/12/75/327841275.db2.gz WDVFFHZKPVZKOA-LBPRGKRZSA-N 1 2 287.407 3.780 20 0 CHADLO C[C@H]1C[C@@H](Nc2cc[nH+]c3cc(F)c(Cl)cc23)CO1 ZINC000563053512 327850526 /nfs/dbraw/zinc/85/05/26/327850526.db2.gz XNSNJWJXJPLKCV-DTWKUNHWSA-N 1 2 280.730 3.617 20 0 CHADLO COc1ccc([NH2+][C@@H](C)CCC2CC2)cc1NC(C)=O ZINC000186459980 327853513 /nfs/dbraw/zinc/85/35/13/327853513.db2.gz KAJDJHAMYMJHEZ-NSHDSACASA-N 1 2 276.380 3.644 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(C2CC2)cc1 ZINC000563111522 327925159 /nfs/dbraw/zinc/92/51/59/327925159.db2.gz MZNVTJJLJPRSBW-UHFFFAOYSA-N 1 2 254.333 3.580 20 0 CHADLO Cc1cccc(C(=O)Nc2ccccc2-n2cc[nH+]c2)c1C ZINC000339134758 327998057 /nfs/dbraw/zinc/99/80/57/327998057.db2.gz KNCXHCKQBMIFBE-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO C[C@@H]([NH2+]Cc1ccn[nH]1)c1ccc(-c2ccccc2)cc1 ZINC000041014148 328023342 /nfs/dbraw/zinc/02/33/42/328023342.db2.gz CABSEROSMCFHMT-CQSZACIVSA-N 1 2 277.371 3.928 20 0 CHADLO COc1ccc(CNc2ccc3ccccc3[nH+]2)c(C)c1 ZINC000531706277 328026888 /nfs/dbraw/zinc/02/68/88/328026888.db2.gz UISDNACPBKKWIM-UHFFFAOYSA-N 1 2 278.355 3.586 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccsc2)nc2ccccc12 ZINC000531706682 328027170 /nfs/dbraw/zinc/02/71/70/328027170.db2.gz UMMMRBSRQURWTL-LLVKDONJSA-N 1 2 283.400 3.851 20 0 CHADLO CC[N@H+](Cc1nc(C(C)C)no1)Cc1cccc(Cl)c1 ZINC000047958396 328030911 /nfs/dbraw/zinc/03/09/11/328030911.db2.gz IYRVLOYXVCOPHM-UHFFFAOYSA-N 1 2 293.798 3.869 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)C)no1)Cc1cccc(Cl)c1 ZINC000047958396 328030913 /nfs/dbraw/zinc/03/09/13/328030913.db2.gz IYRVLOYXVCOPHM-UHFFFAOYSA-N 1 2 293.798 3.869 20 0 CHADLO Cc1cc(N[C@H]2CCO[C@H]2C2CC2)c2cccc(F)c2[nH+]1 ZINC000534061948 328033392 /nfs/dbraw/zinc/03/33/92/328033392.db2.gz JJKIKHNLVZXBCS-YOEHRIQHSA-N 1 2 286.350 3.662 20 0 CHADLO C[C@@]1(c2ccccc2)CCN(c2[nH]c3ccccc3[nH+]2)C1 ZINC000534236996 328041032 /nfs/dbraw/zinc/04/10/32/328041032.db2.gz GAFCTCATQRMOSY-GOSISDBHSA-N 1 2 277.371 3.731 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C(C)(C)C)cc2)c(C)c[nH+]1 ZINC000534300271 328044074 /nfs/dbraw/zinc/04/40/74/328044074.db2.gz RIXGXWDUIPXDSO-UHFFFAOYSA-N 1 2 282.387 3.670 20 0 CHADLO Cc1cc(NC(=O)Nc2ccc(C(C)C)cc2)c(C)c[nH+]1 ZINC000534326437 328045962 /nfs/dbraw/zinc/04/59/62/328045962.db2.gz LGAUOXDVYVAJKX-UHFFFAOYSA-N 1 2 283.375 3.888 20 0 CHADLO C[C@H]1C[C@H](NC(=O)c2cccc(C(C)(C)C)c2)c2[nH+]ccn21 ZINC000563364864 328059236 /nfs/dbraw/zinc/05/92/36/328059236.db2.gz XANWFQMLMRXJJK-WFASDCNBSA-N 1 2 297.402 3.616 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)cc1 ZINC000563391771 328060530 /nfs/dbraw/zinc/06/05/30/328060530.db2.gz AOTHLVOJAOFGHV-CYBMUJFWSA-N 1 2 299.418 3.915 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)cc1 ZINC000563391771 328060532 /nfs/dbraw/zinc/06/05/32/328060532.db2.gz AOTHLVOJAOFGHV-CYBMUJFWSA-N 1 2 299.418 3.915 20 0 CHADLO C[C@H](CC(=O)N1CCC[C@@H](C)c2ccccc21)n1cc[nH+]c1 ZINC000563625503 328078219 /nfs/dbraw/zinc/07/82/19/328078219.db2.gz NFADATWKZUTKBH-HUUCEWRRSA-N 1 2 297.402 3.765 20 0 CHADLO Cc1ccc(CN(C)C(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000152238375 328082299 /nfs/dbraw/zinc/08/22/99/328082299.db2.gz YEGJMUPJPJUXHA-UHFFFAOYSA-N 1 2 283.375 3.671 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(C(F)(F)F)s1 ZINC000571262593 328096085 /nfs/dbraw/zinc/09/60/85/328096085.db2.gz WOVRQNHSGPRDSW-UHFFFAOYSA-N 1 2 288.294 3.783 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+][C@H]2CC23CC3)C(F)F)c1 ZINC000571298318 328100553 /nfs/dbraw/zinc/10/05/53/328100553.db2.gz VJJKOLOQRUERPG-KBPBESRZSA-N 1 2 281.346 3.922 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1cccc(Cl)c1F ZINC000412044260 328102688 /nfs/dbraw/zinc/10/26/88/328102688.db2.gz QLDODFJCBDLSKY-SFYZADRCSA-N 1 2 285.775 3.742 20 0 CHADLO Cc1sc2ncnc(NCCc3ccc(C)c[nH+]3)c2c1C ZINC000413351627 328143782 /nfs/dbraw/zinc/14/37/82/328143782.db2.gz LTNQEBJXSKSFRQ-UHFFFAOYSA-N 1 2 298.415 3.666 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nccc(C(F)(F)F)n2)cc1 ZINC000414266688 328153960 /nfs/dbraw/zinc/15/39/60/328153960.db2.gz WBBVPZRXOUDJQZ-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO CC(C)(C)CCCC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000264011519 328156754 /nfs/dbraw/zinc/15/67/54/328156754.db2.gz BCORISUBKRSIBL-CYBMUJFWSA-N 1 2 277.412 3.680 20 0 CHADLO COC1(CCSCCc2cccc(C)[nH+]2)CCC1 ZINC000571642378 328170138 /nfs/dbraw/zinc/17/01/38/328170138.db2.gz MMWCQKAEILGADU-UHFFFAOYSA-N 1 2 265.422 3.625 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cccc(Br)c2)no1 ZINC000282806760 328243483 /nfs/dbraw/zinc/24/34/83/328243483.db2.gz XOOWBUMCIWQLPJ-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO FC(F)(F)C1CCC(CNc2cccc[nH+]2)CC1 ZINC000075066735 328276381 /nfs/dbraw/zinc/27/63/81/328276381.db2.gz OPULZNBHVUHKIX-UHFFFAOYSA-N 1 2 258.287 3.862 20 0 CHADLO Cn1cc[nH+]c1[C@H]1N(Cc2cccc(Cl)c2)CC1(C)C ZINC000294848202 328283255 /nfs/dbraw/zinc/28/32/55/328283255.db2.gz RWFMATAWMNDDNW-CQSZACIVSA-N 1 2 289.810 3.657 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1csc(Cl)n1 ZINC000296265844 328289770 /nfs/dbraw/zinc/28/97/70/328289770.db2.gz JVUBULFENYJKCQ-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ncc(C(F)(F)F)cn2)c(C)o1 ZINC000296627289 328291534 /nfs/dbraw/zinc/29/15/34/328291534.db2.gz XEDZZSANPSLLCH-VIFPVBQESA-N 1 2 299.296 3.556 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2CCn3ccnc32)c(OC(C)(C)C)c1 ZINC000297847630 328294712 /nfs/dbraw/zinc/29/47/12/328294712.db2.gz SRDUGNDJEXUYQS-HNNXBMFYSA-N 1 2 299.418 3.603 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@H](C)CCC[C@H]2C)c(C)[nH+]1 ZINC000152280490 328360249 /nfs/dbraw/zinc/36/02/49/328360249.db2.gz AKXIAGHIPMMNHP-DGCLKSJQSA-N 1 2 275.396 3.741 20 0 CHADLO CCC[C@@H](C)[C@H]1CCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535061736 328382025 /nfs/dbraw/zinc/38/20/25/328382025.db2.gz HQXGBTWRBPACGZ-MLGOLLRUSA-N 1 2 289.423 3.553 20 0 CHADLO CC[C@H](NC(=O)C[C@H](C)C1CCCCC1)c1[nH]cc[nH+]1 ZINC000331000497 328472458 /nfs/dbraw/zinc/47/24/58/328472458.db2.gz QCHOLKMWQSLJCH-JSGCOSHPSA-N 1 2 277.412 3.584 20 0 CHADLO Cc1c[nH+]ccc1CCNc1ncnc2cc(Cl)ccc21 ZINC000162392297 328484342 /nfs/dbraw/zinc/48/43/42/328484342.db2.gz XJDWEIUBJWEJAQ-UHFFFAOYSA-N 1 2 298.777 3.641 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccccc1C(F)(F)F ZINC000186426110 329342169 /nfs/dbraw/zinc/34/21/69/329342169.db2.gz HZDBUYXBYLIQSG-UHFFFAOYSA-N 1 2 270.229 3.852 20 0 CHADLO NC(=O)C1CC[NH+](Cc2csc(Cl)c2Cl)CC1 ZINC000335336369 328577646 /nfs/dbraw/zinc/57/76/46/328577646.db2.gz JPKLEYHDYHBDPR-UHFFFAOYSA-N 1 2 293.219 3.802 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1cc(Br)ccc1F ZINC000548097565 329758947 /nfs/dbraw/zinc/75/89/47/329758947.db2.gz AAHLEHUVGYPEEY-RKDXNWHRSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1OC(F)F)c1ccoc1 ZINC000530476713 326815380 /nfs/dbraw/zinc/81/53/80/326815380.db2.gz UOULMFXQRMIUOW-VIFPVBQESA-N 1 2 285.265 3.871 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(Cl)c1)c1csnn1 ZINC000398245312 326876333 /nfs/dbraw/zinc/87/63/33/326876333.db2.gz RVRGKEYFUGNOBG-DTWKUNHWSA-N 1 2 267.785 3.603 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC[C@@H](C)c2ccccc21)c1csnn1 ZINC000398284273 326877679 /nfs/dbraw/zinc/87/76/79/326877679.db2.gz XRFOCDSUJRRFNW-GYSYKLTISA-N 1 2 273.405 3.827 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1Cl)c1csnn1 ZINC000398291634 326877939 /nfs/dbraw/zinc/87/79/39/326877939.db2.gz CHSFCWUHYKIGIO-YUMQZZPRSA-N 1 2 285.775 3.742 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCc2c(OC)cccc21)C(F)F ZINC000556455240 326942960 /nfs/dbraw/zinc/94/29/60/326942960.db2.gz DZAMCIDKVTYNGV-CHWSQXEVSA-N 1 2 269.335 3.706 20 0 CHADLO FC(F)c1nnc(Nc2ccc3c(c2)CCC[NH2+]3)s1 ZINC000261587799 327007856 /nfs/dbraw/zinc/00/78/56/327007856.db2.gz JPVRAKREYFHJMJ-UHFFFAOYSA-N 1 2 282.319 3.577 20 0 CHADLO C/C=C\CSCc1[nH+]ccn1Cc1ccccc1 ZINC000255844964 327020594 /nfs/dbraw/zinc/02/05/94/327020594.db2.gz KDLQEALHUNCUIR-IHWYPQMZSA-N 1 2 258.390 3.741 20 0 CHADLO Cn1c[nH+]cc1CNc1ccccc1Oc1ccccc1 ZINC000090153416 327031261 /nfs/dbraw/zinc/03/12/61/327031261.db2.gz ZUOAYJQLBKEBQJ-UHFFFAOYSA-N 1 2 279.343 3.825 20 0 CHADLO CC[C@@H]1CC[C@H]1[NH2+][C@H](C(=O)OC)c1ccc2ccccc2c1 ZINC000584139826 327048649 /nfs/dbraw/zinc/04/86/49/327048649.db2.gz GGHUXRPEGHGHFA-XWIAVFTESA-N 1 2 297.398 3.832 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ccccc2)c2ccncc2)cs1 ZINC000040418850 327050883 /nfs/dbraw/zinc/05/08/83/327050883.db2.gz PNRXGKTUHOTSNN-QGZVFWFLSA-N 1 2 295.411 3.726 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)cccc2Cl)[C@H]1[C@@H]1CCCO1 ZINC000558471157 327096731 /nfs/dbraw/zinc/09/67/31/327096731.db2.gz WPJLVVOEPPTOGY-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)cccc2Cl)[C@H]1[C@@H]1CCCO1 ZINC000558471157 327096732 /nfs/dbraw/zinc/09/67/32/327096732.db2.gz WPJLVVOEPPTOGY-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000558681165 327114068 /nfs/dbraw/zinc/11/40/68/327114068.db2.gz FESJOUNMJWKRSH-JQWIXIFHSA-N 1 2 292.452 3.815 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)o1 ZINC000223645721 327129413 /nfs/dbraw/zinc/12/94/13/327129413.db2.gz SHRKZOGGSCFBIF-ZDUSSCGKSA-N 1 2 288.391 3.875 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1csnn1)c1cccc(Cl)c1 ZINC000398316584 327135122 /nfs/dbraw/zinc/13/51/22/327135122.db2.gz FRZILQMGRCAVFA-CABZTGNLSA-N 1 2 281.812 3.993 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1csnn1)c1cccc(Cl)c1 ZINC000398316585 327135140 /nfs/dbraw/zinc/13/51/40/327135140.db2.gz FRZILQMGRCAVFA-JOYOIKCWSA-N 1 2 281.812 3.993 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2Cl)[C@@H]1[C@H]1CCCO1 ZINC000559248510 327149935 /nfs/dbraw/zinc/14/99/35/327149935.db2.gz OYIOPCJCRVGKHK-UKRRQHHQSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2Cl)[C@@H]1[C@H]1CCCO1 ZINC000559248510 327149936 /nfs/dbraw/zinc/14/99/36/327149936.db2.gz OYIOPCJCRVGKHK-UKRRQHHQSA-N 1 2 297.801 3.869 20 0 CHADLO Clc1ccc(CSc2[nH+]cnc3[nH]ccc32)cc1 ZINC000559259894 327151371 /nfs/dbraw/zinc/15/13/71/327151371.db2.gz KUABHSPRINQDRC-UHFFFAOYSA-N 1 2 275.764 3.904 20 0 CHADLO C[C@@H]1CSC(SCc2cn3cc(Cl)ccc3[nH+]2)=N1 ZINC000089522210 327171131 /nfs/dbraw/zinc/17/11/31/327171131.db2.gz ZHLCKODZGWANNK-MRVPVSSYSA-N 1 2 297.836 3.712 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(OCC2CC2)cc1)C(F)F ZINC000449364953 327173182 /nfs/dbraw/zinc/17/31/82/327173182.db2.gz UMSXCLCTECVPTK-AWEZNQCLSA-N 1 2 269.335 3.609 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)c(F)c2)[C@@H]1[C@@H]1CCCO1 ZINC000569412256 327174244 /nfs/dbraw/zinc/17/42/44/327174244.db2.gz RPQPYEUZXGDOHV-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)c(F)c2)[C@@H]1[C@@H]1CCCO1 ZINC000569412256 327174245 /nfs/dbraw/zinc/17/42/45/327174245.db2.gz RPQPYEUZXGDOHV-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2cc[nH+]c(C)c2)c(C)c1 ZINC000090604693 327180261 /nfs/dbraw/zinc/18/02/61/327180261.db2.gz HVVCJQBBGIZADZ-UHFFFAOYSA-N 1 2 254.333 3.568 20 0 CHADLO C/C(=C\c1ccc(Cl)cc1)C[NH2+]C(CF)CF ZINC000449382263 327184500 /nfs/dbraw/zinc/18/45/00/327184500.db2.gz WCTAPHPCKXAUJE-UXBLZVDNSA-N 1 2 259.727 3.641 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)ccc1Cl ZINC000569484476 327184664 /nfs/dbraw/zinc/18/46/64/327184664.db2.gz HWCUIHANJWLKSF-IRXDYDNUSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)ccc1Cl ZINC000569484476 327184666 /nfs/dbraw/zinc/18/46/66/327184666.db2.gz HWCUIHANJWLKSF-IRXDYDNUSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1cc(C[NH2+]C(CF)CF)ccc1Oc1cccnc1 ZINC000449382332 327184702 /nfs/dbraw/zinc/18/47/02/327184702.db2.gz WHMBDHHMPVTLPV-UHFFFAOYSA-N 1 2 292.329 3.580 20 0 CHADLO CSc1ccccc1[C@H](C)NCc1[nH]c(C)c(C)[nH+]1 ZINC000569501636 327186479 /nfs/dbraw/zinc/18/64/79/327186479.db2.gz GOGNVJIYOXEJIU-LBPRGKRZSA-N 1 2 275.421 3.599 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(C)c(C)cc2C)no1 ZINC000092254052 327191265 /nfs/dbraw/zinc/19/12/65/327191265.db2.gz NBNVVQCAFIWLGL-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(C)c(C)cc2C)no1 ZINC000092254052 327191266 /nfs/dbraw/zinc/19/12/66/327191266.db2.gz NBNVVQCAFIWLGL-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO CCCC(=CC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1)CCC ZINC000092367020 327193178 /nfs/dbraw/zinc/19/31/78/327193178.db2.gz URJJBUXLVTUCMN-OAHLLOKOSA-N 1 2 289.423 3.990 20 0 CHADLO C[C@H]([N@H+](C)Cc1noc(C2CCCCC2)n1)C(C)(C)C ZINC000569552915 327197249 /nfs/dbraw/zinc/19/72/49/327197249.db2.gz IMMFIEHSRUWVGY-LBPRGKRZSA-N 1 2 279.428 3.984 20 0 CHADLO C[C@H]([N@@H+](C)Cc1noc(C2CCCCC2)n1)C(C)(C)C ZINC000569552915 327197250 /nfs/dbraw/zinc/19/72/50/327197250.db2.gz IMMFIEHSRUWVGY-LBPRGKRZSA-N 1 2 279.428 3.984 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)CN1c1[nH+]c2ccccc2n1C ZINC000536501895 327241933 /nfs/dbraw/zinc/24/19/33/327241933.db2.gz JINWPDMHYPJMDX-GOEBONIOSA-N 1 2 291.398 3.956 20 0 CHADLO CCc1nc(Nc2ccc3[nH]c(C)cc3c2)cc(C)[nH+]1 ZINC000559590497 327254520 /nfs/dbraw/zinc/25/45/20/327254520.db2.gz IAXYWABEZJISJV-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+]C[C@H]2CCCO2)C(F)F)c1 ZINC000559683538 327257611 /nfs/dbraw/zinc/25/76/11/327257611.db2.gz USVBRVSTGKKRFH-HUUCEWRRSA-N 1 2 299.361 3.549 20 0 CHADLO COc1ccc2c(c1)[C@@H]([NH2+]CC(C)(F)F)CCCS2 ZINC000559891662 327268575 /nfs/dbraw/zinc/26/85/75/327268575.db2.gz RLJHEMPHAMXUCU-LBPRGKRZSA-N 1 2 287.375 3.867 20 0 CHADLO C[C@@H](CCC(C)(C)C)Nc1ccc(N2CCOCC2)c[nH+]1 ZINC000560243472 327292613 /nfs/dbraw/zinc/29/26/13/327292613.db2.gz AZKCJKPAKAZNCD-AWEZNQCLSA-N 1 2 291.439 3.545 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H]2CCC[C@@H](C)C2)n1 ZINC000560354418 327302246 /nfs/dbraw/zinc/30/22/46/327302246.db2.gz UDSLNGNFBOBHBL-OCCSQVGLSA-N 1 2 285.391 3.852 20 0 CHADLO COCc1ccccc1/C=C(\C)C[N@@H+]1CCC[C@@H]1C(F)F ZINC000560524251 327318324 /nfs/dbraw/zinc/31/83/24/327318324.db2.gz ULSONTUKMUXJSZ-QSOAKEGCSA-N 1 2 295.373 3.966 20 0 CHADLO COCc1ccccc1/C=C(\C)C[N@H+]1CCC[C@@H]1C(F)F ZINC000560524251 327318325 /nfs/dbraw/zinc/31/83/25/327318325.db2.gz ULSONTUKMUXJSZ-QSOAKEGCSA-N 1 2 295.373 3.966 20 0 CHADLO CC(C)[C@@H]1CC[C@@H]1[NH2+]c1ccc(-n2cccn2)cc1 ZINC000560545487 327322517 /nfs/dbraw/zinc/32/25/17/327322517.db2.gz NPAAFRKSEMLUGR-HOTGVXAUSA-N 1 2 255.365 3.719 20 0 CHADLO Cc1ccnc([C@H](C)Nc2c[nH+]c3c(c2)CCCC3)c1 ZINC000560548616 327323194 /nfs/dbraw/zinc/32/31/94/327323194.db2.gz QGYISPOQCVUBMZ-ZDUSSCGKSA-N 1 2 267.376 3.837 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC000560548785 327323266 /nfs/dbraw/zinc/32/32/66/327323266.db2.gz BFQRRJVLMQWDCC-HNNXBMFYSA-N 1 2 296.418 3.948 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(-c3ccc(Cl)cc3)o2)CCO1 ZINC000560605498 327329754 /nfs/dbraw/zinc/32/97/54/327329754.db2.gz AOYKKRRIHRWTSP-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(-c3ccc(Cl)cc3)o2)CCO1 ZINC000560605498 327329755 /nfs/dbraw/zinc/32/97/55/327329755.db2.gz AOYKKRRIHRWTSP-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO CSc1ccc(C[N@@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)s1 ZINC000560628765 327331883 /nfs/dbraw/zinc/33/18/83/327331883.db2.gz SQVSCWZMUXQEOV-GJZGRUSLSA-N 1 2 295.473 3.613 20 0 CHADLO CSc1ccc(C[N@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)s1 ZINC000560628765 327331884 /nfs/dbraw/zinc/33/18/84/327331884.db2.gz SQVSCWZMUXQEOV-GJZGRUSLSA-N 1 2 295.473 3.613 20 0 CHADLO Cc1cc[nH+]c(NCc2cc(F)ccc2F)c1Cl ZINC000561182480 327371927 /nfs/dbraw/zinc/37/19/27/327371927.db2.gz KNLOTGSMPSBBRY-UHFFFAOYSA-N 1 2 268.694 3.934 20 0 CHADLO O[C@H]1CCCC[C@@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000561305110 327384021 /nfs/dbraw/zinc/38/40/21/327384021.db2.gz QRKYESQMUBYUOL-KBPBESRZSA-N 1 2 276.767 3.604 20 0 CHADLO CC1=C(C)CN(c2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000561308570 327384769 /nfs/dbraw/zinc/38/47/69/327384769.db2.gz WAYILYNCFVJKFG-UHFFFAOYSA-N 1 2 256.271 3.647 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1ccccc1C(C)(C)C ZINC000561328507 327386048 /nfs/dbraw/zinc/38/60/48/327386048.db2.gz TZNPZUMPRBQEJU-CYBMUJFWSA-N 1 2 271.408 3.866 20 0 CHADLO CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1Nc1cccc[nH+]1 ZINC000561408171 327393082 /nfs/dbraw/zinc/39/30/82/327393082.db2.gz IHEQZELCCBOMEW-IXDOHACOSA-N 1 2 282.387 3.845 20 0 CHADLO FC(F)[C@H]1C[C@@H]1Nc1[nH+]cccc1OCc1ccccc1 ZINC000561435713 327394717 /nfs/dbraw/zinc/39/47/17/327394717.db2.gz UTHFGCOCIKSBKF-STQMWFEESA-N 1 2 290.313 3.726 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)C[C@@H]2CC[C@@H]3C[C@@H]32)[nH+]1 ZINC000562531657 327488561 /nfs/dbraw/zinc/48/85/61/327488561.db2.gz GLNIVIWRTVUANZ-IPYPFGDCSA-N 1 2 295.386 3.760 20 0 CHADLO CCc1nc(Nc2ccc(NC(=O)C(C)C)cc2)cc(C)[nH+]1 ZINC000569756185 327545129 /nfs/dbraw/zinc/54/51/29/327545129.db2.gz YKQQEZUMHHWBEG-UHFFFAOYSA-N 1 2 298.390 3.686 20 0 CHADLO CC(C)c1cccc(NC(=O)N[C@H]2C[C@@H](C)n3cc[nH+]c32)c1 ZINC000569901691 327554675 /nfs/dbraw/zinc/55/46/75/327554675.db2.gz YDFXWPYNLHIDNV-DOMZBBRYSA-N 1 2 298.390 3.834 20 0 CHADLO CC(C)N(C)c1ccccc1C[NH2+][C@@H](C)c1cscn1 ZINC000527349748 328661878 /nfs/dbraw/zinc/66/18/78/328661878.db2.gz XEPHRRAETOKYNE-ZDUSSCGKSA-N 1 2 289.448 3.839 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nccn1C)c1ccccc1)c1cccs1 ZINC000532199471 328704874 /nfs/dbraw/zinc/70/48/74/328704874.db2.gz KCNIKGZBJMUXFQ-CJNGLKHVSA-N 1 2 297.427 3.922 20 0 CHADLO Cc1cccc(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000102677503 328710941 /nfs/dbraw/zinc/71/09/41/328710941.db2.gz AQBQYWYPJSTDFU-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@@H]3CC34CCCCC4)ccc2n1C ZINC000532420657 328776382 /nfs/dbraw/zinc/77/63/82/328776382.db2.gz IEDBYUFFMTVVJZ-AWEZNQCLSA-N 1 2 297.402 3.791 20 0 CHADLO CC[C@H](C)[C@H](C)Nc1ccc(N2C[C@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000526990992 328819043 /nfs/dbraw/zinc/81/90/43/328819043.db2.gz PKVZJSZZYWLLBZ-AJNGGQMLSA-N 1 2 291.439 3.542 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ccc4c(n3)CCC4)CCC2)n1 ZINC000514657573 328848557 /nfs/dbraw/zinc/84/85/57/328848557.db2.gz PJYDSNFGNVLJMJ-UHFFFAOYSA-N 1 2 299.443 3.504 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)cc(C(F)(F)F)c1)C(C)(F)F ZINC000389476252 328850085 /nfs/dbraw/zinc/85/00/85/328850085.db2.gz ZTSOUGHKNRTDTD-ZETCQYMHSA-N 1 2 285.231 3.978 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)c(F)c1)C(C)(F)F ZINC000389481190 328851309 /nfs/dbraw/zinc/85/13/09/328851309.db2.gz VKMHTSADLZAZAB-ZETCQYMHSA-N 1 2 251.679 3.612 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1ncc(Cl)cc1C ZINC000359494313 328897906 /nfs/dbraw/zinc/89/79/06/328897906.db2.gz NPHDMIZHUKKCLZ-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO Cc1cn2cc(NC(=O)c3ccc(Cl)cc3C)ccc2[nH+]1 ZINC000360397350 328906227 /nfs/dbraw/zinc/90/62/27/328906227.db2.gz NSXIMNDZDCGMKK-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO COC(=O)c1ccoc1COc1cc(C)[nH+]c2ccccc21 ZINC000362749425 328929690 /nfs/dbraw/zinc/92/96/90/328929690.db2.gz YHDDJUMKJOGQCL-UHFFFAOYSA-N 1 2 297.310 3.502 20 0 CHADLO CO[C@H]1CCCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC1 ZINC000371736092 328958163 /nfs/dbraw/zinc/95/81/63/328958163.db2.gz NHFHXAIJYVWYSA-AWEZNQCLSA-N 1 2 291.439 3.729 20 0 CHADLO Fc1cc(Cl)ccc1CN1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000372906351 328970682 /nfs/dbraw/zinc/97/06/82/328970682.db2.gz SHPJGBZNYMLMPN-ZDUSSCGKSA-N 1 2 279.746 3.539 20 0 CHADLO C[C@@H](CC(=O)Nc1cccc(C(C)(F)F)c1)n1cc[nH+]c1 ZINC000582423636 328986194 /nfs/dbraw/zinc/98/61/94/328986194.db2.gz GMBHUJXYMBAFLK-NSHDSACASA-N 1 2 293.317 3.585 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2noc(C3CC3)n2)cc1 ZINC000377362521 329012817 /nfs/dbraw/zinc/01/28/17/329012817.db2.gz NZOLUTSLRZNFTN-HNNXBMFYSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2noc(C3CC3)n2)cc1 ZINC000377362521 329012815 /nfs/dbraw/zinc/01/28/15/329012815.db2.gz NZOLUTSLRZNFTN-HNNXBMFYSA-N 1 2 283.375 3.593 20 0 CHADLO CC(C)(C)c1nc(CN2CCC[C@H]2c2[nH]cc[nH+]2)cs1 ZINC000378492526 329052553 /nfs/dbraw/zinc/05/25/53/329052553.db2.gz TZXLKFGCAQEJJX-LBPRGKRZSA-N 1 2 290.436 3.501 20 0 CHADLO c1cc(C[N@@H+]2CCCC[C@@H]2c2nc3ccccc3o2)on1 ZINC000360038320 329070292 /nfs/dbraw/zinc/07/02/92/329070292.db2.gz UZENMSASIYSEGF-CQSZACIVSA-N 1 2 283.331 3.543 20 0 CHADLO c1cc(C[N@H+]2CCCC[C@@H]2c2nc3ccccc3o2)on1 ZINC000360038320 329070293 /nfs/dbraw/zinc/07/02/93/329070293.db2.gz UZENMSASIYSEGF-CQSZACIVSA-N 1 2 283.331 3.543 20 0 CHADLO CCc1ccc(NC(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cc1C ZINC000582469566 329091772 /nfs/dbraw/zinc/09/17/72/329091772.db2.gz HRWLBRXALUPCEV-IUODEOHRSA-N 1 2 298.390 3.581 20 0 CHADLO CCc1cc(C)cc(CC)c1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000582729173 329132157 /nfs/dbraw/zinc/13/21/57/329132157.db2.gz BXIQVBRTLCHGNI-AWEZNQCLSA-N 1 2 299.418 3.906 20 0 CHADLO Cc1ccccc1[C@@H](CC(F)(F)F)[NH2+]Cc1ccno1 ZINC000582764548 329156792 /nfs/dbraw/zinc/15/67/92/329156792.db2.gz YYOMUTFLYWERTJ-CYBMUJFWSA-N 1 2 284.281 3.766 20 0 CHADLO COc1cc[nH+]cc1NC(=O)[C@H](C)c1cc2ccccc2o1 ZINC000176222373 329172659 /nfs/dbraw/zinc/17/26/59/329172659.db2.gz AOLQKCVHZAGXQN-LLVKDONJSA-N 1 2 296.326 3.579 20 0 CHADLO CC(C)(C)OCCSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000177837770 329192921 /nfs/dbraw/zinc/19/29/21/329192921.db2.gz KXWNVPBYOSWKGT-UHFFFAOYSA-N 1 2 290.432 3.921 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1CC(C)C)c1ccccc1F ZINC000180628426 329221368 /nfs/dbraw/zinc/22/13/68/329221368.db2.gz NMDGKBGGSFGCTC-INIZCTEOSA-N 1 2 289.398 3.919 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(-c2cccc(F)c2)o1)c1ccccn1 ZINC000181807222 329237430 /nfs/dbraw/zinc/23/74/30/329237430.db2.gz HNUNPBMVOWQLJB-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1cc(N2C[C@H](C)C[C@@H]2c2cccnc2)nc(C2CC2)[nH+]1 ZINC000182611372 329243494 /nfs/dbraw/zinc/24/34/94/329243494.db2.gz LUAKHXUNKBJKTM-MLGOLLRUSA-N 1 2 294.402 3.645 20 0 CHADLO C[C@H]([NH2+]C/C=C/Cl)c1ncc(-c2ccccc2)o1 ZINC000184898416 329263654 /nfs/dbraw/zinc/26/36/54/329263654.db2.gz WTOZPPWBEUTGJB-BWNDKRECSA-N 1 2 262.740 3.745 20 0 CHADLO COc1ccc(OC(F)F)c(CNc2c[nH+]ccc2C)c1 ZINC000184893874 329263833 /nfs/dbraw/zinc/26/38/33/329263833.db2.gz DOUUJKWEEOQRQX-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc3ccccc3n2C2CC2)o1 ZINC000184778825 329264303 /nfs/dbraw/zinc/26/43/03/329264303.db2.gz RADSVVKGOWLBNR-UHFFFAOYSA-N 1 2 281.359 3.562 20 0 CHADLO Cc1ccc(COc2ccc3c(c2)CCCC3=O)c(C)[nH+]1 ZINC000185363610 329271154 /nfs/dbraw/zinc/27/11/54/329271154.db2.gz RXTPHQHJLZIVDB-UHFFFAOYSA-N 1 2 281.355 3.796 20 0 CHADLO CC(C)[C@H](N[C@@H](C)c1cn2ccccc2[nH+]1)C(F)(F)F ZINC000582916257 329288589 /nfs/dbraw/zinc/28/85/89/329288589.db2.gz WHQXMGYULCSJQU-GWCFXTLKSA-N 1 2 285.313 3.572 20 0 CHADLO CCOC1(C)CCN(c2[nH+]c3ccccc3cc2C)CC1 ZINC000583000380 329300291 /nfs/dbraw/zinc/30/02/91/329300291.db2.gz OSYVYMZCGPFRFC-UHFFFAOYSA-N 1 2 284.403 3.939 20 0 CHADLO Cc1ccc2oc(SCCCn3cc[nH+]c3)nc2c1 ZINC000185553519 329326477 /nfs/dbraw/zinc/32/64/77/329326477.db2.gz WSQHIZMHALAVNW-UHFFFAOYSA-N 1 2 273.361 3.515 20 0 CHADLO FC(F)(C[NH2+]Cc1ccsc1)c1ccccc1 ZINC000227901738 329334640 /nfs/dbraw/zinc/33/46/40/329334640.db2.gz DKKKALPKCYNBEX-UHFFFAOYSA-N 1 2 253.317 3.630 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2cc(Cl)ccc2Cl)[nH]1 ZINC000392454937 329341182 /nfs/dbraw/zinc/34/11/82/329341182.db2.gz JTYOENUCPIVEFY-YUMQZZPRSA-N 1 2 299.205 3.832 20 0 CHADLO CC(C)Oc1ccccc1CNc1cc[nH+]cc1F ZINC000186426016 329342018 /nfs/dbraw/zinc/34/20/18/329342018.db2.gz CYOWJKXIUDVPCK-UHFFFAOYSA-N 1 2 260.312 3.620 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccoc1 ZINC000186646702 329346997 /nfs/dbraw/zinc/34/69/97/329346997.db2.gz XXRFHZFPTYIFPX-SJKOYZFVSA-N 1 2 299.349 3.592 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)c(C)n1 ZINC000187103053 329353181 /nfs/dbraw/zinc/35/31/81/329353181.db2.gz XLCCUNIFYZRELW-HNAYVOBHSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)c(C)n1 ZINC000187103053 329353182 /nfs/dbraw/zinc/35/31/82/329353182.db2.gz XLCCUNIFYZRELW-HNAYVOBHSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1ccc(CSc2nnc(C3CC3)s2)c(C)[nH+]1 ZINC000187339873 329355545 /nfs/dbraw/zinc/35/55/45/329355545.db2.gz JFBPWYZJMPLWJS-UHFFFAOYSA-N 1 2 277.418 3.720 20 0 CHADLO CCC1(CC)CCN(C(=O)Nc2cc[nH+]cc2C)CC1 ZINC000187374748 329356892 /nfs/dbraw/zinc/35/68/92/329356892.db2.gz UOFZWBLDCIWDGD-UHFFFAOYSA-N 1 2 275.396 3.824 20 0 CHADLO C[C@@H](Nc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1)C(C)(C)C ZINC000530418852 329381197 /nfs/dbraw/zinc/38/11/97/329381197.db2.gz BDXMIGFVPJAAMQ-MGPQQGTHSA-N 1 2 291.439 3.542 20 0 CHADLO CC(C)c1nc(N2[C@H](C)C[C@H]2C)cc(C(C)(C)C)[nH+]1 ZINC000530432265 329398353 /nfs/dbraw/zinc/39/83/53/329398353.db2.gz DMHWSIVCSMUNRY-VXGBXAGGSA-N 1 2 261.413 3.885 20 0 CHADLO CCN(C)c1ccc(CNc2cnc3ccccc3c2)c[nH+]1 ZINC000191522116 329416915 /nfs/dbraw/zinc/41/69/15/329416915.db2.gz ZAVGLOVXYRNJJO-UHFFFAOYSA-N 1 2 292.386 3.698 20 0 CHADLO COc1ccc(Cl)c(C[NH2+][C@@H](C)c2ncc(C)s2)c1 ZINC000192184408 329430431 /nfs/dbraw/zinc/43/04/31/329430431.db2.gz XOIZSKOJVUSRBK-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO CCc1nnc(C[NH2+][C@H](c2ccc(Cl)cc2)C2CC2)o1 ZINC000192505641 329433745 /nfs/dbraw/zinc/43/37/45/329433745.db2.gz XTEOPQRBEAUNGK-HNNXBMFYSA-N 1 2 291.782 3.526 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1sccc1C)C(=O)OC(C)(C)C ZINC000584504955 329503902 /nfs/dbraw/zinc/50/39/02/329503902.db2.gz FSWJKVMHJGYJPZ-NWDGAFQWSA-N 1 2 283.437 3.827 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)cc1C ZINC000043485397 329582305 /nfs/dbraw/zinc/58/23/05/329582305.db2.gz HZQFNRAMJDKSES-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CCCCOCC[NH+](Cc1ccco1)Cc1ccco1 ZINC000056267753 329675748 /nfs/dbraw/zinc/67/57/48/329675748.db2.gz UDLXALDZLXCTTJ-UHFFFAOYSA-N 1 2 277.364 3.692 20 0 CHADLO Cc1cc[nH+]c(NCCOC2CCCC2)c1Br ZINC000235932808 329684040 /nfs/dbraw/zinc/68/40/40/329684040.db2.gz ONFLMJJRQGAWOT-UHFFFAOYSA-N 1 2 299.212 3.524 20 0 CHADLO CSc1cccc(N[C@H](c2[nH]cc[nH+]2)c2cccnc2)c1 ZINC000195089409 329690111 /nfs/dbraw/zinc/69/01/11/329690111.db2.gz XJHGBUBYPNQWEO-HNNXBMFYSA-N 1 2 296.399 3.728 20 0 CHADLO Cc1ccc(N[C@H](c2[nH]cc[nH+]2)c2cccnc2)cc1Cl ZINC000195089465 329690128 /nfs/dbraw/zinc/69/01/28/329690128.db2.gz BZDRQUNGIVFOFK-HNNXBMFYSA-N 1 2 298.777 3.968 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSCc1ccnn1C ZINC000419436711 329728910 /nfs/dbraw/zinc/72/89/10/329728910.db2.gz FFQIAOKOCXKTBQ-UHFFFAOYSA-N 1 2 275.421 3.680 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H]2CCC(C)(C)C2)n1 ZINC000420510924 329772849 /nfs/dbraw/zinc/77/28/49/329772849.db2.gz LZSOGFNYVZIQBQ-ZDUSSCGKSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1nc(N2CC[C@H](c3ccccc3)C2(C)C)cc[nH+]1 ZINC000420637734 329780463 /nfs/dbraw/zinc/78/04/63/329780463.db2.gz IGMQXXPBKKFPJV-OAHLLOKOSA-N 1 2 267.376 3.558 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](CC(F)F)c2ccccc2)n1 ZINC000420989015 329795744 /nfs/dbraw/zinc/79/57/44/329795744.db2.gz WFPDYFRJVZBWCL-LBPRGKRZSA-N 1 2 295.333 3.508 20 0 CHADLO CC[C@H]1C[NH+](Cc2ncc(C(C)C)s2)C[C@H](CC)O1 ZINC000421398351 329821368 /nfs/dbraw/zinc/82/13/68/329821368.db2.gz YQIUBYNNEFBYKX-STQMWFEESA-N 1 2 282.453 3.656 20 0 CHADLO CCCC[C@@H](CC)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421561915 329846523 /nfs/dbraw/zinc/84/65/23/329846523.db2.gz UFITYBBMPUKARO-OAHLLOKOSA-N 1 2 262.397 3.531 20 0 CHADLO Cc1cc(CNC(=O)CCCC(C)(C)C)cc(C)[nH+]1 ZINC000421574556 329848203 /nfs/dbraw/zinc/84/82/03/329848203.db2.gz GMDUWCFNCSJBMW-UHFFFAOYSA-N 1 2 262.397 3.531 20 0 CHADLO Cc1cc(CNC(=O)c2cccc(Cl)c2C)cc(C)[nH+]1 ZINC000421574194 329848275 /nfs/dbraw/zinc/84/82/75/329848275.db2.gz DXBFFKBMKIPVFB-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(C)c1 ZINC000161819094 329956179 /nfs/dbraw/zinc/95/61/79/329956179.db2.gz HBIHKEFZEOJJSD-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO Cc1ccc(N[C@@H]2CCc3ccc[nH+]c3CC2)cc1 ZINC000421836377 330091958 /nfs/dbraw/zinc/09/19/58/330091958.db2.gz OIMGNSYICSPECK-MRXNPFEDSA-N 1 2 252.361 3.750 20 0 CHADLO COC1([C@@H](C)Nc2ccc(SC)c[nH+]2)CCCC1 ZINC000421839833 330093162 /nfs/dbraw/zinc/09/31/62/330093162.db2.gz YNEUKBCJTZOWFC-LLVKDONJSA-N 1 2 266.410 3.563 20 0 CHADLO Cc1cc(CNC(=O)NC(C)(C)CC(C)(C)C)cc(C)[nH+]1 ZINC000422209059 330132448 /nfs/dbraw/zinc/13/24/48/330132448.db2.gz NUSJBHFTIDYOHA-UHFFFAOYSA-N 1 2 291.439 3.712 20 0 CHADLO CSCC1CCC([NH2+]c2ccc3c(c2)CCN3)CC1 ZINC000423206832 330177256 /nfs/dbraw/zinc/17/72/56/330177256.db2.gz CEGBITHRBASPII-UHFFFAOYSA-N 1 2 276.449 3.988 20 0 CHADLO CSCC1CCC(Nc2ccc3c(c2)CC[NH2+]3)CC1 ZINC000423206832 330177257 /nfs/dbraw/zinc/17/72/57/330177257.db2.gz CEGBITHRBASPII-UHFFFAOYSA-N 1 2 276.449 3.988 20 0 CHADLO C[C@@H](Cc1ccccc1F)[NH2+]c1ccc2c(c1)CCN2 ZINC000423222134 330179604 /nfs/dbraw/zinc/17/96/04/330179604.db2.gz ZUUYHXDGTBMTEX-LBPRGKRZSA-N 1 2 270.351 3.837 20 0 CHADLO C[C@@H](Cc1ccccc1F)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423222134 330179605 /nfs/dbraw/zinc/17/96/05/330179605.db2.gz ZUUYHXDGTBMTEX-LBPRGKRZSA-N 1 2 270.351 3.837 20 0 CHADLO c1cc2c(o1)CCC[C@@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423221689 330179851 /nfs/dbraw/zinc/17/98/51/330179851.db2.gz XQUDEQPRZLJQFU-HNNXBMFYSA-N 1 2 254.333 3.737 20 0 CHADLO c1ccc2c(c1)CC[C@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423213904 330184466 /nfs/dbraw/zinc/18/44/66/330184466.db2.gz KNGXABBNOOBULJ-QGZVFWFLSA-N 1 2 250.345 3.754 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@@H](C)[C@@H]2c2ccccc2)cs1 ZINC000081575121 330212963 /nfs/dbraw/zinc/21/29/63/330212963.db2.gz JOJRERJUEUAPGS-MLGOLLRUSA-N 1 2 272.417 3.899 20 0 CHADLO CCc1nc(C[N@H+]2C[C@@H](C)[C@@H]2c2ccccc2)cs1 ZINC000081575121 330212964 /nfs/dbraw/zinc/21/29/64/330212964.db2.gz JOJRERJUEUAPGS-MLGOLLRUSA-N 1 2 272.417 3.899 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3c(Cl)cc(F)cc3C2)ccn1 ZINC000527540735 330261295 /nfs/dbraw/zinc/26/12/95/330261295.db2.gz VRMREVJQBAZRQG-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cc(C[N@H+]2CCc3c(Cl)cc(F)cc3C2)ccn1 ZINC000527540735 330261296 /nfs/dbraw/zinc/26/12/96/330261296.db2.gz VRMREVJQBAZRQG-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@H+]([C@@H](C)c1ccc(F)cc1)C2 ZINC000527622328 330266005 /nfs/dbraw/zinc/26/60/05/330266005.db2.gz QCJBDIVYTBYIRC-ZDUSSCGKSA-N 1 2 299.393 3.858 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@@H+]([C@@H](C)c1ccc(F)cc1)C2 ZINC000527622328 330266007 /nfs/dbraw/zinc/26/60/07/330266007.db2.gz QCJBDIVYTBYIRC-ZDUSSCGKSA-N 1 2 299.393 3.858 20 0 CHADLO CCOc1cc(CNc2c[nH+]ccc2C)c(F)cc1OC ZINC000527680566 330270755 /nfs/dbraw/zinc/27/07/55/330270755.db2.gz CMXPGZJPRHDKFN-UHFFFAOYSA-N 1 2 290.338 3.549 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2c(c1)OCC2(C)C ZINC000527744389 330280203 /nfs/dbraw/zinc/28/02/03/330280203.db2.gz LPCUJEIKMFVDMK-UHFFFAOYSA-N 1 2 285.391 3.746 20 0 CHADLO CC(C)([NH2+]Cc1nccs1)c1nc2ccccc2s1 ZINC000527744056 330280219 /nfs/dbraw/zinc/28/02/19/330280219.db2.gz KAZYIIQLIKSCOF-UHFFFAOYSA-N 1 2 289.429 3.778 20 0 CHADLO CCn1c[nH+]cc1CNc1cc2c(cc1Cl)CCC2 ZINC000527810409 330284690 /nfs/dbraw/zinc/28/46/90/330284690.db2.gz RDKKWEFAJUUHRI-UHFFFAOYSA-N 1 2 275.783 3.657 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccc1F)c1ccccc1F ZINC000527827990 330286869 /nfs/dbraw/zinc/28/68/69/330286869.db2.gz MKSJBYBVXXHZMG-MNOVXSKESA-N 1 2 262.303 3.772 20 0 CHADLO CCc1ccc([C@@H](CC(C)C)[NH2+]Cc2ccn[nH]2)cc1 ZINC000527858000 330288939 /nfs/dbraw/zinc/28/89/39/330288939.db2.gz GQCRKWBBFZAREH-QGZVFWFLSA-N 1 2 271.408 3.849 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1cccc(C(C)C)c1 ZINC000527873359 330290911 /nfs/dbraw/zinc/29/09/11/330290911.db2.gz YFTFAJBPKHGFQS-GFCCVEGCSA-N 1 2 257.381 3.692 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC([C@H]2CCCO2)CC1 ZINC000527979265 330298188 /nfs/dbraw/zinc/29/81/88/330298188.db2.gz KDTNQEGIVLKXDV-QGZVFWFLSA-N 1 2 282.387 3.630 20 0 CHADLO CO[C@]1(C)CCCN(c2[nH+]ccc3ccccc32)CC1 ZINC000527995237 330299446 /nfs/dbraw/zinc/29/94/46/330299446.db2.gz VVNIARPCLWGEBA-QGZVFWFLSA-N 1 2 270.376 3.630 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ncccc1F)c1cc(F)ccc1F ZINC000528036972 330303137 /nfs/dbraw/zinc/30/31/37/330303137.db2.gz ZGALAVMLEFKPGX-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO Clc1cnn([C@H]2CCN(c3[nH+]ccc4ccccc43)C2)c1 ZINC000528024732 330304953 /nfs/dbraw/zinc/30/49/53/330304953.db2.gz JKNHOZFOCPHPIU-AWEZNQCLSA-N 1 2 298.777 3.536 20 0 CHADLO CCC[C@H](CNc1cc(C(F)(F)F)cc[nH+]1)OCC ZINC000528083530 330305693 /nfs/dbraw/zinc/30/56/93/330305693.db2.gz LZBXGQWCCVLEFG-LLVKDONJSA-N 1 2 276.302 3.718 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1ncccc1Cl ZINC000528344389 330321027 /nfs/dbraw/zinc/32/10/27/330321027.db2.gz IKNIEFNUCDAYJK-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1ncccc1Cl ZINC000528344389 330321028 /nfs/dbraw/zinc/32/10/28/330321028.db2.gz IKNIEFNUCDAYJK-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1cccc(OCC[N@@H+](C)Cc2ncccc2Cl)c1 ZINC000528345072 330321051 /nfs/dbraw/zinc/32/10/51/330321051.db2.gz MJMTWJLUYZWONG-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO Cc1cccc(OCC[N@H+](C)Cc2ncccc2Cl)c1 ZINC000528345072 330321052 /nfs/dbraw/zinc/32/10/52/330321052.db2.gz MJMTWJLUYZWONG-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ncccc2Cl)C2CC2)o1 ZINC000528359124 330322265 /nfs/dbraw/zinc/32/22/65/330322265.db2.gz ZHYVJYVYUWXPKN-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ncccc2Cl)C2CC2)o1 ZINC000528359124 330322266 /nfs/dbraw/zinc/32/22/66/330322266.db2.gz ZHYVJYVYUWXPKN-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(F)cc1F)c1nccs1 ZINC000078004310 330324428 /nfs/dbraw/zinc/32/44/28/330324428.db2.gz FYADGTHMUYXGIO-GFCCVEGCSA-N 1 2 268.332 3.662 20 0 CHADLO CC[C@H](C)n1ncc(NCc2c[nH+]cn2C(C)C)c1C ZINC000424198853 330326161 /nfs/dbraw/zinc/32/61/61/330326161.db2.gz YEJWDAZXMWTRHM-LBPRGKRZSA-N 1 2 275.400 3.552 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000533071023 330326828 /nfs/dbraw/zinc/32/68/28/330326828.db2.gz SNNJNOUJJJCBRY-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+]1CCc2ccc(O)cc2C1 ZINC000533071023 330326829 /nfs/dbraw/zinc/32/68/29/330326829.db2.gz SNNJNOUJJJCBRY-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@]1(F)c1ccccc1)c1ccncc1F ZINC000424327391 330341453 /nfs/dbraw/zinc/34/14/53/330341453.db2.gz PUQZXAQBICWGAC-UVBJJODRSA-N 1 2 274.314 3.509 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2C[C@]2(F)c2ccccc2)cs1 ZINC000424329762 330342049 /nfs/dbraw/zinc/34/20/49/330342049.db2.gz SGQKFXCMRDWESP-VQISRLSMSA-N 1 2 276.380 3.739 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2csnn2)c(Cl)c1 ZINC000424332735 330342730 /nfs/dbraw/zinc/34/27/30/330342730.db2.gz LEWHFVVROHTGIC-DTWKUNHWSA-N 1 2 297.811 3.612 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H]2CCCC[C@H]2C)n1 ZINC000424360477 330346862 /nfs/dbraw/zinc/34/68/62/330346862.db2.gz JTMLXLAURMXRFC-RISCZKNCSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2C[C@H]2c2ccc(Cl)cc2)cc[nH+]1 ZINC000107342991 330348191 /nfs/dbraw/zinc/34/81/91/330348191.db2.gz HCSFOERNQQYUEQ-LSDHHAIUSA-N 1 2 286.762 3.786 20 0 CHADLO CCCCc1ccc(CC(=O)Nc2cc[nH+]cc2C)cc1 ZINC000107292021 330348697 /nfs/dbraw/zinc/34/86/97/330348697.db2.gz MGFYXZFHNTTXFA-UHFFFAOYSA-N 1 2 282.387 3.914 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1cccc(Cl)c1F ZINC000533255604 330354874 /nfs/dbraw/zinc/35/48/74/330354874.db2.gz FTYCEJWTVMADHP-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1cccc(Cl)c1F ZINC000533255604 330354875 /nfs/dbraw/zinc/35/48/75/330354875.db2.gz FTYCEJWTVMADHP-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)[N@@H+]1CCCOCC1 ZINC000533260765 330355713 /nfs/dbraw/zinc/35/57/13/330355713.db2.gz TZOOEWUJSAFIAE-JTQLQIEISA-N 1 2 274.191 3.777 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)[N@H+]1CCCOCC1 ZINC000533260765 330355714 /nfs/dbraw/zinc/35/57/14/330355714.db2.gz TZOOEWUJSAFIAE-JTQLQIEISA-N 1 2 274.191 3.777 20 0 CHADLO CC(C)CC(C)(C)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000084631370 330362531 /nfs/dbraw/zinc/36/25/31/330362531.db2.gz GFVNDWCNIZTGOY-UHFFFAOYSA-N 1 2 299.418 3.561 20 0 CHADLO CCCCC[N@H+](Cc1csnn1)Cc1ccc(F)cc1 ZINC000119072186 330369845 /nfs/dbraw/zinc/36/98/45/330369845.db2.gz JATMIKVRZUYDEX-UHFFFAOYSA-N 1 2 293.411 3.870 20 0 CHADLO CCCCC[N@@H+](Cc1csnn1)Cc1ccc(F)cc1 ZINC000119072186 330369846 /nfs/dbraw/zinc/36/98/46/330369846.db2.gz JATMIKVRZUYDEX-UHFFFAOYSA-N 1 2 293.411 3.870 20 0 CHADLO CCOc1ccccc1CCC(=O)Nc1ccc(C)[nH+]c1C ZINC000119075779 330370017 /nfs/dbraw/zinc/37/00/17/330370017.db2.gz VRNATACLGNZMRD-UHFFFAOYSA-N 1 2 298.386 3.668 20 0 CHADLO CCCOc1cccc(C(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000119143693 330371216 /nfs/dbraw/zinc/37/12/16/330371216.db2.gz BCUYYZRDZYEHQN-UHFFFAOYSA-N 1 2 284.359 3.740 20 0 CHADLO Cc1cc(C)cc(C(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000119208529 330371798 /nfs/dbraw/zinc/37/17/98/330371798.db2.gz DDHJTYPCRFUQKI-UHFFFAOYSA-N 1 2 254.333 3.568 20 0 CHADLO Cc1ccc(NC(=O)CSc2ccc(F)cc2)c(C)[nH+]1 ZINC000119301680 330371912 /nfs/dbraw/zinc/37/19/12/330371912.db2.gz PZSNABPVDNJLDI-UHFFFAOYSA-N 1 2 290.363 3.568 20 0 CHADLO Cc1ccc(C)c(OCCC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000119346787 330372409 /nfs/dbraw/zinc/37/24/09/330372409.db2.gz NNXUJGQSWUMFRK-UHFFFAOYSA-N 1 2 298.386 3.723 20 0 CHADLO Cc1ccc(NC(=O)c2cc(-c3ccccc3)on2)c(C)[nH+]1 ZINC000119339632 330373101 /nfs/dbraw/zinc/37/31/01/330373101.db2.gz MZOPWFWPDQFNDX-UHFFFAOYSA-N 1 2 293.326 3.606 20 0 CHADLO Cc1cccc2[nH+]c(CSc3ccc(Cl)cn3)cn21 ZINC000119855398 330380457 /nfs/dbraw/zinc/38/04/57/330380457.db2.gz ZYBLJORREPZQSH-UHFFFAOYSA-N 1 2 289.791 3.983 20 0 CHADLO Cc1ccc(C)c(OCCCSCCn2cc[nH+]c2)c1 ZINC000090199755 330391263 /nfs/dbraw/zinc/39/12/63/330391263.db2.gz GPQMUHBSWHZZMJ-UHFFFAOYSA-N 1 2 290.432 3.702 20 0 CHADLO Clc1cccc2c1CC[C@H]2SCCn1cc[nH+]c1 ZINC000090202737 330391423 /nfs/dbraw/zinc/39/14/23/330391423.db2.gz RNBWKTMIDXQEGG-CQSZACIVSA-N 1 2 278.808 3.957 20 0 CHADLO CCCC[C@H](CCC)NC(=O)Nc1cc[nH+]cc1C ZINC000120844322 330393030 /nfs/dbraw/zinc/39/30/30/330393030.db2.gz GZTZIKBDZHICJE-ZDUSSCGKSA-N 1 2 263.385 3.870 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1Cl)c1ccoc1 ZINC000121041452 330395396 /nfs/dbraw/zinc/39/53/96/330395396.db2.gz YCWRIYQWZWDIOC-VIFPVBQESA-N 1 2 253.704 3.923 20 0 CHADLO Cc1nc(-c2ccc([C@@H](C)[NH2+]Cc3ccon3)cc2)cs1 ZINC000537077613 330396304 /nfs/dbraw/zinc/39/63/04/330396304.db2.gz ZHWHCQXNPDNMBF-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ccn(-c3ccc(F)cc3)n2)o1 ZINC000090943887 330397205 /nfs/dbraw/zinc/39/72/05/330397205.db2.gz RQDUVTWHKDIPOS-ZDUSSCGKSA-N 1 2 299.349 3.764 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)c1ccc(F)cc1)C(=O)OC(C)(C)C ZINC000537448546 330437168 /nfs/dbraw/zinc/43/71/68/330437168.db2.gz CQCHTUONYOOUSK-DOMZBBRYSA-N 1 2 295.398 3.843 20 0 CHADLO COc1ccc(Cl)cc1[C@H](C)[NH2+]Cc1ncc(C)s1 ZINC000123011875 330438144 /nfs/dbraw/zinc/43/81/44/330438144.db2.gz TYHLSELTQMVITF-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO Cc1nc(N2CC(C)(C)C[C@@H]2c2ccccc2)cc[nH+]1 ZINC000425287025 330495147 /nfs/dbraw/zinc/49/51/47/330495147.db2.gz UUUGJZNICBOAOB-OAHLLOKOSA-N 1 2 267.376 3.763 20 0 CHADLO CCOC(=O)C(CC)(CC)[NH2+]Cc1cc(C)sc1C ZINC000429277272 330541932 /nfs/dbraw/zinc/54/19/32/330541932.db2.gz OLPHNPHORKIELE-UHFFFAOYSA-N 1 2 283.437 3.576 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cc(F)cc(F)c3F)cn2c1 ZINC000431075799 330578513 /nfs/dbraw/zinc/57/85/13/330578513.db2.gz BMGVYRTZDYSMEP-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO Fc1cc(COc2cc[nH+]cc2)ccc1Br ZINC000431474861 330585173 /nfs/dbraw/zinc/58/51/73/330585173.db2.gz DHYSKZSFLIUMAF-UHFFFAOYSA-N 1 2 282.112 3.562 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@@H]2C(C)C)nc(-c2ccncc2)[nH+]1 ZINC000431509940 330586085 /nfs/dbraw/zinc/58/60/85/330586085.db2.gz XFQRWHUQOIFRSZ-INIZCTEOSA-N 1 2 296.418 3.718 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1CNc1cccc(CO)[nH+]1 ZINC000432734294 330604750 /nfs/dbraw/zinc/60/47/50/330604750.db2.gz QUPJJOMXNICOCL-UHFFFAOYSA-N 1 2 296.292 3.513 20 0 CHADLO C/C=C\C[C@H]([NH2+][C@H](C)[C@H](C)c1ccccc1)C(=O)OCC ZINC000432898346 330609971 /nfs/dbraw/zinc/60/99/71/330609971.db2.gz IGMVADAREPLGOF-ZBGWAGDLSA-N 1 2 289.419 3.666 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCC3(CCCCC3)CC2)[nH+]1 ZINC000433793766 330631397 /nfs/dbraw/zinc/63/13/97/330631397.db2.gz PJBIUBNPSPIFCW-UHFFFAOYSA-N 1 2 284.407 3.817 20 0 CHADLO Cc1ccc(CNc2cc(C(C)(C)C)[nH+]c(C(C)C)n2)cn1 ZINC000434092897 330635319 /nfs/dbraw/zinc/63/53/19/330635319.db2.gz LECWRLSPRNSAAP-UHFFFAOYSA-N 1 2 298.434 3.635 20 0 CHADLO Fc1cc(Cl)cc(CN2CCC[C@H]2c2[nH]cc[nH+]2)c1 ZINC000437980095 330712764 /nfs/dbraw/zinc/71/27/64/330712764.db2.gz SMTGRBLZVCOEOI-ZDUSSCGKSA-N 1 2 279.746 3.539 20 0 CHADLO FC(F)(F)[C@H]1CCC[C@@H](CNc2cccc[nH+]2)C1 ZINC000439561012 330740463 /nfs/dbraw/zinc/74/04/63/330740463.db2.gz LMJXSKVJHMRPQU-MNOVXSKESA-N 1 2 258.287 3.862 20 0 CHADLO FC(F)(F)Cn1cc[nH+]c1CNc1ccc2ccoc2c1 ZINC000442858854 330787727 /nfs/dbraw/zinc/78/77/27/330787727.db2.gz IZMQNYAMJRHJRI-UHFFFAOYSA-N 1 2 295.264 3.804 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2CCC[C@H](C)CC2)c(C)[nH+]1 ZINC000443592460 330802041 /nfs/dbraw/zinc/80/20/41/330802041.db2.gz MIBHUTDCTOWPCD-FZMZJTMJSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc(N[C@H](CC(F)(F)F)C(C)C)nc(C(C)C)[nH+]1 ZINC000445661079 330834154 /nfs/dbraw/zinc/83/41/54/330834154.db2.gz ALIZUARVAJNEGJ-LLVKDONJSA-N 1 2 289.345 3.719 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(-c3cnco3)cc2)c(C)[nH+]1 ZINC000446418407 330848724 /nfs/dbraw/zinc/84/87/24/330848724.db2.gz HMLZHGAGJHIZSU-UHFFFAOYSA-N 1 2 293.326 3.606 20 0 CHADLO COc1cc(C)cc(NCc2ccc(C)[nH+]c2C)c1C ZINC000447412602 330866582 /nfs/dbraw/zinc/86/65/82/330866582.db2.gz KBGYYBPJNAVEGX-UHFFFAOYSA-N 1 2 270.376 3.936 20 0 CHADLO COc1cccc(C)c1NCc1cn2cc(C)ccc2[nH+]1 ZINC000194557227 330874646 /nfs/dbraw/zinc/87/46/46/330874646.db2.gz UQQKFUMILZKAQJ-UHFFFAOYSA-N 1 2 281.359 3.572 20 0 CHADLO C[C@@H]1CC[C@H](C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)C[C@H]1C ZINC000448836547 330885424 /nfs/dbraw/zinc/88/54/24/330885424.db2.gz PRZJQDXCPIDQRR-KBXIAJHMSA-N 1 2 289.423 3.536 20 0 CHADLO c1cc(C[NH+]2Cc3ccccc3C2)n(C2CCCC2)n1 ZINC000449023944 330892920 /nfs/dbraw/zinc/89/29/20/330892920.db2.gz WNIJPFNXFBLRKW-UHFFFAOYSA-N 1 2 267.376 3.514 20 0 CHADLO COc1cc(C)ccc1C[NH2+]Cc1nc(C(C)C)cs1 ZINC000449191401 330905085 /nfs/dbraw/zinc/90/50/85/330905085.db2.gz JVORZTNKKJOWPF-UHFFFAOYSA-N 1 2 290.432 3.873 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2c(F)cccc2Cl)o1 ZINC000449612720 330939673 /nfs/dbraw/zinc/93/96/73/330939673.db2.gz OCFMMWRQGAHCEC-UHFFFAOYSA-N 1 2 282.746 3.709 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CC[C@H](C)[C@H](C)C2)c(C)[nH+]1 ZINC000450150188 330963546 /nfs/dbraw/zinc/96/35/46/330963546.db2.gz VBQUQESOJCHVDV-MISXGVKJSA-N 1 2 260.381 3.709 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H]1CCOC2(CCC2)C1 ZINC000450389655 330975779 /nfs/dbraw/zinc/97/57/79/330975779.db2.gz JUXJZVZQNRWNHY-CYBMUJFWSA-N 1 2 298.386 3.757 20 0 CHADLO Cc1cc(NCC[C@@H](C)CCO)c2cccc(F)c2[nH+]1 ZINC000450570743 330987244 /nfs/dbraw/zinc/98/72/44/330987244.db2.gz XLTMNWXZSGFITN-LLVKDONJSA-N 1 2 276.355 3.503 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccc(F)c(F)c1 ZINC000450665098 330991938 /nfs/dbraw/zinc/99/19/38/330991938.db2.gz VMPDVUJPUXZRAQ-MRVPVSSYSA-N 1 2 295.358 3.545 20 0 CHADLO CC(C)([NH2+]Cc1nnc(C2CC2)s1)c1cccc(F)c1 ZINC000450669832 330992365 /nfs/dbraw/zinc/99/23/65/330992365.db2.gz CQHQIMNWYIXIEX-UHFFFAOYSA-N 1 2 291.395 3.580 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1cccc(F)c1F ZINC000450689561 330993814 /nfs/dbraw/zinc/99/38/14/330993814.db2.gz HFFNONLOTXOOSG-MRVPVSSYSA-N 1 2 295.358 3.545 20 0 CHADLO Cc1ccc2c(NCCCc3[nH+]cccc3C)ccnc2n1 ZINC000450724192 330995990 /nfs/dbraw/zinc/99/59/90/330995990.db2.gz MHIHGTVENWZMFW-UHFFFAOYSA-N 1 2 292.386 3.686 20 0 CHADLO FCC1(CNc2[nH+]ccc3ccc(F)cc32)CCOCC1 ZINC000450732311 330996739 /nfs/dbraw/zinc/99/67/39/330996739.db2.gz GHSKAJLOOWETBN-UHFFFAOYSA-N 1 2 292.329 3.552 20 0 CHADLO CCc1nc2sccc2c(NCCc2[nH+]cccc2C)n1 ZINC000450737271 330997013 /nfs/dbraw/zinc/99/70/13/330997013.db2.gz IVTOQEFZYPIYMH-UHFFFAOYSA-N 1 2 298.415 3.612 20 0 CHADLO CO[C@H]1CCN(c2[nH+]ccc3ccc(F)cc32)CC1(C)C ZINC000450754599 330998171 /nfs/dbraw/zinc/99/81/71/330998171.db2.gz JRDYUNNBCXRVGH-HNNXBMFYSA-N 1 2 288.366 3.625 20 0 CHADLO CCC1(CNc2cc(C)[nH+]c3c(F)cccc23)COC1 ZINC000450841187 331002283 /nfs/dbraw/zinc/00/22/83/331002283.db2.gz BFSKGKXZILZLDL-UHFFFAOYSA-N 1 2 274.339 3.521 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)[C@H](C)c1csnn1 ZINC000450844416 331002387 /nfs/dbraw/zinc/00/23/87/331002387.db2.gz HLASBEKKXGZJBI-CNELAYHGSA-N 1 2 273.405 3.634 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)[C@H](C)c1csnn1 ZINC000450844416 331002388 /nfs/dbraw/zinc/00/23/88/331002388.db2.gz HLASBEKKXGZJBI-CNELAYHGSA-N 1 2 273.405 3.634 20 0 CHADLO c1cc([C@H]2CCCC[N@H+]2Cc2ccccc2OC2CC2)n[nH]1 ZINC000450979584 331009810 /nfs/dbraw/zinc/00/98/10/331009810.db2.gz QFPSFMGOVIYNQY-QGZVFWFLSA-N 1 2 297.402 3.678 20 0 CHADLO c1cc([C@H]2CCCC[N@@H+]2Cc2ccccc2OC2CC2)n[nH]1 ZINC000450979584 331009811 /nfs/dbraw/zinc/00/98/11/331009811.db2.gz QFPSFMGOVIYNQY-QGZVFWFLSA-N 1 2 297.402 3.678 20 0 CHADLO Cc1ccc(-c2cnc(C[N@@H+]3CCC[C@@](C)(F)C3)o2)cc1 ZINC000451115261 331016031 /nfs/dbraw/zinc/01/60/31/331016031.db2.gz NUHHKTMGAJQPEW-QGZVFWFLSA-N 1 2 288.366 3.974 20 0 CHADLO Cc1ccc(-c2cnc(C[N@H+]3CCC[C@@](C)(F)C3)o2)cc1 ZINC000451115261 331016032 /nfs/dbraw/zinc/01/60/32/331016032.db2.gz NUHHKTMGAJQPEW-QGZVFWFLSA-N 1 2 288.366 3.974 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC000451121338 331016342 /nfs/dbraw/zinc/01/63/42/331016342.db2.gz NZZMGUXWRAAQTL-KRWDZBQOSA-N 1 2 288.366 3.974 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@H+]1CCC[C@](C)(F)C1 ZINC000451121338 331016343 /nfs/dbraw/zinc/01/63/43/331016343.db2.gz NZZMGUXWRAAQTL-KRWDZBQOSA-N 1 2 288.366 3.974 20 0 CHADLO CCCC[C@H](C(=O)OC)[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451827707 331044028 /nfs/dbraw/zinc/04/40/28/331044028.db2.gz AINOLZJECAJDOU-HIFRSBDPSA-N 1 2 291.391 3.538 20 0 CHADLO CCCC[C@H](C(=O)OC)[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451827707 331044029 /nfs/dbraw/zinc/04/40/29/331044029.db2.gz AINOLZJECAJDOU-HIFRSBDPSA-N 1 2 291.391 3.538 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451846822 331044588 /nfs/dbraw/zinc/04/45/88/331044588.db2.gz XDGFIKOADVIBPE-HIFRSBDPSA-N 1 2 291.391 3.538 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451846822 331044589 /nfs/dbraw/zinc/04/45/89/331044589.db2.gz XDGFIKOADVIBPE-HIFRSBDPSA-N 1 2 291.391 3.538 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(C(C)(C)C)no2)cc1C ZINC000452037095 331051376 /nfs/dbraw/zinc/05/13/76/331051376.db2.gz AKDYUYUWOFMQCP-UHFFFAOYSA-N 1 2 287.407 3.616 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(C(C)(C)C)no2)cc1C ZINC000452037095 331051377 /nfs/dbraw/zinc/05/13/77/331051377.db2.gz AKDYUYUWOFMQCP-UHFFFAOYSA-N 1 2 287.407 3.616 20 0 CHADLO CCOc1cc(C)ccc1[NH2+]CCC1CCOCC1 ZINC000453068064 331086053 /nfs/dbraw/zinc/08/60/53/331086053.db2.gz CHRXLDJGRYJWEM-UHFFFAOYSA-N 1 2 263.381 3.622 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncn1)c1nc(C(C)(C)C)cs1 ZINC000453091390 331088319 /nfs/dbraw/zinc/08/83/19/331088319.db2.gz CTCWMRTZQRKVFW-WDEREUQCSA-N 1 2 290.436 3.642 20 0 CHADLO CC[C@@H](CC(C)C)c1nc(Cc2ccc(C)[nH+]c2)no1 ZINC000453092863 331088355 /nfs/dbraw/zinc/08/83/55/331088355.db2.gz SKZGYKIKKFMPCT-AWEZNQCLSA-N 1 2 273.380 3.904 20 0 CHADLO Cc1ccc(CNc2ccc3c(c2)CCCC3=O)c(C)[nH+]1 ZINC000453125696 331091784 /nfs/dbraw/zinc/09/17/84/331091784.db2.gz JTUXFVAEQMGISE-UHFFFAOYSA-N 1 2 280.371 3.830 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1ccc(C)c(OC)c1C ZINC000453175644 331097246 /nfs/dbraw/zinc/09/72/46/331097246.db2.gz KPJWBASISQPWRF-ZDUSSCGKSA-N 1 2 273.380 3.702 20 0 CHADLO CCc1ccc(CC)c(N[C@@H]2CCn3cc[nH+]c32)c1 ZINC000453186590 331098780 /nfs/dbraw/zinc/09/87/80/331098780.db2.gz AXJYNZQKCBTRHZ-CQSZACIVSA-N 1 2 255.365 3.565 20 0 CHADLO CCc1cc(N[C@H]2C[C@H](C)n3cc[nH+]c32)ccc1F ZINC000453212742 331101967 /nfs/dbraw/zinc/10/19/67/331101967.db2.gz PESMXCDCTMJBIE-HZMBPMFUSA-N 1 2 259.328 3.703 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(OC(F)F)cc1)C(F)F ZINC000453233233 331104812 /nfs/dbraw/zinc/10/48/12/331104812.db2.gz HNQRFAUQHIEYEU-KWQFWETISA-N 1 2 279.277 3.982 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)C(F)F)c1cccc(OC)c1 ZINC000453243819 331106599 /nfs/dbraw/zinc/10/65/99/331106599.db2.gz JVGDUIISAWTTOB-OLZOCXBDSA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cnn(Cc2ccccc2)c1)C(F)F ZINC000453246977 331106918 /nfs/dbraw/zinc/10/69/18/331106918.db2.gz NEPSCKHDDTZQCV-SWLSCSKDSA-N 1 2 293.361 3.626 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCc2ccc(OC)cc21)C(F)F ZINC000453249358 331107368 /nfs/dbraw/zinc/10/73/68/331107368.db2.gz QGQGYPPOQDFGKX-UONOGXRCSA-N 1 2 269.335 3.706 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCSc2ccccc21)C(F)F ZINC000453250683 331107587 /nfs/dbraw/zinc/10/75/87/331107587.db2.gz SFNPVJCVKOJZPO-GHMZBOCLSA-N 1 2 257.349 3.857 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)C(F)F ZINC000453251388 331107729 /nfs/dbraw/zinc/10/77/29/331107729.db2.gz TUBHSJJHSVNVLU-SKDRFNHKSA-N 1 2 296.361 3.611 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(-c2ccccc2)nn1C)C(F)F ZINC000453255345 331108139 /nfs/dbraw/zinc/10/81/39/331108139.db2.gz ZTXQIWDHIFYASK-YPMHNXCESA-N 1 2 293.361 3.781 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc2c(s1)CCC2)C(F)F ZINC000453254914 331108158 /nfs/dbraw/zinc/10/81/58/331108158.db2.gz YRPWRHPYCQNAKJ-WPRPVWTQSA-N 1 2 259.365 3.931 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCc2c1c(F)ccc2F)C(F)F ZINC000453254901 331108180 /nfs/dbraw/zinc/10/81/80/331108180.db2.gz YOKRXBXBLMBGJU-MNOVXSKESA-N 1 2 261.262 3.585 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc2c(c1)OCCO2 ZINC000453282935 331110788 /nfs/dbraw/zinc/11/07/88/331110788.db2.gz IIZVCEFWOAPGRO-ZYHUDNBSSA-N 1 2 285.334 3.542 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1cc(F)c(F)c(F)c1 ZINC000453292500 331111908 /nfs/dbraw/zinc/11/19/08/331111908.db2.gz NWDWLVZVWIGLGZ-RQJHMYQMSA-N 1 2 267.241 3.798 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1csc(Cl)c1 ZINC000453339210 331118975 /nfs/dbraw/zinc/11/89/75/331118975.db2.gz GHHCZCGTKCRAFC-WCABBAIRSA-N 1 2 281.812 3.955 20 0 CHADLO CCSCc1ccc(N[C@H](C)c2[nH]cc[nH+]2)cc1 ZINC000453367397 331123473 /nfs/dbraw/zinc/12/34/73/331123473.db2.gz MKXIIVBFFYZRCE-LLVKDONJSA-N 1 2 261.394 3.836 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2csc(C(C)C)n2)o1 ZINC000453370455 331123960 /nfs/dbraw/zinc/12/39/60/331123960.db2.gz IBHMPMBNILBRDV-SNVBAGLBSA-N 1 2 279.409 3.668 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncccc1OC)c1cc(F)ccc1F ZINC000453381110 331125686 /nfs/dbraw/zinc/12/56/86/331125686.db2.gz XWASMJDCMVSKHB-CQSZACIVSA-N 1 2 292.329 3.609 20 0 CHADLO C[C@H](CC(C)(C)C)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000453425001 331130920 /nfs/dbraw/zinc/13/09/20/331130920.db2.gz NKNWEJJELYNQDG-OCCSQVGLSA-N 1 2 278.444 3.840 20 0 CHADLO CC[C@@H]([NH2+]Cc1sc(C)cc1Br)C(F)F ZINC000453598948 331135796 /nfs/dbraw/zinc/13/57/96/331135796.db2.gz OXKICGSHADOHHU-MRVPVSSYSA-N 1 2 298.196 3.952 20 0 CHADLO Cc1cc(Br)c(C[N@@H+]2CCC[C@H]2CF)s1 ZINC000453602693 331135871 /nfs/dbraw/zinc/13/58/71/331135871.db2.gz TUFARGYPQSPCJC-VIFPVBQESA-N 1 2 292.217 3.753 20 0 CHADLO Cc1cc(Br)c(C[N@H+]2CCC[C@H]2CF)s1 ZINC000453602693 331135872 /nfs/dbraw/zinc/13/58/72/331135872.db2.gz TUFARGYPQSPCJC-VIFPVBQESA-N 1 2 292.217 3.753 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)n1C ZINC000453610673 331136290 /nfs/dbraw/zinc/13/62/90/331136290.db2.gz KHDJOOARASDUDH-GOSISDBHSA-N 1 2 295.430 3.846 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)n1C ZINC000453610673 331136291 /nfs/dbraw/zinc/13/62/91/331136291.db2.gz KHDJOOARASDUDH-GOSISDBHSA-N 1 2 295.430 3.846 20 0 CHADLO Cc1c[nH+]c(CN2CC3(CCCC3)[C@H]2c2ccccc2)n1C ZINC000453610673 331136292 /nfs/dbraw/zinc/13/62/92/331136292.db2.gz KHDJOOARASDUDH-GOSISDBHSA-N 1 2 295.430 3.846 20 0 CHADLO Cc1cc(C)c(CNC(=O)Nc2ccc(C)c(C)c2)c[nH+]1 ZINC000454146960 331139797 /nfs/dbraw/zinc/13/97/97/331139797.db2.gz WYPYCNXJDVLXFR-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H]1CC=C(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CC1 ZINC000455237411 331163113 /nfs/dbraw/zinc/16/31/13/331163113.db2.gz YGYXAWMEXAJPPD-LBPRGKRZSA-N 1 2 281.359 3.762 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC(C)(C)C(C)(C)C ZINC000455432156 331169854 /nfs/dbraw/zinc/16/98/54/331169854.db2.gz DZPMLIQEGNJHBF-UHFFFAOYSA-N 1 2 263.385 3.645 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@H](C)C2CCC2)c(C)[nH+]1 ZINC000455475731 331170623 /nfs/dbraw/zinc/17/06/23/331170623.db2.gz DUKNEJOUMNVTHF-CYBMUJFWSA-N 1 2 275.396 3.659 20 0 CHADLO COCc1cccc(NC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000455487563 331170873 /nfs/dbraw/zinc/17/08/73/331170873.db2.gz SUHBVZHYTIOTKZ-UHFFFAOYSA-N 1 2 299.374 3.797 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)[C@H](C)CCC(C)(C)C ZINC000456335500 331190310 /nfs/dbraw/zinc/19/03/10/331190310.db2.gz ABCPTLOYKXLXTI-CHWSQXEVSA-N 1 2 279.428 3.543 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C[C@@H](C)c1ccccc1C ZINC000456345815 331190379 /nfs/dbraw/zinc/19/03/79/331190379.db2.gz GXGKOVGJSVNHDV-HUUCEWRRSA-N 1 2 299.418 3.582 20 0 CHADLO C[C@H]1C[C@H](NC(=O)c2cccc3ccsc32)c2[nH+]ccn21 ZINC000456396537 331192053 /nfs/dbraw/zinc/19/20/53/331192053.db2.gz BDDSVJFPCZHZAW-GWCFXTLKSA-N 1 2 297.383 3.534 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1cc2ccccc2s1 ZINC000456396463 331192078 /nfs/dbraw/zinc/19/20/78/331192078.db2.gz SLHADAMWNMRAIX-LLVKDONJSA-N 1 2 299.399 3.609 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)CCCCC(C)(C)C)c2[nH+]ccn21 ZINC000456416321 331192299 /nfs/dbraw/zinc/19/22/99/331192299.db2.gz JWBKHBXCCMRAIN-CHWSQXEVSA-N 1 2 277.412 3.612 20 0 CHADLO CC(C)CC(CC(C)C)C(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000456426074 331192529 /nfs/dbraw/zinc/19/25/29/331192529.db2.gz QKABAXKAWMNANE-UKRRQHHQSA-N 1 2 291.439 3.714 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@H](C)c2ccccc2C)c[nH+]1 ZINC000456826129 331206132 /nfs/dbraw/zinc/20/61/32/331206132.db2.gz AFZXWAQKDZNBJZ-HNNXBMFYSA-N 1 2 296.414 3.817 20 0 CHADLO Cc1cc(C)c(CNC(=O)C(C)(C)Cc2ccccc2)c[nH+]1 ZINC000456833043 331206869 /nfs/dbraw/zinc/20/68/69/331206869.db2.gz GEYKTHHWCJRBTM-UHFFFAOYSA-N 1 2 296.414 3.584 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccccc2C(C)(C)C)c[nH+]1 ZINC000456833063 331206914 /nfs/dbraw/zinc/20/69/14/331206914.db2.gz GGZWTXLCSONJMZ-UHFFFAOYSA-N 1 2 296.414 3.926 20 0 CHADLO Cc1cc(C)c(CNC(=O)CC2(C)CCCCC2)c[nH+]1 ZINC000456837028 331207100 /nfs/dbraw/zinc/20/71/00/331207100.db2.gz KHKCXPZIKVIXTN-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cccc3c2CCCC3)c[nH+]1 ZINC000456852976 331207514 /nfs/dbraw/zinc/20/75/14/331207514.db2.gz XZTNVFDAPBJIGL-UHFFFAOYSA-N 1 2 294.398 3.507 20 0 CHADLO CCCC(CCC)C(=O)NCc1c[nH+]c(C)cc1C ZINC000456853909 331207536 /nfs/dbraw/zinc/20/75/36/331207536.db2.gz YHBZENDUSMGUIP-UHFFFAOYSA-N 1 2 262.397 3.531 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)Nc1nc(C[NH+](C)C)cs1 ZINC000457317523 331218917 /nfs/dbraw/zinc/21/89/17/331218917.db2.gz RZJCBTRMKUEIPT-LLVKDONJSA-N 1 2 297.468 3.606 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H](C)C1CCC1 ZINC000457777956 331234067 /nfs/dbraw/zinc/23/40/67/331234067.db2.gz GOBMRUSBHMJZSM-LBPRGKRZSA-N 1 2 283.375 3.760 20 0 CHADLO Cc1ccc(N[C@@H](C)CCc2ccc3c(c2)OCO3)c[nH+]1 ZINC000164548372 331251959 /nfs/dbraw/zinc/25/19/59/331251959.db2.gz GEUZWCIZPCPWJN-ZDUSSCGKSA-N 1 2 284.359 3.552 20 0 CHADLO Clc1cnn(CC[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000459364544 331278325 /nfs/dbraw/zinc/27/83/25/331278325.db2.gz SONUPGGXBQKFBM-AWEZNQCLSA-N 1 2 296.201 3.637 20 0 CHADLO Clc1cnn(CC[N@H+]2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000459364544 331278326 /nfs/dbraw/zinc/27/83/26/331278326.db2.gz SONUPGGXBQKFBM-AWEZNQCLSA-N 1 2 296.201 3.637 20 0 CHADLO CC[C@H](C)Oc1cc(C)ccc1C[NH2+]Cc1cc(C)on1 ZINC000459449447 331281882 /nfs/dbraw/zinc/28/18/82/331281882.db2.gz XRAMIQSVPPXCGZ-ZDUSSCGKSA-N 1 2 288.391 3.759 20 0 CHADLO CC(C)n1cnnc1C[N@H+](C)[C@H](C)c1ccc2c(c1)CCC2 ZINC000459488749 331284566 /nfs/dbraw/zinc/28/45/66/331284566.db2.gz JHIRPVZWQPLSLI-CQSZACIVSA-N 1 2 298.434 3.541 20 0 CHADLO CC(C)n1cnnc1C[N@@H+](C)[C@H](C)c1ccc2c(c1)CCC2 ZINC000459488749 331284567 /nfs/dbraw/zinc/28/45/67/331284567.db2.gz JHIRPVZWQPLSLI-CQSZACIVSA-N 1 2 298.434 3.541 20 0 CHADLO CN(Cc1cn2c([nH+]1)CCCC2)Cc1ccc(C2CC2)cc1 ZINC000459507426 331285345 /nfs/dbraw/zinc/28/53/45/331285345.db2.gz LZKUPNYQIGVGOC-UHFFFAOYSA-N 1 2 295.430 3.729 20 0 CHADLO CSc1cc(Br)ccc1C[NH2+]CC(F)F ZINC000459547889 331287134 /nfs/dbraw/zinc/28/71/34/331287134.db2.gz PDYUWQQDAZFNHQ-UHFFFAOYSA-N 1 2 296.180 3.526 20 0 CHADLO c1coc([C@@H]2[N@H+](Cc3cnc(C4CC4)nc3)CC23CCC3)c1 ZINC000459565149 331288192 /nfs/dbraw/zinc/28/81/92/331288192.db2.gz GBRVVHSOYHNTHT-INIZCTEOSA-N 1 2 295.386 3.674 20 0 CHADLO c1coc([C@@H]2[N@@H+](Cc3cnc(C4CC4)nc3)CC23CCC3)c1 ZINC000459565149 331288193 /nfs/dbraw/zinc/28/81/93/331288193.db2.gz GBRVVHSOYHNTHT-INIZCTEOSA-N 1 2 295.386 3.674 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2C[C@H]2CC(C)C)c(C)[nH+]1 ZINC000459582373 331289414 /nfs/dbraw/zinc/28/94/14/331289414.db2.gz NOUVEWWNOCVUOB-ZIAGYGMSSA-N 1 2 275.396 3.563 20 0 CHADLO c1cn(-c2ccccc2)c(C[NH+]2CC3(C2)CCCCC3)n1 ZINC000459600328 331290261 /nfs/dbraw/zinc/29/02/61/331290261.db2.gz SOCHPLXENOVYJZ-UHFFFAOYSA-N 1 2 281.403 3.638 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2cccc(O)c2Cl)C1 ZINC000459634703 331291329 /nfs/dbraw/zinc/29/13/29/331291329.db2.gz IHAHQDYYNWUXKY-UHFFFAOYSA-N 1 2 293.716 3.820 20 0 CHADLO CC(C)(C)C1C[NH+](Cc2cnc(C(F)(F)F)s2)C1 ZINC000459643882 331291926 /nfs/dbraw/zinc/29/19/26/331291926.db2.gz OUKMZUWRCFQPMN-UHFFFAOYSA-N 1 2 278.343 3.640 20 0 CHADLO COc1cccc([C@@H](C)[N@@H+]2Cc3cccc(F)c3C2)c1 ZINC000459732661 331296672 /nfs/dbraw/zinc/29/66/72/331296672.db2.gz MLDVMRXSPFYGKJ-GFCCVEGCSA-N 1 2 271.335 3.911 20 0 CHADLO COc1cccc([C@@H](C)[N@H+]2Cc3cccc(F)c3C2)c1 ZINC000459732661 331296673 /nfs/dbraw/zinc/29/66/73/331296673.db2.gz MLDVMRXSPFYGKJ-GFCCVEGCSA-N 1 2 271.335 3.911 20 0 CHADLO CC[C@H](CC(F)F)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000459933071 331303616 /nfs/dbraw/zinc/30/36/16/331303616.db2.gz QFPUJCAUJWKJGF-LLVKDONJSA-N 1 2 270.323 3.627 20 0 CHADLO CCCc1cc(C(=O)Nc2cccc3[nH+]ccn32)sc1C ZINC000460329588 331309211 /nfs/dbraw/zinc/30/92/11/331309211.db2.gz LZNXTCVIXQWCOF-UHFFFAOYSA-N 1 2 299.399 3.909 20 0 CHADLO Cc1ccc([C@@H]2CCC[C@H]2N(C)c2cc(C)[nH+]cn2)cc1 ZINC000463071163 331339385 /nfs/dbraw/zinc/33/93/85/331339385.db2.gz QTZRCQYCLTXLQI-DLBZAZTESA-N 1 2 281.403 3.866 20 0 CHADLO CC(C)c1nc(NC[C@H](C)Sc2ccccc2)cc[nH+]1 ZINC000463086560 331339918 /nfs/dbraw/zinc/33/99/18/331339918.db2.gz OZOMMWQJSVANBC-ZDUSSCGKSA-N 1 2 287.432 3.615 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H](C)c1ccc(Cl)s1 ZINC000465462584 331384215 /nfs/dbraw/zinc/38/42/15/331384215.db2.gz FIVQLTLQFCPPPH-VIFPVBQESA-N 1 2 294.807 3.523 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)CO)c1cccc(-c2ccccc2)c1 ZINC000468040907 331427491 /nfs/dbraw/zinc/42/74/91/331427491.db2.gz XJXIUFAWYKDHNK-CYBMUJFWSA-N 1 2 291.341 3.632 20 0 CHADLO Cc1[nH+]c2ccccn2c1CN1CCSc2ccccc21 ZINC000468982570 331443604 /nfs/dbraw/zinc/44/36/04/331443604.db2.gz OWAXCSFNTOPEHG-UHFFFAOYSA-N 1 2 295.411 3.755 20 0 CHADLO CCC[C@H]1CCc2ccccc2N1Cc1[nH+]ccn1C ZINC000470160502 331473281 /nfs/dbraw/zinc/47/32/81/331473281.db2.gz XAQSQZGYSLEBLI-HNNXBMFYSA-N 1 2 269.392 3.542 20 0 CHADLO CCN(Cc1c[nH+]c2c(C)cccn12)c1ccccc1 ZINC000470217636 331475590 /nfs/dbraw/zinc/47/55/90/331475590.db2.gz IGCBBMUEHJYDQY-UHFFFAOYSA-N 1 2 265.360 3.669 20 0 CHADLO CC[C@@H](C)n1ncc(Nc2cc(C)[nH+]c(C(C)C)n2)c1C ZINC000477698267 331688660 /nfs/dbraw/zinc/68/86/60/331688660.db2.gz GMGWPOCHWPOTTC-GFCCVEGCSA-N 1 2 287.411 3.550 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1ccsc1Cl ZINC000478589280 331709463 /nfs/dbraw/zinc/70/94/63/331709463.db2.gz VYKIFKGCZBPROD-UHFFFAOYSA-N 1 2 291.807 3.805 20 0 CHADLO Cc1cc(F)cc(NCc2ccc(N(C)C(C)C)[nH+]c2)c1 ZINC000480279672 331765864 /nfs/dbraw/zinc/76/58/64/331765864.db2.gz RONUVGVNSWDHAG-UHFFFAOYSA-N 1 2 287.382 3.986 20 0 CHADLO Clc1sccc1C[NH+]1CCN(c2cccs2)CC1 ZINC000483287701 331867391 /nfs/dbraw/zinc/86/73/91/331867391.db2.gz XPVYSMBPDBDBDU-UHFFFAOYSA-N 1 2 298.864 3.785 20 0 CHADLO Cc1ccn2cc(CNC(=O)C3(C)CCCCCC3)[nH+]c2c1 ZINC000511570474 332935941 /nfs/dbraw/zinc/93/59/41/332935941.db2.gz IPVPHTIMSDSXSQ-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@@H](C)[C@@H]1CC1(C)C ZINC000486960370 331952268 /nfs/dbraw/zinc/95/22/68/331952268.db2.gz UMHVFAUMHORVSE-HOCLYGCPSA-N 1 2 288.435 3.678 20 0 CHADLO CC(C)c1cc(N2CC[C@H](C3CC3)C2)nc(C(C)C)[nH+]1 ZINC000487194888 331958850 /nfs/dbraw/zinc/95/88/50/331958850.db2.gz GDZJRJMZRJNBSI-AWEZNQCLSA-N 1 2 273.424 3.960 20 0 CHADLO Cc1cccc(CCNc2cnc(-c3ccccc3)cn2)[nH+]1 ZINC000487723958 331979928 /nfs/dbraw/zinc/97/99/28/331979928.db2.gz UTWFNUHDRJCTFZ-UHFFFAOYSA-N 1 2 290.370 3.502 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(F)c2ccccc21)C(=O)OC ZINC000487922824 331984466 /nfs/dbraw/zinc/98/44/66/331984466.db2.gz RQTXWXWRHYDURL-MEDUHNTESA-N 1 2 289.350 3.581 20 0 CHADLO c1cc(NC2CCC3(CC3)CC2)[nH+]cc1N1CCCC1 ZINC000488428818 332007213 /nfs/dbraw/zinc/00/72/13/332007213.db2.gz LLOGFLVTQNZNNY-UHFFFAOYSA-N 1 2 271.408 3.817 20 0 CHADLO CC(C)C1CC(Nc2ccc(N3CCCC3)c[nH+]2)C1 ZINC000488447292 332009974 /nfs/dbraw/zinc/00/99/74/332009974.db2.gz VQTVNMNVOYXQHJ-UHFFFAOYSA-N 1 2 259.397 3.528 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ccc(Br)cc2)CCO1 ZINC000488519379 332015124 /nfs/dbraw/zinc/01/51/24/332015124.db2.gz ISKCZAZIOHZCNM-SMDDNHRTSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ccc(Br)cc2)CCO1 ZINC000488519379 332015125 /nfs/dbraw/zinc/01/51/25/332015125.db2.gz ISKCZAZIOHZCNM-SMDDNHRTSA-N 1 2 298.224 3.621 20 0 CHADLO Cc1nc(N[C@H]2CCCc3sc(Cl)cc32)cc[nH+]1 ZINC000488715329 332022360 /nfs/dbraw/zinc/02/23/60/332022360.db2.gz HKSWWDVRKIVCTK-JTQLQIEISA-N 1 2 279.796 3.989 20 0 CHADLO CCC[C@@](C)([NH2+]CCc1ccc2ccccc2c1)C(=O)OC ZINC000511642457 332937953 /nfs/dbraw/zinc/93/79/53/332937953.db2.gz XBTWZIAIQLAELY-LJQANCHMSA-N 1 2 299.414 3.704 20 0 CHADLO CN(C(=O)c1cccc(Oc2cc[nH+]cc2)c1)[C@H]1CC1(C)C ZINC000489401066 332050330 /nfs/dbraw/zinc/05/03/30/332050330.db2.gz PPASMCBERVZVIN-INIZCTEOSA-N 1 2 296.370 3.744 20 0 CHADLO CC(C)CSc1[nH+]cnc2c1cnn2Cc1ccccc1 ZINC000489819212 332069116 /nfs/dbraw/zinc/06/91/16/332069116.db2.gz KLPMJMCKXGKMQC-UHFFFAOYSA-N 1 2 298.415 3.623 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC000490549499 332091169 /nfs/dbraw/zinc/09/11/69/332091169.db2.gz UXAXEEYLEHLNSO-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC000490549499 332091171 /nfs/dbraw/zinc/09/11/71/332091171.db2.gz UXAXEEYLEHLNSO-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO COc1ccc(/C=C\C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000491304335 332185814 /nfs/dbraw/zinc/18/58/14/332185814.db2.gz LYGIRJHURISIBO-YFHOEESVSA-N 1 2 296.370 3.667 20 0 CHADLO COC(=O)Nc1cccc(NCCCc2ccc(C)[nH+]c2)c1 ZINC000492458832 332398573 /nfs/dbraw/zinc/39/85/73/332398573.db2.gz SCTRNSPWGVJEMN-UHFFFAOYSA-N 1 2 299.374 3.613 20 0 CHADLO CCCc1ccccc1NC(=O)/C=C/c1[nH+]ccn1CC ZINC000492537092 332402153 /nfs/dbraw/zinc/40/21/53/332402153.db2.gz QAZDUBQJCUJPJI-ZHACJKMWSA-N 1 2 283.375 3.507 20 0 CHADLO O=C(C=Cc1c[nH]c[nH+]1)Nc1cccc(-c2ccccc2)c1 ZINC000492791244 332413664 /nfs/dbraw/zinc/41/36/64/332413664.db2.gz AHXGYSRETYTCRV-KTKRTIGZSA-N 1 2 289.338 3.729 20 0 CHADLO CC[C@H](NC(=O)/C=C\c1ccc(C2CC2)cc1)c1[nH]cc[nH+]1 ZINC000493784445 332453912 /nfs/dbraw/zinc/45/39/12/332453912.db2.gz GTZSCMXAYZAHEQ-AVFOEOQDSA-N 1 2 295.386 3.568 20 0 CHADLO Cc1ccccc1[C@@H](NC(=O)/C=C/c1[nH]cc[nH+]1)C(C)(C)C ZINC000493789531 332454204 /nfs/dbraw/zinc/45/42/04/332454204.db2.gz NXIQSSMSGHBWFG-OAGJVSPASA-N 1 2 297.402 3.635 20 0 CHADLO CCCc1csc(C[NH2+][C@@H](CCOC)c2ccco2)n1 ZINC000494182304 332465773 /nfs/dbraw/zinc/46/57/73/332465773.db2.gz IYHWSMGPRFKCAZ-ZDUSSCGKSA-N 1 2 294.420 3.556 20 0 CHADLO Clc1csc(C[NH+]2CCN(c3cccs3)CC2)c1 ZINC000494993179 332498762 /nfs/dbraw/zinc/49/87/62/332498762.db2.gz HURVRAJPWGPBNY-UHFFFAOYSA-N 1 2 298.864 3.785 20 0 CHADLO Fc1ccc(F)c(SCc2cn3ccccc3[nH+]2)c1 ZINC000501568571 332635798 /nfs/dbraw/zinc/63/57/98/332635798.db2.gz KEXJKSWJZOHCDK-UHFFFAOYSA-N 1 2 276.311 3.905 20 0 CHADLO Cc1ccc(Cc2noc([C@H]3CC[C@H](C)CC3)n2)c[nH+]1 ZINC000566314329 334642398 /nfs/dbraw/zinc/64/23/98/334642398.db2.gz NUFVSSYVNVYANR-YEORSEQZSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H](C)[C@@H](C)C1CCCCC1 ZINC000504149161 332704756 /nfs/dbraw/zinc/70/47/56/332704756.db2.gz BFOKZFMJNCXANK-ZIAGYGMSSA-N 1 2 289.423 3.538 20 0 CHADLO Cc1cc(NC[C@@H]2CCC[C@@H](C)C2)nc(-c2cccnc2)[nH+]1 ZINC000505585434 332745123 /nfs/dbraw/zinc/74/51/23/332745123.db2.gz NITXXIIRWAGJPQ-UKRRQHHQSA-N 1 2 296.418 3.507 20 0 CHADLO CCCC[NH2+][C@](CC)(C(=O)OC)c1ccc(Cl)cc1 ZINC000512238187 332958886 /nfs/dbraw/zinc/95/88/86/332958886.db2.gz YLXKJRVKMKMOBA-HNNXBMFYSA-N 1 2 283.799 3.508 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ccncc2Cl)n1 ZINC000174866401 333009197 /nfs/dbraw/zinc/00/91/97/333009197.db2.gz IKLJESXSOBFQBD-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO C[N@H+](Cc1cc(F)cc(F)c1)Cc1cccc(O)c1Cl ZINC000513254899 333011150 /nfs/dbraw/zinc/01/11/50/333011150.db2.gz CTMZUVVLFYRYAR-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cc(F)cc(F)c1)Cc1cccc(O)c1Cl ZINC000513254899 333011152 /nfs/dbraw/zinc/01/11/52/333011152.db2.gz CTMZUVVLFYRYAR-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cccc(O)c1Cl)C2 ZINC000513405821 333017588 /nfs/dbraw/zinc/01/75/88/333017588.db2.gz JJLZILSBYQVAFH-UHFFFAOYSA-N 1 2 273.763 3.870 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cccc(O)c1Cl)C2 ZINC000513405821 333017589 /nfs/dbraw/zinc/01/75/89/333017589.db2.gz JJLZILSBYQVAFH-UHFFFAOYSA-N 1 2 273.763 3.870 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)CCS1 ZINC000175283854 333019704 /nfs/dbraw/zinc/01/97/04/333019704.db2.gz IZPQEOZBKALAJR-NWDGAFQWSA-N 1 2 282.453 3.861 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)CCS1 ZINC000175283854 333019706 /nfs/dbraw/zinc/01/97/06/333019706.db2.gz IZPQEOZBKALAJR-NWDGAFQWSA-N 1 2 282.453 3.861 20 0 CHADLO C[C@H]([NH2+][C@H](c1nccs1)c1ccccc1)c1ccccn1 ZINC000177082620 333053381 /nfs/dbraw/zinc/05/33/81/333053381.db2.gz KZMVYXQYDWBOEN-BBRMVZONSA-N 1 2 295.411 3.978 20 0 CHADLO CCC[N@H+](CCSc1ccc(F)cc1)CC(F)F ZINC000514001073 333058853 /nfs/dbraw/zinc/05/88/53/333058853.db2.gz FVJIRMZNGFYXHS-UHFFFAOYSA-N 1 2 277.355 3.895 20 0 CHADLO CCC[N@@H+](CCSc1ccc(F)cc1)CC(F)F ZINC000514001073 333058854 /nfs/dbraw/zinc/05/88/54/333058854.db2.gz FVJIRMZNGFYXHS-UHFFFAOYSA-N 1 2 277.355 3.895 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(C3CC3)cc2)o1 ZINC000516635328 333065789 /nfs/dbraw/zinc/06/57/89/333065789.db2.gz IWLHDVNHIRTOMS-NEPJUHHUSA-N 1 2 285.391 3.921 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc(N3CCCCC3)[nH+]c2)[C@@H]1C ZINC000311617217 333070520 /nfs/dbraw/zinc/07/05/20/333070520.db2.gz GNSGUKOOFLFUSJ-UMVBOHGHSA-N 1 2 259.397 3.528 20 0 CHADLO CCC(C)(C)C(=O)Cn1c[nH+]c2cc(C)c(C)cc21 ZINC000312051738 333076028 /nfs/dbraw/zinc/07/60/28/333076028.db2.gz BTOBDNXYHLPLKU-UHFFFAOYSA-N 1 2 258.365 3.658 20 0 CHADLO CCc1c2ccccc2oc1[C@H](C)[NH2+]Cc1ncccn1 ZINC000517270996 333096739 /nfs/dbraw/zinc/09/67/39/333096739.db2.gz HXTGESJQIPAIAJ-LBPRGKRZSA-N 1 2 281.359 3.636 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccccc2OC(C)C)nc[nH+]1 ZINC000517653536 333105121 /nfs/dbraw/zinc/10/51/21/333105121.db2.gz KDSJFHZZWSEUMC-ZDUSSCGKSA-N 1 2 271.364 3.745 20 0 CHADLO Cc1ccc(CCC2CCN(c3cc(C)[nH+]cn3)CC2)cc1 ZINC000521061494 333110787 /nfs/dbraw/zinc/11/07/87/333110787.db2.gz BGBJELDNIORVIE-UHFFFAOYSA-N 1 2 295.430 3.943 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(F)cc2Cl)c1 ZINC000214055718 333116327 /nfs/dbraw/zinc/11/63/27/333116327.db2.gz PFNZUFPUKMTSQE-UHFFFAOYSA-N 1 2 280.730 3.803 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2cncc(C)c2)c[nH+]1 ZINC000184451816 333128945 /nfs/dbraw/zinc/12/89/45/333128945.db2.gz ICGPYGYWYJCSHY-AWEZNQCLSA-N 1 2 284.407 3.804 20 0 CHADLO COC(=O)[C@H](C)[NH2+][C@H](C)c1ccc(Cl)c2ccccc21 ZINC000184924713 333133238 /nfs/dbraw/zinc/13/32/38/333133238.db2.gz BDFJIWPIXVUIJT-MNOVXSKESA-N 1 2 291.778 3.705 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1cc(O)cc(F)c1 ZINC000185555914 333143596 /nfs/dbraw/zinc/14/35/96/333143596.db2.gz BWDMCALADVMSQA-SNVBAGLBSA-N 1 2 293.313 3.530 20 0 CHADLO Cc1oc2ccccc2c1CNC(=O)Nc1cc[nH+]cc1C ZINC000185558476 333143814 /nfs/dbraw/zinc/14/38/14/333143814.db2.gz PSHVTVZYKTYZAK-UHFFFAOYSA-N 1 2 295.342 3.766 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncc(C)o2)cc1F ZINC000220896849 333170334 /nfs/dbraw/zinc/17/03/34/333170334.db2.gz XDFKXNLTBDHGKL-MNOVXSKESA-N 1 2 278.327 3.543 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+]Cc2nn(C)cc2Cl)c2ccccc21 ZINC000393349748 333178445 /nfs/dbraw/zinc/17/84/45/333178445.db2.gz OYTFJNZWNLLACX-ABAIWWIYSA-N 1 2 289.810 3.802 20 0 CHADLO CCc1ccc(CN(C)c2cc[nH+]c(C(C)C)n2)cc1 ZINC000521600810 333179668 /nfs/dbraw/zinc/17/96/68/333179668.db2.gz NRIMZKYHJWPHGC-UHFFFAOYSA-N 1 2 269.392 3.799 20 0 CHADLO CSCC[N@H+](C)Cc1csc(Nc2ccccc2)n1 ZINC000152569671 333181863 /nfs/dbraw/zinc/18/18/63/333181863.db2.gz GRSLPFXYYNDMON-UHFFFAOYSA-N 1 2 293.461 3.682 20 0 CHADLO CSCC[N@@H+](C)Cc1csc(Nc2ccccc2)n1 ZINC000152569671 333181864 /nfs/dbraw/zinc/18/18/64/333181864.db2.gz GRSLPFXYYNDMON-UHFFFAOYSA-N 1 2 293.461 3.682 20 0 CHADLO C[C@H]1CSCC[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000190463334 333207245 /nfs/dbraw/zinc/20/72/45/333207245.db2.gz VJQHTCRCSCOVAN-SECBINFHSA-N 1 2 291.247 3.573 20 0 CHADLO C[C@H]1CSCC[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000190463334 333207246 /nfs/dbraw/zinc/20/72/46/333207246.db2.gz VJQHTCRCSCOVAN-SECBINFHSA-N 1 2 291.247 3.573 20 0 CHADLO Cc1cc(OCc2ccc(CO)cc2)c2ccccc2[nH+]1 ZINC000192193423 333232013 /nfs/dbraw/zinc/23/20/13/333232013.db2.gz LUKFNXPYAFSFNR-UHFFFAOYSA-N 1 2 279.339 3.615 20 0 CHADLO CCCc1ccc(C[N@H+](C)Cc2nccn2C(F)F)cc1 ZINC000195026562 333243364 /nfs/dbraw/zinc/24/33/64/333243364.db2.gz CEXPPRJRROXQQX-UHFFFAOYSA-N 1 2 293.361 3.863 20 0 CHADLO CCCc1ccc(C[N@@H+](C)Cc2nccn2C(F)F)cc1 ZINC000195026562 333243366 /nfs/dbraw/zinc/24/33/66/333243366.db2.gz CEXPPRJRROXQQX-UHFFFAOYSA-N 1 2 293.361 3.863 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@H](C)c2ccc(F)cc2F)s1 ZINC000193762469 333256763 /nfs/dbraw/zinc/25/67/63/333256763.db2.gz COPYPOLFDMQBDC-SECBINFHSA-N 1 2 282.359 3.923 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@H](C)c2ccc(F)cc2F)s1 ZINC000193762469 333256765 /nfs/dbraw/zinc/25/67/65/333256765.db2.gz COPYPOLFDMQBDC-SECBINFHSA-N 1 2 282.359 3.923 20 0 CHADLO Cc1nc(CCNc2cc(C(F)(F)F)cc[nH+]2)cs1 ZINC000226797140 333269889 /nfs/dbraw/zinc/26/98/89/333269889.db2.gz SEQFURSLQNJRAC-UHFFFAOYSA-N 1 2 287.310 3.520 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CCCCC2(C)C)c(C)[nH+]1 ZINC000194378797 333270479 /nfs/dbraw/zinc/27/04/79/333270479.db2.gz JAAACZKMMFTRDL-CYBMUJFWSA-N 1 2 260.381 3.853 20 0 CHADLO CCCc1ccc([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)cc1 ZINC000393356250 333277221 /nfs/dbraw/zinc/27/72/21/333277221.db2.gz UJPZVBXFTZEIAY-GFCCVEGCSA-N 1 2 291.826 3.877 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+](C)Cc1nccn1C(F)F ZINC000194987053 333280991 /nfs/dbraw/zinc/28/09/91/333280991.db2.gz GVUFRSIIGQLKMY-SNVBAGLBSA-N 1 2 283.297 3.610 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+](C)Cc1nccn1C(F)F ZINC000194987053 333280993 /nfs/dbraw/zinc/28/09/93/333280993.db2.gz GVUFRSIIGQLKMY-SNVBAGLBSA-N 1 2 283.297 3.610 20 0 CHADLO Cc1cc(N2CC3C[C@@H]4CC2C[C@H](C3)C4)nc(C2CC2)[nH+]1 ZINC000522565919 333290440 /nfs/dbraw/zinc/29/04/40/333290440.db2.gz TWNMNBPSHWLHGH-KKNUOHPOSA-N 1 2 283.419 3.677 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1nc(C)cs1 ZINC000228745267 333296485 /nfs/dbraw/zinc/29/64/85/333296485.db2.gz RYROJJXALSOFNZ-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO CCCC[C@H]([NH2+][C@H](C)c1cccc(Cl)c1)C(=O)OC ZINC000230488208 333318727 /nfs/dbraw/zinc/31/87/27/333318727.db2.gz VOHOCMZFDYBFDR-RISCZKNCSA-N 1 2 283.799 3.722 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](CC1CCCCC1)C(C)C ZINC000523748009 333343701 /nfs/dbraw/zinc/34/37/01/333343701.db2.gz UAULAZIDFQRHPE-MRXNPFEDSA-N 1 2 288.435 3.993 20 0 CHADLO COC(=O)[C@@H](C)[NH2+][C@@H](CC1CCCCC1)c1ccccc1 ZINC000523913518 333349112 /nfs/dbraw/zinc/34/91/12/333349112.db2.gz RCDGKJVEODGAIR-PBHICJAKSA-N 1 2 289.419 3.849 20 0 CHADLO COC(=O)[C@H]([NH2+]CC[C@@H]1CCC[C@H](C)C1)c1ccccc1 ZINC000524015727 333351333 /nfs/dbraw/zinc/35/13/33/333351333.db2.gz OJRXVYDZVQVITJ-YQQAZPJKSA-N 1 2 289.419 3.707 20 0 CHADLO CC(C)COc1cccc(Nc2[nH+]cnc3[nH]ccc32)c1 ZINC000524165437 333354883 /nfs/dbraw/zinc/35/48/83/333354883.db2.gz GVNMCNPZITYNJC-UHFFFAOYSA-N 1 2 282.347 3.736 20 0 CHADLO CCC(CC)N(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CC1 ZINC000524255985 333358259 /nfs/dbraw/zinc/35/82/59/333358259.db2.gz UFWFGYJVOQZMGA-UHFFFAOYSA-N 1 2 297.402 3.666 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@H]1CCCSC1 ZINC000235045203 333365197 /nfs/dbraw/zinc/36/51/97/333365197.db2.gz WPLAASBMEIQYEB-NSHDSACASA-N 1 2 274.389 3.551 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)CN(C)C)c1cc(Cl)sc1Cl ZINC000235117593 333366183 /nfs/dbraw/zinc/36/61/83/333366183.db2.gz CLCYXOAYEKMTHK-JGVFFNPUSA-N 1 2 281.252 3.656 20 0 CHADLO Fc1ccc2[nH+]c(NCc3ccccc3Cl)[nH]c2c1 ZINC000236189432 333373196 /nfs/dbraw/zinc/37/31/96/333373196.db2.gz LYJMAVOOFQVKKK-UHFFFAOYSA-N 1 2 275.714 3.968 20 0 CHADLO C[C@@H]([NH2+]Cc1ccsc1Cl)c1cscn1 ZINC000312782456 333376189 /nfs/dbraw/zinc/37/61/89/333376189.db2.gz PUEGCSDMYSWLBS-SSDOTTSWSA-N 1 2 258.799 3.709 20 0 CHADLO CC[C@@H](Cc1ccccc1)[NH2+][C@@H](C(=O)OC)c1ccccc1 ZINC000237034423 333377271 /nfs/dbraw/zinc/37/72/71/333377271.db2.gz INAQXJQEFKDBKO-ZWKOTPCHSA-N 1 2 297.398 3.512 20 0 CHADLO C[C@@H]([NH2+]Cc1coc2ccccc12)c1nc(C(C)(C)C)no1 ZINC000237367175 333378995 /nfs/dbraw/zinc/37/89/95/333378995.db2.gz MLURLOCBYWWTJQ-LLVKDONJSA-N 1 2 299.374 3.964 20 0 CHADLO COc1cccc(C2([NH2+]Cc3ncccc3Cl)CC2)c1 ZINC000528435374 333437338 /nfs/dbraw/zinc/43/73/38/333437338.db2.gz GPBYSDQULVQNOB-UHFFFAOYSA-N 1 2 288.778 3.523 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@@H](C)c1ccc(Cl)cc1 ZINC000036980447 333448553 /nfs/dbraw/zinc/44/85/53/333448553.db2.gz SSQAPZLNXCJTFY-SMDDNHRTSA-N 1 2 283.799 3.578 20 0 CHADLO Cc1[nH]c(CNCc2cccc(Cl)c2Cl)[nH+]c1C ZINC000576003787 335140863 /nfs/dbraw/zinc/14/08/63/335140863.db2.gz FCKQGAZBKQEFFG-UHFFFAOYSA-N 1 2 284.190 3.623 20 0 CHADLO Cc1nc(C[NH2+]Cc2cccc(Cl)c2Cl)[nH]c1C ZINC000576003787 335140864 /nfs/dbraw/zinc/14/08/64/335140864.db2.gz FCKQGAZBKQEFFG-UHFFFAOYSA-N 1 2 284.190 3.623 20 0 CHADLO Cc1ccc(CNc2ccc3c(c2)OCC3(C)C)c(C)[nH+]1 ZINC000530116131 333523749 /nfs/dbraw/zinc/52/37/49/333523749.db2.gz LKQGZNZAAUMWMV-UHFFFAOYSA-N 1 2 282.387 3.981 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ncc[nH]2)c2ccccc2)cc1 ZINC000125520925 333567407 /nfs/dbraw/zinc/56/74/07/333567407.db2.gz CJWUUWGMIFCGFY-KRWDZBQOSA-N 1 2 277.371 3.597 20 0 CHADLO COC[C@H](CC(C)C)Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000538526869 333604158 /nfs/dbraw/zinc/60/41/58/333604158.db2.gz FSHXURZPGJLZRA-HNNXBMFYSA-N 1 2 291.439 3.545 20 0 CHADLO CCc1ccc(NCc2cn3cc(C)ccc3[nH+]2)cc1 ZINC000127330856 333627085 /nfs/dbraw/zinc/62/70/85/333627085.db2.gz LSHPJIHOVPJWAH-UHFFFAOYSA-N 1 2 265.360 3.817 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc([C@H]3C[C@H]3C)o2)sc1C ZINC000174435658 335154903 /nfs/dbraw/zinc/15/49/03/335154903.db2.gz GTHSSIKTUSDGKZ-RNCFNFMXSA-N 1 2 276.405 3.766 20 0 CHADLO Cc1cc(NCc2ccccc2CO)c2cccc(F)c2[nH+]1 ZINC000539190187 333660389 /nfs/dbraw/zinc/66/03/89/333660389.db2.gz ZRDAZOYSUIBTMD-UHFFFAOYSA-N 1 2 296.345 3.787 20 0 CHADLO CCOC(=O)[C@@H](CC)[NH2+][C@@H](C)c1cccc2ccccc21 ZINC000539244748 333662937 /nfs/dbraw/zinc/66/29/37/333662937.db2.gz XACIMXLQSUGHCR-SUMWQHHRSA-N 1 2 285.387 3.832 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cccc3c2ccn3C)c1 ZINC000539856504 333699188 /nfs/dbraw/zinc/69/91/88/333699188.db2.gz LZFFGEUSGHWCJV-UHFFFAOYSA-N 1 2 281.359 3.502 20 0 CHADLO CC[C@@H]1CC[C@@H](C)N1c1cc(C(F)(F)F)cc[nH+]1 ZINC000540078378 333708813 /nfs/dbraw/zinc/70/88/13/333708813.db2.gz OYWQHIMVFUXWEW-MWLCHTKSSA-N 1 2 258.287 3.868 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1ccc(C)o1 ZINC000128909979 333726228 /nfs/dbraw/zinc/72/62/28/333726228.db2.gz PLPUXEQLMLEJRF-UHFFFAOYSA-N 1 2 273.311 3.709 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2nnsc2Cl)c1 ZINC000540415427 333729219 /nfs/dbraw/zinc/72/92/19/333729219.db2.gz WXUVULARLCKNJJ-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1cscn1 ZINC000540727696 333744119 /nfs/dbraw/zinc/74/41/19/333744119.db2.gz WTPXGLMRBKWZCM-GFCCVEGCSA-N 1 2 288.420 3.702 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@H](C)c1ccccn1 ZINC000129224096 333753156 /nfs/dbraw/zinc/75/31/56/333753156.db2.gz UZPKOTLVOGGBFZ-VXGBXAGGSA-N 1 2 274.339 3.641 20 0 CHADLO CCCC[C@H](COC)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000129219337 333753262 /nfs/dbraw/zinc/75/32/62/333753262.db2.gz DVJUVXOKRRLVGR-MRXNPFEDSA-N 1 2 287.407 3.704 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1C[C@@H](C)OC2(CCC2)C1 ZINC000576154797 335161411 /nfs/dbraw/zinc/16/14/11/335161411.db2.gz KIVBXOSLCMGXDU-CQSZACIVSA-N 1 2 282.387 3.691 20 0 CHADLO c1cc(C[NH2+]C(c2ccccc2)c2ccccc2)on1 ZINC000070945295 333840022 /nfs/dbraw/zinc/84/00/22/333840022.db2.gz PDZUOQQDBWJTND-UHFFFAOYSA-N 1 2 264.328 3.554 20 0 CHADLO CC(C)(C)c1noc(C[NH2+][C@@H](c2cccs2)C2CC2)n1 ZINC000543750742 333895303 /nfs/dbraw/zinc/89/53/03/333895303.db2.gz ZMDPIRHGFNDBHH-CYBMUJFWSA-N 1 2 291.420 3.670 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)N(C)c1ccc[nH+]c1N(C)C ZINC000544446806 333931634 /nfs/dbraw/zinc/93/16/34/333931634.db2.gz VCGHDRCPNHTOMI-ZDUSSCGKSA-N 1 2 291.439 3.573 20 0 CHADLO CCc1cnc(COc2cc(C)[nH+]c3c(C)cccc23)o1 ZINC000545473775 333984068 /nfs/dbraw/zinc/98/40/68/333984068.db2.gz WVLVZRCKAFKSOP-UHFFFAOYSA-N 1 2 282.343 3.981 20 0 CHADLO Cc1cccc(N(C)Cc2c[nH+]c3c(C)cccn23)c1 ZINC000545548104 333987648 /nfs/dbraw/zinc/98/76/48/333987648.db2.gz KSMJUCAIYZTATD-UHFFFAOYSA-N 1 2 265.360 3.588 20 0 CHADLO Cc1cc(OCc2nnc(C3CC3)o2)c2cccc(C)c2[nH+]1 ZINC000546180078 334018752 /nfs/dbraw/zinc/01/87/52/334018752.db2.gz GFVUTPMACKDPQP-UHFFFAOYSA-N 1 2 295.342 3.691 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+]Cc1nnsc1Cl ZINC000546225403 334020330 /nfs/dbraw/zinc/02/03/30/334020330.db2.gz IBYWQHXNLBQESC-SNVBAGLBSA-N 1 2 281.812 3.659 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+][C@@H](C)C(=O)OC(C)(C)C ZINC000546228413 334020741 /nfs/dbraw/zinc/02/07/41/334020741.db2.gz NYNIQGMLQLEURH-KBPBESRZSA-N 1 2 277.408 3.684 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+][C@@H](C)C(=O)OC(C)(C)C ZINC000546228414 334020766 /nfs/dbraw/zinc/02/07/66/334020766.db2.gz NYNIQGMLQLEURH-KGLIPLIRSA-N 1 2 277.408 3.684 20 0 CHADLO Cn1c2ccc(NC(=O)c3ccccc3)cc2[nH+]c1C1CC1 ZINC000074985846 334021796 /nfs/dbraw/zinc/02/17/96/334021796.db2.gz YGVLHEWQQLPMAG-UHFFFAOYSA-N 1 2 291.354 3.703 20 0 CHADLO COc1ccc[nH+]c1N[C@@H]1CCC[C@@H](c2ncccc2C)C1 ZINC000546691264 334046055 /nfs/dbraw/zinc/04/60/55/334046055.db2.gz ZLTJQNGOTVUJRK-HUUCEWRRSA-N 1 2 297.402 3.932 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccn(-c3ccc(F)cc3)n2)o1 ZINC000075615939 334047066 /nfs/dbraw/zinc/04/70/66/334047066.db2.gz ANVXPFKFIUGTQW-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccn(-c3ccc(F)cc3)n2)o1 ZINC000075615939 334047067 /nfs/dbraw/zinc/04/70/67/334047067.db2.gz ANVXPFKFIUGTQW-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO C[C@@H]1CCC[C@@H]1CC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000546720488 334048069 /nfs/dbraw/zinc/04/80/69/334048069.db2.gz KAYPSBUNXIRUOD-TZMCWYRMSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@H]1CC[N@H+](Cc2noc(Cc3ccccc3)n2)CC1(C)C ZINC000546834539 334051567 /nfs/dbraw/zinc/05/15/67/334051567.db2.gz WFCNVCCUMALHKN-AWEZNQCLSA-N 1 2 299.418 3.528 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2noc(Cc3ccccc3)n2)CC1(C)C ZINC000546834539 334051568 /nfs/dbraw/zinc/05/15/68/334051568.db2.gz WFCNVCCUMALHKN-AWEZNQCLSA-N 1 2 299.418 3.528 20 0 CHADLO CC[C@H](CC(F)(F)F)[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000546886677 334054004 /nfs/dbraw/zinc/05/40/04/334054004.db2.gz BHLUDKRLAFGSAH-RKDXNWHRSA-N 1 2 293.333 3.749 20 0 CHADLO CC[C@H](Cc1nc(Cc2ccc(C)[nH+]c2)no1)C(C)C ZINC000547057633 334064264 /nfs/dbraw/zinc/06/42/64/334064264.db2.gz ZSTGUMCTKHGQNV-CQSZACIVSA-N 1 2 273.380 3.589 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2ccncc2Cl)CC1 ZINC000075996912 334064925 /nfs/dbraw/zinc/06/49/25/334064925.db2.gz LDOHBSWMYZIHQR-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO C[C@H]1C[NH+](Cc2ccc(Cl)cc2C#N)C[C@H](C)S1 ZINC000547506281 334095733 /nfs/dbraw/zinc/09/57/33/334095733.db2.gz TXNYRDORRIEGBX-QWRGUYRKSA-N 1 2 280.824 3.537 20 0 CHADLO C[C@@H]1C[NH+](Cc2ccc(Cl)cc2C#N)C[C@@H](C)S1 ZINC000547506279 334095739 /nfs/dbraw/zinc/09/57/39/334095739.db2.gz TXNYRDORRIEGBX-GHMZBOCLSA-N 1 2 280.824 3.537 20 0 CHADLO Cc1cc(C(=O)Nc2cccc(-c3[nH+]ccn3C)c2)oc1C ZINC000135061433 334114038 /nfs/dbraw/zinc/11/40/38/334114038.db2.gz IBSVUTINMZGTOK-UHFFFAOYSA-N 1 2 295.342 3.549 20 0 CHADLO CCCC[C@H]([NH2+][C@@H]1C[C@@H](c2ccccc2)[C@H]1C)C(=O)OC ZINC000548176458 334145269 /nfs/dbraw/zinc/14/52/69/334145269.db2.gz XAZPDXJAMYZJJZ-MXASKKJJSA-N 1 2 289.419 3.500 20 0 CHADLO CC(C)([NH2+]Cc1cc(-c2ccccc2)n[nH]1)c1nccs1 ZINC000136160059 334148500 /nfs/dbraw/zinc/14/85/00/334148500.db2.gz FDMKHEDQYDFZBG-UHFFFAOYSA-N 1 2 298.415 3.558 20 0 CHADLO CC/C=C/CC[N@@H+]1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC000548300353 334149618 /nfs/dbraw/zinc/14/96/18/334149618.db2.gz CDBPOWHEKWZZNP-QDLOVBKTSA-N 1 2 281.346 3.694 20 0 CHADLO CC/C=C/CC[N@H+]1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC000548300353 334149620 /nfs/dbraw/zinc/14/96/20/334149620.db2.gz CDBPOWHEKWZZNP-QDLOVBKTSA-N 1 2 281.346 3.694 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1c(F)cccc1Br ZINC000548753135 334169913 /nfs/dbraw/zinc/16/99/13/334169913.db2.gz QLEIXSDNCVHRDA-RKDXNWHRSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@@H]1CC[C@H](Nc2ccc(N3CCCCC3)[nH+]c2)C1 ZINC000080433468 334171387 /nfs/dbraw/zinc/17/13/87/334171387.db2.gz IRKVNVGSURDFHM-KGLIPLIRSA-N 1 2 259.397 3.672 20 0 CHADLO C[N@H+](Cc1nc2ccccc2s1)Cc1cccc(O)c1 ZINC000080918682 334175203 /nfs/dbraw/zinc/17/52/03/334175203.db2.gz ZKCVBPHPPWZSII-UHFFFAOYSA-N 1 2 284.384 3.634 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2s1)Cc1cccc(O)c1 ZINC000080918682 334175204 /nfs/dbraw/zinc/17/52/04/334175204.db2.gz ZKCVBPHPPWZSII-UHFFFAOYSA-N 1 2 284.384 3.634 20 0 CHADLO Cc1ccnc(CNc2cc(C(C)(C)C)[nH+]c(C(C)C)n2)c1 ZINC000549223805 334188089 /nfs/dbraw/zinc/18/80/89/334188089.db2.gz ZZUUYDAYEMMARH-UHFFFAOYSA-N 1 2 298.434 3.635 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc(-c2c(F)cccc2F)o1 ZINC000550255887 334217725 /nfs/dbraw/zinc/21/77/25/334217725.db2.gz ICTJUCROHFYWFT-UHFFFAOYSA-N 1 2 287.256 3.970 20 0 CHADLO CCCCOc1cc(C[NH2+]CC(C)(F)F)ccc1OC ZINC000550256117 334217812 /nfs/dbraw/zinc/21/78/12/334217812.db2.gz QMBHAIJGAMJAQG-UHFFFAOYSA-N 1 2 287.350 3.619 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc(F)c2ccccc2c1 ZINC000550766627 334228480 /nfs/dbraw/zinc/22/84/80/334228480.db2.gz YAXYFHSBSYQHCF-UHFFFAOYSA-N 1 2 294.329 3.611 20 0 CHADLO CC1=CC[C@@H](Nc2ccc(N3CCCC3)[nH+]c2)CC1 ZINC000552009431 334274086 /nfs/dbraw/zinc/27/40/86/334274086.db2.gz XPZJXTXXJVGQGL-CQSZACIVSA-N 1 2 257.381 3.593 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCC[C@H]1F)c1nc(C(F)(F)F)cs1 ZINC000550928148 334233765 /nfs/dbraw/zinc/23/37/65/334233765.db2.gz JGBUEGMDAUTBOU-PRJMDXOYSA-N 1 2 282.306 3.703 20 0 CHADLO CC[C@H]1CC[C@H]([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000551212063 334241055 /nfs/dbraw/zinc/24/10/55/334241055.db2.gz QZEOQRMDSIFVEF-BPUTZDHNSA-N 1 2 290.455 3.985 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1ccc(Cl)o1 ZINC000551249231 334243007 /nfs/dbraw/zinc/24/30/07/334243007.db2.gz MQRSNCGGQJYZCX-UHFFFAOYSA-N 1 2 289.766 3.727 20 0 CHADLO COc1ccc(F)cc1N[C@@H](C)c1cn2ccccc2[nH+]1 ZINC000551297167 334245512 /nfs/dbraw/zinc/24/55/12/334245512.db2.gz VHABUHKJQSKMGD-NSHDSACASA-N 1 2 285.322 3.655 20 0 CHADLO Fc1cc(F)cc([C@@]2(F)CC[N@@H+]([C@H]3C=CCCC3)C2)c1 ZINC000551407736 334249578 /nfs/dbraw/zinc/24/95/78/334249578.db2.gz SNPCDHBKOYFLSD-JKSUJKDBSA-N 1 2 281.321 3.944 20 0 CHADLO Fc1cc(F)cc([C@@]2(F)CC[N@H+]([C@H]3C=CCCC3)C2)c1 ZINC000551407736 334249579 /nfs/dbraw/zinc/24/95/79/334249579.db2.gz SNPCDHBKOYFLSD-JKSUJKDBSA-N 1 2 281.321 3.944 20 0 CHADLO CC(C)c1ccc(CSCCn2cc[nH+]c2)cc1 ZINC000551602798 334255648 /nfs/dbraw/zinc/25/56/48/334255648.db2.gz NXESVAIXCGTQLF-UHFFFAOYSA-N 1 2 260.406 3.940 20 0 CHADLO CCCCc1ccc(NC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000551757382 334259940 /nfs/dbraw/zinc/25/99/40/334259940.db2.gz JMMNOKWGWCGJKQ-AWEZNQCLSA-N 1 2 285.391 3.816 20 0 CHADLO CC(C)c1ccc(CN(C)C(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000551759886 334260018 /nfs/dbraw/zinc/26/00/18/334260018.db2.gz OEHOYXGPHNEOEF-HNNXBMFYSA-N 1 2 299.418 3.616 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(C3CCCC3)no2)[C@H]1c1ccccc1 ZINC000246704770 334267540 /nfs/dbraw/zinc/26/75/40/334267540.db2.gz NCUYCFKTICYKRS-CXAGYDPISA-N 1 2 297.402 3.920 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(C3CCCC3)no2)[C@H]1c1ccccc1 ZINC000246704770 334267541 /nfs/dbraw/zinc/26/75/41/334267541.db2.gz NCUYCFKTICYKRS-CXAGYDPISA-N 1 2 297.402 3.920 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+](C)C[C@H]1CCC[NH+](C)C1 ZINC000246766043 334269213 /nfs/dbraw/zinc/26/92/13/334269213.db2.gz GRATUCOPKOSWEC-GUYCJALGSA-N 1 2 296.405 3.690 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[NH+](C)C[C@H]1CCC[N@H+](C)C1 ZINC000246766043 334269214 /nfs/dbraw/zinc/26/92/14/334269214.db2.gz GRATUCOPKOSWEC-GUYCJALGSA-N 1 2 296.405 3.690 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@@H](c2cccc(Br)c2)C1 ZINC000247204353 334277414 /nfs/dbraw/zinc/27/74/14/334277414.db2.gz NDDWIJCBCTZCHL-BXUZGUMPSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@@H](c2cccc(Br)c2)C1 ZINC000247204353 334277415 /nfs/dbraw/zinc/27/74/15/334277415.db2.gz NDDWIJCBCTZCHL-BXUZGUMPSA-N 1 2 298.224 3.621 20 0 CHADLO CC(C)[C@@H]1CC[C@@H]1Nc1ccccc1OCCn1cc[nH+]c1 ZINC000552070908 334285651 /nfs/dbraw/zinc/28/56/51/334285651.db2.gz IVQVYNTWKYOCJE-HOTGVXAUSA-N 1 2 299.418 3.809 20 0 CHADLO O=C(Nc1cccc(Oc2cc[nH+]cc2)c1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000248339158 334298586 /nfs/dbraw/zinc/29/85/86/334298586.db2.gz ICKSOHVCOHIQQG-FVQHAEBGSA-N 1 2 294.354 3.859 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CCOC(C)(C)[C@@H]2C)c1 ZINC000552300511 334314931 /nfs/dbraw/zinc/31/49/31/334314931.db2.gz MDTCCEVVEIZJLD-LBPRGKRZSA-N 1 2 299.361 3.596 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CCOC(C)(C)[C@@H]2C)c1 ZINC000552300511 334314932 /nfs/dbraw/zinc/31/49/32/334314932.db2.gz MDTCCEVVEIZJLD-LBPRGKRZSA-N 1 2 299.361 3.596 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2CF)c(Br)c1 ZINC000552391963 334323289 /nfs/dbraw/zinc/32/32/89/334323289.db2.gz FGVZBQDPUJZGCI-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2CF)c(Br)c1 ZINC000552391963 334323291 /nfs/dbraw/zinc/32/32/91/334323291.db2.gz FGVZBQDPUJZGCI-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Fc1cc(Cl)cc(C[N@@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000552424209 334326179 /nfs/dbraw/zinc/32/61/79/334326179.db2.gz PWWHZCUAFQBJQB-HOTGVXAUSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1cc(Cl)cc(C[N@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000552424209 334326181 /nfs/dbraw/zinc/32/61/81/334326181.db2.gz PWWHZCUAFQBJQB-HOTGVXAUSA-N 1 2 295.785 3.623 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@@H](C)c2cscn2)ccc1F ZINC000552579106 334337200 /nfs/dbraw/zinc/33/72/00/334337200.db2.gz SASKOMPPRUXYDQ-ZJUUUORDSA-N 1 2 280.368 3.703 20 0 CHADLO CO[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CC[C@H]1C ZINC000553200915 334368489 /nfs/dbraw/zinc/36/84/89/334368489.db2.gz PZHYJOHQSUGBAJ-ABAIWWIYSA-N 1 2 290.794 3.749 20 0 CHADLO COc1cccc2c(N[C@H]3CSC3(C)C)cc[nH+]c12 ZINC000554886675 334458556 /nfs/dbraw/zinc/45/85/56/334458556.db2.gz GVNIEFDGAMMKHL-ZDUSSCGKSA-N 1 2 274.389 3.549 20 0 CHADLO CC1=CC[C@H](Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000556263326 334504741 /nfs/dbraw/zinc/50/47/41/334504741.db2.gz GPDLCFJDQFQVHD-AWEZNQCLSA-N 1 2 253.349 3.783 20 0 CHADLO COCC1(CCNc2cc(C)[nH+]c3c(F)cccc23)CC1 ZINC000564906384 334527425 /nfs/dbraw/zinc/52/74/25/334527425.db2.gz WOCDTUJEEZYZPL-UHFFFAOYSA-N 1 2 288.366 3.911 20 0 CHADLO CC(C)[C@@H](c1ccccc1)N(C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000565049980 334536444 /nfs/dbraw/zinc/53/64/44/334536444.db2.gz WNZRCPTZGPKBHC-QAPCUYQASA-N 1 2 299.418 3.690 20 0 CHADLO CC[C@@H](C)[C@@H](C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000565676177 334587092 /nfs/dbraw/zinc/58/70/92/334587092.db2.gz VIRJIWZBSAGYTC-VXGBXAGGSA-N 1 2 271.364 3.697 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@@H](C3CC3)C2)c1 ZINC000565830204 334600783 /nfs/dbraw/zinc/60/07/83/334600783.db2.gz HZYRLNFIUPGJLL-CYBMUJFWSA-N 1 2 256.324 3.610 20 0 CHADLO FC(F)c1ccc(C[NH2+]Cc2nccc(C(F)F)n2)cc1 ZINC000566525636 334654199 /nfs/dbraw/zinc/65/41/99/334654199.db2.gz UXVXNGSCGCDZBC-UHFFFAOYSA-N 1 2 299.271 3.642 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H]2CC[C@H](C)C2)c(C)[nH+]1 ZINC000153722203 334678253 /nfs/dbraw/zinc/67/82/53/334678253.db2.gz SMJSMCZLZBOQLN-IINYFYTJSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1cc2[nH+]cn(Cc3nc(C4CCCC4)no3)c2cc1C ZINC000154164140 334689743 /nfs/dbraw/zinc/68/97/43/334689743.db2.gz CVUGRYIEYMVDKH-UHFFFAOYSA-N 1 2 296.374 3.742 20 0 CHADLO CCCCS(=O)(=O)Nc1ccc([NH2+]C(CC)CC)cc1 ZINC000154256906 334691700 /nfs/dbraw/zinc/69/17/00/334691700.db2.gz SKPLDCULVUNGAS-UHFFFAOYSA-N 1 2 298.452 3.829 20 0 CHADLO CCc1nc(CNc2c[nH+]ccc2OC(C)(C)C)cs1 ZINC000158435999 334801309 /nfs/dbraw/zinc/80/13/09/334801309.db2.gz XLSXAEUGXMUYIJ-UHFFFAOYSA-N 1 2 291.420 3.890 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2csc(Cl)c2)s1 ZINC000276490070 334801943 /nfs/dbraw/zinc/80/19/43/334801943.db2.gz HOUAJDHXZNCGTB-RNFRBKRXSA-N 1 2 287.841 3.973 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2ccnc(OC)c2)cs1 ZINC000568237652 334807405 /nfs/dbraw/zinc/80/74/05/334807405.db2.gz DAKGPUOSONPDBB-GHMZBOCLSA-N 1 2 291.420 3.521 20 0 CHADLO CCc1nc(CNc2cc(C(F)(F)F)cc[nH+]2)cs1 ZINC000277119025 334810540 /nfs/dbraw/zinc/81/05/40/334810540.db2.gz NVJUPERVXRBMKQ-UHFFFAOYSA-N 1 2 287.310 3.731 20 0 CHADLO CCCCN(Cc1c(C)[nH+]c2ccccn21)CC(F)(F)F ZINC000159068050 334819217 /nfs/dbraw/zinc/81/92/17/334819217.db2.gz JELFIRGGOPAHAK-UHFFFAOYSA-N 1 2 299.340 3.807 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C2CC2)C2CCC2)c(C)[nH+]1 ZINC000568724254 334837982 /nfs/dbraw/zinc/83/79/82/334837982.db2.gz LGFLJACSFXFTCD-MRXNPFEDSA-N 1 2 287.407 3.707 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(-c2nc([C@@H](C)C3CC3)no2)c1 ZINC000568798866 334841357 /nfs/dbraw/zinc/84/13/57/334841357.db2.gz MXPKPQXTUDMDKI-LBPRGKRZSA-N 1 2 294.358 3.744 20 0 CHADLO Cc1ccc([C@@H](C)c2noc(C[C@@H](C)n3cc[nH+]c3)n2)cc1 ZINC000569007871 334851074 /nfs/dbraw/zinc/85/10/74/334851074.db2.gz KAVNDMGGYOJSEX-ZIAGYGMSSA-N 1 2 296.374 3.530 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2cccc3ccoc32)s1 ZINC000569194387 334860713 /nfs/dbraw/zinc/86/07/13/334860713.db2.gz FFNBOLSHXXCTIB-UHFFFAOYSA-N 1 2 287.388 3.698 20 0 CHADLO C[C@H]1CC[C@H](C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CC1 ZINC000576283948 335182975 /nfs/dbraw/zinc/18/29/75/335182975.db2.gz FTHFLKBQHXKNSF-MQMHXKEQSA-N 1 2 283.375 3.842 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)[C@H](C)c2ccc(C)cc2C)on1 ZINC000576408424 335200032 /nfs/dbraw/zinc/20/00/32/335200032.db2.gz IHEMRXUTHRVWEJ-CYBMUJFWSA-N 1 2 286.375 3.687 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)[C@H](C)c2ccc(C)cc2C)on1 ZINC000576408424 335200034 /nfs/dbraw/zinc/20/00/34/335200034.db2.gz IHEMRXUTHRVWEJ-CYBMUJFWSA-N 1 2 286.375 3.687 20 0 CHADLO CCCOc1cccc(C[N@@H+]2CCC[C@@H]2C(F)F)c1 ZINC000576455664 335205230 /nfs/dbraw/zinc/20/52/30/335205230.db2.gz YCQSLYMOBWWBCW-CQSZACIVSA-N 1 2 269.335 3.705 20 0 CHADLO CCCOc1cccc(C[N@H+]2CCC[C@@H]2C(F)F)c1 ZINC000576455664 335205231 /nfs/dbraw/zinc/20/52/31/335205231.db2.gz YCQSLYMOBWWBCW-CQSZACIVSA-N 1 2 269.335 3.705 20 0 CHADLO Cc1ccc(NC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)s1 ZINC000175829003 335205937 /nfs/dbraw/zinc/20/59/37/335205937.db2.gz IBNRQCHRHYSSHU-LLVKDONJSA-N 1 2 290.392 3.539 20 0 CHADLO CCCCCN(C(=O)[C@H](C)Cc1c[nH+]c[nH]1)[C@@H](C)CCC ZINC000576528684 335215708 /nfs/dbraw/zinc/21/57/08/335215708.db2.gz KRWOGVDHNAMYDJ-CABCVRRESA-N 1 2 293.455 3.796 20 0 CHADLO CCCCCN(C(=O)[C@H](C)Cc1c[nH]c[nH+]1)[C@@H](C)CCC ZINC000576528684 335215710 /nfs/dbraw/zinc/21/57/10/335215710.db2.gz KRWOGVDHNAMYDJ-CABCVRRESA-N 1 2 293.455 3.796 20 0 CHADLO CC(C)([NH2+]Cc1cnc(-c2ccccc2)[nH]1)c1nccs1 ZINC000175996487 335227109 /nfs/dbraw/zinc/22/71/09/335227109.db2.gz UJXUBMDSMMUAOU-UHFFFAOYSA-N 1 2 298.415 3.558 20 0 CHADLO Cc1nnsc1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000576759222 335244692 /nfs/dbraw/zinc/24/46/92/335244692.db2.gz VINFTLNPSUITNM-CYBMUJFWSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1nnsc1C[N@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000576759222 335244694 /nfs/dbraw/zinc/24/46/94/335244694.db2.gz VINFTLNPSUITNM-CYBMUJFWSA-N 1 2 293.823 3.837 20 0 CHADLO C[C@@H](c1csnn1)[NH+]1CCC(c2ccccc2F)CC1 ZINC000576819901 335251397 /nfs/dbraw/zinc/25/13/97/335251397.db2.gz VLTGZRHCPJHEMY-NSHDSACASA-N 1 2 291.395 3.618 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CO[C@H]1CCCc2c[nH]nc21 ZINC000576966320 335271336 /nfs/dbraw/zinc/27/13/36/335271336.db2.gz CVPGUGZYNQWYAM-INIZCTEOSA-N 1 2 285.391 3.831 20 0 CHADLO Oc1ccc(C2=CCN(c3[nH]c4ccccc4[nH+]3)CC2)cc1 ZINC000192887831 335320949 /nfs/dbraw/zinc/32/09/49/335320949.db2.gz BMYNTBNFYCHLAH-UHFFFAOYSA-N 1 2 291.354 3.562 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1cccc(CSC)c1 ZINC000577351550 335341698 /nfs/dbraw/zinc/34/16/98/335341698.db2.gz UPSAVNZFVQJZIU-GFCCVEGCSA-N 1 2 275.421 3.939 20 0 CHADLO O=C(Nc1cccc(Oc2cc[nH+]cc2)c1)[C@H]1C[C@H]1C1CC1 ZINC000177353239 335370669 /nfs/dbraw/zinc/37/06/69/335370669.db2.gz YQXHLAFEPGMMSW-IRXDYDNUSA-N 1 2 294.354 3.859 20 0 CHADLO CC[C@@H](C)CCc1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000577658847 335386585 /nfs/dbraw/zinc/38/65/85/335386585.db2.gz BLCZYFXOGCFYOD-GFCCVEGCSA-N 1 2 298.390 3.595 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CC[C@@H](C(F)(F)F)C2(CCC2)C1 ZINC000577746705 335396235 /nfs/dbraw/zinc/39/62/35/335396235.db2.gz CSZQOMOLELDCDH-GFCCVEGCSA-N 1 2 299.340 3.652 20 0 CHADLO COc1cccc2c1CC[C@H]2Nc1[nH+]c2ccccc2n1C ZINC000179316457 335487034 /nfs/dbraw/zinc/48/70/34/335487034.db2.gz WGSOGELRIXCXEZ-CQSZACIVSA-N 1 2 293.370 3.681 20 0 CHADLO C[C@H](Nc1cc(CSCCO)cc[nH+]1)C1CCCCC1 ZINC000179799690 335519808 /nfs/dbraw/zinc/51/98/08/335519808.db2.gz RCMLBEDAOZTTIB-ZDUSSCGKSA-N 1 2 294.464 3.688 20 0 CHADLO C[C@H](Nc1cccc(N2CCC(C)CC2)c1)c1[nH+]ccn1C ZINC000179960645 335535841 /nfs/dbraw/zinc/53/58/41/335535841.db2.gz JVWFCDRAPQFUEL-HNNXBMFYSA-N 1 2 298.434 3.830 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H]2C[C@@]2(C)C(C)C)n1 ZINC000351235664 335585689 /nfs/dbraw/zinc/58/56/89/335585689.db2.gz PADJGYGMUSYTJM-CJNGLKHVSA-N 1 2 271.364 3.513 20 0 CHADLO CC(C)[C@H](NC(=O)c1ccc(C2CCC2)cc1)c1[nH]cc[nH+]1 ZINC000579329712 335734784 /nfs/dbraw/zinc/73/47/84/335734784.db2.gz LXBLAVUQPXNQDN-INIZCTEOSA-N 1 2 297.402 3.804 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@H](C)[C@H]1CCCCO1 ZINC000356743538 335788554 /nfs/dbraw/zinc/78/85/54/335788554.db2.gz HKLPEDMHBGGVJT-IUODEOHRSA-N 1 2 286.375 3.613 20 0 CHADLO CC[C@@H](Nc1ccc(C)[nH+]c1)c1ccc2c(c1)OCCO2 ZINC000182909553 335849711 /nfs/dbraw/zinc/84/97/11/335849711.db2.gz JQDZFPRXSOZUHZ-OAHLLOKOSA-N 1 2 284.359 3.724 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nccn1C)c1ccc(F)cc1F ZINC000182997018 335855519 /nfs/dbraw/zinc/85/55/19/335855519.db2.gz VMIYREDPDSSRDW-QMTHXVAHSA-N 1 2 279.334 3.500 20 0 CHADLO CC[C@H](Nc1cccc([C@@H](C)OC)c1)c1[nH+]ccn1C ZINC000183102724 335863014 /nfs/dbraw/zinc/86/30/14/335863014.db2.gz HJAPSUUCLRNAFN-DOMZBBRYSA-N 1 2 273.380 3.691 20 0 CHADLO CCc1nocc1CSCc1cn2cccc(C)c2[nH+]1 ZINC000580902692 335982620 /nfs/dbraw/zinc/98/26/20/335982620.db2.gz MPWUEBKEFGHTRJ-UHFFFAOYSA-N 1 2 287.388 3.627 20 0 CHADLO C=Cn1cc(C[NH2+][C@@H](C)c2c(F)cccc2Cl)cn1 ZINC000194352620 336018170 /nfs/dbraw/zinc/01/81/70/336018170.db2.gz RAWQLKWKPSCBPE-JTQLQIEISA-N 1 2 279.746 3.627 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2Cc3ccccc3[C@H]2C)cc1C ZINC000581845631 336151317 /nfs/dbraw/zinc/15/13/17/336151317.db2.gz IIVFWWCEYLLZMV-OAHLLOKOSA-N 1 2 294.398 3.819 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2Cc3ccccc3[C@H]2C)cc1C ZINC000581845631 336151319 /nfs/dbraw/zinc/15/13/19/336151319.db2.gz IIVFWWCEYLLZMV-OAHLLOKOSA-N 1 2 294.398 3.819 20 0 CHADLO CC(C)[C@H](C)CNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000386338943 336171181 /nfs/dbraw/zinc/17/11/81/336171181.db2.gz YRMUVQMNQBAMQJ-CYBMUJFWSA-N 1 2 295.390 3.520 20 0 CHADLO CSC[C@H](C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301847343 533783290 /nfs/dbraw/zinc/78/32/90/533783290.db2.gz BLSFDFXSLGTQGC-NSHDSACASA-N 1 2 267.442 3.887 20 0 CHADLO COc1cc(F)c([C@H](C)Nc2ccc(C)[nH+]c2)cc1OC ZINC000347117035 533995554 /nfs/dbraw/zinc/99/55/54/533995554.db2.gz BQGNAJBLVIBJGV-NSHDSACASA-N 1 2 290.338 3.719 20 0 CHADLO Cc1cc(OCc2nccs2)c2cccc(C)c2[nH+]1 ZINC000354537144 534028141 /nfs/dbraw/zinc/02/81/41/534028141.db2.gz MZTFLWYLJYBZMH-UHFFFAOYSA-N 1 2 270.357 3.887 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@H](C)c2ccco2)c(C)[nH+]1 ZINC000336147311 534074431 /nfs/dbraw/zinc/07/44/31/534074431.db2.gz QYAHJZPIBRTFSE-CYBMUJFWSA-N 1 2 287.363 3.825 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCC[C@@H](C)C2)c(C)[nH+]1 ZINC000334705114 534074935 /nfs/dbraw/zinc/07/49/35/534074935.db2.gz ISXGWGMDKBALAW-LLVKDONJSA-N 1 2 275.396 3.661 20 0 CHADLO CC[C@H]1CCCC[C@@H]1C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000475536232 517830115 /nfs/dbraw/zinc/83/01/15/517830115.db2.gz IGDXEHUFGZEBCZ-ZFWWWQNUSA-N 1 2 285.391 3.798 20 0 CHADLO CSc1ccc(NCc2cc(F)cc(Cl)c2)[nH+]c1 ZINC000485722824 518175360 /nfs/dbraw/zinc/17/53/60/518175360.db2.gz UWEZCOVWVHZXGT-UHFFFAOYSA-N 1 2 282.771 3.630 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C(C)(C)C)nn1)c1cc2ccccc2o1 ZINC000277135282 518183236 /nfs/dbraw/zinc/18/32/36/518183236.db2.gz WZXJMOAVDFJMJU-LBPRGKRZSA-N 1 2 298.390 3.630 20 0 CHADLO Cc1cccc([C@H](C)NC(=O)NCc2cc(C)[nH+]c(C)c2)c1 ZINC000420864681 518190615 /nfs/dbraw/zinc/19/06/15/518190615.db2.gz UEEDKJXNJMOPKU-HNNXBMFYSA-N 1 2 297.402 3.567 20 0 CHADLO Brc1ccccc1C[NH+]1Cc2ccccc2C1 ZINC000050911901 518224210 /nfs/dbraw/zinc/22/42/10/518224210.db2.gz WYBMWDQJJCLVPJ-UHFFFAOYSA-N 1 2 288.188 3.965 20 0 CHADLO CC(=O)c1ccc(OCc2c(C)[nH+]c3ccccn32)c(F)c1 ZINC000151007210 518398343 /nfs/dbraw/zinc/39/83/43/518398343.db2.gz GLVLSRLNEQDQID-UHFFFAOYSA-N 1 2 298.317 3.563 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+](C)Cc3nccs3)cc2c1C ZINC000351997904 534353023 /nfs/dbraw/zinc/35/30/23/534353023.db2.gz WKQVSUKGYHJUCP-UHFFFAOYSA-N 1 2 285.416 3.873 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+](C)Cc3nccs3)cc2c1C ZINC000351997904 534353028 /nfs/dbraw/zinc/35/30/28/534353028.db2.gz WKQVSUKGYHJUCP-UHFFFAOYSA-N 1 2 285.416 3.873 20 0 CHADLO CC(C)(C)C1=CCN(c2cc[nH+]c(C3CC3)n2)CC1 ZINC000340040796 518598782 /nfs/dbraw/zinc/59/87/82/518598782.db2.gz PQYQNDDYCTZLTC-UHFFFAOYSA-N 1 2 257.381 3.537 20 0 CHADLO CC(C)(C)CNC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264922813 518669673 /nfs/dbraw/zinc/66/96/73/518669673.db2.gz STWSCQLQFRLEBU-UHFFFAOYSA-N 1 2 284.359 3.650 20 0 CHADLO CC(C)(C)C[C@H]([NH2+]Cc1ccn[nH]1)c1ccccc1 ZINC000037128621 518688821 /nfs/dbraw/zinc/68/88/21/518688821.db2.gz SXAWRILBEMUFNV-HNNXBMFYSA-N 1 2 257.381 3.677 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N(C)[C@H]1CCC(C)(C)C1 ZINC000336535156 534386724 /nfs/dbraw/zinc/38/67/24/534386724.db2.gz ZPGZALHFCJXLCP-ZDUSSCGKSA-N 1 2 275.396 3.741 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000366554561 519089559 /nfs/dbraw/zinc/08/95/59/519089559.db2.gz MMIPNBNQHVWJCG-INIZCTEOSA-N 1 2 298.434 3.685 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000366554561 519089564 /nfs/dbraw/zinc/08/95/64/519089564.db2.gz MMIPNBNQHVWJCG-INIZCTEOSA-N 1 2 298.434 3.685 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(F)c2cccnc12 ZINC000353219199 534427111 /nfs/dbraw/zinc/42/71/11/534427111.db2.gz AMSOMHGXBWLIED-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO CC(C)Cc1ncc(C[NH+]2Cc3ccccc3C2)s1 ZINC000119978158 519460678 /nfs/dbraw/zinc/46/06/78/519460678.db2.gz LLUFQRNFLNMGHZ-UHFFFAOYSA-N 1 2 272.417 3.857 20 0 CHADLO CC(C)[N@H+](C)Cc1nc(C(C)(C)C2=CCCCC2)no1 ZINC000278167844 519630920 /nfs/dbraw/zinc/63/09/20/519630920.db2.gz UPRZFSKDWNKSAS-UHFFFAOYSA-N 1 2 277.412 3.688 20 0 CHADLO CC(C)[N@@H+](C)Cc1nc(C(C)(C)C2=CCCCC2)no1 ZINC000278167844 519630922 /nfs/dbraw/zinc/63/09/22/519630922.db2.gz UPRZFSKDWNKSAS-UHFFFAOYSA-N 1 2 277.412 3.688 20 0 CHADLO CC(C)C[C@@H](C)CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000340501764 519771541 /nfs/dbraw/zinc/77/15/41/519771541.db2.gz ZAWVTJBJXSFZJX-CQSZACIVSA-N 1 2 285.391 3.883 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H]2c3ccccc3O[C@H]2C)s1 ZINC000367967249 519851215 /nfs/dbraw/zinc/85/12/15/519851215.db2.gz DBWOKSBOOCPYFV-MEDUHNTESA-N 1 2 288.416 3.878 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H](c2ccccc2)C(C)C)no1 ZINC000299082619 519863728 /nfs/dbraw/zinc/86/37/28/519863728.db2.gz SEZAOQCJFIAQNB-OAHLLOKOSA-N 1 2 273.380 3.680 20 0 CHADLO CC(C)c1nnc(C[N@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000263798316 519886106 /nfs/dbraw/zinc/88/61/06/519886106.db2.gz SMHZZWYEEVYYRM-UHFFFAOYSA-N 1 2 295.386 3.978 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000263798316 519886107 /nfs/dbraw/zinc/88/61/07/519886107.db2.gz SMHZZWYEEVYYRM-UHFFFAOYSA-N 1 2 295.386 3.978 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2CCC[C@H]2c2ccco2)n1 ZINC000246807260 519907864 /nfs/dbraw/zinc/90/78/64/519907864.db2.gz BIDVFACFTIXRHI-NEPJUHHUSA-N 1 2 275.352 3.684 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2CCC[C@H]2c2ccco2)n1 ZINC000246807260 519907868 /nfs/dbraw/zinc/90/78/68/519907868.db2.gz BIDVFACFTIXRHI-NEPJUHHUSA-N 1 2 275.352 3.684 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000265227916 520001452 /nfs/dbraw/zinc/00/14/52/520001452.db2.gz AWDPPQONPAILQX-OAHLLOKOSA-N 1 2 275.421 3.863 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000265227916 520001461 /nfs/dbraw/zinc/00/14/61/520001461.db2.gz AWDPPQONPAILQX-OAHLLOKOSA-N 1 2 275.421 3.863 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+]Cc3ccc([C@@H]4C[C@@H]4C)o3)nc2c1 ZINC000344741630 534504943 /nfs/dbraw/zinc/50/49/43/534504943.db2.gz ODCGESCQLDXGMK-GXTWGEPZSA-N 1 2 295.386 3.878 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccc([C@@H]4C[C@@H]4C)o3)[nH]c2c1 ZINC000344741630 534504948 /nfs/dbraw/zinc/50/49/48/534504948.db2.gz ODCGESCQLDXGMK-GXTWGEPZSA-N 1 2 295.386 3.878 20 0 CHADLO Fc1ccc2cc[nH+]c(NC[C@@H]3Cc4ccccc4O3)c2c1 ZINC000354578491 534509407 /nfs/dbraw/zinc/50/94/07/534509407.db2.gz LBPHKZOKVQMZPW-HNNXBMFYSA-N 1 2 294.329 3.790 20 0 CHADLO CC1(CCNC(=O)c2cccc(Oc3cc[nH+]cc3)c2)CC1 ZINC000279529785 520700627 /nfs/dbraw/zinc/70/06/27/520700627.db2.gz VWLUEBBQCHIJII-UHFFFAOYSA-N 1 2 296.370 3.794 20 0 CHADLO CCOc1ccc(C[N@H+](Cc2cscn2)C(C)C)cc1 ZINC000264029608 520967642 /nfs/dbraw/zinc/96/76/42/520967642.db2.gz OZWKQQNCHDBRAM-UHFFFAOYSA-N 1 2 290.432 3.952 20 0 CHADLO CCOc1ccc(C[N@@H+](Cc2cscn2)C(C)C)cc1 ZINC000264029608 520967651 /nfs/dbraw/zinc/96/76/51/520967651.db2.gz OZWKQQNCHDBRAM-UHFFFAOYSA-N 1 2 290.432 3.952 20 0 CHADLO CCCCS[C@H](C)C(=O)Nc1cc[nH+]cc1CC ZINC000265002114 520976332 /nfs/dbraw/zinc/97/63/32/520976332.db2.gz FAGLOOFHCMDXPT-LLVKDONJSA-N 1 2 266.410 3.504 20 0 CHADLO Cc1oc2ccccc2c1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000347335732 534553375 /nfs/dbraw/zinc/55/33/75/534553375.db2.gz VADWPXHBPWSSNP-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO CCOc1ccc([C@H]2CCC[N@@H+]2Cc2ncc(C)cn2)cc1 ZINC000340672878 521273261 /nfs/dbraw/zinc/27/32/61/521273261.db2.gz CDIBOBAMLYXZNS-QGZVFWFLSA-N 1 2 297.402 3.521 20 0 CHADLO CCOc1ccc([C@H]2CCC[N@H+]2Cc2ncc(C)cn2)cc1 ZINC000340672878 521273271 /nfs/dbraw/zinc/27/32/71/521273271.db2.gz CDIBOBAMLYXZNS-QGZVFWFLSA-N 1 2 297.402 3.521 20 0 CHADLO CC[N@H+](Cc1cc(C)on1)Cc1ccc(Cl)cc1 ZINC000299410172 521290777 /nfs/dbraw/zinc/29/07/77/521290777.db2.gz ZNORDKJJMSGRPN-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1cc(C)on1)Cc1ccc(Cl)cc1 ZINC000299410172 521290787 /nfs/dbraw/zinc/29/07/87/521290787.db2.gz ZNORDKJJMSGRPN-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ncc(C2CC2)o1 ZINC000340658315 521409990 /nfs/dbraw/zinc/40/99/90/521409990.db2.gz TYLSWSNWNMDWSG-UHFFFAOYSA-N 1 2 262.378 3.636 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ncc(C2CC2)o1 ZINC000340658315 521409996 /nfs/dbraw/zinc/40/99/96/521409996.db2.gz TYLSWSNWNMDWSG-UHFFFAOYSA-N 1 2 262.378 3.636 20 0 CHADLO CC[N@H+](Cc1ncc(C)cn1)[C@H](C)c1ccc(F)cc1 ZINC000340674573 521460399 /nfs/dbraw/zinc/46/03/99/521460399.db2.gz IIRCNUUMSYIFFQ-CYBMUJFWSA-N 1 2 273.355 3.507 20 0 CHADLO CC[N@@H+](Cc1ncc(C)cn1)[C@H](C)c1ccc(F)cc1 ZINC000340674573 521460402 /nfs/dbraw/zinc/46/04/02/521460402.db2.gz IIRCNUUMSYIFFQ-CYBMUJFWSA-N 1 2 273.355 3.507 20 0 CHADLO CCCc1nc(C[N@H+](C)[C@@H](C)c2cccnc2)cs1 ZINC000127772370 521505107 /nfs/dbraw/zinc/50/51/07/521505107.db2.gz VUJKODKEAJHTAN-LBPRGKRZSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1nc(C[N@@H+](C)[C@@H](C)c2cccnc2)cs1 ZINC000127772370 521505111 /nfs/dbraw/zinc/50/51/11/521505111.db2.gz VUJKODKEAJHTAN-LBPRGKRZSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1nc(C[NH2+]C(C)(C)c2ccc(Cl)cc2)no1 ZINC000103481986 521514441 /nfs/dbraw/zinc/51/44/41/521514441.db2.gz WRBZCXYWOFFJIJ-UHFFFAOYSA-N 1 2 293.798 3.700 20 0 CHADLO CCCc1noc(C[NH2+][C@H](c2ccccc2)C(C)(C)C)n1 ZINC000072579613 521585856 /nfs/dbraw/zinc/58/58/56/521585856.db2.gz XMSFGNQBTNMLFP-MRXNPFEDSA-N 1 2 287.407 3.899 20 0 CHADLO CCC[N@H+](Cc1ccon1)[C@@H](C)c1ccccc1OC ZINC000265851687 521691427 /nfs/dbraw/zinc/69/14/27/521691427.db2.gz PYAZOKLUQSOING-ZDUSSCGKSA-N 1 2 274.364 3.656 20 0 CHADLO CCC[N@@H+](Cc1ccon1)[C@@H](C)c1ccccc1OC ZINC000265851687 521691429 /nfs/dbraw/zinc/69/14/29/521691429.db2.gz PYAZOKLUQSOING-ZDUSSCGKSA-N 1 2 274.364 3.656 20 0 CHADLO CCC[N@H+](Cc1ccon1)[C@H](C)c1ccccc1OC ZINC000265851686 521692185 /nfs/dbraw/zinc/69/21/85/521692185.db2.gz PYAZOKLUQSOING-CYBMUJFWSA-N 1 2 274.364 3.656 20 0 CHADLO CCC[N@@H+](Cc1ccon1)[C@H](C)c1ccccc1OC ZINC000265851686 521692187 /nfs/dbraw/zinc/69/21/87/521692187.db2.gz PYAZOKLUQSOING-CYBMUJFWSA-N 1 2 274.364 3.656 20 0 CHADLO CCC[N@H+](Cc1noc(C)n1)[C@@H](CC)c1ccccc1 ZINC000299404016 521696680 /nfs/dbraw/zinc/69/66/80/521696680.db2.gz MXMBPFJADIKTQG-HNNXBMFYSA-N 1 2 273.380 3.741 20 0 CHADLO CCC[N@@H+](Cc1noc(C)n1)[C@@H](CC)c1ccccc1 ZINC000299404016 521696683 /nfs/dbraw/zinc/69/66/83/521696683.db2.gz MXMBPFJADIKTQG-HNNXBMFYSA-N 1 2 273.380 3.741 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2cc(F)ccc2C)[nH]1 ZINC000277058074 522051756 /nfs/dbraw/zinc/05/17/56/522051756.db2.gz BHDAPYZSAXDYBQ-JQWIXIFHSA-N 1 2 290.386 3.617 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@@H](C)c1ccccc1 ZINC000265002072 522078524 /nfs/dbraw/zinc/07/85/24/522078524.db2.gz DWYSYXSOWDRZAO-CYBMUJFWSA-N 1 2 268.360 3.776 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C1(c2cccc(C)c2)CC1 ZINC000265253058 522079549 /nfs/dbraw/zinc/07/95/49/522079549.db2.gz UFKLAUQVIHYBLW-UHFFFAOYSA-N 1 2 280.371 3.623 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1ccc(OC)c(C2CC2)c1 ZINC000277758524 522081488 /nfs/dbraw/zinc/08/14/88/522081488.db2.gz ZVXCJPUJIMODMF-UHFFFAOYSA-N 1 2 296.370 3.782 20 0 CHADLO CCc1nc(C(C)C)ccc1C[NH2+][C@@H](C)c1ccon1 ZINC000339090907 522183346 /nfs/dbraw/zinc/18/33/46/522183346.db2.gz YKUOMRVFIZEZPW-LBPRGKRZSA-N 1 2 273.380 3.606 20 0 CHADLO CCc1nc(C2([NH2+]Cc3ccc(C)cc3C)CCCC2)no1 ZINC000237027621 522244770 /nfs/dbraw/zinc/24/47/70/522244770.db2.gz BNUIYNLTIUAKNO-UHFFFAOYSA-N 1 2 299.418 3.808 20 0 CHADLO COc1cc(C)ccc1C[NH2+]Cc1cccc(O)c1Cl ZINC000292761938 522260670 /nfs/dbraw/zinc/26/06/70/522260670.db2.gz AACIAZMGPULGRS-UHFFFAOYSA-N 1 2 291.778 3.652 20 0 CHADLO CCc1nnc(C[NH2+]Cc2ccc3ccccc3c2)s1 ZINC000289809821 522740293 /nfs/dbraw/zinc/74/02/93/522740293.db2.gz MVVLULZZZPQJFQ-UHFFFAOYSA-N 1 2 283.400 3.544 20 0 CHADLO CC[C@H](Nc1cc(C)[nH+]c(C2CC2)n1)c1ccncc1 ZINC000266231188 522764099 /nfs/dbraw/zinc/76/40/99/522764099.db2.gz JLRBNELAAQJDIH-AWEZNQCLSA-N 1 2 268.364 3.621 20 0 CHADLO CN(CCCn1cc[nH+]c1)c1nc(-c2ccccc2)cs1 ZINC000268962462 522805125 /nfs/dbraw/zinc/80/51/25/522805125.db2.gz LMYHOOQEZLGQTI-UHFFFAOYSA-N 1 2 298.415 3.533 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2nc(C)sc2C)C2CC2)s1 ZINC000347959597 534664524 /nfs/dbraw/zinc/66/45/24/534664524.db2.gz LWKNENUMLLHACU-CYBMUJFWSA-N 1 2 293.461 3.766 20 0 CHADLO COCc1cc(N2CCC=C(F)C2)c2cc(F)ccc2[nH+]1 ZINC000290926478 522928132 /nfs/dbraw/zinc/92/81/32/522928132.db2.gz IYPRGKSIOSZTDW-UHFFFAOYSA-N 1 2 290.313 3.584 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccccc1Cl ZINC000293521842 522930882 /nfs/dbraw/zinc/93/08/82/522930882.db2.gz WBGXAVRBHZMFTH-UHFFFAOYSA-N 1 2 264.756 3.522 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccccc1Cl ZINC000293521842 522930891 /nfs/dbraw/zinc/93/08/91/522930891.db2.gz WBGXAVRBHZMFTH-UHFFFAOYSA-N 1 2 264.756 3.522 20 0 CHADLO COCc1ccc(C[N@@H+]2CCC[C@@H]2c2csc(C)n2)o1 ZINC000275107534 522971942 /nfs/dbraw/zinc/97/19/42/522971942.db2.gz BIBMQRCRBUEJNU-OAHLLOKOSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1ccc(C[N@H+]2CCC[C@@H]2c2csc(C)n2)o1 ZINC000275107534 522971948 /nfs/dbraw/zinc/97/19/48/522971948.db2.gz BIBMQRCRBUEJNU-OAHLLOKOSA-N 1 2 292.404 3.528 20 0 CHADLO CC[C@H]1CCCCCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000155686292 522994092 /nfs/dbraw/zinc/99/40/92/522994092.db2.gz PNBBLQBXJLTPTQ-INIZCTEOSA-N 1 2 297.402 3.667 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)N1CCn2c(C)c(C)[nH+]c2C1 ZINC000364322228 523091013 /nfs/dbraw/zinc/09/10/13/523091013.db2.gz LUBHKRIPZFBVTJ-INIZCTEOSA-N 1 2 287.382 3.606 20 0 CHADLO COCCCCC[N@@H+](Cc1ccccc1)CC(F)F ZINC000081575276 523110299 /nfs/dbraw/zinc/11/02/99/523110299.db2.gz RMAPHLUZVIMTKW-UHFFFAOYSA-N 1 2 271.351 3.570 20 0 CHADLO COCCCCC[N@H+](Cc1ccccc1)CC(F)F ZINC000081575276 523110304 /nfs/dbraw/zinc/11/03/04/523110304.db2.gz RMAPHLUZVIMTKW-UHFFFAOYSA-N 1 2 271.351 3.570 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@H](C)c2ccc(F)cc2F)n1 ZINC000347390371 534703854 /nfs/dbraw/zinc/70/38/54/534703854.db2.gz WDMRPQVCICYVMB-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@H](C)c2ccc(F)cc2F)n1 ZINC000347390371 534703859 /nfs/dbraw/zinc/70/38/59/534703859.db2.gz WDMRPQVCICYVMB-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccn(C(C)C)n1)c1nc(C)cs1 ZINC000072864946 523487674 /nfs/dbraw/zinc/48/76/74/523487674.db2.gz BCOJJKXKGBVHEL-HNNXBMFYSA-N 1 2 292.452 3.644 20 0 CHADLO CCn1cc[nH+]c1CNc1cccc(SC)c1C ZINC000340947922 523542505 /nfs/dbraw/zinc/54/25/05/523542505.db2.gz JFJCNTQKCYXJKY-UHFFFAOYSA-N 1 2 261.394 3.545 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccn(C(C)C)n1)c1nc(C)cs1 ZINC000072864948 523661868 /nfs/dbraw/zinc/66/18/68/523661868.db2.gz BCOJJKXKGBVHEL-OAHLLOKOSA-N 1 2 292.452 3.644 20 0 CHADLO CCc1cc(OC)ccc1CNc1c[nH+]cc(C)c1 ZINC000337290665 523841347 /nfs/dbraw/zinc/84/13/47/523841347.db2.gz MGDNPVXCVGFXMF-UHFFFAOYSA-N 1 2 256.349 3.573 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ncc(C)s2)cc1 ZINC000357290334 523920558 /nfs/dbraw/zinc/92/05/58/523920558.db2.gz CRDWTGVVQUCURW-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ncc(C)s2)cc1 ZINC000357290334 523920562 /nfs/dbraw/zinc/92/05/62/523920562.db2.gz CRDWTGVVQUCURW-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2csnn2)o1 ZINC000129037802 524034473 /nfs/dbraw/zinc/03/44/73/524034473.db2.gz MAVRDTJVBIKWNU-AWEZNQCLSA-N 1 2 291.420 3.811 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2csnn2)o1 ZINC000129037802 524034476 /nfs/dbraw/zinc/03/44/76/524034476.db2.gz MAVRDTJVBIKWNU-AWEZNQCLSA-N 1 2 291.420 3.811 20 0 CHADLO Cc1ccc2oc(C(=O)N[C@@H](c3[nH]cc[nH+]3)C(C)C)cc2c1 ZINC000279598639 524091869 /nfs/dbraw/zinc/09/18/69/524091869.db2.gz GCJYJZJOFZGXIR-OAHLLOKOSA-N 1 2 297.358 3.591 20 0 CHADLO Cc1ccc(C)c(NC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000037215945 524158687 /nfs/dbraw/zinc/15/86/87/524158687.db2.gz USHUXTZWYPBZAR-UHFFFAOYSA-N 1 2 281.403 3.779 20 0 CHADLO COc1cccc2c(N[C@@H]3CCO[C@H](C4CC4)C3)cc[nH+]c12 ZINC000275840859 524201236 /nfs/dbraw/zinc/20/12/36/524201236.db2.gz PSVXGMVCWZZPQM-DYVFJYSZSA-N 1 2 298.386 3.613 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1cncc(F)c1 ZINC000275177222 524206208 /nfs/dbraw/zinc/20/62/08/524206208.db2.gz ZJDGAWIZDNWPJE-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1cncc(F)c1 ZINC000275177222 524206219 /nfs/dbraw/zinc/20/62/19/524206219.db2.gz ZJDGAWIZDNWPJE-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1ccc(C2CCN(c3nc4ccccc4o3)CC2)c[nH+]1 ZINC000363674431 524242879 /nfs/dbraw/zinc/24/28/79/524242879.db2.gz RJKPDSCYHCJWHQ-UHFFFAOYSA-N 1 2 293.370 3.915 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC000354545314 524326304 /nfs/dbraw/zinc/32/63/04/524326304.db2.gz NGYGZADIRMZSIQ-STQMWFEESA-N 1 2 285.391 3.976 20 0 CHADLO C[C@H]1CCSCC[N@@H+]1Cc1ccnc(Cl)c1Cl ZINC000291587263 524328150 /nfs/dbraw/zinc/32/81/50/524328150.db2.gz PKYUODKQWUGTRW-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CCSCC[N@H+]1Cc1ccnc(Cl)c1Cl ZINC000291587263 524328165 /nfs/dbraw/zinc/32/81/65/524328165.db2.gz PKYUODKQWUGTRW-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+][C@H](C)c1cscn1 ZINC000162368932 524334763 /nfs/dbraw/zinc/33/47/63/524334763.db2.gz GXTUZXHQHMRSPJ-VHSXEESVSA-N 1 2 280.368 3.703 20 0 CHADLO Cc1ccc(CC[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)cc1 ZINC000292185034 524335485 /nfs/dbraw/zinc/33/54/85/524335485.db2.gz OTGTUXYTUAVCNT-QGZVFWFLSA-N 1 2 298.434 3.567 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(-c3ccsc3)no2)cc1 ZINC000061779261 524396249 /nfs/dbraw/zinc/39/62/49/524396249.db2.gz HKUXMDZUSYSTOM-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(-c3ccsc3)no2)cc1 ZINC000061779261 524396255 /nfs/dbraw/zinc/39/62/55/524396255.db2.gz HKUXMDZUSYSTOM-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO C[C@@H](C[N@H+](C)Cc1noc(C(C)(C)C)n1)c1ccccc1 ZINC000263863107 524577655 /nfs/dbraw/zinc/57/76/55/524577655.db2.gz AMEQNQNMFKGNHM-ZDUSSCGKSA-N 1 2 287.407 3.603 20 0 CHADLO C[C@@H](C[N@@H+](C)Cc1noc(C(C)(C)C)n1)c1ccccc1 ZINC000263863107 524577663 /nfs/dbraw/zinc/57/76/63/524577663.db2.gz AMEQNQNMFKGNHM-ZDUSSCGKSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2nnc[nH]2)C2CCCCC2)cc1 ZINC000293322040 524606934 /nfs/dbraw/zinc/60/69/34/524606934.db2.gz IQWMPKFTWVRFTI-INIZCTEOSA-N 1 2 284.407 3.524 20 0 CHADLO Cc1ccc(CNc2ccc(-n3cc[nH+]c3)c(F)c2)o1 ZINC000121346851 524615973 /nfs/dbraw/zinc/61/59/73/524615973.db2.gz UEWLRLXXAYNGHM-UHFFFAOYSA-N 1 2 271.295 3.525 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(Cl)c2Cl)ncn1 ZINC000292237806 524664279 /nfs/dbraw/zinc/66/42/79/524664279.db2.gz SIVRVPHOYJTHTP-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(Cl)c2Cl)ncn1 ZINC000292237806 524664293 /nfs/dbraw/zinc/66/42/93/524664293.db2.gz SIVRVPHOYJTHTP-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000044692463 524765422 /nfs/dbraw/zinc/76/54/22/524765422.db2.gz UOJIWJSNTXEXGO-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO COc1cc[nH+]cc1COc1c(C)cccc1Cl ZINC000341361698 524862493 /nfs/dbraw/zinc/86/24/93/524862493.db2.gz PQHVQTAUBGVFTR-UHFFFAOYSA-N 1 2 263.724 3.631 20 0 CHADLO Cc1cccc2nc(C[NH2+]Cc3ccc([C@H]4C[C@@H]4C)o3)cn21 ZINC000068996791 524988024 /nfs/dbraw/zinc/98/80/24/524988024.db2.gz XOQXSNBHIFSOTA-LRDDRELGSA-N 1 2 295.386 3.649 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(C(C)(C)C)no2)[C@@H]1c1ccccc1 ZINC000081573624 525032069 /nfs/dbraw/zinc/03/20/69/525032069.db2.gz MUXHVODJPVFBHI-DOMZBBRYSA-N 1 2 285.391 3.560 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(C(C)(C)C)no2)[C@@H]1c1ccccc1 ZINC000081573624 525032081 /nfs/dbraw/zinc/03/20/81/525032081.db2.gz MUXHVODJPVFBHI-DOMZBBRYSA-N 1 2 285.391 3.560 20 0 CHADLO Cc1ccc(NC(=O)N2CC(C)(C)[C@H]2C(C)C)c(C)[nH+]1 ZINC000292574711 525118397 /nfs/dbraw/zinc/11/83/97/525118397.db2.gz BXJFDKPHCQTBOB-CQSZACIVSA-N 1 2 275.396 3.597 20 0 CHADLO C[C@@H]1CSC(SCc2ccc(-n3cc[nH+]c3)cc2)=N1 ZINC000276550502 525165248 /nfs/dbraw/zinc/16/52/48/525165248.db2.gz IYAGHLLUVTWOGU-LLVKDONJSA-N 1 2 289.429 3.597 20 0 CHADLO Cc1cc(NC(=O)N2CC[C@H](C)C[C@@H]2c2ccco2)cc[nH+]1 ZINC000120468744 525181326 /nfs/dbraw/zinc/18/13/26/525181326.db2.gz YWFCWRJDZNBUIY-SWLSCSKDSA-N 1 2 299.374 3.988 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)cc1F ZINC000117565830 525242631 /nfs/dbraw/zinc/24/26/31/525242631.db2.gz NERZYRUHQJTCBW-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)c(C)c1 ZINC000117560874 525243527 /nfs/dbraw/zinc/24/35/27/525243527.db2.gz ZHJHFMQPIZSNLZ-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1cc(NCc2cccc3cccnc32)nc(C2CC2)[nH+]1 ZINC000265397130 525279762 /nfs/dbraw/zinc/27/97/62/525279762.db2.gz WURDCEZDGUCKBA-UHFFFAOYSA-N 1 2 290.370 3.823 20 0 CHADLO Cc1cc(N[C@@H](C)[C@H]2CCOC2)c2cccc(F)c2[nH+]1 ZINC000278718541 525292748 /nfs/dbraw/zinc/29/27/48/525292748.db2.gz JYOBQGZQLIGBGB-RYUDHWBXSA-N 1 2 274.339 3.519 20 0 CHADLO Cc1cc(N[C@@H](c2ccc(F)cc2)C(C)C)nc[nH+]1 ZINC000336927237 525296296 /nfs/dbraw/zinc/29/62/96/525296296.db2.gz XXCQURWTAZGAIQ-OAHLLOKOSA-N 1 2 259.328 3.733 20 0 CHADLO Cc1cc(N[C@H](C)[C@H]2CCOC2)c2cccc(F)c2[nH+]1 ZINC000278718525 525300976 /nfs/dbraw/zinc/30/09/76/525300976.db2.gz JYOBQGZQLIGBGB-NEPJUHHUSA-N 1 2 274.339 3.519 20 0 CHADLO C[C@H]([NH2+]CCF)c1csc(-c2ccccc2F)n1 ZINC000291168865 525346868 /nfs/dbraw/zinc/34/68/68/525346868.db2.gz JSBKVRFAAGWFBL-VIFPVBQESA-N 1 2 268.332 3.569 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC000276778393 525348934 /nfs/dbraw/zinc/34/89/34/525348934.db2.gz QAKOWZFJIZQUPR-CQSZACIVSA-N 1 2 286.423 3.535 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC000276778393 525348944 /nfs/dbraw/zinc/34/89/44/525348944.db2.gz QAKOWZFJIZQUPR-CQSZACIVSA-N 1 2 286.423 3.535 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2CCSc3ccccc32)no1 ZINC000282680293 525532223 /nfs/dbraw/zinc/53/22/23/525532223.db2.gz JQZLXOJSRQIZSG-AAEUAGOBSA-N 1 2 274.389 3.871 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc2ccccc2c1)c1c(F)cncc1F ZINC000353115553 525551330 /nfs/dbraw/zinc/55/13/30/525551330.db2.gz YHIYKMZIXBFCTN-LLVKDONJSA-N 1 2 299.324 3.759 20 0 CHADLO COc1ccc2c(c1F)CC[C@@H]2Nc1ccc(C)[nH+]c1 ZINC000289849498 525608764 /nfs/dbraw/zinc/60/87/64/525608764.db2.gz BEVRFPBJPWLAOH-AWEZNQCLSA-N 1 2 272.323 3.637 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1c(F)cccc1F ZINC000070984430 525623554 /nfs/dbraw/zinc/62/35/54/525623554.db2.gz SLBKZBAPEYNSMO-BDAKNGLRSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@H]([NH2+][C@H]1CCOc2c(Cl)cccc21)c1cscn1 ZINC000271175348 525626492 /nfs/dbraw/zinc/62/64/92/525626492.db2.gz VAHFAZPLABYFDJ-CABZTGNLSA-N 1 2 294.807 3.971 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccc(F)cc2O[C@H]1C)c1ccncc1F ZINC000340388997 525638316 /nfs/dbraw/zinc/63/83/16/525638316.db2.gz GHXMSTBQSSBBQJ-XQJJYNOISA-N 1 2 290.313 3.533 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CCc2cc(F)ccc21 ZINC000287435921 525638772 /nfs/dbraw/zinc/63/87/72/525638772.db2.gz GWSIOSYJSPLJAB-OAHLLOKOSA-N 1 2 299.349 3.647 20 0 CHADLO Cc1cc2cc([C@@H](C)[NH2+][C@@H](C)c3ncnn3C)oc2cc1C ZINC000276562451 525649086 /nfs/dbraw/zinc/64/90/86/525649086.db2.gz GIKWWQGCYFYTBR-OLZOCXBDSA-N 1 2 298.390 3.590 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N(C)CCc1nccs1 ZINC000157837015 525656253 /nfs/dbraw/zinc/65/62/53/525656253.db2.gz DGLGCNCVXFLYPA-UHFFFAOYSA-N 1 2 283.400 3.679 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC[C@H]1CCCCO1 ZINC000227315392 525657127 /nfs/dbraw/zinc/65/71/27/525657127.db2.gz HPCSRFGUIZAYHA-OAHLLOKOSA-N 1 2 270.376 3.914 20 0 CHADLO Cc1cc2[nH+]cn(CC(=O)c3ccncc3Cl)c2cc1C ZINC000340702900 525683975 /nfs/dbraw/zinc/68/39/75/525683975.db2.gz GSSPWIXVBYUSQH-UHFFFAOYSA-N 1 2 299.761 3.584 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccnc(Cl)c1Cl ZINC000290399583 525733436 /nfs/dbraw/zinc/73/34/36/525733436.db2.gz KFAFTICNNZLTRC-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccnc(Cl)c1Cl ZINC000290399583 525733442 /nfs/dbraw/zinc/73/34/42/525733442.db2.gz KFAFTICNNZLTRC-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ccn(C3CCCC3)n2)o1 ZINC000069992981 525734892 /nfs/dbraw/zinc/73/48/92/525734892.db2.gz AYSPBGFFRRODCS-CYBMUJFWSA-N 1 2 273.380 3.750 20 0 CHADLO CSCc1cnc(C[NH2+][C@@H](C)c2cccc(O)c2)s1 ZINC000293197805 525754519 /nfs/dbraw/zinc/75/45/19/525754519.db2.gz IKIUFKYWJKBXIF-JTQLQIEISA-N 1 2 294.445 3.563 20 0 CHADLO Cc1cc[nH+]cc1NCc1ncc(C(C)(C)C)s1 ZINC000279174879 525765142 /nfs/dbraw/zinc/76/51/42/525765142.db2.gz RDBYVDQHBPBFIS-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO Cc1ccoc1C[N@@H+](C)[C@H](C)c1nc(-c2ccccc2)no1 ZINC000292136309 525795623 /nfs/dbraw/zinc/79/56/23/525795623.db2.gz IVFSZCWJEIDQDS-CYBMUJFWSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccoc1C[N@H+](C)[C@H](C)c1nc(-c2ccccc2)no1 ZINC000292136309 525795632 /nfs/dbraw/zinc/79/56/32/525795632.db2.gz IVFSZCWJEIDQDS-CYBMUJFWSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000292136361 525796868 /nfs/dbraw/zinc/79/68/68/525796868.db2.gz IVOHCOYPVOIFCQ-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000292136361 525796875 /nfs/dbraw/zinc/79/68/75/525796875.db2.gz IVOHCOYPVOIFCQ-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1csc(C2CC2)n1 ZINC000292071956 525796967 /nfs/dbraw/zinc/79/69/67/525796967.db2.gz COHHUXOKZJMMFK-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1csc(C2CC2)n1 ZINC000292071956 525796972 /nfs/dbraw/zinc/79/69/72/525796972.db2.gz COHHUXOKZJMMFK-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO Cc1cn2cc(NC(=O)C3CCCCCC3)ccc2[nH+]1 ZINC000339271136 525949060 /nfs/dbraw/zinc/94/90/60/525949060.db2.gz AHIYLVYHFHAKIX-UHFFFAOYSA-N 1 2 271.364 3.552 20 0 CHADLO Cc1cn2cc(NC(=O)[C@@H]3CCCC(C)(C)C3)ccc2[nH+]1 ZINC000339202415 525951070 /nfs/dbraw/zinc/95/10/70/525951070.db2.gz SUPORRLHXPOKSS-CYBMUJFWSA-N 1 2 285.391 3.798 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2ccccc2)[nH+]1 ZINC000366355569 525962842 /nfs/dbraw/zinc/96/28/42/525962842.db2.gz NLAGPYVZSSMKQL-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2cc(Cl)cc(Cl)c2)nc1 ZINC000340660913 526006421 /nfs/dbraw/zinc/00/64/21/526006421.db2.gz IGWXARIHJSKVND-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2cc(Cl)cc(Cl)c2)nc1 ZINC000340660913 526006433 /nfs/dbraw/zinc/00/64/33/526006433.db2.gz IGWXARIHJSKVND-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)nc1 ZINC000340673343 526008672 /nfs/dbraw/zinc/00/86/72/526008672.db2.gz GRUZMNSISVIBOG-ZDUSSCGKSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)nc1 ZINC000340673343 526008677 /nfs/dbraw/zinc/00/86/77/526008677.db2.gz GRUZMNSISVIBOG-ZDUSSCGKSA-N 1 2 281.359 3.724 20 0 CHADLO CSc1ccc(CSCc2c[nH+]cn2C)cc1 ZINC000279913965 526009600 /nfs/dbraw/zinc/00/96/00/526009600.db2.gz KECQZKSUQWSGHM-UHFFFAOYSA-N 1 2 264.419 3.575 20 0 CHADLO CSc1ccc(Cl)cc1N[C@@H]1CCn2cc[nH+]c21 ZINC000296573797 526015555 /nfs/dbraw/zinc/01/55/55/526015555.db2.gz VIVPPRGYSHIHLQ-SNVBAGLBSA-N 1 2 279.796 3.815 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000290358588 526018619 /nfs/dbraw/zinc/01/86/19/526018619.db2.gz GLVFLGSKYRYAFN-IAQYHMDHSA-N 1 2 285.391 3.599 20 0 CHADLO Cc1c[nH]nc1C[N@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000290358588 526018629 /nfs/dbraw/zinc/01/86/29/526018629.db2.gz GLVFLGSKYRYAFN-IAQYHMDHSA-N 1 2 285.391 3.599 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000290358590 526019113 /nfs/dbraw/zinc/01/91/13/526019113.db2.gz GLVFLGSKYRYAFN-NHYWBVRUSA-N 1 2 285.391 3.599 20 0 CHADLO Cc1c[nH]nc1C[N@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000290358590 526019122 /nfs/dbraw/zinc/01/91/22/526019122.db2.gz GLVFLGSKYRYAFN-NHYWBVRUSA-N 1 2 285.391 3.599 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)CCOCC(F)(F)F ZINC000357523995 526027159 /nfs/dbraw/zinc/02/71/59/526027159.db2.gz ACNJLIWKDFQNTE-VIFPVBQESA-N 1 2 297.267 3.537 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)CCOCC(F)(F)F ZINC000357523995 526027174 /nfs/dbraw/zinc/02/71/74/526027174.db2.gz ACNJLIWKDFQNTE-VIFPVBQESA-N 1 2 297.267 3.537 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2cc(C)on2)o1 ZINC000076120859 526032887 /nfs/dbraw/zinc/03/28/87/526032887.db2.gz JPEOXYALFZIJFY-XHDPSFHLSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2cc(C)on2)o1 ZINC000076120859 526032895 /nfs/dbraw/zinc/03/28/95/526032895.db2.gz JPEOXYALFZIJFY-XHDPSFHLSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc(Cl)cc2Cl)nc1 ZINC000340689966 526044833 /nfs/dbraw/zinc/04/48/33/526044833.db2.gz CKKIGENOMPZJNY-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO C[C@@H](c1ccc(F)c(Cl)c1)[N@H+](C)Cc1ccon1 ZINC000265824165 526047191 /nfs/dbraw/zinc/04/71/91/526047191.db2.gz SKPNGSLBAQIECA-VIFPVBQESA-N 1 2 268.719 3.660 20 0 CHADLO C[C@@H](c1ccc(F)c(Cl)c1)[N@@H+](C)Cc1ccon1 ZINC000265824165 526047198 /nfs/dbraw/zinc/04/71/98/526047198.db2.gz SKPNGSLBAQIECA-VIFPVBQESA-N 1 2 268.719 3.660 20 0 CHADLO COc1cccc(Cl)c1C[NH+]1CC2(CCC2(F)F)C1 ZINC000356520561 526097094 /nfs/dbraw/zinc/09/70/94/526097094.db2.gz GQPRMZCCSCYDNK-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1cc(-c2cccs2)on1 ZINC000127792991 526119078 /nfs/dbraw/zinc/11/90/78/526119078.db2.gz OUHWMSRUEKIQLZ-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1cc(-c2cccs2)on1 ZINC000127792991 526119085 /nfs/dbraw/zinc/11/90/85/526119085.db2.gz OUHWMSRUEKIQLZ-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1csc(-c2ccco2)n1 ZINC000127754276 526120849 /nfs/dbraw/zinc/12/08/49/526120849.db2.gz GZDUCZAHHVJQJQ-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1csc(-c2ccco2)n1 ZINC000127754276 526120857 /nfs/dbraw/zinc/12/08/57/526120857.db2.gz GZDUCZAHHVJQJQ-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1cccnc1Cl ZINC000052709620 526145889 /nfs/dbraw/zinc/14/58/89/526145889.db2.gz YNFFHVNRPSYVSK-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1cccnc1Cl ZINC000052709620 526145902 /nfs/dbraw/zinc/14/59/02/526145902.db2.gz YNFFHVNRPSYVSK-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1cccs1)c1noc(C[NH+]2CCC(C)CC2)n1 ZINC000276925782 526147404 /nfs/dbraw/zinc/14/74/04/526147404.db2.gz NEBATGHDRMAZPS-LBPRGKRZSA-N 1 2 291.420 3.515 20 0 CHADLO CSc1ccccc1C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000339267596 526153073 /nfs/dbraw/zinc/15/30/73/526153073.db2.gz HODBIUFIIJHDIK-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO CSc1ccccc1[C@@H](C)Nc1cc[nH+]c(C2CC2)n1 ZINC000336933958 526181126 /nfs/dbraw/zinc/18/11/26/526181126.db2.gz CEZOLTMATNLQSR-LLVKDONJSA-N 1 2 285.416 3.671 20 0 CHADLO CSc1ccccc1[C@@H](C)Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000336941009 526181284 /nfs/dbraw/zinc/18/12/84/526181284.db2.gz YBHWGCYQUPPCMU-SNVBAGLBSA-N 1 2 298.415 3.583 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000366340888 526182176 /nfs/dbraw/zinc/18/21/76/526182176.db2.gz JIAYELIIHKRSPI-DYEKYZERSA-N 1 2 292.423 3.532 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000366340888 526182184 /nfs/dbraw/zinc/18/21/84/526182184.db2.gz JIAYELIIHKRSPI-DYEKYZERSA-N 1 2 292.423 3.532 20 0 CHADLO CSc1ccccc1[C@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC000336915615 526187279 /nfs/dbraw/zinc/18/72/79/526187279.db2.gz BGSWXQRCIZANDA-LBPRGKRZSA-N 1 2 299.443 3.979 20 0 CHADLO Cc1c[nH+]cc(NCc2conc2Cc2ccccc2)c1 ZINC000339320755 526210515 /nfs/dbraw/zinc/21/05/15/526210515.db2.gz KWKNLJYYSLVWCD-UHFFFAOYSA-N 1 2 279.343 3.581 20 0 CHADLO Cc1cnccc1SCc1[nH+]ccn1Cc1ccccc1 ZINC000338620265 526244362 /nfs/dbraw/zinc/24/43/62/526244362.db2.gz IEVBYCWXZYEEQD-UHFFFAOYSA-N 1 2 295.411 3.927 20 0 CHADLO Cc1ccc2[nH+]c(CSc3ccncc3C)cn2c1 ZINC000338633357 526251228 /nfs/dbraw/zinc/25/12/28/526251228.db2.gz KWNDIZKBBXWUTH-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000279374676 526252225 /nfs/dbraw/zinc/25/22/25/526252225.db2.gz JJVZQOUTKUJIBS-QMMMGPOBSA-N 1 2 264.316 3.536 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000279374676 526252227 /nfs/dbraw/zinc/25/22/27/526252227.db2.gz JJVZQOUTKUJIBS-QMMMGPOBSA-N 1 2 264.316 3.536 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1cc(F)cc(C(F)(F)F)c1 ZINC000354518338 526287112 /nfs/dbraw/zinc/28/71/12/526287112.db2.gz AHQVMDNWEPRRRH-LBPRGKRZSA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1cc(F)cc(C(F)(F)F)c1 ZINC000354518338 526287120 /nfs/dbraw/zinc/28/71/20/526287120.db2.gz AHQVMDNWEPRRRH-LBPRGKRZSA-N 1 2 279.252 3.778 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ccccc3F)CCC2)n1 ZINC000299665530 526326974 /nfs/dbraw/zinc/32/69/74/526326974.db2.gz YTDVTMDDMYIJPJ-UHFFFAOYSA-N 1 2 276.380 3.760 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@H](C)c2cc(C)ccc2C)n1C1CC1 ZINC000340879220 526333305 /nfs/dbraw/zinc/33/33/05/526333305.db2.gz UDAORACJSCGUCT-CQSZACIVSA-N 1 2 298.434 3.731 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@H](C)c2cc(C)ccc2C)n1C1CC1 ZINC000340879220 526333313 /nfs/dbraw/zinc/33/33/13/526333313.db2.gz UDAORACJSCGUCT-CQSZACIVSA-N 1 2 298.434 3.731 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2cc[nH]n2)c(Cl)c1 ZINC000278780317 526333508 /nfs/dbraw/zinc/33/35/08/526333508.db2.gz ZJBCJCVADZZTAD-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2cc[nH]n2)c(Cl)c1 ZINC000278780317 526333514 /nfs/dbraw/zinc/33/35/14/526333514.db2.gz ZJBCJCVADZZTAD-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)nc1 ZINC000364897602 526334165 /nfs/dbraw/zinc/33/41/65/526334165.db2.gz TXZWEUJEEGUVRS-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)nc1 ZINC000364897602 526334168 /nfs/dbraw/zinc/33/41/68/526334168.db2.gz TXZWEUJEEGUVRS-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc([C@H]([NH2+][C@@H]2CCC[C@H]2F)c2ccccn2)cc1 ZINC000340523975 526366196 /nfs/dbraw/zinc/36/61/96/526366196.db2.gz JIJDWRPMVMLKOP-INMHGKMJSA-N 1 2 288.341 3.790 20 0 CHADLO c1c[nH+]c(COCc2nc(C3CCCCC3)cs2)[nH]1 ZINC000277472822 526406790 /nfs/dbraw/zinc/40/67/90/526406790.db2.gz AZUQELFTSBKPEO-UHFFFAOYSA-N 1 2 277.393 3.631 20 0 CHADLO c1cc2[nH+]ccc(NCc3ccc4c(n3)CCCC4)c2cn1 ZINC000278118432 526450831 /nfs/dbraw/zinc/45/08/31/526450831.db2.gz YAYOKUZLKAYSNX-UHFFFAOYSA-N 1 2 290.370 3.516 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](c1ccc(C)cc1)C1CCC1 ZINC000275274094 526556199 /nfs/dbraw/zinc/55/61/99/526556199.db2.gz CNOGYTSJWXPRRB-KRWDZBQOSA-N 1 2 269.392 3.658 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CCCc2c3cc(C)ccc3[nH]c21 ZINC000290418402 526556765 /nfs/dbraw/zinc/55/67/65/526556765.db2.gz UQENYALJBVZPNG-KRWDZBQOSA-N 1 2 294.402 3.675 20 0 CHADLO c1csc([C@H]2CCCN2c2cc[nH+]c(C3CC3)n2)c1 ZINC000110114295 526589515 /nfs/dbraw/zinc/58/95/15/526589515.db2.gz ZOPURIJJYAHFBH-GFCCVEGCSA-N 1 2 271.389 3.757 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2ccc3ccccc3c2)no1 ZINC000264260719 526664159 /nfs/dbraw/zinc/66/41/59/526664159.db2.gz BZWBJTGKXQXSQW-UHFFFAOYSA-N 1 2 281.359 3.556 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSC1CCOCC1 ZINC000338558378 526668517 /nfs/dbraw/zinc/66/85/17/526668517.db2.gz VOHTUHMWMJFKNE-UHFFFAOYSA-N 1 2 265.422 3.926 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CN1C[C@@H](CO)c2ccccc21 ZINC000339255218 526669015 /nfs/dbraw/zinc/66/90/15/526669015.db2.gz AFRHRRPWMSLPAT-INIZCTEOSA-N 1 2 296.414 3.610 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CN1C[C@H](CO)c2ccccc21 ZINC000339255225 526669527 /nfs/dbraw/zinc/66/95/27/526669527.db2.gz AFRHRRPWMSLPAT-MRXNPFEDSA-N 1 2 296.414 3.610 20 0 CHADLO Cc1nc(CCNc2[nH+]ccc3ccc(F)cc32)c(C)o1 ZINC000340745891 526830187 /nfs/dbraw/zinc/83/01/87/526830187.db2.gz RQERVPDMWZXTOP-UHFFFAOYSA-N 1 2 285.322 3.633 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccc(F)c2)C2CC2)cs1 ZINC000076720879 526848613 /nfs/dbraw/zinc/84/86/13/526848613.db2.gz LJDYJTKJRSZRQY-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccc(F)c2)C2CC2)cs1 ZINC000076720879 526848619 /nfs/dbraw/zinc/84/86/19/526848619.db2.gz LJDYJTKJRSZRQY-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1nc(C[N@@H+]([C@H](C)c2ccco2)C2CC2)oc1C ZINC000086234121 526849286 /nfs/dbraw/zinc/84/92/86/526849286.db2.gz QYZQRXGMYHSYLM-LLVKDONJSA-N 1 2 260.337 3.610 20 0 CHADLO Cc1nc(C[N@H+]([C@H](C)c2ccco2)C2CC2)oc1C ZINC000086234121 526849291 /nfs/dbraw/zinc/84/92/91/526849291.db2.gz QYZQRXGMYHSYLM-LLVKDONJSA-N 1 2 260.337 3.610 20 0 CHADLO Clc1ccc([C@H]2CCN2c2cc[nH+]c(C3CC3)n2)cc1 ZINC000266233103 526964937 /nfs/dbraw/zinc/96/49/37/526964937.db2.gz JWZRGCNMSDILJA-CQSZACIVSA-N 1 2 285.778 3.959 20 0 CHADLO Clc1cnc(C[NH2+]Cc2coc3ccccc23)s1 ZINC000339407948 526998332 /nfs/dbraw/zinc/99/83/32/526998332.db2.gz RNFDXEIMBQJTJJ-UHFFFAOYSA-N 1 2 278.764 3.833 20 0 CHADLO Cc1nc([C@H](C)[NH+]2CCC(c3ccccc3C)CC2)no1 ZINC000290025558 527058065 /nfs/dbraw/zinc/05/80/65/527058065.db2.gz PUQFWEXKQYXJAV-ZDUSSCGKSA-N 1 2 285.391 3.627 20 0 CHADLO Cc1[nH]c(C(=O)N[C@H](CC2CCCC2)c2ccccc2)c[nH+]1 ZINC000337616648 527241688 /nfs/dbraw/zinc/24/16/88/527241688.db2.gz LZPWTMPEJYBONS-MRXNPFEDSA-N 1 2 297.402 3.770 20 0 CHADLO O=C(Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1)c1cncs1 ZINC000340941205 527300047 /nfs/dbraw/zinc/30/00/47/527300047.db2.gz WPUYRHRFKONHEI-UHFFFAOYSA-N 1 2 298.371 3.539 20 0 CHADLO Cc1nc(N[C@H](C)c2ccc(OC(F)F)cc2)cc[nH+]1 ZINC000266097811 527351021 /nfs/dbraw/zinc/35/10/21/527351021.db2.gz GXTFVTBOCAZNTL-SECBINFHSA-N 1 2 279.290 3.560 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccccc2OC(F)F)cc[nH+]1 ZINC000266173662 527351688 /nfs/dbraw/zinc/35/16/88/527351688.db2.gz SFHWFOVGFCAZRC-VIFPVBQESA-N 1 2 279.290 3.560 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCC[C@@H]1C1CCCC1 ZINC000290773842 527452093 /nfs/dbraw/zinc/45/20/93/527452093.db2.gz SMWRHCBQGMFKTP-OAHLLOKOSA-N 1 2 298.390 3.521 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+]Cc1cc(F)c(Cl)cc1F ZINC000278810626 527457813 /nfs/dbraw/zinc/45/78/13/527457813.db2.gz NMUFBNKARGAKJU-VIFPVBQESA-N 1 2 297.736 3.567 20 0 CHADLO Cn1ncc(Cl)c1C[N@@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000290202382 527571110 /nfs/dbraw/zinc/57/11/10/527571110.db2.gz PRLFXKAKURKXAG-ZDUSSCGKSA-N 1 2 295.839 3.718 20 0 CHADLO Cn1ncc(Cl)c1C[N@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000290202382 527571113 /nfs/dbraw/zinc/57/11/13/527571113.db2.gz PRLFXKAKURKXAG-ZDUSSCGKSA-N 1 2 295.839 3.718 20 0 CHADLO FC(F)(F)COCCCNc1ccc2ccccc2[nH+]1 ZINC000301982949 527669997 /nfs/dbraw/zinc/66/99/97/527669997.db2.gz KAGMEKPSKLXLMT-UHFFFAOYSA-N 1 2 284.281 3.616 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+](Cc2ccon2)C2CC2)cc1 ZINC000077250468 527681010 /nfs/dbraw/zinc/68/10/10/527681010.db2.gz HUYTVZDZDWDKGF-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+](Cc2ccon2)C2CC2)cc1 ZINC000077250468 527681011 /nfs/dbraw/zinc/68/10/11/527681011.db2.gz HUYTVZDZDWDKGF-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO CC1(C)C[C@H]1CNC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000352806643 527759959 /nfs/dbraw/zinc/75/99/59/527759959.db2.gz RJTCLNRTSIHEKL-AWEZNQCLSA-N 1 2 296.370 3.650 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@@H+]1Cc1ncc(Cl)s1 ZINC000347071657 527822940 /nfs/dbraw/zinc/82/29/40/527822940.db2.gz QOQCNPDLGYQTJD-ZETCQYMHSA-N 1 2 298.761 3.959 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@H+]1Cc1ncc(Cl)s1 ZINC000347071657 527822944 /nfs/dbraw/zinc/82/29/44/527822944.db2.gz QOQCNPDLGYQTJD-ZETCQYMHSA-N 1 2 298.761 3.959 20 0 CHADLO CCC1CCC([N@H+](C)Cc2noc(C(C)C)n2)CC1 ZINC000353452217 527842912 /nfs/dbraw/zinc/84/29/12/527842912.db2.gz ZBXCEFITGATHLI-UHFFFAOYSA-N 1 2 265.401 3.594 20 0 CHADLO CCC1CCC([N@@H+](C)Cc2noc(C(C)C)n2)CC1 ZINC000353452217 527842918 /nfs/dbraw/zinc/84/29/18/527842918.db2.gz ZBXCEFITGATHLI-UHFFFAOYSA-N 1 2 265.401 3.594 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc(SC)c[nH+]2)C12CCC2 ZINC000347264955 527847604 /nfs/dbraw/zinc/84/76/04/527847604.db2.gz WSDPADBGXDGWLO-CHWSQXEVSA-N 1 2 278.421 3.563 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@@H+]1Cc1ncc(Cl)s1 ZINC000347071656 527852798 /nfs/dbraw/zinc/85/27/98/527852798.db2.gz QOQCNPDLGYQTJD-SSDOTTSWSA-N 1 2 298.761 3.959 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@H+]1Cc1ncc(Cl)s1 ZINC000347071656 527852800 /nfs/dbraw/zinc/85/28/00/527852800.db2.gz QOQCNPDLGYQTJD-SSDOTTSWSA-N 1 2 298.761 3.959 20 0 CHADLO CC(C)C[N@H+](Cc1nccs1)Cc1ccccc1F ZINC000350702644 527955879 /nfs/dbraw/zinc/95/58/79/527955879.db2.gz UJWGITUQVMIFQW-UHFFFAOYSA-N 1 2 278.396 3.940 20 0 CHADLO CC(C)C[N@@H+](Cc1nccs1)Cc1ccccc1F ZINC000350702644 527955881 /nfs/dbraw/zinc/95/58/81/527955881.db2.gz UJWGITUQVMIFQW-UHFFFAOYSA-N 1 2 278.396 3.940 20 0 CHADLO CC1=C(C(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)CCC(C)(C)C1 ZINC000334612381 528078326 /nfs/dbraw/zinc/07/83/26/528078326.db2.gz VOXOHUGFFGKLTI-TZMCWYRMSA-N 1 2 287.407 3.532 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCC[C@@H]2c2ccco2)o1 ZINC000331695747 528425298 /nfs/dbraw/zinc/42/52/98/528425298.db2.gz ZZSIWANQVUZZNG-GFCCVEGCSA-N 1 2 275.352 3.513 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCC[C@@H]2c2ccco2)o1 ZINC000331695747 528425302 /nfs/dbraw/zinc/42/53/02/528425302.db2.gz ZZSIWANQVUZZNG-GFCCVEGCSA-N 1 2 275.352 3.513 20 0 CHADLO CC(C)n1cc(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)nn1 ZINC000347669338 528560975 /nfs/dbraw/zinc/56/09/75/528560975.db2.gz GNAGFKDDUWGWLO-ZDUSSCGKSA-N 1 2 298.390 3.798 20 0 CHADLO CC(C)n1cc(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)nn1 ZINC000347669338 528560977 /nfs/dbraw/zinc/56/09/77/528560977.db2.gz GNAGFKDDUWGWLO-ZDUSSCGKSA-N 1 2 298.390 3.798 20 0 CHADLO CC[N@H+](CCOC)Cc1csc(C2CCCCC2)n1 ZINC000353452503 528562666 /nfs/dbraw/zinc/56/26/66/528562666.db2.gz ZUQOPEYJOHFQSJ-UHFFFAOYSA-N 1 2 282.453 3.659 20 0 CHADLO CC[N@@H+](CCOC)Cc1csc(C2CCCCC2)n1 ZINC000353452503 528562670 /nfs/dbraw/zinc/56/26/70/528562670.db2.gz ZUQOPEYJOHFQSJ-UHFFFAOYSA-N 1 2 282.453 3.659 20 0 CHADLO CC(C)n1cc[nH+]c1CNC1(C(F)F)CCCCC1 ZINC000352195692 528607264 /nfs/dbraw/zinc/60/72/64/528607264.db2.gz SOAPKGXDJVMSOZ-UHFFFAOYSA-N 1 2 271.355 3.522 20 0 CHADLO CC(C)Cc1noc(C[N@H+]([C@@H](C)c2ccccc2)C2CC2)n1 ZINC000352822483 528679633 /nfs/dbraw/zinc/67/96/33/528679633.db2.gz FUUAQGKXXUBHCF-AWEZNQCLSA-N 1 2 299.418 3.994 20 0 CHADLO CC(C)Cc1noc(C[N@@H+]([C@@H](C)c2ccccc2)C2CC2)n1 ZINC000352822483 528679640 /nfs/dbraw/zinc/67/96/40/528679640.db2.gz FUUAQGKXXUBHCF-AWEZNQCLSA-N 1 2 299.418 3.994 20 0 CHADLO CCC(CC)NC(=O)CCn1c2ccccc2[nH+]c1C1CC1 ZINC000354150382 528791352 /nfs/dbraw/zinc/79/13/52/528791352.db2.gz QOTUEADTDMIIRO-UHFFFAOYSA-N 1 2 299.418 3.609 20 0 CHADLO CC[N@H+](Cc1ncc(Cl)s1)Cc1cccc(F)c1 ZINC000352012008 528888639 /nfs/dbraw/zinc/88/86/39/528888639.db2.gz XUCJMEGZHLTXGV-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@@H+](Cc1ncc(Cl)s1)Cc1cccc(F)c1 ZINC000352012008 528888646 /nfs/dbraw/zinc/88/86/46/528888646.db2.gz XUCJMEGZHLTXGV-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+]2CCC[C@@H]2c2ccco2)n1 ZINC000116294584 529063330 /nfs/dbraw/zinc/06/33/30/529063330.db2.gz YLCGQOMDNLUXAY-QWHCGFSZSA-N 1 2 289.379 3.903 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+]2CCC[C@@H]2c2ccco2)n1 ZINC000116294584 529063336 /nfs/dbraw/zinc/06/33/36/529063336.db2.gz YLCGQOMDNLUXAY-QWHCGFSZSA-N 1 2 289.379 3.903 20 0 CHADLO CCC1(CC)C[N@H+](Cc2ncc(Cl)s2)CCS1 ZINC000351990394 529083165 /nfs/dbraw/zinc/08/31/65/529083165.db2.gz ZXHZJBSCABBAGL-UHFFFAOYSA-N 1 2 290.885 3.904 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)o2)[C@H]1c1ccncc1 ZINC000353697666 529123903 /nfs/dbraw/zinc/12/39/03/529123903.db2.gz IHMBKETTZYVGSD-AWEZNQCLSA-N 1 2 276.767 3.911 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)o2)[C@H]1c1ccncc1 ZINC000353697666 529123906 /nfs/dbraw/zinc/12/39/06/529123906.db2.gz IHMBKETTZYVGSD-AWEZNQCLSA-N 1 2 276.767 3.911 20 0 CHADLO CC[C@@H]1CC[C@H](C)N1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334717646 529342985 /nfs/dbraw/zinc/34/29/85/529342985.db2.gz NOSAKOVWOHHIHM-GXTWGEPZSA-N 1 2 275.396 3.802 20 0 CHADLO COc1cc[nH+]cc1CSCc1ccc(F)c(F)c1 ZINC000341974385 537120300 /nfs/dbraw/zinc/12/03/00/537120300.db2.gz WQDHTXXZELRHTE-UHFFFAOYSA-N 1 2 281.327 3.802 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CCC[C@H](C(F)F)C2)c1 ZINC001137872070 1131427215 /nfs/dbraw/zinc/42/72/15/1131427215.db2.gz BAIVJAUEKYGYOC-QMMMGPOBSA-N 1 2 279.252 3.581 20 0 CHADLO C[C@H]([NH2+]Cc1csc(-c2ccco2)n1)c1cncs1 ZINC000128417450 1125466347 /nfs/dbraw/zinc/46/63/47/1125466347.db2.gz KBICCYDALIIQBG-VIFPVBQESA-N 1 2 291.401 3.710 20 0 CHADLO Cc1c2cc(F)ccc2oc1C(=O)Nc1cc(C)[nH+]cc1C ZINC000536575402 1125470875 /nfs/dbraw/zinc/47/08/75/1125470875.db2.gz YPOMLPUDALSFMK-UHFFFAOYSA-N 1 2 298.317 3.566 20 0 CHADLO Cc1nc2c(s1)C[N@H+](C[C@@H](C)c1ccccc1)C2 ZINC001207914418 1117763763 /nfs/dbraw/zinc/76/37/63/1117763763.db2.gz ULTQNEOYXUGRSU-LLVKDONJSA-N 1 2 258.390 3.571 20 0 CHADLO CN(C[C@H]1CCCO[C@@H]1c1ccccc1)c1cccc[nH+]1 ZINC000622815305 1117772444 /nfs/dbraw/zinc/77/24/44/1117772444.db2.gz FWXNIIFUHVJLMC-SJLPKXTDSA-N 1 2 282.387 3.686 20 0 CHADLO Clc1ccccc1C[NH2+][C@@H]1CCCc2scnc21 ZINC000623848879 1117943400 /nfs/dbraw/zinc/94/34/00/1117943400.db2.gz NTMGPORXRDOHDM-GFCCVEGCSA-N 1 2 278.808 3.964 20 0 CHADLO Cc1ccnc(C[N@H+]2CC[C@H](C)[C@H]2c2cccc(F)c2)n1 ZINC000339657813 1117948645 /nfs/dbraw/zinc/94/86/45/1117948645.db2.gz DULCUVSHTKWKIU-SJCJKPOMSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC[C@H](C)[C@H]2c2cccc(F)c2)n1 ZINC000339657813 1117948648 /nfs/dbraw/zinc/94/86/48/1117948648.db2.gz DULCUVSHTKWKIU-SJCJKPOMSA-N 1 2 285.366 3.507 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000741778612 1118081198 /nfs/dbraw/zinc/08/11/98/1118081198.db2.gz HHNAGJTUXZYWID-VXGBXAGGSA-N 1 2 283.799 3.675 20 0 CHADLO Cc1ncc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)o1 ZINC000398121501 1118085875 /nfs/dbraw/zinc/08/58/75/1118085875.db2.gz WWOLCDZDUVWYOG-MRVPVSSYSA-N 1 2 268.719 3.626 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2cc(O)ccc2Cl)o1 ZINC000625320427 1118193170 /nfs/dbraw/zinc/19/31/70/1118193170.db2.gz OSCDUKCZGCUUNY-JTQLQIEISA-N 1 2 265.740 3.798 20 0 CHADLO CC(C)(C)[C@H]1C[N@H+](Cc2cscc2Cl)CCO1 ZINC000684145919 1118540215 /nfs/dbraw/zinc/54/02/15/1118540215.db2.gz YXRLHIFGVPJKRZ-GFCCVEGCSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)(C)[C@H]1C[N@@H+](Cc2cscc2Cl)CCO1 ZINC000684145919 1118540217 /nfs/dbraw/zinc/54/02/17/1118540217.db2.gz YXRLHIFGVPJKRZ-GFCCVEGCSA-N 1 2 273.829 3.648 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nc(C)no1)c1ccc(Cl)cc1 ZINC000341187229 1118613373 /nfs/dbraw/zinc/61/33/73/1118613373.db2.gz BQSQPIBJGKEJPK-RNCFNFMXSA-N 1 2 279.771 3.833 20 0 CHADLO Cc1ccc(Cl)nc1C[N@@H+]1CCc2ccsc2C1 ZINC001140447724 1118681455 /nfs/dbraw/zinc/68/14/55/1118681455.db2.gz JOVCJROKIRRLBZ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(Cl)nc1C[N@H+]1CCc2ccsc2C1 ZINC001140447724 1118681457 /nfs/dbraw/zinc/68/14/57/1118681457.db2.gz JOVCJROKIRRLBZ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2cccs2)oc1C ZINC000139656708 1119602719 /nfs/dbraw/zinc/60/27/19/1119602719.db2.gz CYFURWOBPVPNRT-SNVBAGLBSA-N 1 2 250.367 3.546 20 0 CHADLO CCCCCNC(=O)C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000613486003 1119937492 /nfs/dbraw/zinc/93/74/92/1119937492.db2.gz KILNWMICGTURGL-CYBMUJFWSA-N 1 2 296.842 3.639 20 0 CHADLO CC1C[NH+](Cc2ccc(Cl)cc2C(F)(F)F)C1 ZINC001143498676 1120210446 /nfs/dbraw/zinc/21/04/46/1120210446.db2.gz GECBYPSTYJPXBG-UHFFFAOYSA-N 1 2 263.690 3.811 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccc2c(c1)COC2 ZINC000672622500 1120334931 /nfs/dbraw/zinc/33/49/31/1120334931.db2.gz ZKJNTMHBAIDLIS-YGRLFVJLSA-N 1 2 267.319 3.555 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)cc1OC ZINC000397971377 1120537659 /nfs/dbraw/zinc/53/76/59/1120537659.db2.gz JBBZKGRIYXVXLM-YGRLFVJLSA-N 1 2 285.334 3.542 20 0 CHADLO Cc1ccc(C[S@](=O)CCC2CCCCC2)c(C)[nH+]1 ZINC000614040230 1121294856 /nfs/dbraw/zinc/29/48/56/1121294856.db2.gz AEMAYBXWFWVOPN-LJQANCHMSA-N 1 2 279.449 3.918 20 0 CHADLO Cc1ccc(CSCCc2[nH+]cc(C)cc2C)nc1 ZINC001118260200 1121624353 /nfs/dbraw/zinc/62/43/53/1121624353.db2.gz DBGSUOBGRQKIGC-UHFFFAOYSA-N 1 2 272.417 3.878 20 0 CHADLO FC(F)(F)[C@@H](Oc1cc[nH+]cc1)c1ccccc1 ZINC001225291275 1121723482 /nfs/dbraw/zinc/72/34/82/1121723482.db2.gz RCFBTEREKHUMCP-LBPRGKRZSA-N 1 2 253.223 3.764 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(O)cc2Br)c1 ZINC001203663486 1122430298 /nfs/dbraw/zinc/43/02/98/1122430298.db2.gz MHODMSVUOLKTLK-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO COc1cc2[nH+]c(CC3CCCC3)[nH]c2cc1Cl ZINC001250576211 1122779045 /nfs/dbraw/zinc/77/90/45/1122779045.db2.gz HLYNTVZOWWBEMS-UHFFFAOYSA-N 1 2 264.756 3.958 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N)[nH+]c2)cc1Br ZINC001201292569 1123083656 /nfs/dbraw/zinc/08/36/56/1123083656.db2.gz PWRZYFYVYDICIH-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cc3ccnc(Cl)c3s2)C1 ZINC000895150084 1123298791 /nfs/dbraw/zinc/29/87/91/1123298791.db2.gz GRVWOPIVEVXDMG-JTQLQIEISA-N 1 2 284.787 3.884 20 0 CHADLO CCSCc1ccc[nH+]c1N[C@H]1CCOC(C)(C)C1 ZINC000895687378 1123504797 /nfs/dbraw/zinc/50/47/97/1123504797.db2.gz APRBLOUYAPBRQT-ZDUSSCGKSA-N 1 2 280.437 3.704 20 0 CHADLO C[C@H]([NH2+]Cc1nc(Cl)ccc1Cl)c1ccns1 ZINC000860058191 1123719331 /nfs/dbraw/zinc/71/93/31/1123719331.db2.gz JFTBKCJLLJEYRZ-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO CCCn1c(C)nn(C[N@H+](C)[C@H]2CCC(C)(C)C2)c1=S ZINC000794684929 1123927468 /nfs/dbraw/zinc/92/74/68/1123927468.db2.gz KHIILPGKERLNNA-ZDUSSCGKSA-N 1 2 296.484 3.601 20 0 CHADLO CCCn1c(C)nn(C[N@@H+](C)[C@H]2CCC(C)(C)C2)c1=S ZINC000794684929 1123927470 /nfs/dbraw/zinc/92/74/70/1123927470.db2.gz KHIILPGKERLNNA-ZDUSSCGKSA-N 1 2 296.484 3.601 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2oc(C(C)C)nc2C)s1 ZINC000428431678 1124108918 /nfs/dbraw/zinc/10/89/18/1124108918.db2.gz VLHQSBQNWLRNAB-NSHDSACASA-N 1 2 279.409 3.722 20 0 CHADLO CCC[C@@H]([NH2+]Cc1ncc(Cl)s1)c1cccnc1 ZINC000872116460 1124687696 /nfs/dbraw/zinc/68/76/96/1124687696.db2.gz RIHQUZQNDDNUBB-LLVKDONJSA-N 1 2 281.812 3.823 20 0 CHADLO Fc1ccc(CNc2cc[nH+]cc2F)c(Br)c1 ZINC000192150740 1125331071 /nfs/dbraw/zinc/33/10/71/1125331071.db2.gz UFOUJHDZTJQYSS-UHFFFAOYSA-N 1 2 299.118 3.734 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@H](C(F)(F)F)[C@H]2C)cs1 ZINC000348244578 1125429443 /nfs/dbraw/zinc/42/94/43/1125429443.db2.gz NOICNXINRXAWRJ-KOLCDFICSA-N 1 2 292.370 3.868 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@H](C(F)(F)F)[C@H]2C)cs1 ZINC000348244578 1125429444 /nfs/dbraw/zinc/42/94/44/1125429444.db2.gz NOICNXINRXAWRJ-KOLCDFICSA-N 1 2 292.370 3.868 20 0 CHADLO FC(F)C(F)(F)C[N@@H+]1CCCC[C@H]1C1CCC1 ZINC000830987800 1131473420 /nfs/dbraw/zinc/47/34/20/1131473420.db2.gz GTWPKWZRXXFCCT-JTQLQIEISA-N 1 2 253.283 3.541 20 0 CHADLO FC(F)C(F)(F)C[N@H+]1CCCC[C@H]1C1CCC1 ZINC000830987800 1131473424 /nfs/dbraw/zinc/47/34/24/1131473424.db2.gz GTWPKWZRXXFCCT-JTQLQIEISA-N 1 2 253.283 3.541 20 0 CHADLO CC[N@@H+](Cc1ncc(C(F)(F)F)[nH]1)C1CCCCC1 ZINC001137010523 1131504885 /nfs/dbraw/zinc/50/48/85/1131504885.db2.gz VBTFOALRDRAXDR-UHFFFAOYSA-N 1 2 275.318 3.583 20 0 CHADLO COc1ccc(C[N@H+]2CCCC[C@@](C)(F)C2)c(F)c1F ZINC001143904560 1131550994 /nfs/dbraw/zinc/55/09/94/1131550994.db2.gz QCLMTDRGSYCPAF-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143958626 1131559647 /nfs/dbraw/zinc/55/96/47/1131559647.db2.gz IRCAGAYFEHDWOI-SNVBAGLBSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143958626 1131559649 /nfs/dbraw/zinc/55/96/49/1131559649.db2.gz IRCAGAYFEHDWOI-SNVBAGLBSA-N 1 2 289.753 3.618 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCC[C@H]3C[C@H]32)c1F ZINC001143961510 1131559998 /nfs/dbraw/zinc/55/99/98/1131559998.db2.gz PTHFXZMFDAMNNE-QPUJVOFHSA-N 1 2 257.711 3.603 20 0 CHADLO Cc1cc(Br)cc(C[N@H+]2CCC[C@@H]2CF)c1 ZINC001144226203 1131603212 /nfs/dbraw/zinc/60/32/12/1131603212.db2.gz NHKGNGCRZGOOJT-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO CC1(C)CC[N@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139478298 1131610274 /nfs/dbraw/zinc/61/02/74/1131610274.db2.gz IYFDIVBESQLNBA-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CC1(C)CC[N@@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139478298 1131610276 /nfs/dbraw/zinc/61/02/76/1131610276.db2.gz IYFDIVBESQLNBA-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ccc(O)c(F)c1F ZINC001140892251 1131958447 /nfs/dbraw/zinc/95/84/47/1131958447.db2.gz GJVFUBRVLNARQG-UHFFFAOYSA-N 1 2 283.343 3.754 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ccc(O)c(F)c1F ZINC001140892251 1131958455 /nfs/dbraw/zinc/95/84/55/1131958455.db2.gz GJVFUBRVLNARQG-UHFFFAOYSA-N 1 2 283.343 3.754 20 0 CHADLO CC(C)=CC[NH2+]C1(C(=O)OC(C)(C)C)CCCCCC1 ZINC001171718395 1132083150 /nfs/dbraw/zinc/08/31/50/1132083150.db2.gz FDMJDSZUOYHFKX-UHFFFAOYSA-N 1 2 281.440 3.977 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(C(F)(F)F)n2)CCC1(F)F ZINC001232069812 1132416255 /nfs/dbraw/zinc/41/62/55/1132416255.db2.gz UYWGYQVRHXMFCG-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(C(F)(F)F)n2)CCC1(F)F ZINC001232069812 1132416259 /nfs/dbraw/zinc/41/62/59/1132416259.db2.gz UYWGYQVRHXMFCG-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO CC[NH+](CC)Cc1c(Br)ccc(F)c1F ZINC001143329757 1132537138 /nfs/dbraw/zinc/53/71/38/1132537138.db2.gz VNEQKMUOWYSYFZ-UHFFFAOYSA-N 1 2 278.140 3.569 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CC[C@H](F)C1 ZINC001233350863 1132608510 /nfs/dbraw/zinc/60/85/10/1132608510.db2.gz XDCPFADUXFYIQC-VIFPVBQESA-N 1 2 259.777 3.606 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CC[C@H](F)C1 ZINC001233350863 1132608514 /nfs/dbraw/zinc/60/85/14/1132608514.db2.gz XDCPFADUXFYIQC-VIFPVBQESA-N 1 2 259.777 3.606 20 0 CHADLO C[C@H](CC[N@@H+]1CC(F)(F)CC[C@H]1CO)CC(C)(C)C ZINC001208655333 1133923015 /nfs/dbraw/zinc/92/30/15/1133923015.db2.gz QRNPUFZBXXXRBJ-OLZOCXBDSA-N 1 2 277.399 3.541 20 0 CHADLO C[C@H](CC[N@H+]1CC(F)(F)CC[C@H]1CO)CC(C)(C)C ZINC001208655333 1133923020 /nfs/dbraw/zinc/92/30/20/1133923020.db2.gz QRNPUFZBXXXRBJ-OLZOCXBDSA-N 1 2 277.399 3.541 20 0 CHADLO Cc1c[nH+]cc(Nc2ncc(F)cc2Br)c1C ZINC001213525043 1134459784 /nfs/dbraw/zinc/45/97/84/1134459784.db2.gz LTMKWMHDPYQBFY-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO CCOC(=[NH2+])CCCCOC[C@@H](C)Oc1ccccc1 ZINC001224964657 1135497568 /nfs/dbraw/zinc/49/75/68/1135497568.db2.gz BIQZXZGHPXUOKN-CQSZACIVSA-N 1 2 279.380 3.655 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2cccnc2Cl)n1 ZINC000116382827 1125510798 /nfs/dbraw/zinc/51/07/98/1125510798.db2.gz SXNNMADEHDHYRN-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO C[C@@H](Cc1ccccc1F)Nc1ccc([NH+](C)C)cc1 ZINC000134206304 1125544462 /nfs/dbraw/zinc/54/44/62/1125544462.db2.gz ARGDPTCQCMPALL-ZDUSSCGKSA-N 1 2 272.367 3.935 20 0 CHADLO C[C@@H](Cc1ccccc1F)[NH2+]c1ccc(N(C)C)cc1 ZINC000134206304 1125544466 /nfs/dbraw/zinc/54/44/66/1125544466.db2.gz ARGDPTCQCMPALL-ZDUSSCGKSA-N 1 2 272.367 3.935 20 0 CHADLO C[C@H](Cc1ccccc1F)Nc1ccc([NH+](C)C)cc1 ZINC000134206409 1125544806 /nfs/dbraw/zinc/54/48/06/1125544806.db2.gz ARGDPTCQCMPALL-CYBMUJFWSA-N 1 2 272.367 3.935 20 0 CHADLO C[C@H](Cc1ccccc1F)[NH2+]c1ccc(N(C)C)cc1 ZINC000134206409 1125544812 /nfs/dbraw/zinc/54/48/12/1125544812.db2.gz ARGDPTCQCMPALL-CYBMUJFWSA-N 1 2 272.367 3.935 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@H](C)c2cc(C)ccc2C)s1 ZINC000358505079 1125547232 /nfs/dbraw/zinc/54/72/32/1125547232.db2.gz GWNVRECLAHVFON-GFCCVEGCSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@H](C)c2cc(C)ccc2C)s1 ZINC000358505079 1125547234 /nfs/dbraw/zinc/54/72/34/1125547234.db2.gz GWNVRECLAHVFON-GFCCVEGCSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2csc3ccccc23)o1 ZINC000120515843 1125547738 /nfs/dbraw/zinc/54/77/38/1125547738.db2.gz FIWWIUZGUBXTSQ-JTQLQIEISA-N 1 2 287.388 3.786 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2csc3ccccc23)o1 ZINC000120515843 1125547743 /nfs/dbraw/zinc/54/77/43/1125547743.db2.gz FIWWIUZGUBXTSQ-JTQLQIEISA-N 1 2 287.388 3.786 20 0 CHADLO CCC[C@H]([NH2+]Cc1ccc(F)cn1)c1ncccc1Cl ZINC000925286468 1125588235 /nfs/dbraw/zinc/58/82/35/1125588235.db2.gz VNVBTJKYBDJRPD-AWEZNQCLSA-N 1 2 293.773 3.900 20 0 CHADLO CC(C)C[C@H]1COCC[N@@H+]1Cc1nc2ccccc2s1 ZINC000072979317 1125637203 /nfs/dbraw/zinc/63/72/03/1125637203.db2.gz JDZFVNJVVJLKJB-ZDUSSCGKSA-N 1 2 290.432 3.543 20 0 CHADLO CC(C)C[C@H]1COCC[N@H+]1Cc1nc2ccccc2s1 ZINC000072979317 1125637206 /nfs/dbraw/zinc/63/72/06/1125637206.db2.gz JDZFVNJVVJLKJB-ZDUSSCGKSA-N 1 2 290.432 3.543 20 0 CHADLO Oc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)c(F)c1F ZINC001212002945 1125644345 /nfs/dbraw/zinc/64/43/45/1125644345.db2.gz KUVXPSSIXUTSMO-UHFFFAOYSA-N 1 2 295.676 3.715 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC[C@@H](C)C[C@H]1c1ccc(C)o1 ZINC000247508503 1125657829 /nfs/dbraw/zinc/65/78/29/1125657829.db2.gz MIADQPBLMYMGOC-HIFRSBDPSA-N 1 2 287.407 3.778 20 0 CHADLO CCn1ccnc1C[N@H+]1CC[C@@H](C)C[C@H]1c1ccc(C)o1 ZINC000247508503 1125657834 /nfs/dbraw/zinc/65/78/34/1125657834.db2.gz MIADQPBLMYMGOC-HIFRSBDPSA-N 1 2 287.407 3.778 20 0 CHADLO CCn1ccnc1C[N@@H+]1C[C@@H](C)C[C@H]1c1ccccc1F ZINC000247550437 1125657873 /nfs/dbraw/zinc/65/78/73/1125657873.db2.gz PWHQCMTWJAPLPJ-BBRMVZONSA-N 1 2 287.382 3.625 20 0 CHADLO CCn1ccnc1C[N@H+]1C[C@@H](C)C[C@H]1c1ccccc1F ZINC000247550437 1125657878 /nfs/dbraw/zinc/65/78/78/1125657878.db2.gz PWHQCMTWJAPLPJ-BBRMVZONSA-N 1 2 287.382 3.625 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cc1)c1ncco1 ZINC000886272073 1125664171 /nfs/dbraw/zinc/66/41/71/1125664171.db2.gz GJBCXKRGSYWKIH-VHSXEESVSA-N 1 2 250.729 3.740 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)cc1)c1ncco1 ZINC000886272071 1125664314 /nfs/dbraw/zinc/66/43/14/1125664314.db2.gz GJBCXKRGSYWKIH-NXEZZACHSA-N 1 2 250.729 3.740 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ncco2)ccc1Br ZINC000886274535 1125665877 /nfs/dbraw/zinc/66/58/77/1125665877.db2.gz VQTVMHZBMAIGIQ-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO Cc1cccc(Nc2cccc(OC(F)F)c2)[nH+]1 ZINC001212053846 1125671466 /nfs/dbraw/zinc/67/14/66/1125671466.db2.gz JHJSOUDQYWQBEP-UHFFFAOYSA-N 1 2 250.248 3.735 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc4ccccc4n3C)ccc21 ZINC001212049292 1125667748 /nfs/dbraw/zinc/66/77/48/1125667748.db2.gz NUWUMLUEMROARW-UHFFFAOYSA-N 1 2 276.343 3.809 20 0 CHADLO Cc1nnsc1CNc1ccc2[nH+]c(C)cc(C)c2c1 ZINC000886370227 1125674227 /nfs/dbraw/zinc/67/42/27/1125674227.db2.gz ZNAKXXCKLRWYAB-UHFFFAOYSA-N 1 2 284.388 3.624 20 0 CHADLO Cc1cc(C)c2cc(NCc3ncnn3C(C)C)ccc2[nH+]1 ZINC000886369255 1125674310 /nfs/dbraw/zinc/67/43/10/1125674310.db2.gz AEPBSGRVWGMSFR-UHFFFAOYSA-N 1 2 295.390 3.636 20 0 CHADLO CC(=O)Oc1ccc(Nc2cccc(C(C)C)[nH+]2)cc1 ZINC001212068574 1125682797 /nfs/dbraw/zinc/68/27/97/1125682797.db2.gz MIBGDQCBOBMOCM-UHFFFAOYSA-N 1 2 270.332 3.874 20 0 CHADLO CC(C)(C)c1csc(C[NH2+][C@H]2CCc3cccnc32)n1 ZINC000886525089 1125683819 /nfs/dbraw/zinc/68/38/19/1125683819.db2.gz XEKYAQZSNZYYKM-LBPRGKRZSA-N 1 2 287.432 3.613 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2ccc(Cl)cc21)c1ncco1 ZINC000886539298 1125686488 /nfs/dbraw/zinc/68/64/88/1125686488.db2.gz XQMGIFYPDRNASU-TVQRCGJNSA-N 1 2 262.740 3.666 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2ccc(Cl)cc21)c1ncco1 ZINC000886539299 1125686702 /nfs/dbraw/zinc/68/67/02/1125686702.db2.gz XQMGIFYPDRNASU-ZANVPECISA-N 1 2 262.740 3.666 20 0 CHADLO c1cc2c(cc1NCc1nc3c(s1)CCC3)CCC[NH2+]2 ZINC000666790381 1125712152 /nfs/dbraw/zinc/71/21/52/1125712152.db2.gz BSFMJRQBJZRLEI-UHFFFAOYSA-N 1 2 285.416 3.602 20 0 CHADLO C[C@H]1CCSCC[N@@H+]1Cc1ccc(Cl)nc1Cl ZINC000286265765 1125735025 /nfs/dbraw/zinc/73/50/25/1125735025.db2.gz SYQRGBHLWFPJBH-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CCSCC[N@H+]1Cc1ccc(Cl)nc1Cl ZINC000286265765 1125735032 /nfs/dbraw/zinc/73/50/32/1125735032.db2.gz SYQRGBHLWFPJBH-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H](SCCn1cc[nH+]c1)c1c(F)cccc1F ZINC000090200576 1125739657 /nfs/dbraw/zinc/73/96/57/1125739657.db2.gz HTBYFVVSUWQLCB-JTQLQIEISA-N 1 2 268.332 3.656 20 0 CHADLO Cc1n[nH]cc1C[N@H+](Cc1ccco1)Cc1ccccc1 ZINC000162217798 1125744527 /nfs/dbraw/zinc/74/45/27/1125744527.db2.gz PFEGQKKFOOKEAC-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Cc1n[nH]cc1C[N@@H+](Cc1ccco1)Cc1ccccc1 ZINC000162217798 1125744538 /nfs/dbraw/zinc/74/45/38/1125744538.db2.gz PFEGQKKFOOKEAC-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2cscn2)cc1F ZINC000162329447 1125751422 /nfs/dbraw/zinc/75/14/22/1125751422.db2.gz NVSYMMUKUWBPRH-VHSXEESVSA-N 1 2 280.368 3.703 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(N)c(Cl)c2)[nH+]1 ZINC001212103349 1125755266 /nfs/dbraw/zinc/75/52/66/1125755266.db2.gz SYRFZMGHIQDQNK-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)ccc1F ZINC000092729110 1125761752 /nfs/dbraw/zinc/76/17/52/1125761752.db2.gz GEUQPIICGXXEEZ-HNNXBMFYSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2ncc[nH]2)ccc1F ZINC000092729110 1125761755 /nfs/dbraw/zinc/76/17/55/1125761755.db2.gz GEUQPIICGXXEEZ-HNNXBMFYSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000615117313 1125781307 /nfs/dbraw/zinc/78/13/07/1125781307.db2.gz PZGJYYDVAMTLJK-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000615117313 1125781313 /nfs/dbraw/zinc/78/13/13/1125781313.db2.gz PZGJYYDVAMTLJK-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)C(C)(C)C ZINC000246073610 1129247179 /nfs/dbraw/zinc/24/71/79/1129247179.db2.gz FOXQYZNBCKBKJC-UONOGXRCSA-N 1 2 276.424 3.758 20 0 CHADLO CCC(CC)(CC)C[NH2+]c1ccc(OCCO)cc1 ZINC000782155651 1131233826 /nfs/dbraw/zinc/23/38/26/1131233826.db2.gz ZLIXEFBLCPZLQT-UHFFFAOYSA-N 1 2 265.397 3.686 20 0 CHADLO Cc1ccccc1C(F)(F)C[NH2+][C@H](C)[C@@H]1CC1(F)F ZINC000639330909 1129303657 /nfs/dbraw/zinc/30/36/57/1129303657.db2.gz YGUBCVZCXQPCHQ-PWSUYJOCSA-N 1 2 275.289 3.720 20 0 CHADLO C[C@H]([NH2+]CCCc1cccc(Cl)c1)C(=O)OC(C)(C)C ZINC000738416564 1129394352 /nfs/dbraw/zinc/39/43/52/1129394352.db2.gz SPNSIZDOGPBLDQ-LBPRGKRZSA-N 1 2 297.826 3.592 20 0 CHADLO C[C@H]1CCCC[C@H]1CNc1ccc(Cl)c(N)[nH+]1 ZINC001155078411 1129419014 /nfs/dbraw/zinc/41/90/14/1129419014.db2.gz RYMMZSVBMAUJPO-UWVGGRQHSA-N 1 2 253.777 3.555 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@H+]1CCC[C@@H]1c1ccsc1 ZINC000741776979 1129452280 /nfs/dbraw/zinc/45/22/80/1129452280.db2.gz RNBDKBQIQYWYIS-GXTWGEPZSA-N 1 2 281.421 3.617 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(C)cc2Cl)c1 ZINC000650243417 1129702756 /nfs/dbraw/zinc/70/27/56/1129702756.db2.gz XYEQOLQHPQOIAZ-UHFFFAOYSA-N 1 2 276.767 3.802 20 0 CHADLO CCOc1ccc(C)c(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)c1 ZINC001238706118 1131235882 /nfs/dbraw/zinc/23/58/82/1131235882.db2.gz VMJHULPWOFDIPV-AWEZNQCLSA-N 1 2 287.325 3.573 20 0 CHADLO CCOc1ccc(C)c(C[N@H+]2CCC(F)(F)[C@@H](F)C2)c1 ZINC001238706118 1131235884 /nfs/dbraw/zinc/23/58/84/1131235884.db2.gz VMJHULPWOFDIPV-AWEZNQCLSA-N 1 2 287.325 3.573 20 0 CHADLO CC[C@H]([NH2+]Cc1csc(N)n1)c1cccc(Cl)c1F ZINC000902499517 1130018154 /nfs/dbraw/zinc/01/81/54/1130018154.db2.gz OVMZPBWSZHEPSO-NSHDSACASA-N 1 2 299.802 3.759 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1ccc(F)c(F)c1F ZINC000667948596 1126714425 /nfs/dbraw/zinc/71/44/25/1126714425.db2.gz FVUYCALXSKZYGJ-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1ccc(F)c(F)c1F ZINC000667948596 1126714427 /nfs/dbraw/zinc/71/44/27/1126714427.db2.gz FVUYCALXSKZYGJ-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCc3ccccc3C2)c1 ZINC000338270316 1126729795 /nfs/dbraw/zinc/72/97/95/1126729795.db2.gz DCAPSMVJSGSIIQ-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCc3ccccc3C2)c1 ZINC000338270316 1126729798 /nfs/dbraw/zinc/72/97/98/1126729798.db2.gz DCAPSMVJSGSIIQ-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO CO[C@H](C[N@@H+]1CCC=C(F)C1)c1ccc(Cl)cc1 ZINC000338291300 1126731793 /nfs/dbraw/zinc/73/17/93/1126731793.db2.gz YOFFRTMCHIPDIM-CQSZACIVSA-N 1 2 269.747 3.587 20 0 CHADLO CO[C@H](C[N@H+]1CCC=C(F)C1)c1ccc(Cl)cc1 ZINC000338291300 1126731797 /nfs/dbraw/zinc/73/17/97/1126731797.db2.gz YOFFRTMCHIPDIM-CQSZACIVSA-N 1 2 269.747 3.587 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+]([C@H](C)c1ccncc1)CC3 ZINC000600644661 1126732190 /nfs/dbraw/zinc/73/21/90/1126732190.db2.gz WUEHUYZOOBRZEU-CQSZACIVSA-N 1 2 291.398 3.991 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+]([C@H](C)c1ccncc1)CC3 ZINC000600644661 1126732193 /nfs/dbraw/zinc/73/21/93/1126732193.db2.gz WUEHUYZOOBRZEU-CQSZACIVSA-N 1 2 291.398 3.991 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCCC[C@H]2c2nnc(C)[nH]2)cc1 ZINC000338331801 1126735165 /nfs/dbraw/zinc/73/51/65/1126735165.db2.gz YATPMJSTCGODQM-KRWDZBQOSA-N 1 2 298.434 3.793 20 0 CHADLO CCCc1ccc(C[N@H+]2CCCC[C@H]2c2nnc(C)[nH]2)cc1 ZINC000338331801 1126735167 /nfs/dbraw/zinc/73/51/67/1126735167.db2.gz YATPMJSTCGODQM-KRWDZBQOSA-N 1 2 298.434 3.793 20 0 CHADLO CCc1ccc(C[N@H+](C)[C@H](C)c2nc(C3CC3)no2)cc1 ZINC000338395799 1126739554 /nfs/dbraw/zinc/73/95/54/1126739554.db2.gz BPCZLHAOHFYSBD-GFCCVEGCSA-N 1 2 285.391 3.702 20 0 CHADLO CCc1ccc(C[N@@H+](C)[C@H](C)c2nc(C3CC3)no2)cc1 ZINC000338395799 1126739556 /nfs/dbraw/zinc/73/95/56/1126739556.db2.gz BPCZLHAOHFYSBD-GFCCVEGCSA-N 1 2 285.391 3.702 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)[C@@H](C)c1nnc(C)s1 ZINC000338361973 1126738100 /nfs/dbraw/zinc/73/81/00/1126738100.db2.gz PZDPMITYYDKGOT-UKVQZPPCSA-N 1 2 287.432 3.943 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)[C@@H](C)c1nnc(C)s1 ZINC000338361973 1126738102 /nfs/dbraw/zinc/73/81/02/1126738102.db2.gz PZDPMITYYDKGOT-UKVQZPPCSA-N 1 2 287.432 3.943 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1ncc[nH]1)c1ccc(F)cc1F ZINC000150872672 1126746772 /nfs/dbraw/zinc/74/67/72/1126746772.db2.gz SYYVQKCYAIWRJN-CQSZACIVSA-N 1 2 279.334 3.565 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1ncc[nH]1)c1ccc(F)cc1F ZINC000150872699 1126746800 /nfs/dbraw/zinc/74/68/00/1126746800.db2.gz SYYVQKCYAIWRJN-AWEZNQCLSA-N 1 2 279.334 3.565 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cccnc1Cl)c1nccs1 ZINC000152611313 1126757415 /nfs/dbraw/zinc/75/74/15/1126757415.db2.gz HLPIPYAJBFRJIK-CYBMUJFWSA-N 1 2 281.812 3.607 20 0 CHADLO CC(C)[C@H](C)[NH2+][C@@H](Cc1ccccc1)C(=O)OC(C)(C)C ZINC000777304633 1126787509 /nfs/dbraw/zinc/78/75/09/1126787509.db2.gz WKLZYWZNTCWKAG-HOCLYGCPSA-N 1 2 291.435 3.574 20 0 CHADLO COc1ccc([C@@H](C)CC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000176469296 1126791189 /nfs/dbraw/zinc/79/11/89/1126791189.db2.gz AUGQCSIVZXNEFC-LBPRGKRZSA-N 1 2 284.359 3.531 20 0 CHADLO Fc1ccc(C[N@H+]2CC=CCC2)c(C(F)(F)F)c1 ZINC000679359611 1130519636 /nfs/dbraw/zinc/51/96/36/1130519636.db2.gz PCWKFCLHQMQSTK-UHFFFAOYSA-N 1 2 259.246 3.606 20 0 CHADLO Fc1ccc(C[N@@H+]2CC=CCC2)c(C(F)(F)F)c1 ZINC000679359611 1130519642 /nfs/dbraw/zinc/51/96/42/1130519642.db2.gz PCWKFCLHQMQSTK-UHFFFAOYSA-N 1 2 259.246 3.606 20 0 CHADLO Cc1nc(C[NH2+]C2(c3nccs3)CCCC2)sc1C ZINC000177774842 1126804683 /nfs/dbraw/zinc/80/46/83/1126804683.db2.gz UWDDECWMHZJDCG-UHFFFAOYSA-N 1 2 293.461 3.776 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2nc(C)c(C)s2)n1 ZINC000177757357 1126804850 /nfs/dbraw/zinc/80/48/50/1126804850.db2.gz ZSQQRPFXAFPOKS-UHFFFAOYSA-N 1 2 281.450 3.550 20 0 CHADLO COc1ccc2oc([C@H](C)[NH2+]Cc3ccon3)c(C)c2c1 ZINC000178263145 1126810408 /nfs/dbraw/zinc/81/04/08/1126810408.db2.gz ZQRUXBUVSDTDJR-NSHDSACASA-N 1 2 286.331 3.589 20 0 CHADLO C[C@@H](SCCn1cc[nH+]c1)c1cccc(F)c1 ZINC000179080402 1126823204 /nfs/dbraw/zinc/82/32/04/1126823204.db2.gz XFRAPVGLHSWHQQ-LLVKDONJSA-N 1 2 250.342 3.517 20 0 CHADLO CCCn1nc(C)c(C[N@H+](C)Cc2ccccc2F)c1C ZINC000179606929 1126830326 /nfs/dbraw/zinc/83/03/26/1126830326.db2.gz DYTNBWBAJNUFIL-UHFFFAOYSA-N 1 2 289.398 3.681 20 0 CHADLO CCCn1nc(C)c(C[N@@H+](C)Cc2ccccc2F)c1C ZINC000179606929 1126830329 /nfs/dbraw/zinc/83/03/29/1126830329.db2.gz DYTNBWBAJNUFIL-UHFFFAOYSA-N 1 2 289.398 3.681 20 0 CHADLO CC(C)n1cc(C[N@H+](Cc2ccccc2F)C2CC2)cn1 ZINC000179735996 1126832900 /nfs/dbraw/zinc/83/29/00/1126832900.db2.gz PCTDKGCQNLJSQY-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO CC(C)n1cc(C[N@@H+](Cc2ccccc2F)C2CC2)cn1 ZINC000179735996 1126832904 /nfs/dbraw/zinc/83/29/04/1126832904.db2.gz PCTDKGCQNLJSQY-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1nc2ccccc2n1C ZINC000179708146 1126832966 /nfs/dbraw/zinc/83/29/66/1126832966.db2.gz ZSONZYSBMCUQKN-INIZCTEOSA-N 1 2 294.402 3.551 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1nc2ccccc2n1C ZINC000179708146 1126832969 /nfs/dbraw/zinc/83/29/69/1126832969.db2.gz ZSONZYSBMCUQKN-INIZCTEOSA-N 1 2 294.402 3.551 20 0 CHADLO CC(C)n1cc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000180060355 1126838729 /nfs/dbraw/zinc/83/87/29/1126838729.db2.gz MQLGHLFWIAMMIG-GFCCVEGCSA-N 1 2 293.361 3.935 20 0 CHADLO CC(C)n1cc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000180060355 1126838734 /nfs/dbraw/zinc/83/87/34/1126838734.db2.gz MQLGHLFWIAMMIG-GFCCVEGCSA-N 1 2 293.361 3.935 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc(C2(C3CCCCC3)CC2)n1 ZINC000904265713 1126840788 /nfs/dbraw/zinc/84/07/88/1126840788.db2.gz OXDIBVBFLXGPMB-UHFFFAOYSA-N 1 2 298.390 3.634 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+]Cc2nc(C)c(C)o2)c1 ZINC000180712362 1126848452 /nfs/dbraw/zinc/84/84/52/1126848452.db2.gz XGERONWSSYQJJL-GFCCVEGCSA-N 1 2 274.364 3.541 20 0 CHADLO Cc1occc1C[N@@H+](C)[C@@H](C)c1nc2ccccc2o1 ZINC000181012149 1126851439 /nfs/dbraw/zinc/85/14/39/1126851439.db2.gz MDQCSGOODIWNEQ-NSHDSACASA-N 1 2 270.332 3.922 20 0 CHADLO Cc1occc1C[N@H+](C)[C@@H](C)c1nc2ccccc2o1 ZINC000181012149 1126851441 /nfs/dbraw/zinc/85/14/41/1126851441.db2.gz MDQCSGOODIWNEQ-NSHDSACASA-N 1 2 270.332 3.922 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccn1)c1nc2c(s1)CCCC2 ZINC000181186169 1126853187 /nfs/dbraw/zinc/85/31/87/1126853187.db2.gz RRRXJHRECCJRGQ-NWDGAFQWSA-N 1 2 287.432 3.829 20 0 CHADLO COc1ccccc1[C@H](CC(C)C)[NH2+]Cc1ncc(C)o1 ZINC000181717087 1126859714 /nfs/dbraw/zinc/85/97/14/1126859714.db2.gz HTALLLBIKGROLA-HNNXBMFYSA-N 1 2 288.391 3.869 20 0 CHADLO CCC[C@@H]([NH2+]Cc1ccon1)c1ccc(F)cc1F ZINC000181659130 1126860040 /nfs/dbraw/zinc/86/00/40/1126860040.db2.gz KAJBEUGOXKYWML-CQSZACIVSA-N 1 2 266.291 3.584 20 0 CHADLO Cc1cccc([C@@H](C)[N@H+](C)Cc2ncc(Cl)n2C)c1 ZINC000183258306 1126884002 /nfs/dbraw/zinc/88/40/02/1126884002.db2.gz PTJUYNKGBJMWCH-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cccc([C@@H](C)[N@@H+](C)Cc2ncc(Cl)n2C)c1 ZINC000183258306 1126884005 /nfs/dbraw/zinc/88/40/05/1126884005.db2.gz PTJUYNKGBJMWCH-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2nc(C)c(C)s2)C2CC2)n1 ZINC000183503624 1126887681 /nfs/dbraw/zinc/88/76/81/1126887681.db2.gz LTBZPJGKEUOJFX-CYBMUJFWSA-N 1 2 293.461 3.766 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2cccc(Cl)n2)C2CC2)n1 ZINC000183543838 1126888775 /nfs/dbraw/zinc/88/87/75/1126888775.db2.gz OBSJRPPLDBRHGW-CYBMUJFWSA-N 1 2 293.823 3.741 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@@H](C)c2cc(C)oc2C)cs1 ZINC000183787941 1126891500 /nfs/dbraw/zinc/89/15/00/1126891500.db2.gz YZCHAQVFQZEZNY-CMPLNLGQSA-N 1 2 294.420 3.911 20 0 CHADLO CC(C)n1ccc(C[C@@H](C)Nc2c[nH+]cc3c2CCCC3)n1 ZINC000668439721 1126890769 /nfs/dbraw/zinc/89/07/69/1126890769.db2.gz CYMGFJZUYSPIEK-CQSZACIVSA-N 1 2 298.434 3.781 20 0 CHADLO CCOC(=O)[C@@H](CC)[N@H+](CC)Cc1ccc(Cl)s1 ZINC000485174011 1126891866 /nfs/dbraw/zinc/89/18/66/1126891866.db2.gz OWWULOTWCXOQDJ-LLVKDONJSA-N 1 2 289.828 3.565 20 0 CHADLO CCOC(=O)[C@@H](CC)[N@@H+](CC)Cc1ccc(Cl)s1 ZINC000485174011 1126891871 /nfs/dbraw/zinc/89/18/71/1126891871.db2.gz OWWULOTWCXOQDJ-LLVKDONJSA-N 1 2 289.828 3.565 20 0 CHADLO CC[C@H]1CCC[N@H+](Cc2cc(F)c(F)c(F)c2F)C1 ZINC001235351348 1130736457 /nfs/dbraw/zinc/73/64/57/1130736457.db2.gz OFWMBZXZLBQXCS-VIFPVBQESA-N 1 2 275.289 3.865 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cc2cc(F)c(F)c(F)c2F)C1 ZINC001235351348 1130736463 /nfs/dbraw/zinc/73/64/63/1130736463.db2.gz OFWMBZXZLBQXCS-VIFPVBQESA-N 1 2 275.289 3.865 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000188222721 1126920852 /nfs/dbraw/zinc/92/08/52/1126920852.db2.gz CNMWDLBAWFJIKV-MWLCHTKSSA-N 1 2 293.773 3.989 20 0 CHADLO C[N@@H+](Cc1cscn1)[C@H]1CCc2cc(Cl)ccc21 ZINC000782180964 1130757437 /nfs/dbraw/zinc/75/74/37/1130757437.db2.gz MPWHRIKNUNRYIM-AWEZNQCLSA-N 1 2 278.808 3.916 20 0 CHADLO COc1ncc(C[NH+]2CC3(C2)CCCCC3)cc1Cl ZINC001235706518 1130772484 /nfs/dbraw/zinc/77/24/84/1130772484.db2.gz QRXWKABTLKGOOH-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO CCCn1nc(C)c(-c2ccc(Cn3cc[nH+]c3)cc2)c1C ZINC000906177209 1126934574 /nfs/dbraw/zinc/93/45/74/1126934574.db2.gz AYXDKXLBHIPWLP-UHFFFAOYSA-N 1 2 294.402 3.822 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccn1)c1nc(C(C)(C)C)cs1 ZINC000106477016 1130778435 /nfs/dbraw/zinc/77/84/35/1130778435.db2.gz ODIVGUZDMMDPNH-LLVKDONJSA-N 1 2 275.421 3.686 20 0 CHADLO CC(C)(C)CN(CCCn1cc[nH+]c1)c1ccccc1 ZINC000485820497 1126956208 /nfs/dbraw/zinc/95/62/08/1126956208.db2.gz UEYUIFJEILLECS-UHFFFAOYSA-N 1 2 271.408 3.826 20 0 CHADLO c1cc(C[N@H+](Cc2cocn2)C2CCCCC2)co1 ZINC000191945054 1126956773 /nfs/dbraw/zinc/95/67/73/1126956773.db2.gz OGQWAYGODOUTSD-UHFFFAOYSA-N 1 2 260.337 3.603 20 0 CHADLO c1cc(C[N@@H+](Cc2cocn2)C2CCCCC2)co1 ZINC000191945054 1126956774 /nfs/dbraw/zinc/95/67/74/1126956774.db2.gz OGQWAYGODOUTSD-UHFFFAOYSA-N 1 2 260.337 3.603 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3cocn3)CCCC2)c(F)c1 ZINC000192338019 1126961052 /nfs/dbraw/zinc/96/10/52/1126961052.db2.gz CUCOOKVICMQQOY-UHFFFAOYSA-N 1 2 278.302 3.512 20 0 CHADLO COC[C@H]([NH2+]Cc1nc(C)cs1)c1ccc(Cl)cc1 ZINC000193277858 1126967680 /nfs/dbraw/zinc/96/76/80/1126967680.db2.gz XLTHMDPCMPOPLT-ZDUSSCGKSA-N 1 2 296.823 3.582 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@@H]2CCCCC2(F)F)c(C)[nH+]1 ZINC000908523681 1127006602 /nfs/dbraw/zinc/00/66/02/1127006602.db2.gz LOZCIEBLBLGZEM-ZDUSSCGKSA-N 1 2 296.361 3.562 20 0 CHADLO CCc1ccc(C[NH2+]Cc2nnc(C(C)(C)C)s2)cc1 ZINC000268252155 1127013902 /nfs/dbraw/zinc/01/39/02/1127013902.db2.gz ZUNYSNQXSUGEGF-UHFFFAOYSA-N 1 2 289.448 3.688 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)Cc2nc3ccccc3o2)s1 ZINC000268331972 1127016286 /nfs/dbraw/zinc/01/62/86/1127016286.db2.gz MSYMKOXUMNPBBW-UHFFFAOYSA-N 1 2 287.388 3.533 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)Cc2nc3ccccc3o2)s1 ZINC000268331972 1127016291 /nfs/dbraw/zinc/01/62/91/1127016291.db2.gz MSYMKOXUMNPBBW-UHFFFAOYSA-N 1 2 287.388 3.533 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+]1CCO[C@@H](CC(F)(F)F)C1 ZINC000668768589 1127026950 /nfs/dbraw/zinc/02/69/50/1127026950.db2.gz PUMHUIPXXGANAW-CTXBLAANSA-N 1 2 299.336 3.743 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+]1CCO[C@@H](CC(F)(F)F)C1 ZINC000668768589 1127026951 /nfs/dbraw/zinc/02/69/51/1127026951.db2.gz PUMHUIPXXGANAW-CTXBLAANSA-N 1 2 299.336 3.743 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+]Cc3sccc3Cl)nc2c1 ZINC000487333678 1127033417 /nfs/dbraw/zinc/03/34/17/1127033417.db2.gz MOJLVELYBFKULI-UHFFFAOYSA-N 1 2 291.807 3.876 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3sccc3Cl)[nH]c2c1 ZINC000487333678 1127033419 /nfs/dbraw/zinc/03/34/19/1127033419.db2.gz MOJLVELYBFKULI-UHFFFAOYSA-N 1 2 291.807 3.876 20 0 CHADLO CCC[N@H+](Cc1nccs1)Cc1ccc(F)cc1 ZINC000269637121 1127043263 /nfs/dbraw/zinc/04/32/63/1127043263.db2.gz JCQWYRJMCYSLCJ-UHFFFAOYSA-N 1 2 264.369 3.694 20 0 CHADLO CCC[N@@H+](Cc1nccs1)Cc1ccc(F)cc1 ZINC000269637121 1127043264 /nfs/dbraw/zinc/04/32/64/1127043264.db2.gz JCQWYRJMCYSLCJ-UHFFFAOYSA-N 1 2 264.369 3.694 20 0 CHADLO O=C(C[C@H]1C=CCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000487782618 1127041872 /nfs/dbraw/zinc/04/18/72/1127041872.db2.gz KANXGKWYIRJKIE-AWEZNQCLSA-N 1 2 281.359 3.557 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc([C@H]3CCCO3)s2)o1 ZINC000271017733 1127064964 /nfs/dbraw/zinc/06/49/64/1127064964.db2.gz SETJBNIWLOLXLG-CQSZACIVSA-N 1 2 292.404 3.528 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc([C@H]3CCCO3)s2)o1 ZINC000271017733 1127064968 /nfs/dbraw/zinc/06/49/68/1127064968.db2.gz SETJBNIWLOLXLG-CQSZACIVSA-N 1 2 292.404 3.528 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCOc2c(Cl)cccc21)c1cscn1 ZINC000271175345 1127071135 /nfs/dbraw/zinc/07/11/35/1127071135.db2.gz VAHFAZPLABYFDJ-BXKDBHETSA-N 1 2 294.807 3.971 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ccc(OC(F)F)cc2F)C1 ZINC001236717734 1130999485 /nfs/dbraw/zinc/99/94/85/1130999485.db2.gz FYZZEBNWVDBNCU-CQSZACIVSA-N 1 2 291.288 3.751 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ccc(OC(F)F)cc2F)C1 ZINC001236717734 1130999487 /nfs/dbraw/zinc/99/94/87/1130999487.db2.gz FYZZEBNWVDBNCU-CQSZACIVSA-N 1 2 291.288 3.751 20 0 CHADLO CC(C)[C@@H](C[N@@H+]1CCCc2[nH]ncc2C1)c1ccccc1 ZINC000668841470 1127071926 /nfs/dbraw/zinc/07/19/26/1127071926.db2.gz KLMZUPVBFDKDOP-QGZVFWFLSA-N 1 2 283.419 3.598 20 0 CHADLO CC(C)[C@@H](C[N@H+]1CCCc2[nH]ncc2C1)c1ccccc1 ZINC000668841470 1127071928 /nfs/dbraw/zinc/07/19/28/1127071928.db2.gz KLMZUPVBFDKDOP-QGZVFWFLSA-N 1 2 283.419 3.598 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)[C@@H]1CCCO1)c1cscn1 ZINC000271192298 1127072334 /nfs/dbraw/zinc/07/23/34/1127072334.db2.gz XSTURPNTFBDAKK-VBNZEHGJSA-N 1 2 288.416 3.714 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+]C1CC(C(C)C)C1 ZINC000488314037 1127072354 /nfs/dbraw/zinc/07/23/54/1127072354.db2.gz NXTVSSJZSFFJJW-UHFFFAOYSA-N 1 2 288.435 3.678 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCOc2ccccc21)c1cscn1 ZINC000271184561 1127072582 /nfs/dbraw/zinc/07/25/82/1127072582.db2.gz WLNADJIZKXRAOI-DGCLKSJQSA-N 1 2 274.389 3.708 20 0 CHADLO CC[N@H+](Cc1ncsc1Br)[C@H](C)C(C)C ZINC000659858255 1127075187 /nfs/dbraw/zinc/07/51/87/1127075187.db2.gz WVUXYFCIPHMRDL-SECBINFHSA-N 1 2 291.258 3.772 20 0 CHADLO CC[N@@H+](Cc1ncsc1Br)[C@H](C)C(C)C ZINC000659858255 1127075191 /nfs/dbraw/zinc/07/51/91/1127075191.db2.gz WVUXYFCIPHMRDL-SECBINFHSA-N 1 2 291.258 3.772 20 0 CHADLO Cc1nnc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)s1 ZINC000271442176 1127081540 /nfs/dbraw/zinc/08/15/40/1127081540.db2.gz QDCGJWCQIAWUGC-GFCCVEGCSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1nnc(C[N@H+]2CCCCC[C@@H]2c2ccco2)s1 ZINC000271442176 1127081541 /nfs/dbraw/zinc/08/15/41/1127081541.db2.gz QDCGJWCQIAWUGC-GFCCVEGCSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1ccc2c(c1C)OC[C@@H]2[NH2+][C@@H](C)c1nccs1 ZINC000271470509 1127084569 /nfs/dbraw/zinc/08/45/69/1127084569.db2.gz LVESSKNXVARNNT-AAEUAGOBSA-N 1 2 274.389 3.544 20 0 CHADLO CC(C)c1nnc([C@@H](C)[NH2+][C@@H](C)c2cc(F)cc(F)c2)[nH]1 ZINC000271553273 1127092448 /nfs/dbraw/zinc/09/24/48/1127092448.db2.gz SIDQUZCNTKPGCV-VHSXEESVSA-N 1 2 294.349 3.618 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)c(C)c1 ZINC000271628479 1127094868 /nfs/dbraw/zinc/09/48/68/1127094868.db2.gz BCWZACJUSCKEJK-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000271653185 1127096230 /nfs/dbraw/zinc/09/62/30/1127096230.db2.gz FNTGHCWOYVGEGL-NSHDSACASA-N 1 2 273.380 3.526 20 0 CHADLO C1C[N@@H+](C2CCCC2)[C@@H]1c1nc([C@@H]2CCCCS2)no1 ZINC000923964866 1127111313 /nfs/dbraw/zinc/11/13/13/1127111313.db2.gz JWSONTSEWIBZNN-STQMWFEESA-N 1 2 293.436 3.717 20 0 CHADLO C1C[N@H+](C2CCCC2)[C@@H]1c1nc([C@@H]2CCCCS2)no1 ZINC000923964866 1127111317 /nfs/dbraw/zinc/11/13/17/1127111317.db2.gz JWSONTSEWIBZNN-STQMWFEESA-N 1 2 293.436 3.717 20 0 CHADLO CCCCS[C@@H](C)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000339116533 1127114503 /nfs/dbraw/zinc/11/45/03/1127114503.db2.gz OJXXFUWYWHISGE-LBPRGKRZSA-N 1 2 291.420 3.503 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2Cc3ccccc3[C@H](C)C2)n1 ZINC000912885382 1127115567 /nfs/dbraw/zinc/11/55/67/1127115567.db2.gz RHZLISGLRJVVAR-OLZOCXBDSA-N 1 2 285.391 3.873 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2Cc3ccccc3[C@H](C)C2)n1 ZINC000912885382 1127115570 /nfs/dbraw/zinc/11/55/70/1127115570.db2.gz RHZLISGLRJVVAR-OLZOCXBDSA-N 1 2 285.391 3.873 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1cn(C)nc1C(F)F ZINC000274483949 1127120372 /nfs/dbraw/zinc/12/03/72/1127120372.db2.gz NCANDHXGWSADCV-SECBINFHSA-N 1 2 297.374 3.539 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cn(C)nc1C(F)F ZINC000274483949 1127120374 /nfs/dbraw/zinc/12/03/74/1127120374.db2.gz NCANDHXGWSADCV-SECBINFHSA-N 1 2 297.374 3.539 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2Cc3ccccc3C(C)(C)C2)s1 ZINC000283150552 1127123699 /nfs/dbraw/zinc/12/36/99/1127123699.db2.gz OLJWGDSUZHZLTH-NSHDSACASA-N 1 2 287.432 3.701 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2Cc3ccccc3C(C)(C)C2)s1 ZINC000283150552 1127123703 /nfs/dbraw/zinc/12/37/03/1127123703.db2.gz OLJWGDSUZHZLTH-NSHDSACASA-N 1 2 287.432 3.701 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(Cl)c1)c1ccon1 ZINC000349238493 1127144530 /nfs/dbraw/zinc/14/45/30/1127144530.db2.gz KBNOWPJZBCQVLP-VHSXEESVSA-N 1 2 250.729 3.740 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cc(Br)ccc1Cl ZINC000722374920 1131063790 /nfs/dbraw/zinc/06/37/90/1131063790.db2.gz IWJRZYOTOHHNLN-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cc(Br)ccc1Cl ZINC000722374920 1131063795 /nfs/dbraw/zinc/06/37/95/1131063795.db2.gz IWJRZYOTOHHNLN-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO C/C(=C\C(=O)NCc1c[nH+]c(C)cc1C)c1cccc(F)c1 ZINC001299356241 1127141310 /nfs/dbraw/zinc/14/13/10/1127141310.db2.gz LJIWMJQKOONINT-MDWZMJQESA-N 1 2 298.361 3.557 20 0 CHADLO CCc1cnc(C[N@H+](Cc2cccnc2)C(C)C)s1 ZINC000349237200 1127144666 /nfs/dbraw/zinc/14/46/66/1127144666.db2.gz DSSCIDOKPZBVOB-UHFFFAOYSA-N 1 2 275.421 3.511 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2cccnc2)C(C)C)s1 ZINC000349237200 1127144669 /nfs/dbraw/zinc/14/46/69/1127144669.db2.gz DSSCIDOKPZBVOB-UHFFFAOYSA-N 1 2 275.421 3.511 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cc(Br)ccc1F ZINC000349735691 1127159400 /nfs/dbraw/zinc/15/94/00/1127159400.db2.gz YRWWIKNFMKUQGM-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cc(Br)ccc1F ZINC000349735691 1127159401 /nfs/dbraw/zinc/15/94/01/1127159401.db2.gz YRWWIKNFMKUQGM-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO COc1cccc2cc([C@H](C)[NH2+][C@@H](C)c3ccon3)oc21 ZINC000349826827 1127163664 /nfs/dbraw/zinc/16/36/64/1127163664.db2.gz AUVRDMWUXJYAJX-QWRGUYRKSA-N 1 2 286.331 3.841 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc2ccccc2o1)c1ccon1 ZINC000349836327 1127164755 /nfs/dbraw/zinc/16/47/55/1127164755.db2.gz RUMIRKSJQFIFQI-QWRGUYRKSA-N 1 2 256.305 3.833 20 0 CHADLO CCC(=O)CCC[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000571758058 1127166128 /nfs/dbraw/zinc/16/61/28/1127166128.db2.gz KMSNQNAZPSVEKU-UHFFFAOYSA-N 1 2 271.813 3.519 20 0 CHADLO CCC(=O)CCC[N@H+]1CCc2sc(Cl)cc2C1 ZINC000571758058 1127166129 /nfs/dbraw/zinc/16/61/29/1127166129.db2.gz KMSNQNAZPSVEKU-UHFFFAOYSA-N 1 2 271.813 3.519 20 0 CHADLO CCCc1cc(C(=O)Nc2ccn3cc[nH+]c3c2)sc1C ZINC000350177551 1127174763 /nfs/dbraw/zinc/17/47/63/1127174763.db2.gz SARTZAYDVWXGFR-UHFFFAOYSA-N 1 2 299.399 3.909 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cnc(C(C)(C)C)nc1 ZINC000284231055 1127178513 /nfs/dbraw/zinc/17/85/13/1127178513.db2.gz DMORIZMIORRNCB-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cnc(C(C)(C)C)nc1 ZINC000284231055 1127178514 /nfs/dbraw/zinc/17/85/14/1127178514.db2.gz DMORIZMIORRNCB-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cc(F)ccc1F ZINC000588169045 1127180531 /nfs/dbraw/zinc/18/05/31/1127180531.db2.gz POHXQUDBMAVPDK-UHFFFAOYSA-N 1 2 251.276 3.580 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cc(F)ccc1F ZINC000588169045 1127180533 /nfs/dbraw/zinc/18/05/33/1127180533.db2.gz POHXQUDBMAVPDK-UHFFFAOYSA-N 1 2 251.276 3.580 20 0 CHADLO Cc1sccc1C[N@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC000351351068 1127187921 /nfs/dbraw/zinc/18/79/21/1127187921.db2.gz MCYKNHVZSDUVQB-UHFFFAOYSA-N 1 2 297.427 3.982 20 0 CHADLO Cc1sccc1C[N@@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC000351351068 1127187923 /nfs/dbraw/zinc/18/79/23/1127187923.db2.gz MCYKNHVZSDUVQB-UHFFFAOYSA-N 1 2 297.427 3.982 20 0 CHADLO CCC[N@H+](Cc1nccn1C(F)F)Cc1ccc(F)cc1 ZINC000351606663 1127197676 /nfs/dbraw/zinc/19/76/76/1127197676.db2.gz FZBUKILSYVAPGJ-UHFFFAOYSA-N 1 2 297.324 3.830 20 0 CHADLO CCC[N@@H+](Cc1nccn1C(F)F)Cc1ccc(F)cc1 ZINC000351606663 1127197677 /nfs/dbraw/zinc/19/76/77/1127197677.db2.gz FZBUKILSYVAPGJ-UHFFFAOYSA-N 1 2 297.324 3.830 20 0 CHADLO Oc1cccc(C[N@@H+]2CCc3ccsc3C2)c1Cl ZINC000351608244 1127198271 /nfs/dbraw/zinc/19/82/71/1127198271.db2.gz OGZSESCCFQIHHS-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1cccc(C[N@H+]2CCc3ccsc3C2)c1Cl ZINC000351608244 1127198274 /nfs/dbraw/zinc/19/82/74/1127198274.db2.gz OGZSESCCFQIHHS-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001237731370 1131133428 /nfs/dbraw/zinc/13/34/28/1131133428.db2.gz PERRFESUKGQFNQ-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001237731370 1131133433 /nfs/dbraw/zinc/13/34/33/1131133433.db2.gz PERRFESUKGQFNQ-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(C(F)(F)F)cn3)ccc21 ZINC000352350122 1127226074 /nfs/dbraw/zinc/22/60/74/1127226074.db2.gz JVARIVCNMWPVMG-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2n[nH]c3ccccc23)C2CC2)cc1 ZINC000589638913 1127231837 /nfs/dbraw/zinc/23/18/37/1127231837.db2.gz GDIYKTXGWSYDSK-SFHVURJKSA-N 1 2 277.371 3.804 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)cn1 ZINC000285677754 1127240982 /nfs/dbraw/zinc/24/09/82/1127240982.db2.gz NKWUQUNNSGTCOG-QWRGUYRKSA-N 1 2 294.349 3.549 20 0 CHADLO C[C@@H](CC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)CC(C)(C)C ZINC000589955808 1127241973 /nfs/dbraw/zinc/24/19/73/1127241973.db2.gz CPWOFKVFJHIUIT-KBPBESRZSA-N 1 2 291.439 3.578 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2occc21)c1c(F)cncc1F ZINC000285740405 1127242102 /nfs/dbraw/zinc/24/21/02/1127242102.db2.gz RHSMNYCLAURPAC-TVQRCGJNSA-N 1 2 278.302 3.681 20 0 CHADLO CN(C)c1cc(CSCc2cccc(Cl)n2)cc[nH+]1 ZINC001116635812 1131157825 /nfs/dbraw/zinc/15/78/25/1131157825.db2.gz LVHQIGFTHJLSAR-UHFFFAOYSA-N 1 2 293.823 3.629 20 0 CHADLO C[C@@H]1CCCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237984951 1131158018 /nfs/dbraw/zinc/15/80/18/1131158018.db2.gz SQNOCRGRXXCTKF-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO C[C@@H]1CCCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237984951 1131158021 /nfs/dbraw/zinc/15/80/21/1131158021.db2.gz SQNOCRGRXXCTKF-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO COC1(C)CCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000286650340 1127270904 /nfs/dbraw/zinc/27/09/04/1127270904.db2.gz XSTDUQCFEVHMCH-UHFFFAOYSA-N 1 2 290.794 3.894 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1conc1Cc1ccccc1 ZINC000353594193 1127271471 /nfs/dbraw/zinc/27/14/71/1127271471.db2.gz MKLUIVVVKFRXBQ-UHFFFAOYSA-N 1 2 296.370 3.799 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1conc1Cc1ccccc1 ZINC000353594193 1127271475 /nfs/dbraw/zinc/27/14/75/1127271475.db2.gz MKLUIVVVKFRXBQ-UHFFFAOYSA-N 1 2 296.370 3.799 20 0 CHADLO COc1ccccc1CNc1ccc([NH+](C)C)cc1C ZINC000057667877 1127271986 /nfs/dbraw/zinc/27/19/86/1127271986.db2.gz JZQAQAXZKVCQPR-UHFFFAOYSA-N 1 2 270.376 3.682 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2cc(C)ccc2C)o1 ZINC000353685581 1127273287 /nfs/dbraw/zinc/27/32/87/1127273287.db2.gz JAMIQRXBWDBISL-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2cc(C)ccc2C)o1 ZINC000353685581 1127273290 /nfs/dbraw/zinc/27/32/90/1127273290.db2.gz JAMIQRXBWDBISL-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCC23CCCC3)cc1Cl ZINC001238147338 1131174432 /nfs/dbraw/zinc/17/44/32/1131174432.db2.gz TZFQUUGMPIRMFW-UHFFFAOYSA-N 1 2 269.747 3.703 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCC23CCCC3)cc1Cl ZINC001238147338 1131174436 /nfs/dbraw/zinc/17/44/36/1131174436.db2.gz TZFQUUGMPIRMFW-UHFFFAOYSA-N 1 2 269.747 3.703 20 0 CHADLO COC[C@@H]1CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000286617547 1127270151 /nfs/dbraw/zinc/27/01/51/1127270151.db2.gz CDWAOOZNACLLIQ-GFCCVEGCSA-N 1 2 290.794 3.751 20 0 CHADLO C[NH+](C)c1ccc(NCc2cccc(F)c2F)cc1 ZINC000057650860 1127270466 /nfs/dbraw/zinc/27/04/66/1127270466.db2.gz JMPYUOMJXFAYEO-UHFFFAOYSA-N 1 2 262.303 3.643 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@H+]1Cc1ccc(F)c(F)c1 ZINC000590938947 1127279040 /nfs/dbraw/zinc/27/90/40/1127279040.db2.gz UHPAZOWHDFNJKE-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@@H+]1Cc1ccc(F)c(F)c1 ZINC000590938947 1127279042 /nfs/dbraw/zinc/27/90/42/1127279042.db2.gz UHPAZOWHDFNJKE-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@H+]1Cc1ccc(F)c(F)c1 ZINC000590938948 1127279184 /nfs/dbraw/zinc/27/91/84/1127279184.db2.gz UHPAZOWHDFNJKE-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@@H+]1Cc1ccc(F)c(F)c1 ZINC000590938948 1127279186 /nfs/dbraw/zinc/27/91/86/1127279186.db2.gz UHPAZOWHDFNJKE-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncc(C3CC3)o2)Cc2ccccc21 ZINC000760809970 1127288046 /nfs/dbraw/zinc/28/80/46/1127288046.db2.gz WVMRVIFLSCLIEW-LBPRGKRZSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncc(C3CC3)o2)Cc2ccccc21 ZINC000760809970 1127288048 /nfs/dbraw/zinc/28/80/48/1127288048.db2.gz WVMRVIFLSCLIEW-LBPRGKRZSA-N 1 2 268.360 3.671 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC000354061121 1127289169 /nfs/dbraw/zinc/28/91/69/1127289169.db2.gz LHVFIPJYSODGHB-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC000354061121 1127289171 /nfs/dbraw/zinc/28/91/71/1127289171.db2.gz LHVFIPJYSODGHB-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1ccc(F)c(C(F)(F)F)c1F ZINC001238249293 1131186167 /nfs/dbraw/zinc/18/61/67/1131186167.db2.gz XXXDOKSKPXYOHI-QMMMGPOBSA-N 1 2 279.252 3.968 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(Cl)c1)c1ccccc1 ZINC000354123121 1127295289 /nfs/dbraw/zinc/29/52/89/1127295289.db2.gz SQFFHVANDLRGCE-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1ccc(F)c(C(F)(F)F)c1F ZINC001238249293 1131186169 /nfs/dbraw/zinc/18/61/69/1131186169.db2.gz XXXDOKSKPXYOHI-QMMMGPOBSA-N 1 2 279.252 3.968 20 0 CHADLO CC(C)c1cnc(SCc2ccc[nH+]c2N)n1C(C)C ZINC000641453545 1127295936 /nfs/dbraw/zinc/29/59/36/1127295936.db2.gz MATUTQMGHDSHDU-UHFFFAOYSA-N 1 2 290.436 3.857 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)[nH]1 ZINC000646118503 1127303191 /nfs/dbraw/zinc/30/31/91/1127303191.db2.gz LHGHDOKVXXVXEV-CJNGLKHVSA-N 1 2 294.402 3.567 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)[nH]1 ZINC000646118503 1127303195 /nfs/dbraw/zinc/30/31/95/1127303195.db2.gz LHGHDOKVXXVXEV-CJNGLKHVSA-N 1 2 294.402 3.567 20 0 CHADLO O[C@H](C[N@@H+]1Cc2cccc(Cl)c2C1)c1ccc(F)cc1 ZINC000287511050 1127309027 /nfs/dbraw/zinc/30/90/27/1127309027.db2.gz UMEHLPFZFRZCEC-MRXNPFEDSA-N 1 2 291.753 3.528 20 0 CHADLO O[C@H](C[N@H+]1Cc2cccc(Cl)c2C1)c1ccc(F)cc1 ZINC000287511050 1127309030 /nfs/dbraw/zinc/30/90/30/1127309030.db2.gz UMEHLPFZFRZCEC-MRXNPFEDSA-N 1 2 291.753 3.528 20 0 CHADLO Cc1cccc([C@H](NC(=O)CCc2[nH]cc[nH+]2)C(C)(C)C)c1 ZINC000287588826 1127310175 /nfs/dbraw/zinc/31/01/75/1127310175.db2.gz GFGBSEGBSYEJBC-KRWDZBQOSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1nnsc1[C@@H](C)[NH2+][C@H](C)c1c(F)cccc1F ZINC000930192019 1127315502 /nfs/dbraw/zinc/31/55/02/1127315502.db2.gz GMWHSTGSDFSMKN-HTQZYQBOSA-N 1 2 283.347 3.537 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)o1)c1nc2c(s1)CCC2 ZINC000355105941 1127317889 /nfs/dbraw/zinc/31/78/89/1127317889.db2.gz MYYCVPWUZNAADS-MRVPVSSYSA-N 1 2 282.796 3.729 20 0 CHADLO Cc1cc(Cl)ccc1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000355288916 1127331595 /nfs/dbraw/zinc/33/15/95/1127331595.db2.gz LCOLKIACSWVEFE-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO C[C@H]1C[C@H](C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C[C@@H](C)C1 ZINC000355354946 1127335686 /nfs/dbraw/zinc/33/56/86/1127335686.db2.gz ZEKCVNJVFYBYDR-FICVDOATSA-N 1 2 297.402 3.883 20 0 CHADLO Cc1ccc(-c2ncc(C[N@@H+]3CC[C@](C)(F)C3)s2)o1 ZINC000355372212 1127336337 /nfs/dbraw/zinc/33/63/37/1127336337.db2.gz YUILKWKOINRWQT-AWEZNQCLSA-N 1 2 280.368 3.645 20 0 CHADLO Cc1ccc(-c2ncc(C[N@H+]3CC[C@](C)(F)C3)s2)o1 ZINC000355372212 1127336341 /nfs/dbraw/zinc/33/63/41/1127336341.db2.gz YUILKWKOINRWQT-AWEZNQCLSA-N 1 2 280.368 3.645 20 0 CHADLO CCCc1cccc(C[NH2+]Cc2nccc(C(F)F)n2)c1 ZINC000288499133 1127342977 /nfs/dbraw/zinc/34/29/77/1127342977.db2.gz RQVNDSWVQVIWHV-UHFFFAOYSA-N 1 2 291.345 3.657 20 0 CHADLO CCCOc1cccc2c(NCCC(C)(C)O)cc[nH+]c12 ZINC000355669854 1127357482 /nfs/dbraw/zinc/35/74/82/1127357482.db2.gz HVPMMQSMSJMTPY-UHFFFAOYSA-N 1 2 288.391 3.597 20 0 CHADLO CCCCC[C@H](NC(=O)CCc1[nH]cc[nH+]1)c1ccccc1 ZINC000289032853 1127370916 /nfs/dbraw/zinc/37/09/16/1127370916.db2.gz JRGNPHNTZQMMSF-INIZCTEOSA-N 1 2 299.418 3.780 20 0 CHADLO Cc1ccc(F)c(CNc2ccc([NH+](C)C)cc2)c1 ZINC000157698386 1127371137 /nfs/dbraw/zinc/37/11/37/1127371137.db2.gz XNYWBIJPASVWKJ-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO CCc1nc(N2C[C@H](C)[C@@H]2c2ccccc2)cc(C)[nH+]1 ZINC000574144614 1127371634 /nfs/dbraw/zinc/37/16/34/1127371634.db2.gz GZHUHNKSHBBLHU-YVEFUNNKSA-N 1 2 267.376 3.545 20 0 CHADLO Cc1c[nH]nc1C[N@H+](Cc1ccccc1F)CC(C)C ZINC000356086622 1127374006 /nfs/dbraw/zinc/37/40/06/1127374006.db2.gz ATPTUKYLPGDRQF-UHFFFAOYSA-N 1 2 275.371 3.515 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](Cc1ccccc1F)CC(C)C ZINC000356086622 1127374011 /nfs/dbraw/zinc/37/40/11/1127374011.db2.gz ATPTUKYLPGDRQF-UHFFFAOYSA-N 1 2 275.371 3.515 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](Cc1ccccc1F)CC(C)C ZINC000356086622 1127374017 /nfs/dbraw/zinc/37/40/17/1127374017.db2.gz ATPTUKYLPGDRQF-UHFFFAOYSA-N 1 2 275.371 3.515 20 0 CHADLO CC(C)CC1(C[NH2+][C@H](c2nnc[nH]2)c2ccccc2)CCC1 ZINC000289211359 1127377273 /nfs/dbraw/zinc/37/72/73/1127377273.db2.gz KMFGUGVABWXGGQ-INIZCTEOSA-N 1 2 298.434 3.700 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1cc(C(C)(C)C)on1 ZINC000289284484 1127380437 /nfs/dbraw/zinc/38/04/37/1127380437.db2.gz AGBDDZZFSUCDLD-LBPRGKRZSA-N 1 2 288.391 3.832 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1csc(C)n1)c1ccc(F)cc1 ZINC000358180482 1127407207 /nfs/dbraw/zinc/40/72/07/1127407207.db2.gz AEJBYSIXXQVEJC-IINYFYTJSA-N 1 2 294.395 3.629 20 0 CHADLO CN(C)c1ccc(NCc2ccc(OCC3CC3)cc2)c[nH+]1 ZINC000358372253 1127418354 /nfs/dbraw/zinc/41/83/54/1127418354.db2.gz AQRAPHKYLRGUNI-UHFFFAOYSA-N 1 2 297.402 3.549 20 0 CHADLO Cc1nnc(C[N@H+](C2CC2)[C@H]2CCCc3ccccc32)s1 ZINC000358495956 1127423910 /nfs/dbraw/zinc/42/39/10/1127423910.db2.gz IJHMGMIVSCBLCM-INIZCTEOSA-N 1 2 299.443 3.889 20 0 CHADLO Cc1nnc(C[N@@H+](C2CC2)[C@H]2CCCc3ccccc32)s1 ZINC000358495956 1127423912 /nfs/dbraw/zinc/42/39/12/1127423912.db2.gz IJHMGMIVSCBLCM-INIZCTEOSA-N 1 2 299.443 3.889 20 0 CHADLO C[C@@H](CSCCF)[NH2+]CC(F)(F)c1cccc(F)c1 ZINC000595060567 1127447720 /nfs/dbraw/zinc/44/77/20/1127447720.db2.gz VPPWFTVLVGANGE-JTQLQIEISA-N 1 2 295.345 3.598 20 0 CHADLO CSc1ccc([C@@H](C)Nc2[nH+]c(C)nc3[nH]ccc32)cc1 ZINC000359294427 1127457356 /nfs/dbraw/zinc/45/73/56/1127457356.db2.gz GIZFIZSTOOWAAG-SNVBAGLBSA-N 1 2 298.415 3.583 20 0 CHADLO CSCC[N@H+](C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000595515113 1127465029 /nfs/dbraw/zinc/46/50/29/1127465029.db2.gz LTTJJDRMCJITPP-VIFPVBQESA-N 1 2 283.828 3.572 20 0 CHADLO CSCC[N@@H+](C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000595515113 1127465030 /nfs/dbraw/zinc/46/50/30/1127465030.db2.gz LTTJJDRMCJITPP-VIFPVBQESA-N 1 2 283.828 3.572 20 0 CHADLO Cc1nc(N[C@H]2CSc3ccccc32)c2c([nH+]1)CCCC2 ZINC000359728435 1127475439 /nfs/dbraw/zinc/47/54/39/1127475439.db2.gz LDRQYTMYFDGJRG-HNNXBMFYSA-N 1 2 297.427 3.923 20 0 CHADLO COC(=O)Cc1cc[nH+]c(N[C@H](C)c2cccc(C)c2C)c1 ZINC000596130038 1127483260 /nfs/dbraw/zinc/48/32/60/1127483260.db2.gz XKICJWWONYKEGQ-CQSZACIVSA-N 1 2 298.386 3.587 20 0 CHADLO FCCCCNc1[nH+]cccc1OCc1ccccc1 ZINC000359967885 1127484925 /nfs/dbraw/zinc/48/49/25/1127484925.db2.gz KSLIKQWCROJAFX-UHFFFAOYSA-N 1 2 274.339 3.822 20 0 CHADLO Cc1ccoc1C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000360108716 1127489947 /nfs/dbraw/zinc/48/99/47/1127489947.db2.gz YLCLCWCQTGPDPZ-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOCC3(CC3)C2)cc1Cl ZINC000360098772 1127490026 /nfs/dbraw/zinc/49/00/26/1127490026.db2.gz PWAODTJHEMORDK-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Clc1ccc(C[N@H+]2CCOCC3(CC3)C2)cc1Cl ZINC000360098772 1127490028 /nfs/dbraw/zinc/49/00/28/1127490028.db2.gz PWAODTJHEMORDK-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO COc1cc(C)[nH+]c(CSC[C@H](OC)C2CCCC2)c1 ZINC000596353561 1127497165 /nfs/dbraw/zinc/49/71/65/1127497165.db2.gz YFEIUAGXJBAPIW-INIZCTEOSA-N 1 2 295.448 3.837 20 0 CHADLO CC(C)(C)CCCC[NH2+][C@@H](c1cccs1)c1nnc[nH]1 ZINC000293698321 1127513561 /nfs/dbraw/zinc/51/35/61/1127513561.db2.gz LGSSLCFUFJYZNM-ZDUSSCGKSA-N 1 2 292.452 3.762 20 0 CHADLO Cc1nc(N[C@@H]2c3ccccc3CCC[C@H]2C)cc[nH+]1 ZINC000360863317 1127516519 /nfs/dbraw/zinc/51/65/19/1127516519.db2.gz BGRCIQGLDRIDEF-PXAZEXFGSA-N 1 2 267.376 3.911 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1ccc2sccc2c1 ZINC000361003186 1127528372 /nfs/dbraw/zinc/52/83/72/1127528372.db2.gz SDXBPEXTDMAGIN-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO Cc1nc(N[C@@H](C)c2nc(-c3ccccc3)cs2)cc[nH+]1 ZINC000361032299 1127531213 /nfs/dbraw/zinc/53/12/13/1127531213.db2.gz OQWUQMPGOZLOMK-NSHDSACASA-N 1 2 296.399 3.504 20 0 CHADLO Cc1cccc(NC(=O)C[N@@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000361063541 1127535013 /nfs/dbraw/zinc/53/50/13/1127535013.db2.gz RWKANVSOKVRASB-CQSZACIVSA-N 1 2 280.371 3.510 20 0 CHADLO Cc1cccc(NC(=O)C[N@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000361063541 1127535015 /nfs/dbraw/zinc/53/50/15/1127535015.db2.gz RWKANVSOKVRASB-CQSZACIVSA-N 1 2 280.371 3.510 20 0 CHADLO Cc1ccccc1NC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000361071697 1127535550 /nfs/dbraw/zinc/53/55/50/1127535550.db2.gz CCEMGDKEEXFMMC-LSDHHAIUSA-N 1 2 294.398 3.899 20 0 CHADLO Cc1ccccc1NC(=O)[C@@H](C)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000361071697 1127535552 /nfs/dbraw/zinc/53/55/52/1127535552.db2.gz CCEMGDKEEXFMMC-LSDHHAIUSA-N 1 2 294.398 3.899 20 0 CHADLO CC[C@H]1[C@@H](C)CC[N@@H+]1Cc1ncc(Br)s1 ZINC000361139325 1127541641 /nfs/dbraw/zinc/54/16/41/1127541641.db2.gz GBUKQSVFQHNARY-IUCAKERBSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1[C@@H](C)CC[N@H+]1Cc1ncc(Br)s1 ZINC000361139325 1127541642 /nfs/dbraw/zinc/54/16/42/1127541642.db2.gz GBUKQSVFQHNARY-IUCAKERBSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@H](CCC1CCCC1)[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000361153878 1127542799 /nfs/dbraw/zinc/54/27/99/1127542799.db2.gz NXGZXBAUCHZUMC-RHSMWYFYSA-N 1 2 298.434 3.843 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@H]3C[C@@H]3C)cc2)c1C ZINC000361237346 1127549054 /nfs/dbraw/zinc/54/90/54/1127549054.db2.gz JPTFLPMITSKMKQ-SMDDNHRTSA-N 1 2 255.365 3.557 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NCc3cccnc3C)cc2)c1C ZINC000361239275 1127549351 /nfs/dbraw/zinc/54/93/51/1127549351.db2.gz UFGWUBRHFWIVSX-UHFFFAOYSA-N 1 2 292.386 3.805 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2cnccc2C)c1 ZINC000361428787 1127563131 /nfs/dbraw/zinc/56/31/31/1127563131.db2.gz ZQJNOEMYYFZDBS-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2cnccc2C)c1 ZINC000361428787 1127563133 /nfs/dbraw/zinc/56/31/33/1127563133.db2.gz ZQJNOEMYYFZDBS-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1ccsc1Cl ZINC000361588536 1127574561 /nfs/dbraw/zinc/57/45/61/1127574561.db2.gz YPYNLFPAWOHHKF-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1ccsc1Cl ZINC000361588536 1127574564 /nfs/dbraw/zinc/57/45/64/1127574564.db2.gz YPYNLFPAWOHHKF-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO Cc1cnccc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC000294514636 1127579993 /nfs/dbraw/zinc/57/99/93/1127579993.db2.gz DQTFHUFLTFVJBB-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cnccc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000294514636 1127579995 /nfs/dbraw/zinc/57/99/95/1127579995.db2.gz DQTFHUFLTFVJBB-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO CCN(Cc1ccc(-n2cc[nH+]c2)cc1C)CC(F)(F)F ZINC000361824341 1127591623 /nfs/dbraw/zinc/59/16/23/1127591623.db2.gz CCPSRJFGGLAVRB-UHFFFAOYSA-N 1 2 297.324 3.565 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1nc(C3CC3)cs1)C2 ZINC000361841266 1127592785 /nfs/dbraw/zinc/59/27/85/1127592785.db2.gz CIPMNWAUPFXJML-UHFFFAOYSA-N 1 2 284.428 3.887 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1nc(C3CC3)cs1)C2 ZINC000361841266 1127592788 /nfs/dbraw/zinc/59/27/88/1127592788.db2.gz CIPMNWAUPFXJML-UHFFFAOYSA-N 1 2 284.428 3.887 20 0 CHADLO Cc1cncc(C[N@@H+]2CCc3cc(Cl)ccc3C2)c1 ZINC000361846979 1127593952 /nfs/dbraw/zinc/59/39/52/1127593952.db2.gz ACBKWANBOJDFLH-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cncc(C[N@H+]2CCc3cc(Cl)ccc3C2)c1 ZINC000361846979 1127593955 /nfs/dbraw/zinc/59/39/55/1127593955.db2.gz ACBKWANBOJDFLH-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cccc(F)c2N)c1 ZINC000602577002 1127596297 /nfs/dbraw/zinc/59/62/97/1127596297.db2.gz MUQNYZDUOWEDBT-UHFFFAOYSA-N 1 2 291.395 3.668 20 0 CHADLO Cc1cc(C[NH2+][C@@H](c2nc(C3CC3)no2)C(C)C)cs1 ZINC000294986754 1127612516 /nfs/dbraw/zinc/61/25/16/1127612516.db2.gz GTLBTNDYVSFBIV-CYBMUJFWSA-N 1 2 291.420 3.804 20 0 CHADLO CC(=O)C1CC[NH+](Cc2cccc(Cl)c2Cl)CC1 ZINC000228815570 1127615412 /nfs/dbraw/zinc/61/54/12/1127615412.db2.gz ZUSQSWRNVWILOF-UHFFFAOYSA-N 1 2 286.202 3.794 20 0 CHADLO C[C@H](c1ccc(C[N@H+](C)Cc2ccon2)cc1)C(F)(F)F ZINC000295023027 1127614818 /nfs/dbraw/zinc/61/48/18/1127614818.db2.gz MHJSRLJFIDGNBU-LLVKDONJSA-N 1 2 298.308 3.972 20 0 CHADLO C[C@H](c1ccc(C[N@@H+](C)Cc2ccon2)cc1)C(F)(F)F ZINC000295023027 1127614819 /nfs/dbraw/zinc/61/48/19/1127614819.db2.gz MHJSRLJFIDGNBU-LLVKDONJSA-N 1 2 298.308 3.972 20 0 CHADLO Cc1nocc1C[N@@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000295239804 1127629332 /nfs/dbraw/zinc/62/93/32/1127629332.db2.gz DTTDLIWBYOVEHG-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1nocc1C[N@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000295239804 1127629333 /nfs/dbraw/zinc/62/93/33/1127629333.db2.gz DTTDLIWBYOVEHG-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1ccccc1OC(C)C ZINC000295341349 1127636366 /nfs/dbraw/zinc/63/63/66/1127636366.db2.gz YETAGJLMMDEFBB-CQSZACIVSA-N 1 2 287.407 3.541 20 0 CHADLO CC(C)c1noc(C[NH2+][C@@H](C)c2cccc(C3CC3)c2)n1 ZINC000295327711 1127634829 /nfs/dbraw/zinc/63/48/29/1127634829.db2.gz HDUYSYTYMKDSHQ-LBPRGKRZSA-N 1 2 285.391 3.921 20 0 CHADLO Fc1ccccc1CC[N@@H+](CC(F)F)C1CCCC1 ZINC000603412429 1127646583 /nfs/dbraw/zinc/64/65/83/1127646583.db2.gz IEYGPPPISUPRIL-UHFFFAOYSA-N 1 2 271.326 3.878 20 0 CHADLO Fc1ccccc1CC[N@H+](CC(F)F)C1CCCC1 ZINC000603412429 1127646586 /nfs/dbraw/zinc/64/65/86/1127646586.db2.gz IEYGPPPISUPRIL-UHFFFAOYSA-N 1 2 271.326 3.878 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3CSC[C@@H]3C)cc2)c1C ZINC000295963051 1127658883 /nfs/dbraw/zinc/65/88/83/1127658883.db2.gz HZHPOHHFVWQUEQ-MEDUHNTESA-N 1 2 287.432 3.653 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](C)c2c(F)cncc2F)c1F ZINC000296125154 1127663790 /nfs/dbraw/zinc/66/37/90/1127663790.db2.gz TYRBTXHMPPDMSK-JTQLQIEISA-N 1 2 280.293 3.658 20 0 CHADLO Cc1cccc(C[NH2+][C@H](C)c2c(F)cncc2F)c1F ZINC000296125157 1127663805 /nfs/dbraw/zinc/66/38/05/1127663805.db2.gz TYRBTXHMPPDMSK-SNVBAGLBSA-N 1 2 280.293 3.658 20 0 CHADLO Fc1cccc(F)c1C[N@H+](CCc1cscn1)C1CC1 ZINC000296059575 1127661824 /nfs/dbraw/zinc/66/18/24/1127661824.db2.gz RANNHHZHISVRRV-UHFFFAOYSA-N 1 2 294.370 3.628 20 0 CHADLO Fc1cccc(F)c1C[N@@H+](CCc1cscn1)C1CC1 ZINC000296059575 1127661825 /nfs/dbraw/zinc/66/18/25/1127661825.db2.gz RANNHHZHISVRRV-UHFFFAOYSA-N 1 2 294.370 3.628 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@@H](C)n2cc[nH+]c2)c1 ZINC001118884722 1131240047 /nfs/dbraw/zinc/24/00/47/1131240047.db2.gz OQVMNMFWMJWBQU-CHWSQXEVSA-N 1 2 271.364 3.596 20 0 CHADLO CCCCOC(=O)C[N@H+](CC)Cc1cccc(Cl)c1 ZINC000061254526 1127669947 /nfs/dbraw/zinc/66/99/47/1127669947.db2.gz ORJJMWVTIANTHB-UHFFFAOYSA-N 1 2 283.799 3.505 20 0 CHADLO CCCCOC(=O)C[N@@H+](CC)Cc1cccc(Cl)c1 ZINC000061254526 1127669949 /nfs/dbraw/zinc/66/99/49/1127669949.db2.gz ORJJMWVTIANTHB-UHFFFAOYSA-N 1 2 283.799 3.505 20 0 CHADLO CC(C)c1ccsc1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000603819509 1127673215 /nfs/dbraw/zinc/67/32/15/1127673215.db2.gz NKMLWFDKJQKYDR-UHFFFAOYSA-N 1 2 285.372 3.772 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ncc(C(F)(F)F)cn2)cc1 ZINC000296466386 1127673307 /nfs/dbraw/zinc/67/33/07/1127673307.db2.gz NOTQQGDUIQWIIW-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO CC(C)=C[C@@H]1[C@@H](C(=O)Nc2ccc3[nH+]ccn3c2)C1(C)C ZINC000603882816 1127679825 /nfs/dbraw/zinc/67/98/25/1127679825.db2.gz YUBYBSHABPGUSO-HIFRSBDPSA-N 1 2 283.375 3.511 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1ccc(C(F)F)cc1 ZINC000603884211 1127680554 /nfs/dbraw/zinc/68/05/54/1127680554.db2.gz BNDWHVFEVMLLQC-UHFFFAOYSA-N 1 2 287.269 3.524 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc2[nH+]ccn2c1)CC(C)(C)C ZINC000603884348 1127680598 /nfs/dbraw/zinc/68/05/98/1127680598.db2.gz UHXXDKOSNGWXFL-LBPRGKRZSA-N 1 2 273.380 3.735 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2cc(F)cnc2Cl)o1 ZINC000297470409 1127697778 /nfs/dbraw/zinc/69/77/78/1127697778.db2.gz YOZDSKNYICJEDN-SECBINFHSA-N 1 2 268.719 3.626 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000297853770 1127710498 /nfs/dbraw/zinc/71/04/98/1127710498.db2.gz SZHJRBCCPSEZKG-IPYPFGDCSA-N 1 2 285.391 3.638 20 0 CHADLO COCc1cc(C[N@H+](C)Cc2ccc3ccccc3c2)no1 ZINC000297877031 1127710745 /nfs/dbraw/zinc/71/07/45/1127710745.db2.gz QCFAEPPUQMJLMH-UHFFFAOYSA-N 1 2 296.370 3.606 20 0 CHADLO COCc1cc(C[N@@H+](C)Cc2ccc3ccccc3c2)no1 ZINC000297877031 1127710749 /nfs/dbraw/zinc/71/07/49/1127710749.db2.gz QCFAEPPUQMJLMH-UHFFFAOYSA-N 1 2 296.370 3.606 20 0 CHADLO CCC[N@H+](Cc1ccc(F)cc1)[C@H](C)c1nc(CC)no1 ZINC000298180144 1127715575 /nfs/dbraw/zinc/71/55/75/1127715575.db2.gz RMZKZZKMWMTUNQ-GFCCVEGCSA-N 1 2 291.370 3.744 20 0 CHADLO CCC[N@@H+](Cc1ccc(F)cc1)[C@H](C)c1nc(CC)no1 ZINC000298180144 1127715578 /nfs/dbraw/zinc/71/55/78/1127715578.db2.gz RMZKZZKMWMTUNQ-GFCCVEGCSA-N 1 2 291.370 3.744 20 0 CHADLO Cc1cc(N[C@@H](c2ccccc2C)C2CC2)nc(C2CC2)[nH+]1 ZINC000604756394 1127715831 /nfs/dbraw/zinc/71/58/31/1127715831.db2.gz CAJZSBGMAJXKHX-GOSISDBHSA-N 1 2 293.414 3.956 20 0 CHADLO Cc1cc(N2CCOc3ccccc3[C@H]2C)nc(C2CC2)[nH+]1 ZINC000604757054 1127716043 /nfs/dbraw/zinc/71/60/43/1127716043.db2.gz ZDTXHNVJWDLSKQ-CYBMUJFWSA-N 1 2 295.386 3.622 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@H+]2Cc2ccc(OCC3CC3)cc2)n1 ZINC000365263187 1127725116 /nfs/dbraw/zinc/72/51/16/1127725116.db2.gz UQTWWTLSMUGVQZ-QGZVFWFLSA-N 1 2 297.402 3.536 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@@H+]2Cc2ccc(OCC3CC3)cc2)n1 ZINC000365263187 1127725120 /nfs/dbraw/zinc/72/51/20/1127725120.db2.gz UQTWWTLSMUGVQZ-QGZVFWFLSA-N 1 2 297.402 3.536 20 0 CHADLO Fc1ccccc1C1CCN(c2cccc[nH+]2)CC1 ZINC000605570423 1127742037 /nfs/dbraw/zinc/74/20/37/1127742037.db2.gz IHBBAHNMEUYTPK-UHFFFAOYSA-N 1 2 256.324 3.605 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2c1cccc2Cl)c1ccco1 ZINC000366265147 1127745318 /nfs/dbraw/zinc/74/53/18/1127745318.db2.gz GZVHAVOOESKBTH-CABZTGNLSA-N 1 2 263.724 3.717 20 0 CHADLO COc1ccccc1/C=C/C[N@@H+]1CCC[C@@H]1c1cc(C)on1 ZINC000367229835 1127752191 /nfs/dbraw/zinc/75/21/91/1127752191.db2.gz UYBXVMYPXJQBMO-ZGRWHYIRSA-N 1 2 298.386 3.842 20 0 CHADLO COc1ccccc1/C=C/C[N@H+]1CCC[C@@H]1c1cc(C)on1 ZINC000367229835 1127752194 /nfs/dbraw/zinc/75/21/94/1127752194.db2.gz UYBXVMYPXJQBMO-ZGRWHYIRSA-N 1 2 298.386 3.842 20 0 CHADLO O=C(CC/C=C\c1ccccc1)Nc1ccc2[nH+]ccn2c1 ZINC000606198531 1127757403 /nfs/dbraw/zinc/75/74/03/1127757403.db2.gz JGGJAOSWDGZVPQ-YWEYNIOJSA-N 1 2 291.354 3.766 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2c(C)cccc2C)no1 ZINC000606431674 1127762110 /nfs/dbraw/zinc/76/21/10/1127762110.db2.gz KCGMTULJOIWNDU-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2c(C)cccc2C)no1 ZINC000606431674 1127762111 /nfs/dbraw/zinc/76/21/11/1127762111.db2.gz KCGMTULJOIWNDU-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nnc(C[N@@H+]2C[C@@H]3CCCC[C@@]32c2ccccc2)s1 ZINC000371449821 1127766647 /nfs/dbraw/zinc/76/66/47/1127766647.db2.gz IHMGYNJPMVPBLZ-DOTOQJQBSA-N 1 2 299.443 3.748 20 0 CHADLO Cc1nnc(C[N@H+]2C[C@@H]3CCCC[C@@]32c2ccccc2)s1 ZINC000371449821 1127766651 /nfs/dbraw/zinc/76/66/51/1127766651.db2.gz IHMGYNJPMVPBLZ-DOTOQJQBSA-N 1 2 299.443 3.748 20 0 CHADLO Cn1c2ccccc2[nH+]c1N[C@@H]1CCCC[C@H]1OC(F)F ZINC000409537204 1127774449 /nfs/dbraw/zinc/77/44/49/1127774449.db2.gz PMEWZPRRPWUYIZ-DGCLKSJQSA-N 1 2 295.333 3.536 20 0 CHADLO CC[C@@H]1CCCCCN1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606951012 1127784146 /nfs/dbraw/zinc/78/41/46/1127784146.db2.gz YALIZCNRAGLQSV-CQSZACIVSA-N 1 2 286.379 3.521 20 0 CHADLO CC(C)C[C@@H]1CCCCC[N@@H+]1Cc1nnsc1Cl ZINC000607156991 1127796240 /nfs/dbraw/zinc/79/62/40/1127796240.db2.gz QZFZZOUADMEQCG-NSHDSACASA-N 1 2 287.860 3.982 20 0 CHADLO CC(C)C[C@@H]1CCCCC[N@H+]1Cc1nnsc1Cl ZINC000607156991 1127796242 /nfs/dbraw/zinc/79/62/42/1127796242.db2.gz QZFZZOUADMEQCG-NSHDSACASA-N 1 2 287.860 3.982 20 0 CHADLO CC1=C[C@H](C)C[C@@H](C[NH2+]CC(F)(F)Br)C1 ZINC000380171103 1127817840 /nfs/dbraw/zinc/81/78/40/1127817840.db2.gz DMXIRWDWTSBOEP-WCBMZHEXSA-N 1 2 282.172 3.556 20 0 CHADLO CC[C@]1(C)CCC[N@H+](Cn2nc(C(C)C)n(C)c2=S)C1 ZINC000173422749 1127826952 /nfs/dbraw/zinc/82/69/52/1127826952.db2.gz XFTOGKZTQYQOAJ-OAHLLOKOSA-N 1 2 296.484 3.544 20 0 CHADLO CC[C@]1(C)CCC[N@@H+](Cn2nc(C(C)C)n(C)c2=S)C1 ZINC000173422749 1127826954 /nfs/dbraw/zinc/82/69/54/1127826954.db2.gz XFTOGKZTQYQOAJ-OAHLLOKOSA-N 1 2 296.484 3.544 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)c1ccc(F)cc1 ZINC000373699059 1127857413 /nfs/dbraw/zinc/85/74/13/1127857413.db2.gz VJRNSHHGQCZVQA-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+]([C@H](C)c1cccnc1)CC3 ZINC000373970094 1127868078 /nfs/dbraw/zinc/86/80/78/1127868078.db2.gz LEHWYNQQGVGTPB-CQSZACIVSA-N 1 2 291.398 3.991 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+]([C@H](C)c1cccnc1)CC3 ZINC000373970094 1127868081 /nfs/dbraw/zinc/86/80/81/1127868081.db2.gz LEHWYNQQGVGTPB-CQSZACIVSA-N 1 2 291.398 3.991 20 0 CHADLO Cc1ccc([C@@H]2CCC[C@H]2[N@H+](C)CC(F)F)cc1 ZINC000608501477 1127871272 /nfs/dbraw/zinc/87/12/72/1127871272.db2.gz WJYPEFHAXZQQAB-UONOGXRCSA-N 1 2 253.336 3.828 20 0 CHADLO Cc1ccc([C@@H]2CCC[C@H]2[N@@H+](C)CC(F)F)cc1 ZINC000608501477 1127871276 /nfs/dbraw/zinc/87/12/76/1127871276.db2.gz WJYPEFHAXZQQAB-UONOGXRCSA-N 1 2 253.336 3.828 20 0 CHADLO FC[C@@H]1C[N@H+](Cc2c(Cl)cccc2Cl)CCCO1 ZINC000411845874 1127874973 /nfs/dbraw/zinc/87/49/73/1127874973.db2.gz FFIVGBJEVGTIHY-SNVBAGLBSA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@@H]1C[N@@H+](Cc2c(Cl)cccc2Cl)CCCO1 ZINC000411845874 1127874976 /nfs/dbraw/zinc/87/49/76/1127874976.db2.gz FFIVGBJEVGTIHY-SNVBAGLBSA-N 1 2 292.181 3.554 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)[nH]1 ZINC000411812840 1127875900 /nfs/dbraw/zinc/87/59/00/1127875900.db2.gz AFLGKPGKSOHUOC-BBRMVZONSA-N 1 2 296.418 3.791 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)[nH]1 ZINC000411812840 1127875903 /nfs/dbraw/zinc/87/59/03/1127875903.db2.gz AFLGKPGKSOHUOC-BBRMVZONSA-N 1 2 296.418 3.791 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1cccc(C)c1)C2 ZINC000411925547 1127880537 /nfs/dbraw/zinc/88/05/37/1127880537.db2.gz NSQCCCIQHURIBR-UHFFFAOYSA-N 1 2 265.356 3.713 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1cccc(C)c1)C2 ZINC000411925547 1127880539 /nfs/dbraw/zinc/88/05/39/1127880539.db2.gz NSQCCCIQHURIBR-UHFFFAOYSA-N 1 2 265.356 3.713 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](CCc1ccccc1F)C2 ZINC000411959854 1127882654 /nfs/dbraw/zinc/88/26/54/1127882654.db2.gz SDAZSYFFUOKPCP-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](CCc1ccccc1F)C2 ZINC000411959854 1127882658 /nfs/dbraw/zinc/88/26/58/1127882658.db2.gz SDAZSYFFUOKPCP-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CCc1ccccc1C[N@@H+]1Cc2ccc(C(C)=O)cc2C1 ZINC000411963194 1127882843 /nfs/dbraw/zinc/88/28/43/1127882843.db2.gz VKZQMWVXMDDXST-UHFFFAOYSA-N 1 2 279.383 3.967 20 0 CHADLO CCc1ccccc1C[N@H+]1Cc2ccc(C(C)=O)cc2C1 ZINC000411963194 1127882847 /nfs/dbraw/zinc/88/28/47/1127882847.db2.gz VKZQMWVXMDDXST-UHFFFAOYSA-N 1 2 279.383 3.967 20 0 CHADLO CC[N@H+](Cc1nc2ccccc2o1)Cc1occc1C ZINC000412036153 1127890609 /nfs/dbraw/zinc/89/06/09/1127890609.db2.gz HCGMDDCENPDQNR-UHFFFAOYSA-N 1 2 270.332 3.751 20 0 CHADLO CC[N@@H+](Cc1nc2ccccc2o1)Cc1occc1C ZINC000412036153 1127890612 /nfs/dbraw/zinc/89/06/12/1127890612.db2.gz HCGMDDCENPDQNR-UHFFFAOYSA-N 1 2 270.332 3.751 20 0 CHADLO COc1ccc(C[N@H+](C)[C@H](C)c2ncc(C)o2)cc1Cl ZINC000412047878 1127893565 /nfs/dbraw/zinc/89/35/65/1127893565.db2.gz VRHSZAFJRWRNGS-LLVKDONJSA-N 1 2 294.782 3.838 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@H](C)c2ncc(C)o2)cc1Cl ZINC000412047878 1127893568 /nfs/dbraw/zinc/89/35/68/1127893568.db2.gz VRHSZAFJRWRNGS-LLVKDONJSA-N 1 2 294.782 3.838 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1ccc(F)c(F)c1Cl ZINC001232873798 1127894678 /nfs/dbraw/zinc/89/46/78/1127894678.db2.gz IKOHGWZHSAPOJX-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1ccc(F)c(F)c1Cl ZINC001232873798 1127894683 /nfs/dbraw/zinc/89/46/83/1127894683.db2.gz IKOHGWZHSAPOJX-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)c2cc(C)ccc2C)c1 ZINC000535293598 1127912351 /nfs/dbraw/zinc/91/23/51/1127912351.db2.gz KJTVSHZTVAGXSM-UHFFFAOYSA-N 1 2 270.376 3.652 20 0 CHADLO Cc1cncc(C[N@H+](C)Cc2ccc(Cl)c(Cl)c2)n1 ZINC001203164193 1127933685 /nfs/dbraw/zinc/93/36/85/1127933685.db2.gz TUQVTBHTENAUJD-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cncc(C[N@@H+](C)Cc2ccc(Cl)c(Cl)c2)n1 ZINC001203164193 1127933688 /nfs/dbraw/zinc/93/36/88/1127933688.db2.gz TUQVTBHTENAUJD-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1Cc1cnc(C(C)(C)C)s1 ZINC000539833948 1127946004 /nfs/dbraw/zinc/94/60/04/1127946004.db2.gz WRPTVYHYLAXINE-CYBMUJFWSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1Cc1cnc(C(C)(C)C)s1 ZINC000539833948 1127946007 /nfs/dbraw/zinc/94/60/07/1127946007.db2.gz WRPTVYHYLAXINE-CYBMUJFWSA-N 1 2 296.480 3.688 20 0 CHADLO Fc1ccc(/C=C/C[N@@H+]2CCOC[C@@H]2c2ccccc2)cc1 ZINC000539850950 1127947493 /nfs/dbraw/zinc/94/74/93/1127947493.db2.gz JJJSOTZXDPVZMN-VZSQWMKGSA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc(/C=C/C[N@H+]2CCOC[C@@H]2c2ccccc2)cc1 ZINC000539850950 1127947494 /nfs/dbraw/zinc/94/74/94/1127947494.db2.gz JJJSOTZXDPVZMN-VZSQWMKGSA-N 1 2 297.373 3.912 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2CCC2(C)C)cc1Cl ZINC000539837823 1127947017 /nfs/dbraw/zinc/94/70/17/1127947017.db2.gz GHTCSDVMOKXCFR-UHFFFAOYSA-N 1 2 274.191 3.986 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2CCC2(C)C)cc1Cl ZINC000539837823 1127947021 /nfs/dbraw/zinc/94/70/21/1127947021.db2.gz GHTCSDVMOKXCFR-UHFFFAOYSA-N 1 2 274.191 3.986 20 0 CHADLO COCc1cccc(CNc2ccc([NH+](C)C)cc2C)c1 ZINC000088553168 1127960406 /nfs/dbraw/zinc/96/04/06/1127960406.db2.gz IGXSCMAZECFGEJ-UHFFFAOYSA-N 1 2 284.403 3.820 20 0 CHADLO CNc1ccc(Nc2cc(C)nn2-c2ccccc2F)c[nH+]1 ZINC001203449852 1127963982 /nfs/dbraw/zinc/96/39/82/1127963982.db2.gz NHQRPAWORMIVDD-UHFFFAOYSA-N 1 2 297.337 3.500 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@@H]4CC[C@@H](C)C4)n3)[nH+]c12 ZINC000544610572 1127978650 /nfs/dbraw/zinc/97/86/50/1127978650.db2.gz YMUKETIUCZZTFQ-DGCLKSJQSA-N 1 2 296.374 3.520 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2c(Cl)cccc2N2CCCC2)C1 ZINC000540504709 1127982118 /nfs/dbraw/zinc/98/21/18/1127982118.db2.gz HJEMGHLOMCDVQL-INIZCTEOSA-N 1 2 296.817 3.874 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2c(Cl)cccc2N2CCCC2)C1 ZINC000540504709 1127982122 /nfs/dbraw/zinc/98/21/22/1127982122.db2.gz HJEMGHLOMCDVQL-INIZCTEOSA-N 1 2 296.817 3.874 20 0 CHADLO C(=C/[C@@H]1CCC[N@H+]1Cc1nnc(C2CC2)o1)\c1ccccc1 ZINC000540944877 1128002124 /nfs/dbraw/zinc/00/21/24/1128002124.db2.gz IKTGQLYBJFVVJP-KXKDPZRNSA-N 1 2 295.386 3.625 20 0 CHADLO C(=C/[C@@H]1CCC[N@@H+]1Cc1nnc(C2CC2)o1)\c1ccccc1 ZINC000540944877 1128002128 /nfs/dbraw/zinc/00/21/28/1128002128.db2.gz IKTGQLYBJFVVJP-KXKDPZRNSA-N 1 2 295.386 3.625 20 0 CHADLO C[N@@H+](Cc1noc(C2CCCCC2)n1)C[C@@H]1CC=CCC1 ZINC000541314550 1128016980 /nfs/dbraw/zinc/01/69/80/1128016980.db2.gz FWVWFHZFAGUQNT-CQSZACIVSA-N 1 2 289.423 3.905 20 0 CHADLO C[N@H+](Cc1noc(C2CCCCC2)n1)C[C@@H]1CC=CCC1 ZINC000541314550 1128016983 /nfs/dbraw/zinc/01/69/83/1128016983.db2.gz FWVWFHZFAGUQNT-CQSZACIVSA-N 1 2 289.423 3.905 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C)sc1C ZINC001233693192 1128021773 /nfs/dbraw/zinc/02/17/73/1128021773.db2.gz SDERFWPEJHRCBV-NSHDSACASA-N 1 2 283.437 3.527 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C)sc1C ZINC001233693192 1128021778 /nfs/dbraw/zinc/02/17/78/1128021778.db2.gz SDERFWPEJHRCBV-NSHDSACASA-N 1 2 283.437 3.527 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)C1CCSCC1)C(F)(F)F ZINC000469243930 1128038523 /nfs/dbraw/zinc/03/85/23/1128038523.db2.gz WCPIAFDQZCYHFJ-KOLCDFICSA-N 1 2 269.376 3.695 20 0 CHADLO Cc1cccc(C[NH2+]Cc2nc(-c3ccccc3)no2)c1C ZINC000469233465 1128039336 /nfs/dbraw/zinc/03/93/36/1128039336.db2.gz WLKYCQVPQQIOEW-UHFFFAOYSA-N 1 2 293.370 3.643 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccc(Cl)cc1 ZINC000302761629 1128041572 /nfs/dbraw/zinc/04/15/72/1128041572.db2.gz WCWUFZKZLAUASY-GFCCVEGCSA-N 1 2 260.768 3.718 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccc(Cl)cc1 ZINC000302761629 1128041578 /nfs/dbraw/zinc/04/15/78/1128041578.db2.gz WCWUFZKZLAUASY-GFCCVEGCSA-N 1 2 260.768 3.718 20 0 CHADLO C[C@H]1COc2ccccc2C[N@@H+]1Cc1ccc(F)c(F)c1 ZINC000542012161 1128047554 /nfs/dbraw/zinc/04/75/54/1128047554.db2.gz OQLVDYDKHQHFIC-LBPRGKRZSA-N 1 2 289.325 3.748 20 0 CHADLO C[C@H]1COc2ccccc2C[N@H+]1Cc1ccc(F)c(F)c1 ZINC000542012161 1128047559 /nfs/dbraw/zinc/04/75/59/1128047559.db2.gz OQLVDYDKHQHFIC-LBPRGKRZSA-N 1 2 289.325 3.748 20 0 CHADLO C[N@H+](CCOCC(F)F)Cc1c(Cl)cccc1Cl ZINC000469612018 1128048427 /nfs/dbraw/zinc/04/84/27/1128048427.db2.gz VABFBIHONBWKPI-UHFFFAOYSA-N 1 2 298.160 3.707 20 0 CHADLO C[N@@H+](CCOCC(F)F)Cc1c(Cl)cccc1Cl ZINC000469612018 1128048430 /nfs/dbraw/zinc/04/84/30/1128048430.db2.gz VABFBIHONBWKPI-UHFFFAOYSA-N 1 2 298.160 3.707 20 0 CHADLO Oc1ccc(-c2ccc3[nH+]ccn3c2)c(C(F)(F)F)c1 ZINC001205086870 1128072633 /nfs/dbraw/zinc/07/26/33/1128072633.db2.gz AKIPQZVFKQVHEE-UHFFFAOYSA-N 1 2 278.233 3.726 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470909639 1128080149 /nfs/dbraw/zinc/08/01/49/1128080149.db2.gz UEJXOBFHHIOWON-UVWXRNBGSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470909639 1128080151 /nfs/dbraw/zinc/08/01/51/1128080151.db2.gz UEJXOBFHHIOWON-UVWXRNBGSA-N 1 2 281.346 3.525 20 0 CHADLO CC(C)C[C@H](c1nc(CCC(C)(C)C)no1)n1cc[nH+]c1 ZINC000924062192 1128088596 /nfs/dbraw/zinc/08/85/96/1128088596.db2.gz NFQRTNOFIVLGRZ-CYBMUJFWSA-N 1 2 290.411 3.880 20 0 CHADLO CCC(C)(C)c1noc([C@@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000924158208 1128092349 /nfs/dbraw/zinc/09/23/49/1128092349.db2.gz PSZSOTYCKSVQFN-GFCCVEGCSA-N 1 2 276.384 3.589 20 0 CHADLO CC(C)[C@@H](C)CC(=O)N[C@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000173931540 1128095546 /nfs/dbraw/zinc/09/55/46/1128095546.db2.gz VBNIHESLIDTTRL-LSDHHAIUSA-N 1 2 299.418 3.732 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1Cl)c1cn2c(n1)CCCC2 ZINC000924529979 1128102172 /nfs/dbraw/zinc/10/21/72/1128102172.db2.gz UWTZNDCDBCJRMR-GFCCVEGCSA-N 1 2 289.810 3.724 20 0 CHADLO Fc1ccccc1C[NH2+][C@H]1COCc2cccc(Cl)c21 ZINC000924550024 1128104307 /nfs/dbraw/zinc/10/43/07/1128104307.db2.gz GSXUOZVOGPSUOD-HNNXBMFYSA-N 1 2 291.753 3.840 20 0 CHADLO CC(C)COC[C@H]([NH2+][C@@H](C)c1ccns1)c1ccco1 ZINC000924889762 1128115880 /nfs/dbraw/zinc/11/58/80/1128115880.db2.gz MRDRBBMATWKVES-STQMWFEESA-N 1 2 294.420 3.801 20 0 CHADLO Cc1noc(C)c1CC[NH2+][C@H](C)C(F)(F)c1ccccc1 ZINC000925001914 1128118786 /nfs/dbraw/zinc/11/87/86/1128118786.db2.gz HSDFWAVYOHSDIE-CYBMUJFWSA-N 1 2 294.345 3.604 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(N2CCCC2)c1)c1ncco1 ZINC000925257050 1128126868 /nfs/dbraw/zinc/12/68/68/1128126868.db2.gz KYUIDDWSCHOFEL-UONOGXRCSA-N 1 2 285.391 3.687 20 0 CHADLO CC(C)[C@@H]1COCC[C@@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000925728805 1128138734 /nfs/dbraw/zinc/13/87/34/1128138734.db2.gz WMANVOLBFPVTDD-ROUUACIJSA-N 1 2 288.435 3.760 20 0 CHADLO CC(C)[C@@H]1COCC[C@@H]1[NH2+]c1ccc(N2CCCC2)cc1 ZINC000925728805 1128138735 /nfs/dbraw/zinc/13/87/35/1128138735.db2.gz WMANVOLBFPVTDD-ROUUACIJSA-N 1 2 288.435 3.760 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1ccns1 ZINC000925727381 1128138766 /nfs/dbraw/zinc/13/87/66/1128138766.db2.gz OQPQKXUDUCMYSU-LBPRGKRZSA-N 1 2 273.405 3.916 20 0 CHADLO C[C@@H](Cc1ccccn1)Nc1ccc([NH+]2CCCC2)cc1 ZINC000925726241 1128138990 /nfs/dbraw/zinc/13/89/90/1128138990.db2.gz HCULPUFNVUBRGA-HNNXBMFYSA-N 1 2 281.403 3.725 20 0 CHADLO COc1ccnc([C@H](C)Nc2ccc([NH+]3CCCC3)cc2)c1 ZINC000925725895 1128139240 /nfs/dbraw/zinc/13/92/40/1128139240.db2.gz DEXAEAHKWHWREW-AWEZNQCLSA-N 1 2 297.402 3.864 20 0 CHADLO CC(C)(C)c1nnc(C[N@@H+]2CCCC[C@@H]2c2ccccc2)[nH]1 ZINC000432867713 1128158202 /nfs/dbraw/zinc/15/82/02/1128158202.db2.gz WNCSHINJVDTPHG-OAHLLOKOSA-N 1 2 298.434 3.829 20 0 CHADLO CC(C)(C)c1nnc(C[N@H+]2CCCC[C@@H]2c2ccccc2)[nH]1 ZINC000432867713 1128158206 /nfs/dbraw/zinc/15/82/06/1128158206.db2.gz WNCSHINJVDTPHG-OAHLLOKOSA-N 1 2 298.434 3.829 20 0 CHADLO CCCc1csc(C[NH2+]Cc2ccc(OC)cc2F)n1 ZINC000494189377 1128159717 /nfs/dbraw/zinc/15/97/17/1128159717.db2.gz RAJAMWTVJLFGMJ-UHFFFAOYSA-N 1 2 294.395 3.533 20 0 CHADLO CCCn1c(C)nnc1C[N@H+](C)C/C(C)=C\c1ccccc1 ZINC000494273686 1128168343 /nfs/dbraw/zinc/16/83/43/1128168343.db2.gz KDLKCBFZNQRZHL-QINSGFPZSA-N 1 2 298.434 3.532 20 0 CHADLO CCCn1c(C)nnc1C[N@@H+](C)C/C(C)=C\c1ccccc1 ZINC000494273686 1128168345 /nfs/dbraw/zinc/16/83/45/1128168345.db2.gz KDLKCBFZNQRZHL-QINSGFPZSA-N 1 2 298.434 3.532 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@H](C)c1nonc1C ZINC000926361078 1128169599 /nfs/dbraw/zinc/16/95/99/1128169599.db2.gz OBWXMHMFYZWJRU-NXEZZACHSA-N 1 2 277.393 3.512 20 0 CHADLO C[C@@H]([NH2+]CCC(C)(F)F)C(F)(F)c1ccccc1 ZINC000926495591 1128175581 /nfs/dbraw/zinc/17/55/81/1128175581.db2.gz RYZIBTLMFPBOPF-SNVBAGLBSA-N 1 2 263.278 3.802 20 0 CHADLO C[C@H]1CC[N@H+](CCc2c(F)cccc2Cl)C[C@H]1F ZINC001168465594 1128176783 /nfs/dbraw/zinc/17/67/83/1128176783.db2.gz DZFRPSWSGMIEFN-IINYFYTJSA-N 1 2 273.754 3.702 20 0 CHADLO C[C@H]1CC[N@@H+](CCc2c(F)cccc2Cl)C[C@H]1F ZINC001168465594 1128176785 /nfs/dbraw/zinc/17/67/85/1128176785.db2.gz DZFRPSWSGMIEFN-IINYFYTJSA-N 1 2 273.754 3.702 20 0 CHADLO c1cc(N2CCCCC2)ccc1[NH2+]C[C@@H]1CCSC1 ZINC000224346945 1128182402 /nfs/dbraw/zinc/18/24/02/1128182402.db2.gz PBSHISFIGWCQQE-AWEZNQCLSA-N 1 2 276.449 3.842 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1coc2ccccc21)c1csnn1 ZINC000926590681 1128182904 /nfs/dbraw/zinc/18/29/04/1128182904.db2.gz UMAIEKXFEHHNHZ-UWVGGRQHSA-N 1 2 273.361 3.696 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccnn2CC2CCC2)c[nH+]c1C ZINC000926855600 1128198442 /nfs/dbraw/zinc/19/84/42/1128198442.db2.gz MHVJZNIZCSIGCB-AWEZNQCLSA-N 1 2 284.407 3.868 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc(C(C)(C)O)cc1 ZINC000926757982 1128191960 /nfs/dbraw/zinc/19/19/60/1128191960.db2.gz YZYLZDYWSFQCCC-NSHDSACASA-N 1 2 271.351 3.610 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2c(F)ccc(C)c2F)on1 ZINC000929289683 1128268567 /nfs/dbraw/zinc/26/85/67/1128268567.db2.gz YYXUMCYFDOLUPY-AWEZNQCLSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2c(F)ccc(C)c2F)on1 ZINC000929289683 1128268569 /nfs/dbraw/zinc/26/85/69/1128268569.db2.gz YYXUMCYFDOLUPY-AWEZNQCLSA-N 1 2 292.329 3.907 20 0 CHADLO COc1c[nH+]c(N[C@@H](c2ccccn2)C(F)(F)F)cc1C ZINC001168482458 1128251695 /nfs/dbraw/zinc/25/16/95/1128251695.db2.gz WYQCLJGYUFEUHP-ZDUSSCGKSA-N 1 2 297.280 3.509 20 0 CHADLO COc1c[nH+]c(N[C@H](c2ccccn2)C(F)(F)F)cc1C ZINC001168482457 1128251901 /nfs/dbraw/zinc/25/19/01/1128251901.db2.gz WYQCLJGYUFEUHP-CYBMUJFWSA-N 1 2 297.280 3.509 20 0 CHADLO CSc1cc(F)c(OC2C[NH+](C(C)(C)C)C2)cc1F ZINC001234926993 1128262667 /nfs/dbraw/zinc/26/26/67/1128262667.db2.gz KBACSWNWXXYCNN-UHFFFAOYSA-N 1 2 287.375 3.548 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](C)c2ccco2)c(F)c1 ZINC000927093745 1128216361 /nfs/dbraw/zinc/21/63/61/1128216361.db2.gz GEDMQZFFQIBWDQ-SNVBAGLBSA-N 1 2 251.276 3.717 20 0 CHADLO C[C@@H](c1ncccn1)[N@H+](C)Cc1csc2ccccc12 ZINC000929264055 1128266744 /nfs/dbraw/zinc/26/67/44/1128266744.db2.gz KGQRZFPTEYJABR-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1ncccn1)[N@@H+](C)Cc1csc2ccccc12 ZINC000929264055 1128266745 /nfs/dbraw/zinc/26/67/45/1128266745.db2.gz KGQRZFPTEYJABR-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[N@H+](Cc1sccc1Cl)Cc1ccc2c(c1)OCO2 ZINC000495061170 1128226054 /nfs/dbraw/zinc/22/60/54/1128226054.db2.gz SPZOVKKOYWHLDT-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO C[N@@H+](Cc1sccc1Cl)Cc1ccc2c(c1)OCO2 ZINC000495061170 1128226056 /nfs/dbraw/zinc/22/60/56/1128226056.db2.gz SPZOVKKOYWHLDT-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc([NH+](C)C)c(C)c2)C12CCC2 ZINC000777642927 1128233316 /nfs/dbraw/zinc/23/33/16/1128233316.db2.gz GNBWUAGYXUEVHM-DLBZAZTESA-N 1 2 288.435 3.821 20 0 CHADLO CC(C)[C@@H](C)[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000777659831 1128234401 /nfs/dbraw/zinc/23/44/01/1128234401.db2.gz MQYRCPQDKLLIMH-QLFBSQMISA-N 1 2 276.424 3.757 20 0 CHADLO CC[C@H]1CCC[N@H+](Cn2nc(C(C)C)n(C)c2=S)CC1 ZINC000153336184 1128237001 /nfs/dbraw/zinc/23/70/01/1128237001.db2.gz IEGPOISRVVNYBE-ZDUSSCGKSA-N 1 2 296.484 3.544 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cn2nc(C(C)C)n(C)c2=S)CC1 ZINC000153336184 1128237003 /nfs/dbraw/zinc/23/70/03/1128237003.db2.gz IEGPOISRVVNYBE-ZDUSSCGKSA-N 1 2 296.484 3.544 20 0 CHADLO Cc1cccc(C2(Nc3ccc([NH+](C)C)c(C)c3)COC2)c1 ZINC001168479474 1128240076 /nfs/dbraw/zinc/24/00/76/1128240076.db2.gz XXIQQVIYAIDVAJ-UHFFFAOYSA-N 1 2 296.414 3.707 20 0 CHADLO C[C@@H]1CC2(CCC2)C[N@@H+]1Cn1nc(C(C)(C)C)oc1=S ZINC000762139670 1128273595 /nfs/dbraw/zinc/27/35/95/1128273595.db2.gz BYJKUJISNWAHMM-LLVKDONJSA-N 1 2 295.452 3.725 20 0 CHADLO C[C@@H]1CC2(CCC2)C[N@H+]1Cn1nc(C(C)(C)C)oc1=S ZINC000762139670 1128273599 /nfs/dbraw/zinc/27/35/99/1128273599.db2.gz BYJKUJISNWAHMM-LLVKDONJSA-N 1 2 295.452 3.725 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@H]3CCCC3(F)F)cc2[nH+]1 ZINC000929611378 1128279478 /nfs/dbraw/zinc/27/94/78/1128279478.db2.gz KHPUTPUEAKMWAL-SNVBAGLBSA-N 1 2 293.317 3.635 20 0 CHADLO CC[C@H]([NH2+][C@H](CC(C)(C)C)C(F)(F)F)[C@H]1CCOC1 ZINC000495395965 1128280564 /nfs/dbraw/zinc/28/05/64/1128280564.db2.gz KHPZVKLDPLSBSF-SDDRHHMPSA-N 1 2 281.362 3.758 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)c(C)nn1 ZINC000929786188 1128287828 /nfs/dbraw/zinc/28/78/28/1128287828.db2.gz DGPNMRZNAIVJDK-INIZCTEOSA-N 1 2 287.794 3.694 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)c(C)nn1 ZINC000929786188 1128287832 /nfs/dbraw/zinc/28/78/32/1128287832.db2.gz DGPNMRZNAIVJDK-INIZCTEOSA-N 1 2 287.794 3.694 20 0 CHADLO CNc1ccc(Nc2cnccc2C2CCC2)c[nH+]1 ZINC001203451815 1128294331 /nfs/dbraw/zinc/29/43/31/1128294331.db2.gz DLVSDYYRHXZNSX-UHFFFAOYSA-N 1 2 254.337 3.529 20 0 CHADLO FC1=CCC[N@H+](C[C@@H]2[C@@H](c3ccccc3)C2(F)F)C1 ZINC000930175730 1128304859 /nfs/dbraw/zinc/30/48/59/1128304859.db2.gz VGCFYDFUHNFSJJ-ZIAGYGMSSA-N 1 2 267.294 3.594 20 0 CHADLO FC1=CCC[N@@H+](C[C@@H]2[C@@H](c3ccccc3)C2(F)F)C1 ZINC000930175730 1128304863 /nfs/dbraw/zinc/30/48/63/1128304863.db2.gz VGCFYDFUHNFSJJ-ZIAGYGMSSA-N 1 2 267.294 3.594 20 0 CHADLO Cc1ccc(F)c(C[NH+]2CCC(F)(F)CC2)c1F ZINC000930293288 1128312401 /nfs/dbraw/zinc/31/24/01/1128312401.db2.gz PTQOLRBJFYEIMV-UHFFFAOYSA-N 1 2 261.262 3.504 20 0 CHADLO CC[C@H](CC(=O)Nc1ccc(C)[nH+]c1N(C)C)C(C)(C)C ZINC001127365243 1128313344 /nfs/dbraw/zinc/31/33/44/1128313344.db2.gz IEFYWOQPKRJTKY-CYBMUJFWSA-N 1 2 291.439 3.857 20 0 CHADLO CC[C@H]1c2ccccc2CN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000930626773 1128328453 /nfs/dbraw/zinc/32/84/53/1128328453.db2.gz OLODKGPVAFCHJP-KRWDZBQOSA-N 1 2 295.386 3.619 20 0 CHADLO CC(C)Cc1ccccc1C[NH2+][C@@H](C)c1csnn1 ZINC000930724974 1128332359 /nfs/dbraw/zinc/33/23/59/1128332359.db2.gz BCQCLBYZHPMIIW-LBPRGKRZSA-N 1 2 275.421 3.587 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3c(F)cncc3F)CCC2)c1 ZINC000930814441 1128335997 /nfs/dbraw/zinc/33/59/97/1128335997.db2.gz NZVSOJDMHFBADM-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)c1ncccn1)c1ccccc1F ZINC000930968938 1128341630 /nfs/dbraw/zinc/34/16/30/1128341630.db2.gz NEANYFROGZFBIS-SWLSCSKDSA-N 1 2 273.355 3.664 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@H+]([C@@H](C)c1ncccn1)CC2 ZINC000930963420 1128342534 /nfs/dbraw/zinc/34/25/34/1128342534.db2.gz UTWBLSXGVMNSKB-AWEZNQCLSA-N 1 2 281.403 3.719 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@@H+]([C@@H](C)c1ncccn1)CC2 ZINC000930963420 1128342539 /nfs/dbraw/zinc/34/25/39/1128342539.db2.gz UTWBLSXGVMNSKB-AWEZNQCLSA-N 1 2 281.403 3.719 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)cc1 ZINC000054225561 1128360001 /nfs/dbraw/zinc/36/00/01/1128360001.db2.gz YXDMAGHMDXFKGD-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@@H]2c2nc(C)no2)s1 ZINC000561974459 1128366638 /nfs/dbraw/zinc/36/66/38/1128366638.db2.gz AURGVVKNMMBMRA-CQSZACIVSA-N 1 2 291.420 3.729 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@@H]2c2nc(C)no2)s1 ZINC000561974459 1128366641 /nfs/dbraw/zinc/36/66/41/1128366641.db2.gz AURGVVKNMMBMRA-CQSZACIVSA-N 1 2 291.420 3.729 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(F)cc2C)oc1C ZINC000932564376 1128415322 /nfs/dbraw/zinc/41/53/22/1128415322.db2.gz ZBALWFGTCALABE-NSHDSACASA-N 1 2 262.328 3.590 20 0 CHADLO C[C@H](CNc1ccccc1C[NH+]1CCOCC1)C(C)(C)C ZINC000670265952 1128375378 /nfs/dbraw/zinc/37/53/78/1128375378.db2.gz DZMDTDQVEIHWTN-OAHLLOKOSA-N 1 2 290.451 3.613 20 0 CHADLO FC1(F)C[C@@]2(CC[N@H+](C/C(Cl)=C\Cl)C2)C1(F)F ZINC000931920681 1128387869 /nfs/dbraw/zinc/38/78/69/1128387869.db2.gz ZGPLLTBGHNUEMW-HOOMWESVSA-N 1 2 292.103 3.672 20 0 CHADLO FC1(F)C[C@@]2(CC[N@@H+](C/C(Cl)=C\Cl)C2)C1(F)F ZINC000931920681 1128387872 /nfs/dbraw/zinc/38/78/72/1128387872.db2.gz ZGPLLTBGHNUEMW-HOOMWESVSA-N 1 2 292.103 3.672 20 0 CHADLO Cc1ccc(-c2ccc(OC(C)(C)C)cc2)[nH+]c1N ZINC001235927477 1128394789 /nfs/dbraw/zinc/39/47/89/1128394789.db2.gz ZMYHCYNHNGWDFL-UHFFFAOYSA-N 1 2 256.349 3.817 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[N@H+](C)Cc1cnccn1 ZINC000562472734 1128404326 /nfs/dbraw/zinc/40/43/26/1128404326.db2.gz GJAVZBJCIYWETO-LBPRGKRZSA-N 1 2 290.436 3.558 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[N@@H+](C)Cc1cnccn1 ZINC000562472734 1128404327 /nfs/dbraw/zinc/40/43/27/1128404327.db2.gz GJAVZBJCIYWETO-LBPRGKRZSA-N 1 2 290.436 3.558 20 0 CHADLO C[N@H+](Cc1cc(O)cc(F)c1)Cc1cc(Cl)ccc1F ZINC000562579889 1128412249 /nfs/dbraw/zinc/41/22/49/1128412249.db2.gz AMSYGSUNVLVNGN-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cc(O)cc(F)c1)Cc1cc(Cl)ccc1F ZINC000562579889 1128412251 /nfs/dbraw/zinc/41/22/51/1128412251.db2.gz AMSYGSUNVLVNGN-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO CCn1c[nH+]c2c1CCN([C@H](C)c1cccc(Cl)c1)C2 ZINC000933262179 1128432756 /nfs/dbraw/zinc/43/27/56/1128432756.db2.gz ZWNKWIOEQZAJBI-GFCCVEGCSA-N 1 2 289.810 3.676 20 0 CHADLO Cc1cccc(C)c1C[N@H+](C)Cc1coc(C2CC2)n1 ZINC000933762512 1128441675 /nfs/dbraw/zinc/44/16/75/1128441675.db2.gz CEMHQGCCNGBHFS-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1cccc(C)c1C[N@@H+](C)Cc1coc(C2CC2)n1 ZINC000933762512 1128441677 /nfs/dbraw/zinc/44/16/77/1128441677.db2.gz CEMHQGCCNGBHFS-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO C[C@H]([NH2+]C/C(Cl)=C/Cl)c1cnn(CC2CCC2)c1 ZINC000934561827 1128463419 /nfs/dbraw/zinc/46/34/19/1128463419.db2.gz ISCHQSDQRYHSQC-JHXMEDKLSA-N 1 2 288.222 3.653 20 0 CHADLO CCC(CC)CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000126622250 1128465095 /nfs/dbraw/zinc/46/50/95/1128465095.db2.gz IUBUFXUVTLVEBV-UHFFFAOYSA-N 1 2 271.364 3.842 20 0 CHADLO Clc1ccccc1[C@H]1C[N@@H+]([C@H]2C=CCCC2)CCO1 ZINC000066532621 1128474824 /nfs/dbraw/zinc/47/48/24/1128474824.db2.gz WBHBYOTTWSFBGE-XJKSGUPXSA-N 1 2 277.795 3.822 20 0 CHADLO Clc1ccccc1[C@H]1C[N@H+]([C@H]2C=CCCC2)CCO1 ZINC000066532621 1128474826 /nfs/dbraw/zinc/47/48/26/1128474826.db2.gz WBHBYOTTWSFBGE-XJKSGUPXSA-N 1 2 277.795 3.822 20 0 CHADLO CNc1ccc(Nc2ccsc2Br)c[nH+]1 ZINC001203454628 1128479218 /nfs/dbraw/zinc/47/92/18/1128479218.db2.gz HGFOLUULOPRWDO-UHFFFAOYSA-N 1 2 284.182 3.691 20 0 CHADLO CCC[C@H](C)CC[C@H](C)[N@@H+]1CCCC(=O)[C@@H](F)C1 ZINC001257475915 1128479331 /nfs/dbraw/zinc/47/93/31/1128479331.db2.gz IFBPKULVXGKCSH-IHRRRGAJSA-N 1 2 257.393 3.594 20 0 CHADLO CCC[C@H](C)CC[C@H](C)[N@H+]1CCCC(=O)[C@@H](F)C1 ZINC001257475915 1128479332 /nfs/dbraw/zinc/47/93/32/1128479332.db2.gz IFBPKULVXGKCSH-IHRRRGAJSA-N 1 2 257.393 3.594 20 0 CHADLO Cc1cc(NC(=O)CCCc2c[nH]c3ccccc23)cc[nH+]1 ZINC000127670971 1128481124 /nfs/dbraw/zinc/48/11/24/1128481124.db2.gz INIHBCOBCVDYGO-UHFFFAOYSA-N 1 2 293.370 3.833 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)C/C=C\c2ccc(Cl)cc2)n1 ZINC000563968865 1128487643 /nfs/dbraw/zinc/48/76/43/1128487643.db2.gz GVHQZHWHXKJSSH-WYGGZMRJSA-N 1 2 291.782 3.738 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)C/C=C\c2ccc(Cl)cc2)n1 ZINC000563968865 1128487644 /nfs/dbraw/zinc/48/76/44/1128487644.db2.gz GVHQZHWHXKJSSH-WYGGZMRJSA-N 1 2 291.782 3.738 20 0 CHADLO CC(C)OC[C@H]1C[N@@H+]([C@@H](C)c2ccc(Cl)cc2)CCO1 ZINC000564130104 1128494745 /nfs/dbraw/zinc/49/47/45/1128494745.db2.gz WNDWYXNJFYBHQT-XJKSGUPXSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@H]1C[N@H+]([C@@H](C)c2ccc(Cl)cc2)CCO1 ZINC000564130104 1128494748 /nfs/dbraw/zinc/49/47/48/1128494748.db2.gz WNDWYXNJFYBHQT-XJKSGUPXSA-N 1 2 297.826 3.527 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(Cc3ccccc3)n2)[C@H]1C ZINC000564231992 1128499470 /nfs/dbraw/zinc/49/94/70/1128499470.db2.gz RUELTOPTUCVQAQ-STQMWFEESA-N 1 2 272.417 3.574 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(Cc3ccccc3)n2)[C@H]1C ZINC000564231992 1128499472 /nfs/dbraw/zinc/49/94/72/1128499472.db2.gz RUELTOPTUCVQAQ-STQMWFEESA-N 1 2 272.417 3.574 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cc2Cl)[C@@H]1[C@H]1CCCO1 ZINC000564396952 1128507027 /nfs/dbraw/zinc/50/70/27/1128507027.db2.gz VFQLAYUZKFTCBE-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cc2Cl)[C@@H]1[C@H]1CCCO1 ZINC000564396952 1128507030 /nfs/dbraw/zinc/50/70/30/1128507030.db2.gz VFQLAYUZKFTCBE-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cn(C)cn1)c1cc(F)ccc1F ZINC000925454794 1128558786 /nfs/dbraw/zinc/55/87/86/1128558786.db2.gz ZVTFAGUNQBWKJG-IINYFYTJSA-N 1 2 279.334 3.500 20 0 CHADLO CC(C)c1csc(SCc2ccc(N)[nH+]c2)n1 ZINC000917935768 1128525541 /nfs/dbraw/zinc/52/55/41/1128525541.db2.gz BGHGBVSMMGPSAF-UHFFFAOYSA-N 1 2 265.407 3.536 20 0 CHADLO OC1(CNc2cc3ccccc3c[nH+]2)CCC(F)(F)CC1 ZINC000631373294 1128527308 /nfs/dbraw/zinc/52/73/08/1128527308.db2.gz XMBPOBHSKZFEEA-UHFFFAOYSA-N 1 2 292.329 3.587 20 0 CHADLO c1cc(N[C@@H]2CCC[C@H]3C[C@H]32)[nH+]cc1N1CCCCC1 ZINC000565787070 1128532702 /nfs/dbraw/zinc/53/27/02/1128532702.db2.gz AHZOLFSJEVFOJF-NUEKZKHPSA-N 1 2 271.408 3.672 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@@H](C)c1ccccn1 ZINC000129223663 1128535143 /nfs/dbraw/zinc/53/51/43/1128535143.db2.gz UZPKOTLVOGGBFZ-NWDGAFQWSA-N 1 2 274.339 3.641 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1nsc2ccccc12 ZINC000660139552 1128535579 /nfs/dbraw/zinc/53/55/79/1128535579.db2.gz CGZDKBNSBLVXPO-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1nsc2ccccc12 ZINC000660139552 1128535580 /nfs/dbraw/zinc/53/55/80/1128535580.db2.gz CGZDKBNSBLVXPO-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO COc1cccc(C[N@H+](C)[C@H](C)c2cccnc2)c1Cl ZINC000660142072 1128560466 /nfs/dbraw/zinc/56/04/66/1128560466.db2.gz ZNBTTZWPBZVLBN-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@H](C)c2cccnc2)c1Cl ZINC000660142072 1128560468 /nfs/dbraw/zinc/56/04/68/1128560468.db2.gz ZNBTTZWPBZVLBN-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO CCCCCCC(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000918368097 1128542722 /nfs/dbraw/zinc/54/27/22/1128542722.db2.gz XUMUQHJXMCANDW-UHFFFAOYSA-N 1 2 289.354 3.920 20 0 CHADLO C[C@@H](CC#N)[N@H+](C)Cc1cc(Cl)nc2ccccc12 ZINC000154723005 1128544785 /nfs/dbraw/zinc/54/47/85/1128544785.db2.gz KSTIXHBLXTUCNU-NSHDSACASA-N 1 2 273.767 3.622 20 0 CHADLO C[C@@H](CC#N)[N@@H+](C)Cc1cc(Cl)nc2ccccc12 ZINC000154723005 1128544788 /nfs/dbraw/zinc/54/47/88/1128544788.db2.gz KSTIXHBLXTUCNU-NSHDSACASA-N 1 2 273.767 3.622 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(OC)no1)c1cc(F)ccc1F ZINC000925452987 1128546200 /nfs/dbraw/zinc/54/62/00/1128546200.db2.gz KVGDHGFWIDXOQY-RNCFNFMXSA-N 1 2 296.317 3.763 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(C)cc2OC(C)C)no1 ZINC000566768164 1128551606 /nfs/dbraw/zinc/55/16/06/1128551606.db2.gz WWEAKASYOMZMRR-CQSZACIVSA-N 1 2 288.391 3.929 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1nsc2ccccc12 ZINC000660141449 1128553471 /nfs/dbraw/zinc/55/34/71/1128553471.db2.gz VENUOWOPEGXYFE-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1nsc2ccccc12 ZINC000660141449 1128553473 /nfs/dbraw/zinc/55/34/73/1128553473.db2.gz VENUOWOPEGXYFE-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO CC[C@@H]1CC[C@H](C)[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000566980278 1128555026 /nfs/dbraw/zinc/55/50/26/1128555026.db2.gz PUIZDNMDZKRRFT-DTWKUNHWSA-N 1 2 278.343 3.925 20 0 CHADLO CC[C@@H]1CC[C@H](C)[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000566980278 1128555027 /nfs/dbraw/zinc/55/50/27/1128555027.db2.gz PUIZDNMDZKRRFT-DTWKUNHWSA-N 1 2 278.343 3.925 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)c2ccccc2)c(C)[nH+]1 ZINC000566982516 1128555939 /nfs/dbraw/zinc/55/59/39/1128555939.db2.gz WQJCUYNRRHIIFV-UHFFFAOYSA-N 1 2 269.348 3.675 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)NCCCCn1cc[nH+]c1 ZINC000567939266 1128575482 /nfs/dbraw/zinc/57/54/82/1128575482.db2.gz UGMOOKDDUCVVOU-INIZCTEOSA-N 1 2 291.439 3.552 20 0 CHADLO Cc1[nH]c(CN2CCC(=Cc3cccc(F)c3)CC2)c(C)[nH+]1 ZINC000930051877 1128585916 /nfs/dbraw/zinc/58/59/16/1128585916.db2.gz HCZAIQNHNBXOIA-UHFFFAOYSA-N 1 2 299.393 3.845 20 0 CHADLO FC1(F)[C@@H]2C[C@@H](Cn3c[nH+]c(Cc4ccccc4)c3)C[C@@H]21 ZINC000919157563 1128591956 /nfs/dbraw/zinc/59/19/56/1128591956.db2.gz XUTQMKQSWMBWKS-MKZZRHPPSA-N 1 2 288.341 3.765 20 0 CHADLO c1[nH+]c(Cc2ccccc2)cn1C[C@H]1CCC2(CCCC2)O1 ZINC000919149437 1128592242 /nfs/dbraw/zinc/59/22/42/1128592242.db2.gz CDSIHIWQTHWKMS-GOSISDBHSA-N 1 2 296.414 3.966 20 0 CHADLO FCCCCC[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000556501824 1128598182 /nfs/dbraw/zinc/59/81/82/1128598182.db2.gz NXZUIKRLNCVDMF-OAHLLOKOSA-N 1 2 285.790 3.853 20 0 CHADLO FCCCCC[N@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000556501824 1128598184 /nfs/dbraw/zinc/59/81/84/1128598184.db2.gz NXZUIKRLNCVDMF-OAHLLOKOSA-N 1 2 285.790 3.853 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1cc(C(C)(C)C)on1 ZINC000569860348 1128610671 /nfs/dbraw/zinc/61/06/71/1128610671.db2.gz VULNZSVGFAJPAS-GXSJLCMTSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1cc(C(C)(C)C)on1 ZINC000569860348 1128610673 /nfs/dbraw/zinc/61/06/73/1128610673.db2.gz VULNZSVGFAJPAS-GXSJLCMTSA-N 1 2 290.329 3.745 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(OC)cc2F)cs1 ZINC000570336916 1128621078 /nfs/dbraw/zinc/62/10/78/1128621078.db2.gz KZTSRHJFUVVSRL-JTQLQIEISA-N 1 2 294.395 3.704 20 0 CHADLO CCC[C@H](C)c1noc([C@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923869152 1128622419 /nfs/dbraw/zinc/62/24/19/1128622419.db2.gz QDFDOUCWHLGTND-STQMWFEESA-N 1 2 276.384 3.805 20 0 CHADLO CCCc1noc(C[N@H+](C2CC2)[C@@H]2CCc3ccccc32)n1 ZINC000072580442 1128623752 /nfs/dbraw/zinc/62/37/52/1128623752.db2.gz NBARHMJFIQXLKJ-MRXNPFEDSA-N 1 2 297.402 3.674 20 0 CHADLO CCCc1noc(C[N@@H+](C2CC2)[C@@H]2CCc3ccccc32)n1 ZINC000072580442 1128623753 /nfs/dbraw/zinc/62/37/53/1128623753.db2.gz NBARHMJFIQXLKJ-MRXNPFEDSA-N 1 2 297.402 3.674 20 0 CHADLO Cc1cc(NC(=O)c2ccc(F)c3ccccc23)c(C)c[nH+]1 ZINC000570453578 1128624425 /nfs/dbraw/zinc/62/44/25/1128624425.db2.gz XXSJKFRNYZGLBV-UHFFFAOYSA-N 1 2 294.329 3.665 20 0 CHADLO COc1cc(C)cc(F)c1-c1cccc2[nH+]c(C)cn21 ZINC001236222418 1128625992 /nfs/dbraw/zinc/62/59/92/1128625992.db2.gz NWCSHXFYZFMQHY-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO COC(=[NH2+])c1cccc(-c2c(F)cc(C)cc2OC)c1 ZINC001236230938 1128627737 /nfs/dbraw/zinc/62/77/37/1128627737.db2.gz AAPOMHRNAJMSTF-UHFFFAOYSA-N 1 2 273.307 3.781 20 0 CHADLO Cc1cc(NC(=O)CCSc2ccccc2)cc[nH+]1 ZINC000072709796 1128628816 /nfs/dbraw/zinc/62/88/16/1128628816.db2.gz PAAYYMHTCVOTGQ-UHFFFAOYSA-N 1 2 272.373 3.511 20 0 CHADLO Clc1ccc(C[N@@H+]2Cc3ccccc3C3(CC3)C2)nc1 ZINC000572830544 1128648371 /nfs/dbraw/zinc/64/83/71/1128648371.db2.gz QHRINRSPUDWOIT-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Clc1ccc(C[N@H+]2Cc3ccccc3C3(CC3)C2)nc1 ZINC000572830544 1128648373 /nfs/dbraw/zinc/64/83/73/1128648373.db2.gz QHRINRSPUDWOIT-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@@H](C)c2ccccc21 ZINC000572983705 1128650423 /nfs/dbraw/zinc/65/04/23/1128650423.db2.gz ZBXBKNAGYDKGSP-KGLIPLIRSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@@H](C)c2ccccc21 ZINC000572983705 1128650425 /nfs/dbraw/zinc/65/04/25/1128650425.db2.gz ZBXBKNAGYDKGSP-KGLIPLIRSA-N 1 2 297.402 3.519 20 0 CHADLO CC1(C)CC[C@H]1Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000572503040 1128645677 /nfs/dbraw/zinc/64/56/77/1128645677.db2.gz WPDSBZMQAHDZJC-CQSZACIVSA-N 1 2 259.397 3.672 20 0 CHADLO O=C(C[N@H+]1CC=CCC1)Nc1ccc(-c2ccccc2)cc1 ZINC000778967748 1128672901 /nfs/dbraw/zinc/67/29/01/1128672901.db2.gz VLCGJMSHYVITBR-UHFFFAOYSA-N 1 2 292.382 3.554 20 0 CHADLO O=C(C[N@@H+]1CC=CCC1)Nc1ccc(-c2ccccc2)cc1 ZINC000778967748 1128672905 /nfs/dbraw/zinc/67/29/05/1128672905.db2.gz VLCGJMSHYVITBR-UHFFFAOYSA-N 1 2 292.382 3.554 20 0 CHADLO COC(=O)CCCCOc1cc(C)[nH+]c2c(C)cccc12 ZINC000600672470 1128677170 /nfs/dbraw/zinc/67/71/70/1128677170.db2.gz LCQBGDOPGBDMBS-UHFFFAOYSA-N 1 2 287.359 3.574 20 0 CHADLO Cc1cc(CO[NH+]=C(N)Cc2ccccc2)c(C)s1 ZINC000920401129 1128680497 /nfs/dbraw/zinc/68/04/97/1128680497.db2.gz WSIGEMILINHBJN-UHFFFAOYSA-N 1 2 274.389 3.606 20 0 CHADLO CCc1ncc(C[N@H+](C)Cc2ccc(Cl)c(F)c2)o1 ZINC000930132949 1128686805 /nfs/dbraw/zinc/68/68/05/1128686805.db2.gz BRBCAXIXIUKOLY-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1ncc(C[N@@H+](C)Cc2ccc(Cl)c(F)c2)o1 ZINC000930132949 1128686807 /nfs/dbraw/zinc/68/68/07/1128686807.db2.gz BRBCAXIXIUKOLY-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCC1CCC([N@H+](C)Cn2ncsc2=S)CC1 ZINC000921046317 1128699707 /nfs/dbraw/zinc/69/97/07/1128699707.db2.gz NMQDNRQSNHSKPT-UHFFFAOYSA-N 1 2 271.455 3.532 20 0 CHADLO CCC1CCC([N@@H+](C)Cn2ncsc2=S)CC1 ZINC000921046317 1128699709 /nfs/dbraw/zinc/69/97/09/1128699709.db2.gz NMQDNRQSNHSKPT-UHFFFAOYSA-N 1 2 271.455 3.532 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cn2cc(Cl)cn2)c1 ZINC000921186916 1128702487 /nfs/dbraw/zinc/70/24/87/1128702487.db2.gz UIVGVARDYJYGLE-OAHLLOKOSA-N 1 2 275.783 3.640 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cn2cc(Cl)cn2)c1 ZINC000921186916 1128702488 /nfs/dbraw/zinc/70/24/88/1128702488.db2.gz UIVGVARDYJYGLE-OAHLLOKOSA-N 1 2 275.783 3.640 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(C3CC3)cc2)o1 ZINC000578174513 1128710402 /nfs/dbraw/zinc/71/04/02/1128710402.db2.gz RXUPZKNTWNECMA-GFCCVEGCSA-N 1 2 270.376 3.965 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nccn2C(F)F)cc1 ZINC000078561583 1128721484 /nfs/dbraw/zinc/72/14/84/1128721484.db2.gz DIHVYQSLHWNZNY-LBPRGKRZSA-N 1 2 279.334 3.780 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nccn2C(F)F)cc1 ZINC000078561583 1128721486 /nfs/dbraw/zinc/72/14/86/1128721486.db2.gz DIHVYQSLHWNZNY-LBPRGKRZSA-N 1 2 279.334 3.780 20 0 CHADLO CNc1ccc(Nc2cc(OC)c(Cl)cc2OC)c[nH+]1 ZINC001203455087 1128724371 /nfs/dbraw/zinc/72/43/71/1128724371.db2.gz PRAIMYYCYUKWFT-UHFFFAOYSA-N 1 2 293.754 3.538 20 0 CHADLO COc1ccc(N)cc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213499116 1117748772 /nfs/dbraw/zinc/74/87/72/1117748772.db2.gz CQPUUPQHGWQSOJ-UHFFFAOYSA-N 1 2 269.348 3.602 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](C[C@@H](C)c1ccccc1)C2 ZINC001207914418 1117763758 /nfs/dbraw/zinc/76/37/58/1117763758.db2.gz ULTQNEOYXUGRSU-LLVKDONJSA-N 1 2 258.390 3.571 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCCC3(CC3)C2)c(C)[nH+]1 ZINC000622776633 1117765706 /nfs/dbraw/zinc/76/57/06/1117765706.db2.gz OGUFEROMGZUXLJ-CQSZACIVSA-N 1 2 272.392 3.916 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccccc2OC2CCCC2)[nH]c1C ZINC000579733413 1117768268 /nfs/dbraw/zinc/76/82/68/1117768268.db2.gz CRNYTWLURLTYLQ-UHFFFAOYSA-N 1 2 299.418 3.638 20 0 CHADLO Cc1[nH]c(CNCc2ccccc2OC2CCCC2)[nH+]c1C ZINC000579733413 1117768271 /nfs/dbraw/zinc/76/82/71/1117768271.db2.gz CRNYTWLURLTYLQ-UHFFFAOYSA-N 1 2 299.418 3.638 20 0 CHADLO c1csc([C@@H]2CCCN2c2cccc(C3CC3)[nH+]2)n1 ZINC001167048917 1117773213 /nfs/dbraw/zinc/77/32/13/1117773213.db2.gz VDQBQILPSFEOAH-ZDUSSCGKSA-N 1 2 271.389 3.757 20 0 CHADLO C[C@H]1CC(=O)CC[N@@H+]1Cc1ccc(F)c2ccccc12 ZINC001139889774 1117782156 /nfs/dbraw/zinc/78/21/56/1117782156.db2.gz LRRONEMMYWJVPR-LBPRGKRZSA-N 1 2 271.335 3.532 20 0 CHADLO C[C@H]1CC(=O)CC[N@H+]1Cc1ccc(F)c2ccccc12 ZINC001139889774 1117782159 /nfs/dbraw/zinc/78/21/59/1117782159.db2.gz LRRONEMMYWJVPR-LBPRGKRZSA-N 1 2 271.335 3.532 20 0 CHADLO Cc1c(Cl)cccc1NC(=O)c1cccc2[nH+]ccn21 ZINC000082324756 1117813731 /nfs/dbraw/zinc/81/37/31/1117813731.db2.gz ZKDYOXKKXXWJHV-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO CCCCOc1ccc([C@H](C)[NH2+]Cc2cocn2)cc1 ZINC000671298965 1117815873 /nfs/dbraw/zinc/81/58/73/1117815873.db2.gz CVNGKEXKHDULPQ-ZDUSSCGKSA-N 1 2 274.364 3.704 20 0 CHADLO Fc1cc(F)c(C[NH2+]Cc2ccc(F)c(F)c2)c(F)c1 ZINC000339521157 1117823336 /nfs/dbraw/zinc/82/33/36/1117823336.db2.gz KSMAOLIYANDIQG-UHFFFAOYSA-N 1 2 287.231 3.672 20 0 CHADLO CS[C@@H](C)CC[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001208147659 1117825404 /nfs/dbraw/zinc/82/54/04/1117825404.db2.gz KFOQKSPQVZGDOA-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO CS[C@@H](C)CC[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001208147659 1117825409 /nfs/dbraw/zinc/82/54/09/1117825409.db2.gz KFOQKSPQVZGDOA-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(OC)c(F)c2)cs1 ZINC000111406359 1117843720 /nfs/dbraw/zinc/84/37/20/1117843720.db2.gz NFNLHXFTYNSSOZ-SNVBAGLBSA-N 1 2 294.395 3.704 20 0 CHADLO c1cn2c(n1)[C@H]([NH2+]C(c1ccccc1)c1ccccc1)CC2 ZINC000345351978 1117850465 /nfs/dbraw/zinc/85/04/65/1117850465.db2.gz PFUOLFALYNGODJ-QGZVFWFLSA-N 1 2 289.382 3.707 20 0 CHADLO COc1ccc(CNc2ccc(C)[nH+]c2C)cc1OC1CC1 ZINC000623468060 1117859732 /nfs/dbraw/zinc/85/97/32/1117859732.db2.gz GGOFVADUFOZSKK-UHFFFAOYSA-N 1 2 298.386 3.860 20 0 CHADLO CCC[N@H+](Cc1nc(C(F)F)no1)Cc1ccc(C)cc1 ZINC000579829903 1117896528 /nfs/dbraw/zinc/89/65/28/1117896528.db2.gz VCRLRXTYRBARHS-UHFFFAOYSA-N 1 2 295.333 3.728 20 0 CHADLO CCC[N@@H+](Cc1nc(C(F)F)no1)Cc1ccc(C)cc1 ZINC000579829903 1117896536 /nfs/dbraw/zinc/89/65/36/1117896536.db2.gz VCRLRXTYRBARHS-UHFFFAOYSA-N 1 2 295.333 3.728 20 0 CHADLO Cc1cccc(Cl)c1C[NH2+][C@H](c1ncccn1)C1CC1 ZINC000932355653 1117900848 /nfs/dbraw/zinc/90/08/48/1117900848.db2.gz IANALTJJISYXPD-HNNXBMFYSA-N 1 2 287.794 3.679 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1cccnc1 ZINC000179920622 1117916036 /nfs/dbraw/zinc/91/60/36/1117916036.db2.gz KAEBHXURPXJTEO-CQSZACIVSA-N 1 2 282.391 3.640 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@H]1CCCc2scnc21 ZINC000623852480 1117946357 /nfs/dbraw/zinc/94/63/57/1117946357.db2.gz XWHJJTVHKFKKRB-AAEUAGOBSA-N 1 2 288.416 3.880 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@@H]1CCCc2scnc21 ZINC000623852482 1117946374 /nfs/dbraw/zinc/94/63/74/1117946374.db2.gz XWHJJTVHKFKKRB-WCQYABFASA-N 1 2 288.416 3.880 20 0 CHADLO CC1=CC[N@H+](Cc2csc(-c3ccco3)n2)CC1 ZINC000339662301 1117949371 /nfs/dbraw/zinc/94/93/71/1117949371.db2.gz XOHISJHOQKKWHX-UHFFFAOYSA-N 1 2 260.362 3.555 20 0 CHADLO CC1=CC[N@@H+](Cc2csc(-c3ccco3)n2)CC1 ZINC000339662301 1117949373 /nfs/dbraw/zinc/94/93/73/1117949373.db2.gz XOHISJHOQKKWHX-UHFFFAOYSA-N 1 2 260.362 3.555 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nc(C)cs1)c1c(C)noc1C ZINC000623925839 1117957890 /nfs/dbraw/zinc/95/78/90/1117957890.db2.gz QUNDKBNYJRLYML-CMPLNLGQSA-N 1 2 279.409 3.858 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC001138480365 1128746836 /nfs/dbraw/zinc/74/68/36/1128746836.db2.gz QSIBWCZSDCQTKE-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC001138480365 1128746837 /nfs/dbraw/zinc/74/68/37/1128746837.db2.gz QSIBWCZSDCQTKE-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2C[C@]2(F)c2ccccc2)n1 ZINC000624003674 1117969029 /nfs/dbraw/zinc/96/90/29/1117969029.db2.gz GFHZFMYAUAOBII-WHOFXGATSA-N 1 2 276.380 3.739 20 0 CHADLO C[N@H+](CCc1cccc(F)c1)Cc1csc(Cl)n1 ZINC001139979422 1117970411 /nfs/dbraw/zinc/97/04/11/1117970411.db2.gz JITJSSGGXYGBMV-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO C[N@@H+](CCc1cccc(F)c1)Cc1csc(Cl)n1 ZINC001139979422 1117970412 /nfs/dbraw/zinc/97/04/12/1117970412.db2.gz JITJSSGGXYGBMV-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO CC[C@@H]1CN(c2[nH+]ccc3ccccc32)CCS1 ZINC000624007523 1117971398 /nfs/dbraw/zinc/97/13/98/1117971398.db2.gz YCUISLJYDMXDKS-CYBMUJFWSA-N 1 2 258.390 3.567 20 0 CHADLO c1cc2c(s1)CC[N@@H+](Cc1c[nH]c(-c3ccccc3)n1)C2 ZINC000179887438 1117985796 /nfs/dbraw/zinc/98/57/96/1117985796.db2.gz QXJZWGJYJSWLAS-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO c1cc2c(s1)CC[N@H+](Cc1c[nH]c(-c3ccccc3)n1)C2 ZINC000179887438 1117985799 /nfs/dbraw/zinc/98/57/99/1117985799.db2.gz QXJZWGJYJSWLAS-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO COc1cc(C)[nH+]c(CN2C[C@@H](C)c3ccccc32)c1 ZINC000339842701 1117985991 /nfs/dbraw/zinc/98/59/91/1117985991.db2.gz ONUPCJVTZSQPEJ-GFCCVEGCSA-N 1 2 268.360 3.522 20 0 CHADLO C[C@@H]1CCC[C@H]([N@H+](C)Cc2noc(Cc3ccccc3)n2)C1 ZINC000109377913 1117986741 /nfs/dbraw/zinc/98/67/41/1117986741.db2.gz WGEVVNHGCVKUKV-ZBFHGGJFSA-N 1 2 299.418 3.671 20 0 CHADLO C[C@@H]1CCC[C@H]([N@@H+](C)Cc2noc(Cc3ccccc3)n2)C1 ZINC000109377913 1117986745 /nfs/dbraw/zinc/98/67/45/1117986745.db2.gz WGEVVNHGCVKUKV-ZBFHGGJFSA-N 1 2 299.418 3.671 20 0 CHADLO CC(C)c1ccoc1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000339848736 1117987510 /nfs/dbraw/zinc/98/75/10/1117987510.db2.gz WLVCSXVRRAAJQV-UHFFFAOYSA-N 1 2 295.342 3.841 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc(OC)c(OC)c1C ZINC000624149120 1117990163 /nfs/dbraw/zinc/99/01/63/1117990163.db2.gz WYZQEIHMMMUOQA-NSHDSACASA-N 1 2 287.350 3.708 20 0 CHADLO CCC(CC)(CNc1cccc[nH+]1)NC(=O)OC(C)(C)C ZINC000075062030 1117991039 /nfs/dbraw/zinc/99/10/39/1117991039.db2.gz CTMNOWBQTVMRCW-UHFFFAOYSA-N 1 2 293.411 3.577 20 0 CHADLO COc1ccccc1C[NH2+]Cc1c(F)ccc(F)c1Cl ZINC000705542636 1117997359 /nfs/dbraw/zinc/99/73/59/1117997359.db2.gz LNVFDZNTJHFLTD-UHFFFAOYSA-N 1 2 297.732 3.917 20 0 CHADLO C[C@@H]1C[NH+](Cc2cc(Cl)nc(Cl)c2)C[C@@H](C)S1 ZINC000075891634 1117998308 /nfs/dbraw/zinc/99/83/08/1117998308.db2.gz DVYKGFRXZHRFAD-RKDXNWHRSA-N 1 2 291.247 3.714 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1cnn(C(C)C)c1 ZINC000181479786 1117999837 /nfs/dbraw/zinc/99/98/37/1117999837.db2.gz MROXHEPBHHQCHS-UHFFFAOYSA-N 1 2 297.406 3.836 20 0 CHADLO Cc1cc(NC(=O)c2cc3c(cccc3F)s2)cc[nH+]1 ZINC000078405898 1118010196 /nfs/dbraw/zinc/01/01/96/1118010196.db2.gz CCEQUGBVZOXGSG-UHFFFAOYSA-N 1 2 286.331 3.996 20 0 CHADLO COc1ccccc1[C@@H](C)N(C)c1nc(C)[nH+]c(C)c1C ZINC001167106673 1118023369 /nfs/dbraw/zinc/02/33/69/1118023369.db2.gz CXOWFFFTCDWLGL-CYBMUJFWSA-N 1 2 285.391 3.608 20 0 CHADLO CC/C=C\CCCCCC[N@@H+]1Cc2cncnc2C1 ZINC001209286483 1118024363 /nfs/dbraw/zinc/02/43/63/1118024363.db2.gz HHKGQMQYLRJGMX-ARJAWSKDSA-N 1 2 259.397 3.709 20 0 CHADLO CC/C=C\CCCCCC[N@H+]1Cc2cncnc2C1 ZINC001209286483 1118024370 /nfs/dbraw/zinc/02/43/70/1118024370.db2.gz HHKGQMQYLRJGMX-ARJAWSKDSA-N 1 2 259.397 3.709 20 0 CHADLO CC[C@H](C)[C@@H](C)[NH2+]c1ccc(N2CCOCC2)cc1C ZINC000182612936 1118025852 /nfs/dbraw/zinc/02/58/52/1118025852.db2.gz JWZRLRUZYXFYPG-DZGCQCFKSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1cc2c(cn1)CN(c1ccc(C(C)(C)C)c[nH+]1)C2 ZINC001167113242 1118027224 /nfs/dbraw/zinc/02/72/24/1118027224.db2.gz ZUGMZYWLAWBJTC-UHFFFAOYSA-N 1 2 267.376 3.603 20 0 CHADLO Cc1cccc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c1C ZINC000047533672 1118035964 /nfs/dbraw/zinc/03/59/64/1118035964.db2.gz QWJBSUPAIAKLNF-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Oc1cc(F)cc(Nc2cccc3cc[nH+]cc32)c1 ZINC001206822859 1118037893 /nfs/dbraw/zinc/03/78/93/1118037893.db2.gz UAHOARRKDFNBJC-UHFFFAOYSA-N 1 2 254.264 3.823 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+][C@H](C)c1cccc(F)c1F ZINC000183271377 1118041717 /nfs/dbraw/zinc/04/17/17/1118041717.db2.gz IODDGBYCQMQGCR-GFCCVEGCSA-N 1 2 293.361 3.668 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nccn1C)c1cc(F)ccc1F ZINC000183298655 1118042234 /nfs/dbraw/zinc/04/22/34/1118042234.db2.gz HGUQJBUQVTZGPR-YGRLFVJLSA-N 1 2 279.334 3.500 20 0 CHADLO CC[C@H](c1ccccc1)N(C)c1[nH+]c(C)ccc1OC ZINC001167127930 1118043452 /nfs/dbraw/zinc/04/34/52/1118043452.db2.gz MRAYGWYLGRIWGV-OAHLLOKOSA-N 1 2 270.376 3.986 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(CSC(F)F)o2)C[C@@H]1F ZINC000779150937 1128752653 /nfs/dbraw/zinc/75/26/53/1128752653.db2.gz TYJHFIQBZJXONN-SKDRFNHKSA-N 1 2 293.354 3.915 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(CSC(F)F)o2)C[C@@H]1F ZINC000779150937 1128752656 /nfs/dbraw/zinc/75/26/56/1128752656.db2.gz TYJHFIQBZJXONN-SKDRFNHKSA-N 1 2 293.354 3.915 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)cc1 ZINC000367639218 1118056081 /nfs/dbraw/zinc/05/60/81/1118056081.db2.gz UHJFNUDLGHWFBG-NHYWBVRUSA-N 1 2 287.432 3.860 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC001238695229 1118073588 /nfs/dbraw/zinc/07/35/88/1118073588.db2.gz LRLSRNTZXDABTO-MRXNPFEDSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC001238695229 1118073593 /nfs/dbraw/zinc/07/35/93/1118073593.db2.gz LRLSRNTZXDABTO-MRXNPFEDSA-N 1 2 283.362 3.937 20 0 CHADLO CCCCC[C@@H](C(=O)Nc1cc(C)[nH+]cc1C)C(C)C ZINC001127733853 1118074970 /nfs/dbraw/zinc/07/49/70/1118074970.db2.gz PCPKTPJHMLPQLM-OAHLLOKOSA-N 1 2 276.424 3.911 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@H](C)CCc1ccco1 ZINC000169132873 1118080127 /nfs/dbraw/zinc/08/01/27/1118080127.db2.gz JATZVHQMCNBFSG-CYBMUJFWSA-N 1 2 274.364 3.895 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000741778612 1118081193 /nfs/dbraw/zinc/08/11/93/1118081193.db2.gz HHNAGJTUXZYWID-VXGBXAGGSA-N 1 2 283.799 3.675 20 0 CHADLO Cc1nc(N2CC3(C2)CCCCC3)cc(C(C)C)[nH+]1 ZINC001167181970 1118088315 /nfs/dbraw/zinc/08/83/15/1118088315.db2.gz ITZIUPBIJIPLKR-UHFFFAOYSA-N 1 2 259.397 3.679 20 0 CHADLO CC[N@H+](Cc1nnsc1Cl)[C@@H]1CCCC[C@@H]1C ZINC000120042655 1118113772 /nfs/dbraw/zinc/11/37/72/1118113772.db2.gz ORGMZUMVLDIQFD-GXSJLCMTSA-N 1 2 273.833 3.592 20 0 CHADLO CC[N@@H+](Cc1nnsc1Cl)[C@@H]1CCCC[C@@H]1C ZINC000120042655 1118113773 /nfs/dbraw/zinc/11/37/73/1118113773.db2.gz ORGMZUMVLDIQFD-GXSJLCMTSA-N 1 2 273.833 3.592 20 0 CHADLO C[C@H]([NH2+]Cc1ccno1)c1cc(Cl)cc(Cl)c1F ZINC000692311432 1118104631 /nfs/dbraw/zinc/10/46/31/1118104631.db2.gz GHRWLYKQZONKNX-ZETCQYMHSA-N 1 2 289.137 3.971 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(Cl)c1)c1csnn1 ZINC000398245314 1118105188 /nfs/dbraw/zinc/10/51/88/1118105188.db2.gz RVRGKEYFUGNOBG-RKDXNWHRSA-N 1 2 267.785 3.603 20 0 CHADLO CC[N@H+](Cc1nnsc1Cl)[C@H]1CCCC[C@@H]1C ZINC000120042797 1118113609 /nfs/dbraw/zinc/11/36/09/1118113609.db2.gz ORGMZUMVLDIQFD-ONGXEEELSA-N 1 2 273.833 3.592 20 0 CHADLO CC[N@@H+](Cc1nnsc1Cl)[C@H]1CCCC[C@@H]1C ZINC000120042797 1118113611 /nfs/dbraw/zinc/11/36/11/1118113611.db2.gz ORGMZUMVLDIQFD-ONGXEEELSA-N 1 2 273.833 3.592 20 0 CHADLO CNc1ccc(Nc2ccc3ccc(O)cc3c2)c[nH+]1 ZINC001203456390 1118139407 /nfs/dbraw/zinc/13/94/07/1118139407.db2.gz FBENVLVMINLQPC-UHFFFAOYSA-N 1 2 265.316 3.726 20 0 CHADLO CNc1ccc(Nc2cccc(-c3cnco3)c2)c[nH+]1 ZINC001203456612 1118142634 /nfs/dbraw/zinc/14/26/34/1118142634.db2.gz MUEANBIQLLXLHO-UHFFFAOYSA-N 1 2 266.304 3.522 20 0 CHADLO COc1cc(Nc2ccc(N(C)C)c(C)c2)cc(C)[nH+]1 ZINC001210043573 1118142960 /nfs/dbraw/zinc/14/29/60/1118142960.db2.gz VYQUNPNZMKFCFL-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO CNc1ccc(Nc2ccc(Br)cc2F)c[nH+]1 ZINC001203456665 1118143783 /nfs/dbraw/zinc/14/37/83/1118143783.db2.gz PNGJSEWRWPDJIF-UHFFFAOYSA-N 1 2 296.143 3.769 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC[C@H]2c2ccccn2)n1 ZINC000579906824 1118146660 /nfs/dbraw/zinc/14/66/60/1118146660.db2.gz JOSBWESIIIKTJE-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1csc(C[N@H+]2CCC[C@H]2c2ccccn2)n1 ZINC000579906824 1118146663 /nfs/dbraw/zinc/14/66/63/1118146663.db2.gz JOSBWESIIIKTJE-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccc(C)cc3C)cc2[nH+]1 ZINC000010896113 1118159745 /nfs/dbraw/zinc/15/97/45/1118159745.db2.gz HTMQXRJRIBWOPB-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc3ccccc3[nH]2)[C@@H]1c1ccccc1 ZINC000131925826 1118162299 /nfs/dbraw/zinc/16/22/99/1118162299.db2.gz VIFMNUWJHYHAHG-UGSOOPFHSA-N 1 2 277.371 3.756 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc3ccccc3[nH]2)[C@@H]1c1ccccc1 ZINC000131925826 1118162301 /nfs/dbraw/zinc/16/23/01/1118162301.db2.gz VIFMNUWJHYHAHG-UGSOOPFHSA-N 1 2 277.371 3.756 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2ccc(F)cc2F)C2CC2)[nH]c1C ZINC001168543011 1118167443 /nfs/dbraw/zinc/16/74/43/1118167443.db2.gz RVJJKYBKNSAWNO-MRXNPFEDSA-N 1 2 291.345 3.546 20 0 CHADLO Cc1[nH]c(CN[C@@H](c2ccc(F)cc2F)C2CC2)[nH+]c1C ZINC001168543011 1118167445 /nfs/dbraw/zinc/16/74/45/1118167445.db2.gz RVJJKYBKNSAWNO-MRXNPFEDSA-N 1 2 291.345 3.546 20 0 CHADLO CC(C)n1ccc(C[N@H+](Cc2cccs2)C2CC2)n1 ZINC000172011627 1118167641 /nfs/dbraw/zinc/16/76/41/1118167641.db2.gz HNKVLWIUMMQGJT-UHFFFAOYSA-N 1 2 275.421 3.690 20 0 CHADLO CC(C)n1ccc(C[N@@H+](Cc2cccs2)C2CC2)n1 ZINC000172011627 1118167643 /nfs/dbraw/zinc/16/76/43/1118167643.db2.gz HNKVLWIUMMQGJT-UHFFFAOYSA-N 1 2 275.421 3.690 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2cnc([C@H]3CCCO3)s2)c1 ZINC000650242247 1118188375 /nfs/dbraw/zinc/18/83/75/1118188375.db2.gz DZGIUSDTEFKJPO-CQSZACIVSA-N 1 2 289.404 3.619 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc3nc(C)sc3c2)c1 ZINC000650243612 1118192307 /nfs/dbraw/zinc/19/23/07/1118192307.db2.gz HSFDJXJRSMDBBN-UHFFFAOYSA-N 1 2 299.399 3.758 20 0 CHADLO C[C@H]1CC[C@H](Nc2cccc(-n3cc[nH+]c3)c2)CS1 ZINC000655746920 1118200789 /nfs/dbraw/zinc/20/07/89/1118200789.db2.gz IXXKVHLSHCRPFB-JSGCOSHPSA-N 1 2 273.405 3.568 20 0 CHADLO FC(F)(F)[C@H]1CC[N@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC000671626304 1118202593 /nfs/dbraw/zinc/20/25/93/1118202593.db2.gz PZEBOCOEWKZXHR-LBPRGKRZSA-N 1 2 296.292 3.726 20 0 CHADLO FC(F)(F)[C@H]1CC[N@@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC000671626304 1118202598 /nfs/dbraw/zinc/20/25/98/1118202598.db2.gz PZEBOCOEWKZXHR-LBPRGKRZSA-N 1 2 296.292 3.726 20 0 CHADLO C[C@H]1CC[C@@H](Nc2ccc(-n3cc[nH+]c3)c(F)c2)CS1 ZINC000655750167 1118203381 /nfs/dbraw/zinc/20/33/81/1118203381.db2.gz MSPDAEOCWHHINF-WCQYABFASA-N 1 2 291.395 3.707 20 0 CHADLO COc1cc(C[NH2+]Cc2nc(C(C)(C)C)co2)ccc1C ZINC000921605770 1118204465 /nfs/dbraw/zinc/20/44/65/1118204465.db2.gz LLBQQMZHBHVVIP-UHFFFAOYSA-N 1 2 288.391 3.579 20 0 CHADLO Clc1ccccc1C1([NH2+][C@H]2CCCn3ccnc32)CC1 ZINC000655779813 1118210319 /nfs/dbraw/zinc/21/03/19/1118210319.db2.gz RNMNZQLIKMFNOZ-AWEZNQCLSA-N 1 2 287.794 3.650 20 0 CHADLO O=C(CCc1ccsc1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000029916974 1118216550 /nfs/dbraw/zinc/21/65/50/1118216550.db2.gz MNLSOTAYQODEMM-UHFFFAOYSA-N 1 2 297.383 3.505 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1CO ZINC001210245603 1118222123 /nfs/dbraw/zinc/22/21/23/1118222123.db2.gz URPLOGDTCOQBRU-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(OC(C)C)cc2)cc1N ZINC001210288691 1118234171 /nfs/dbraw/zinc/23/41/71/1118234171.db2.gz SGXBCAHYSBHPIY-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO C=Cc1ccc(CNc2ccc(Cn3cc[nH+]c3)cn2)cc1 ZINC001168573766 1118235741 /nfs/dbraw/zinc/23/57/41/1118235741.db2.gz AIWUHQWXNCXENN-UHFFFAOYSA-N 1 2 290.370 3.582 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(-c3ccncc3)cc2)cc1N ZINC001210289984 1118235932 /nfs/dbraw/zinc/23/59/32/1118235932.db2.gz CWXOPBKOVRMYDV-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]C/C(Cl)=C/Cl ZINC000179920698 1128765273 /nfs/dbraw/zinc/76/52/73/1128765273.db2.gz AWWBKUMFIKUKGN-WSQKDGNHSA-N 1 2 278.154 3.804 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)no1 ZINC000044894435 1118251272 /nfs/dbraw/zinc/25/12/72/1118251272.db2.gz BSBPGMOOCVJJLR-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)no1 ZINC000044894435 1118251274 /nfs/dbraw/zinc/25/12/74/1118251274.db2.gz BSBPGMOOCVJJLR-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO CCCC[N@@H+](CCOC)Cc1c(Cl)cncc1Cl ZINC001167330032 1118309672 /nfs/dbraw/zinc/30/96/72/1118309672.db2.gz RQFKNWXSESNUIJ-UHFFFAOYSA-N 1 2 291.222 3.637 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2ccc(F)cc2F)C(C)C)[nH]c1C ZINC000579973881 1118267150 /nfs/dbraw/zinc/26/71/50/1118267150.db2.gz OYMXWOPRTJSORG-MRXNPFEDSA-N 1 2 293.361 3.792 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1cscn1 ZINC000058506640 1118289359 /nfs/dbraw/zinc/28/93/59/1118289359.db2.gz CTXOMHMQPWSDQD-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cscn1 ZINC000058506640 1118289363 /nfs/dbraw/zinc/28/93/63/1118289363.db2.gz CTXOMHMQPWSDQD-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO CCCC[N@H+](CCOC)Cc1c(Cl)cncc1Cl ZINC001167330032 1118309670 /nfs/dbraw/zinc/30/96/70/1118309670.db2.gz RQFKNWXSESNUIJ-UHFFFAOYSA-N 1 2 291.222 3.637 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccccc2F)CC1)c1ccncc1F ZINC000340514321 1118315064 /nfs/dbraw/zinc/31/50/64/1118315064.db2.gz COTIUNRNKORHJS-LLVKDONJSA-N 1 2 274.314 3.700 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@H+]1Cc1ncccc1F ZINC001207032206 1118326689 /nfs/dbraw/zinc/32/66/89/1118326689.db2.gz IFKAYSVLVMCPGO-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@@H+]1Cc1ncccc1F ZINC001207032206 1118326693 /nfs/dbraw/zinc/32/66/93/1118326693.db2.gz IFKAYSVLVMCPGO-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cc(Br)ccc1F ZINC000065208756 1118332506 /nfs/dbraw/zinc/33/25/06/1118332506.db2.gz LUGIUEUUYDXMDV-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cc(Br)ccc1F ZINC000065208756 1118332511 /nfs/dbraw/zinc/33/25/11/1118332511.db2.gz LUGIUEUUYDXMDV-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO Cc1nc(C2([NH2+]Cc3cccc(C)c3C)CCCCC2)no1 ZINC000067694674 1118354236 /nfs/dbraw/zinc/35/42/36/1118354236.db2.gz IAHLSRHKFIXZFE-UHFFFAOYSA-N 1 2 299.418 3.944 20 0 CHADLO C[C@@H](O)c1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001210646314 1118358178 /nfs/dbraw/zinc/35/81/78/1118358178.db2.gz NEIWZDZCBOXGEU-CYBMUJFWSA-N 1 2 279.343 3.669 20 0 CHADLO Brc1cccc2c1CC[C@@H]2Nc1cccc[nH+]1 ZINC000068911458 1118366509 /nfs/dbraw/zinc/36/65/09/1118366509.db2.gz LBLAIIRYKMKCKY-ZDUSSCGKSA-N 1 2 289.176 3.944 20 0 CHADLO COc1cc(Nc2cc(C(C)=O)ccc2C)cc(C)[nH+]1 ZINC001210780256 1118383944 /nfs/dbraw/zinc/38/39/44/1118383944.db2.gz GYTZHCXQQODVHV-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COc1cc(Nc2cc(C(C)=O)ccc2Cl)cc(C)[nH+]1 ZINC001210780562 1118385122 /nfs/dbraw/zinc/38/51/22/1118385122.db2.gz FGOGKYAHONZTHK-UHFFFAOYSA-N 1 2 290.750 3.998 20 0 CHADLO CCc1ccc(C[N@H+](C)[C@@H](C)c2nc(C(C)C)no2)cc1 ZINC000074071270 1118386647 /nfs/dbraw/zinc/38/66/47/1118386647.db2.gz PUHQCBHPHBBXLT-ZDUSSCGKSA-N 1 2 287.407 3.948 20 0 CHADLO CCc1ccc(C[N@@H+](C)[C@@H](C)c2nc(C(C)C)no2)cc1 ZINC000074071270 1118386650 /nfs/dbraw/zinc/38/66/50/1118386650.db2.gz PUHQCBHPHBBXLT-ZDUSSCGKSA-N 1 2 287.407 3.948 20 0 CHADLO COc1cc(Nc2cccc3occc32)cc(C)[nH+]1 ZINC001210784685 1118387255 /nfs/dbraw/zinc/38/72/55/1118387255.db2.gz SURFQGPBXDIFJS-UHFFFAOYSA-N 1 2 254.289 3.888 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@@H]3CCCC[C@@]32C)c(C)[nH+]1 ZINC000626568434 1128774588 /nfs/dbraw/zinc/77/45/88/1128774588.db2.gz CZOAJDWRQWXVLZ-YOEHRIQHSA-N 1 2 287.407 3.803 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3c(N)cccc32)C[C@H](C)C1(F)F ZINC000624446345 1118404898 /nfs/dbraw/zinc/40/48/98/1118404898.db2.gz KGSZTALREPVQDE-PHIMTYICSA-N 1 2 291.345 3.545 20 0 CHADLO Nc1c(F)cc(Nc2[nH+]cccc2C2CC2)cc1F ZINC001210907712 1118416019 /nfs/dbraw/zinc/41/60/19/1118416019.db2.gz UFHBZLXXGURTDK-UHFFFAOYSA-N 1 2 261.275 3.563 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)c(O)c(Cl)c1 ZINC001210924905 1118424130 /nfs/dbraw/zinc/42/41/30/1118424130.db2.gz WXAOUUAJDQIPNI-UHFFFAOYSA-N 1 2 285.130 3.846 20 0 CHADLO CCCc1ccc(C[NH2+][C@@H](C)c2ncc(C)o2)s1 ZINC000621351067 1118424156 /nfs/dbraw/zinc/42/41/56/1118424156.db2.gz DJOZBFDYCPDDOE-NSHDSACASA-N 1 2 264.394 3.848 20 0 CHADLO CC[N@H+](Cc1oc(C)nc1C)Cc1ccccc1Cl ZINC000683897675 1118432639 /nfs/dbraw/zinc/43/26/39/1118432639.db2.gz ABWKTAUTECKIFV-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO CC[N@@H+](Cc1oc(C)nc1C)Cc1ccccc1Cl ZINC000683897675 1118432642 /nfs/dbraw/zinc/43/26/42/1118432642.db2.gz ABWKTAUTECKIFV-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO Cc1ccc(Nc2cc(C(F)(F)F)c(F)cc2N)[nH+]c1 ZINC001211012053 1118438268 /nfs/dbraw/zinc/43/82/68/1118438268.db2.gz RLMKJTLMPAEEHZ-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO CCn1cc2cc(Nc3ccc(C)c[nH+]3)ccc2n1 ZINC001211012165 1118439314 /nfs/dbraw/zinc/43/93/14/1118439314.db2.gz YKJRBMPRISOFJT-UHFFFAOYSA-N 1 2 252.321 3.503 20 0 CHADLO Cc1c[nH+]c(CCNc2ccnc(C(F)(F)F)c2)c(C)c1 ZINC000656210833 1118445628 /nfs/dbraw/zinc/44/56/28/1118445628.db2.gz IHKVVXMHLGKODB-UHFFFAOYSA-N 1 2 295.308 3.767 20 0 CHADLO Cc1c[nH+]c(CCNc2nccc3sccc32)c(C)c1 ZINC000656210676 1118446276 /nfs/dbraw/zinc/44/62/76/1118446276.db2.gz DKHGWXKHPVYYFC-UHFFFAOYSA-N 1 2 283.400 3.963 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC2C(C)(C)C2(C)C)c(C)[nH+]1 ZINC001670648577 1118449567 /nfs/dbraw/zinc/44/95/67/1118449567.db2.gz LDCSOMVNSWFWOW-UHFFFAOYSA-N 1 2 289.423 3.811 20 0 CHADLO CSc1ccccc1Nc1cccc2[nH+]ccn21 ZINC001211063539 1118452643 /nfs/dbraw/zinc/45/26/43/1118452643.db2.gz UVDPQZCPAHNVPG-UHFFFAOYSA-N 1 2 255.346 3.800 20 0 CHADLO CSc1cncc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001211070732 1118455471 /nfs/dbraw/zinc/45/54/71/1118455471.db2.gz IATLUUKWOBVSQD-UHFFFAOYSA-N 1 2 286.404 3.542 20 0 CHADLO Cc1occc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001211237163 1118484828 /nfs/dbraw/zinc/48/48/28/1118484828.db2.gz LIFOKHBYDIDJDP-UHFFFAOYSA-N 1 2 257.337 3.717 20 0 CHADLO c1cc([C@@H]2C[N@H+](Cc3cc4ccccc4[nH]3)CCO2)cs1 ZINC000684020452 1118490191 /nfs/dbraw/zinc/49/01/91/1118490191.db2.gz CJMWOLVXYRZWFO-KRWDZBQOSA-N 1 2 298.411 3.803 20 0 CHADLO c1cc([C@@H]2C[N@@H+](Cc3cc4ccccc4[nH]3)CCO2)cs1 ZINC000684020452 1118490192 /nfs/dbraw/zinc/49/01/92/1118490192.db2.gz CJMWOLVXYRZWFO-KRWDZBQOSA-N 1 2 298.411 3.803 20 0 CHADLO Cc1cc(C)c(/C=C\C[N@@H+]2CCO[C@@H](CCF)C2)cc1C ZINC001207130274 1118490680 /nfs/dbraw/zinc/49/06/80/1118490680.db2.gz ZEVKUDPKBXDVEL-XDXAGZTOSA-N 1 2 291.410 3.685 20 0 CHADLO Cc1cc(C)c(/C=C\C[N@H+]2CCO[C@@H](CCF)C2)cc1C ZINC001207130274 1118490683 /nfs/dbraw/zinc/49/06/83/1118490683.db2.gz ZEVKUDPKBXDVEL-XDXAGZTOSA-N 1 2 291.410 3.685 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@H](OC3CCC3)C2)cc1F ZINC000656272338 1118492208 /nfs/dbraw/zinc/49/22/08/1118492208.db2.gz UDOHZWYZPLDGNY-ZDUSSCGKSA-N 1 2 299.336 3.637 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@H](OC3CCC3)C2)cc1F ZINC000656272338 1118492210 /nfs/dbraw/zinc/49/22/10/1118492210.db2.gz UDOHZWYZPLDGNY-ZDUSSCGKSA-N 1 2 299.336 3.637 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(SC)s1)C2 ZINC000656275645 1118493765 /nfs/dbraw/zinc/49/37/65/1118493765.db2.gz RPPVLOHGPWWWQS-UHFFFAOYSA-N 1 2 291.441 3.994 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(SC)s1)C2 ZINC000656275645 1118493766 /nfs/dbraw/zinc/49/37/66/1118493766.db2.gz RPPVLOHGPWWWQS-UHFFFAOYSA-N 1 2 291.441 3.994 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(Cl)nc1Cl ZINC001211271629 1118494726 /nfs/dbraw/zinc/49/47/26/1118494726.db2.gz UPIKKWFFBSFQPQ-UHFFFAOYSA-N 1 2 284.146 3.926 20 0 CHADLO CC[C@H]([NH2+]Cc1cscn1)c1ccccc1OC(F)F ZINC000058179072 1118500043 /nfs/dbraw/zinc/50/00/43/1118500043.db2.gz FPXSMPWMAHZKSM-LBPRGKRZSA-N 1 2 298.358 3.985 20 0 CHADLO C[N@H+](Cc1ccccc1Cl)Cc1c(N)cccc1F ZINC000109427346 1118522251 /nfs/dbraw/zinc/52/22/51/1118522251.db2.gz SNDBVMKYYDBYSG-UHFFFAOYSA-N 1 2 278.758 3.693 20 0 CHADLO C[N@@H+](Cc1ccccc1Cl)Cc1c(N)cccc1F ZINC000109427346 1118522254 /nfs/dbraw/zinc/52/22/54/1118522254.db2.gz SNDBVMKYYDBYSG-UHFFFAOYSA-N 1 2 278.758 3.693 20 0 CHADLO Cc1ccc(Nc2c[nH+]cc(C)c2C)c(Br)n1 ZINC001213522543 1118523672 /nfs/dbraw/zinc/52/36/72/1118523672.db2.gz CDFCZCQSBHNCRL-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CC/C(C)=C/C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC001125480097 1118526848 /nfs/dbraw/zinc/52/68/48/1118526848.db2.gz BUXMNSJDKJNLJS-DHZHZOJOSA-N 1 2 289.766 3.821 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(OC(F)(F)F)ccn2)c1C ZINC001213528318 1118537693 /nfs/dbraw/zinc/53/76/93/1118537693.db2.gz ACUHZJRMBRMJBY-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(-c3ccccn3)nc2)c1C ZINC001213528644 1118542422 /nfs/dbraw/zinc/54/24/22/1118542422.db2.gz JNKPZFFHFJPOJT-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1c[nH+]cc(Nc2csc(-c3ccncc3)n2)c1C ZINC001213528957 1118543153 /nfs/dbraw/zinc/54/31/53/1118543153.db2.gz UDCGWIYFJGYUQC-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO COC(=O)c1ccc(Nc2c[nH+]cc(C)c2C)c(C)c1 ZINC001213531288 1118549078 /nfs/dbraw/zinc/54/90/78/1118549078.db2.gz ZNTGNGVHRSYFSZ-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1cc(N2Cc3cccc(Cl)c3C2)nc(C2CC2)[nH+]1 ZINC000340921522 1118555555 /nfs/dbraw/zinc/55/55/55/1118555555.db2.gz RRCDRXPUFCTFSG-UHFFFAOYSA-N 1 2 285.778 3.836 20 0 CHADLO COc1ccc(Nc2c[nH+]cc(C)c2C)c2ccncc12 ZINC001213534376 1118560833 /nfs/dbraw/zinc/56/08/33/1118560833.db2.gz ZHKPEWYPMRZQGT-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CC1(C)CC(NC(=O)c2cccc3[nH+]ccn32)CC(C)(C)C1 ZINC001266700158 1118560875 /nfs/dbraw/zinc/56/08/75/1118560875.db2.gz AVRRBLMKXFMLDD-UHFFFAOYSA-N 1 2 299.418 3.669 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc(F)cc2F)nc(C2CC2)[nH+]1 ZINC000341001313 1118576973 /nfs/dbraw/zinc/57/69/73/1118576973.db2.gz KTRHHAQOYUSCHR-SNVBAGLBSA-N 1 2 289.329 3.536 20 0 CHADLO CCC[C@@](C)(NC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000889479970 1118577495 /nfs/dbraw/zinc/57/74/95/1118577495.db2.gz XFBSPRIKHFADHW-MAUKXSAKSA-N 1 2 299.418 3.666 20 0 CHADLO FC1(F)CC[N@H+](C[C@@H]2C[C@@H]2c2ccc(Cl)cc2)C1 ZINC001207178063 1118586644 /nfs/dbraw/zinc/58/66/44/1118586644.db2.gz UADLGULYULZPJP-WCQYABFASA-N 1 2 271.738 3.785 20 0 CHADLO FC1(F)CC[N@@H+](C[C@@H]2C[C@@H]2c2ccc(Cl)cc2)C1 ZINC001207178063 1118586645 /nfs/dbraw/zinc/58/66/45/1118586645.db2.gz UADLGULYULZPJP-WCQYABFASA-N 1 2 271.738 3.785 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2cccc(Cl)c2)o1 ZINC000341072363 1118600100 /nfs/dbraw/zinc/60/01/00/1118600100.db2.gz MLKGVIITRRRIHX-VHSXEESVSA-N 1 2 279.771 3.697 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@H]2COc3ccccc32)c1 ZINC000341052960 1118598985 /nfs/dbraw/zinc/59/89/85/1118598985.db2.gz PPGXAUWNPYGIFM-JTQLQIEISA-N 1 2 294.276 3.689 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](CC)c2ccc(C)cc2)o1 ZINC000341066629 1118598997 /nfs/dbraw/zinc/59/89/97/1118598997.db2.gz WMUBJMJUPMRIDM-OCCSQVGLSA-N 1 2 273.380 3.742 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cccc(C(F)(F)F)c2)nc1 ZINC000341065535 1118599376 /nfs/dbraw/zinc/59/93/76/1118599376.db2.gz IBOAFYOWWHZWNR-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO Clc1n[nH]c(C2CC2)c1C[N@@H+]1CCC[C@H]1c1ccc[nH]1 ZINC000891404363 1118600891 /nfs/dbraw/zinc/60/08/91/1118600891.db2.gz GQSLZEXUXCIZSP-ZDUSSCGKSA-N 1 2 290.798 3.606 20 0 CHADLO Clc1n[nH]c(C2CC2)c1C[N@H+]1CCC[C@H]1c1ccc[nH]1 ZINC000891404363 1118600892 /nfs/dbraw/zinc/60/08/92/1118600892.db2.gz GQSLZEXUXCIZSP-ZDUSSCGKSA-N 1 2 290.798 3.606 20 0 CHADLO CC(C)c1cc(Nc2ccn3cc[nH+]c3c2)ccc1O ZINC001215846475 1118610193 /nfs/dbraw/zinc/61/01/93/1118610193.db2.gz WFVDTBUOLFFIND-UHFFFAOYSA-N 1 2 267.332 3.907 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2cnc3ccsc3c2)co1 ZINC000834901693 1118618485 /nfs/dbraw/zinc/61/84/85/1118618485.db2.gz YAVUFFUHEKPWTA-SNVBAGLBSA-N 1 2 287.388 3.698 20 0 CHADLO Cc1c[nH+]c(CNc2cc(C)c(C)c3ncccc23)n1C ZINC000341279640 1118650247 /nfs/dbraw/zinc/65/02/47/1118650247.db2.gz KGRKFDLJMREFHY-UHFFFAOYSA-N 1 2 280.375 3.506 20 0 CHADLO Clc1cccc2c(NCCc3ccccn3)cc[nH+]c12 ZINC000341283198 1118651798 /nfs/dbraw/zinc/65/17/98/1118651798.db2.gz WVLZIYCQHALYQO-UHFFFAOYSA-N 1 2 283.762 3.938 20 0 CHADLO CCc1nc(CNc2c[nH+]cc3c2CCCC3)cs1 ZINC000656776854 1118656209 /nfs/dbraw/zinc/65/62/09/1118656209.db2.gz UTTNSHRFISXIJS-UHFFFAOYSA-N 1 2 273.405 3.591 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccc(C)n1)c1cccc(Cl)c1 ZINC000341288171 1118657716 /nfs/dbraw/zinc/65/77/16/1118657716.db2.gz MEJRVPQPXGPPAZ-CQSZACIVSA-N 1 2 275.783 3.679 20 0 CHADLO CCSCc1cccc(NCc2[nH+]cc(C)n2C)c1 ZINC000341307192 1118663350 /nfs/dbraw/zinc/66/33/50/1118663350.db2.gz UIAKZANRCLEXDV-UHFFFAOYSA-N 1 2 275.421 3.594 20 0 CHADLO CC(=O)Nc1ccc(CNc2ccc([NH+](C)C)cc2C)cc1 ZINC000121427175 1118666489 /nfs/dbraw/zinc/66/64/89/1118666489.db2.gz GTEKCDQBNPLUAB-UHFFFAOYSA-N 1 2 297.402 3.632 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCC[C@H]2c2cn[nH]c2)c1 ZINC000639776701 1118666871 /nfs/dbraw/zinc/66/68/71/1118666871.db2.gz DTHJRQFCHUXITC-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCC[C@H]2c2cn[nH]c2)c1 ZINC000639776701 1118666874 /nfs/dbraw/zinc/66/68/74/1118666874.db2.gz DTHJRQFCHUXITC-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Clc1cccc2c(NCCNc3cccc[nH+]3)ccnc12 ZINC000341348707 1118670348 /nfs/dbraw/zinc/67/03/48/1118670348.db2.gz LTKWIQCIPDKIJO-UHFFFAOYSA-N 1 2 298.777 3.807 20 0 CHADLO CC(C)CCc1nc(C[NH+](C2CC2)C2CC2)cs1 ZINC000625837027 1118672124 /nfs/dbraw/zinc/67/21/24/1118672124.db2.gz CLIFNCLZWZMWMQ-UHFFFAOYSA-N 1 2 264.438 3.859 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(N3CCC(C)CC3)c2)n1C ZINC000341359478 1118673926 /nfs/dbraw/zinc/67/39/26/1118673926.db2.gz RFTNQSPSEFISPN-UHFFFAOYSA-N 1 2 298.434 3.577 20 0 CHADLO Cc1nc(C)c(CNc2ccc([NH+]3CCCC3)cc2)o1 ZINC000723662904 1118697800 /nfs/dbraw/zinc/69/78/00/1118697800.db2.gz DZJPFJMKOVBIGU-UHFFFAOYSA-N 1 2 271.364 3.504 20 0 CHADLO CN(Cc1ccncc1)c1cc[nH+]c2c(Cl)cccc12 ZINC000341418410 1118700559 /nfs/dbraw/zinc/70/05/59/1118700559.db2.gz HRVZPDCXSMMFDK-UHFFFAOYSA-N 1 2 283.762 3.920 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2nccn2C2CC2)cc1 ZINC000891550565 1118707596 /nfs/dbraw/zinc/70/75/96/1118707596.db2.gz OWKIQIFDUMOKCI-MRXNPFEDSA-N 1 2 285.366 3.694 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2nccn2C2CC2)cc1 ZINC000891550565 1118707600 /nfs/dbraw/zinc/70/76/00/1118707600.db2.gz OWKIQIFDUMOKCI-MRXNPFEDSA-N 1 2 285.366 3.694 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](CC(C)(C)C)c2ccc(F)cc2)o1 ZINC000341449196 1118710211 /nfs/dbraw/zinc/71/02/11/1118710211.db2.gz HRGQWJYCOBEOLZ-AWEZNQCLSA-N 1 2 291.370 3.784 20 0 CHADLO Cc1cc(N2CCC[C@H]2C2CCCC2)nc(C2CC2)[nH+]1 ZINC000341511028 1118718150 /nfs/dbraw/zinc/71/81/50/1118718150.db2.gz ZMJQOGAWJWEDME-HNNXBMFYSA-N 1 2 271.408 3.821 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2coc(-c3ccccc3)n2)s1 ZINC000090418208 1118733059 /nfs/dbraw/zinc/73/30/59/1118733059.db2.gz XNTJDCHEMFYJPX-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO CCOc1ccccc1C[NH2+]Cc1ccn(C2CCCC2)n1 ZINC000128991075 1118740589 /nfs/dbraw/zinc/74/05/89/1118740589.db2.gz JHPGKDXPCFJQAY-UHFFFAOYSA-N 1 2 299.418 3.687 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1CC12CCC2 ZINC000684519459 1118782623 /nfs/dbraw/zinc/78/26/23/1118782623.db2.gz ZHBTVKUMGALNQI-CYBMUJFWSA-N 1 2 281.359 3.514 20 0 CHADLO Cc1cc(NC(=O)c2cccc(OC(C)(C)C)c2)c(C)c[nH+]1 ZINC001266900273 1118784083 /nfs/dbraw/zinc/78/40/83/1118784083.db2.gz WTZJJRWWWIFEOH-UHFFFAOYSA-N 1 2 298.386 3.550 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc2c(c1)OCCCO2)C(F)F ZINC000449356708 1118791038 /nfs/dbraw/zinc/79/10/38/1118791038.db2.gz APTBLPQFHSYHTL-PWSUYJOCSA-N 1 2 285.334 3.542 20 0 CHADLO COc1ccc2c(c1)C[C@@H](Nc1ccc(C)[nH+]c1C)CC2 ZINC000129597160 1118872483 /nfs/dbraw/zinc/87/24/83/1118872483.db2.gz ZBJXZWZUQNZOAI-INIZCTEOSA-N 1 2 282.387 3.676 20 0 CHADLO C[C@@H]([NH2+]C/C=C/c1ccc(F)c(F)c1)C(C)(F)F ZINC000449417441 1118878635 /nfs/dbraw/zinc/87/86/35/1118878635.db2.gz YACVFHNGBQCNIG-CDAZIORVSA-N 1 2 261.262 3.611 20 0 CHADLO CCS[C@H](C)c1noc(C[NH+]2C3CCCC2CCC3)n1 ZINC000610820853 1118899186 /nfs/dbraw/zinc/89/91/86/1118899186.db2.gz IPBAFGSBOMNOAT-PNESKVBLSA-N 1 2 295.452 3.791 20 0 CHADLO Fc1cc(C[N@@H+]2CCOCC23CCC3)ccc1C(F)F ZINC000892068116 1118955987 /nfs/dbraw/zinc/95/59/87/1118955987.db2.gz FMCNVFOHWVKXDV-UHFFFAOYSA-N 1 2 285.309 3.518 20 0 CHADLO Fc1cc(C[N@H+]2CCOCC23CCC3)ccc1C(F)F ZINC000892068116 1118955990 /nfs/dbraw/zinc/95/59/90/1118955990.db2.gz FMCNVFOHWVKXDV-UHFFFAOYSA-N 1 2 285.309 3.518 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2ccccc2F)C2CCCC2)nc1 ZINC000342266860 1118961685 /nfs/dbraw/zinc/96/16/85/1118961685.db2.gz NGJYXJMRAWANIV-GOSISDBHSA-N 1 2 299.393 3.945 20 0 CHADLO Cc1cc(N(C)[C@@H](C)c2cccnc2)nc(C2CCC2)[nH+]1 ZINC000892094944 1118963992 /nfs/dbraw/zinc/96/39/92/1118963992.db2.gz FGOIWNINCWVEPP-ZDUSSCGKSA-N 1 2 282.391 3.645 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cc(C)n(C)n1)c1ccc(F)cc1F ZINC000342281395 1118966977 /nfs/dbraw/zinc/96/69/77/1118966977.db2.gz IJVFUJSCWAFNEJ-MRXNPFEDSA-N 1 2 293.361 3.638 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)cs1)c1ccc(F)cc1F ZINC000119600359 1118986962 /nfs/dbraw/zinc/98/69/62/1118986962.db2.gz NCDXEKVXSWIVPJ-ZDUSSCGKSA-N 1 2 282.359 3.971 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nccn2C2CC2)[C@H](c2ccco2)C1 ZINC000892153320 1118989881 /nfs/dbraw/zinc/98/98/81/1118989881.db2.gz OCLIMVYWNSCGQS-HIFRSBDPSA-N 1 2 285.391 3.784 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nccn2C2CC2)[C@H](c2ccco2)C1 ZINC000892153320 1118989882 /nfs/dbraw/zinc/98/98/82/1118989882.db2.gz OCLIMVYWNSCGQS-HIFRSBDPSA-N 1 2 285.391 3.784 20 0 CHADLO Cc1[nH]c(CN(Cc2ccco2)Cc2ccccc2)[nH+]c1C ZINC000628120343 1128814135 /nfs/dbraw/zinc/81/41/35/1128814135.db2.gz IOWSFMUMXURIIX-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO CC1(F)CC[NH+](Cc2nnc(-c3ccccc3)s2)CC1 ZINC000640071850 1118993103 /nfs/dbraw/zinc/99/31/03/1118993103.db2.gz SJLPUEKZOPPGHM-UHFFFAOYSA-N 1 2 291.395 3.529 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ncccc2C)C(C)(C)C)oc1C ZINC000934292602 1119010708 /nfs/dbraw/zinc/01/07/08/1119010708.db2.gz PMRRHNVFHKECOB-MRXNPFEDSA-N 1 2 287.407 3.872 20 0 CHADLO CCC[C@H](C)CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000354371023 1119013583 /nfs/dbraw/zinc/01/35/83/1119013583.db2.gz DNDRXTICNAFPPN-ZDUSSCGKSA-N 1 2 271.364 3.637 20 0 CHADLO CC[N@H+](Cc1cnc(C)o1)Cc1ccccc1Cl ZINC000628134793 1128817036 /nfs/dbraw/zinc/81/70/36/1128817036.db2.gz CCXINNNGICBMJD-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1cnc(C)o1)Cc1ccccc1Cl ZINC000628134793 1128817039 /nfs/dbraw/zinc/81/70/39/1128817039.db2.gz CCXINNNGICBMJD-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO C=C(Cl)C[N@H+](Cc1cccc(F)c1)C[C@@H]1CCCO1 ZINC000051557758 1119044302 /nfs/dbraw/zinc/04/43/02/1119044302.db2.gz RKIAHYGQVWVSNE-HNNXBMFYSA-N 1 2 283.774 3.559 20 0 CHADLO C=C(Cl)C[N@@H+](Cc1cccc(F)c1)C[C@@H]1CCCO1 ZINC000051557758 1119044304 /nfs/dbraw/zinc/04/43/04/1119044304.db2.gz RKIAHYGQVWVSNE-HNNXBMFYSA-N 1 2 283.774 3.559 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(Cl)ccc1O ZINC001216398806 1119046668 /nfs/dbraw/zinc/04/66/68/1119046668.db2.gz ZTCRFPIVVDDTDR-UHFFFAOYSA-N 1 2 264.712 3.583 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(C)c[nH]1)c1ccc(F)cc1F ZINC000657394989 1119052910 /nfs/dbraw/zinc/05/29/10/1119052910.db2.gz YGAZUTWJJYTYSO-AWEZNQCLSA-N 1 2 279.334 3.627 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ccccc2)c2ccccn2)o1 ZINC000048462720 1119080618 /nfs/dbraw/zinc/08/06/18/1119080618.db2.gz WXGRMFSKGCUANE-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@@H](c2ccc(Cl)cc2F)C(C)C)n1 ZINC000657431997 1119084028 /nfs/dbraw/zinc/08/40/28/1119084028.db2.gz MCRVHTSBXPAEKR-OAHLLOKOSA-N 1 2 295.789 3.998 20 0 CHADLO FC(F)(F)c1ccc(Cl)cc1C[NH+]1CCSCC1 ZINC001140565812 1119085696 /nfs/dbraw/zinc/08/56/96/1119085696.db2.gz XJYIRNFXMAIKRH-UHFFFAOYSA-N 1 2 295.757 3.908 20 0 CHADLO CC(C)(C)[C@@H]1CCC[N@H+](Cc2noc(C3CC3)n2)CC1 ZINC000116308478 1119090453 /nfs/dbraw/zinc/09/04/53/1119090453.db2.gz CHZKWXORUIVSTF-CYBMUJFWSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)(C)[C@@H]1CCC[N@@H+](Cc2noc(C3CC3)n2)CC1 ZINC000116308478 1119090455 /nfs/dbraw/zinc/09/04/55/1119090455.db2.gz CHZKWXORUIVSTF-CYBMUJFWSA-N 1 2 277.412 3.595 20 0 CHADLO Cc1ccc(O[C@@H](C)C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000097040132 1119097831 /nfs/dbraw/zinc/09/78/31/1119097831.db2.gz LAFPOSNXFCSLFH-HNNXBMFYSA-N 1 2 298.386 3.721 20 0 CHADLO Cc1cc(NC(=O)CCc2ccc([C@H]3C[C@H]3C)o2)cc[nH+]1 ZINC000073069509 1119100081 /nfs/dbraw/zinc/10/00/81/1119100081.db2.gz OUSXAGDFSQRBHJ-ABAIWWIYSA-N 1 2 284.359 3.678 20 0 CHADLO C[N@H+](CCOc1ccccc1)Cc1nc(C2CC2)cs1 ZINC000342690715 1119126974 /nfs/dbraw/zinc/12/69/74/1119126974.db2.gz GKDKZICOCRFCDT-UHFFFAOYSA-N 1 2 288.416 3.531 20 0 CHADLO C[N@@H+](CCOc1ccccc1)Cc1nc(C2CC2)cs1 ZINC000342690715 1119126978 /nfs/dbraw/zinc/12/69/78/1119126978.db2.gz GKDKZICOCRFCDT-UHFFFAOYSA-N 1 2 288.416 3.531 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C(C)(C)C ZINC000342699385 1119130156 /nfs/dbraw/zinc/13/01/56/1119130156.db2.gz IGTFSZJUQFABKU-ZDUSSCGKSA-N 1 2 285.391 3.883 20 0 CHADLO FC(F)(F)c1ccc(OCCOc2cc[nH+]cc2)cc1 ZINC000431474532 1119159003 /nfs/dbraw/zinc/15/90/03/1119159003.db2.gz VIMAMXPIVZYFOQ-UHFFFAOYSA-N 1 2 283.249 3.558 20 0 CHADLO Cc1c[nH+]c(CN(C)[C@H](C)c2ccc(Cl)cc2)n1C ZINC000342775131 1119162758 /nfs/dbraw/zinc/16/27/58/1119162758.db2.gz DVXVQWYMPHWOHO-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)n1C ZINC000342775131 1119162760 /nfs/dbraw/zinc/16/27/60/1119162760.db2.gz DVXVQWYMPHWOHO-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)n1C ZINC000342775131 1119162761 /nfs/dbraw/zinc/16/27/61/1119162761.db2.gz DVXVQWYMPHWOHO-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000075621248 1119178255 /nfs/dbraw/zinc/17/82/55/1119178255.db2.gz UGFDSKKFTWAFMI-UHFFFAOYSA-N 1 2 272.392 3.992 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000075621248 1119178257 /nfs/dbraw/zinc/17/82/57/1119178257.db2.gz UGFDSKKFTWAFMI-UHFFFAOYSA-N 1 2 272.392 3.992 20 0 CHADLO CC(C)c1nc(C[NH+]2C[C@@H](C)S[C@H](C)C2)cs1 ZINC000075895307 1119180787 /nfs/dbraw/zinc/18/07/87/1119180787.db2.gz GURJHKSFYSCBAC-GHMZBOCLSA-N 1 2 270.467 3.592 20 0 CHADLO FC(F)(F)CC[N@@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000077250656 1119190690 /nfs/dbraw/zinc/19/06/90/1119190690.db2.gz SSSDRNAFROLMAF-HNNXBMFYSA-N 1 2 294.320 3.846 20 0 CHADLO FC(F)(F)CC[N@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000077250656 1119190697 /nfs/dbraw/zinc/19/06/97/1119190697.db2.gz SSSDRNAFROLMAF-HNNXBMFYSA-N 1 2 294.320 3.846 20 0 CHADLO FC(F)(F)CC[N@@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000077250653 1119190950 /nfs/dbraw/zinc/19/09/50/1119190950.db2.gz SSSDRNAFROLMAF-OAHLLOKOSA-N 1 2 294.320 3.846 20 0 CHADLO FC(F)(F)CC[N@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000077250653 1119190953 /nfs/dbraw/zinc/19/09/53/1119190953.db2.gz SSSDRNAFROLMAF-OAHLLOKOSA-N 1 2 294.320 3.846 20 0 CHADLO c1csc(-c2noc(C[NH2+]C3(c4ccccc4)CC3)n2)c1 ZINC000077378613 1119192703 /nfs/dbraw/zinc/19/27/03/1119192703.db2.gz AQUWICUHUBFFFQ-UHFFFAOYSA-N 1 2 297.383 3.577 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc3[nH]ccc3c2)cs1 ZINC000628155880 1119210669 /nfs/dbraw/zinc/21/06/69/1119210669.db2.gz REXGAJYDZMOKCF-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc3[nH]ccc3c2)cs1 ZINC000628155880 1119210674 /nfs/dbraw/zinc/21/06/74/1119210674.db2.gz REXGAJYDZMOKCF-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO COc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(C)n1 ZINC001213085693 1119224381 /nfs/dbraw/zinc/22/43/81/1119224381.db2.gz RWDOAUKSULSVHT-UHFFFAOYSA-N 1 2 280.331 3.532 20 0 CHADLO Cc1csc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n1 ZINC001213085796 1119229138 /nfs/dbraw/zinc/22/91/38/1119229138.db2.gz XJXLTHMZSSFTFZ-UHFFFAOYSA-N 1 2 256.334 3.585 20 0 CHADLO Cc1cc(F)ccc1CNc1cccc(-n2cc[nH+]c2)c1 ZINC000050569423 1119232739 /nfs/dbraw/zinc/23/27/39/1119232739.db2.gz IWIOIDIFZWEYEI-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO Cc1oc(-c2cccs2)nc1COc1cc[nH+]cc1 ZINC000431495194 1119233598 /nfs/dbraw/zinc/23/35/98/1119233598.db2.gz IYPMWPUNYYOXBE-UHFFFAOYSA-N 1 2 272.329 3.686 20 0 CHADLO COC(=O)[C@@H](CC(C)(C)C)[NH2+]C1CC(c2ccccc2)C1 ZINC000611727188 1119238649 /nfs/dbraw/zinc/23/86/49/1119238649.db2.gz REUJTXVFQOSIOT-UYSNPLJNSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1nnc(C[N@H+](Cc2ccsc2)C(C)(C)C)s1 ZINC000661133733 1119276795 /nfs/dbraw/zinc/27/67/95/1119276795.db2.gz MBVRWKLYELMJNZ-UHFFFAOYSA-N 1 2 281.450 3.709 20 0 CHADLO Cc1nnc(C[N@@H+](Cc2ccsc2)C(C)(C)C)s1 ZINC000661133733 1119276796 /nfs/dbraw/zinc/27/67/96/1119276796.db2.gz MBVRWKLYELMJNZ-UHFFFAOYSA-N 1 2 281.450 3.709 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccon1)c1cc(C)ccc1OC ZINC000349838087 1119301507 /nfs/dbraw/zinc/30/15/07/1119301507.db2.gz WYYUMIOSZXXYLM-OCCSQVGLSA-N 1 2 274.364 3.794 20 0 CHADLO COc1ccc([C@H]([NH2+]Cc2ccno2)c2ccccc2)cc1 ZINC000318812632 1119304805 /nfs/dbraw/zinc/30/48/05/1119304805.db2.gz OUBFDODGDCDXIF-GOSISDBHSA-N 1 2 294.354 3.562 20 0 CHADLO CS[C@@H]1CCCCN(c2cc(C)[nH+]c(C(C)C)n2)C1 ZINC000154642693 1119315797 /nfs/dbraw/zinc/31/57/97/1119315797.db2.gz GGRDGTGGQFGWJY-CYBMUJFWSA-N 1 2 279.453 3.630 20 0 CHADLO Cc1cc(-c2ccc(F)c3ccccc32)c[nH+]c1N ZINC000129755930 1119336570 /nfs/dbraw/zinc/33/65/70/1119336570.db2.gz POJRAWWGJJCOGB-UHFFFAOYSA-N 1 2 252.292 3.932 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ccc(F)cc2F)c1 ZINC000536010823 1119351981 /nfs/dbraw/zinc/35/19/81/1119351981.db2.gz GJJIQAQMDNUJAX-UHFFFAOYSA-N 1 2 281.327 3.969 20 0 CHADLO C[C@H]([NH2+]Cc1nc2c(s1)CCC2)c1ccc(F)cc1F ZINC000093052820 1119354017 /nfs/dbraw/zinc/35/40/17/1119354017.db2.gz FQBJPXLJSVSJOE-VIFPVBQESA-N 1 2 294.370 3.761 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(OC(C)C)c1F ZINC001212317839 1128841136 /nfs/dbraw/zinc/84/11/36/1128841136.db2.gz GGUFRTMGXAFSIO-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO O=C(/C=C/c1ccccc1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000161767025 1119375608 /nfs/dbraw/zinc/37/56/08/1119375608.db2.gz PFFRNSOOQVIUFB-IZZDOVSWSA-N 1 2 289.338 3.729 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2c(F)cccc2F)s1 ZINC000353282389 1119379459 /nfs/dbraw/zinc/37/94/59/1119379459.db2.gz IQNOLMKKAWTDGI-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2c(F)cccc2F)s1 ZINC000353282389 1119379461 /nfs/dbraw/zinc/37/94/61/1119379461.db2.gz IQNOLMKKAWTDGI-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO C[C@H]1C[N@H+](Cc2sccc2Cl)CC2(CCC2)O1 ZINC000583629029 1119387077 /nfs/dbraw/zinc/38/70/77/1119387077.db2.gz KQNXPIBYEFYMMR-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO C[C@H]1C[N@@H+](Cc2sccc2Cl)CC2(CCC2)O1 ZINC000583629029 1119387081 /nfs/dbraw/zinc/38/70/81/1119387081.db2.gz KQNXPIBYEFYMMR-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO COc1cccc2c(NCC3(C(F)(F)F)CC3)cc[nH+]c12 ZINC000343358865 1119396384 /nfs/dbraw/zinc/39/63/84/1119396384.db2.gz VUCQMSZGEFNTNV-UHFFFAOYSA-N 1 2 296.292 3.998 20 0 CHADLO Cc1cc2cc(C[NH2+][C@H](C)c3nccn3C)oc2cc1C ZINC000657794581 1119413327 /nfs/dbraw/zinc/41/33/27/1119413327.db2.gz UAEDUHNVTVZNPN-CYBMUJFWSA-N 1 2 283.375 3.634 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)Cc2csc3ccccc23)n1 ZINC000628129944 1119415008 /nfs/dbraw/zinc/41/50/08/1119415008.db2.gz CNKXJBUUKLTYEA-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)Cc2csc3ccccc23)n1 ZINC000628129944 1119415010 /nfs/dbraw/zinc/41/50/10/1119415010.db2.gz CNKXJBUUKLTYEA-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO COc1ccc2c(c1)[C@H]([NH2+][C@H](C)c1ccon1)CCS2 ZINC000343440831 1119421834 /nfs/dbraw/zinc/42/18/34/1119421834.db2.gz JWRWZDCSUZINFY-QMTHXVAHSA-N 1 2 290.388 3.571 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4nnsc43)cc2)[nH]1 ZINC001213090935 1119422652 /nfs/dbraw/zinc/42/26/52/1119422652.db2.gz NNMDJWGRIVPPAN-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO Cc1csc(CNc2[nH+]c3cc(Cl)ccc3n2C)n1 ZINC000167765043 1119446297 /nfs/dbraw/zinc/44/62/97/1119446297.db2.gz HMHJECZROIJLMG-UHFFFAOYSA-N 1 2 292.795 3.604 20 0 CHADLO CCc1nnc([C@H](C)[N@H+](CC)Cc2ccc(Cl)cc2)o1 ZINC000343558322 1119453478 /nfs/dbraw/zinc/45/34/78/1119453478.db2.gz AOERKDRDFVSRCS-NSHDSACASA-N 1 2 293.798 3.869 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+](CC)Cc2ccc(Cl)cc2)o1 ZINC000343558322 1119453481 /nfs/dbraw/zinc/45/34/81/1119453481.db2.gz AOERKDRDFVSRCS-NSHDSACASA-N 1 2 293.798 3.869 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)c1ccc(F)cn1 ZINC000147646781 1119463611 /nfs/dbraw/zinc/46/36/11/1119463611.db2.gz DUQSNSTWOZDXRJ-GFCCVEGCSA-N 1 2 273.355 3.768 20 0 CHADLO Cc1[nH]c(CNc2cc(-c3ncco3)ccc2C)[nH+]c1C ZINC000584064561 1119469444 /nfs/dbraw/zinc/46/94/44/1119469444.db2.gz GWHIQAUMNKELRI-UHFFFAOYSA-N 1 2 282.347 3.602 20 0 CHADLO c1coc([C@H]([NH2+][C@@H]2CCCn3nccc32)c2ccccc2)c1 ZINC000657826668 1119472477 /nfs/dbraw/zinc/47/24/77/1119472477.db2.gz JLSMHMOCWDMPBO-CRAIPNDOSA-N 1 2 293.370 3.690 20 0 CHADLO Cc1nc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)[nH]c1C ZINC000628176707 1119487693 /nfs/dbraw/zinc/48/76/93/1119487693.db2.gz UMQZSLGVORBIDI-CQSZACIVSA-N 1 2 273.380 3.737 20 0 CHADLO Cc1nc(C[N@H+]2CCCCC[C@@H]2c2ccco2)[nH]c1C ZINC000628176707 1119487694 /nfs/dbraw/zinc/48/76/94/1119487694.db2.gz UMQZSLGVORBIDI-CQSZACIVSA-N 1 2 273.380 3.737 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000343722640 1119495258 /nfs/dbraw/zinc/49/52/58/1119495258.db2.gz XFXWWECEPMXULO-CABCVRRESA-N 1 2 291.439 3.722 20 0 CHADLO CCC[C@@H](C)[C@@H]1CCC[N@@H+]1Cc1nnsc1Cl ZINC000135336088 1119498072 /nfs/dbraw/zinc/49/80/72/1119498072.db2.gz AGOVTGAYRLVXBG-KOLCDFICSA-N 1 2 273.833 3.592 20 0 CHADLO CCC[C@@H](C)[C@@H]1CCC[N@H+]1Cc1nnsc1Cl ZINC000135336088 1119498077 /nfs/dbraw/zinc/49/80/77/1119498077.db2.gz AGOVTGAYRLVXBG-KOLCDFICSA-N 1 2 273.833 3.592 20 0 CHADLO CCc1cnc(C[N@@H+](CC)[C@@H](C)c2cccc(O)c2)o1 ZINC000080931417 1119505613 /nfs/dbraw/zinc/50/56/13/1119505613.db2.gz YOQBISOYEFFWGU-LBPRGKRZSA-N 1 2 274.364 3.526 20 0 CHADLO CCc1cnc(C[N@H+](CC)[C@@H](C)c2cccc(O)c2)o1 ZINC000080931417 1119505616 /nfs/dbraw/zinc/50/56/16/1119505616.db2.gz YOQBISOYEFFWGU-LBPRGKRZSA-N 1 2 274.364 3.526 20 0 CHADLO CCn1c(C[N@H+](C)[C@H](C)c2ccncc2)nc2ccccc21 ZINC000080967164 1119507131 /nfs/dbraw/zinc/50/71/31/1119507131.db2.gz JGBWYTNFLBVNLJ-CQSZACIVSA-N 1 2 294.402 3.644 20 0 CHADLO CCn1c(C[N@@H+](C)[C@H](C)c2ccncc2)nc2ccccc21 ZINC000080967164 1119507133 /nfs/dbraw/zinc/50/71/33/1119507133.db2.gz JGBWYTNFLBVNLJ-CQSZACIVSA-N 1 2 294.402 3.644 20 0 CHADLO Clc1ccc([C@@H]2OCC[C@@H]2Nc2cccc[nH+]2)cc1 ZINC000089269226 1119538885 /nfs/dbraw/zinc/53/88/85/1119538885.db2.gz GUHIONLGSNVGGU-ZFWWWQNUSA-N 1 2 274.751 3.677 20 0 CHADLO CC[C@H]([NH2+]Cc1ncccc1F)c1cc(F)ccc1F ZINC000090720710 1119548605 /nfs/dbraw/zinc/54/86/05/1119548605.db2.gz WHGRHVWWZIIXOQ-AWEZNQCLSA-N 1 2 280.293 3.740 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccc1F)c1ccc2ccccc2n1 ZINC000090720867 1119549330 /nfs/dbraw/zinc/54/93/30/1119549330.db2.gz WSDLEVQRVKGBDA-GFCCVEGCSA-N 1 2 281.334 3.620 20 0 CHADLO Cc1cccc(C2=CCN(c3cccc[nH+]3)CC2)c1 ZINC000092696447 1119564870 /nfs/dbraw/zinc/56/48/70/1119564870.db2.gz PYRDZGWUBHLURB-UHFFFAOYSA-N 1 2 250.345 3.684 20 0 CHADLO Fc1cc(F)c2c(c1)C[N@@H+](Cc1ccsc1)CC2 ZINC000093838396 1119572640 /nfs/dbraw/zinc/57/26/40/1119572640.db2.gz DGHHFOXBKJDGBU-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1cc(F)c2c(c1)C[N@H+](Cc1ccsc1)CC2 ZINC000093838396 1119572643 /nfs/dbraw/zinc/57/26/43/1119572643.db2.gz DGHHFOXBKJDGBU-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2cc3cnccc3o2)cs1 ZINC000657882221 1119592584 /nfs/dbraw/zinc/59/25/84/1119592584.db2.gz QNBVYKOVVYJVGY-JTQLQIEISA-N 1 2 287.388 3.698 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2cccs2)oc1C ZINC000139656708 1119602717 /nfs/dbraw/zinc/60/27/17/1119602717.db2.gz CYFURWOBPVPNRT-SNVBAGLBSA-N 1 2 250.367 3.546 20 0 CHADLO CC(C)[C@H]1CCC[C@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000685447575 1119610061 /nfs/dbraw/zinc/61/00/61/1119610061.db2.gz IOBPXHQQMVRBSW-HZPDHXFCSA-N 1 2 284.407 3.563 20 0 CHADLO CC(C)C[C@H](C(=O)N1CCCC[C@H]1C(C)C)n1cc[nH+]c1 ZINC000635574777 1119618839 /nfs/dbraw/zinc/61/88/39/1119618839.db2.gz GWSCRUUHTWBOSZ-JKSUJKDBSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)o1 ZINC000685566115 1119631388 /nfs/dbraw/zinc/63/13/88/1119631388.db2.gz CWSVHASQXLHWHO-AWEZNQCLSA-N 1 2 276.767 3.892 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)o1 ZINC000685566115 1119631390 /nfs/dbraw/zinc/63/13/90/1119631390.db2.gz CWSVHASQXLHWHO-AWEZNQCLSA-N 1 2 276.767 3.892 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000628431152 1128859040 /nfs/dbraw/zinc/85/90/40/1128859040.db2.gz PDACLWFXWVKSOZ-UONOGXRCSA-N 1 2 285.391 3.986 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCc3c(F)cc(F)cc3[C@H]2C)o1 ZINC000685566446 1119632134 /nfs/dbraw/zinc/63/21/34/1119632134.db2.gz DERPGTXAJAZWPQ-SNVBAGLBSA-N 1 2 292.329 3.689 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCc3c(F)cc(F)cc3[C@H]2C)o1 ZINC000685566446 1119632136 /nfs/dbraw/zinc/63/21/36/1119632136.db2.gz DERPGTXAJAZWPQ-SNVBAGLBSA-N 1 2 292.329 3.689 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@H]2CCCn3nccc32)cs1 ZINC000657919473 1119641504 /nfs/dbraw/zinc/64/15/04/1119641504.db2.gz MOIBXHWBBVLVBN-RYUDHWBXSA-N 1 2 290.436 3.649 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2nccc3ccccc32)cs1 ZINC000685645094 1119643800 /nfs/dbraw/zinc/64/38/00/1119643800.db2.gz ZROILHVWCIOUQB-LLVKDONJSA-N 1 2 283.400 3.851 20 0 CHADLO COc1cccc(OC)c1C[NH2+][C@H](C)c1cc(C)c(C)o1 ZINC000658118215 1119687969 /nfs/dbraw/zinc/68/79/69/1119687969.db2.gz DDXYOEFEVKZNKV-GFCCVEGCSA-N 1 2 289.375 3.764 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+][C@H](C)c2ccccc2Cl)nn1 ZINC000924527382 1119710787 /nfs/dbraw/zinc/71/07/87/1119710787.db2.gz YHHYYJTXPHVDHQ-NEPJUHHUSA-N 1 2 292.814 3.924 20 0 CHADLO CSCc1ccc(C[NH2+]Cc2n[nH]c3ccccc32)cc1 ZINC000586783384 1119744457 /nfs/dbraw/zinc/74/44/57/1119744457.db2.gz QOGMCZRKLMBZBX-UHFFFAOYSA-N 1 2 297.427 3.716 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CCO[C@H](C2CCC2)C1 ZINC000584466773 1119764307 /nfs/dbraw/zinc/76/43/07/1119764307.db2.gz LCPYSYWSLZQZCM-KRWDZBQOSA-N 1 2 282.387 3.549 20 0 CHADLO C[C@H]1CC(C)(C)C[C@@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000235549889 1119776980 /nfs/dbraw/zinc/77/69/80/1119776980.db2.gz AYJQWVGZFAJHRD-YOEHRIQHSA-N 1 2 288.435 3.760 20 0 CHADLO Cc1cccc(C[NH2+]Cc2csc(C(F)(F)F)n2)c1 ZINC000892502873 1119797458 /nfs/dbraw/zinc/79/74/58/1119797458.db2.gz YOKZMALUVLOHNJ-UHFFFAOYSA-N 1 2 286.322 3.760 20 0 CHADLO Cc1c2cccc(C)c2oc1C(=O)Nc1c(C)c[nH+]cc1C ZINC001625884165 1119813198 /nfs/dbraw/zinc/81/31/98/1119813198.db2.gz KLRYVUXAPMLVDP-UHFFFAOYSA-N 1 2 294.354 3.736 20 0 CHADLO Cc1cnccc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000294884888 1119814227 /nfs/dbraw/zinc/81/42/27/1119814227.db2.gz QYFQVMWRFNQOHI-UHFFFAOYSA-N 1 2 267.376 3.602 20 0 CHADLO CCCC[C@H](C(=O)Nc1c(C)c[nH+]cc1C)C(C)C ZINC001625884271 1119834876 /nfs/dbraw/zinc/83/48/76/1119834876.db2.gz NKDZMOGJIRQRFV-AWEZNQCLSA-N 1 2 262.397 3.521 20 0 CHADLO CSCCc1ccccc1NCc1c[nH+]cn1C(C)C ZINC000601139208 1119835299 /nfs/dbraw/zinc/83/52/99/1119835299.db2.gz AFGXRCIMXXEXIJ-UHFFFAOYSA-N 1 2 289.448 3.982 20 0 CHADLO COCOc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001211637608 1119864362 /nfs/dbraw/zinc/86/43/62/1119864362.db2.gz KSFJCDFBLXEBPQ-UHFFFAOYSA-N 1 2 295.342 3.599 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Br)o2)[C@H]1C1CC1 ZINC000685850008 1119870942 /nfs/dbraw/zinc/87/09/42/1119870942.db2.gz GSWJVXNMDFEGQU-LBPRGKRZSA-N 1 2 284.197 3.663 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Br)o2)[C@H]1C1CC1 ZINC000685850008 1119870944 /nfs/dbraw/zinc/87/09/44/1119870944.db2.gz GSWJVXNMDFEGQU-LBPRGKRZSA-N 1 2 284.197 3.663 20 0 CHADLO CCCc1ccc(CNc2ccc(N3CCCC3)c[nH+]2)cc1 ZINC000613286552 1119897767 /nfs/dbraw/zinc/89/77/67/1119897767.db2.gz HSHATDNADPVEHZ-UHFFFAOYSA-N 1 2 295.430 3.668 20 0 CHADLO CCCCc1nc(C[N@H+](C)[C@@H]2CC[C@H](SCC)C2)no1 ZINC000174349613 1119929416 /nfs/dbraw/zinc/92/94/16/1119929416.db2.gz XPTBSSOOZIRKDN-OLZOCXBDSA-N 1 2 297.468 3.518 20 0 CHADLO CCCCc1nc(C[N@@H+](C)[C@@H]2CC[C@H](SCC)C2)no1 ZINC000174349613 1119929419 /nfs/dbraw/zinc/92/94/19/1119929419.db2.gz XPTBSSOOZIRKDN-OLZOCXBDSA-N 1 2 297.468 3.518 20 0 CHADLO CCCCCNC(=O)C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000613486003 1119937489 /nfs/dbraw/zinc/93/74/89/1119937489.db2.gz KILNWMICGTURGL-CYBMUJFWSA-N 1 2 296.842 3.639 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccn(-c3ccccc3)n2)cc1 ZINC000613484797 1119937308 /nfs/dbraw/zinc/93/73/08/1119937308.db2.gz YKWHLOYFDSKTMP-UHFFFAOYSA-N 1 2 291.398 3.813 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccn(-c3ccccc3)n2)cc1 ZINC000613484797 1119937312 /nfs/dbraw/zinc/93/73/12/1119937312.db2.gz YKWHLOYFDSKTMP-UHFFFAOYSA-N 1 2 291.398 3.813 20 0 CHADLO Cc1ccc(-c2nc(C[NH+]3CCSCC3)cs2)cc1 ZINC000171628804 1119937417 /nfs/dbraw/zinc/93/74/17/1119937417.db2.gz RIJLKNIYOXKUMU-UHFFFAOYSA-N 1 2 290.457 3.667 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@@H](C)c1csnn1 ZINC000414309560 1119974926 /nfs/dbraw/zinc/97/49/26/1119974926.db2.gz VCLKBMZTXFEHBA-UWVGGRQHSA-N 1 2 279.434 3.672 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H](C)C[C@@H]1CCOC1 ZINC000685910634 1119982816 /nfs/dbraw/zinc/98/28/16/1119982816.db2.gz KGFQSXDBORTOLF-KGLIPLIRSA-N 1 2 270.376 3.770 20 0 CHADLO CCc1ncc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)cn1 ZINC000414374507 1120005006 /nfs/dbraw/zinc/00/50/06/1120005006.db2.gz WBICVJYVMHIYJC-LBPRGKRZSA-N 1 2 289.810 3.885 20 0 CHADLO CCc1ncc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)cn1 ZINC000414374507 1120005010 /nfs/dbraw/zinc/00/50/10/1120005010.db2.gz WBICVJYVMHIYJC-LBPRGKRZSA-N 1 2 289.810 3.885 20 0 CHADLO Cc1cc(N[C@H](CCO)c2ccccc2)nc(C2CCC2)[nH+]1 ZINC000892654332 1120013823 /nfs/dbraw/zinc/01/38/23/1120013823.db2.gz JGKANKVNNCWXHT-MRXNPFEDSA-N 1 2 297.402 3.588 20 0 CHADLO COc1ccccc1[C@@H]([NH2+]CC(C)(C)F)c1ccccn1 ZINC000926781716 1120015787 /nfs/dbraw/zinc/01/57/87/1120015787.db2.gz YJLDWETVDKEKCA-MRXNPFEDSA-N 1 2 288.366 3.517 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)cc1 ZINC000375841907 1120015886 /nfs/dbraw/zinc/01/58/86/1120015886.db2.gz UHJFNUDLGHWFBG-ABAIWWIYSA-N 1 2 287.432 3.860 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCCC(F)(F)CC2)c1 ZINC000624521351 1120020406 /nfs/dbraw/zinc/02/04/06/1120020406.db2.gz DIJDBNNOQQVYNF-UHFFFAOYSA-N 1 2 280.293 4.000 20 0 CHADLO CN(CC1(C)COC1)c1cc[nH+]c2cc(F)c(Cl)cc21 ZINC000624528855 1120023245 /nfs/dbraw/zinc/02/32/45/1120023245.db2.gz RKKOIWGWGMMSFC-UHFFFAOYSA-N 1 2 294.757 3.500 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2ccccc2C(F)(F)F)C1 ZINC000114630731 1120046465 /nfs/dbraw/zinc/04/64/65/1120046465.db2.gz AJWHIBZZRVQSTP-LLVKDONJSA-N 1 2 261.262 3.639 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2ccccc2C(F)(F)F)C1 ZINC000114630731 1120046469 /nfs/dbraw/zinc/04/64/69/1120046469.db2.gz AJWHIBZZRVQSTP-LLVKDONJSA-N 1 2 261.262 3.639 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)CCC(F)F)cc2)[nH+]c1C ZINC000921658109 1120057008 /nfs/dbraw/zinc/05/70/08/1120057008.db2.gz LQAWFFQAGXBBFA-UHFFFAOYSA-N 1 2 293.317 3.677 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@H]3CC34CCC4)cc2)[nH+]c1C ZINC000921661168 1120058408 /nfs/dbraw/zinc/05/84/08/1120058408.db2.gz YUPARVIWFLVSOI-OAHLLOKOSA-N 1 2 295.386 3.822 20 0 CHADLO C=C(Br)C[N@H+]1CCC[C@H]1c1ccc(F)cc1 ZINC000057753129 1120066937 /nfs/dbraw/zinc/06/69/37/1120066937.db2.gz QCWOLGYDDIINNJ-ZDUSSCGKSA-N 1 2 284.172 3.871 20 0 CHADLO C=C(Br)C[N@@H+]1CCC[C@H]1c1ccc(F)cc1 ZINC000057753129 1120066943 /nfs/dbraw/zinc/06/69/43/1120066943.db2.gz QCWOLGYDDIINNJ-ZDUSSCGKSA-N 1 2 284.172 3.871 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCCC2(CC2)CC1 ZINC000624722751 1120102142 /nfs/dbraw/zinc/10/21/42/1120102142.db2.gz OCNFDKKXGSODTD-UHFFFAOYSA-N 1 2 267.376 3.588 20 0 CHADLO CCCC[NH+](CCCC)Cc1nnc(C(F)(F)F)s1 ZINC000659816761 1120116091 /nfs/dbraw/zinc/11/60/91/1120116091.db2.gz TZXFZUIXPQLSRR-UHFFFAOYSA-N 1 2 295.374 3.959 20 0 CHADLO ClC(Cl)=CC[N@@H+]1CCC[C@H]1c1ccncc1 ZINC000851871728 1120134155 /nfs/dbraw/zinc/13/41/55/1120134155.db2.gz TZFUHGWIIKZYHW-NSHDSACASA-N 1 2 257.164 3.538 20 0 CHADLO ClC(Cl)=CC[N@H+]1CCC[C@H]1c1ccncc1 ZINC000851871728 1120134157 /nfs/dbraw/zinc/13/41/57/1120134157.db2.gz TZFUHGWIIKZYHW-NSHDSACASA-N 1 2 257.164 3.538 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1cccc(Br)c1 ZINC000378864759 1120135786 /nfs/dbraw/zinc/13/57/86/1120135786.db2.gz YAURVZPIBUWYQA-QMMMGPOBSA-N 1 2 278.140 3.755 20 0 CHADLO c1coc([C@H]2CCCCC[N@H+]2Cc2cscn2)c1 ZINC000172396810 1120141459 /nfs/dbraw/zinc/14/14/59/1120141459.db2.gz VDBXNIQOHAQBFT-CYBMUJFWSA-N 1 2 262.378 3.853 20 0 CHADLO c1coc([C@H]2CCCCC[N@@H+]2Cc2cscn2)c1 ZINC000172396810 1120141460 /nfs/dbraw/zinc/14/14/60/1120141460.db2.gz VDBXNIQOHAQBFT-CYBMUJFWSA-N 1 2 262.378 3.853 20 0 CHADLO Fc1cccc(C[N@H+](Cc2cc3n(n2)CCCC3)C2CC2)c1 ZINC000649489820 1128893223 /nfs/dbraw/zinc/89/32/23/1128893223.db2.gz IJKUWMKGLMCQKW-UHFFFAOYSA-N 1 2 299.393 3.523 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2cc3n(n2)CCCC3)C2CC2)c1 ZINC000649489820 1128893225 /nfs/dbraw/zinc/89/32/25/1128893225.db2.gz IJKUWMKGLMCQKW-UHFFFAOYSA-N 1 2 299.393 3.523 20 0 CHADLO Cc1cc(N2CCCC[C@H]2c2ncc[nH]2)nc(C2CCC2)[nH+]1 ZINC000892826259 1120148076 /nfs/dbraw/zinc/14/80/76/1120148076.db2.gz FIMYFVLQSYDZDR-AWEZNQCLSA-N 1 2 297.406 3.507 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCc1cscn1 ZINC000111628077 1120152912 /nfs/dbraw/zinc/15/29/12/1120152912.db2.gz CQCHBJLUHWJJFN-UHFFFAOYSA-N 1 2 269.373 3.654 20 0 CHADLO CCCCC[N@H+](Cc1nnc(C(F)(F)F)s1)C(C)C ZINC000659839811 1120157431 /nfs/dbraw/zinc/15/74/31/1120157431.db2.gz ZCEJURBJRJPTOJ-UHFFFAOYSA-N 1 2 295.374 3.958 20 0 CHADLO CCCCC[N@@H+](Cc1nnc(C(F)(F)F)s1)C(C)C ZINC000659839811 1120157433 /nfs/dbraw/zinc/15/74/33/1120157433.db2.gz ZCEJURBJRJPTOJ-UHFFFAOYSA-N 1 2 295.374 3.958 20 0 CHADLO CCc1nnc([C@H](C)[N@H+](CC)Cc2cccc(Cl)c2)[nH]1 ZINC000659843555 1120164722 /nfs/dbraw/zinc/16/47/22/1120164722.db2.gz WHNGNIASXLOOTH-NSHDSACASA-N 1 2 292.814 3.604 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+](CC)Cc2cccc(Cl)c2)[nH]1 ZINC000659843555 1120164726 /nfs/dbraw/zinc/16/47/26/1120164726.db2.gz WHNGNIASXLOOTH-NSHDSACASA-N 1 2 292.814 3.604 20 0 CHADLO CC(C)Oc1cc(N[C@@H]2CCCc3cccnc32)cc[nH+]1 ZINC001168655968 1120177780 /nfs/dbraw/zinc/17/77/80/1120177780.db2.gz SZOONNASHPPZCZ-OAHLLOKOSA-N 1 2 283.375 3.753 20 0 CHADLO CCc1ccc([C@@H]2COCC[N@@H+]2Cc2ccccc2C)o1 ZINC000303755018 1120182043 /nfs/dbraw/zinc/18/20/43/1120182043.db2.gz JDVBWGPVHYDYIZ-KRWDZBQOSA-N 1 2 285.387 3.724 20 0 CHADLO CCc1ccc([C@@H]2COCC[N@H+]2Cc2ccccc2C)o1 ZINC000303755018 1120182044 /nfs/dbraw/zinc/18/20/44/1120182044.db2.gz JDVBWGPVHYDYIZ-KRWDZBQOSA-N 1 2 285.387 3.724 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@@H+]2CC(=O)OC(C)(C)C)o1 ZINC000303801587 1120183962 /nfs/dbraw/zinc/18/39/62/1120183962.db2.gz WYTILQWFEWRSGW-CQSZACIVSA-N 1 2 293.407 3.847 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@H+]2CC(=O)OC(C)(C)C)o1 ZINC000303801587 1120183964 /nfs/dbraw/zinc/18/39/64/1120183964.db2.gz WYTILQWFEWRSGW-CQSZACIVSA-N 1 2 293.407 3.847 20 0 CHADLO CC(=CC1CCC(C)CC1)C(=O)Nc1cc[nH+]c(C)c1 ZINC001127644725 1128896800 /nfs/dbraw/zinc/89/68/00/1128896800.db2.gz IVJUGPYKXJIQID-RAXLEYEMSA-N 1 2 272.392 3.523 20 0 CHADLO COc1ccc2ccc(N[C@H]3CCOC(C)(C)C3)[nH+]c2c1 ZINC000892972334 1120185401 /nfs/dbraw/zinc/18/54/01/1120185401.db2.gz WHTHKFDINOHOKH-ZDUSSCGKSA-N 1 2 286.375 3.613 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc[nH]2)nc(C2CCC2)[nH+]1 ZINC000893021456 1120200469 /nfs/dbraw/zinc/20/04/69/1120200469.db2.gz FLMGTXJOWCRYFX-NSHDSACASA-N 1 2 256.353 3.554 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(Cl)ccc3N)ccc21 ZINC001212437670 1120216366 /nfs/dbraw/zinc/21/63/66/1120216366.db2.gz PIYYCSIGLXCAOW-UHFFFAOYSA-N 1 2 272.739 3.553 20 0 CHADLO Cc1ccc(F)c(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001239964688 1120220322 /nfs/dbraw/zinc/22/03/22/1120220322.db2.gz LDZGXOXQHSYXFO-UHFFFAOYSA-N 1 2 252.292 3.987 20 0 CHADLO Cc1cc(NCCCOC(C)C)nc(-c2ccccc2)[nH+]1 ZINC001168675918 1120229863 /nfs/dbraw/zinc/22/98/63/1120229863.db2.gz BSCZLOQEOIWNIW-UHFFFAOYSA-N 1 2 285.391 3.679 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H]2COc3c2cccc3Cl)o1 ZINC000893133960 1120233228 /nfs/dbraw/zinc/23/32/28/1120233228.db2.gz HNRNSHRECUJAIC-CYBMUJFWSA-N 1 2 293.750 3.555 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1Cl)c1c(F)cncc1F ZINC000353126992 1120237759 /nfs/dbraw/zinc/23/77/59/1120237759.db2.gz OBGJAJWUEUGYRH-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO Cc1n[nH]c(C)c1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000271197526 1120240766 /nfs/dbraw/zinc/24/07/66/1120240766.db2.gz UWTJKKPYDJRZHE-HNNXBMFYSA-N 1 2 275.783 3.627 20 0 CHADLO Cc1n[nH]c(C)c1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000271197526 1120240770 /nfs/dbraw/zinc/24/07/70/1120240770.db2.gz UWTJKKPYDJRZHE-HNNXBMFYSA-N 1 2 275.783 3.627 20 0 CHADLO C[C@H](Nc1cccc(-c2c[nH+]cn2C)c1)C(C)(C)C ZINC001168686551 1120247823 /nfs/dbraw/zinc/24/78/23/1120247823.db2.gz PTYGMHKSFYHVPB-LBPRGKRZSA-N 1 2 257.381 3.934 20 0 CHADLO C[C@H](Nc1ccc(F)c(-n2cc[nH+]c2)c1)C(C)(C)C ZINC001168685792 1120248327 /nfs/dbraw/zinc/24/83/27/1120248327.db2.gz DKPQGBFOTXKBMA-NSHDSACASA-N 1 2 261.344 3.858 20 0 CHADLO CC(C)c1nnc2n1CC[N@@H+](CCC1=CCCCC1)[C@H]2C ZINC000450834283 1120252432 /nfs/dbraw/zinc/25/24/32/1120252432.db2.gz ZELQOQQJVYEYMY-AWEZNQCLSA-N 1 2 288.439 3.669 20 0 CHADLO CC(C)c1nnc2n1CC[N@H+](CCC1=CCCCC1)[C@H]2C ZINC000450834283 1120252436 /nfs/dbraw/zinc/25/24/36/1120252436.db2.gz ZELQOQQJVYEYMY-AWEZNQCLSA-N 1 2 288.439 3.669 20 0 CHADLO Cc1cc(NCc2cnn(CC3CCC3)c2)ccc1[NH+](C)C ZINC000921876652 1120262420 /nfs/dbraw/zinc/26/24/20/1120262420.db2.gz YTLIFVHMZMQPRY-UHFFFAOYSA-N 1 2 298.434 3.670 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1cc(C2(C)OCCO2)cc[nH+]1 ZINC001168691788 1120264073 /nfs/dbraw/zinc/26/40/73/1120264073.db2.gz DOIRAAYVUJCLCW-QWHCGFSZSA-N 1 2 278.396 3.538 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+]1CCO[C@H](c2ccccc2)C1 ZINC000076608614 1120288128 /nfs/dbraw/zinc/28/81/28/1120288128.db2.gz IVEOQABJPPVGLC-KSSFIOAISA-N 1 2 285.362 3.960 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+]1CCO[C@H](c2ccccc2)C1 ZINC000076608614 1120288130 /nfs/dbraw/zinc/28/81/30/1120288130.db2.gz IVEOQABJPPVGLC-KSSFIOAISA-N 1 2 285.362 3.960 20 0 CHADLO CC1(C)CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000172798907 1120300012 /nfs/dbraw/zinc/30/00/12/1120300012.db2.gz JQACGPADNLJLCG-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO Cc1nn(C)c(C[NH2+][C@H](C)c2cccc(F)c2F)c1Cl ZINC000893211388 1120307341 /nfs/dbraw/zinc/30/73/41/1120307341.db2.gz VJMUZFSZOUSENP-MRVPVSSYSA-N 1 2 299.752 3.511 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)s1 ZINC000679546777 1120308804 /nfs/dbraw/zinc/30/88/04/1120308804.db2.gz UFSLJIGMHBFDLY-WPRPVWTQSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)s1 ZINC000679546777 1120308809 /nfs/dbraw/zinc/30/88/09/1120308809.db2.gz UFSLJIGMHBFDLY-WPRPVWTQSA-N 1 2 278.343 3.614 20 0 CHADLO CCSCc1cc[nH+]c(NCc2c(C)noc2CC)c1 ZINC000893243081 1120331528 /nfs/dbraw/zinc/33/15/28/1120331528.db2.gz AIPGSQORWOOIHX-UHFFFAOYSA-N 1 2 291.420 3.806 20 0 CHADLO CC(C)([NH2+]Cc1cc(F)c(F)c(F)c1)c1nccs1 ZINC000116380591 1120332547 /nfs/dbraw/zinc/33/25/47/1120332547.db2.gz OKEDMTKVXJSEQE-UHFFFAOYSA-N 1 2 286.322 3.585 20 0 CHADLO CCc1nc2c(s1)[C@H]([NH2+][C@@H]1CCCC1(F)F)CCC2 ZINC000672620318 1120334539 /nfs/dbraw/zinc/33/45/39/1120334539.db2.gz LEHLRBCHLRBSFW-MWLCHTKSSA-N 1 2 286.391 3.860 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccccc1N(C)C ZINC000672620037 1120335462 /nfs/dbraw/zinc/33/54/62/1120335462.db2.gz IJJWGBUFQJCTCF-FZMZJTMJSA-N 1 2 268.351 3.591 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+][C@H]1CCCOc2ccccc21 ZINC000672622257 1120335892 /nfs/dbraw/zinc/33/58/92/1120335892.db2.gz DWAOYABJXMXGPE-GXTWGEPZSA-N 1 2 267.319 3.678 20 0 CHADLO C[C@H](c1ccccc1)N1CC[C@@H]([NH2+][C@@H]2CCCC2(F)F)C1 ZINC000672622238 1120335967 /nfs/dbraw/zinc/33/59/67/1120335967.db2.gz DJTASVCARFRAOI-FVQBIDKESA-N 1 2 294.389 3.599 20 0 CHADLO Cc1ccc2c(c1)OCCC[C@@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000672622183 1120336265 /nfs/dbraw/zinc/33/62/65/1120336265.db2.gz BLFNMUWEIHLQLT-DZGCQCFKSA-N 1 2 281.346 3.986 20 0 CHADLO COc1cc(C)c([C@@H](C)[NH2+][C@H]2CCCC2(F)F)cc1OC ZINC000672622568 1120336577 /nfs/dbraw/zinc/33/65/77/1120336577.db2.gz MZUKSZKSAPAREO-ABAIWWIYSA-N 1 2 299.361 3.851 20 0 CHADLO COc1cc2c(cc1F)CC[C@@H]2[NH2+][C@H]1CCCC1(F)F ZINC000672621911 1120336657 /nfs/dbraw/zinc/33/66/57/1120336657.db2.gz NUINDYFDLVWMCK-JSGCOSHPSA-N 1 2 285.309 3.599 20 0 CHADLO CC(C)O[C@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000651386303 1120339185 /nfs/dbraw/zinc/33/91/85/1120339185.db2.gz IWXVFQUQAURDIC-HNNXBMFYSA-N 1 2 288.366 3.768 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1cc(Cl)ccc1F ZINC000183742425 1120346081 /nfs/dbraw/zinc/34/60/81/1120346081.db2.gz RZRFZZUJDBSGRL-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1cc(Cl)ccc1F ZINC000183742425 1120346084 /nfs/dbraw/zinc/34/60/84/1120346084.db2.gz RZRFZZUJDBSGRL-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO c1[nH+]cn2c1c(NC1CCCCC1)nc1ccccc12 ZINC000013441445 1120364949 /nfs/dbraw/zinc/36/49/49/1120364949.db2.gz WWLDXTMRXFQJGX-UHFFFAOYSA-N 1 2 266.348 3.627 20 0 CHADLO Oc1c(F)cc(F)cc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001212484002 1120365092 /nfs/dbraw/zinc/36/50/92/1120365092.db2.gz FANVYAOXIXAFLX-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO C1=CCC(C[N@@H+]2CCC[C@H]2c2nc(-c3ccccc3)n[nH]2)C1 ZINC000660127883 1120389651 /nfs/dbraw/zinc/38/96/51/1120389651.db2.gz FVUBSRZDEIQKPR-INIZCTEOSA-N 1 2 294.402 3.575 20 0 CHADLO C1=CCC(C[N@H+]2CCC[C@H]2c2nc(-c3ccccc3)n[nH]2)C1 ZINC000660127883 1120389656 /nfs/dbraw/zinc/38/96/56/1120389656.db2.gz FVUBSRZDEIQKPR-INIZCTEOSA-N 1 2 294.402 3.575 20 0 CHADLO C1=CCC(C[N@@H+]2CCC[C@@H]2c2nc(-c3ccccc3)n[nH]2)C1 ZINC000660127886 1120390355 /nfs/dbraw/zinc/39/03/55/1120390355.db2.gz FVUBSRZDEIQKPR-MRXNPFEDSA-N 1 2 294.402 3.575 20 0 CHADLO C1=CCC(C[N@H+]2CCC[C@@H]2c2nc(-c3ccccc3)n[nH]2)C1 ZINC000660127886 1120390361 /nfs/dbraw/zinc/39/03/61/1120390361.db2.gz FVUBSRZDEIQKPR-MRXNPFEDSA-N 1 2 294.402 3.575 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccccc1OC ZINC000162355270 1120412220 /nfs/dbraw/zinc/41/22/20/1120412220.db2.gz ZSQXNWLEAAHFAX-WCQYABFASA-N 1 2 276.405 3.954 20 0 CHADLO FC(F)[C@H]1CCC[N@H+](Cc2occc2Br)C1 ZINC001143131202 1120419170 /nfs/dbraw/zinc/41/91/70/1120419170.db2.gz PRPVGPVNZDEEQI-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+](Cc2occc2Br)C1 ZINC001143131202 1120419173 /nfs/dbraw/zinc/41/91/73/1120419173.db2.gz PRPVGPVNZDEEQI-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C2CC2)no1)c1cc(F)ccc1F ZINC000660178805 1120419265 /nfs/dbraw/zinc/41/92/65/1120419265.db2.gz IBRCHGCHBXOQLF-VIFPVBQESA-N 1 2 278.302 3.681 20 0 CHADLO COc1ccccc1[C@H](CC(C)C)[NH2+]Cc1coc(C)n1 ZINC000660201902 1120438835 /nfs/dbraw/zinc/43/88/35/1120438835.db2.gz QJFLMIMTCOXSBM-INIZCTEOSA-N 1 2 288.391 3.869 20 0 CHADLO Cc1c(Cl)ccc(C[NH2+][C@H](C)c2ccn(C)n2)c1Cl ZINC000922180896 1120448327 /nfs/dbraw/zinc/44/83/27/1120448327.db2.gz JIMJVEBULRNBHH-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO CC[C@H]([NH2+]Cc1cc2c(cccc2C)[nH]1)C(F)F ZINC000657477548 1120468175 /nfs/dbraw/zinc/46/81/75/1120468175.db2.gz VIUIFNDSAYKUBQ-LBPRGKRZSA-N 1 2 252.308 3.610 20 0 CHADLO CC(C)CCc1noc(C[N@H+](C)[C@@H]2CCc3ccccc32)n1 ZINC000068298958 1120471562 /nfs/dbraw/zinc/47/15/62/1120471562.db2.gz GJUVNWFVFCAWCU-MRXNPFEDSA-N 1 2 299.418 3.778 20 0 CHADLO CC(C)CCc1noc(C[N@@H+](C)[C@@H]2CCc3ccccc32)n1 ZINC000068298958 1120471564 /nfs/dbraw/zinc/47/15/64/1120471564.db2.gz GJUVNWFVFCAWCU-MRXNPFEDSA-N 1 2 299.418 3.778 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(COC2CCCC2)cc1 ZINC000417808843 1120482603 /nfs/dbraw/zinc/48/26/03/1120482603.db2.gz LWBLUDFCVYTBFR-UHFFFAOYSA-N 1 2 299.418 3.974 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1CC(F)(F)F ZINC000417839051 1120485194 /nfs/dbraw/zinc/48/51/94/1120485194.db2.gz RFFOUPVCFCAQBE-UHFFFAOYSA-N 1 2 283.297 3.620 20 0 CHADLO CCc1ccc([C@@H](C)C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000069370418 1120501516 /nfs/dbraw/zinc/50/15/16/1120501516.db2.gz MUYJZYZUYIDGMD-CYBMUJFWSA-N 1 2 268.360 3.695 20 0 CHADLO CC(C)C[C@H](C)CC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000069394339 1120502765 /nfs/dbraw/zinc/50/27/65/1120502765.db2.gz GENMWEVCYKCTSA-HNNXBMFYSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)ccc1Cl ZINC001141234672 1120505580 /nfs/dbraw/zinc/50/55/80/1120505580.db2.gz RQYZYEAMEKDJMG-ZBFHGGJFSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)ccc1Cl ZINC001141234672 1120505581 /nfs/dbraw/zinc/50/55/81/1120505581.db2.gz RQYZYEAMEKDJMG-ZBFHGGJFSA-N 1 2 291.822 3.982 20 0 CHADLO CC1(C)C[N@H+](Cc2cccnc2Cl)CC2(CCCC2)O1 ZINC000190977629 1120511268 /nfs/dbraw/zinc/51/12/68/1120511268.db2.gz JZOYAGLCCKFHMJ-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccnc2Cl)CC2(CCCC2)O1 ZINC000190977629 1120511271 /nfs/dbraw/zinc/51/12/71/1120511271.db2.gz JZOYAGLCCKFHMJ-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO CC(C)(/C=C\Cl)[NH2+]Cc1ncc(C(F)(F)F)s1 ZINC000922649115 1120572288 /nfs/dbraw/zinc/57/22/88/1120572288.db2.gz SFSAQSJJDRKYDW-ARJAWSKDSA-N 1 2 284.734 3.783 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@@H]1CCCC1(F)F)CC2 ZINC000397967969 1120538050 /nfs/dbraw/zinc/53/80/50/1120538050.db2.gz RYSVGEPSUJXXQF-ZIAGYGMSSA-N 1 2 251.320 3.760 20 0 CHADLO CCOc1ccc(CNc2ccc([NH2+]C)cc2)cc1F ZINC000629655490 1128923193 /nfs/dbraw/zinc/92/31/93/1128923193.db2.gz LEANXBSGVWMABS-UHFFFAOYSA-N 1 2 274.339 3.878 20 0 CHADLO COc1ccc2c(c1)CCC[C@@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000398024425 1120555469 /nfs/dbraw/zinc/55/54/69/1120555469.db2.gz BRGSBWRCTHIVGW-LSDHHAIUSA-N 1 2 281.346 3.850 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H](c2ccccn2)C2CC2)s1 ZINC000922586161 1120558278 /nfs/dbraw/zinc/55/82/78/1120558278.db2.gz WOXHHERICBRQCI-INIZCTEOSA-N 1 2 287.432 3.902 20 0 CHADLO Cc1cc(NC(=O)C2CCC(c3ccccc3)CC2)cc[nH+]1 ZINC000500298433 1120563733 /nfs/dbraw/zinc/56/37/33/1120563733.db2.gz KDUVIYDKAVDKRC-UHFFFAOYSA-N 1 2 294.398 3.724 20 0 CHADLO CC(C)([NH2+]Cc1sccc1Cl)c1nccs1 ZINC000193110269 1120564839 /nfs/dbraw/zinc/56/48/39/1120564839.db2.gz ATEUTILOXJDNKK-UHFFFAOYSA-N 1 2 272.826 3.883 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(OC)cc1 ZINC000398097095 1120568008 /nfs/dbraw/zinc/56/80/08/1120568008.db2.gz XYCLPNZKWITWJQ-UONOGXRCSA-N 1 2 269.335 3.924 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(-n2ccnc2)cc1 ZINC000398108960 1120568388 /nfs/dbraw/zinc/56/83/88/1120568388.db2.gz NGIKFLRMLAJOLX-IUODEOHRSA-N 1 2 291.345 3.711 20 0 CHADLO CCC(CC)(C(=O)Nc1cc[nH+]c(C)c1)c1ccccc1 ZINC000500319035 1120570438 /nfs/dbraw/zinc/57/04/38/1120570438.db2.gz WWVSPJBPHMVQFJ-UHFFFAOYSA-N 1 2 282.387 3.508 20 0 CHADLO Cn1cnc(C[NH2+][C@@H](c2ccc(F)cc2F)C(C)(C)C)c1 ZINC000673604074 1120571515 /nfs/dbraw/zinc/57/15/15/1120571515.db2.gz ZZFSYTKTIMNXIA-HNNXBMFYSA-N 1 2 293.361 3.575 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3sccc3C2)cc(Cl)n1 ZINC000321049108 1120573144 /nfs/dbraw/zinc/57/31/44/1120573144.db2.gz WUUIGQKMIYWPFG-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@H+]2CCc3sccc3C2)cc(Cl)n1 ZINC000321049108 1120573147 /nfs/dbraw/zinc/57/31/47/1120573147.db2.gz WUUIGQKMIYWPFG-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC[C@@H](Cc1ccccc1)Nc1cc(COC)cc[nH+]1 ZINC000652067655 1120577364 /nfs/dbraw/zinc/57/73/64/1120577364.db2.gz BZIWQNWKESBPGJ-INIZCTEOSA-N 1 2 270.376 3.661 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc([C@@H]3C[C@@H]3C)o2)c1 ZINC000652068933 1120577493 /nfs/dbraw/zinc/57/74/93/1120577493.db2.gz UESLRBKGNJNAHV-SMDDNHRTSA-N 1 2 272.348 3.557 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)CCCC(F)(F)F)c1 ZINC000652068248 1120577815 /nfs/dbraw/zinc/57/78/15/1120577815.db2.gz WUVAYZFZHCFISN-JTQLQIEISA-N 1 2 276.302 3.761 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+][C@@H](c1ccccc1C)C1CC1 ZINC000509008222 1120588594 /nfs/dbraw/zinc/58/85/94/1120588594.db2.gz XFYUSPJHPZZEAQ-DLBZAZTESA-N 1 2 289.419 3.623 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H]2CCCc3cccnc32)c(F)c1 ZINC000922884183 1120622467 /nfs/dbraw/zinc/62/24/67/1120622467.db2.gz OZZDKEBVRLYYSV-INIZCTEOSA-N 1 2 288.341 3.835 20 0 CHADLO COc1cc(C[NH+]2CC3(CCC3)C2)cc(C(F)(F)F)c1 ZINC001143512379 1120661345 /nfs/dbraw/zinc/66/13/45/1120661345.db2.gz ZNLFCBLDHWRPDV-UHFFFAOYSA-N 1 2 285.309 3.700 20 0 CHADLO C[C@H]([N@H+](C)Cn1nc(C(C)(C)C)[nH]c1=S)C(C)(C)C ZINC000026630648 1120668410 /nfs/dbraw/zinc/66/84/10/1120668410.db2.gz BQZFSMYCUGTFBB-JTQLQIEISA-N 1 2 284.473 3.562 20 0 CHADLO C[C@H]([N@@H+](C)Cn1nc(C(C)(C)C)[nH]c1=S)C(C)(C)C ZINC000026630648 1120668412 /nfs/dbraw/zinc/66/84/12/1120668412.db2.gz BQZFSMYCUGTFBB-JTQLQIEISA-N 1 2 284.473 3.562 20 0 CHADLO CCC[C@H](C)CCC[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000625358736 1120671999 /nfs/dbraw/zinc/67/19/99/1120671999.db2.gz AZNVWDYKJHHBMV-HOCLYGCPSA-N 1 2 286.423 3.700 20 0 CHADLO c1c([NH2+]C(C2CC2)C2CC2)cc2c3c1CCCN3CCC2 ZINC001168743536 1120679046 /nfs/dbraw/zinc/67/90/46/1120679046.db2.gz CHNPHXPNOLGMAR-UHFFFAOYSA-N 1 2 282.431 3.986 20 0 CHADLO CC(C)OCC[N@H+](C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000660707732 1120679882 /nfs/dbraw/zinc/67/98/82/1120679882.db2.gz IHOSEYLUBFHTNQ-NSHDSACASA-N 1 2 295.814 3.634 20 0 CHADLO CC(C)OCC[N@@H+](C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000660707732 1120679884 /nfs/dbraw/zinc/67/98/84/1120679884.db2.gz IHOSEYLUBFHTNQ-NSHDSACASA-N 1 2 295.814 3.634 20 0 CHADLO CC(C)C[C@H](C)C[N@H+](C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660767032 1120685931 /nfs/dbraw/zinc/68/59/31/1120685931.db2.gz JHJUDKDUMZSSCM-HOCLYGCPSA-N 1 2 286.423 3.508 20 0 CHADLO CC(C)C[C@H](C)C[N@@H+](C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660767032 1120685934 /nfs/dbraw/zinc/68/59/34/1120685934.db2.gz JHJUDKDUMZSSCM-HOCLYGCPSA-N 1 2 286.423 3.508 20 0 CHADLO Cc1nc(C[NH2+]C2(c3cccc(Cl)c3)CCC2)co1 ZINC000660902837 1120698362 /nfs/dbraw/zinc/69/83/62/1120698362.db2.gz LQQLVTHUJOKRDQ-UHFFFAOYSA-N 1 2 276.767 3.805 20 0 CHADLO Cc1ccnc(NCc2[nH+]c(C(C)C)n3ccccc23)c1 ZINC000651684040 1120710496 /nfs/dbraw/zinc/71/04/96/1120710496.db2.gz YEEOHVXHZDKCKP-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(C)cc2Cl)nc1 ZINC000651784505 1120744162 /nfs/dbraw/zinc/74/41/62/1120744162.db2.gz SKPAZAUQOYUWDT-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(C)cc2Cl)nc1 ZINC000651784505 1120744168 /nfs/dbraw/zinc/74/41/68/1120744168.db2.gz SKPAZAUQOYUWDT-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO COc1ccncc1C[N@@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661431917 1120765623 /nfs/dbraw/zinc/76/56/23/1120765623.db2.gz AEPAINPSYDDANL-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ccncc1C[N@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661431917 1120765625 /nfs/dbraw/zinc/76/56/25/1120765625.db2.gz AEPAINPSYDDANL-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nn(C)cc1Cl)c1ccccc1F ZINC000661484026 1120770013 /nfs/dbraw/zinc/77/00/13/1120770013.db2.gz JJIHHIRLHHNUBH-HNNXBMFYSA-N 1 2 295.789 3.700 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+](Cc3ncc(Cl)s3)C2)c1 ZINC000473132831 1120794232 /nfs/dbraw/zinc/79/42/32/1120794232.db2.gz OEVYKXIZAPKOIY-LLVKDONJSA-N 1 2 296.798 3.925 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+](Cc3ncc(Cl)s3)C2)c1 ZINC000473132831 1120794234 /nfs/dbraw/zinc/79/42/34/1120794234.db2.gz OEVYKXIZAPKOIY-LLVKDONJSA-N 1 2 296.798 3.925 20 0 CHADLO CC[C@H](C)CCc1nc(-c2ccc(-n3cc[nH+]c3)cc2)no1 ZINC001211671894 1120800975 /nfs/dbraw/zinc/80/09/75/1120800975.db2.gz IHNYFTYEFSDOFZ-ZDUSSCGKSA-N 1 2 296.374 3.901 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(F)cc2)c1 ZINC000652330032 1120828021 /nfs/dbraw/zinc/82/80/21/1120828021.db2.gz RSNWLNNKCXHZRB-LLVKDONJSA-N 1 2 260.312 3.540 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCOc3cc(C)ccc32)c1 ZINC000652330817 1120828918 /nfs/dbraw/zinc/82/89/18/1120828918.db2.gz QHDRUVWMTNTXFJ-MRXNPFEDSA-N 1 2 298.386 3.862 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(OC)cc2C)c1 ZINC000652331240 1120830037 /nfs/dbraw/zinc/83/00/37/1120830037.db2.gz ASBSFULFTMVNEE-CYBMUJFWSA-N 1 2 286.375 3.718 20 0 CHADLO CCc1cnc(C[N@@H+]2CCS[C@@H](c3ccccc3)C2)o1 ZINC000075748428 1120858002 /nfs/dbraw/zinc/85/80/02/1120858002.db2.gz ZHWLCTXUCXPVEQ-OAHLLOKOSA-N 1 2 288.416 3.527 20 0 CHADLO CCc1cnc(C[N@H+]2CCS[C@@H](c3ccccc3)C2)o1 ZINC000075748428 1120858006 /nfs/dbraw/zinc/85/80/06/1120858006.db2.gz ZHWLCTXUCXPVEQ-OAHLLOKOSA-N 1 2 288.416 3.527 20 0 CHADLO CCOCc1ccc(-c2c[nH+]c3n2CCCC3)cc1F ZINC000630051971 1128945254 /nfs/dbraw/zinc/94/52/54/1128945254.db2.gz RDFXTPBVMGZCGR-UHFFFAOYSA-N 1 2 274.339 3.562 20 0 CHADLO CC(C)(C)[C@@H]1CSCC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000931592016 1120860853 /nfs/dbraw/zinc/86/08/53/1120860853.db2.gz GMLGBOAJBIFLHV-ZDUSSCGKSA-N 1 2 280.437 3.516 20 0 CHADLO CC(C)(C)[C@@H]1CSCC[N@H+]1Cc1coc(C2CC2)n1 ZINC000931592016 1120860857 /nfs/dbraw/zinc/86/08/57/1120860857.db2.gz GMLGBOAJBIFLHV-ZDUSSCGKSA-N 1 2 280.437 3.516 20 0 CHADLO CCC(CC)CCC[N@H+](C)[C@@H](C)c1csnn1 ZINC000662035895 1120862388 /nfs/dbraw/zinc/86/23/88/1120862388.db2.gz GAXOSRCKUOCDNR-NSHDSACASA-N 1 2 255.431 3.747 20 0 CHADLO CCC(CC)CCC[N@@H+](C)[C@@H](C)c1csnn1 ZINC000662035895 1120862391 /nfs/dbraw/zinc/86/23/91/1120862391.db2.gz GAXOSRCKUOCDNR-NSHDSACASA-N 1 2 255.431 3.747 20 0 CHADLO CCc1nc(C[N@H+](C(C)C)[C@@H](CC)c2ccccc2)no1 ZINC000662109596 1120877798 /nfs/dbraw/zinc/87/77/98/1120877798.db2.gz YEUHBBHYUXJONU-HNNXBMFYSA-N 1 2 287.407 3.994 20 0 CHADLO CCc1nc(C[N@@H+](C(C)C)[C@@H](CC)c2ccccc2)no1 ZINC000662109596 1120877800 /nfs/dbraw/zinc/87/78/00/1120877800.db2.gz YEUHBBHYUXJONU-HNNXBMFYSA-N 1 2 287.407 3.994 20 0 CHADLO CCCCC[C@@H]1CCCC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000662157988 1120889215 /nfs/dbraw/zinc/88/92/15/1120889215.db2.gz YVFNZHLXEXWWBI-CQSZACIVSA-N 1 2 277.412 3.882 20 0 CHADLO CCCCC[C@@H]1CCCC[N@H+]1Cc1noc(C2CC2)n1 ZINC000662157988 1120889220 /nfs/dbraw/zinc/88/92/20/1120889220.db2.gz YVFNZHLXEXWWBI-CQSZACIVSA-N 1 2 277.412 3.882 20 0 CHADLO C[C@H](CCC1CC1)[NH2+]c1ccc(N2CCOC[C@H]2C)cc1 ZINC000248913209 1120905765 /nfs/dbraw/zinc/90/57/65/1120905765.db2.gz COCGAWJRNMXJCN-HUUCEWRRSA-N 1 2 288.435 3.902 20 0 CHADLO C[C@@H]1C[N@H+](C/C=C\c2ccccc2)C[C@H](CC(F)(F)F)O1 ZINC000662236025 1120907564 /nfs/dbraw/zinc/90/75/64/1120907564.db2.gz LMFIQDSLZYMNDJ-DBVFPWQWSA-N 1 2 299.336 3.742 20 0 CHADLO C[C@@H]1C[N@@H+](C/C=C\c2ccccc2)C[C@H](CC(F)(F)F)O1 ZINC000662236025 1120907567 /nfs/dbraw/zinc/90/75/67/1120907567.db2.gz LMFIQDSLZYMNDJ-DBVFPWQWSA-N 1 2 299.336 3.742 20 0 CHADLO COc1cc(COc2ccccc2-n2cc[nH+]c2)ccc1C ZINC000630070286 1128948669 /nfs/dbraw/zinc/94/86/69/1128948669.db2.gz IIGAWBCCSMPHMD-UHFFFAOYSA-N 1 2 294.354 3.768 20 0 CHADLO CC[C@H]1CCCCN1c1[nH+]ccc2c(N)cccc21 ZINC000663313369 1120922687 /nfs/dbraw/zinc/92/26/87/1120922687.db2.gz YXYQZGSQYFZDBP-LBPRGKRZSA-N 1 2 255.365 3.586 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)n1 ZINC000628305318 1120925926 /nfs/dbraw/zinc/92/59/26/1120925926.db2.gz WKDMORUPHRRESE-QGZVFWFLSA-N 1 2 281.403 3.835 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)n1 ZINC000628305318 1120925928 /nfs/dbraw/zinc/92/59/28/1120925928.db2.gz WKDMORUPHRRESE-QGZVFWFLSA-N 1 2 281.403 3.835 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1c(F)cccc1F ZINC000161817827 1120928096 /nfs/dbraw/zinc/92/80/96/1120928096.db2.gz YBFRUPODQCZBJM-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H](COCC(C)C)c1ccco1 ZINC000343973905 1121009539 /nfs/dbraw/zinc/00/95/39/1121009539.db2.gz XYPUZOQTGSOPKS-AWEZNQCLSA-N 1 2 277.364 3.685 20 0 CHADLO Clc1ccc(C2=CC[N@H+](Cc3ccon3)CC2)cc1 ZINC000083422868 1121014951 /nfs/dbraw/zinc/01/49/51/1121014951.db2.gz WXCUQTRDMYIIRV-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO Clc1ccc(C2=CC[N@@H+](Cc3ccon3)CC2)cc1 ZINC000083422868 1121014954 /nfs/dbraw/zinc/01/49/54/1121014954.db2.gz WXCUQTRDMYIIRV-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO CN(C)c1ccc(Nc2ccc(C(F)(F)F)cc2)c[nH+]1 ZINC001174769372 1121025182 /nfs/dbraw/zinc/02/51/82/1121025182.db2.gz JJHFUKNMYFSVFC-UHFFFAOYSA-N 1 2 281.281 3.910 20 0 CHADLO Cc1cc(C)c(Nc2ccc(OC(C)C)nc2)c[nH+]1 ZINC001174760967 1121042128 /nfs/dbraw/zinc/04/21/28/1121042128.db2.gz CFHCLIRXATUEEU-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO Cc1cc(Nc2ccc([NH+]3CCCCC3)cc2C)n(C)n1 ZINC001174829197 1121048222 /nfs/dbraw/zinc/04/82/22/1121048222.db2.gz LHEATJOMSFJLSE-UHFFFAOYSA-N 1 2 284.407 3.771 20 0 CHADLO CC1(C)CCC[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000343999073 1121048919 /nfs/dbraw/zinc/04/89/19/1121048919.db2.gz WOQFURRLVBLWGX-OAHLLOKOSA-N 1 2 297.402 3.571 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1cccc(F)c1 ZINC000223046124 1121062541 /nfs/dbraw/zinc/06/25/41/1121062541.db2.gz FUIOHIURSMRRKE-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO CCN(C)c1ccc(Nc2cccc3cccnc32)c[nH+]1 ZINC001174858431 1121066725 /nfs/dbraw/zinc/06/67/25/1121066725.db2.gz HFEYAQCSXWHQLD-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO Cc1cc(N)cc2c1ccn2-c1ccc([NH+](C)C)cc1 ZINC001174879699 1121079414 /nfs/dbraw/zinc/07/94/14/1121079414.db2.gz BNQBKWLBUVONPP-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO CCOc1ccc(C[NH2+]C2(C(F)F)CCCC2)cc1F ZINC000674143920 1121092345 /nfs/dbraw/zinc/09/23/45/1121092345.db2.gz DJXCUYFBOHSLRP-UHFFFAOYSA-N 1 2 287.325 3.892 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccc2ccccc2c1 ZINC000171117439 1121092623 /nfs/dbraw/zinc/09/26/23/1121092623.db2.gz RDMNUJSYXYFBTB-UHFFFAOYSA-N 1 2 268.385 3.928 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccc2ccccc2c1 ZINC000171117439 1121092633 /nfs/dbraw/zinc/09/26/33/1121092633.db2.gz RDMNUJSYXYFBTB-UHFFFAOYSA-N 1 2 268.385 3.928 20 0 CHADLO CC[C@H](C)C[C@H](CC)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348832970 1121096441 /nfs/dbraw/zinc/09/64/41/1121096441.db2.gz KWKLRDAPWIVJMU-HOCLYGCPSA-N 1 2 286.423 3.953 20 0 CHADLO Cc1ccc2[nH+]c(CSc3ccccc3)cn2c1 ZINC000171246561 1121107655 /nfs/dbraw/zinc/10/76/55/1121107655.db2.gz NFHBLNZQBRBNGN-UHFFFAOYSA-N 1 2 254.358 3.935 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccc3ccccc3n2)cs1 ZINC000344205922 1121120685 /nfs/dbraw/zinc/12/06/85/1121120685.db2.gz CMYQGVPMHFOXBR-LLVKDONJSA-N 1 2 283.400 3.851 20 0 CHADLO COc1cccc(F)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001174992699 1121121248 /nfs/dbraw/zinc/12/12/48/1121121248.db2.gz OQJPCEZQVLFAMQ-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO COc1c(C)cc(Nc2[nH+]cccc2N(C)C)cc1C ZINC001174998076 1121125799 /nfs/dbraw/zinc/12/57/99/1121125799.db2.gz NLOARPXQZWFBEC-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO CCOc1cc(F)cc(Nc2cccc3[nH+]ccn32)c1 ZINC001175002540 1121128029 /nfs/dbraw/zinc/12/80/29/1121128029.db2.gz YLULLOOIIUNFSW-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOC1CCC([NH2+]c2ccc(N(C)C)cc2)CC1 ZINC000700975123 1121129045 /nfs/dbraw/zinc/12/90/45/1121129045.db2.gz MKIBOOZXLKAUBQ-UHFFFAOYSA-N 1 2 262.397 3.512 20 0 CHADLO CCOC1CCC(Nc2ccc([NH+](C)C)cc2)CC1 ZINC000700975123 1121129048 /nfs/dbraw/zinc/12/90/48/1121129048.db2.gz MKIBOOZXLKAUBQ-UHFFFAOYSA-N 1 2 262.397 3.512 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2[C@@H](C)c2noc(C)n2)s1 ZINC000351517150 1121140500 /nfs/dbraw/zinc/14/05/00/1121140500.db2.gz IPTFRJSRCBFXRB-CMPLNLGQSA-N 1 2 277.393 3.646 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2[C@@H](C)c2noc(C)n2)s1 ZINC000351517150 1121140506 /nfs/dbraw/zinc/14/05/06/1121140506.db2.gz IPTFRJSRCBFXRB-CMPLNLGQSA-N 1 2 277.393 3.646 20 0 CHADLO CCCOc1ccc(C[N@@H+]2Cc3ccc(O)cc3C2)cc1 ZINC000625727917 1121151421 /nfs/dbraw/zinc/15/14/21/1121151421.db2.gz QVSMMJVPLYEUIW-UHFFFAOYSA-N 1 2 283.371 3.697 20 0 CHADLO CCCOc1ccc(C[N@H+]2Cc3ccc(O)cc3C2)cc1 ZINC000625727917 1121151424 /nfs/dbraw/zinc/15/14/24/1121151424.db2.gz QVSMMJVPLYEUIW-UHFFFAOYSA-N 1 2 283.371 3.697 20 0 CHADLO CCCCc1ccc(Nc2[nH+]cccc2CCO)cc1 ZINC001175032102 1121151748 /nfs/dbraw/zinc/15/17/48/1121151748.db2.gz WIPPYWSMJHJABQ-UHFFFAOYSA-N 1 2 270.376 3.703 20 0 CHADLO CCOc1ccc(F)c(Nc2cc(C)[nH+]c(OC)c2)c1F ZINC001175039021 1121154800 /nfs/dbraw/zinc/15/48/00/1121154800.db2.gz KRULBBDNPVCOPU-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(OC)cc(OC)c2)c1 ZINC001175107139 1121167263 /nfs/dbraw/zinc/16/72/63/1121167263.db2.gz CLKWGDWUZCAXJB-UHFFFAOYSA-N 1 2 272.348 3.795 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)ccc1OCC ZINC001175080296 1121175464 /nfs/dbraw/zinc/17/54/64/1121175464.db2.gz YRVUFNVGRSTUAC-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO Cc1cc(C)c(C(=O)NC(C2CCC2)C2CCC2)c(C)[nH+]1 ZINC001128821497 1121177567 /nfs/dbraw/zinc/17/75/67/1121177567.db2.gz KLTDRQAUIBPITO-UHFFFAOYSA-N 1 2 286.419 3.705 20 0 CHADLO C[C@@H]1C[N@H+](CCC(=O)C(C)(C)C)C[C@H](c2ccsc2)O1 ZINC000753739499 1121182565 /nfs/dbraw/zinc/18/25/65/1121182565.db2.gz UIWKZNGQRQYVKH-TZMCWYRMSA-N 1 2 295.448 3.515 20 0 CHADLO C[C@@H]1C[N@@H+](CCC(=O)C(C)(C)C)C[C@H](c2ccsc2)O1 ZINC000753739499 1121182567 /nfs/dbraw/zinc/18/25/67/1121182567.db2.gz UIWKZNGQRQYVKH-TZMCWYRMSA-N 1 2 295.448 3.515 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](CC(C)C)c2cccs2)no1 ZINC000351595565 1121183241 /nfs/dbraw/zinc/18/32/41/1121183241.db2.gz RGJYQDPIONJDSF-CMPLNLGQSA-N 1 2 279.409 3.878 20 0 CHADLO CCOc1ccc(C)cc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001175124090 1121193232 /nfs/dbraw/zinc/19/32/32/1121193232.db2.gz ZMLPUKIIZPXWBC-UHFFFAOYSA-N 1 2 281.359 3.623 20 0 CHADLO CC(C)Oc1cc(F)cc(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001175139398 1121197627 /nfs/dbraw/zinc/19/76/27/1121197627.db2.gz JMDILZPKUGPYQU-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO Cc1cc(C)c(Nc2c(F)cc(F)cc2F)c[nH+]1 ZINC001175143958 1121199925 /nfs/dbraw/zinc/19/99/25/1121199925.db2.gz HWLWYHRJCDKGTK-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](c2ccc(F)cc2)C(C)C)o1 ZINC000337404434 1121208381 /nfs/dbraw/zinc/20/83/81/1121208381.db2.gz LETWSNDCHRVBKG-HZMBPMFUSA-N 1 2 277.343 3.565 20 0 CHADLO CC[C@@H]1CC[C@H](C)N1c1[nH+]ccc2c(N)cccc21 ZINC000663768339 1121208995 /nfs/dbraw/zinc/20/89/95/1121208995.db2.gz LIYXFIKULNOJLM-NWDGAFQWSA-N 1 2 255.365 3.584 20 0 CHADLO CCOc1cc(Nc2cccn3cc[nH+]c23)c(F)cc1F ZINC001212528966 1121213717 /nfs/dbraw/zinc/21/37/17/1121213717.db2.gz XPNFSWIAUUBECR-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CCc1noc(C)c1C[N@H+](Cc1ccc(C)o1)C1CC1 ZINC000675122924 1121218362 /nfs/dbraw/zinc/21/83/62/1121218362.db2.gz DFEGLQVOXVKSMA-UHFFFAOYSA-N 1 2 274.364 3.611 20 0 CHADLO CCc1noc(C)c1C[N@@H+](Cc1ccc(C)o1)C1CC1 ZINC000675122924 1121218366 /nfs/dbraw/zinc/21/83/66/1121218366.db2.gz DFEGLQVOXVKSMA-UHFFFAOYSA-N 1 2 274.364 3.611 20 0 CHADLO CCOc1ccc(OC)cc1Nc1cc(C)[nH+]c(OC)c1 ZINC001175212170 1121225373 /nfs/dbraw/zinc/22/53/73/1121225373.db2.gz DVMXDPRYONEQPB-UHFFFAOYSA-N 1 2 288.347 3.550 20 0 CHADLO CCn1ccnc1C[NH2+][C@H](c1ccc(F)cc1F)C(C)C ZINC000675157488 1121226113 /nfs/dbraw/zinc/22/61/13/1121226113.db2.gz TYDUKQQOBMXCRY-INIZCTEOSA-N 1 2 293.361 3.668 20 0 CHADLO CCOc1cc(Nc2ccc(C(C)=O)c(F)c2)cc(C)[nH+]1 ZINC001175227620 1121232818 /nfs/dbraw/zinc/23/28/18/1121232818.db2.gz ACAUGSOKEHAVGD-UHFFFAOYSA-N 1 2 288.322 3.874 20 0 CHADLO CCOc1ccc(Nc2cccn3cc(C)[nH+]c23)c(F)c1 ZINC001175247287 1121244152 /nfs/dbraw/zinc/24/41/52/1121244152.db2.gz OEKBPLYSPKOZKY-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCOc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c(F)c1 ZINC001175248428 1121246267 /nfs/dbraw/zinc/24/62/67/1121246267.db2.gz MKKGMZUQILIXMZ-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C)ccc1F ZINC001175250992 1121246698 /nfs/dbraw/zinc/24/66/98/1121246698.db2.gz KWWODESBUGOHHQ-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccccn1 ZINC000094965448 1121247458 /nfs/dbraw/zinc/24/74/58/1121247458.db2.gz DHVLUWUECKQWCR-LLVKDONJSA-N 1 2 275.421 3.686 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)ccc1F ZINC001175249411 1121247292 /nfs/dbraw/zinc/24/72/92/1121247292.db2.gz UFWRRALUPNHPHW-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)c(F)ccc1OCC ZINC001175281747 1121250894 /nfs/dbraw/zinc/25/08/94/1121250894.db2.gz JRHUTUXRQDQWKN-UHFFFAOYSA-N 1 2 294.301 3.901 20 0 CHADLO CSc1cccc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001175288033 1121255582 /nfs/dbraw/zinc/25/55/82/1121255582.db2.gz HUDWHGJNSZGLIJ-UHFFFAOYSA-N 1 2 255.346 3.800 20 0 CHADLO CC(C)Cc1ccc(N(C)c2[nH+]cccc2N)cc1 ZINC001175295078 1121259931 /nfs/dbraw/zinc/25/99/31/1121259931.db2.gz UTPZYNQQTLKFIF-UHFFFAOYSA-N 1 2 255.365 3.630 20 0 CHADLO Cc1oc2ccccc2c1CNc1ccc(N(C)C)[nH+]c1 ZINC000123242502 1121264801 /nfs/dbraw/zinc/26/48/01/1121264801.db2.gz VXSGQNCCCGXSEJ-UHFFFAOYSA-N 1 2 281.359 3.814 20 0 CHADLO Oc1ccc(F)c(Nc2cc(Cl)c3[nH+]ccn3c2)c1F ZINC001175263587 1121267922 /nfs/dbraw/zinc/26/79/22/1121267922.db2.gz LRIXURDHZBFXQQ-UHFFFAOYSA-N 1 2 295.676 3.715 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@@H]1CCCC12CCOCC2 ZINC000282559896 1121268637 /nfs/dbraw/zinc/26/86/37/1121268637.db2.gz SPZYLFSWFFKHAJ-QGZVFWFLSA-N 1 2 288.435 3.822 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CCCC12CCOCC2 ZINC000282559896 1121268641 /nfs/dbraw/zinc/26/86/41/1121268641.db2.gz SPZYLFSWFFKHAJ-QGZVFWFLSA-N 1 2 288.435 3.822 20 0 CHADLO CCc1cc(N[C@@H]2CC[C@H](C)C[C@@H]2C)nc(CC)[nH+]1 ZINC001162525971 1121273321 /nfs/dbraw/zinc/27/33/21/1121273321.db2.gz SCDSLJOQMJUYEA-SGMGOOAPSA-N 1 2 261.413 3.838 20 0 CHADLO CCc1cc(N[C@@H]2CC[C@@H](C)C[C@H]2C)nc(CC)[nH+]1 ZINC001162525973 1121273364 /nfs/dbraw/zinc/27/33/64/1121273364.db2.gz SCDSLJOQMJUYEA-YRGRVCCFSA-N 1 2 261.413 3.838 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(N(C)C)[nH+]c2)c(Cl)c1 ZINC001175345453 1121273568 /nfs/dbraw/zinc/27/35/68/1121273568.db2.gz CIKNKXBLQIAEJU-UHFFFAOYSA-N 1 2 289.766 3.747 20 0 CHADLO CCCOc1ccccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175346356 1121273871 /nfs/dbraw/zinc/27/38/71/1121273871.db2.gz UHVLSGZECXMGEY-UHFFFAOYSA-N 1 2 271.364 3.680 20 0 CHADLO CN(C)c1ccc(Nc2cccc3c2CCCCC3=O)c[nH+]1 ZINC001175346258 1121274022 /nfs/dbraw/zinc/27/40/22/1121274022.db2.gz PCZRAKKDIBLZPX-UHFFFAOYSA-N 1 2 295.386 3.800 20 0 CHADLO COc1cccc(Nc2ccc(N(C)C)[nH+]c2)c1Cl ZINC001175344114 1121274215 /nfs/dbraw/zinc/27/42/15/1121274215.db2.gz UZMIXGRFRJFFMY-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO CCOc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1Cl ZINC001175344183 1121274377 /nfs/dbraw/zinc/27/43/77/1121274377.db2.gz AKNULYBBHPOLJV-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO c1nc(C2CC2)sc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175347521 1121275240 /nfs/dbraw/zinc/27/52/40/1121275240.db2.gz NJPKSOUFXOFLIT-UHFFFAOYSA-N 1 2 286.404 3.759 20 0 CHADLO Cc1cnc(Cl)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175348267 1121275889 /nfs/dbraw/zinc/27/58/89/1121275889.db2.gz BZPAIKRGUOBPLB-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO CCC[C@H](C)c1cc(Nc2ccc(N(C)C)[nH+]c2)on1 ZINC001175337730 1121288997 /nfs/dbraw/zinc/28/89/97/1121288997.db2.gz KYTJWCGLXPDDMX-NSHDSACASA-N 1 2 274.368 3.783 20 0 CHADLO CC[C@@H](CC(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C(C)(C)C ZINC000630920061 1128975488 /nfs/dbraw/zinc/97/54/88/1128975488.db2.gz INYINKNCTCEJRZ-GJZGRUSLSA-N 1 2 291.439 3.509 20 0 CHADLO CN(C)c1ccc(Nc2cccc(-c3ccccc3)n2)c[nH+]1 ZINC001175340910 1121290391 /nfs/dbraw/zinc/29/03/91/1121290391.db2.gz MGWDEGWRJNGRFR-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CN(C)c1ccc(Nc2ccc(-n3cccc3)cc2)c[nH+]1 ZINC001175341027 1121290444 /nfs/dbraw/zinc/29/04/44/1121290444.db2.gz AATKAKHAGRXVSU-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)ncc2Cl)c[nH+]1 ZINC001175340841 1121291028 /nfs/dbraw/zinc/29/10/28/1121291028.db2.gz KPJKBXPDYHBHHS-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO Oc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1Cl ZINC001175350794 1121292198 /nfs/dbraw/zinc/29/21/98/1121292198.db2.gz CDMMBMMFRHIJDR-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO CC(C)c1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC001175350023 1121292530 /nfs/dbraw/zinc/29/25/30/1121292530.db2.gz RYEJVHQHDNXLLG-UHFFFAOYSA-N 1 2 282.391 3.944 20 0 CHADLO Cc1cc(F)c(Nc2ccc(N3CCCC3)[nH+]c2)cc1O ZINC001175352458 1121293430 /nfs/dbraw/zinc/29/34/30/1121293430.db2.gz VKIKIOOKGNFRLB-UHFFFAOYSA-N 1 2 287.338 3.579 20 0 CHADLO c1cc2c(c(Nc3ccc(N4CCCC4)[nH+]c3)c1)OCCC2 ZINC001175352234 1121293794 /nfs/dbraw/zinc/29/37/94/1121293794.db2.gz SUVRKGCMJKVQAM-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO Cc1cc(C)c(N)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175351547 1121294096 /nfs/dbraw/zinc/29/40/96/1121294096.db2.gz IOZDLXOYGLLEHW-UHFFFAOYSA-N 1 2 282.391 3.624 20 0 CHADLO COCc1cc[nH+]c(NCc2csc(C)c2C)c1 ZINC000630955380 1128976167 /nfs/dbraw/zinc/97/61/67/1128976167.db2.gz ZBCRCBRVRBMGDD-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO c1cnn(-c2ccc(Nc3[nH+]cccc3C3CC3)cc2)c1 ZINC001175369680 1121298851 /nfs/dbraw/zinc/29/88/51/1121298851.db2.gz FACMNYSWZCBMFX-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO COC(=O)c1cc(Cl)cc(Nc2c[nH+]c(C)cc2C)c1 ZINC001213331855 1121316404 /nfs/dbraw/zinc/31/64/04/1121316404.db2.gz OGFPCLLOYCZMDB-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1oc2ccccc2c1[C@H](C)[NH2+]Cc1cocn1 ZINC000925869850 1121327544 /nfs/dbraw/zinc/32/75/44/1121327544.db2.gz QSGPTYCYDZDLAG-JTQLQIEISA-N 1 2 256.305 3.580 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc([S@@](C)=O)cc2)cc1 ZINC001175481539 1121338476 /nfs/dbraw/zinc/33/84/76/1121338476.db2.gz GRFHPLDLALBMGK-HXUWFJFHSA-N 1 2 288.416 3.624 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc([S@@](C)=O)cc2)cc1 ZINC001175481539 1121338484 /nfs/dbraw/zinc/33/84/84/1121338484.db2.gz GRFHPLDLALBMGK-HXUWFJFHSA-N 1 2 288.416 3.624 20 0 CHADLO Cc1ccc2n[nH]cc2c1Nc1ccc2c(c1)[nH+]cn2C ZINC001175525868 1121364491 /nfs/dbraw/zinc/36/44/91/1121364491.db2.gz IUCUOOYICXNVBZ-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Cc1cn2c(cccc2Nc2c3c[nH]nc3ccc2C)[nH+]1 ZINC001175526537 1121369747 /nfs/dbraw/zinc/36/97/47/1121369747.db2.gz YGHYHBFAMIXGKP-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCC[C@H]2CCSC2)c1 ZINC000704874629 1121374199 /nfs/dbraw/zinc/37/41/99/1121374199.db2.gz PEMKAAMNAIOFGL-VIFPVBQESA-N 1 2 276.327 3.656 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCC[C@@H]2CCSC2)c1 ZINC000704874628 1121374331 /nfs/dbraw/zinc/37/43/31/1121374331.db2.gz PEMKAAMNAIOFGL-SECBINFHSA-N 1 2 276.327 3.656 20 0 CHADLO Cc1ccc2c(Nc3ccc(CO)cc3)cccc2[nH+]1 ZINC001175568885 1121390767 /nfs/dbraw/zinc/39/07/67/1121390767.db2.gz YCDLUKDEFSLRPI-UHFFFAOYSA-N 1 2 264.328 3.779 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+](C)CCOC(C)(C)C ZINC000676043186 1121401035 /nfs/dbraw/zinc/40/10/35/1121401035.db2.gz VYGHDGHEIAXXOT-GFCCVEGCSA-N 1 2 282.428 3.780 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+](C)CCOC(C)(C)C ZINC000676043186 1121401040 /nfs/dbraw/zinc/40/10/40/1121401040.db2.gz VYGHDGHEIAXXOT-GFCCVEGCSA-N 1 2 282.428 3.780 20 0 CHADLO Clc1ccc2[nH+]ccc(Nc3cccc4c[nH]nc43)c2c1 ZINC001175637248 1121403940 /nfs/dbraw/zinc/40/39/40/1121403940.db2.gz RPTMFEKYOIGTTI-UHFFFAOYSA-N 1 2 294.745 3.930 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(F)cc1C(F)(F)F ZINC000705540625 1121406549 /nfs/dbraw/zinc/40/65/49/1121406549.db2.gz VXULGMRZJGYCQU-MRVPVSSYSA-N 1 2 288.244 3.683 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CC[C@H]1Cc1ccccc1 ZINC000763566272 1128985313 /nfs/dbraw/zinc/98/53/13/1128985313.db2.gz MGJRVFQLGQZSNT-SUIFULHWSA-N 1 2 256.176 3.622 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CC[C@H]1Cc1ccccc1 ZINC000763566272 1128985315 /nfs/dbraw/zinc/98/53/15/1128985315.db2.gz MGJRVFQLGQZSNT-SUIFULHWSA-N 1 2 256.176 3.622 20 0 CHADLO Cn1cc2cc(Nc3ccc(-n4cc[nH+]c4)cc3)ccc2n1 ZINC001175619659 1121413502 /nfs/dbraw/zinc/41/35/02/1121413502.db2.gz CNOBVEXDWKJPHB-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3ccncc3c2)[nH+]1 ZINC001175702602 1121420808 /nfs/dbraw/zinc/42/08/08/1121420808.db2.gz WRWXPAQWGOSIEC-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO COC[C@@H]([NH2+]Cc1cscc1Cl)c1ccc(C)o1 ZINC000676418870 1121433557 /nfs/dbraw/zinc/43/35/57/1121433557.db2.gz JJRKSTRMUBODMB-GFCCVEGCSA-N 1 2 285.796 3.780 20 0 CHADLO CCOc1cccc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175714255 1121434777 /nfs/dbraw/zinc/43/47/77/1121434777.db2.gz KZEHFHMOMOHBJE-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO Cc1ccccc1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175712176 1121435070 /nfs/dbraw/zinc/43/50/70/1121435070.db2.gz IVNLTNBXBYHBFH-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO CCc1ccccc1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175714938 1121435253 /nfs/dbraw/zinc/43/52/53/1121435253.db2.gz ZXRMRCXVYPCVIS-UHFFFAOYSA-N 1 2 281.281 3.989 20 0 CHADLO CCCc1ccc(N(CCCOC)c2[nH+]cccc2N)cc1 ZINC001175715630 1121435260 /nfs/dbraw/zinc/43/52/60/1121435260.db2.gz FHUAHRHZIJVHNV-UHFFFAOYSA-N 1 2 299.418 3.791 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2nc(C(C)C)c[nH]2)cc1 ZINC000893883543 1121435515 /nfs/dbraw/zinc/43/55/15/1121435515.db2.gz OCRDULKHSWUQLH-UHFFFAOYSA-N 1 2 287.407 3.564 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2nc(C(C)C)c[nH]2)cc1 ZINC000893883543 1121435517 /nfs/dbraw/zinc/43/55/17/1121435517.db2.gz OCRDULKHSWUQLH-UHFFFAOYSA-N 1 2 287.407 3.564 20 0 CHADLO Cc1ncoc1C[N@@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000893928426 1121441795 /nfs/dbraw/zinc/44/17/95/1121441795.db2.gz QSNBKYNXWJWYND-XHDPSFHLSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ncoc1C[N@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000893928426 1121441797 /nfs/dbraw/zinc/44/17/97/1121441797.db2.gz QSNBKYNXWJWYND-XHDPSFHLSA-N 1 2 286.375 3.864 20 0 CHADLO CC(C)c1cccc(Nc2c[nH+]c(N)cc2C(F)(F)F)n1 ZINC001175707138 1121448433 /nfs/dbraw/zinc/44/84/33/1121448433.db2.gz WIVBVRSUBXIQNM-UHFFFAOYSA-N 1 2 296.296 3.945 20 0 CHADLO Clc1nc(C[NH+]2C3CCCC2CCC3)cs1 ZINC000707156823 1121450493 /nfs/dbraw/zinc/45/04/93/1121450493.db2.gz ULWJRUOQPGKYQM-UHFFFAOYSA-N 1 2 256.802 3.704 20 0 CHADLO Fc1cccc(/C=C/C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)c1 ZINC000893987941 1121452563 /nfs/dbraw/zinc/45/25/63/1121452563.db2.gz WSMJWRZTEHACHM-MQDFFIGUSA-N 1 2 285.366 3.789 20 0 CHADLO Fc1cccc(/C=C/C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)c1 ZINC000893987941 1121452566 /nfs/dbraw/zinc/45/25/66/1121452566.db2.gz WSMJWRZTEHACHM-MQDFFIGUSA-N 1 2 285.366 3.789 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CC[C@@H]1Cc1ccccc1 ZINC000763566268 1128985450 /nfs/dbraw/zinc/98/54/50/1128985450.db2.gz MGJRVFQLGQZSNT-CNELAYHGSA-N 1 2 256.176 3.622 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CC[C@@H]1Cc1ccccc1 ZINC000763566268 1128985451 /nfs/dbraw/zinc/98/54/51/1128985451.db2.gz MGJRVFQLGQZSNT-CNELAYHGSA-N 1 2 256.176 3.622 20 0 CHADLO Cc1n[nH]c(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)c1C ZINC000894025867 1121457175 /nfs/dbraw/zinc/45/71/75/1121457175.db2.gz JBNDCWBMHPHZCW-INIZCTEOSA-N 1 2 291.345 3.642 20 0 CHADLO Cc1n[nH]c(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)c1C ZINC000894025867 1121457180 /nfs/dbraw/zinc/45/71/80/1121457180.db2.gz JBNDCWBMHPHZCW-INIZCTEOSA-N 1 2 291.345 3.642 20 0 CHADLO COc1cc(Nc2cccc3[nH+]ccn32)ccc1Cl ZINC001175770788 1121460837 /nfs/dbraw/zinc/46/08/37/1121460837.db2.gz IWPMCEGHMKBINW-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCOC1CCC1 ZINC000709174174 1121466378 /nfs/dbraw/zinc/46/63/78/1121466378.db2.gz ZLJZQEWMAWPHMB-UHFFFAOYSA-N 1 2 256.349 3.524 20 0 CHADLO COCc1csc(CNc2ccc([NH+](C)C)cc2C)c1 ZINC000709962152 1121472044 /nfs/dbraw/zinc/47/20/44/1121472044.db2.gz GLDUVXNXQCAQJN-UHFFFAOYSA-N 1 2 290.432 3.881 20 0 CHADLO COc1cccc(-n2c(C)[nH+]c3ccc(N)cc32)c1Cl ZINC001175894363 1121478880 /nfs/dbraw/zinc/47/88/80/1121478880.db2.gz IDBJNAAACZFEHH-UHFFFAOYSA-N 1 2 287.750 3.578 20 0 CHADLO Cc1ccsc1CNc1[nH+]c2cccc(Cl)c2n1C ZINC000466637561 1121487050 /nfs/dbraw/zinc/48/70/50/1121487050.db2.gz MLKGFBJTBFBBRE-UHFFFAOYSA-N 1 2 291.807 3.631 20 0 CHADLO CC(C)[N@H+](Cc1nnc(C(C)(C)C)[nH]1)Cc1ccccc1 ZINC000432943644 1121487223 /nfs/dbraw/zinc/48/72/23/1121487223.db2.gz MXVCOLMWGGKHKY-UHFFFAOYSA-N 1 2 286.423 3.513 20 0 CHADLO CC(C)[N@@H+](Cc1nnc(C(C)(C)C)[nH]1)Cc1ccccc1 ZINC000432943644 1121487227 /nfs/dbraw/zinc/48/72/27/1121487227.db2.gz MXVCOLMWGGKHKY-UHFFFAOYSA-N 1 2 286.423 3.513 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCCC(F)(F)CC2)o1 ZINC000625767691 1121489614 /nfs/dbraw/zinc/48/96/14/1121489614.db2.gz ORVJRGSQOSQVDN-UHFFFAOYSA-N 1 2 272.339 3.593 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCCC(F)(F)CC2)o1 ZINC000625767691 1121489619 /nfs/dbraw/zinc/48/96/19/1121489619.db2.gz ORVJRGSQOSQVDN-UHFFFAOYSA-N 1 2 272.339 3.593 20 0 CHADLO COc1cccc(Nc2ccc3c(c2)[nH+]cn3C)c1Cl ZINC001175899686 1121493522 /nfs/dbraw/zinc/49/35/22/1121493522.db2.gz ZCEGWQNYYNDFSN-UHFFFAOYSA-N 1 2 287.750 3.979 20 0 CHADLO Cc1cc(C[N@H+]2CCS[C@@H](C)[C@H]2c2ccccc2)no1 ZINC000246383384 1121498557 /nfs/dbraw/zinc/49/85/57/1121498557.db2.gz NJMDGXUKYWUXDN-BBRMVZONSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cc(C[N@@H+]2CCS[C@@H](C)[C@H]2c2ccccc2)no1 ZINC000246383384 1121498561 /nfs/dbraw/zinc/49/85/61/1121498561.db2.gz NJMDGXUKYWUXDN-BBRMVZONSA-N 1 2 288.416 3.662 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4scnc4c3)ccc21 ZINC001175917303 1121499559 /nfs/dbraw/zinc/49/95/59/1121499559.db2.gz XCDKBNLQXZVCNK-UHFFFAOYSA-N 1 2 280.356 3.927 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+]2CCO[C@@H](C(F)F)C2)cc1 ZINC001175956303 1121501682 /nfs/dbraw/zinc/50/16/82/1121501682.db2.gz UDCJPBNJLZPYHE-OAHLLOKOSA-N 1 2 297.389 3.840 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+]2CCO[C@@H](C(F)F)C2)cc1 ZINC001175956303 1121501691 /nfs/dbraw/zinc/50/16/91/1121501691.db2.gz UDCJPBNJLZPYHE-OAHLLOKOSA-N 1 2 297.389 3.840 20 0 CHADLO Cc1noc2ncc(CNc3ccc([NH+](C)C)cc3C)cc12 ZINC000894104001 1121507770 /nfs/dbraw/zinc/50/77/70/1121507770.db2.gz VPFJDHJHPBHIHM-UHFFFAOYSA-N 1 2 296.374 3.518 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccccc1OC(F)F ZINC001176009673 1121524151 /nfs/dbraw/zinc/52/41/51/1121524151.db2.gz MAYHJKJNLIKLCA-UHFFFAOYSA-N 1 2 280.274 3.825 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(N2CCOCC2)c1 ZINC001176019753 1121526189 /nfs/dbraw/zinc/52/61/89/1121526189.db2.gz SJJNEBWOEWCADS-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(N3CCOCC3)c2)c1 ZINC001176019798 1121526685 /nfs/dbraw/zinc/52/66/85/1121526685.db2.gz USCULBHKMJUTNC-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO Nc1ccc2c(cc(-c3ccccc3)n2-c2[nH]cc[nH+]2)c1 ZINC001176085553 1121535458 /nfs/dbraw/zinc/53/54/58/1121535458.db2.gz RXRGMQFAYYNPAV-UHFFFAOYSA-N 1 2 274.327 3.603 20 0 CHADLO COc1cc(Nc2c[nH+]c(C)cc2C)c(F)cc1F ZINC001176109792 1121553661 /nfs/dbraw/zinc/55/36/61/1121553661.db2.gz XCRGBJFARGTVHS-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2cccc(C)c2n1 ZINC001176119519 1121557315 /nfs/dbraw/zinc/55/73/15/1121557315.db2.gz RNMDTEYSIVTEGI-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)ccc1C(C)=O ZINC001176124098 1121558266 /nfs/dbraw/zinc/55/82/66/1121558266.db2.gz HUNJLYPENXFBOF-UHFFFAOYSA-N 1 2 276.723 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(Br)c1 ZINC001176121386 1121558595 /nfs/dbraw/zinc/55/85/95/1121558595.db2.gz STKDAROLMMIGTR-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1C(F)F ZINC001176121183 1121558633 /nfs/dbraw/zinc/55/86/33/1121558633.db2.gz SGEXGGHEKAVFOC-UHFFFAOYSA-N 1 2 250.248 3.771 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)cc(Cl)c1 ZINC001176121575 1121558941 /nfs/dbraw/zinc/55/89/41/1121558941.db2.gz YEGMRFVTKVVOMG-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)cccc1N1CCCC1 ZINC001176125093 1121560593 /nfs/dbraw/zinc/56/05/93/1121560593.db2.gz ZEKLEUPNZWPNIQ-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1ncccc1C(F)(F)F ZINC000625980582 1121566359 /nfs/dbraw/zinc/56/63/59/1121566359.db2.gz GIGDUWNRXFCKEE-VIFPVBQESA-N 1 2 294.267 3.720 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1ncccc1C(F)(F)F ZINC000625980582 1121566363 /nfs/dbraw/zinc/56/63/63/1121566363.db2.gz GIGDUWNRXFCKEE-VIFPVBQESA-N 1 2 294.267 3.720 20 0 CHADLO Cc1cc(OCCC2(O)CCC2)c2cccc(C)c2[nH+]1 ZINC000664001408 1121567008 /nfs/dbraw/zinc/56/70/08/1121567008.db2.gz KWPNYBFWVZVKDS-UHFFFAOYSA-N 1 2 271.360 3.536 20 0 CHADLO Cc1ccc(C[N@@H+](CC(F)F)C2CCC2)c(C)c1 ZINC001176193190 1121569602 /nfs/dbraw/zinc/56/96/02/1121569602.db2.gz LINUVDFOMVLPCB-UHFFFAOYSA-N 1 2 253.336 3.923 20 0 CHADLO Cc1ccc(C[N@H+](CC(F)F)C2CCC2)c(C)c1 ZINC001176193190 1121569597 /nfs/dbraw/zinc/56/95/97/1121569597.db2.gz LINUVDFOMVLPCB-UHFFFAOYSA-N 1 2 253.336 3.923 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCSC2(CCC2)CC1 ZINC000664009998 1121573583 /nfs/dbraw/zinc/57/35/83/1121573583.db2.gz FXIXIARVQPGWMB-UHFFFAOYSA-N 1 2 299.443 3.683 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)CCC3=O)c[nH+]1 ZINC001176230712 1121581919 /nfs/dbraw/zinc/58/19/19/1121581919.db2.gz PORRAUKARBQZJG-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO CCOc1ccccc1C[N@@H+]1Cc2cccc(OC)c2C1 ZINC000626021374 1121582180 /nfs/dbraw/zinc/58/21/80/1121582180.db2.gz YQWRYGKYQXLIDB-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO CCOc1ccccc1C[N@H+]1Cc2cccc(OC)c2C1 ZINC000626021374 1121582184 /nfs/dbraw/zinc/58/21/84/1121582184.db2.gz YQWRYGKYQXLIDB-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO COc1cc(C)ccc1C[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000631282871 1128996501 /nfs/dbraw/zinc/99/65/01/1128996501.db2.gz GCCSCRBJNLPXDW-INIZCTEOSA-N 1 2 291.341 3.943 20 0 CHADLO COc1ccccc1C[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000631280884 1128996557 /nfs/dbraw/zinc/99/65/57/1128996557.db2.gz YUHKDXNNZMZMCE-HNNXBMFYSA-N 1 2 277.314 3.635 20 0 CHADLO CC[C@H]1CCCC[C@H]1CNC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001129314023 1121622923 /nfs/dbraw/zinc/62/29/23/1121622923.db2.gz INKOWZALRMCEGZ-HOCLYGCPSA-N 1 2 299.418 3.589 20 0 CHADLO CCSCc1cc[nH+]c(NC2CC(C)(C)C2)c1 ZINC000433220253 1121623178 /nfs/dbraw/zinc/62/31/78/1121623178.db2.gz MHVMLBUCYKNNOP-UHFFFAOYSA-N 1 2 250.411 3.935 20 0 CHADLO CCCc1noc(C[N@H+](C)Cc2cccc3ccccc32)n1 ZINC000051992787 1121625378 /nfs/dbraw/zinc/62/53/78/1121625378.db2.gz BCRPZKCWSDBDSJ-UHFFFAOYSA-N 1 2 295.386 3.807 20 0 CHADLO CCCc1noc(C[N@@H+](C)Cc2cccc3ccccc32)n1 ZINC000051992787 1121625383 /nfs/dbraw/zinc/62/53/83/1121625383.db2.gz BCRPZKCWSDBDSJ-UHFFFAOYSA-N 1 2 295.386 3.807 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(O)cc2F)cc1 ZINC001176417490 1121629535 /nfs/dbraw/zinc/62/95/35/1121629535.db2.gz SUNWTRTXNDUDFN-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(O)cc2F)cc1 ZINC001176417490 1121629536 /nfs/dbraw/zinc/62/95/36/1121629536.db2.gz SUNWTRTXNDUDFN-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO Cc1cc[nH+]c(Nc2cc(F)cc(N3CCCC3)c2)c1 ZINC001176416970 1121629641 /nfs/dbraw/zinc/62/96/41/1121629641.db2.gz IRQLOWISHPCGPG-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO CCN(C)C(=O)c1cccc(Nc2c[nH+]c(C)cc2C)c1 ZINC001176460531 1121633277 /nfs/dbraw/zinc/63/32/77/1121633277.db2.gz BQZNVCMJXZFOKK-UHFFFAOYSA-N 1 2 283.375 3.534 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cn(C)nc1-c1ccccc1 ZINC001176565577 1121656277 /nfs/dbraw/zinc/65/62/77/1121656277.db2.gz TVDUGJQPJNVFLH-UHFFFAOYSA-N 1 2 294.358 3.624 20 0 CHADLO CCN(C)c1ccc(Nc2cc(O)cc(Cl)c2)c[nH+]1 ZINC001176623807 1121663329 /nfs/dbraw/zinc/66/33/29/1121663329.db2.gz GVGJRKGNFNJTDK-UHFFFAOYSA-N 1 2 277.755 3.640 20 0 CHADLO CC(C)(C)OC1CC[NH+](C/C(Cl)=C\Cl)CC1 ZINC000763586729 1129006546 /nfs/dbraw/zinc/00/65/46/1129006546.db2.gz CILJSKLFKAAPAO-CSKARUKUSA-N 1 2 266.212 3.585 20 0 CHADLO Cc1cc(C)c(N)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001176750332 1121688067 /nfs/dbraw/zinc/68/80/67/1121688067.db2.gz CHWQMBPFVKIZJS-UHFFFAOYSA-N 1 2 282.391 3.624 20 0 CHADLO Cc1nc2c(cccc2Nc2ccc[nH+]c2N2CCCC2)o1 ZINC001176750730 1121688332 /nfs/dbraw/zinc/68/83/32/1121688332.db2.gz KDJIYNLSSIFPFX-UHFFFAOYSA-N 1 2 294.358 3.875 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccccc2F)c(C)s1 ZINC000020091239 1121690965 /nfs/dbraw/zinc/69/09/65/1121690965.db2.gz JRYINEOYMDGHGL-SECBINFHSA-N 1 2 264.369 3.750 20 0 CHADLO CCC(C)(C)NC(=O)C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000052162765 1121691828 /nfs/dbraw/zinc/69/18/28/1121691828.db2.gz MYCXGLCPHWAIMA-GFCCVEGCSA-N 1 2 296.842 3.638 20 0 CHADLO CCC(C)(C)NC(=O)C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000052162765 1121691831 /nfs/dbraw/zinc/69/18/31/1121691831.db2.gz MYCXGLCPHWAIMA-GFCCVEGCSA-N 1 2 296.842 3.638 20 0 CHADLO Cc1cccnc1[C@H](C)[NH2+]Cc1cc(O)ccc1Cl ZINC001176898362 1121702686 /nfs/dbraw/zinc/70/26/86/1121702686.db2.gz RTNGZPKKDHYMGI-NSHDSACASA-N 1 2 276.767 3.600 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCc3cc(C)cc(C)c3C2)c1 ZINC001177033581 1121706884 /nfs/dbraw/zinc/70/68/84/1121706884.db2.gz KHUNHQQPMDEURQ-UHFFFAOYSA-N 1 2 296.414 3.574 20 0 CHADLO CCc1ccc2c(c1)CC[N@H+]([C@@H](C)c1ncc(C)o1)C2 ZINC001177009149 1121708030 /nfs/dbraw/zinc/70/80/30/1121708030.db2.gz YTGKZCFJNGAYHZ-ZDUSSCGKSA-N 1 2 270.376 3.665 20 0 CHADLO CCc1ccc2c(c1)CC[N@@H+]([C@@H](C)c1ncc(C)o1)C2 ZINC001177009149 1121708034 /nfs/dbraw/zinc/70/80/34/1121708034.db2.gz YTGKZCFJNGAYHZ-ZDUSSCGKSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccnc2)ccc1[NH+](C)C ZINC000182850999 1129004740 /nfs/dbraw/zinc/00/47/40/1129004740.db2.gz VDHOWUQSPVETMA-ZDUSSCGKSA-N 1 2 255.365 3.629 20 0 CHADLO Cc1ccc(COc2cc[nH+]cc2)c(OC(C)C)c1 ZINC001225291901 1121724570 /nfs/dbraw/zinc/72/45/70/1121724570.db2.gz AQIYPWSQDOOZMP-UHFFFAOYSA-N 1 2 257.333 3.756 20 0 CHADLO FC1(F)C[C@]12CC[N@H+](Cc1c(Cl)cncc1Cl)C2 ZINC000877616280 1121734903 /nfs/dbraw/zinc/73/49/03/1121734903.db2.gz HZNYUKKHJKIRLA-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@]12CC[N@@H+](Cc1c(Cl)cncc1Cl)C2 ZINC000877616280 1121734907 /nfs/dbraw/zinc/73/49/07/1121734907.db2.gz HZNYUKKHJKIRLA-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO Cc1cc(F)c(Nc2cccc3[nH+]ccn32)cc1F ZINC001249794255 1121740451 /nfs/dbraw/zinc/74/04/51/1121740451.db2.gz ODGZWTQRHUFXCO-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CC[C@@H](C)c1ccc(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)cc1 ZINC001178100500 1121765870 /nfs/dbraw/zinc/76/58/70/1121765870.db2.gz VSANPLOOTCUYGK-UKRRQHHQSA-N 1 2 297.402 3.598 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H](C)c2ccccc2F)on1 ZINC000169203359 1121772701 /nfs/dbraw/zinc/77/27/01/1121772701.db2.gz GLTWQMUKZUHJPG-LLVKDONJSA-N 1 2 262.328 3.788 20 0 CHADLO O=C(Oc1cccc(Cl)c1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000728159123 1121775056 /nfs/dbraw/zinc/77/50/56/1121775056.db2.gz OROPBEFOMVSTQN-UHFFFAOYSA-N 1 2 298.729 3.745 20 0 CHADLO Cc1ccc(NCc2cccc(Cl)c2N)c(C)[nH+]1 ZINC001178259629 1121777723 /nfs/dbraw/zinc/77/77/23/1121777723.db2.gz XWQFBOGICXQWGT-UHFFFAOYSA-N 1 2 261.756 3.546 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccc(C)c(Cl)n2)s1 ZINC000878424203 1121782886 /nfs/dbraw/zinc/78/28/86/1121782886.db2.gz NZWZQBKACTVXQP-JTQLQIEISA-N 1 2 281.812 3.659 20 0 CHADLO Clc1sccc1C[NH2+]Cc1ccc(Cl)cn1 ZINC000336712829 1121787373 /nfs/dbraw/zinc/78/73/73/1121787373.db2.gz TUUMPGQHPDWIGB-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ccccc1N ZINC001206331779 1121788860 /nfs/dbraw/zinc/78/88/60/1121788860.db2.gz VBNYEZVBOXPBQE-UHFFFAOYSA-N 1 2 255.365 3.914 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1noc2c1CCCC2 ZINC000175138740 1121800377 /nfs/dbraw/zinc/80/03/77/1121800377.db2.gz ZLOBANXWJUIABB-QGZVFWFLSA-N 1 2 299.418 3.619 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1noc2c1CCCC2 ZINC000175138740 1121800390 /nfs/dbraw/zinc/80/03/90/1121800390.db2.gz ZLOBANXWJUIABB-QGZVFWFLSA-N 1 2 299.418 3.619 20 0 CHADLO CC(C)c1cccc(CNc2cc(N3CCCC3)nc[nH+]2)c1 ZINC000433780020 1121808685 /nfs/dbraw/zinc/80/86/85/1121808685.db2.gz QZOYVKHBAIKKHV-UHFFFAOYSA-N 1 2 296.418 3.812 20 0 CHADLO CC(C)c1cccc(CNc2cc(N3CCCC3)[nH+]cn2)c1 ZINC000433780020 1121808695 /nfs/dbraw/zinc/80/86/95/1121808695.db2.gz QZOYVKHBAIKKHV-UHFFFAOYSA-N 1 2 296.418 3.812 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1Cc2ccccc2[C@@H](C)C1 ZINC000730567033 1121815350 /nfs/dbraw/zinc/81/53/50/1121815350.db2.gz SUBZBPBCEYUKSP-AWEZNQCLSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1Cc2ccccc2[C@@H](C)C1 ZINC000730567033 1121815357 /nfs/dbraw/zinc/81/53/57/1121815357.db2.gz SUBZBPBCEYUKSP-AWEZNQCLSA-N 1 2 269.392 3.583 20 0 CHADLO c1csc(NCc2cc[nH+]c(N3CCCCCC3)c2)n1 ZINC000730567208 1121815896 /nfs/dbraw/zinc/81/58/96/1121815896.db2.gz OPTFHBLWXQOLNV-UHFFFAOYSA-N 1 2 288.420 3.531 20 0 CHADLO CCC[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1cc(C)ccn1 ZINC000631631780 1129015777 /nfs/dbraw/zinc/01/57/77/1129015777.db2.gz KDWPTPDUBRXGEG-DZKIICNBSA-N 1 2 284.407 3.723 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1nsc2ccccc12 ZINC000660195750 1129016063 /nfs/dbraw/zinc/01/60/63/1129016063.db2.gz BRMRHVYZQOTICB-VIFPVBQESA-N 1 2 287.388 3.752 20 0 CHADLO COc1c(C)c[nH+]c(CN2CCc3cccc(C)c32)c1C ZINC000731889733 1121889799 /nfs/dbraw/zinc/88/97/99/1121889799.db2.gz MIENUDRXSXEJDI-UHFFFAOYSA-N 1 2 282.387 3.578 20 0 CHADLO CO[C@@H](C)C[N@H+](Cc1c(Cl)ccnc1Cl)C(C)C ZINC001179898532 1121918685 /nfs/dbraw/zinc/91/86/85/1121918685.db2.gz PGKKSTQSCNUUOW-JTQLQIEISA-N 1 2 291.222 3.634 20 0 CHADLO CO[C@@H](C)C[N@@H+](Cc1c(Cl)ccnc1Cl)C(C)C ZINC001179898532 1121918689 /nfs/dbraw/zinc/91/86/89/1121918689.db2.gz PGKKSTQSCNUUOW-JTQLQIEISA-N 1 2 291.222 3.634 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)C2c3ccccc3-c3ccccc32)n1 ZINC001179909933 1121929915 /nfs/dbraw/zinc/92/99/15/1121929915.db2.gz XIBAVLHBVFDLPH-UHFFFAOYSA-N 1 2 289.382 3.920 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)C2c3ccccc3-c3ccccc32)n1 ZINC001179909933 1121929923 /nfs/dbraw/zinc/92/99/23/1121929923.db2.gz XIBAVLHBVFDLPH-UHFFFAOYSA-N 1 2 289.382 3.920 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@H+](C)Cc2ncccc2F)s1 ZINC001179906560 1121930924 /nfs/dbraw/zinc/93/09/24/1121930924.db2.gz YGBBZJMOGBOVDW-LLVKDONJSA-N 1 2 293.411 3.741 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@@H+](C)Cc2ncccc2F)s1 ZINC001179906560 1121930933 /nfs/dbraw/zinc/93/09/33/1121930933.db2.gz YGBBZJMOGBOVDW-LLVKDONJSA-N 1 2 293.411 3.741 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(CC3CCC3)c2)no1 ZINC001179997789 1121935472 /nfs/dbraw/zinc/93/54/72/1121935472.db2.gz XOMRQFZNOMWQFT-UHFFFAOYSA-N 1 2 284.403 3.958 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(CC3CCC3)c2)no1 ZINC001179997789 1121935476 /nfs/dbraw/zinc/93/54/76/1121935476.db2.gz XOMRQFZNOMWQFT-UHFFFAOYSA-N 1 2 284.403 3.958 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@](C)(F)C2)ccc1Br ZINC000501009988 1121937127 /nfs/dbraw/zinc/93/71/27/1121937127.db2.gz HCQQWADQNNBJMW-ZDUSSCGKSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@](C)(F)C2)ccc1Br ZINC000501009988 1121937134 /nfs/dbraw/zinc/93/71/34/1121937134.db2.gz HCQQWADQNNBJMW-ZDUSSCGKSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@@H](C)c1ccc(OC(C)C)cc1 ZINC000821971572 1121941299 /nfs/dbraw/zinc/94/12/99/1121941299.db2.gz RNXQNCSFKBRIKG-NWDGAFQWSA-N 1 2 289.379 3.577 20 0 CHADLO c1cn(-c2ccc(CSCC[C@H]3CCOC3)cc2)c[nH+]1 ZINC000419466576 1121946747 /nfs/dbraw/zinc/94/67/47/1121946747.db2.gz QXSCEVAXNGDEFQ-CQSZACIVSA-N 1 2 288.416 3.532 20 0 CHADLO CCc1nc(C2([NH2+]Cc3cccc(C)c3C)CCCC2)no1 ZINC000237030229 1121961739 /nfs/dbraw/zinc/96/17/39/1121961739.db2.gz KNQSGICPFRHWEH-UHFFFAOYSA-N 1 2 299.418 3.808 20 0 CHADLO CC(C)c1cnc(C[NH2+]C(C)(C)c2ccc(F)cc2)o1 ZINC000733309364 1121973100 /nfs/dbraw/zinc/97/31/00/1121973100.db2.gz LWCXRAHSGNDISF-UHFFFAOYSA-N 1 2 276.355 3.962 20 0 CHADLO Cc1ccccc1-c1noc([C@@H](C)[N@@H+]2CC[C@@](C)(F)C2)n1 ZINC000501396039 1121973707 /nfs/dbraw/zinc/97/37/07/1121973707.db2.gz UMQGNSIZVMSOAL-MLGOLLRUSA-N 1 2 289.354 3.540 20 0 CHADLO Cc1ccccc1-c1noc([C@@H](C)[N@H+]2CC[C@@](C)(F)C2)n1 ZINC000501396039 1121973713 /nfs/dbraw/zinc/97/37/13/1121973713.db2.gz UMQGNSIZVMSOAL-MLGOLLRUSA-N 1 2 289.354 3.540 20 0 CHADLO FC1C[NH+](CC(Cc2ccccc2)Cc2ccccc2)C1 ZINC001180310227 1121973951 /nfs/dbraw/zinc/97/39/51/1121973951.db2.gz ATTNXHKWNNEEHG-UHFFFAOYSA-N 1 2 283.390 3.742 20 0 CHADLO CC(C)c1cnc(C[NH2+]C2(c3ccccc3)CC2)o1 ZINC000733309790 1121974339 /nfs/dbraw/zinc/97/43/39/1121974339.db2.gz ZKHAASFSWAKGAQ-UHFFFAOYSA-N 1 2 256.349 3.577 20 0 CHADLO Cc1occc1C[NH+]1CCN([C@H](C)c2ccsc2)CC1 ZINC000414439106 1121981870 /nfs/dbraw/zinc/98/18/70/1121981870.db2.gz WFFUJVBNLREYHI-CYBMUJFWSA-N 1 2 290.432 3.528 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1nccn1CC)c1cc(C)ccn1 ZINC000631632930 1121996919 /nfs/dbraw/zinc/99/69/19/1121996919.db2.gz PFNBEBGCKMTBKG-LSDHHAIUSA-N 1 2 286.423 3.798 20 0 CHADLO Cc1cc(Nc2ccc(C)[nH+]c2C)n(-c2ccccc2)n1 ZINC001203363509 1122000856 /nfs/dbraw/zinc/00/08/56/1122000856.db2.gz ULAXJDBKRFXXQJ-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO FC(F)Oc1ccccc1C[N@@H+]1CCc2sccc2C1 ZINC000501837292 1122018261 /nfs/dbraw/zinc/01/82/61/1122018261.db2.gz PMRUHFOLRULXNB-UHFFFAOYSA-N 1 2 295.354 3.908 20 0 CHADLO FC(F)Oc1ccccc1C[N@H+]1CCc2sccc2C1 ZINC000501837292 1122018269 /nfs/dbraw/zinc/01/82/69/1122018269.db2.gz PMRUHFOLRULXNB-UHFFFAOYSA-N 1 2 295.354 3.908 20 0 CHADLO CCCn1c[nH+]cc1CN(C)c1cccc(C)c1C ZINC001180882565 1122021245 /nfs/dbraw/zinc/02/12/45/1122021245.db2.gz CFAJQVFNVULXPY-UHFFFAOYSA-N 1 2 257.381 3.546 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H](C)c2cccc3c2OCO3)n1 ZINC000924927579 1122038302 /nfs/dbraw/zinc/03/83/02/1122038302.db2.gz WWTMTUKSACHKJZ-GHMZBOCLSA-N 1 2 290.388 3.592 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@H+](Cc2cn(C3CC3)cn2)C1 ZINC001181196772 1122041059 /nfs/dbraw/zinc/04/10/59/1122041059.db2.gz YBXIJVGIUFIWRX-SFHVURJKSA-N 1 2 299.393 3.597 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@@H+](Cc2cn(C3CC3)cn2)C1 ZINC001181196772 1122041066 /nfs/dbraw/zinc/04/10/66/1122041066.db2.gz YBXIJVGIUFIWRX-SFHVURJKSA-N 1 2 299.393 3.597 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@H+](Cc2ncc(C3CC3)o2)C1 ZINC000420937820 1122046620 /nfs/dbraw/zinc/04/66/20/1122046620.db2.gz ADPYFRCQUPAVPY-JTQLQIEISA-N 1 2 288.313 3.716 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@@H+](Cc2ncc(C3CC3)o2)C1 ZINC000420937820 1122046629 /nfs/dbraw/zinc/04/66/29/1122046629.db2.gz ADPYFRCQUPAVPY-JTQLQIEISA-N 1 2 288.313 3.716 20 0 CHADLO Cn1cc(Cl)c(C[NH2+]C(C)(C)c2ccc(Cl)cc2)n1 ZINC000420942132 1122046884 /nfs/dbraw/zinc/04/68/84/1122046884.db2.gz USUKTCMJUFRTNG-UHFFFAOYSA-N 1 2 298.217 3.752 20 0 CHADLO Cc1ccc(Nc2cc(=O)[nH]c3ccccc23)c(C)[nH+]1 ZINC001203367121 1122055086 /nfs/dbraw/zinc/05/50/86/1122055086.db2.gz GXOHTWZKVVKQLK-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Fc1ccc(Cl)cc1C[NH+]1CC2(C1)CCCC2 ZINC001181544733 1122062458 /nfs/dbraw/zinc/06/24/58/1122062458.db2.gz XDVMNJAGDLGYQN-UHFFFAOYSA-N 1 2 253.748 3.855 20 0 CHADLO CC[C@](C)(C[NH2+]CC(F)(F)c1ccc(Cl)cc1)OC ZINC001181622768 1122066778 /nfs/dbraw/zinc/06/67/78/1122066778.db2.gz YRLXJZINARZGKS-CYBMUJFWSA-N 1 2 291.769 3.837 20 0 CHADLO CCCn1c[nH+]cc1CN1CC[C@H]1c1ccc(Cl)cc1 ZINC001181707810 1122070759 /nfs/dbraw/zinc/07/07/59/1122070759.db2.gz OTKMZLVCZPOFSU-INIZCTEOSA-N 1 2 289.810 3.894 20 0 CHADLO CCCn1c[nH+]cc1CN1CC[C@@H]1c1ccc(Cl)cc1 ZINC001181707811 1122071127 /nfs/dbraw/zinc/07/11/27/1122071127.db2.gz OTKMZLVCZPOFSU-MRXNPFEDSA-N 1 2 289.810 3.894 20 0 CHADLO C[C@@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1cncs1 ZINC000631794974 1129029589 /nfs/dbraw/zinc/02/95/89/1129029589.db2.gz CCNHQZOZHJNKSD-BXKDBHETSA-N 1 2 268.332 3.644 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000631798451 1129029672 /nfs/dbraw/zinc/02/96/72/1129029672.db2.gz SVKSTHSHUZZCKW-WBMJQRKESA-N 1 2 276.330 3.891 20 0 CHADLO Cc1ccc(Nc2cc(F)cnc2Br)c(C)[nH+]1 ZINC001203368309 1122083289 /nfs/dbraw/zinc/08/32/89/1122083289.db2.gz XQRZGNZGTBFKPC-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO CC[C@H]1C[C@@]1([NH2+]Cc1ncc(C)o1)c1cccc(C)c1 ZINC000502483845 1122091336 /nfs/dbraw/zinc/09/13/36/1122091336.db2.gz VVJNPOXIMVHNEX-YOEHRIQHSA-N 1 2 270.376 3.706 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H](C)c2ccc(C)cc2)nn1C ZINC000421324077 1122094028 /nfs/dbraw/zinc/09/40/28/1122094028.db2.gz HNRTUCXBVIWIAP-NSHDSACASA-N 1 2 277.799 3.541 20 0 CHADLO Cc1c(Cl)c(C[N@@H+]2CCC[C@@H]2c2ccccc2)nn1C ZINC000421325975 1122094471 /nfs/dbraw/zinc/09/44/71/1122094471.db2.gz FIMIQJMSDSVEEG-OAHLLOKOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1c(Cl)c(C[N@H+]2CCC[C@@H]2c2ccccc2)nn1C ZINC000421325975 1122094478 /nfs/dbraw/zinc/09/44/78/1122094478.db2.gz FIMIQJMSDSVEEG-OAHLLOKOSA-N 1 2 289.810 3.719 20 0 CHADLO Fc1cccc(C[NH+]2CC(C3CC3)C2)c1OC(F)(F)F ZINC001182073601 1122108623 /nfs/dbraw/zinc/10/86/23/1122108623.db2.gz ZUIODONVENYSRD-UHFFFAOYSA-N 1 2 289.272 3.566 20 0 CHADLO COC(=O)c1c(C)csc1Nc1ccc(C)[nH+]c1C ZINC001203369910 1122116207 /nfs/dbraw/zinc/11/62/07/1122116207.db2.gz FBUKBVHDTPOTNT-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1ccc(Nc2nc(Cl)c(Cl)cc2N)c(C)[nH+]1 ZINC001203370160 1122117393 /nfs/dbraw/zinc/11/73/93/1122117393.db2.gz XDKQVDPBOJKDNH-UHFFFAOYSA-N 1 2 283.162 3.726 20 0 CHADLO Cc1ccc(CSc2ncnc3ccccc32)c(C)[nH+]1 ZINC000773998489 1122118947 /nfs/dbraw/zinc/11/89/47/1122118947.db2.gz LHDLPDVVBZJNIO-UHFFFAOYSA-N 1 2 281.384 3.934 20 0 CHADLO C[C@H](CC(=O)NCc1c[nH+]cn1C1CCC1)CC(C)(C)C ZINC001182176019 1122119525 /nfs/dbraw/zinc/11/95/25/1122119525.db2.gz VVSFTVPYIQJKAT-CYBMUJFWSA-N 1 2 291.439 3.687 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(-c3ccccc3)o2)nn1C ZINC000502684232 1122136907 /nfs/dbraw/zinc/13/69/07/1122136907.db2.gz AQRWMKYWUQDXQU-AWEZNQCLSA-N 1 2 295.386 3.839 20 0 CHADLO Cc1cc(CNC(=O)c2cc(Cl)ccc2C)cc(C)[nH+]1 ZINC000421573437 1122138651 /nfs/dbraw/zinc/13/86/51/1122138651.db2.gz AVHVRDIEIMRSKC-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO CCC1(C(=O)NCc2cc(C)[nH+]c(C)c2)CCCCC1 ZINC000421573552 1122138858 /nfs/dbraw/zinc/13/88/58/1122138858.db2.gz BFOFMKMDSIINTK-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO CCC[C@](C)(CC)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421576497 1122139821 /nfs/dbraw/zinc/13/98/21/1122139821.db2.gz MXJJVPJPCPATGF-INIZCTEOSA-N 1 2 262.397 3.531 20 0 CHADLO CC(C)C1(c2ccccc2)C[NH+](Cc2cscn2)C1 ZINC000414537567 1122144075 /nfs/dbraw/zinc/14/40/75/1122144075.db2.gz PKAIJJRWYRPXRT-UHFFFAOYSA-N 1 2 272.417 3.553 20 0 CHADLO Cc1cc(F)cc([C@@]2(F)CC[N@H+](CCC(F)(F)F)C2)c1 ZINC000502889537 1122153305 /nfs/dbraw/zinc/15/33/05/1122153305.db2.gz YCOXGDINEZHKBQ-CYBMUJFWSA-N 1 2 293.279 3.957 20 0 CHADLO Cc1cc(F)cc([C@@]2(F)CC[N@@H+](CCC(F)(F)F)C2)c1 ZINC000502889537 1122153310 /nfs/dbraw/zinc/15/33/10/1122153310.db2.gz YCOXGDINEZHKBQ-CYBMUJFWSA-N 1 2 293.279 3.957 20 0 CHADLO Cc1cc(F)cc([C@]2(F)CC[N@H+](CCC(F)(F)F)C2)c1 ZINC000502889538 1122153617 /nfs/dbraw/zinc/15/36/17/1122153617.db2.gz YCOXGDINEZHKBQ-ZDUSSCGKSA-N 1 2 293.279 3.957 20 0 CHADLO Cc1cc(F)cc([C@]2(F)CC[N@@H+](CCC(F)(F)F)C2)c1 ZINC000502889538 1122153622 /nfs/dbraw/zinc/15/36/22/1122153622.db2.gz YCOXGDINEZHKBQ-ZDUSSCGKSA-N 1 2 293.279 3.957 20 0 CHADLO c1ccc(-c2nnc([C@H]3CCC[N@@H+]3[C@H]3C=CCCC3)[nH]2)cc1 ZINC000503159754 1122169869 /nfs/dbraw/zinc/16/98/69/1122169869.db2.gz LDLYULPPGFTSFE-JKSUJKDBSA-N 1 2 294.402 3.717 20 0 CHADLO c1ccc(-c2nnc([C@H]3CCC[N@H+]3[C@H]3C=CCCC3)[nH]2)cc1 ZINC000503159754 1122169871 /nfs/dbraw/zinc/16/98/71/1122169871.db2.gz LDLYULPPGFTSFE-JKSUJKDBSA-N 1 2 294.402 3.717 20 0 CHADLO C[C@H]1CC(C)(C)C[C@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC001182500915 1122171017 /nfs/dbraw/zinc/17/10/17/1122171017.db2.gz XKYSYOQXBKJLEN-DZGCQCFKSA-N 1 2 273.424 3.918 20 0 CHADLO CCCCC[N@@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503183793 1122171598 /nfs/dbraw/zinc/17/15/98/1122171598.db2.gz WCUYFTKGKOFGMC-HNNXBMFYSA-N 1 2 284.407 3.799 20 0 CHADLO CCCCC[N@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503183793 1122171601 /nfs/dbraw/zinc/17/16/01/1122171601.db2.gz WCUYFTKGKOFGMC-HNNXBMFYSA-N 1 2 284.407 3.799 20 0 CHADLO Cc1noc(C[NH2+][C@@H](c2oc3ccccc3c2C)C(C)C)n1 ZINC000271704882 1122171692 /nfs/dbraw/zinc/17/16/92/1122171692.db2.gz NHBCALWCWBFKIA-MRXNPFEDSA-N 1 2 299.374 3.920 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](CC)c2ccccc2)n1 ZINC000271702735 1122171788 /nfs/dbraw/zinc/17/17/88/1122171788.db2.gz SUYDDHFUHDLHJZ-CQSZACIVSA-N 1 2 273.380 3.653 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(Cl)s1)c1c(F)cccc1F ZINC000271790597 1122175075 /nfs/dbraw/zinc/17/50/75/1122175075.db2.gz QMKMUJHZOPMKMX-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO CC[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccsc1C ZINC001182665614 1122181126 /nfs/dbraw/zinc/18/11/26/1122181126.db2.gz HTXCWNRJUOZZKH-ZDUSSCGKSA-N 1 2 283.437 3.609 20 0 CHADLO CC[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccsc1C ZINC001182665614 1122181129 /nfs/dbraw/zinc/18/11/29/1122181129.db2.gz HTXCWNRJUOZZKH-ZDUSSCGKSA-N 1 2 283.437 3.609 20 0 CHADLO Cc1ccncc1[C@@H](C)Nc1ccc([NH+](C)C)cc1C ZINC000271946427 1122184093 /nfs/dbraw/zinc/18/40/93/1122184093.db2.gz NMWPBCHQDWHTHK-CQSZACIVSA-N 1 2 269.392 3.938 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2ccn(C(C)C)n2)c1 ZINC000272048309 1122188424 /nfs/dbraw/zinc/18/84/24/1122188424.db2.gz MRBDVLMYCJGDTB-UHFFFAOYSA-N 1 2 291.420 3.609 20 0 CHADLO COCC[C@H](Nc1[nH+]c2ccccc2n1C)c1ccc(C)o1 ZINC000272213266 1122195291 /nfs/dbraw/zinc/19/52/91/1122195291.db2.gz MBDHJTXMSFVIPZ-AWEZNQCLSA-N 1 2 299.374 3.664 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cc2c(cccc2O)s1 ZINC001182917960 1122195635 /nfs/dbraw/zinc/19/56/35/1122195635.db2.gz FYWKNFZTEOTILC-UHFFFAOYSA-N 1 2 271.332 3.742 20 0 CHADLO Cc1ccncc1[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000272343304 1122198448 /nfs/dbraw/zinc/19/84/48/1122198448.db2.gz WQAZVPNJSKKOOP-ZDUSSCGKSA-N 1 2 255.365 3.629 20 0 CHADLO C[C@@H]([NH2+]Cc1cncc(Cl)c1Cl)c1ccns1 ZINC001183197185 1122210211 /nfs/dbraw/zinc/21/02/11/1122210211.db2.gz PKXLHKVPZXJWRK-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO CCSCc1ccc[nH+]c1NC[C@H]1CC1(Cl)Cl ZINC001183491134 1122223713 /nfs/dbraw/zinc/22/37/13/1122223713.db2.gz OVBFMCJTGRKRJO-SNVBAGLBSA-N 1 2 291.247 3.940 20 0 CHADLO Cc1cc(NCC2Cc3ccccc3C2)c[nH+]c1C ZINC001183527885 1122226182 /nfs/dbraw/zinc/22/61/82/1122226182.db2.gz XRXREVHUCTXVAF-UHFFFAOYSA-N 1 2 252.361 3.525 20 0 CHADLO CCC[C@@H](C)c1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000273780623 1122249579 /nfs/dbraw/zinc/24/95/79/1122249579.db2.gz RDSFNCDGRRRKBC-CYBMUJFWSA-N 1 2 296.374 3.885 20 0 CHADLO COC1(C(F)(F)F)C[NH+](C[C@H](C)CC2CCCCC2)C1 ZINC001184012730 1122251512 /nfs/dbraw/zinc/25/15/12/1122251512.db2.gz PAJLIYDOYHSSEW-GFCCVEGCSA-N 1 2 293.373 3.856 20 0 CHADLO CCn1nccc1Nc1c[nH+]c(CC(C)C)cc1C ZINC001205295406 1122270872 /nfs/dbraw/zinc/27/08/72/1122270872.db2.gz PLQNVXVNASRAGO-UHFFFAOYSA-N 1 2 258.369 3.549 20 0 CHADLO Cc1nonc1C[NH2+][C@H](C)c1ccc(Cl)c(Cl)c1 ZINC000774787159 1122296609 /nfs/dbraw/zinc/29/66/09/1122296609.db2.gz ZLDWHSXRNAJPAU-SSDOTTSWSA-N 1 2 286.162 3.536 20 0 CHADLO c1cc(CNc2nc3ccccc3n3c[nH+]cc23)cs1 ZINC001184848229 1122298707 /nfs/dbraw/zinc/29/87/07/1122298707.db2.gz XDLMPNKAGPWAJM-UHFFFAOYSA-N 1 2 280.356 3.556 20 0 CHADLO Cc1cn(-c2ccc[nH+]c2N2CCCC2)c2cc(N)ccc12 ZINC001185043884 1122312934 /nfs/dbraw/zinc/31/29/34/1122312934.db2.gz PHWWLAPSRRDBDT-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2ncc(C(C)C)o2)cc1 ZINC000774854886 1122331815 /nfs/dbraw/zinc/33/18/15/1122331815.db2.gz LBEASMBWCJWOBT-GFCCVEGCSA-N 1 2 274.364 3.657 20 0 CHADLO CCC[N@@H+](Cc1cccc(Cl)c1O)CC1CC1 ZINC000183371648 1129048161 /nfs/dbraw/zinc/04/81/61/1129048161.db2.gz QXENUBWTMKLCJI-UHFFFAOYSA-N 1 2 253.773 3.668 20 0 CHADLO CCC[N@H+](Cc1cccc(Cl)c1O)CC1CC1 ZINC000183371648 1129048164 /nfs/dbraw/zinc/04/81/64/1129048164.db2.gz QXENUBWTMKLCJI-UHFFFAOYSA-N 1 2 253.773 3.668 20 0 CHADLO CCCC1CCN(c2nc3ccccc3n3c[nH+]cc23)CC1 ZINC001185575012 1122348753 /nfs/dbraw/zinc/34/87/53/1122348753.db2.gz VMJSIBPTCRNJEF-UHFFFAOYSA-N 1 2 294.402 3.899 20 0 CHADLO COC(=O)[C@@H]1CCCCC[N@@H+]1Cc1cc(C)cc(Cl)c1 ZINC001205347135 1122377967 /nfs/dbraw/zinc/37/79/67/1122377967.db2.gz KZVQOVILYKVFEG-HNNXBMFYSA-N 1 2 295.810 3.566 20 0 CHADLO COC(=O)[C@@H]1CCCCC[N@H+]1Cc1cc(C)cc(Cl)c1 ZINC001205347135 1122377970 /nfs/dbraw/zinc/37/79/70/1122377970.db2.gz KZVQOVILYKVFEG-HNNXBMFYSA-N 1 2 295.810 3.566 20 0 CHADLO Cc1nc(N2CCC[C@H](C(C)(C)C)C2)c2c([nH+]1)CCCC2 ZINC001186249162 1122380992 /nfs/dbraw/zinc/38/09/92/1122380992.db2.gz VFVCREHNVVTMRT-AWEZNQCLSA-N 1 2 287.451 3.926 20 0 CHADLO CCc1cc(N[C@@H]2c3ccccc3O[C@H]2C)nc(CC)[nH+]1 ZINC001186626023 1122401073 /nfs/dbraw/zinc/40/10/73/1122401073.db2.gz CUSVKOIIUGYNAX-GTNSWQLSSA-N 1 2 283.375 3.536 20 0 CHADLO CSc1ccccc1NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC001186718319 1122406385 /nfs/dbraw/zinc/40/63/85/1122406385.db2.gz GWLDIDLGARRQIS-UHFFFAOYSA-N 1 2 298.371 3.700 20 0 CHADLO Cc1cc(NC[C@@H]2CCC[C@H]3C[C@H]32)nc(C2CC2)[nH+]1 ZINC001187052216 1122417946 /nfs/dbraw/zinc/41/79/46/1122417946.db2.gz DUPHEWLEAYSWGY-MELADBBJSA-N 1 2 257.381 3.511 20 0 CHADLO c1[nH+]cn2c1c(SCc1ccccn1)nc1ccccc12 ZINC001187168190 1122422601 /nfs/dbraw/zinc/42/26/01/1122422601.db2.gz KPZKYODMFALDBO-UHFFFAOYSA-N 1 2 292.367 3.570 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(F)nc(F)c2Cl)c1 ZINC001203656023 1122424781 /nfs/dbraw/zinc/42/47/81/1122424781.db2.gz HTOXTYQBDFPNND-UHFFFAOYSA-N 1 2 269.682 3.769 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc3cc(C(C)C)nn3c2)c1 ZINC001203657680 1122424841 /nfs/dbraw/zinc/42/48/41/1122424841.db2.gz UATBFKRCKJXGHQ-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO CCc1cc(N2CC=CC23CCCCC3)nc(CC)[nH+]1 ZINC001187326432 1122425815 /nfs/dbraw/zinc/42/58/15/1122425815.db2.gz OLYJJIXWMFMDIE-UHFFFAOYSA-N 1 2 271.408 3.681 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc(Cl)nc1 ZINC000155543862 1122429404 /nfs/dbraw/zinc/42/94/04/1122429404.db2.gz VOAUKOANOFQUSE-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO C[C@H](OC(=O)[C@H]1CCCC[N@@H+]1C)c1cccc(Cl)c1F ZINC000775133863 1122434720 /nfs/dbraw/zinc/43/47/20/1122434720.db2.gz NQZAVFNSTCKFGQ-GXFFZTMASA-N 1 2 299.773 3.568 20 0 CHADLO C[C@H](OC(=O)[C@H]1CCCC[N@H+]1C)c1cccc(Cl)c1F ZINC000775133863 1122434725 /nfs/dbraw/zinc/43/47/25/1122434725.db2.gz NQZAVFNSTCKFGQ-GXFFZTMASA-N 1 2 299.773 3.568 20 0 CHADLO CCCCc1ccc(NC(=O)Nc2c[nH+]c(C)n2C)cc1 ZINC001187727141 1122440678 /nfs/dbraw/zinc/44/06/78/1122440678.db2.gz LLOHHBMQQGITHI-UHFFFAOYSA-N 1 2 286.379 3.715 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(=O)cc(C)oc3c2)c1 ZINC001203668578 1122443239 /nfs/dbraw/zinc/44/32/39/1122443239.db2.gz XDOXGMRWDGDRKH-UHFFFAOYSA-N 1 2 280.327 3.857 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc3ccc(=O)[nH]c32)c1 ZINC001203669694 1122444134 /nfs/dbraw/zinc/44/41/34/1122444134.db2.gz VMUFKOBOIMUFEB-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO COc1ccc(Nc2cc(C)c[nH+]c2C)c(C(C)=O)c1 ZINC001203669389 1122445024 /nfs/dbraw/zinc/44/50/24/1122445024.db2.gz RJJNORCXAHWZSF-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO CCCCC[C@@H](NC(=O)CCc1c[nH]c[nH+]1)c1ccccc1 ZINC000193134777 1129055585 /nfs/dbraw/zinc/05/55/85/1129055585.db2.gz XPEGEYWRSFYTIX-QGZVFWFLSA-N 1 2 299.418 3.780 20 0 CHADLO CCCCC[C@@H](NC(=O)CCc1c[nH+]c[nH]1)c1ccccc1 ZINC000193134777 1129055588 /nfs/dbraw/zinc/05/55/88/1129055588.db2.gz XPEGEYWRSFYTIX-QGZVFWFLSA-N 1 2 299.418 3.780 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1Cc1cnc(C2CC2)nc1 ZINC000514779419 1122452289 /nfs/dbraw/zinc/45/22/89/1122452289.db2.gz HABJORJAROKSSC-LBPRGKRZSA-N 1 2 297.377 3.612 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1Cc1cnc(C2CC2)nc1 ZINC000514779419 1122452291 /nfs/dbraw/zinc/45/22/91/1122452291.db2.gz HABJORJAROKSSC-LBPRGKRZSA-N 1 2 297.377 3.612 20 0 CHADLO CSc1ccc(NC(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC001188085381 1122453567 /nfs/dbraw/zinc/45/35/67/1122453567.db2.gz LXNXCGGWIQMGEV-UHFFFAOYSA-N 1 2 298.371 3.700 20 0 CHADLO CC(C)(C)[C@@H](NC(=O)CCCn1cc[nH+]c1)c1ccccc1 ZINC000620410138 1129055953 /nfs/dbraw/zinc/05/59/53/1129055953.db2.gz ZYSLCXQFUHEDJF-KRWDZBQOSA-N 1 2 299.418 3.567 20 0 CHADLO Fc1cc([C@@H]2C[C@H]2Nc2cccc[nH+]2)ccc1Cl ZINC000435571629 1122474756 /nfs/dbraw/zinc/47/47/56/1122474756.db2.gz XIOLYHVMLKQHDS-GXFFZTMASA-N 1 2 262.715 3.842 20 0 CHADLO FC(F)Oc1ccccc1[C@H]1C[C@@H]1Nc1cccc[nH+]1 ZINC000435596950 1122477244 /nfs/dbraw/zinc/47/72/44/1122477244.db2.gz HDLYDVBHKDNMHY-NEPJUHHUSA-N 1 2 276.286 3.651 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[NH2+][C@H]1C[C@H](c2ccccc2)C1 ZINC000435669155 1122482047 /nfs/dbraw/zinc/48/20/47/1122482047.db2.gz IOLYNIDOSVVKKG-BRWVUGGUSA-N 1 2 289.419 3.500 20 0 CHADLO COc1ccccc1[C@@H](C)[N@H+](C)Cc1cn2ccccc2n1 ZINC000076673845 1122494089 /nfs/dbraw/zinc/49/40/89/1122494089.db2.gz AIRUAACSHDNNEK-CQSZACIVSA-N 1 2 295.386 3.536 20 0 CHADLO COc1ccccc1[C@@H](C)[N@@H+](C)Cc1cn2ccccc2n1 ZINC000076673845 1122494090 /nfs/dbraw/zinc/49/40/90/1122494090.db2.gz AIRUAACSHDNNEK-CQSZACIVSA-N 1 2 295.386 3.536 20 0 CHADLO Clc1ncc(C[N@@H+]2CCC=C(c3ccco3)C2)s1 ZINC000285316542 1122506609 /nfs/dbraw/zinc/50/66/09/1122506609.db2.gz MMHBXFRMXLIONQ-UHFFFAOYSA-N 1 2 280.780 3.679 20 0 CHADLO Clc1ncc(C[N@H+]2CCC=C(c3ccco3)C2)s1 ZINC000285316542 1122506612 /nfs/dbraw/zinc/50/66/12/1122506612.db2.gz MMHBXFRMXLIONQ-UHFFFAOYSA-N 1 2 280.780 3.679 20 0 CHADLO Cc1cnc(Nc2ccc([NH+]3CCCCC3)cc2)nc1C ZINC001203712479 1122521583 /nfs/dbraw/zinc/52/15/83/1122521583.db2.gz HNXXLOYOWRYURO-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO CN(CC1CCCCC1)C(=O)c1ccccc1-n1cc[nH+]c1 ZINC001190098909 1122525232 /nfs/dbraw/zinc/52/52/32/1122525232.db2.gz WGRFKXORKMLZIR-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)[N@@H+]2CCC=C(C)C2)c(Cl)c1 ZINC000436257376 1122529172 /nfs/dbraw/zinc/52/91/72/1122529172.db2.gz GAMFACRBAVSGBE-CYBMUJFWSA-N 1 2 292.810 3.627 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)[N@H+]2CCC=C(C)C2)c(Cl)c1 ZINC000436257376 1122529175 /nfs/dbraw/zinc/52/91/75/1122529175.db2.gz GAMFACRBAVSGBE-CYBMUJFWSA-N 1 2 292.810 3.627 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000505809952 1122545173 /nfs/dbraw/zinc/54/51/73/1122545173.db2.gz UPEFODVBPXHNMN-PXAZEXFGSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000505809952 1122545176 /nfs/dbraw/zinc/54/51/76/1122545176.db2.gz UPEFODVBPXHNMN-PXAZEXFGSA-N 1 2 297.402 3.666 20 0 CHADLO CC(C)COC(=O)C[N@H+](C)CCc1cccc2ccccc21 ZINC001190681571 1122551113 /nfs/dbraw/zinc/55/11/13/1122551113.db2.gz BNPZNWCFFYZZOS-UHFFFAOYSA-N 1 2 299.414 3.513 20 0 CHADLO CC(C)COC(=O)C[N@@H+](C)CCc1cccc2ccccc21 ZINC001190681571 1122551117 /nfs/dbraw/zinc/55/11/17/1122551117.db2.gz BNPZNWCFFYZZOS-UHFFFAOYSA-N 1 2 299.414 3.513 20 0 CHADLO CCCC1CC[NH+]([C@H](C(=O)OC)c2ccc(F)cc2)CC1 ZINC001191359081 1122591935 /nfs/dbraw/zinc/59/19/35/1122591935.db2.gz SETHKQFZOWZCQT-INIZCTEOSA-N 1 2 293.382 3.552 20 0 CHADLO Fc1ccc2c(c1)CC[N@@H+](Cc1cnc(C3CC3)s1)C2 ZINC000516502855 1122605475 /nfs/dbraw/zinc/60/54/75/1122605475.db2.gz KCNNFKAAJJCWHC-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO Fc1ccc2c(c1)CC[N@H+](Cc1cnc(C3CC3)s1)C2 ZINC000516502855 1122605478 /nfs/dbraw/zinc/60/54/78/1122605478.db2.gz KCNNFKAAJJCWHC-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO Cc1conc1C[NH2+]C(c1ccccc1)c1ccccc1 ZINC001191610480 1122607437 /nfs/dbraw/zinc/60/74/37/1122607437.db2.gz MFEQGIYUOSVYTN-UHFFFAOYSA-N 1 2 278.355 3.862 20 0 CHADLO CC[N@H+](C[C@@H]1CCO[C@@H](C)C1)c1cc(C)ccc1C ZINC001191635308 1122607739 /nfs/dbraw/zinc/60/77/39/1122607739.db2.gz GXTCHIQYOUECSE-JKSUJKDBSA-N 1 2 261.409 3.945 20 0 CHADLO CC[N@@H+](C[C@@H]1CCO[C@@H](C)C1)c1cc(C)ccc1C ZINC001191635308 1122607741 /nfs/dbraw/zinc/60/77/41/1122607741.db2.gz GXTCHIQYOUECSE-JKSUJKDBSA-N 1 2 261.409 3.945 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(SC)s1)c1ccc(F)cc1 ZINC000437080396 1122609829 /nfs/dbraw/zinc/60/98/29/1122609829.db2.gz VWABFUZHOHKIST-NSHDSACASA-N 1 2 297.424 3.640 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2cnccc2o1)c1c(F)cccc1F ZINC001203750480 1122628068 /nfs/dbraw/zinc/62/80/68/1122628068.db2.gz KPYZOEBZGOEBEH-SNVBAGLBSA-N 1 2 288.297 3.957 20 0 CHADLO CC(C)[N@H+](Cc1c(Cl)cnn1C)Cc1ccc(F)cc1 ZINC000437586157 1122644713 /nfs/dbraw/zinc/64/47/13/1122644713.db2.gz XPFHYXIXZFMJLO-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC(C)[N@@H+](Cc1c(Cl)cnn1C)Cc1ccc(F)cc1 ZINC000437586157 1122644717 /nfs/dbraw/zinc/64/47/17/1122644717.db2.gz XPFHYXIXZFMJLO-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC[C@H](c2ccccc2)C1)C(=O)OC(C)(C)C ZINC000438072246 1122673767 /nfs/dbraw/zinc/67/37/67/1122673767.db2.gz LMHTZSYUMDPSOA-CWRNSKLLSA-N 1 2 289.419 3.643 20 0 CHADLO CC(C)[N@H+](CC(F)F)C[C@H](C)c1ccc(F)cc1 ZINC000438122001 1122682571 /nfs/dbraw/zinc/68/25/71/1122682571.db2.gz QFJPIZNPULSPQP-NSHDSACASA-N 1 2 259.315 3.905 20 0 CHADLO CC(C)[N@@H+](CC(F)F)C[C@H](C)c1ccc(F)cc1 ZINC000438122001 1122682572 /nfs/dbraw/zinc/68/25/72/1122682572.db2.gz QFJPIZNPULSPQP-NSHDSACASA-N 1 2 259.315 3.905 20 0 CHADLO Cc1cc(N2CC(C)(CC(F)F)C2)nc(C2CCC2)[nH+]1 ZINC000894348615 1122683816 /nfs/dbraw/zinc/68/38/16/1122683816.db2.gz DMIDLJIEKNXSFJ-UHFFFAOYSA-N 1 2 281.350 3.534 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@@H+]1CCCC[C@H](C)C1 ZINC001193516801 1122696271 /nfs/dbraw/zinc/69/62/71/1122696271.db2.gz HQYHAOKZUHMBDM-WFASDCNBSA-N 1 2 295.810 3.676 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@H+]1CCCC[C@H](C)C1 ZINC001193516801 1122696273 /nfs/dbraw/zinc/69/62/73/1122696273.db2.gz HQYHAOKZUHMBDM-WFASDCNBSA-N 1 2 295.810 3.676 20 0 CHADLO Cc1[nH]ncc1C[NH+]1CCC(CCc2ccc(C)cc2)CC1 ZINC000506260396 1122706503 /nfs/dbraw/zinc/70/65/03/1122706503.db2.gz YHOCZOCJKLNMNE-UHFFFAOYSA-N 1 2 297.446 3.871 20 0 CHADLO CCCc1csc(C[N@H+](C)Cc2ccc(OC)cc2)n1 ZINC000426061756 1122710494 /nfs/dbraw/zinc/71/04/94/1122710494.db2.gz VMDSRMDCLZOKBP-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCCc1csc(C[N@@H+](C)Cc2ccc(OC)cc2)n1 ZINC000426061756 1122710497 /nfs/dbraw/zinc/71/04/97/1122710497.db2.gz VMDSRMDCLZOKBP-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+](CC(=O)OC)C2CC2)cc1 ZINC001193987334 1122735584 /nfs/dbraw/zinc/73/55/84/1122735584.db2.gz CBKMMDDITLFKCS-UHFFFAOYSA-N 1 2 289.419 3.512 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+](CC(=O)OC)C2CC2)cc1 ZINC001193987334 1122735588 /nfs/dbraw/zinc/73/55/88/1122735588.db2.gz CBKMMDDITLFKCS-UHFFFAOYSA-N 1 2 289.419 3.512 20 0 CHADLO Cc1cnccc1C[N@@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000518476241 1122759426 /nfs/dbraw/zinc/75/94/26/1122759426.db2.gz ABZSJHWABSVMND-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cnccc1C[N@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000518476241 1122759430 /nfs/dbraw/zinc/75/94/30/1122759430.db2.gz ABZSJHWABSVMND-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](c3ccccc3)C[C@H]2C)no1 ZINC000439332820 1122770613 /nfs/dbraw/zinc/77/06/13/1122770613.db2.gz SFTDMIKPRHPELV-ZBFHGGJFSA-N 1 2 299.418 3.961 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](c3ccccc3)C[C@H]2C)no1 ZINC000439332820 1122770619 /nfs/dbraw/zinc/77/06/19/1122770619.db2.gz SFTDMIKPRHPELV-ZBFHGGJFSA-N 1 2 299.418 3.961 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@H](c3ccccc3)C[C@H]2C)no1 ZINC000439331046 1122773562 /nfs/dbraw/zinc/77/35/62/1122773562.db2.gz RBNVUEUBJYZIIQ-ZBFHGGJFSA-N 1 2 299.418 3.790 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@H](c3ccccc3)C[C@H]2C)no1 ZINC000439331046 1122773566 /nfs/dbraw/zinc/77/35/66/1122773566.db2.gz RBNVUEUBJYZIIQ-ZBFHGGJFSA-N 1 2 299.418 3.790 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1c(Cl)cnn1C ZINC000426380487 1122777725 /nfs/dbraw/zinc/77/77/25/1122777725.db2.gz WESXGUCFGAAFTM-UKTHLTGXSA-N 1 2 289.810 3.609 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1c(Cl)cnn1C ZINC000426380487 1122777728 /nfs/dbraw/zinc/77/77/28/1122777728.db2.gz WESXGUCFGAAFTM-UKTHLTGXSA-N 1 2 289.810 3.609 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC000426392480 1122781498 /nfs/dbraw/zinc/78/14/98/1122781498.db2.gz ANPMTXXWESPXPM-PTNGSMBKSA-N 1 2 298.434 3.568 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC000426392480 1122781500 /nfs/dbraw/zinc/78/15/00/1122781500.db2.gz ANPMTXXWESPXPM-PTNGSMBKSA-N 1 2 298.434 3.568 20 0 CHADLO C[N@H+](Cc1ccccn1)Cc1ccc(-c2ncco2)cc1F ZINC001194641472 1122787390 /nfs/dbraw/zinc/78/73/90/1122787390.db2.gz ZVSRJNZPJWVUFD-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1ccccn1)Cc1ccc(-c2ncco2)cc1F ZINC001194641472 1122787396 /nfs/dbraw/zinc/78/73/96/1122787396.db2.gz ZVSRJNZPJWVUFD-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO CCCc1csc(C[N@H+](C)[C@H](C)c2cccc(O)c2)n1 ZINC000426407957 1122787968 /nfs/dbraw/zinc/78/79/68/1122787968.db2.gz AFZACMMTQDJAKB-GFCCVEGCSA-N 1 2 290.432 3.994 20 0 CHADLO CCCc1csc(C[N@@H+](C)[C@H](C)c2cccc(O)c2)n1 ZINC000426407957 1122787972 /nfs/dbraw/zinc/78/79/72/1122787972.db2.gz AFZACMMTQDJAKB-GFCCVEGCSA-N 1 2 290.432 3.994 20 0 CHADLO CCC[C@@H]([NH2+]CCCc1ccc(Cl)cc1)C(=O)OCC ZINC000439574129 1122792393 /nfs/dbraw/zinc/79/23/93/1122792393.db2.gz QOOMBRIORMRNHQ-OAHLLOKOSA-N 1 2 297.826 3.594 20 0 CHADLO c1cn(CCCOc2cccc3ccccc32)c[nH+]1 ZINC000002494192 1122826784 /nfs/dbraw/zinc/82/67/84/1122826784.db2.gz YLASIIVSAGJJBY-UHFFFAOYSA-N 1 2 252.317 3.505 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCC[C@@H]2c2cccnc2)c1 ZINC000894447956 1122854520 /nfs/dbraw/zinc/85/45/20/1122854520.db2.gz JSYULGDIBVINDZ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCC[C@@H]2c2cccnc2)c1 ZINC000894447956 1122854526 /nfs/dbraw/zinc/85/45/26/1122854526.db2.gz JSYULGDIBVINDZ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCN(C)[C@H](C(C)(C)C)C1 ZINC001195677526 1122880919 /nfs/dbraw/zinc/88/09/19/1122880919.db2.gz ODBNGIPMCIZFNV-INIZCTEOSA-N 1 2 294.870 3.811 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCN(C)[C@H](C(C)(C)C)C1 ZINC001195677526 1122880928 /nfs/dbraw/zinc/88/09/28/1122880928.db2.gz ODBNGIPMCIZFNV-INIZCTEOSA-N 1 2 294.870 3.811 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664834206 1122881348 /nfs/dbraw/zinc/88/13/48/1122881348.db2.gz QDMFAOSLZMISHG-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664834206 1122881352 /nfs/dbraw/zinc/88/13/52/1122881352.db2.gz QDMFAOSLZMISHG-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO CN(C)c1ccc(NC2=CC[C@H](C(F)(F)F)CC2)c[nH+]1 ZINC001195833726 1122891736 /nfs/dbraw/zinc/89/17/36/1122891736.db2.gz HXJVMCUCHGSSNU-JTQLQIEISA-N 1 2 285.313 3.806 20 0 CHADLO Cc1cc(NC2=CC[C@@H](C(F)(F)F)CC2)nc(C)[nH+]1 ZINC001195833421 1122892336 /nfs/dbraw/zinc/89/23/36/1122892336.db2.gz FPAQYKOZKDRFAJ-SNVBAGLBSA-N 1 2 271.286 3.752 20 0 CHADLO Cc1cc(C)c(C[NH2+][C@H]2CCCc3[nH]ncc32)c(C)c1 ZINC000336631627 1122904301 /nfs/dbraw/zinc/90/43/01/1122904301.db2.gz BONUHHQIJJSOCY-INIZCTEOSA-N 1 2 269.392 3.502 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCC[C@@H]3CCC[C@@H]32)n1 ZINC000154351293 1129086024 /nfs/dbraw/zinc/08/60/24/1129086024.db2.gz GBYIYNDMHWQBCO-UWVGGRQHSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCC[C@@H]3CCC[C@@H]32)n1 ZINC000154351293 1129086027 /nfs/dbraw/zinc/08/60/27/1129086027.db2.gz GBYIYNDMHWQBCO-UWVGGRQHSA-N 1 2 290.354 3.926 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)Cc1cccc2ccccc21 ZINC000507382859 1122952089 /nfs/dbraw/zinc/95/20/89/1122952089.db2.gz XLENEMPPGMUISC-UHFFFAOYSA-N 1 2 285.387 3.613 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)Cc1cccc2ccccc21 ZINC000507382859 1122952091 /nfs/dbraw/zinc/95/20/91/1122952091.db2.gz XLENEMPPGMUISC-UHFFFAOYSA-N 1 2 285.387 3.613 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)cn2)n1 ZINC000334233429 1122974609 /nfs/dbraw/zinc/97/46/09/1122974609.db2.gz OKAFUVCFMKDHRE-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)cn2)n1 ZINC000334233429 1122974611 /nfs/dbraw/zinc/97/46/11/1122974611.db2.gz OKAFUVCFMKDHRE-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+](C)Cc1csnc1OC ZINC001198122330 1122981879 /nfs/dbraw/zinc/98/18/79/1122981879.db2.gz GKAPCYZTKSWONK-CQSZACIVSA-N 1 2 294.395 3.874 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1csnc1OC ZINC001198122330 1122981881 /nfs/dbraw/zinc/98/18/81/1122981881.db2.gz GKAPCYZTKSWONK-CQSZACIVSA-N 1 2 294.395 3.874 20 0 CHADLO C[C@H](C1CC1)N(C(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000633844528 1129092145 /nfs/dbraw/zinc/09/21/45/1129092145.db2.gz ABBXZAVLYLJLIV-LSDHHAIUSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1cn2c(cccc2NC(=S)Nc2cccc(C)c2)[nH+]1 ZINC001198643307 1123003762 /nfs/dbraw/zinc/00/37/62/1123003762.db2.gz HOIOEWFWMCWCSV-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO CC[C@H](F)C[N@H+](C)Cc1cc(Cl)ccc1OC ZINC000440701139 1123029759 /nfs/dbraw/zinc/02/97/59/1123029759.db2.gz IELNSACJCBOJHI-LBPRGKRZSA-N 1 2 259.752 3.529 20 0 CHADLO CC[C@H](F)C[N@@H+](C)Cc1cc(Cl)ccc1OC ZINC000440701139 1123029766 /nfs/dbraw/zinc/02/97/66/1123029766.db2.gz IELNSACJCBOJHI-LBPRGKRZSA-N 1 2 259.752 3.529 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1nnc(C(C)(C)C)o1)C1CC1 ZINC000776024733 1123040653 /nfs/dbraw/zinc/04/06/53/1123040653.db2.gz QYUSNQJQXDKEQH-INIZCTEOSA-N 1 2 299.418 3.916 20 0 CHADLO FC(F)(F)C1C[NH+](Cc2ccccc2N2CCCCC2)C1 ZINC000894896038 1123050387 /nfs/dbraw/zinc/05/03/87/1123050387.db2.gz LKQWPXORYYEDTB-UHFFFAOYSA-N 1 2 298.352 3.671 20 0 CHADLO CCc1cccnc1[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000780740708 1123052004 /nfs/dbraw/zinc/05/20/04/1123052004.db2.gz SCDOSEDTMHSGMB-CYBMUJFWSA-N 1 2 269.392 3.883 20 0 CHADLO CC1(C)C[NH+](CC(=O)Nc2ccccc2-c2ccccc2)C1 ZINC000520248525 1123068559 /nfs/dbraw/zinc/06/85/59/1123068559.db2.gz FWEFZZLYITZYDU-UHFFFAOYSA-N 1 2 294.398 3.634 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@@H](c2ccccc2)c2cccc(F)c2)n1 ZINC001201027193 1123070869 /nfs/dbraw/zinc/07/08/69/1123070869.db2.gz QZFHPCGNEQCBPK-SFHVURJKSA-N 1 2 295.361 3.736 20 0 CHADLO C[N@H+](C/C(Br)=C/c1ccccc1)C1CC1 ZINC001201450852 1123106644 /nfs/dbraw/zinc/10/66/44/1123106644.db2.gz LTCUXJCINXXTEK-XFXZXTDPSA-N 1 2 266.182 3.517 20 0 CHADLO C[N@@H+](C/C(Br)=C/c1ccccc1)C1CC1 ZINC001201450852 1123106646 /nfs/dbraw/zinc/10/66/46/1123106646.db2.gz LTCUXJCINXXTEK-XFXZXTDPSA-N 1 2 266.182 3.517 20 0 CHADLO Nc1ccc(Nc2ccc(OCC3CCCC3)cc2)c[nH+]1 ZINC001201286902 1123082018 /nfs/dbraw/zinc/08/20/18/1123082018.db2.gz GXMSRMGUBPMACF-UHFFFAOYSA-N 1 2 283.375 3.976 20 0 CHADLO Nc1ccc(Nc2cccc(-c3ccc(O)cc3)c2)c[nH+]1 ZINC001201295808 1123085371 /nfs/dbraw/zinc/08/53/71/1123085371.db2.gz SAZXFNLDNXECHX-UHFFFAOYSA-N 1 2 277.327 3.780 20 0 CHADLO Nc1ccc(Nc2ccnc3c(Cl)cccc23)c[nH+]1 ZINC001201278241 1123085509 /nfs/dbraw/zinc/08/55/09/1123085509.db2.gz GIEUSWJTENNXCP-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO c1c[nH+]c(N2CCCCC2)c(NC2=CCSCC2)c1 ZINC001201327245 1123086895 /nfs/dbraw/zinc/08/68/95/1123086895.db2.gz ROSJSKNCIXVBHQ-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1NC1=CCSCC1 ZINC001201326935 1123087333 /nfs/dbraw/zinc/08/73/33/1123087333.db2.gz NLXXGELYUUDCFC-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO CC[C@H]1C[C@H](C[NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC001201337639 1123088902 /nfs/dbraw/zinc/08/89/02/1123088902.db2.gz BOGVDZNAPOGEPU-QAPCUYQASA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@H]1C[C@H](CNc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC001201337639 1123088906 /nfs/dbraw/zinc/08/89/06/1123088906.db2.gz BOGVDZNAPOGEPU-QAPCUYQASA-N 1 2 288.435 3.904 20 0 CHADLO CCCCNc1[nH]c2ccc(Br)cc2[nH+]1 ZINC000082743956 1123093875 /nfs/dbraw/zinc/09/38/75/1123093875.db2.gz QJQZIFLYRSNKLG-UHFFFAOYSA-N 1 2 268.158 3.537 20 0 CHADLO CCCCNc1[nH]c2cc(Br)ccc2[nH+]1 ZINC000082743956 1123093879 /nfs/dbraw/zinc/09/38/79/1123093879.db2.gz QJQZIFLYRSNKLG-UHFFFAOYSA-N 1 2 268.158 3.537 20 0 CHADLO CC(C)(C)C[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001201441808 1123105440 /nfs/dbraw/zinc/10/54/40/1123105440.db2.gz MXRZTMUJNGDEML-AWEZNQCLSA-N 1 2 267.800 3.759 20 0 CHADLO CC(C)(C)C[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001201441808 1123105441 /nfs/dbraw/zinc/10/54/41/1123105441.db2.gz MXRZTMUJNGDEML-AWEZNQCLSA-N 1 2 267.800 3.759 20 0 CHADLO CC[C@](C)([NH2+]Cc1cccc(OC)c1F)c1nccs1 ZINC000192687482 1123106661 /nfs/dbraw/zinc/10/66/61/1123106661.db2.gz WAIASBLCKXKBCR-HNNXBMFYSA-N 1 2 294.395 3.706 20 0 CHADLO COc1ccc(Nc2ccc([NH2+]C(C)C)cc2)cn1 ZINC001201487417 1123107287 /nfs/dbraw/zinc/10/72/87/1123107287.db2.gz HQHZPCWFRCLRBA-UHFFFAOYSA-N 1 2 257.337 3.654 20 0 CHADLO COc1nc(Cl)ncc1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201488238 1123107799 /nfs/dbraw/zinc/10/77/99/1123107799.db2.gz BIJVFQMNJKMRIH-UHFFFAOYSA-N 1 2 292.770 3.703 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2c3c(nn2C)CCCC3)cc1 ZINC001201491753 1123109446 /nfs/dbraw/zinc/10/94/46/1123109446.db2.gz SPTDXROSFVAOJA-UHFFFAOYSA-N 1 2 284.407 3.863 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2ccnn2-c2ccccn2)cc1 ZINC001201490644 1123109494 /nfs/dbraw/zinc/10/94/94/1123109494.db2.gz IHLOQLTVOZQQPB-UHFFFAOYSA-N 1 2 293.374 3.831 20 0 CHADLO COCCN(c1ccc([NH2+]C(C)C)cc1)c1ccccc1N ZINC001201498985 1123111696 /nfs/dbraw/zinc/11/16/96/1123111696.db2.gz JMLNQYMAJUOYQN-UHFFFAOYSA-N 1 2 299.418 3.874 20 0 CHADLO CCOc1ncc(Cl)cc1Nc1cc(C)cc(C)[nH+]1 ZINC001201504271 1123112266 /nfs/dbraw/zinc/11/22/66/1123112266.db2.gz DMAQORWIQBIGTO-UHFFFAOYSA-N 1 2 277.755 3.889 20 0 CHADLO Cc1ccc(C)c(Nc2cc3ccccc3[nH]c2=O)[nH+]1 ZINC001201752789 1123146310 /nfs/dbraw/zinc/14/63/10/1123146310.db2.gz GRFZSKBWQALLBA-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@@H+]1CCCC(=O)[C@H](F)C1 ZINC001201977537 1123159257 /nfs/dbraw/zinc/15/92/57/1123159257.db2.gz RYHKQYYRWBYXLC-LSDHHAIUSA-N 1 2 269.404 3.762 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@H+]1CCCC(=O)[C@H](F)C1 ZINC001201977537 1123159260 /nfs/dbraw/zinc/15/92/60/1123159260.db2.gz RYHKQYYRWBYXLC-LSDHHAIUSA-N 1 2 269.404 3.762 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2cc(-c3cccs3)on2)[C@@H]1C ZINC000118413937 1123160160 /nfs/dbraw/zinc/16/01/60/1123160160.db2.gz PHDPJXVASYKCCD-GHMZBOCLSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2cc(-c3cccs3)on2)[C@@H]1C ZINC000118413937 1123160161 /nfs/dbraw/zinc/16/01/61/1123160161.db2.gz PHDPJXVASYKCCD-GHMZBOCLSA-N 1 2 294.445 3.729 20 0 CHADLO Cc1ncc(C[NH2+]Cc2ccc(-c3ccco3)cc2F)o1 ZINC001202258513 1123190729 /nfs/dbraw/zinc/19/07/29/1123190729.db2.gz DYKHPTKGKMVWOP-UHFFFAOYSA-N 1 2 286.306 3.672 20 0 CHADLO COc1ccc(C[NH2+]C2(c3ccccc3Cl)CC2)o1 ZINC000895092661 1123190890 /nfs/dbraw/zinc/19/08/90/1123190890.db2.gz VRIZMAIYZFZIDR-UHFFFAOYSA-N 1 2 277.751 3.721 20 0 CHADLO C[C@@H]1SCC[N@H+](Cc2ncc(-c3cccs3)o2)[C@H]1C ZINC000118417309 1123192858 /nfs/dbraw/zinc/19/28/58/1123192858.db2.gz YPICJBYKZFWUPA-QWRGUYRKSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1SCC[N@@H+](Cc2ncc(-c3cccs3)o2)[C@H]1C ZINC000118417309 1123192860 /nfs/dbraw/zinc/19/28/60/1123192860.db2.gz YPICJBYKZFWUPA-QWRGUYRKSA-N 1 2 294.445 3.729 20 0 CHADLO CCn1nccc1C[N@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000120000096 1123209887 /nfs/dbraw/zinc/20/98/87/1123209887.db2.gz BCCKBHJXKXLFHU-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCn1nccc1C[N@@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000120000096 1123209889 /nfs/dbraw/zinc/20/98/89/1123209889.db2.gz BCCKBHJXKXLFHU-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CC[C@@H]1C[C@H](C[N@@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000507815673 1123223586 /nfs/dbraw/zinc/22/35/86/1123223586.db2.gz PBKROIMTDUCVSD-HZPDHXFCSA-N 1 2 297.389 3.959 20 0 CHADLO CC[C@@H]1C[C@H](C[N@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000507815673 1123223587 /nfs/dbraw/zinc/22/35/87/1123223587.db2.gz PBKROIMTDUCVSD-HZPDHXFCSA-N 1 2 297.389 3.959 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2c(c1)[C@H](O)CCCC2 ZINC000850363718 1123257340 /nfs/dbraw/zinc/25/73/40/1123257340.db2.gz KGTDTDNLNDUUEJ-GOSISDBHSA-N 1 2 299.418 3.836 20 0 CHADLO CC(C)(C)[C@H]1C[N@H+](Cc2ccccc2OC(F)F)CCO1 ZINC000579672128 1123259337 /nfs/dbraw/zinc/25/93/37/1123259337.db2.gz XQYFVWFVXKFVQV-CQSZACIVSA-N 1 2 299.361 3.535 20 0 CHADLO CC(C)(C)[C@H]1C[N@@H+](Cc2ccccc2OC(F)F)CCO1 ZINC000579672128 1123259338 /nfs/dbraw/zinc/25/93/38/1123259338.db2.gz XQYFVWFVXKFVQV-CQSZACIVSA-N 1 2 299.361 3.535 20 0 CHADLO COC1([C@@H](C)Nc2ccc([NH+]3CCCC3)cc2)CCC1 ZINC000850450763 1123268543 /nfs/dbraw/zinc/26/85/43/1123268543.db2.gz SZVPNTWAVKIRSJ-CQSZACIVSA-N 1 2 274.408 3.656 20 0 CHADLO COC(=O)CC(C)(C)C[C@@H](C)[NH2+]c1ccc(N(C)C)cc1 ZINC000850455374 1123269679 /nfs/dbraw/zinc/26/96/79/1123269679.db2.gz HTIWZIWKWUNIOZ-CYBMUJFWSA-N 1 2 292.423 3.532 20 0 CHADLO COC(=O)CC(C)(C)C[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000850455374 1123269682 /nfs/dbraw/zinc/26/96/82/1123269682.db2.gz HTIWZIWKWUNIOZ-CYBMUJFWSA-N 1 2 292.423 3.532 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cscn1)c1cnn(-c2ccccc2)c1 ZINC000850511673 1123277800 /nfs/dbraw/zinc/27/78/00/1123277800.db2.gz KWVKGKRZLLAFJQ-STQMWFEESA-N 1 2 298.415 3.741 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2nc(Cl)ccc21)c1cscn1 ZINC000850511969 1123279059 /nfs/dbraw/zinc/27/90/59/1123279059.db2.gz RSEUCXGRQJUCTR-PSASIEDQSA-N 1 2 279.796 3.530 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1cccc(O)c1 ZINC000335054107 1123290273 /nfs/dbraw/zinc/29/02/73/1123290273.db2.gz LBWPCTZZLKCVPX-LLVKDONJSA-N 1 2 254.333 3.533 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cc3ccnc(Cl)c3s2)C1 ZINC000895150084 1123298788 /nfs/dbraw/zinc/29/87/88/1123298788.db2.gz GRVWOPIVEVXDMG-JTQLQIEISA-N 1 2 284.787 3.884 20 0 CHADLO Cc1noc(C)c1CC[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000335165777 1123315479 /nfs/dbraw/zinc/31/54/79/1123315479.db2.gz DJJCJGYHCZVLJI-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1noc(C)c1CC[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000335165777 1123315484 /nfs/dbraw/zinc/31/54/84/1123315484.db2.gz DJJCJGYHCZVLJI-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC(C)(C)C[C@@H]2C)c(C)[nH+]1 ZINC000335211023 1123322176 /nfs/dbraw/zinc/32/21/76/1123322176.db2.gz KUFXDLUDHFABHV-LBPRGKRZSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cncc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@H]2C)c1 ZINC000442674076 1123332886 /nfs/dbraw/zinc/33/28/86/1123332886.db2.gz BHEYWWJKYHZLEK-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cncc(C[N@H+]2CCc3c(F)cc(F)cc3[C@H]2C)c1 ZINC000442674076 1123332892 /nfs/dbraw/zinc/33/28/92/1123332892.db2.gz BHEYWWJKYHZLEK-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cc(OCc2nc(C3CCC3)no2)c2ccccc2[nH+]1 ZINC000634548013 1129117009 /nfs/dbraw/zinc/11/70/09/1129117009.db2.gz NNWMJIBPJUJSTB-UHFFFAOYSA-N 1 2 295.342 3.773 20 0 CHADLO Cc1cccc(C[N@@H+]([C@H](C)C(=O)OC(C)(C)C)C2CC2)c1 ZINC000521943240 1123364622 /nfs/dbraw/zinc/36/46/22/1123364622.db2.gz QRBNQKNDJZZOMF-CQSZACIVSA-N 1 2 289.419 3.690 20 0 CHADLO Cc1cccc(C[N@H+]([C@H](C)C(=O)OC(C)(C)C)C2CC2)c1 ZINC000521943240 1123364624 /nfs/dbraw/zinc/36/46/24/1123364624.db2.gz QRBNQKNDJZZOMF-CQSZACIVSA-N 1 2 289.419 3.690 20 0 CHADLO CC[N@H+](Cc1cccc(F)n1)Cc1ccccc1Cl ZINC000851726851 1123376307 /nfs/dbraw/zinc/37/63/07/1123376307.db2.gz WHJQRYSIQPISLN-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccc(F)n1)Cc1ccccc1Cl ZINC000851726851 1123376312 /nfs/dbraw/zinc/37/63/12/1123376312.db2.gz WHJQRYSIQPISLN-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cnon1)c1cccc(C(F)(F)F)c1 ZINC000851897088 1123387028 /nfs/dbraw/zinc/38/70/28/1123387028.db2.gz IPZOGTDPWSZXHR-CYBMUJFWSA-N 1 2 299.296 3.719 20 0 CHADLO CC(C)CC[C@@H]([NH2+]Cc1cnon1)c1ccc(Cl)cc1 ZINC000851897597 1123387108 /nfs/dbraw/zinc/38/71/08/1123387108.db2.gz PRKNITSIUGEVLA-OAHLLOKOSA-N 1 2 293.798 3.990 20 0 CHADLO Cc1c2ccccc2oc1[C@H]([NH2+]Cc1cnon1)C(C)C ZINC000851900929 1123388091 /nfs/dbraw/zinc/38/80/91/1123388091.db2.gz APSCILAYTRQKQY-OAHLLOKOSA-N 1 2 285.347 3.611 20 0 CHADLO C(=C/[C@@H]1CCCCC[N@H+]1Cc1cnon1)\c1cccs1 ZINC000851922854 1123389042 /nfs/dbraw/zinc/38/90/42/1123389042.db2.gz PCKJFNYDOKGXQP-NPQIQWPPSA-N 1 2 289.404 3.589 20 0 CHADLO C(=C/[C@@H]1CCCCC[N@@H+]1Cc1cnon1)\c1cccs1 ZINC000851922854 1123389043 /nfs/dbraw/zinc/38/90/43/1123389043.db2.gz PCKJFNYDOKGXQP-NPQIQWPPSA-N 1 2 289.404 3.589 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2cccc(C)c2F)n1 ZINC000394971994 1123391069 /nfs/dbraw/zinc/39/10/69/1123391069.db2.gz AUNZLGARAASQQP-LLVKDONJSA-N 1 2 264.369 3.750 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(Cl)c1OC(C)C ZINC000417804463 1123398492 /nfs/dbraw/zinc/39/84/92/1123398492.db2.gz GLNJVIUNZVUBSJ-UHFFFAOYSA-N 1 2 293.798 3.956 20 0 CHADLO CC(C)COC(=O)C[N@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000776574449 1123401287 /nfs/dbraw/zinc/40/12/87/1123401287.db2.gz HPWPHRVCLXBBPB-CYBMUJFWSA-N 1 2 289.375 3.625 20 0 CHADLO CC(C)COC(=O)C[N@@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000776574449 1123401290 /nfs/dbraw/zinc/40/12/90/1123401290.db2.gz HPWPHRVCLXBBPB-CYBMUJFWSA-N 1 2 289.375 3.625 20 0 CHADLO Cn1c(Cl)cnc1C[N@H+](Cc1ccsc1)C(C)(C)C ZINC000852311849 1123402278 /nfs/dbraw/zinc/40/22/78/1123402278.db2.gz NPCUQVJGDNHMPN-UHFFFAOYSA-N 1 2 297.855 3.936 20 0 CHADLO Cn1c(Cl)cnc1C[N@@H+](Cc1ccsc1)C(C)(C)C ZINC000852311849 1123402279 /nfs/dbraw/zinc/40/22/79/1123402279.db2.gz NPCUQVJGDNHMPN-UHFFFAOYSA-N 1 2 297.855 3.936 20 0 CHADLO Fc1ccc([C@H]2CC[N@@H+]2Cc2cccc3c2OCCO3)cc1 ZINC000852467800 1123405546 /nfs/dbraw/zinc/40/55/46/1123405546.db2.gz HLUMZOQEXIJEEU-MRXNPFEDSA-N 1 2 299.345 3.544 20 0 CHADLO Fc1ccc([C@H]2CC[N@H+]2Cc2cccc3c2OCCO3)cc1 ZINC000852467800 1123405549 /nfs/dbraw/zinc/40/55/49/1123405549.db2.gz HLUMZOQEXIJEEU-MRXNPFEDSA-N 1 2 299.345 3.544 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2CCOc3c(C)cccc32)cs1 ZINC000335706319 1123413508 /nfs/dbraw/zinc/41/35/08/1123413508.db2.gz ROPHISXQMFUFBI-BXUZGUMPSA-N 1 2 288.416 3.934 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2ccc(F)cc2)C(C)(C)C)o1 ZINC000173243159 1123416771 /nfs/dbraw/zinc/41/67/71/1123416771.db2.gz KPJOTZOHBHATOO-HNNXBMFYSA-N 1 2 276.355 3.999 20 0 CHADLO CC(C)CSCCC[N@H+](CC(F)F)C(C)C ZINC000443603035 1123442935 /nfs/dbraw/zinc/44/29/35/1123442935.db2.gz IHNMVZZNSPKJNR-UHFFFAOYSA-N 1 2 253.402 3.741 20 0 CHADLO CC(C)CSCCC[N@@H+](CC(F)F)C(C)C ZINC000443603035 1123442938 /nfs/dbraw/zinc/44/29/38/1123442938.db2.gz IHNMVZZNSPKJNR-UHFFFAOYSA-N 1 2 253.402 3.741 20 0 CHADLO CC(=O)C1CC[NH+](Cc2c(Cl)cccc2Cl)CC1 ZINC000111690707 1123460696 /nfs/dbraw/zinc/46/06/96/1123460696.db2.gz QFYOMIYLNIQEEM-UHFFFAOYSA-N 1 2 286.202 3.794 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)CC(C)(C)C)c(C)[nH+]1 ZINC000335897809 1123460980 /nfs/dbraw/zinc/46/09/80/1123460980.db2.gz MUXNKTDNEPCWIZ-UHFFFAOYSA-N 1 2 263.385 3.517 20 0 CHADLO Cc1cc(NCc2nccc3c2CCCC3)nc(C2CC2)[nH+]1 ZINC000895453122 1123480671 /nfs/dbraw/zinc/48/06/71/1123480671.db2.gz GZYDHQDERPZOMH-UHFFFAOYSA-N 1 2 294.402 3.548 20 0 CHADLO Cc1ccccc1[C@H](C)N(CC(C)C)C(=O)Cc1[nH]cc[nH+]1 ZINC000854410528 1123484488 /nfs/dbraw/zinc/48/44/88/1123484488.db2.gz ZTFKQMUXESZODQ-HNNXBMFYSA-N 1 2 299.418 3.506 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2ccc(C)c(Cl)c2)c[nH+]1 ZINC000895540079 1123489937 /nfs/dbraw/zinc/48/99/37/1123489937.db2.gz RMEAXZAXTJRBCM-UHFFFAOYSA-N 1 2 291.782 3.527 20 0 CHADLO COC[C@H](CC(C)C)Nc1ccc([NH+](C)C)cc1C ZINC000776766344 1123500918 /nfs/dbraw/zinc/50/09/18/1123500918.db2.gz FLOWHPJPSHGPIY-AWEZNQCLSA-N 1 2 264.413 3.534 20 0 CHADLO Cc1cc(CNC(=O)c2ccccc2C(C)C)cc(C)[nH+]1 ZINC000854960728 1123501798 /nfs/dbraw/zinc/50/17/98/1123501798.db2.gz MDKLKZUHIHBSIE-UHFFFAOYSA-N 1 2 282.387 3.752 20 0 CHADLO CCSCc1ccc[nH+]c1N[C@@H](C)[C@H]1CC1(F)F ZINC000895687670 1123504818 /nfs/dbraw/zinc/50/48/18/1123504818.db2.gz CUBNQODXMNQEAJ-GXSJLCMTSA-N 1 2 272.364 3.790 20 0 CHADLO CCc1cc(N[C@H](c2ccccn2)C2CC2)nc(CC)[nH+]1 ZINC000895798236 1123516038 /nfs/dbraw/zinc/51/60/38/1123516038.db2.gz CRJMFQOFLOEPEB-KRWDZBQOSA-N 1 2 282.391 3.560 20 0 CHADLO CC(C)([NH2+]Cc1cc(F)ncc1F)c1ccc(F)cc1F ZINC000895856561 1123522730 /nfs/dbraw/zinc/52/27/30/1123522730.db2.gz YETSFNPUUVVFOV-UHFFFAOYSA-N 1 2 298.283 3.663 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(-c3cccs3)no2)c1 ZINC000338984325 1123542732 /nfs/dbraw/zinc/54/27/32/1123542732.db2.gz ZGHQRPMGFBYDKZ-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(-c3cccs3)no2)c1 ZINC000338984325 1123542734 /nfs/dbraw/zinc/54/27/34/1123542734.db2.gz ZGHQRPMGFBYDKZ-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CC(C)(C)OCC[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000543707533 1123543574 /nfs/dbraw/zinc/54/35/74/1123543574.db2.gz MWGGPZHZNFYPBP-AWEZNQCLSA-N 1 2 285.378 3.658 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccc(OC)o1 ZINC000896030342 1123543980 /nfs/dbraw/zinc/54/39/80/1123543980.db2.gz JCLYXCORDYEJNT-UHFFFAOYSA-N 1 2 278.377 3.548 20 0 CHADLO COc1c(C)c[nH+]c(CSCc2ccncc2)c1C ZINC000901631756 1129128867 /nfs/dbraw/zinc/12/88/67/1129128867.db2.gz PVHAXZSGBRWIIH-UHFFFAOYSA-N 1 2 274.389 3.535 20 0 CHADLO CCCCOC(=O)[C@@H](C)[NH+]1CCC(c2ccsc2)CC1 ZINC000776887665 1123560419 /nfs/dbraw/zinc/56/04/19/1123560419.db2.gz WUVXWWCHQZOQAS-CYBMUJFWSA-N 1 2 295.448 3.659 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH+]1CCC(c2ccsc2)CC1 ZINC000776887666 1123560575 /nfs/dbraw/zinc/56/05/75/1123560575.db2.gz WUVXWWCHQZOQAS-ZDUSSCGKSA-N 1 2 295.448 3.659 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1cn(C)nc1Cl ZINC000857040778 1123580573 /nfs/dbraw/zinc/58/05/73/1123580573.db2.gz ZBHCVBBOBCOWJL-LCYFTJDESA-N 1 2 289.810 3.609 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1cn(C)nc1Cl ZINC000857040778 1123580576 /nfs/dbraw/zinc/58/05/76/1123580576.db2.gz ZBHCVBBOBCOWJL-LCYFTJDESA-N 1 2 289.810 3.609 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000896597196 1123602947 /nfs/dbraw/zinc/60/29/47/1123602947.db2.gz OYTDUKOENPUPMK-MRXNPFEDSA-N 1 2 299.418 3.916 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)C[N@@H+]1Cn1ncsc1=S ZINC000115512561 1123618130 /nfs/dbraw/zinc/61/81/30/1123618130.db2.gz NJTJDIZGBLMNQA-AAEUAGOBSA-N 1 2 291.445 3.510 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)C[N@H+]1Cn1ncsc1=S ZINC000115512561 1123618134 /nfs/dbraw/zinc/61/81/34/1123618134.db2.gz NJTJDIZGBLMNQA-AAEUAGOBSA-N 1 2 291.445 3.510 20 0 CHADLO CC(C)(/C=C\Cl)[NH2+]Cc1csc(C(F)(F)F)n1 ZINC000896751489 1123619683 /nfs/dbraw/zinc/61/96/83/1123619683.db2.gz MJAAGRPRPCDFQZ-ARJAWSKDSA-N 1 2 284.734 3.783 20 0 CHADLO Clc1ccccc1C1([NH2+][C@@H]2CCCc3cn[nH]c32)CC1 ZINC000857872266 1123624680 /nfs/dbraw/zinc/62/46/80/1123624680.db2.gz JQRWECJYZOXQNQ-CQSZACIVSA-N 1 2 287.794 3.719 20 0 CHADLO Clc1ccccc1C1([NH2+][C@@H]2CCCc3c[nH]nc32)CC1 ZINC000857872266 1123624683 /nfs/dbraw/zinc/62/46/83/1123624683.db2.gz JQRWECJYZOXQNQ-CQSZACIVSA-N 1 2 287.794 3.719 20 0 CHADLO CCCCCC[C@](C)(CC)C(=O)N[C@@H]1CCn2c[nH+]cc21 ZINC000857983723 1123628057 /nfs/dbraw/zinc/62/80/57/1123628057.db2.gz CPFOWOQMBZLBDF-PBHICJAKSA-N 1 2 291.439 3.831 20 0 CHADLO C[C@@H]([NH2+]Cc1c[nH]c(-c2ccccc2)n1)c1cccc(O)c1 ZINC000192366439 1123639754 /nfs/dbraw/zinc/63/97/54/1123639754.db2.gz GWBYNORQFFEULT-CYBMUJFWSA-N 1 2 293.370 3.633 20 0 CHADLO Fc1cccc(F)c1C1([NH2+]Cc2ccoc2)CCC1 ZINC000858342557 1123646172 /nfs/dbraw/zinc/64/61/72/1123646172.db2.gz POOLFSCMQOBHIB-UHFFFAOYSA-N 1 2 263.287 3.727 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2ccc(Cl)cc21)c1ccncc1F ZINC000335905299 1123650946 /nfs/dbraw/zinc/65/09/46/1123650946.db2.gz MFQMGVWPIQESMF-OTYXRUKQSA-N 1 2 292.741 3.658 20 0 CHADLO FC1(F)CCC12C[NH+](Cc1sccc1Cl)C2 ZINC000335908427 1123651614 /nfs/dbraw/zinc/65/16/14/1123651614.db2.gz SGLVTJYYOQWOCF-UHFFFAOYSA-N 1 2 263.740 3.633 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NC[C@H]1CCCC12CC2 ZINC000858854308 1123674349 /nfs/dbraw/zinc/67/43/49/1123674349.db2.gz UOTKNCLNLQWQAU-GFCCVEGCSA-N 1 2 299.422 3.574 20 0 CHADLO CC[C@H]([NH2+]Cc1ncccc1Cl)c1ccccc1OC ZINC000859227579 1123692095 /nfs/dbraw/zinc/69/20/95/1123692095.db2.gz DTMHBBSWZQJJBK-AWEZNQCLSA-N 1 2 290.794 3.985 20 0 CHADLO Cn1nccc1[C@H]1CCCC[N@@H+]1Cc1ccc(Cl)s1 ZINC000281434071 1123708029 /nfs/dbraw/zinc/70/80/29/1123708029.db2.gz ZVCVZZMBHUWTSV-CYBMUJFWSA-N 1 2 295.839 3.862 20 0 CHADLO Cn1nccc1[C@H]1CCCC[N@H+]1Cc1ccc(Cl)s1 ZINC000281434071 1123708033 /nfs/dbraw/zinc/70/80/33/1123708033.db2.gz ZVCVZZMBHUWTSV-CYBMUJFWSA-N 1 2 295.839 3.862 20 0 CHADLO CC(C)c1noc(C[NH2+][C@@H](c2ccccc2)C(C)C)n1 ZINC000346750116 1123710628 /nfs/dbraw/zinc/71/06/28/1123710628.db2.gz KYJOAOYHUKDTAL-OAHLLOKOSA-N 1 2 273.380 3.680 20 0 CHADLO Fc1ccc(C[NH2+]C2(c3c(F)cccc3F)CCC2)nc1 ZINC000860204481 1123725446 /nfs/dbraw/zinc/72/54/46/1123725446.db2.gz FLRIYYDSXOBKGB-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1cc(C)c(C)o1)c1ccc(C)o1 ZINC000281965572 1123760420 /nfs/dbraw/zinc/76/04/20/1123760420.db2.gz BFXDJHUHNHNNDH-GXTWGEPZSA-N 1 2 277.364 3.836 20 0 CHADLO CCCCc1noc(C[N@H+]2Cc3ccccc3[C@H](C)C2)n1 ZINC000861695384 1123784148 /nfs/dbraw/zinc/78/41/48/1123784148.db2.gz RBYGZSUXGHDXGP-CYBMUJFWSA-N 1 2 285.391 3.532 20 0 CHADLO CCCCc1noc(C[N@@H+]2Cc3ccccc3[C@H](C)C2)n1 ZINC000861695384 1123784150 /nfs/dbraw/zinc/78/41/50/1123784150.db2.gz RBYGZSUXGHDXGP-CYBMUJFWSA-N 1 2 285.391 3.532 20 0 CHADLO Cc1c(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)ccnc1F ZINC000862249458 1123795501 /nfs/dbraw/zinc/79/55/01/1123795501.db2.gz PSNYCYCOHORBFV-OAHLLOKOSA-N 1 2 274.314 3.615 20 0 CHADLO Cc1c(C[N@H+]2CC[C@@H]2c2cccc(F)c2)ccnc1F ZINC000862249458 1123795504 /nfs/dbraw/zinc/79/55/04/1123795504.db2.gz PSNYCYCOHORBFV-OAHLLOKOSA-N 1 2 274.314 3.615 20 0 CHADLO Cc1c(CNc2ccc([NH+]3CCCC3)cc2)ccnc1F ZINC000862251976 1123795520 /nfs/dbraw/zinc/79/55/20/1123795520.db2.gz BKKHFJJYVXVSED-UHFFFAOYSA-N 1 2 285.366 3.741 20 0 CHADLO Cc1cc(NCc2ccnc(F)c2C)ccc1[NH+](C)C ZINC000862260432 1123796488 /nfs/dbraw/zinc/79/64/88/1123796488.db2.gz WQNWPUFDOSZPDO-UHFFFAOYSA-N 1 2 273.355 3.516 20 0 CHADLO Cc1[nH]c(CN2CCCSc3cc(C)ccc32)[nH+]c1C ZINC000862371277 1123804959 /nfs/dbraw/zinc/80/49/59/1123804959.db2.gz HYMYITLXUOSJBY-UHFFFAOYSA-N 1 2 287.432 3.837 20 0 CHADLO Cc1cc(NCc2nc(C(C)(C)C)co2)nc(C2CC2)[nH+]1 ZINC000897175610 1123835269 /nfs/dbraw/zinc/83/52/69/1123835269.db2.gz FOEPECJXHFTLLM-UHFFFAOYSA-N 1 2 286.379 3.560 20 0 CHADLO Cc1cc(NC(=O)c2cc(Cl)c(N)c(Cl)c2)cc[nH+]1 ZINC000119200107 1123835618 /nfs/dbraw/zinc/83/56/18/1123835618.db2.gz VDZPVGRHJXFDCZ-UHFFFAOYSA-N 1 2 296.157 3.531 20 0 CHADLO C[C@H](CN(C)C(=O)c1cccc2[nH+]ccn21)C1CCCCC1 ZINC000863045848 1123840390 /nfs/dbraw/zinc/84/03/90/1123840390.db2.gz GGUBDHCAPGRXHQ-CQSZACIVSA-N 1 2 299.418 3.623 20 0 CHADLO CSc1ccc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)s1 ZINC001142018882 1123861481 /nfs/dbraw/zinc/86/14/81/1123861481.db2.gz ZSFJYOOCAWXYGI-ZYHUDNBSSA-N 1 2 277.405 3.742 20 0 CHADLO CSc1ccc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)s1 ZINC001142018882 1123861493 /nfs/dbraw/zinc/86/14/93/1123861493.db2.gz ZSFJYOOCAWXYGI-ZYHUDNBSSA-N 1 2 277.405 3.742 20 0 CHADLO Cc1cnc(CSCc2ccc(-n3cc[nH+]c3)cc2C)o1 ZINC000863395413 1123867504 /nfs/dbraw/zinc/86/75/04/1123867504.db2.gz DGNKFNBADZVWIL-UHFFFAOYSA-N 1 2 299.399 3.911 20 0 CHADLO Fc1ccc([C@@H]2C[C@H]2Nc2ccn3cc[nH+]c3c2)cc1F ZINC001168065567 1123869699 /nfs/dbraw/zinc/86/96/99/1123869699.db2.gz GZTASEPPGWWUJJ-SWLSCSKDSA-N 1 2 285.297 3.581 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc3c(c2)OCO3)nc(C(C)C)[nH+]1 ZINC000301072735 1123871915 /nfs/dbraw/zinc/87/19/15/1123871915.db2.gz ICOFMFCWPGIPNE-GFCCVEGCSA-N 1 2 299.374 3.810 20 0 CHADLO CN(CCCOc1cccc(Cl)c1)c1cccc[nH+]1 ZINC000301080086 1123872335 /nfs/dbraw/zinc/87/23/35/1123872335.db2.gz JRDFRZZIBRTSIM-UHFFFAOYSA-N 1 2 276.767 3.640 20 0 CHADLO Clc1ccccc1CNc1cc(NCC2CC2)[nH+]cn1 ZINC000301286442 1123888812 /nfs/dbraw/zinc/88/88/12/1123888812.db2.gz MXCRANTZKZIGHD-UHFFFAOYSA-N 1 2 288.782 3.564 20 0 CHADLO Clc1ccccc1CNc1cc(NCC2CC2)nc[nH+]1 ZINC000301286442 1123888817 /nfs/dbraw/zinc/88/88/17/1123888817.db2.gz MXCRANTZKZIGHD-UHFFFAOYSA-N 1 2 288.782 3.564 20 0 CHADLO C[C@@H](CNc1cccc[nH+]1)Oc1cccc(Cl)c1 ZINC000301434459 1123900530 /nfs/dbraw/zinc/90/05/30/1123900530.db2.gz HBQKFAUPZSYXIA-NSHDSACASA-N 1 2 262.740 3.614 20 0 CHADLO CN(CCOc1ccc(C(F)(F)F)cc1)c1cccc[nH+]1 ZINC000301442525 1123900776 /nfs/dbraw/zinc/90/07/76/1123900776.db2.gz NRJNNWVTNZNIBD-UHFFFAOYSA-N 1 2 296.292 3.616 20 0 CHADLO Cc1cc(N[C@@H](C)CCc2cccn2C)nc(C(C)C)[nH+]1 ZINC000301464917 1123903054 /nfs/dbraw/zinc/90/30/54/1123903054.db2.gz PPYCQQWDVKLICC-ZDUSSCGKSA-N 1 2 286.423 3.680 20 0 CHADLO CC(C)(C)OC(=O)c1ccc(CNc2cccc[nH+]2)cc1 ZINC000301467536 1123903226 /nfs/dbraw/zinc/90/32/26/1123903226.db2.gz MLVUNAVAPYYMRI-UHFFFAOYSA-N 1 2 284.359 3.649 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCC[C@H]1c1cc[nH]n1 ZINC000863995677 1123907526 /nfs/dbraw/zinc/90/75/26/1123907526.db2.gz CDQCTBBLJHMXDL-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCC[C@H]1c1cc[nH]n1 ZINC000863995677 1123907529 /nfs/dbraw/zinc/90/75/29/1123907529.db2.gz CDQCTBBLJHMXDL-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO O=C(/C=C\c1cccs1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000864048612 1123914574 /nfs/dbraw/zinc/91/45/74/1123914574.db2.gz LPIXFGGGSAESFH-FPLPWBNLSA-N 1 2 295.367 3.586 20 0 CHADLO Clc1cncc(C[N@@H+]2Cc3ccccc3C3(CC3)C2)c1 ZINC000621281047 1129158432 /nfs/dbraw/zinc/15/84/32/1129158432.db2.gz OMCSVJCTBDIWIB-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Clc1cncc(C[N@H+]2Cc3ccccc3C3(CC3)C2)c1 ZINC000621281047 1129158436 /nfs/dbraw/zinc/15/84/36/1129158436.db2.gz OMCSVJCTBDIWIB-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Cc1cc(N2CCC[C@@H]2C2CCC2)nc(C(C)C)[nH+]1 ZINC000301621782 1123918914 /nfs/dbraw/zinc/91/89/14/1123918914.db2.gz FORRORYJLLSKEM-CQSZACIVSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000301744496 1123928909 /nfs/dbraw/zinc/92/89/09/1123928909.db2.gz ZWQSGLYLBRDIAE-DJIMGWMZSA-N 1 2 282.387 3.913 20 0 CHADLO Cc1ccc(C[S@](=O)C[C@H](C)C(C)(C)C)c(C)[nH+]1 ZINC000864473533 1123930652 /nfs/dbraw/zinc/93/06/52/1123930652.db2.gz CATHUUDVKROOHJ-BBATYDOGSA-N 1 2 267.438 3.629 20 0 CHADLO Nc1nc(N2CCC[C@H]2c2ccccc2)[nH+]c2ccccc12 ZINC000301774345 1123931920 /nfs/dbraw/zinc/93/19/20/1123931920.db2.gz KMYSPGXHHPQJOC-INIZCTEOSA-N 1 2 290.370 3.554 20 0 CHADLO CC[N@@H+](c1ccc(Nc2ncc(F)cn2)cc1)C(C)C ZINC000301800602 1123934112 /nfs/dbraw/zinc/93/41/12/1123934112.db2.gz WCAHBKBXFPDSRX-UHFFFAOYSA-N 1 2 274.343 3.594 20 0 CHADLO CC[N@H+](c1ccc(Nc2ncc(F)cn2)cc1)C(C)C ZINC000301800602 1123934114 /nfs/dbraw/zinc/93/41/14/1123934114.db2.gz WCAHBKBXFPDSRX-UHFFFAOYSA-N 1 2 274.343 3.594 20 0 CHADLO c1c2ccccc2oc1CNc1cc(NCC2CC2)[nH+]cn1 ZINC000301832645 1123936691 /nfs/dbraw/zinc/93/66/91/1123936691.db2.gz ROIDAWCHMOKBRK-UHFFFAOYSA-N 1 2 294.358 3.657 20 0 CHADLO c1c2ccccc2oc1CNc1cc(NCC2CC2)nc[nH+]1 ZINC000301832645 1123936692 /nfs/dbraw/zinc/93/66/92/1123936692.db2.gz ROIDAWCHMOKBRK-UHFFFAOYSA-N 1 2 294.358 3.657 20 0 CHADLO COC[C@@H](Nc1cc(C(C)C)[nH+]c(C(C)C)n1)C1CC1 ZINC000301852290 1123938234 /nfs/dbraw/zinc/93/82/34/1123938234.db2.gz GJDMWMOTEKQJTL-CQSZACIVSA-N 1 2 277.412 3.560 20 0 CHADLO CC(C)(C)C[C@@H]1CCCN1c1nc(N)c2ccccc2[nH+]1 ZINC000301863605 1123939189 /nfs/dbraw/zinc/93/91/89/1123939189.db2.gz GPVJTLHICJYDBH-LBPRGKRZSA-N 1 2 284.407 3.617 20 0 CHADLO CC(C)c1cc(NCC[C@@H](O)C(C)C)nc(C(C)C)[nH+]1 ZINC000301874251 1123940095 /nfs/dbraw/zinc/94/00/95/1123940095.db2.gz REEUGFFQRQRNOY-CQSZACIVSA-N 1 2 279.428 3.542 20 0 CHADLO Nc1nc(N[C@H](c2ccccc2)C2CC2)[nH+]c2ccccc12 ZINC000301875292 1123940147 /nfs/dbraw/zinc/94/01/47/1123940147.db2.gz KBAPZZLMAPHQAN-MRXNPFEDSA-N 1 2 290.370 3.775 20 0 CHADLO CCc1nsc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC000301940196 1123945149 /nfs/dbraw/zinc/94/51/49/1123945149.db2.gz ZDGVQXOUCBCQOE-UHFFFAOYSA-N 1 2 288.420 3.834 20 0 CHADLO CCc1cc(N2CC[C@H](C)C[C@H]2c2ccco2)nc(C)[nH+]1 ZINC000302061220 1123952630 /nfs/dbraw/zinc/95/26/30/1123952630.db2.gz BOGSPNOKDNYZNQ-WFASDCNBSA-N 1 2 285.391 3.918 20 0 CHADLO COc1ncccc1C[NH2+][C@H](C)c1nc2ccccc2s1 ZINC000037650439 1129155544 /nfs/dbraw/zinc/15/55/44/1129155544.db2.gz AWTFEZDJIAPWTE-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2nc(C)cs2)cc1Cl ZINC000158978389 1129156106 /nfs/dbraw/zinc/15/61/06/1129156106.db2.gz GNXUBPSFWABWID-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2nc(C)cs2)cc1Cl ZINC000158978389 1129156109 /nfs/dbraw/zinc/15/61/09/1129156109.db2.gz GNXUBPSFWABWID-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO C[C@H](CCC1CC1)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000302211075 1123961830 /nfs/dbraw/zinc/96/18/30/1123961830.db2.gz DEGCQPZLOAUJMY-LLVKDONJSA-N 1 2 287.411 3.572 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCC[C@H]1CCO ZINC000302465382 1123969265 /nfs/dbraw/zinc/96/92/65/1123969265.db2.gz SIAFESDFRGQWDR-DZGCQCFKSA-N 1 2 270.376 3.506 20 0 CHADLO COc1cccc2c(N[C@@H]3CCC[C@@H]4OCC[C@@H]43)cc[nH+]c12 ZINC000302530316 1123971527 /nfs/dbraw/zinc/97/15/27/1123971527.db2.gz LEACYERFPUEQMK-XPKDYRNWSA-N 1 2 298.386 3.613 20 0 CHADLO CC(C)c1cc(N[C@@H]2C[C@H]3OCCC[C@H]23)nc(C(C)C)[nH+]1 ZINC000302574459 1123972753 /nfs/dbraw/zinc/97/27/53/1123972753.db2.gz RGEJLLZEPRXYQM-BPLDGKMQSA-N 1 2 289.423 3.703 20 0 CHADLO COc1cccc2c(N(C)Cc3ccc(O)cc3)cc[nH+]c12 ZINC000302617865 1123974664 /nfs/dbraw/zinc/97/46/64/1123974664.db2.gz GIUQBKLYIQRCHZ-UHFFFAOYSA-N 1 2 294.354 3.585 20 0 CHADLO CC(C)(C)c1cn(CC[C@H]2CC2(Cl)Cl)c[nH+]1 ZINC000865376327 1123976393 /nfs/dbraw/zinc/97/63/93/1123976393.db2.gz JINARTBJNKREHS-VIFPVBQESA-N 1 2 261.196 3.765 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](c2ncc(C)s2)C2CC2)o1 ZINC000428349050 1123978347 /nfs/dbraw/zinc/97/83/47/1123978347.db2.gz VEBYBZXKDZOOBS-AWEZNQCLSA-N 1 2 291.420 3.551 20 0 CHADLO Nc1nc(NCc2ccc(C3CC3)cc2)[nH+]c2ccccc12 ZINC000302713792 1123979045 /nfs/dbraw/zinc/97/90/45/1123979045.db2.gz LNEHTIXKGNXRBI-UHFFFAOYSA-N 1 2 290.370 3.702 20 0 CHADLO CCCn1cc(C[NH2+][C@H](C)c2oc3ccccc3c2C)nn1 ZINC000865418660 1123981990 /nfs/dbraw/zinc/98/19/90/1123981990.db2.gz MJCSTLUOPVNAKM-CYBMUJFWSA-N 1 2 298.390 3.594 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc([NH+](C)C)cc2)C12CCCC2 ZINC000085679325 1129158766 /nfs/dbraw/zinc/15/87/66/1129158766.db2.gz VOSSFTCMYPPDFY-IAGOWNOFSA-N 1 2 288.435 3.902 20 0 CHADLO CCO[C@@H]1C[C@@H]([NH2+]c2ccc(N(C)C)cc2)C12CCCC2 ZINC000085679325 1129158769 /nfs/dbraw/zinc/15/87/69/1129158769.db2.gz VOSSFTCMYPPDFY-IAGOWNOFSA-N 1 2 288.435 3.902 20 0 CHADLO c1cc(C2CC2)ccc1CNc1cc(N2CCCC2)nc[nH+]1 ZINC000302855582 1123986551 /nfs/dbraw/zinc/98/65/51/1123986551.db2.gz RRCQPLLAXUNJGV-UHFFFAOYSA-N 1 2 294.402 3.566 20 0 CHADLO c1cc(C2CC2)ccc1CNc1cc(N2CCCC2)[nH+]cn1 ZINC000302855582 1123986555 /nfs/dbraw/zinc/98/65/55/1123986555.db2.gz RRCQPLLAXUNJGV-UHFFFAOYSA-N 1 2 294.402 3.566 20 0 CHADLO CN(C)c1ccc(NCc2nc(C(C)(C)C)cs2)[nH+]c1 ZINC000865496000 1123989063 /nfs/dbraw/zinc/98/90/63/1123989063.db2.gz PEMGCWUTXOZOOP-UHFFFAOYSA-N 1 2 290.436 3.514 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(OC(C)(C)C)c1)c1csnn1 ZINC000865603632 1123998033 /nfs/dbraw/zinc/99/80/33/1123998033.db2.gz JQZARGVJMGCYTE-LLVKDONJSA-N 1 2 291.420 3.566 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc2c1OC(C)(C)C=C2 ZINC000865735749 1124009748 /nfs/dbraw/zinc/00/97/48/1124009748.db2.gz WNHDQOFRBNWFMU-UHFFFAOYSA-N 1 2 283.375 3.699 20 0 CHADLO Cc1[nH]c(CNc2ccc(F)c(C(F)F)c2)[nH+]c1C ZINC000865757572 1124011442 /nfs/dbraw/zinc/01/14/42/1124011442.db2.gz UAHBVRLHTWCCMR-UHFFFAOYSA-N 1 2 269.270 3.715 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C2CC2)cc1F ZINC000865835484 1124019871 /nfs/dbraw/zinc/01/98/71/1124019871.db2.gz RYVHHRHDLPKBFD-UHFFFAOYSA-N 1 2 259.328 3.532 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2cncc(Cl)c2)C1 ZINC000621291623 1129161044 /nfs/dbraw/zinc/16/10/44/1129161044.db2.gz YTFCYGDCAHYCNJ-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2cncc(Cl)c2)C1 ZINC000621291623 1129161047 /nfs/dbraw/zinc/16/10/47/1129161047.db2.gz YTFCYGDCAHYCNJ-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO Cc1cc(NCc2cccc3c2CCOC3)nc(C(C)C)[nH+]1 ZINC000866092451 1124032552 /nfs/dbraw/zinc/03/25/52/1124032552.db2.gz YEKXUYJZTMKWTO-UHFFFAOYSA-N 1 2 297.402 3.593 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@H]1F)c1cccc(F)c1 ZINC000866213348 1124037830 /nfs/dbraw/zinc/03/78/30/1124037830.db2.gz PLAQRLXYJQDYEJ-UXEPBGEESA-N 1 2 289.325 3.948 20 0 CHADLO CCCNc1cc(N[C@@H](C)c2cc3cnccc3o2)nc[nH+]1 ZINC000866265322 1124043972 /nfs/dbraw/zinc/04/39/72/1124043972.db2.gz JVZOHNBHGYENBJ-NSHDSACASA-N 1 2 297.362 3.613 20 0 CHADLO CCCNc1cc(N[C@@H](C)c2cc3cnccc3o2)[nH+]cn1 ZINC000866265322 1124043978 /nfs/dbraw/zinc/04/39/78/1124043978.db2.gz JVZOHNBHGYENBJ-NSHDSACASA-N 1 2 297.362 3.613 20 0 CHADLO C[N@@H+](Cc1nc(C2CCCCC2)cs1)C[C@@H]1CCCO1 ZINC000444583293 1124045080 /nfs/dbraw/zinc/04/50/80/1124045080.db2.gz NERDPHAZBLXUDW-AWEZNQCLSA-N 1 2 294.464 3.802 20 0 CHADLO C[N@H+](Cc1nc(C2CCCCC2)cs1)C[C@@H]1CCCO1 ZINC000444583293 1124045083 /nfs/dbraw/zinc/04/50/83/1124045083.db2.gz NERDPHAZBLXUDW-AWEZNQCLSA-N 1 2 294.464 3.802 20 0 CHADLO COCC[N@H+](Cc1nc(C2CCCCC2)cs1)C1CC1 ZINC000444599852 1124046555 /nfs/dbraw/zinc/04/65/55/1124046555.db2.gz UNCWXNJIBZDJHP-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO COCC[N@@H+](Cc1nc(C2CCCCC2)cs1)C1CC1 ZINC000444599852 1124046562 /nfs/dbraw/zinc/04/65/62/1124046562.db2.gz UNCWXNJIBZDJHP-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@H](CCc1cccnc1)[NH2+]c1ccc(N(C)C)cc1 ZINC000866330245 1124052776 /nfs/dbraw/zinc/05/27/76/1124052776.db2.gz MGXWHMBVUPEBNC-CQSZACIVSA-N 1 2 269.392 3.581 20 0 CHADLO O=C(Oc1ccc(-n2cc[nH+]c2)cc1)OC1CCCCC1 ZINC000866398639 1124062039 /nfs/dbraw/zinc/06/20/39/1124062039.db2.gz KHSJNYYPIYQTRN-UHFFFAOYSA-N 1 2 286.331 3.720 20 0 CHADLO CC[C@H]([NH2+]Cc1nn(C)cc1C(F)F)c1ccccc1F ZINC000866566351 1124083293 /nfs/dbraw/zinc/08/32/93/1124083293.db2.gz ZJPGRRDEOBSRAD-ZDUSSCGKSA-N 1 2 297.324 3.738 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2ccc(C(C)(C)C)o2)c2nccn21 ZINC000866573308 1124083953 /nfs/dbraw/zinc/08/39/53/1124083953.db2.gz HPYQGBDHKCFTGG-AAEUAGOBSA-N 1 2 273.380 3.569 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(C)(C)C)o1)c1cc2n(n1)CCC2 ZINC000866574427 1124085027 /nfs/dbraw/zinc/08/50/27/1124085027.db2.gz TYGVTNWFAIVGID-LBPRGKRZSA-N 1 2 287.407 3.571 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(C(F)(F)F)s1 ZINC000718001250 1129165519 /nfs/dbraw/zinc/16/55/19/1129165519.db2.gz YLKIGRKTEZVNAF-ZETCQYMHSA-N 1 2 276.283 3.606 20 0 CHADLO CC1(C)CCCC[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000446086152 1124108846 /nfs/dbraw/zinc/10/88/46/1124108846.db2.gz YFAWFRWWTYAZJR-UHFFFAOYSA-N 1 2 299.418 3.673 20 0 CHADLO CC1(C)CCCC[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000446086152 1124108847 /nfs/dbraw/zinc/10/88/47/1124108847.db2.gz YFAWFRWWTYAZJR-UHFFFAOYSA-N 1 2 299.418 3.673 20 0 CHADLO COc1ccc(F)c(C[NH2+]Cc2c(F)cc(C)cc2F)c1 ZINC000621376135 1129168686 /nfs/dbraw/zinc/16/86/86/1129168686.db2.gz RUAYNRMCODQXGG-UHFFFAOYSA-N 1 2 295.304 3.711 20 0 CHADLO CCCCOc1ccc(C[NH2+]Cc2ccon2)cc1Cl ZINC000634978194 1129168718 /nfs/dbraw/zinc/16/87/18/1129168718.db2.gz VWFPZBZXUURJIO-UHFFFAOYSA-N 1 2 294.782 3.797 20 0 CHADLO Cc1ccc(F)c(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)c1 ZINC000635148109 1129173527 /nfs/dbraw/zinc/17/35/27/1129173527.db2.gz OTRNSVLDCUJWSA-HNNXBMFYSA-N 1 2 289.354 3.557 20 0 CHADLO CCOc1ccc(C[N@@H+]2Cc3ccccc3[C@@H]2C)nc1 ZINC000621398620 1129173075 /nfs/dbraw/zinc/17/30/75/1129173075.db2.gz FSXBMXQYAOATAA-ZDUSSCGKSA-N 1 2 268.360 3.557 20 0 CHADLO CCOc1ccc(C[N@H+]2Cc3ccccc3[C@@H]2C)nc1 ZINC000621398620 1129173076 /nfs/dbraw/zinc/17/30/76/1129173076.db2.gz FSXBMXQYAOATAA-ZDUSSCGKSA-N 1 2 268.360 3.557 20 0 CHADLO CCN(Cc1ccccc1)C(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000635181857 1129175320 /nfs/dbraw/zinc/17/53/20/1129175320.db2.gz RLVZPFXQKOGNFJ-QGZVFWFLSA-N 1 2 299.418 3.519 20 0 CHADLO CC[C@H](NC(=O)[C@H](CC(C)C)n1cc[nH+]c1)c1ccccc1 ZINC000635224743 1129175967 /nfs/dbraw/zinc/17/59/67/1129175967.db2.gz CXRQINOTVDKWGO-IRXDYDNUSA-N 1 2 299.418 3.738 20 0 CHADLO CC1(C)CC[N@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000087252587 1129178301 /nfs/dbraw/zinc/17/83/01/1129178301.db2.gz YJBMLGUPOOZMNA-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CC1(C)CC[N@@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000087252587 1129178303 /nfs/dbraw/zinc/17/83/03/1129178303.db2.gz YJBMLGUPOOZMNA-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CC(C)c1ocnc1C[NH2+][C@@H](C)c1cc2cnccc2o1 ZINC001119264061 1131249233 /nfs/dbraw/zinc/24/92/33/1131249233.db2.gz RZRCTGATASRFEE-NSHDSACASA-N 1 2 285.347 3.790 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(C2CC2)cc1 ZINC001238838790 1131254808 /nfs/dbraw/zinc/25/48/08/1131254808.db2.gz ZOBOFGLKRQEVFU-UHFFFAOYSA-N 1 2 264.328 3.887 20 0 CHADLO c1ccc(Oc2cc(-c3cc[nH+]c4c3CCN4)ccn2)cc1 ZINC001238859662 1131259884 /nfs/dbraw/zinc/25/98/84/1131259884.db2.gz LKZZURIUYCGJJZ-UHFFFAOYSA-N 1 2 289.338 3.904 20 0 CHADLO CC[C@H]1c2ccccc2CN1c1nc(N2CCCC2)cc[nH+]1 ZINC000897353692 1124468185 /nfs/dbraw/zinc/46/81/85/1124468185.db2.gz DIODTJBQDZLALD-INIZCTEOSA-N 1 2 294.402 3.548 20 0 CHADLO Cc1cn(C)nc1C[N@@H+]1CCC[C@H]1c1cccc(Cl)c1 ZINC001119745896 1131262572 /nfs/dbraw/zinc/26/25/72/1131262572.db2.gz JDIUTKIREPHFQU-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cn(C)nc1C[N@H+]1CCC[C@H]1c1cccc(Cl)c1 ZINC001119745896 1131262576 /nfs/dbraw/zinc/26/25/76/1131262576.db2.gz JDIUTKIREPHFQU-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nncs1)c1ccc(Cl)cc1F ZINC001119806013 1131263502 /nfs/dbraw/zinc/26/35/02/1131263502.db2.gz LTMJWNCKECJEDC-CYBMUJFWSA-N 1 2 299.802 3.818 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1cnc(C(F)(F)F)s1 ZINC000447795959 1124524809 /nfs/dbraw/zinc/52/48/09/1124524809.db2.gz RNLQVZOVCZHMIB-MRVPVSSYSA-N 1 2 264.316 3.536 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1cnc(C(F)(F)F)s1 ZINC000447795959 1124524815 /nfs/dbraw/zinc/52/48/15/1124524815.db2.gz RNLQVZOVCZHMIB-MRVPVSSYSA-N 1 2 264.316 3.536 20 0 CHADLO CCCn1ncnc1C[NH2+][C@@H](C)c1oc2ccccc2c1C ZINC000447884352 1124540711 /nfs/dbraw/zinc/54/07/11/1124540711.db2.gz XEZFTHDMXDBPCE-ZDUSSCGKSA-N 1 2 298.390 3.594 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(C(C)(C)C)s1)c1cncc(F)c1 ZINC000447893551 1124542432 /nfs/dbraw/zinc/54/24/32/1124542432.db2.gz NWCLCICTRGOKEH-JTQLQIEISA-N 1 2 293.411 3.826 20 0 CHADLO CCn1nc(C)c(NCc2cc(C)[nH+]c3c2CCCC3)c1C ZINC001119955200 1131267967 /nfs/dbraw/zinc/26/79/67/1131267967.db2.gz YUCHLPPMNGXKNS-UHFFFAOYSA-N 1 2 298.434 3.714 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCCC(C)C)cc2[nH+]1 ZINC000448625927 1124600019 /nfs/dbraw/zinc/60/00/19/1124600019.db2.gz UFDSNMORZDRBOS-UHFFFAOYSA-N 1 2 259.353 3.636 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@]3(C)CCC[C@H]3C)cc2[nH+]1 ZINC000448625365 1124600138 /nfs/dbraw/zinc/60/01/38/1124600138.db2.gz NESCMXBQANYJMM-QLJPJBMISA-N 1 2 271.364 3.636 20 0 CHADLO C[N@H+](Cc1nccn1C(F)F)Cc1cccc2ccoc21 ZINC000449012951 1124628177 /nfs/dbraw/zinc/62/81/77/1124628177.db2.gz IUQRCALXYQGWNM-UHFFFAOYSA-N 1 2 291.301 3.656 20 0 CHADLO C[N@@H+](Cc1nccn1C(F)F)Cc1cccc2ccoc21 ZINC000449012951 1124628179 /nfs/dbraw/zinc/62/81/79/1124628179.db2.gz IUQRCALXYQGWNM-UHFFFAOYSA-N 1 2 291.301 3.656 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449021303 1124631579 /nfs/dbraw/zinc/63/15/79/1124631579.db2.gz SPWPQKBXIZGQDV-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449021303 1124631581 /nfs/dbraw/zinc/63/15/81/1124631581.db2.gz SPWPQKBXIZGQDV-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H](C)c2cc(O)ccc2F)n1 ZINC000872031185 1124661782 /nfs/dbraw/zinc/66/17/82/1124661782.db2.gz HDMOSQRZAOUDAD-VHSXEESVSA-N 1 2 280.368 3.708 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@H](C)c1cc(O)ccc1F)CO2 ZINC000872048710 1124666006 /nfs/dbraw/zinc/66/60/06/1124666006.db2.gz MFRDAMOLUFSBGB-BZNIZROVSA-N 1 2 287.334 3.624 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CCC[C@@H]1c1csc(C)n1 ZINC000528457283 1124668437 /nfs/dbraw/zinc/66/84/37/1124668437.db2.gz PKQPEQSCDDXHGR-DJTUGOFGSA-N 1 2 256.802 3.731 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CCC[C@@H]1c1csc(C)n1 ZINC000528457283 1124668436 /nfs/dbraw/zinc/66/84/36/1124668436.db2.gz PKQPEQSCDDXHGR-DJTUGOFGSA-N 1 2 256.802 3.731 20 0 CHADLO c1cn(-c2cccc(N[C@H]3CCOC4(CCCC4)C3)c2)c[nH+]1 ZINC000872058828 1124668980 /nfs/dbraw/zinc/66/89/80/1124668980.db2.gz CHCYADIFLNFPHJ-INIZCTEOSA-N 1 2 297.402 3.776 20 0 CHADLO CC(C)(C)[C@@H]1C[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)CCO1 ZINC000872059408 1124670230 /nfs/dbraw/zinc/67/02/30/1124670230.db2.gz HKZZXLROJMRZGR-RDJZCZTQSA-N 1 2 299.418 3.878 20 0 CHADLO COCC[C@@H]([NH2+][C@H](C)c1cc(O)ccc1F)c1ccco1 ZINC000872059501 1124670271 /nfs/dbraw/zinc/67/02/71/1124670271.db2.gz GGTCAEFMQCHULZ-IAQYHMDHSA-N 1 2 293.338 3.553 20 0 CHADLO Cc1ccc2sc(C[N@@H+](C)Cc3cnccc3C)nc2c1 ZINC000528484700 1124678797 /nfs/dbraw/zinc/67/87/97/1124678797.db2.gz JNBSSXJKAZJFJY-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1ccc2sc(C[N@H+](C)Cc3cnccc3C)nc2c1 ZINC000528484700 1124678799 /nfs/dbraw/zinc/67/87/99/1124678799.db2.gz JNBSSXJKAZJFJY-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449242746 1124679455 /nfs/dbraw/zinc/67/94/55/1124679455.db2.gz YYIIMCPPLAVPEL-AWEZNQCLSA-N 1 2 284.407 3.586 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449242746 1124679458 /nfs/dbraw/zinc/67/94/58/1124679458.db2.gz YYIIMCPPLAVPEL-AWEZNQCLSA-N 1 2 284.407 3.586 20 0 CHADLO C/C(Cl)=C/C[NH2+]C(C)(C)c1cc(C(F)(F)F)on1 ZINC000528512511 1124680841 /nfs/dbraw/zinc/68/08/41/1124680841.db2.gz LMHKEEYHGGPJIS-DAXSKMNVSA-N 1 2 282.693 3.661 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(C(F)(F)F)cc1)C(F)F ZINC000449363336 1124712190 /nfs/dbraw/zinc/71/21/90/1124712190.db2.gz PKRIENLNVSALNB-JTQLQIEISA-N 1 2 267.241 3.839 20 0 CHADLO CCC(=O)c1c(Cl)cccc1N[C@@H](C)Cn1cc[nH+]c1 ZINC000872408715 1124712585 /nfs/dbraw/zinc/71/25/85/1124712585.db2.gz HHZZMXJTVOTNER-NSHDSACASA-N 1 2 291.782 3.630 20 0 CHADLO Cc1cccc(C[N@@H+]2CC(C)(C)[C@H]2c2nccn2C)c1C ZINC000449413908 1124722419 /nfs/dbraw/zinc/72/24/19/1124722419.db2.gz TVBUGIAOTVNSEG-MRXNPFEDSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1cccc(C[N@H+]2CC(C)(C)[C@H]2c2nccn2C)c1C ZINC000449413908 1124722427 /nfs/dbraw/zinc/72/24/27/1124722427.db2.gz TVBUGIAOTVNSEG-MRXNPFEDSA-N 1 2 283.419 3.620 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(-c2ccccn2)c1)C(C)(F)F ZINC000449416857 1124723767 /nfs/dbraw/zinc/72/37/67/1124723767.db2.gz VTECGUVYYGDVKS-LBPRGKRZSA-N 1 2 276.330 3.882 20 0 CHADLO Fc1ccc(Br)cc1C[N@@H+]1CC[C@@H]1C1CC1 ZINC000449425512 1124732500 /nfs/dbraw/zinc/73/25/00/1124732500.db2.gz NBQIVFSZNCKKSE-CYBMUJFWSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1ccc(Br)cc1C[N@H+]1CC[C@@H]1C1CC1 ZINC000449425512 1124732505 /nfs/dbraw/zinc/73/25/05/1124732505.db2.gz NBQIVFSZNCKKSE-CYBMUJFWSA-N 1 2 284.172 3.573 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@H+](Cc1ccncc1)C2 ZINC000528722448 1124772283 /nfs/dbraw/zinc/77/22/83/1124772283.db2.gz ZDSGYFQPOMLINQ-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@@H+](Cc1ccncc1)C2 ZINC000528722448 1124772292 /nfs/dbraw/zinc/77/22/92/1124772292.db2.gz ZDSGYFQPOMLINQ-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO Cc1cc2ccccc2nc1N1CCC(c2c[nH]c[nH+]2)CC1 ZINC001120906398 1131285951 /nfs/dbraw/zinc/28/59/51/1131285951.db2.gz KSLCJUXLQIEZDF-UHFFFAOYSA-N 1 2 292.386 3.650 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(C(=O)N(C)C)c(Cl)c1 ZINC001239008574 1131288948 /nfs/dbraw/zinc/28/89/48/1131288948.db2.gz LGPLPDMWOBKIQR-UHFFFAOYSA-N 1 2 288.778 3.721 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000449575656 1124808752 /nfs/dbraw/zinc/80/87/52/1124808752.db2.gz YNCVKIUODAMZSJ-NHYWBVRUSA-N 1 2 289.810 3.895 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000449575655 1124810034 /nfs/dbraw/zinc/81/00/34/1124810034.db2.gz YNCVKIUODAMZSJ-IAQYHMDHSA-N 1 2 289.810 3.895 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccsc2Cl)o1 ZINC000449613119 1124817327 /nfs/dbraw/zinc/81/73/27/1124817327.db2.gz QWEDAUYWNHDGLZ-UHFFFAOYSA-N 1 2 270.785 3.632 20 0 CHADLO CCCC[C@@H]([NH2+][C@H](c1ccccc1)C1CCC1)C(=O)OC ZINC001168112409 1124850140 /nfs/dbraw/zinc/85/01/40/1124850140.db2.gz JASFBOJJGSBBMR-IAGOWNOFSA-N 1 2 289.419 3.849 20 0 CHADLO C=Cn1cc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2F)cn1 ZINC000449731790 1124850868 /nfs/dbraw/zinc/85/08/68/1124850868.db2.gz JMKOTSBBIPVZDL-INIZCTEOSA-N 1 2 289.329 3.599 20 0 CHADLO C=Cn1cc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2F)cn1 ZINC000449731790 1124850876 /nfs/dbraw/zinc/85/08/76/1124850876.db2.gz JMKOTSBBIPVZDL-INIZCTEOSA-N 1 2 289.329 3.599 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1ccc3nccnc3c1)C2 ZINC001137089953 1124891298 /nfs/dbraw/zinc/89/12/98/1124891298.db2.gz HWKNESZLSUPSLD-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1ccc3nccnc3c1)C2 ZINC001137089953 1124891306 /nfs/dbraw/zinc/89/13/06/1124891306.db2.gz HWKNESZLSUPSLD-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC[C@@H]2CC=CCC2)c(C)[nH+]1 ZINC000529106550 1124892412 /nfs/dbraw/zinc/89/24/12/1124892412.db2.gz XRSRBXJBNAMMBP-OAHLLOKOSA-N 1 2 287.407 3.875 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cc3c(cc[nH]c3=O)o2)C2CC2)o1 ZINC000876570272 1124961511 /nfs/dbraw/zinc/96/15/11/1124961511.db2.gz YRIQCNJZBDUNHV-UHFFFAOYSA-N 1 2 298.342 3.599 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cc3c(cc[nH]c3=O)o2)C2CC2)o1 ZINC000876570272 1124961514 /nfs/dbraw/zinc/96/15/14/1124961514.db2.gz YRIQCNJZBDUNHV-UHFFFAOYSA-N 1 2 298.342 3.599 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(C)cc2F)no1 ZINC000876591215 1124963540 /nfs/dbraw/zinc/96/35/40/1124963540.db2.gz FXCRHQJQZRKBRP-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(C)cc2F)no1 ZINC000876591215 1124963544 /nfs/dbraw/zinc/96/35/44/1124963544.db2.gz FXCRHQJQZRKBRP-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO Fc1ccccc1[C@@H]1CC[N@H+](Cc2nc(Cl)cs2)C1 ZINC000876716966 1124973631 /nfs/dbraw/zinc/97/36/31/1124973631.db2.gz RSXJOAXGKAZRMW-SNVBAGLBSA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccccc1[C@@H]1CC[N@@H+](Cc2nc(Cl)cs2)C1 ZINC000876716966 1124973635 /nfs/dbraw/zinc/97/36/35/1124973635.db2.gz RSXJOAXGKAZRMW-SNVBAGLBSA-N 1 2 296.798 3.925 20 0 CHADLO COc1ccc[nH+]c1NCc1ccsc1C(F)(F)F ZINC000529539887 1124986463 /nfs/dbraw/zinc/98/64/63/1124986463.db2.gz BCQCLLQMFKZFGN-UHFFFAOYSA-N 1 2 288.294 3.783 20 0 CHADLO CCC[N@H+](CC(F)F)C[C@H]1CCCCC1(F)F ZINC000450338210 1124986936 /nfs/dbraw/zinc/98/69/36/1124986936.db2.gz JNUINYYDZJVOPL-SNVBAGLBSA-N 1 2 255.299 3.789 20 0 CHADLO CCC[N@@H+](CC(F)F)C[C@H]1CCCCC1(F)F ZINC000450338210 1124986941 /nfs/dbraw/zinc/98/69/41/1124986941.db2.gz JNUINYYDZJVOPL-SNVBAGLBSA-N 1 2 255.299 3.789 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)C1CC(F)(F)C1 ZINC000529547591 1124990416 /nfs/dbraw/zinc/99/04/16/1124990416.db2.gz XSGCSAVNGFVXSQ-NSHDSACASA-N 1 2 281.350 3.528 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(CC(C)C)no1)c1ccc(C)cc1 ZINC000120889060 1124998897 /nfs/dbraw/zinc/99/88/97/1124998897.db2.gz XJJUMCDSYNWQBO-OAHLLOKOSA-N 1 2 287.407 3.817 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(CC(C)C)no1)c1ccc(C)cc1 ZINC000120888903 1124999426 /nfs/dbraw/zinc/99/94/26/1124999426.db2.gz XJJUMCDSYNWQBO-HNNXBMFYSA-N 1 2 287.407 3.817 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000877344273 1125024223 /nfs/dbraw/zinc/02/42/23/1125024223.db2.gz GPJOVHDOFNQXHM-MRXNPFEDSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000877344273 1125024231 /nfs/dbraw/zinc/02/42/31/1125024231.db2.gz GPJOVHDOFNQXHM-MRXNPFEDSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000877344272 1125024354 /nfs/dbraw/zinc/02/43/54/1125024354.db2.gz GPJOVHDOFNQXHM-INIZCTEOSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000877344272 1125024362 /nfs/dbraw/zinc/02/43/62/1125024362.db2.gz GPJOVHDOFNQXHM-INIZCTEOSA-N 1 2 299.418 3.924 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cc3c(ccnc3Cl)o2)C1 ZINC000877557021 1125044248 /nfs/dbraw/zinc/04/42/48/1125044248.db2.gz DNSNHCOTLDPAQT-AWEZNQCLSA-N 1 2 282.746 3.805 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cc3c(ccnc3Cl)o2)C1 ZINC000877557021 1125044256 /nfs/dbraw/zinc/04/42/56/1125044256.db2.gz DNSNHCOTLDPAQT-AWEZNQCLSA-N 1 2 282.746 3.805 20 0 CHADLO CC1CC[NH+](Cc2c(F)ccc(Cl)c2F)CC1 ZINC001137876253 1125051360 /nfs/dbraw/zinc/05/13/60/1125051360.db2.gz GADUYTIXAVHIQN-UHFFFAOYSA-N 1 2 259.727 3.850 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1CCCC12CC2 ZINC001137876308 1125051723 /nfs/dbraw/zinc/05/17/23/1125051723.db2.gz IGCWCARDTWCMAM-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1CCCC12CC2 ZINC001137876308 1125051727 /nfs/dbraw/zinc/05/17/27/1125051727.db2.gz IGCWCARDTWCMAM-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CCc3ccc(F)cc3C2)c1 ZINC000450592450 1125065766 /nfs/dbraw/zinc/06/57/66/1125065766.db2.gz ZUSNTQIRQUSAPN-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CCc3ccc(F)cc3C2)c1 ZINC000450592450 1125065776 /nfs/dbraw/zinc/06/57/76/1125065776.db2.gz ZUSNTQIRQUSAPN-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO Cc1ncc(C[NH2+]Cc2cc(Cl)cc(Cl)c2F)o1 ZINC000877735563 1125069241 /nfs/dbraw/zinc/06/92/41/1125069241.db2.gz XPPVUHTYRCAJRZ-UHFFFAOYSA-N 1 2 289.137 3.719 20 0 CHADLO Cc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)c(C)n1 ZINC001239466383 1131329663 /nfs/dbraw/zinc/32/96/63/1131329663.db2.gz JWOSYKURNYYLDQ-UHFFFAOYSA-N 1 2 263.344 3.766 20 0 CHADLO C[C@H](CCCO)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000450632578 1125075406 /nfs/dbraw/zinc/07/54/06/1125075406.db2.gz PZRFQLPNFIWWCM-LLVKDONJSA-N 1 2 278.783 3.709 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CCc3cc(F)ccc3C2)c1 ZINC000450645117 1125075922 /nfs/dbraw/zinc/07/59/22/1125075922.db2.gz GMSATWCAPSAJBM-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CCc3cc(F)ccc3C2)c1 ZINC000450645117 1125075931 /nfs/dbraw/zinc/07/59/31/1125075931.db2.gz GMSATWCAPSAJBM-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO C[N@H+](Cc1coc(C2CC2)n1)Cc1ccc2cc[nH]c2c1 ZINC000877770390 1125077422 /nfs/dbraw/zinc/07/74/22/1125077422.db2.gz UXPFPHBHFYSOON-UHFFFAOYSA-N 1 2 281.359 3.665 20 0 CHADLO C[N@@H+](Cc1coc(C2CC2)n1)Cc1ccc2cc[nH]c2c1 ZINC000877770390 1125077431 /nfs/dbraw/zinc/07/74/31/1125077431.db2.gz UXPFPHBHFYSOON-UHFFFAOYSA-N 1 2 281.359 3.665 20 0 CHADLO CC(=O)c1ccc(C[N@@H+]2CCc3cc(F)ccc3C2)cc1 ZINC000450655312 1125081046 /nfs/dbraw/zinc/08/10/46/1125081046.db2.gz TZHSBAPJGRSXEJ-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1ccc(C[N@H+]2CCc3cc(F)ccc3C2)cc1 ZINC000450655312 1125081056 /nfs/dbraw/zinc/08/10/56/1125081056.db2.gz TZHSBAPJGRSXEJ-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nnc(C3CC3)s2)ccc1F ZINC000450683384 1125085509 /nfs/dbraw/zinc/08/55/09/1125085509.db2.gz PVEIHKQWJVIGTB-SNVBAGLBSA-N 1 2 291.395 3.714 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@H+](Cc2sccc2Cl)CCO1 ZINC000877820481 1125088316 /nfs/dbraw/zinc/08/83/16/1125088316.db2.gz QIYMVPFIPURNAK-JTQLQIEISA-N 1 2 299.745 3.555 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@@H+](Cc2sccc2Cl)CCO1 ZINC000877820481 1125088323 /nfs/dbraw/zinc/08/83/23/1125088323.db2.gz QIYMVPFIPURNAK-JTQLQIEISA-N 1 2 299.745 3.555 20 0 CHADLO Cc1conc1C[N@@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000877918320 1125101134 /nfs/dbraw/zinc/10/11/34/1125101134.db2.gz PHSAJWDWRLYBEE-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1conc1C[N@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000877918320 1125101138 /nfs/dbraw/zinc/10/11/38/1125101138.db2.gz PHSAJWDWRLYBEE-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877917967 1125101560 /nfs/dbraw/zinc/10/15/60/1125101560.db2.gz LAJNYKUVEMJVBV-ZDUSSCGKSA-N 1 2 270.376 3.746 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877917967 1125101563 /nfs/dbraw/zinc/10/15/63/1125101563.db2.gz LAJNYKUVEMJVBV-ZDUSSCGKSA-N 1 2 270.376 3.746 20 0 CHADLO COc1ccc2cc(C[N@H+](C)Cc3nocc3C)ccc2c1 ZINC000877922120 1125102552 /nfs/dbraw/zinc/10/25/52/1125102552.db2.gz TYCZHEAIVFESAT-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1ccc2cc(C[N@@H+](C)Cc3nocc3C)ccc2c1 ZINC000877922120 1125102554 /nfs/dbraw/zinc/10/25/54/1125102554.db2.gz TYCZHEAIVFESAT-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nnc(C2CC2)s1 ZINC000450756589 1125102619 /nfs/dbraw/zinc/10/26/19/1125102619.db2.gz KJQNBEKDVNOKSJ-OAHLLOKOSA-N 1 2 299.443 3.752 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@H+]1Cc1nnc(C2CC2)s1 ZINC000450756589 1125102621 /nfs/dbraw/zinc/10/26/21/1125102621.db2.gz KJQNBEKDVNOKSJ-OAHLLOKOSA-N 1 2 299.443 3.752 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2CC[C@H]2CCCC2(F)F)no1 ZINC000877979374 1125110618 /nfs/dbraw/zinc/11/06/18/1125110618.db2.gz KHXGSDLULCTSCP-CHWSQXEVSA-N 1 2 299.365 3.731 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2CC[C@H]2CCCC2(F)F)no1 ZINC000877979374 1125110623 /nfs/dbraw/zinc/11/06/23/1125110623.db2.gz KHXGSDLULCTSCP-CHWSQXEVSA-N 1 2 299.365 3.731 20 0 CHADLO c1ccc([C@@H]2CC[N@H+]2Cc2nc3c(o2)CCCC3)cc1 ZINC000878165978 1125127478 /nfs/dbraw/zinc/12/74/78/1125127478.db2.gz IYUHDOPVYVRITD-HNNXBMFYSA-N 1 2 268.360 3.500 20 0 CHADLO c1ccc([C@@H]2CC[N@@H+]2Cc2nc3c(o2)CCCC3)cc1 ZINC000878165978 1125127479 /nfs/dbraw/zinc/12/74/79/1125127479.db2.gz IYUHDOPVYVRITD-HNNXBMFYSA-N 1 2 268.360 3.500 20 0 CHADLO C[C@@H]1C[N@H+](Cc2scnc2Cl)[C@H]1c1ccccc1 ZINC000878165757 1125127545 /nfs/dbraw/zinc/12/75/45/1125127545.db2.gz DOFAUNMEFATJTL-ZWNOBZJWSA-N 1 2 278.808 3.990 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2scnc2Cl)[C@H]1c1ccccc1 ZINC000878165757 1125127550 /nfs/dbraw/zinc/12/75/50/1125127550.db2.gz DOFAUNMEFATJTL-ZWNOBZJWSA-N 1 2 278.808 3.990 20 0 CHADLO CC1(C)CC(Cn2nc(C(F)(F)F)c3c[nH+]ccc32)C1 ZINC000530163114 1125128399 /nfs/dbraw/zinc/12/83/99/1125128399.db2.gz WYGYESBYYOCGGK-UHFFFAOYSA-N 1 2 283.297 3.886 20 0 CHADLO Cc1conc1C[N@H+]1CC=C(c2ccc(Cl)cc2)CC1 ZINC000878265135 1125137415 /nfs/dbraw/zinc/13/74/15/1125137415.db2.gz NJICFAHZWLBEKW-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1conc1C[N@@H+]1CC=C(c2ccc(Cl)cc2)CC1 ZINC000878265135 1125137418 /nfs/dbraw/zinc/13/74/18/1125137418.db2.gz NJICFAHZWLBEKW-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Clc1ncsc1C[N@@H+]1CCC[C@@H]1c1cccnc1 ZINC000878308551 1125139017 /nfs/dbraw/zinc/13/90/17/1125139017.db2.gz FTPYQMVVHBETAG-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ncsc1C[N@H+]1CCC[C@@H]1c1cccnc1 ZINC000878308551 1125139023 /nfs/dbraw/zinc/13/90/23/1125139023.db2.gz FTPYQMVVHBETAG-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCC(F)(F)CC2)c1 ZINC000826035703 1125142250 /nfs/dbraw/zinc/14/22/50/1125142250.db2.gz DUIZUGPOQSZVRU-UHFFFAOYSA-N 1 2 266.266 3.609 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1scnc1Cl ZINC000878393043 1125147384 /nfs/dbraw/zinc/14/73/84/1125147384.db2.gz UQHXIUWHMIFMCE-YUMQZZPRSA-N 1 2 298.761 3.959 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1scnc1Cl ZINC000878393043 1125147385 /nfs/dbraw/zinc/14/73/85/1125147385.db2.gz UQHXIUWHMIFMCE-YUMQZZPRSA-N 1 2 298.761 3.959 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(C3CCC3)no2)s1 ZINC000878416082 1125151571 /nfs/dbraw/zinc/15/15/71/1125151571.db2.gz BDUOUDIKOGRERQ-JTQLQIEISA-N 1 2 277.393 3.558 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@H+](Cc2scnc2Cl)C1 ZINC000878429267 1125153528 /nfs/dbraw/zinc/15/35/28/1125153528.db2.gz YZTVIPFQRPMTTP-JQWIXIFHSA-N 1 2 293.823 3.775 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2scnc2Cl)C1 ZINC000878429267 1125153534 /nfs/dbraw/zinc/15/35/34/1125153534.db2.gz YZTVIPFQRPMTTP-JQWIXIFHSA-N 1 2 293.823 3.775 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)c1ccccc1Cl ZINC000023889465 1125158812 /nfs/dbraw/zinc/15/88/12/1125158812.db2.gz QMZWBAFEDCQKMR-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1scnc1Cl ZINC000878535417 1125159982 /nfs/dbraw/zinc/15/99/82/1125159982.db2.gz YVVFKCSICFVJBQ-RNFRBKRXSA-N 1 2 284.734 3.569 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1scnc1Cl ZINC000878535417 1125159987 /nfs/dbraw/zinc/15/99/87/1125159987.db2.gz YVVFKCSICFVJBQ-RNFRBKRXSA-N 1 2 284.734 3.569 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001137134225 1125162536 /nfs/dbraw/zinc/16/25/36/1125162536.db2.gz LFLSNKPBBNJKPY-HOTGVXAUSA-N 1 2 283.362 3.746 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001137134225 1125162538 /nfs/dbraw/zinc/16/25/38/1125162538.db2.gz LFLSNKPBBNJKPY-HOTGVXAUSA-N 1 2 283.362 3.746 20 0 CHADLO c1cc2c(o1)CCC[C@@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000122126227 1125176703 /nfs/dbraw/zinc/17/67/03/1125176703.db2.gz GZKGYHFREJVEMF-HNNXBMFYSA-N 1 2 283.375 3.764 20 0 CHADLO CCC1(CC)CCCN1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000878773142 1125178700 /nfs/dbraw/zinc/17/87/00/1125178700.db2.gz CJKLFTLWHJECQH-UHFFFAOYSA-N 1 2 286.379 3.521 20 0 CHADLO Cc1nnc(C[N@@H+](C)Cc2c(C)ccc3cc(C)ccc32)o1 ZINC000878921785 1125185779 /nfs/dbraw/zinc/18/57/79/1125185779.db2.gz WXJCNMWDGSQGOI-UHFFFAOYSA-N 1 2 295.386 3.780 20 0 CHADLO Cc1nnc(C[N@H+](C)Cc2c(C)ccc3cc(C)ccc32)o1 ZINC000878921785 1125185782 /nfs/dbraw/zinc/18/57/82/1125185782.db2.gz WXJCNMWDGSQGOI-UHFFFAOYSA-N 1 2 295.386 3.780 20 0 CHADLO C=C/C=C/CC[N@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000878921057 1125186484 /nfs/dbraw/zinc/18/64/84/1125186484.db2.gz LIDGRKWRIBNIIY-PCAWENJQSA-N 1 2 297.324 3.765 20 0 CHADLO C=C/C=C/CC[N@@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000878921057 1125186485 /nfs/dbraw/zinc/18/64/85/1125186485.db2.gz LIDGRKWRIBNIIY-PCAWENJQSA-N 1 2 297.324 3.765 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccccc1OC(C)C)C2 ZINC001137139294 1125187477 /nfs/dbraw/zinc/18/74/77/1125187477.db2.gz NTRVHLFBKHGMMY-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccccc1OC(C)C)C2 ZINC001137139294 1125187479 /nfs/dbraw/zinc/18/74/79/1125187479.db2.gz NTRVHLFBKHGMMY-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO Fc1ccc(COc2ccccc2-n2cc[nH+]c2)cc1F ZINC000531350387 1125211828 /nfs/dbraw/zinc/21/18/28/1125211828.db2.gz VJMJIHNXLKEYPP-UHFFFAOYSA-N 1 2 286.281 3.730 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC000879384308 1125211913 /nfs/dbraw/zinc/21/19/13/1125211913.db2.gz ZZPITUQCEGRHSF-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC000879384308 1125211919 /nfs/dbraw/zinc/21/19/19/1125211919.db2.gz ZZPITUQCEGRHSF-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO OCc1ccc(C[NH+](Cc2ccco2)Cc2ccco2)cc1 ZINC000305795692 1125224477 /nfs/dbraw/zinc/22/44/77/1125224477.db2.gz CFDFYLDTVCLDGI-UHFFFAOYSA-N 1 2 297.354 3.567 20 0 CHADLO CCCNc1ccc2c(c1)[nH+]cn2-c1ccccc1OC ZINC001168141261 1125226946 /nfs/dbraw/zinc/22/69/46/1125226946.db2.gz QHSGUQMDXDZXAT-UHFFFAOYSA-N 1 2 281.359 3.856 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@]3(CC3(F)F)C2)c(F)c1F ZINC000826142807 1125249548 /nfs/dbraw/zinc/24/95/48/1125249548.db2.gz LOUDSRWZMRRJQL-ZDUSSCGKSA-N 1 2 291.263 3.725 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@]3(CC3(F)F)C2)c(F)c1F ZINC000826142807 1125249553 /nfs/dbraw/zinc/24/95/53/1125249553.db2.gz LOUDSRWZMRRJQL-ZDUSSCGKSA-N 1 2 291.263 3.725 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[NH+]1CCC(F)CC1 ZINC000880241579 1125259597 /nfs/dbraw/zinc/25/95/97/1125259597.db2.gz XQMULVUPZNHGOK-JTQLQIEISA-N 1 2 297.324 3.926 20 0 CHADLO CC[C@H]1CCC[C@@]1(C)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000880321562 1125265480 /nfs/dbraw/zinc/26/54/80/1125265480.db2.gz XDNHHIGRHIGUKO-DOTOQJQBSA-N 1 2 274.408 3.531 20 0 CHADLO FC(F)c1cccc(C[NH2+]C2(c3ncccn3)CCC2)c1 ZINC000880366587 1125268399 /nfs/dbraw/zinc/26/83/99/1125268399.db2.gz PQEPMJRUAYAQHV-UHFFFAOYSA-N 1 2 289.329 3.583 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1cncc(Cl)c1 ZINC000880375077 1125268705 /nfs/dbraw/zinc/26/87/05/1125268705.db2.gz AELJLEYRZNAWBX-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1cncc(Cl)c1 ZINC000880375077 1125268709 /nfs/dbraw/zinc/26/87/09/1125268709.db2.gz AELJLEYRZNAWBX-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO CC(C)C[C@H](CO)N(C)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000475723917 1125280312 /nfs/dbraw/zinc/28/03/12/1125280312.db2.gz GYBNYHFBRYVLEA-CQSZACIVSA-N 1 2 293.455 3.567 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)c(C)c1 ZINC000666137144 1125297538 /nfs/dbraw/zinc/29/75/38/1125297538.db2.gz BQCGSOMJTBCYFG-BXUZGUMPSA-N 1 2 269.335 3.842 20 0 CHADLO CO[C@@H](C[N@H+](C)[C@@H](CCc1ccccc1)C(F)F)C1CC1 ZINC000626136860 1125299866 /nfs/dbraw/zinc/29/98/66/1125299866.db2.gz LENPRICZWUCHQU-HOTGVXAUSA-N 1 2 297.389 3.610 20 0 CHADLO CO[C@@H](C[N@@H+](C)[C@@H](CCc1ccccc1)C(F)F)C1CC1 ZINC000626136860 1125299873 /nfs/dbraw/zinc/29/98/73/1125299873.db2.gz LENPRICZWUCHQU-HOTGVXAUSA-N 1 2 297.389 3.610 20 0 CHADLO CCCCCOC(=O)C[NH2+][C@H](C)Cc1ccc(Cl)cc1 ZINC001168165679 1125302457 /nfs/dbraw/zinc/30/24/57/1125302457.db2.gz FOYOLYFMUZTULR-CYBMUJFWSA-N 1 2 297.826 3.594 20 0 CHADLO CCCCCOC(=O)C[NH2+][C@@H](C)Cc1ccc(Cl)cc1 ZINC001168165680 1125302258 /nfs/dbraw/zinc/30/22/58/1125302258.db2.gz FOYOLYFMUZTULR-ZDUSSCGKSA-N 1 2 297.826 3.594 20 0 CHADLO Cc1cc(N[C@H]2CCOc3c(C)cccc32)nc(C2CC2)[nH+]1 ZINC000191782367 1125327885 /nfs/dbraw/zinc/32/78/85/1125327885.db2.gz XZPVDUABQVNWRU-HNNXBMFYSA-N 1 2 295.386 3.907 20 0 CHADLO CC[N@H+](Cc1ncccn1)[C@@H](C)c1cc2ccccc2o1 ZINC000191960351 1125329373 /nfs/dbraw/zinc/32/93/73/1125329373.db2.gz KELMURMZGJRURS-ZDUSSCGKSA-N 1 2 281.359 3.806 20 0 CHADLO CC[N@@H+](Cc1ncccn1)[C@@H](C)c1cc2ccccc2o1 ZINC000191960351 1125329377 /nfs/dbraw/zinc/32/93/77/1125329377.db2.gz KELMURMZGJRURS-ZDUSSCGKSA-N 1 2 281.359 3.806 20 0 CHADLO C[C@H](Nc1cc(CO)cc[nH+]1)c1ccccc1C(F)(F)F ZINC000882018789 1125331436 /nfs/dbraw/zinc/33/14/36/1125331436.db2.gz GNQPDQOMGSOMIB-JTQLQIEISA-N 1 2 296.292 3.766 20 0 CHADLO Cc1cc(N[C@@H](c2ccncc2)C2CC2)nc(C2CC2)[nH+]1 ZINC000897424277 1125335158 /nfs/dbraw/zinc/33/51/58/1125335158.db2.gz ZNSFLPZRSVXPIE-MRXNPFEDSA-N 1 2 280.375 3.621 20 0 CHADLO C[N@H+](Cc1ccnc(N)c1)Cc1cccc(Cl)c1Cl ZINC000193291507 1125337476 /nfs/dbraw/zinc/33/74/76/1125337476.db2.gz MQEBQGQSZOSINU-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO C[N@@H+](Cc1ccnc(N)c1)Cc1cccc(Cl)c1Cl ZINC000193291507 1125337482 /nfs/dbraw/zinc/33/74/82/1125337482.db2.gz MQEBQGQSZOSINU-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2c3ccccc3[nH]c21)c1csnn1 ZINC000398304750 1125338513 /nfs/dbraw/zinc/33/85/13/1125338513.db2.gz OPNZPTCGNVIWFJ-QMTHXVAHSA-N 1 2 298.415 3.748 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000882880901 1125359760 /nfs/dbraw/zinc/35/97/60/1125359760.db2.gz NWWOTWMUMKMZEU-ZDUSSCGKSA-N 1 2 287.407 3.701 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2cc3ccccc3o2)n1 ZINC000882893002 1125360602 /nfs/dbraw/zinc/36/06/02/1125360602.db2.gz KITMJWDMEDKYJH-UHFFFAOYSA-N 1 2 269.348 3.569 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2ccc([C@H]3C[C@H]3C)o2)n1 ZINC000882903491 1125361006 /nfs/dbraw/zinc/36/10/06/1125361006.db2.gz DFZFWANDGFCADE-YPMHNXCESA-N 1 2 273.380 3.539 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1CC)c1sccc1Cl ZINC000883226486 1125380692 /nfs/dbraw/zinc/38/06/92/1125380692.db2.gz ZCIRVUXJHBWTDX-LLVKDONJSA-N 1 2 283.828 3.859 20 0 CHADLO CC[C@H]([NH2+]Cc1cc[nH]n1)c1ccc(Cl)cc1Cl ZINC000041015007 1125388290 /nfs/dbraw/zinc/38/82/90/1125388290.db2.gz DAQBQTXIFFFLIS-ZDUSSCGKSA-N 1 2 284.190 3.957 20 0 CHADLO c1cnc(C2([NH2+]Cc3cccc(C4CC4)c3)CCC2)nc1 ZINC000883305827 1125392550 /nfs/dbraw/zinc/39/25/50/1125392550.db2.gz GYZGPXGOXCNTOT-UHFFFAOYSA-N 1 2 279.387 3.523 20 0 CHADLO CC1(C)Cc2occc2[C@@H]([NH2+]C2(c3ncccn3)CCC2)C1 ZINC000883313445 1125393780 /nfs/dbraw/zinc/39/37/80/1125393780.db2.gz DFPNYJMFMALSOE-AWEZNQCLSA-N 1 2 297.402 3.752 20 0 CHADLO Cc1cc(NCc2c(C3CC3)nc3sccn23)c[nH+]c1C ZINC000883341039 1125397447 /nfs/dbraw/zinc/39/74/47/1125397447.db2.gz GSNHZOLDWZZLFR-UHFFFAOYSA-N 1 2 298.415 3.897 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CC=C(c2ccc(F)cc2)CC1 ZINC000170957954 1125397757 /nfs/dbraw/zinc/39/77/57/1125397757.db2.gz QDNAUHWOQUEWKP-UHFFFAOYSA-N 1 2 299.393 3.892 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CC=C(c2ccc(F)cc2)CC1 ZINC000170957954 1125397761 /nfs/dbraw/zinc/39/77/61/1125397761.db2.gz QDNAUHWOQUEWKP-UHFFFAOYSA-N 1 2 299.393 3.892 20 0 CHADLO Cc1cn2c(CNc3c[nH+]c(C)c(C)c3)c(C)nc2s1 ZINC000883341992 1125398923 /nfs/dbraw/zinc/39/89/23/1125398923.db2.gz GZDJGSQZEWBFHZ-UHFFFAOYSA-N 1 2 286.404 3.637 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2cccnc2Cl)cc1 ZINC000171076607 1125400455 /nfs/dbraw/zinc/40/04/55/1125400455.db2.gz GYONDMKXJMRDRX-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2cccnc2Cl)cc1 ZINC000171076607 1125400456 /nfs/dbraw/zinc/40/04/56/1125400456.db2.gz GYONDMKXJMRDRX-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@H]1F)c1ccccn1 ZINC000883376084 1125404216 /nfs/dbraw/zinc/40/42/16/1125404216.db2.gz DYXUBGNILLFGLK-XGWLTEMNSA-N 1 2 270.351 3.758 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cnccc1C ZINC000883377419 1125404999 /nfs/dbraw/zinc/40/49/99/1125404999.db2.gz HMAVORAQYXNOHV-UHFFFAOYSA-N 1 2 273.405 3.650 20 0 CHADLO F[C@H]1CCc2ccccc2[C@H]1[NH2+]Cc1cc2cnccc2o1 ZINC000883381218 1125405990 /nfs/dbraw/zinc/40/59/90/1125405990.db2.gz IXMVZDPUOCDYQD-FUHWJXTLSA-N 1 2 296.345 3.943 20 0 CHADLO Cc1occc1C[NH2+][C@@H]1c2ccccc2CC[C@@H]1F ZINC000883380799 1125406234 /nfs/dbraw/zinc/40/62/34/1125406234.db2.gz ATPNDZHDVMOAAX-JKSUJKDBSA-N 1 2 259.324 3.703 20 0 CHADLO CC(C)n1cc(C[NH2+][C@H]2c3ccccc3CC[C@H]2F)cn1 ZINC000883382939 1125406563 /nfs/dbraw/zinc/40/65/63/1125406563.db2.gz QINOXGGKILEIDS-SJORKVTESA-N 1 2 287.382 3.579 20 0 CHADLO CCc1ncc(C[NH2+][C@H]2c3ccccc3CC[C@H]2F)s1 ZINC000883382497 1125407042 /nfs/dbraw/zinc/40/70/42/1125407042.db2.gz IARGQBMDQCNPRP-ZBFHGGJFSA-N 1 2 290.407 3.821 20 0 CHADLO CCSc1cccc(C[NH2+][C@H](C)c2cc(C)on2)c1 ZINC000282829414 1125411644 /nfs/dbraw/zinc/41/16/44/1125411644.db2.gz ZDSVECIFJMRARE-GFCCVEGCSA-N 1 2 276.405 3.946 20 0 CHADLO CC1(C)Nc2ccccc2[C@H]1[NH2+]Cc1ncc(C2CC2)o1 ZINC000883464304 1125411718 /nfs/dbraw/zinc/41/17/18/1125411718.db2.gz JSDMWCAKZTYPPQ-MRXNPFEDSA-N 1 2 283.375 3.587 20 0 CHADLO Cc1ccc2c(cccc2Nc2cccc3[nH+]ccn32)n1 ZINC001212794097 1125412351 /nfs/dbraw/zinc/41/23/51/1125412351.db2.gz JJJPNPRAKFREGW-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1ccc2c(Nc3ncc(F)s3)cccc2[nH+]1 ZINC001212794863 1125415483 /nfs/dbraw/zinc/41/54/83/1125415483.db2.gz AGVLVHKYYGVPQK-UHFFFAOYSA-N 1 2 259.309 3.882 20 0 CHADLO F[C@H]1C[C@H](CNc2ccc(C3(C(F)(F)F)CC3)c[nH+]2)C1 ZINC001168237853 1125423224 /nfs/dbraw/zinc/42/32/24/1125423224.db2.gz XHGINMURRVXOGT-HOMQSWHASA-N 1 2 288.288 3.836 20 0 CHADLO Cc1ccc2c(Nc3cnc(F)c(F)c3)cccc2[nH+]1 ZINC001212797064 1125430860 /nfs/dbraw/zinc/43/08/60/1125430860.db2.gz WAXMQEJFOOTOFO-UHFFFAOYSA-N 1 2 271.270 3.960 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1nc(C2CC2)cs1 ZINC000348249991 1125432837 /nfs/dbraw/zinc/43/28/37/1125432837.db2.gz XWWNXCSGBFQZNO-WPRPVWTQSA-N 1 2 290.354 3.793 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1nc(C2CC2)cs1 ZINC000348249991 1125432841 /nfs/dbraw/zinc/43/28/41/1125432841.db2.gz XWWNXCSGBFQZNO-WPRPVWTQSA-N 1 2 290.354 3.793 20 0 CHADLO Cc1cn2cc(NC(=O)c3ccc(C)c(C)c3)ccc2[nH+]1 ZINC000041305346 1125432826 /nfs/dbraw/zinc/43/28/26/1125432826.db2.gz POJGGTZIRYNBPL-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1nc(C2CC2)cs1 ZINC000348249990 1125433022 /nfs/dbraw/zinc/43/30/22/1125433022.db2.gz XWWNXCSGBFQZNO-WCBMZHEXSA-N 1 2 290.354 3.793 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1nc(C2CC2)cs1 ZINC000348249990 1125433023 /nfs/dbraw/zinc/43/30/23/1125433023.db2.gz XWWNXCSGBFQZNO-WCBMZHEXSA-N 1 2 290.354 3.793 20 0 CHADLO Cc1cc([NH2+][C@H]2CCC23CCC3)ccc1N1CCOCC1 ZINC000282858830 1125448803 /nfs/dbraw/zinc/44/88/03/1125448803.db2.gz UMTRYSKIAGCKLE-KRWDZBQOSA-N 1 2 286.419 3.576 20 0 CHADLO Cc1nccnc1C[N@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000621712553 1129181554 /nfs/dbraw/zinc/18/15/54/1129181554.db2.gz GQSLPYGPZSODFH-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1nccnc1C[N@@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000621712553 1129181558 /nfs/dbraw/zinc/18/15/58/1129181558.db2.gz GQSLPYGPZSODFH-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1nccnc1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000621711150 1129183644 /nfs/dbraw/zinc/18/36/44/1129183644.db2.gz GXWPXGQEHYNHMG-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1nccnc1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000621711150 1129183645 /nfs/dbraw/zinc/18/36/45/1129183645.db2.gz GXWPXGQEHYNHMG-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO CC(C)C[C@@H](C(=O)N1CCCC[C@H]1C(C)C)n1cc[nH+]c1 ZINC000635574773 1129185677 /nfs/dbraw/zinc/18/56/77/1129185677.db2.gz GWSCRUUHTWBOSZ-HOTGVXAUSA-N 1 2 291.439 3.507 20 0 CHADLO CC(C)C[C@H](C(=O)N(CC1CCC1)C(C)C)n1cc[nH+]c1 ZINC000635861204 1129192896 /nfs/dbraw/zinc/19/28/96/1129192896.db2.gz CNMUCLAWAYAGNU-MRXNPFEDSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1cc(CNC(=O)C/C=C\Cc2ccccc2)cc(C)[nH+]1 ZINC000636051137 1129196980 /nfs/dbraw/zinc/19/69/80/1129196980.db2.gz RUCCYGNDMFTLBB-SREVYHEPSA-N 1 2 294.398 3.504 20 0 CHADLO CCCCCCOC(=O)C[NH2+][C@H](C)CC(C)(C)SC ZINC001258334024 1129210843 /nfs/dbraw/zinc/21/08/43/1129210843.db2.gz RABZQMUDFDNCSX-CYBMUJFWSA-N 1 2 289.485 3.620 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccccc2C2(C)CC2)c[nH+]1 ZINC000822012156 1131359167 /nfs/dbraw/zinc/35/91/67/1131359167.db2.gz MGQJAVAXBGTGIO-UHFFFAOYSA-N 1 2 294.398 3.680 20 0 CHADLO CO[C@H]1CC=C(Nc2cc[nH+]c3ccc(Cl)cc23)CC1 ZINC001212873520 1129230799 /nfs/dbraw/zinc/23/07/99/1129230799.db2.gz NLFOWWUERHFKEL-ZDUSSCGKSA-N 1 2 288.778 3.805 20 0 CHADLO CO[C@H]1CC=C(Nc2ccc[nH+]c2N2CCCCC2)CC1 ZINC001212873277 1129231567 /nfs/dbraw/zinc/23/15/67/1129231567.db2.gz HFOJCQXKUQXKQA-HNNXBMFYSA-N 1 2 287.407 3.567 20 0 CHADLO C[C@@H](c1ccco1)[N@H+]([C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000637972734 1129238764 /nfs/dbraw/zinc/23/87/64/1129238764.db2.gz VDPQUSSIZKLDFP-BLLLJJGKSA-N 1 2 285.391 3.690 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+]([C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000637972734 1129238765 /nfs/dbraw/zinc/23/87/65/1129238765.db2.gz VDPQUSSIZKLDFP-BLLLJJGKSA-N 1 2 285.391 3.690 20 0 CHADLO Cc1ncc([C@H](C)[N@@H+]2CC[C@@H]2c2cccc(F)c2)c(C)n1 ZINC000638128192 1129245406 /nfs/dbraw/zinc/24/54/06/1129245406.db2.gz PYDJTTSEGWHCAM-YVEFUNNKSA-N 1 2 285.366 3.741 20 0 CHADLO Cc1ncc([C@H](C)[N@H+]2CC[C@@H]2c2cccc(F)c2)c(C)n1 ZINC000638128192 1129245409 /nfs/dbraw/zinc/24/54/09/1129245409.db2.gz PYDJTTSEGWHCAM-YVEFUNNKSA-N 1 2 285.366 3.741 20 0 CHADLO CC[C@H](C)[C@H](C)[NH2+]c1ccc(N2CCOC[C@H]2C)cc1 ZINC000246112267 1129252792 /nfs/dbraw/zinc/25/27/92/1129252792.db2.gz NVIPJGZHJKFNFF-ZNMIVQPWSA-N 1 2 276.424 3.758 20 0 CHADLO Cc1cc(NC(=O)CC(C)(C)C2CCCCC2)cc[nH+]1 ZINC000622368510 1129253219 /nfs/dbraw/zinc/25/32/19/1129253219.db2.gz ZOIGKKHWBLWDTR-UHFFFAOYSA-N 1 2 274.408 3.747 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2ccc(CC(C)C)cc2)cc[nH+]1 ZINC000622368325 1129253499 /nfs/dbraw/zinc/25/34/99/1129253499.db2.gz RRCAOXINYJUERO-HNNXBMFYSA-N 1 2 296.414 3.753 20 0 CHADLO Cc1ccc(NCc2c(Cl)n(C)nc2C(C)C)c(C)[nH+]1 ZINC000184896855 1129262426 /nfs/dbraw/zinc/26/24/26/1129262426.db2.gz TWMYEVGQSVCPSL-UHFFFAOYSA-N 1 2 292.814 3.821 20 0 CHADLO COc1cccc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001239522286 1131361799 /nfs/dbraw/zinc/36/17/99/1131361799.db2.gz ZPEQBGZXUYNTRM-UHFFFAOYSA-N 1 2 264.328 3.607 20 0 CHADLO CC[C@@H]([NH2+][C@H](c1nnc[nH]1)C1CCCCC1)c1ccncc1 ZINC000638678844 1129265464 /nfs/dbraw/zinc/26/54/64/1129265464.db2.gz UPUHWIPZZRSKGO-CVEARBPZSA-N 1 2 299.422 3.562 20 0 CHADLO CC[C@@H]1CCC[C@H]1[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000638743869 1129268114 /nfs/dbraw/zinc/26/81/14/1129268114.db2.gz PFTCBTOBWOSFIZ-BPLDGKMQSA-N 1 2 276.428 3.594 20 0 CHADLO C/C(=C/C(=O)Nc1ccc2[nH+]ccn2c1)c1ccc(F)cc1 ZINC000733881717 1129272389 /nfs/dbraw/zinc/27/23/89/1129272389.db2.gz WTPVHAPRWSLBIC-BENRWUELSA-N 1 2 295.317 3.515 20 0 CHADLO CCc1nc(C[N@@H+](Cc2cccc(C)c2)CC(C)C)no1 ZINC000348090743 1129279560 /nfs/dbraw/zinc/27/95/60/1129279560.db2.gz YAZQYKNVNFHORQ-UHFFFAOYSA-N 1 2 287.407 3.599 20 0 CHADLO CCc1nc(C[N@H+](Cc2cccc(C)c2)CC(C)C)no1 ZINC000348090743 1129279562 /nfs/dbraw/zinc/27/95/62/1129279562.db2.gz YAZQYKNVNFHORQ-UHFFFAOYSA-N 1 2 287.407 3.599 20 0 CHADLO CCC[N@H+](Cc1noc(CC)n1)[C@@H]1CCc2ccccc21 ZINC000348087801 1129279919 /nfs/dbraw/zinc/27/99/19/1129279919.db2.gz RIVYVPIQQNJRSU-OAHLLOKOSA-N 1 2 285.391 3.532 20 0 CHADLO CCC[N@@H+](Cc1noc(CC)n1)[C@@H]1CCc2ccccc21 ZINC000348087801 1129279922 /nfs/dbraw/zinc/27/99/22/1129279922.db2.gz RIVYVPIQQNJRSU-OAHLLOKOSA-N 1 2 285.391 3.532 20 0 CHADLO CCSc1cc(C[NH2+]Cc2nc3ccccc3o2)ccn1 ZINC000639097185 1129280842 /nfs/dbraw/zinc/28/08/42/1129280842.db2.gz ZLUOMWXPCJSMID-UHFFFAOYSA-N 1 2 299.399 3.625 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccccc2)o1 ZINC000639207230 1129293181 /nfs/dbraw/zinc/29/31/81/1129293181.db2.gz NARSZLMMUJGDBF-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccccc2)o1 ZINC000639207230 1129293184 /nfs/dbraw/zinc/29/31/84/1129293184.db2.gz NARSZLMMUJGDBF-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO CC(C)(C)C(C)(C)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000639205608 1129293501 /nfs/dbraw/zinc/29/35/01/1129293501.db2.gz YVOGGVDKJXGMSH-CYBMUJFWSA-N 1 2 278.444 3.840 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@@H+](Cc1ccccn1)CC2 ZINC000639359344 1129306673 /nfs/dbraw/zinc/30/66/73/1129306673.db2.gz CQOJDTWDDYKLKE-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@H+](Cc1ccccn1)CC2 ZINC000639359344 1129306679 /nfs/dbraw/zinc/30/66/79/1129306679.db2.gz CQOJDTWDDYKLKE-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO Cc1cc(C)cc(-c2ccc(C[NH+]3CCOCC3)cc2F)c1 ZINC001239526681 1131365882 /nfs/dbraw/zinc/36/58/82/1131365882.db2.gz ZCRFKFLUGAWTOB-UHFFFAOYSA-N 1 2 299.389 3.942 20 0 CHADLO O=C(C[N@H+]1CCC[C@@H]1c1ccc(O)cc1)c1ccccc1F ZINC000639539432 1129322848 /nfs/dbraw/zinc/32/28/48/1129322848.db2.gz XICPEGUYBJXFID-QGZVFWFLSA-N 1 2 299.345 3.551 20 0 CHADLO O=C(C[N@@H+]1CCC[C@@H]1c1ccc(O)cc1)c1ccccc1F ZINC000639539432 1129322851 /nfs/dbraw/zinc/32/28/51/1129322851.db2.gz XICPEGUYBJXFID-QGZVFWFLSA-N 1 2 299.345 3.551 20 0 CHADLO CC[C@@H](C)CCC(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000795472002 1129325707 /nfs/dbraw/zinc/32/57/07/1129325707.db2.gz LKTMXLSPIRILCQ-GFCCVEGCSA-N 1 2 297.468 3.750 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nc(C3CCC3)no2)CCC1 ZINC000639717786 1129331432 /nfs/dbraw/zinc/33/14/32/1129331432.db2.gz RVKBKGGDUPSCNL-UHFFFAOYSA-N 1 2 297.402 3.815 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ncc(Cl)s2)c(F)c1 ZINC000719393769 1129332261 /nfs/dbraw/zinc/33/22/61/1129332261.db2.gz IALBHBCZOKBHEL-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO Cc1ccc2sc(C[N@@H+]3CCC[C@@H]3c3cn[nH]c3)nc2c1 ZINC000639775865 1129333214 /nfs/dbraw/zinc/33/32/14/1129333214.db2.gz FZGDNYXCVIJYMH-CQSZACIVSA-N 1 2 298.415 3.665 20 0 CHADLO Cc1ccc2sc(C[N@H+]3CCC[C@@H]3c3cn[nH]c3)nc2c1 ZINC000639775865 1129333219 /nfs/dbraw/zinc/33/32/19/1129333219.db2.gz FZGDNYXCVIJYMH-CQSZACIVSA-N 1 2 298.415 3.665 20 0 CHADLO Cc1nc(-c2ccccc2)oc1C[N@H+](C)Cc1ccns1 ZINC000639790954 1129334490 /nfs/dbraw/zinc/33/44/90/1129334490.db2.gz OCIPSMHEBQIIMO-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1nc(-c2ccccc2)oc1C[N@@H+](C)Cc1ccns1 ZINC000639790954 1129334495 /nfs/dbraw/zinc/33/44/95/1129334495.db2.gz OCIPSMHEBQIIMO-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1[nH]c(CN2CC3(CCCC3)[C@@H]2c2ccco2)[nH+]c1C ZINC000639799466 1129335063 /nfs/dbraw/zinc/33/50/63/1129335063.db2.gz YBZXSBKMJKCOLQ-INIZCTEOSA-N 1 2 285.391 3.737 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2cc(-c3ccco3)on2)s1 ZINC000639994389 1129343991 /nfs/dbraw/zinc/34/39/91/1129343991.db2.gz IZKKJJFGNCDVBA-VIFPVBQESA-N 1 2 289.360 3.550 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@@H](C)c2cnc(C)s2)n1 ZINC000639994864 1129344662 /nfs/dbraw/zinc/34/46/62/1129344662.db2.gz FXUPGOSQTPUQMP-NSHDSACASA-N 1 2 292.452 3.860 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2c(s1)CCC2)c1cc(F)ccc1F ZINC000719593296 1129346273 /nfs/dbraw/zinc/34/62/73/1129346273.db2.gz AGTAPAVJTGMMTE-SECBINFHSA-N 1 2 294.370 3.761 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C)CC2CCC2)c(C)[nH+]1 ZINC000640141669 1129350353 /nfs/dbraw/zinc/35/03/53/1129350353.db2.gz QTKNESZIMWVBMG-LBPRGKRZSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1cn2c(cccc2-c2cn(C)c3ccccc23)[nH+]1 ZINC001239531494 1131368923 /nfs/dbraw/zinc/36/89/23/1131368923.db2.gz SMTSHAOYDHDGAV-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2ccc(OC(C)C)nc2)cc1 ZINC001240811323 1129362121 /nfs/dbraw/zinc/36/21/21/1129362121.db2.gz SPBVJMJHTXYWSW-UHFFFAOYSA-N 1 2 284.359 3.898 20 0 CHADLO CC[C@H](C)[C@@H](C)[N@H+](CC(F)(F)C(F)F)C1CC1 ZINC000736618844 1129376762 /nfs/dbraw/zinc/37/67/62/1129376762.db2.gz HWLJOLBESKQNSC-DTWKUNHWSA-N 1 2 255.299 3.786 20 0 CHADLO CC[C@H](C)[C@@H](C)[N@@H+](CC(F)(F)C(F)F)C1CC1 ZINC000736618844 1129376765 /nfs/dbraw/zinc/37/67/65/1129376765.db2.gz HWLJOLBESKQNSC-DTWKUNHWSA-N 1 2 255.299 3.786 20 0 CHADLO CC(=O)Nc1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001239533131 1131370343 /nfs/dbraw/zinc/37/03/43/1131370343.db2.gz QIMCYDKKVRFVLR-UHFFFAOYSA-N 1 2 295.317 3.637 20 0 CHADLO COCOc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001212899189 1129383418 /nfs/dbraw/zinc/38/34/18/1129383418.db2.gz DYVYEWDQYCZAIM-UHFFFAOYSA-N 1 2 295.342 3.599 20 0 CHADLO CC1(C)CN(c2cc[nH+]cc2F)CC[C@@H]1C(F)(F)F ZINC000641530538 1129383629 /nfs/dbraw/zinc/38/36/29/1129383629.db2.gz PTUCJDLTSJTZOJ-NSHDSACASA-N 1 2 276.277 3.636 20 0 CHADLO CC(=O)Nc1nc2ccc(Nc3[nH+]cccc3C)cc2s1 ZINC001212937657 1129406750 /nfs/dbraw/zinc/40/67/50/1129406750.db2.gz QDGPHABKAZDICP-UHFFFAOYSA-N 1 2 298.371 3.702 20 0 CHADLO CC(=O)Nc1nc2ccc(Nc3cc(C)cc[nH+]3)cc2s1 ZINC001212937609 1129406785 /nfs/dbraw/zinc/40/67/85/1129406785.db2.gz NILVXWRBAYUGJV-UHFFFAOYSA-N 1 2 298.371 3.702 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cn(C)c2ccccc12 ZINC001239537746 1131372373 /nfs/dbraw/zinc/37/23/73/1131372373.db2.gz DFMAOUADIZZDEC-UHFFFAOYSA-N 1 2 277.327 3.502 20 0 CHADLO C[C@@H]([NH2+]Cc1ccco1)c1nc2ccccc2n1C(F)F ZINC000642194284 1129409779 /nfs/dbraw/zinc/40/97/79/1129409779.db2.gz GKYXMXGNBCLGMI-SNVBAGLBSA-N 1 2 291.301 3.875 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](c3cccc(F)c3)C2)c(C)o1 ZINC000092975750 1129414390 /nfs/dbraw/zinc/41/43/90/1129414390.db2.gz NJFZLCRVFMULHU-KRWDZBQOSA-N 1 2 289.350 3.609 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](c3cccc(F)c3)C2)c(C)o1 ZINC000092975750 1129414392 /nfs/dbraw/zinc/41/43/92/1129414392.db2.gz NJFZLCRVFMULHU-KRWDZBQOSA-N 1 2 289.350 3.609 20 0 CHADLO C[C@H](CCO)[N@H+](C/C(Cl)=C/Cl)Cc1ccccc1 ZINC000093304118 1129421290 /nfs/dbraw/zinc/42/12/90/1129421290.db2.gz QGGSCYBKBDXPCD-OJLMPMFISA-N 1 2 288.218 3.579 20 0 CHADLO C[C@H](CCO)[N@@H+](C/C(Cl)=C/Cl)Cc1ccccc1 ZINC000093304118 1129421291 /nfs/dbraw/zinc/42/12/91/1129421291.db2.gz QGGSCYBKBDXPCD-OJLMPMFISA-N 1 2 288.218 3.579 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@H+](Cn2ncsc2=S)C1 ZINC000795741409 1129446650 /nfs/dbraw/zinc/44/66/50/1129446650.db2.gz FQVIOIDMPZFKCM-YPMHNXCESA-N 1 2 291.445 3.715 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@@H+](Cn2ncsc2=S)C1 ZINC000795741409 1129446651 /nfs/dbraw/zinc/44/66/51/1129446651.db2.gz FQVIOIDMPZFKCM-YPMHNXCESA-N 1 2 291.445 3.715 20 0 CHADLO COc1ccc(Cl)cc1CNc1ccc(C)[nH+]c1C ZINC000094664399 1129449724 /nfs/dbraw/zinc/44/97/24/1129449724.db2.gz AHRFGBAHXSOOOJ-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO COc1cccc(C[NH2+][C@H]2C[C@@]2(F)c2ccccc2)c1F ZINC000643800656 1129450244 /nfs/dbraw/zinc/45/02/44/1129450244.db2.gz PSYQMGGQLYPBCU-DOTOQJQBSA-N 1 2 289.325 3.561 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cc(F)c(F)cc1F ZINC001241109927 1129451144 /nfs/dbraw/zinc/45/11/44/1129451144.db2.gz NFXAQELERHCUPB-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@@H+]1CCC[C@@H]1c1ccsc1 ZINC000741776979 1129452277 /nfs/dbraw/zinc/45/22/77/1129452277.db2.gz RNBDKBQIQYWYIS-GXTWGEPZSA-N 1 2 281.421 3.617 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2ncc(C)s2)c(Cl)c1 ZINC000643883521 1129453434 /nfs/dbraw/zinc/45/34/34/1129453434.db2.gz DAHIWUXJIJVZNJ-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C)c3cccc(C)c3C)ccn12 ZINC001125258944 1131375422 /nfs/dbraw/zinc/37/54/22/1131375422.db2.gz FJTCKGOWGXEZCE-UHFFFAOYSA-N 1 2 293.370 3.536 20 0 CHADLO CCOC1CC(C[N@H+](CC)c2ccc(CC)cc2)C1 ZINC000795952698 1129460771 /nfs/dbraw/zinc/46/07/71/1129460771.db2.gz WPCNMULNDWHPSN-UHFFFAOYSA-N 1 2 261.409 3.890 20 0 CHADLO CCOC1CC(C[N@@H+](CC)c2ccc(CC)cc2)C1 ZINC000795952698 1129460773 /nfs/dbraw/zinc/46/07/73/1129460773.db2.gz WPCNMULNDWHPSN-UHFFFAOYSA-N 1 2 261.409 3.890 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2cscc2Cl)o1 ZINC000644445333 1129466510 /nfs/dbraw/zinc/46/65/10/1129466510.db2.gz OEDKXFABFBSYBS-UHFFFAOYSA-N 1 2 284.812 3.940 20 0 CHADLO CCCCCC[C@H](C)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000742059543 1129466555 /nfs/dbraw/zinc/46/65/55/1129466555.db2.gz MBJPISFJYAVEQC-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1cccc(F)c1C[NH2+]Cc1occc1Br ZINC000742381157 1129473074 /nfs/dbraw/zinc/47/30/74/1129473074.db2.gz QISJSPSKCIHURQ-UHFFFAOYSA-N 1 2 298.155 3.779 20 0 CHADLO Cc1ccccc1OCCCO[NH+]=C(N)c1ccccc1C ZINC000742575546 1129487790 /nfs/dbraw/zinc/48/77/90/1129487790.db2.gz IPYPNIKRWQJOMO-UHFFFAOYSA-N 1 2 298.386 3.619 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCC[C@H](OC(F)F)C2)c1 ZINC000645209229 1129491883 /nfs/dbraw/zinc/49/18/83/1129491883.db2.gz KIKUYZPKYHTYQJ-LBPRGKRZSA-N 1 2 296.292 3.582 20 0 CHADLO CCCCC[C@H](C)CC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000742667407 1129493279 /nfs/dbraw/zinc/49/32/79/1129493279.db2.gz UAVCWSTZQBNFKH-ZDUSSCGKSA-N 1 2 273.380 3.879 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCCc2ccc(Cl)cc21 ZINC000645487718 1129499096 /nfs/dbraw/zinc/49/90/96/1129499096.db2.gz QTABKRFZACCYNI-GFCCVEGCSA-N 1 2 259.727 3.962 20 0 CHADLO Cc1cc(C)c(-c2ccc(Cn3cc[nH+]c3)cn2)c(C)c1 ZINC001239548822 1131378970 /nfs/dbraw/zinc/37/89/70/1131378970.db2.gz QQUZFVHYCPSOBV-UHFFFAOYSA-N 1 2 277.371 3.919 20 0 CHADLO CCc1nc(C)c(C[NH2+]C2(c3ccccc3Cl)CC2)o1 ZINC000645978452 1129515010 /nfs/dbraw/zinc/51/50/10/1129515010.db2.gz NHVHRZPHWVXYFN-UHFFFAOYSA-N 1 2 290.794 3.978 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3nc(CC(C)C)no3)CCC2)c1 ZINC000646021321 1129517959 /nfs/dbraw/zinc/51/79/59/1129517959.db2.gz VMWBWVMKHFBFIO-UHFFFAOYSA-N 1 2 299.418 3.746 20 0 CHADLO Cc1cnc(C[NH2+]C2(c3cccc(Cl)c3)CCC2)nc1 ZINC000646095637 1129521855 /nfs/dbraw/zinc/52/18/55/1129521855.db2.gz YVFLBKFBKGWVDH-UHFFFAOYSA-N 1 2 287.794 3.607 20 0 CHADLO C[C@@H]([NH2+]CC(F)F)c1ccc(-c2ccncc2)cc1 ZINC000180912371 1129522303 /nfs/dbraw/zinc/52/23/03/1129522303.db2.gz UCAKVAZOUCCDEZ-LLVKDONJSA-N 1 2 262.303 3.664 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)no1 ZINC000646117399 1129524039 /nfs/dbraw/zinc/52/40/39/1129524039.db2.gz YICJSSAKGCDCQJ-QGZVFWFLSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)no1 ZINC000646117399 1129524041 /nfs/dbraw/zinc/52/40/41/1129524041.db2.gz YICJSSAKGCDCQJ-QGZVFWFLSA-N 1 2 280.371 3.876 20 0 CHADLO Oc1ccc(F)c(C[NH2+]Cc2cc3ccccc3o2)c1F ZINC000646125957 1129524202 /nfs/dbraw/zinc/52/42/02/1129524202.db2.gz JCEZHTFJLWTNSG-UHFFFAOYSA-N 1 2 289.281 3.706 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H]1CC(C)(C)c2ccccc21 ZINC000180969936 1129526947 /nfs/dbraw/zinc/52/69/47/1129526947.db2.gz SYIYDESPUMJODK-ZBEGNZNMSA-N 1 2 269.392 3.791 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](c2cccc(F)c2)C(C)(C)C)o1 ZINC000181055193 1129532842 /nfs/dbraw/zinc/53/28/42/1129532842.db2.gz SIPZVFRTYIOPCP-HNNXBMFYSA-N 1 2 291.370 3.648 20 0 CHADLO CC(C)C[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccncc1 ZINC000647304018 1129566143 /nfs/dbraw/zinc/56/61/43/1129566143.db2.gz SXSQUJHZYKMTEA-KBPBESRZSA-N 1 2 268.351 3.946 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@H+](C)Cc1csc2ccccc12 ZINC000303913352 1129579955 /nfs/dbraw/zinc/57/99/55/1129579955.db2.gz NGDWDMBPMCDUFQ-GFCCVEGCSA-N 1 2 291.416 3.675 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@@H+](C)Cc1csc2ccccc12 ZINC000303913352 1129579958 /nfs/dbraw/zinc/57/99/58/1129579958.db2.gz NGDWDMBPMCDUFQ-GFCCVEGCSA-N 1 2 291.416 3.675 20 0 CHADLO CCN(Cc1c[nH+]cn1C(C)C)c1ccc2[nH]ccc2c1 ZINC000822475759 1131383371 /nfs/dbraw/zinc/38/33/71/1131383371.db2.gz ARMODLHGQANOKV-UHFFFAOYSA-N 1 2 282.391 3.972 20 0 CHADLO C[C@@H]1[C@@H](c2cccc(F)c2)OCC[N@@H+]1Cc1ccsc1 ZINC000648067434 1129594851 /nfs/dbraw/zinc/59/48/51/1129594851.db2.gz FBPPQXYIGSNBEB-WBMJQRKESA-N 1 2 291.391 3.849 20 0 CHADLO C[C@@H]1[C@@H](c2cccc(F)c2)OCC[N@H+]1Cc1ccsc1 ZINC000648067434 1129594855 /nfs/dbraw/zinc/59/48/55/1129594855.db2.gz FBPPQXYIGSNBEB-WBMJQRKESA-N 1 2 291.391 3.849 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2ccc(F)c(Cl)c2)C1 ZINC000648059060 1129595253 /nfs/dbraw/zinc/59/52/53/1129595253.db2.gz TUIRSSFKTOIWBP-UHFFFAOYSA-N 1 2 277.717 3.956 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cn1)c1cc(F)ccc1F ZINC000182115868 1129597667 /nfs/dbraw/zinc/59/76/67/1129597667.db2.gz STAUMEDBJFVPFG-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO CC1(C)C[N@H+](Cc2ccncc2F)[C@@H]1c1ccccc1 ZINC000648363196 1129604169 /nfs/dbraw/zinc/60/41/69/1129604169.db2.gz WNECAOSMNGRAMR-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccncc2F)[C@@H]1c1ccccc1 ZINC000648363196 1129604170 /nfs/dbraw/zinc/60/41/70/1129604170.db2.gz WNECAOSMNGRAMR-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO C[N@H+](CCC1CC1)Cc1c(Cl)cncc1Cl ZINC001137610243 1131384866 /nfs/dbraw/zinc/38/48/66/1131384866.db2.gz JGOUALBFAOTPTB-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO C[N@@H+](CCC1CC1)Cc1c(Cl)cncc1Cl ZINC001137610243 1131384871 /nfs/dbraw/zinc/38/48/71/1131384871.db2.gz JGOUALBFAOTPTB-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CCSc1cc[nH+]c(NCCc2cccc(O)c2)c1 ZINC001155868074 1129616187 /nfs/dbraw/zinc/61/61/87/1129616187.db2.gz WKIITDAWZNDRCY-UHFFFAOYSA-N 1 2 274.389 3.554 20 0 CHADLO CCC[N@@H+](Cc1cccc2cnccc21)CC(F)F ZINC000183224905 1129622370 /nfs/dbraw/zinc/62/23/70/1129622370.db2.gz DZGCWBQPTXIZAJ-UHFFFAOYSA-N 1 2 264.319 3.712 20 0 CHADLO CCC[N@H+](Cc1cccc2cnccc21)CC(F)F ZINC000183224905 1129622371 /nfs/dbraw/zinc/62/23/71/1129622371.db2.gz DZGCWBQPTXIZAJ-UHFFFAOYSA-N 1 2 264.319 3.712 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(Cl)c(OC)c2)cs1 ZINC000648816829 1129626715 /nfs/dbraw/zinc/62/67/15/1129626715.db2.gz LDVNZJGKXZFORR-UHFFFAOYSA-N 1 2 296.823 3.657 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@H+](C)Cc2ncc(Cl)n2C)c1 ZINC000746126065 1129627039 /nfs/dbraw/zinc/62/70/39/1129627039.db2.gz NWTAHSQFTNPBNW-CYBMUJFWSA-N 1 2 291.826 3.883 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@@H+](C)Cc2ncc(Cl)n2C)c1 ZINC000746126065 1129627043 /nfs/dbraw/zinc/62/70/43/1129627043.db2.gz NWTAHSQFTNPBNW-CYBMUJFWSA-N 1 2 291.826 3.883 20 0 CHADLO Cc1ccc(NC(=O)C[NH+]2CCC(C)CC2)c(Cl)c1C ZINC000648966848 1129630445 /nfs/dbraw/zinc/63/04/45/1129630445.db2.gz DHXVPNRPZVDNDR-UHFFFAOYSA-N 1 2 294.826 3.627 20 0 CHADLO CCCn1cc[nH+]c1CCCN(C)C(=O)C(C)(CC)CC ZINC000192247791 1129642483 /nfs/dbraw/zinc/64/24/83/1129642483.db2.gz AEVWJRXUARJVMD-UHFFFAOYSA-N 1 2 293.455 3.510 20 0 CHADLO CC(C)Oc1ccnc(C[N@H+](C)Cc2ccccc2F)c1 ZINC000649473723 1129651929 /nfs/dbraw/zinc/65/19/29/1129651929.db2.gz IOJOYTZHLLHFHL-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1ccnc(C[N@@H+](C)Cc2ccccc2F)c1 ZINC000649473723 1129651932 /nfs/dbraw/zinc/65/19/32/1129651932.db2.gz IOJOYTZHLLHFHL-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO C[C@H]([NH2+]Cc1cc2n(n1)CCCC2)c1cc2ccccc2o1 ZINC000649584014 1129660901 /nfs/dbraw/zinc/66/09/01/1129660901.db2.gz HKASUWMBTYEFJM-ZDUSSCGKSA-N 1 2 295.386 3.816 20 0 CHADLO Cc1cc(N2CCOCC2)[nH+]cc1-c1cccc(Cl)c1 ZINC001242146702 1129663731 /nfs/dbraw/zinc/66/37/31/1129663731.db2.gz FHUCFEHJGJEEQJ-UHFFFAOYSA-N 1 2 288.778 3.547 20 0 CHADLO CC[C@H]([NH2+]Cc1ccon1)c1cc(Cl)ccc1OC ZINC000766421434 1129664171 /nfs/dbraw/zinc/66/41/71/1129664171.db2.gz MSUIXINONDCRNJ-ZDUSSCGKSA-N 1 2 280.755 3.578 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1cc(Cl)cc(Cl)c1 ZINC000766422761 1129664255 /nfs/dbraw/zinc/66/42/55/1129664255.db2.gz WJWUESWGHJPKDN-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO Clc1cccc2c1SCC[C@@H]2[NH2+]Cc1ccon1 ZINC000766421918 1129665399 /nfs/dbraw/zinc/66/53/99/1129665399.db2.gz PWDHEJGQHAHJEF-LBPRGKRZSA-N 1 2 280.780 3.655 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2cccc(C3CC3)c2)o1 ZINC000649687168 1129670482 /nfs/dbraw/zinc/67/04/82/1129670482.db2.gz CXXIDJQGNWMHDQ-VXGBXAGGSA-N 1 2 285.391 3.921 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2nc3ccccc3s2)[C@@H](CC)CO1 ZINC000649717259 1129672712 /nfs/dbraw/zinc/67/27/12/1129672712.db2.gz ACTIWAJNZVPIJB-QWHCGFSZSA-N 1 2 290.432 3.686 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2nc3ccccc3s2)[C@@H](CC)CO1 ZINC000649717259 1129672714 /nfs/dbraw/zinc/67/27/14/1129672714.db2.gz ACTIWAJNZVPIJB-QWHCGFSZSA-N 1 2 290.432 3.686 20 0 CHADLO COc1cccc2c(NCc3cc(C(C)C)no3)cc[nH+]c12 ZINC001168828158 1129675184 /nfs/dbraw/zinc/67/51/84/1129675184.db2.gz SXBOXAJXFGRHJL-UHFFFAOYSA-N 1 2 297.358 3.967 20 0 CHADLO CC(C)c1cc(CNc2ccc(Cn3cc[nH+]c3)cc2)on1 ZINC001168829605 1129675882 /nfs/dbraw/zinc/67/58/82/1129675882.db2.gz ZSCHMGKFHPBYDW-UHFFFAOYSA-N 1 2 296.374 3.655 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccc([NH+](C)C)c(C)c2)nc1 ZINC001168860132 1129678036 /nfs/dbraw/zinc/67/80/36/1129678036.db2.gz HYWLJQOXSNMWQL-AWEZNQCLSA-N 1 2 269.392 3.938 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(C)c3[nH]ccc3[nH+]2)nc1 ZINC001168859601 1129678158 /nfs/dbraw/zinc/67/81/58/1129678158.db2.gz CFYGEPOIBSXULD-GFCCVEGCSA-N 1 2 266.348 3.748 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(OC(C)(C)C)n2)nc1 ZINC000649903329 1129685420 /nfs/dbraw/zinc/68/54/20/1129685420.db2.gz XQFBSIUIAHRYGG-UHFFFAOYSA-N 1 2 299.418 3.594 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(OC(C)(C)C)n2)nc1 ZINC000649903329 1129685423 /nfs/dbraw/zinc/68/54/23/1129685423.db2.gz XQFBSIUIAHRYGG-UHFFFAOYSA-N 1 2 299.418 3.594 20 0 CHADLO Cc1ccc2[nH]ccc2c1NCCc1cn2ccccc2[nH+]1 ZINC001169005786 1129689085 /nfs/dbraw/zinc/68/90/85/1129689085.db2.gz XXQMHQZBMCGXDN-UHFFFAOYSA-N 1 2 290.370 3.779 20 0 CHADLO COC(=O)[C@H](c1ccsc1)[NH+]1CC(C)(C)CC(C)(C)C1 ZINC001169005201 1129689219 /nfs/dbraw/zinc/68/92/19/1129689219.db2.gz QVBRXJJQJBFHLF-ZDUSSCGKSA-N 1 2 295.448 3.720 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccc(Cl)cc1C)C1CCC1 ZINC001169071507 1129695678 /nfs/dbraw/zinc/69/56/78/1129695678.db2.gz AUKXXGQVHJZGIN-UHFFFAOYSA-N 1 2 295.810 3.566 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccc(Cl)cc1C)C1CCC1 ZINC001169071507 1129695680 /nfs/dbraw/zinc/69/56/80/1129695680.db2.gz AUKXXGQVHJZGIN-UHFFFAOYSA-N 1 2 295.810 3.566 20 0 CHADLO Cc1cc(NCc2c(=O)[nH]c(C)c3c2CCCC3)c[nH+]c1C ZINC001169403188 1129723799 /nfs/dbraw/zinc/72/37/99/1129723799.db2.gz MIIRQVGXOJZFGU-UHFFFAOYSA-N 1 2 297.402 3.598 20 0 CHADLO CC(C)c1ccc(NC(=O)Cc2cn3c([nH+]2)CCCC3)cc1 ZINC000650989015 1129726596 /nfs/dbraw/zinc/72/65/96/1129726596.db2.gz WUJLBTINPCYZNJ-UHFFFAOYSA-N 1 2 297.402 3.524 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@@H]1C[C@@H]1C1CCCC1 ZINC000651082694 1129728988 /nfs/dbraw/zinc/72/89/88/1129728988.db2.gz YHIXRSXKPPBTRK-HUUCEWRRSA-N 1 2 295.386 3.637 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(Cl)c(O)c2)c[nH+]1 ZINC001212590712 1129732260 /nfs/dbraw/zinc/73/22/60/1129732260.db2.gz ZUMTZAHKSNKPEH-UHFFFAOYSA-N 1 2 277.755 3.640 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CC[C@@H](c3ccccc3)C2)c1 ZINC000652068147 1129756810 /nfs/dbraw/zinc/75/68/10/1129756810.db2.gz UBTXFWDSGIPACO-SJORKVTESA-N 1 2 282.387 3.976 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CS[C@H](C(C)(C)C)C2)c1 ZINC000652067686 1129757212 /nfs/dbraw/zinc/75/72/12/1129757212.db2.gz DASJYFFLMGFAFF-OLZOCXBDSA-N 1 2 280.437 3.560 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCC[C@@H]2c2ccccc2)c1 ZINC000652068165 1129757288 /nfs/dbraw/zinc/75/72/88/1129757288.db2.gz UUBPYYGWXFLANI-IAGOWNOFSA-N 1 2 282.387 3.976 20 0 CHADLO COCc1cc[nH+]c(NC2CC(c3ccccc3C)C2)c1 ZINC000652068334 1129757731 /nfs/dbraw/zinc/75/77/31/1129757731.db2.gz ABSCBELVDMTIRI-UHFFFAOYSA-N 1 2 282.387 3.895 20 0 CHADLO CC(C)Oc1cc(N[C@@H]2C[C@H]2c2ccccc2)cc[nH+]1 ZINC001169812464 1129758363 /nfs/dbraw/zinc/75/83/63/1129758363.db2.gz PAZQIDUSFUEVAV-JKSUJKDBSA-N 1 2 268.360 3.837 20 0 CHADLO Cc1cc(-c2cc(Cl)c(F)cc2F)c[nH+]c1N ZINC001242291422 1129761514 /nfs/dbraw/zinc/76/15/14/1129761514.db2.gz NIQZTJGZVLUZAE-UHFFFAOYSA-N 1 2 254.667 3.571 20 0 CHADLO Cc1[nH+]c(C(C)(C)C)nc(NCCc2cncs2)c1C ZINC001169864298 1129762835 /nfs/dbraw/zinc/76/28/35/1129762835.db2.gz VTMVYFVSFXQZCG-UHFFFAOYSA-N 1 2 290.436 3.502 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cc3c(s2)CCC3)c1 ZINC000652330561 1129763060 /nfs/dbraw/zinc/76/30/60/1129763060.db2.gz JHRCGWKAQZJNRK-LLVKDONJSA-N 1 2 288.416 3.951 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCOc3ccc(C)cc32)c1 ZINC000652330319 1129763688 /nfs/dbraw/zinc/76/36/88/1129763688.db2.gz CJKOXEPTVSVVTF-INIZCTEOSA-N 1 2 298.386 3.862 20 0 CHADLO COc1ccc2ccc(NCCCc3ccncc3)[nH+]c2c1 ZINC001156407468 1129766469 /nfs/dbraw/zinc/76/64/69/1129766469.db2.gz JAPGOPFFECFIHM-UHFFFAOYSA-N 1 2 293.370 3.683 20 0 CHADLO CC(C)c1cnc(CNc2cc3cc[nH]c3c[nH+]2)s1 ZINC000653500188 1129782078 /nfs/dbraw/zinc/78/20/78/1129782078.db2.gz IYDMWIADSDQXNU-UHFFFAOYSA-N 1 2 272.377 3.755 20 0 CHADLO CCOc1ccc(C[N@@H+]2Cc3ccc(OC)cc3C2)cc1 ZINC000653834301 1129797624 /nfs/dbraw/zinc/79/76/24/1129797624.db2.gz SOLOHKJYCNFDKI-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO CCOc1ccc(C[N@H+]2Cc3ccc(OC)cc3C2)cc1 ZINC000653834301 1129797627 /nfs/dbraw/zinc/79/76/27/1129797627.db2.gz SOLOHKJYCNFDKI-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO CCc1ccc([N@H+](CC(C)C)C[C@H]2CCOC2)cc1 ZINC001169971103 1129803244 /nfs/dbraw/zinc/80/32/44/1129803244.db2.gz NXWFNYAUPZQMAY-MRXNPFEDSA-N 1 2 261.409 3.748 20 0 CHADLO CCc1ccc([N@@H+](CC(C)C)C[C@H]2CCOC2)cc1 ZINC001169971103 1129803248 /nfs/dbraw/zinc/80/32/48/1129803248.db2.gz NXWFNYAUPZQMAY-MRXNPFEDSA-N 1 2 261.409 3.748 20 0 CHADLO COc1cc(C)c(NCc2cccc3[nH+]ccn32)cc1C ZINC000767739302 1129810693 /nfs/dbraw/zinc/81/06/93/1129810693.db2.gz SKNMPWRVZKURRB-UHFFFAOYSA-N 1 2 281.359 3.572 20 0 CHADLO CN(C)c1cc(CSCc2ccccc2F)cc[nH+]1 ZINC000767869673 1129816909 /nfs/dbraw/zinc/81/69/09/1129816909.db2.gz NRONEIWYDGKRSX-UHFFFAOYSA-N 1 2 276.380 3.720 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCCC[N@@H+]1C/C=C/Cl ZINC000767901801 1129819955 /nfs/dbraw/zinc/81/99/55/1129819955.db2.gz YNRRCEBEVJJNRO-WCLQSUPFSA-N 1 2 289.810 3.853 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCCC[N@H+]1C/C=C/Cl ZINC000767901801 1129819957 /nfs/dbraw/zinc/81/99/57/1129819957.db2.gz YNRRCEBEVJJNRO-WCLQSUPFSA-N 1 2 289.810 3.853 20 0 CHADLO CC(C)=C[C@@H]1[C@H](C(=O)NCc2c[nH+]c(C)cc2C)C1(C)C ZINC000654382957 1129826102 /nfs/dbraw/zinc/82/61/02/1129826102.db2.gz PYKKNXFNJLLIBV-HZPDHXFCSA-N 1 2 286.419 3.553 20 0 CHADLO CCC(CC)(CC)C(=O)NCc1c[nH+]c(C)cc1C ZINC000654380219 1129826446 /nfs/dbraw/zinc/82/64/46/1129826446.db2.gz SPRYAKLUNUKZFF-UHFFFAOYSA-N 1 2 262.397 3.531 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2coc(C3CC3)n2)cc1 ZINC000768238240 1129844204 /nfs/dbraw/zinc/84/42/04/1129844204.db2.gz XRGWKJJQJBFBRX-LBPRGKRZSA-N 1 2 286.375 3.754 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2coc(C3CC3)n2)cc1 ZINC000768238240 1129844210 /nfs/dbraw/zinc/84/42/10/1129844210.db2.gz XRGWKJJQJBFBRX-LBPRGKRZSA-N 1 2 286.375 3.754 20 0 CHADLO CC[C@@H]([NH2+]C/C(Cl)=C\Cl)c1c(C)noc1C ZINC000393776642 1129847096 /nfs/dbraw/zinc/84/70/96/1129847096.db2.gz NLNCKDVJDVEOJR-NAZIUFLLSA-N 1 2 263.168 3.651 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cc(C)ccc2F)no1 ZINC000768428970 1129855801 /nfs/dbraw/zinc/85/58/01/1129855801.db2.gz IMJUWSFNBGWZFJ-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cc(C)ccc2F)no1 ZINC000768428970 1129855807 /nfs/dbraw/zinc/85/58/07/1129855807.db2.gz IMJUWSFNBGWZFJ-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO Nc1cccc(/C=C/c2ccccc2C(F)(F)F)[nH+]1 ZINC001242491233 1129876148 /nfs/dbraw/zinc/87/61/48/1129876148.db2.gz LJZHVIBJNQOBRL-CMDGGOBGSA-N 1 2 264.250 3.853 20 0 CHADLO COC(=O)c1c[nH+]c(/C=C/c2ccccc2)c2ccn(C)c21 ZINC001239591785 1131404063 /nfs/dbraw/zinc/40/40/63/1131404063.db2.gz NPQRGEKVBXXLFN-CMDGGOBGSA-N 1 2 292.338 3.530 20 0 CHADLO C[C@]12C[C@H]1CCC[C@@H]2[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000800484018 1129877987 /nfs/dbraw/zinc/87/79/87/1129877987.db2.gz SPCNRKXXWULWIY-JLSDUUJJSA-N 1 2 286.419 3.514 20 0 CHADLO CN1CCCc2cc([NH2+][C@H]3CCC34CCC4)ccc21 ZINC000800487255 1129878145 /nfs/dbraw/zinc/87/81/45/1129878145.db2.gz AOKNPMQTIXMZQV-INIZCTEOSA-N 1 2 256.393 3.814 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@H]3CCC34CCC4)ccc21 ZINC000800487255 1129878151 /nfs/dbraw/zinc/87/81/51/1129878151.db2.gz AOKNPMQTIXMZQV-INIZCTEOSA-N 1 2 256.393 3.814 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@H]3CCC34CCC4)ccc21 ZINC000800487255 1129878156 /nfs/dbraw/zinc/87/81/56/1129878156.db2.gz AOKNPMQTIXMZQV-INIZCTEOSA-N 1 2 256.393 3.814 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+][C@H]1CC[C@@H]1C1CCC1 ZINC000800976987 1129901977 /nfs/dbraw/zinc/90/19/77/1129901977.db2.gz LDVPJHJJPNELSB-MSOLQXFVSA-N 1 2 286.419 3.514 20 0 CHADLO Cc1cn(C(C)(C)C(=O)N(C)c2ccc(C(C)C)cc2)c[nH+]1 ZINC000901090209 1129909048 /nfs/dbraw/zinc/90/90/48/1129909048.db2.gz VZWPRGHZYLTZQB-UHFFFAOYSA-N 1 2 299.418 3.713 20 0 CHADLO Cc1sc(/C=C\c2cccc3[nH+]ccn32)cc1C=O ZINC000769610689 1129919150 /nfs/dbraw/zinc/91/91/50/1129919150.db2.gz SVJKYJRFFQBZCQ-WAYWQWQTSA-N 1 2 268.341 3.687 20 0 CHADLO ClC1(Cl)C[C@H]1CC[N@@H+]1CCC=C(Br)C1 ZINC000823019896 1131408811 /nfs/dbraw/zinc/40/88/11/1131408811.db2.gz DZMMSFFKBBZBMY-MRVPVSSYSA-N 1 2 299.039 3.555 20 0 CHADLO ClC1(Cl)C[C@H]1CC[N@H+]1CCC=C(Br)C1 ZINC000823019896 1131408814 /nfs/dbraw/zinc/40/88/14/1131408814.db2.gz DZMMSFFKBBZBMY-MRVPVSSYSA-N 1 2 299.039 3.555 20 0 CHADLO Cc1ccc(NC(=O)c2cccc3[nH+]ccn32)c(Cl)c1 ZINC000770041240 1129937535 /nfs/dbraw/zinc/93/75/35/1129937535.db2.gz CYELRPAOUSFSIQ-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO CC(C)(C)[N@H+](C/C=C/Cl)CC(=O)Nc1ccc(F)cc1 ZINC000770206862 1129942243 /nfs/dbraw/zinc/94/22/43/1129942243.db2.gz MBBWQGPJLJQBHK-RUDMXATFSA-N 1 2 298.789 3.617 20 0 CHADLO CC(C)(C)[N@@H+](C/C=C/Cl)CC(=O)Nc1ccc(F)cc1 ZINC000770206862 1129942245 /nfs/dbraw/zinc/94/22/45/1129942245.db2.gz MBBWQGPJLJQBHK-RUDMXATFSA-N 1 2 298.789 3.617 20 0 CHADLO CC(C)(C)C(=O)CC[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000829007930 1131409579 /nfs/dbraw/zinc/40/95/79/1131409579.db2.gz APPVTAMEIZEXJL-UHFFFAOYSA-N 1 2 285.840 3.765 20 0 CHADLO CC(C)(C)C(=O)CC[N@H+]1CCc2sc(Cl)cc2C1 ZINC000829007930 1131409582 /nfs/dbraw/zinc/40/95/82/1131409582.db2.gz APPVTAMEIZEXJL-UHFFFAOYSA-N 1 2 285.840 3.765 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc([NH+](C)C)c(C)c2)C1(C)C ZINC000770522808 1129950230 /nfs/dbraw/zinc/95/02/30/1129950230.db2.gz IHIXVLSVXFKIAF-CVEARBPZSA-N 1 2 276.424 3.677 20 0 CHADLO Clc1ccc2c(c1)CC[C@@H]2[N@@H+]1Cc2cccnc2C1 ZINC000822996214 1131409889 /nfs/dbraw/zinc/40/98/89/1131409889.db2.gz JEBFYYBTRPGQHW-INIZCTEOSA-N 1 2 270.763 3.738 20 0 CHADLO Clc1ccc2c(c1)CC[C@@H]2[N@H+]1Cc2cccnc2C1 ZINC000822996214 1131409892 /nfs/dbraw/zinc/40/98/92/1131409892.db2.gz JEBFYYBTRPGQHW-INIZCTEOSA-N 1 2 270.763 3.738 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC3(CCCC3)C2)s1 ZINC000770642034 1129952860 /nfs/dbraw/zinc/95/28/60/1129952860.db2.gz NIWGOEYBHDAEAS-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO Clc1cnc(C[N@H+]2CCC3(CCCC3)C2)s1 ZINC000770642034 1129952864 /nfs/dbraw/zinc/95/28/64/1129952864.db2.gz NIWGOEYBHDAEAS-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO CC[C@@H](C(=O)OCc1cccc2[nH+]ccn21)c1ccccc1 ZINC000770734028 1129957568 /nfs/dbraw/zinc/95/75/68/1129957568.db2.gz QLPPAEKHCVZEQG-MRXNPFEDSA-N 1 2 294.354 3.571 20 0 CHADLO Cc1cc(F)ncc1CNc1[nH+]c2ccccc2n1C1CC1 ZINC000902065325 1129977078 /nfs/dbraw/zinc/97/70/78/1129977078.db2.gz HAJVISXCVFRDBY-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000771938478 1129996825 /nfs/dbraw/zinc/99/68/25/1129996825.db2.gz MGMCKNAKLXQICJ-OAHLLOKOSA-N 1 2 289.810 3.583 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000771938478 1129996827 /nfs/dbraw/zinc/99/68/27/1129996827.db2.gz MGMCKNAKLXQICJ-OAHLLOKOSA-N 1 2 289.810 3.583 20 0 CHADLO Brc1ccoc1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000771941146 1129998022 /nfs/dbraw/zinc/99/80/22/1129998022.db2.gz YPYLCLQCRSIBIM-CYBMUJFWSA-N 1 2 292.176 3.989 20 0 CHADLO Brc1ccoc1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000771941146 1129998024 /nfs/dbraw/zinc/99/80/24/1129998024.db2.gz YPYLCLQCRSIBIM-CYBMUJFWSA-N 1 2 292.176 3.989 20 0 CHADLO CCn1cnc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)c1 ZINC000902488754 1130017084 /nfs/dbraw/zinc/01/70/84/1130017084.db2.gz NNOXNWMUYCJQOI-UHFFFAOYSA-N 1 2 295.789 3.720 20 0 CHADLO CC(C)CC[C@@H]([NH2+]Cc1csc(N)n1)c1ccoc1 ZINC000902498393 1130019109 /nfs/dbraw/zinc/01/91/09/1130019109.db2.gz FZVCFPGJSQQHSN-CYBMUJFWSA-N 1 2 279.409 3.585 20 0 CHADLO CC(=O)c1cccc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001239636418 1130027580 /nfs/dbraw/zinc/02/75/80/1130027580.db2.gz BRYXCVSVMYHUAY-UHFFFAOYSA-N 1 2 276.339 3.801 20 0 CHADLO CNc1ccccc1C[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000902634294 1130033389 /nfs/dbraw/zinc/03/33/89/1130033389.db2.gz FFHDYESATJACDW-MRXNPFEDSA-N 1 2 276.330 3.668 20 0 CHADLO CCOc1ccc(CNc2ccc([NH+](C)C)cc2)cc1F ZINC000785488429 1130035860 /nfs/dbraw/zinc/03/58/60/1130035860.db2.gz RSSFIVUYDATUJZ-UHFFFAOYSA-N 1 2 288.366 3.903 20 0 CHADLO CN(C)c1cc(COc2cccc(C(F)F)c2)cc[nH+]1 ZINC000902683814 1130038598 /nfs/dbraw/zinc/03/85/98/1130038598.db2.gz RAQLPWCNPAWAIE-UHFFFAOYSA-N 1 2 278.302 3.664 20 0 CHADLO Cc1nnsc1COc1cc(C)[nH+]c2ccc(C)cc21 ZINC000902693608 1130039305 /nfs/dbraw/zinc/03/93/05/1130039305.db2.gz ZGXIVHAYENVTIZ-UHFFFAOYSA-N 1 2 285.372 3.591 20 0 CHADLO CN(Cc1cncs1)c1cc(-c2ccccc2)cc[nH+]1 ZINC001157085177 1130044223 /nfs/dbraw/zinc/04/42/23/1130044223.db2.gz UKPMETWGGYEPFE-UHFFFAOYSA-N 1 2 281.384 3.842 20 0 CHADLO COc1cccc(OC)c1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001239675163 1130046832 /nfs/dbraw/zinc/04/68/32/1130046832.db2.gz YDDZLOKXCFLEOW-UHFFFAOYSA-N 1 2 294.354 3.771 20 0 CHADLO COc1ccc(F)cc1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001239722438 1130074420 /nfs/dbraw/zinc/07/44/20/1130074420.db2.gz CNFPQTUAZJOWNE-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO COc1ccc(F)cc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001239724487 1130075628 /nfs/dbraw/zinc/07/56/28/1130075628.db2.gz QLIUFEWKVONIKI-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cccc(C(=O)OC)c2)cc1 ZINC001239733237 1130080157 /nfs/dbraw/zinc/08/01/57/1130080157.db2.gz ZWPSUULXVKBEIN-UHFFFAOYSA-N 1 2 283.327 3.502 20 0 CHADLO Cc1cc(-c2cncc(Oc3ccccc3)c2)c[nH+]c1N ZINC001239752886 1130092177 /nfs/dbraw/zinc/09/21/77/1130092177.db2.gz YBJCBZXZIHSXFO-UHFFFAOYSA-N 1 2 277.327 3.827 20 0 CHADLO CC(C)CCC[C@@H](C)[NH2+]CC(F)(F)C(F)(F)F ZINC001170057952 1130095673 /nfs/dbraw/zinc/09/56/73/1130095673.db2.gz RECCMFMJNRUOCC-SECBINFHSA-N 1 2 261.278 3.988 20 0 CHADLO COC(=O)[C@@H](Cc1ccccc1)[NH2+][C@@H](C)CCCC(C)C ZINC001170058317 1130096913 /nfs/dbraw/zinc/09/69/13/1130096913.db2.gz ZZTCSTIPGPMERU-DOTOQJQBSA-N 1 2 291.435 3.575 20 0 CHADLO CCCn1cc([C@H](C)[NH2+][C@@H](CC)c2ccccc2F)nn1 ZINC000903038959 1130103920 /nfs/dbraw/zinc/10/39/20/1130103920.db2.gz PHXMDUBAOJIBFR-WFASDCNBSA-N 1 2 290.386 3.629 20 0 CHADLO c1cn(-c2ccc(-c3ccc(C4=CCCC4)nn3)cc2)c[nH+]1 ZINC001239787513 1130107613 /nfs/dbraw/zinc/10/76/13/1130107613.db2.gz CHIMOOGYYVFBEF-UHFFFAOYSA-N 1 2 288.354 3.897 20 0 CHADLO c1cn(Cc2ccccc2-c2ccc3c(c2)CCO3)c[nH+]1 ZINC001239806123 1130116223 /nfs/dbraw/zinc/11/62/23/1130116223.db2.gz QRGMFMUXBKJPJB-UHFFFAOYSA-N 1 2 276.339 3.533 20 0 CHADLO Fc1ccc(-c2ccc3c(c2)CCO3)cc1-n1cc[nH+]c1 ZINC001239807432 1130116434 /nfs/dbraw/zinc/11/64/34/1130116434.db2.gz AVPWDZGAISMGDL-UHFFFAOYSA-N 1 2 280.302 3.613 20 0 CHADLO COc1ccc(-c2cccc3[nH+]c(C)cn32)c(C)c1 ZINC001239826107 1130126799 /nfs/dbraw/zinc/12/67/99/1130126799.db2.gz MRHXBIIMHCRLHP-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2c(s1)CCC2)c1ccncc1Cl ZINC000903191293 1130127041 /nfs/dbraw/zinc/12/70/41/1130127041.db2.gz SBQCGFXUFOVVAC-SECBINFHSA-N 1 2 293.823 3.531 20 0 CHADLO COc1ccc(-c2ccc3[nH+]ccn3c2C)c(C)c1 ZINC001239829293 1130128649 /nfs/dbraw/zinc/12/86/49/1130128649.db2.gz BJOBHIBRVSRSQT-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cc1cc(N[C@H](C)c2cnn(CC3CCC3)c2)c[nH+]c1C ZINC000903222005 1130131879 /nfs/dbraw/zinc/13/18/79/1130131879.db2.gz WEOGTTKCAYILDD-CQSZACIVSA-N 1 2 284.407 3.868 20 0 CHADLO COc1ccc2cc(-c3ccc(C)c(N)[nH+]3)ccc2c1 ZINC001239841565 1130132460 /nfs/dbraw/zinc/13/24/60/1130132460.db2.gz UUUUEHJWHGJVTI-UHFFFAOYSA-N 1 2 264.328 3.801 20 0 CHADLO Cc1ccc(-c2cc(-n3ccnc3)cc[nH+]2)c(Cl)c1 ZINC001239862339 1130139086 /nfs/dbraw/zinc/13/90/86/1130139086.db2.gz VSIOGYKGFBLCNR-UHFFFAOYSA-N 1 2 269.735 3.896 20 0 CHADLO CC(C)c1ccccc1-c1cncc(C[NH+]2CCOCC2)c1 ZINC001239865663 1130140524 /nfs/dbraw/zinc/14/05/24/1130140524.db2.gz DIMHBTKCEUJBEL-UHFFFAOYSA-N 1 2 296.414 3.704 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cccc(C(N)=O)c2)c1 ZINC001239886282 1130146438 /nfs/dbraw/zinc/14/64/38/1130146438.db2.gz MYQZHGFFGKKDHU-UHFFFAOYSA-N 1 2 282.387 3.607 20 0 CHADLO CC[C@@H](C)[C@H](C(=O)OCc1cc[nH+]c(N)c1)c1ccccc1 ZINC000787562804 1130154145 /nfs/dbraw/zinc/15/41/45/1130154145.db2.gz JYLYNTBOHIDHKY-DYVFJYSZSA-N 1 2 298.386 3.537 20 0 CHADLO CCN(C)c1cc(C)[nH+]c(-c2ccc(OC(C)C)cc2)n1 ZINC001239958748 1130171168 /nfs/dbraw/zinc/17/11/68/1130171168.db2.gz WEXORYDJTTUXOU-UHFFFAOYSA-N 1 2 285.391 3.695 20 0 CHADLO CC(C)Oc1ccc(-c2cccn3cc[nH+]c23)cc1 ZINC001239960767 1130172657 /nfs/dbraw/zinc/17/26/57/1130172657.db2.gz XSVBMPDYMIYXCM-UHFFFAOYSA-N 1 2 252.317 3.789 20 0 CHADLO CC(C)Oc1cccc(-c2cccc3[nH+]ccn32)c1 ZINC001239968211 1130177482 /nfs/dbraw/zinc/17/74/82/1130177482.db2.gz OONDLFUDSSNOLN-UHFFFAOYSA-N 1 2 252.317 3.789 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cccc(OC(C)C)c1 ZINC001239970863 1130179052 /nfs/dbraw/zinc/17/90/52/1130179052.db2.gz SBBFPCMLNHHIRG-UHFFFAOYSA-N 1 2 282.343 3.797 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(Cl)cn1)c1ccccc1Cl ZINC000788488691 1130190467 /nfs/dbraw/zinc/19/04/67/1130190467.db2.gz RRFQRYVORGNXAN-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO Oc1ccc([NH2+]CC[C@@H]2CCc3ccc4c(c32)CCO4)cc1 ZINC001170085821 1130195504 /nfs/dbraw/zinc/19/55/04/1130195504.db2.gz UAQFWWCCUASNQE-AWEZNQCLSA-N 1 2 295.382 3.859 20 0 CHADLO COc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)c(F)c1 ZINC001240036539 1130201189 /nfs/dbraw/zinc/20/11/89/1130201189.db2.gz QCIPYKCPCAHINH-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cc(OC)ccc2F)c1 ZINC001240118549 1130215232 /nfs/dbraw/zinc/21/52/32/1130215232.db2.gz WERCXTLYPOJLRK-UHFFFAOYSA-N 1 2 273.307 3.863 20 0 CHADLO Fc1cc(F)c2nc(-c3ccc4[nH+]ccn4c3)ccc2c1 ZINC001240214867 1130233477 /nfs/dbraw/zinc/23/34/77/1130233477.db2.gz PUYFKLFVDWQCCG-UHFFFAOYSA-N 1 2 281.265 3.828 20 0 CHADLO COc1ccc2ccc(-c3ccc4[nH+]ccn4c3)nc2c1 ZINC001240214348 1130233661 /nfs/dbraw/zinc/23/36/61/1130233661.db2.gz MRDHPBLMIDFECY-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO COc1ccc2nc(-c3ccc4[nH+]ccn4c3)ccc2c1 ZINC001240213758 1130234056 /nfs/dbraw/zinc/23/40/56/1130234056.db2.gz GRXIZTGODWEPDV-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1ccc(-c2ccc3[nH+]ccn3c2)nc1C(F)(F)F ZINC001240215245 1130234467 /nfs/dbraw/zinc/23/44/67/1130234467.db2.gz WCTDWHUADTZVGC-UHFFFAOYSA-N 1 2 281.212 3.554 20 0 CHADLO COc1cnc(-c2ccc3[nH+]ccn3c2)c2ccccc12 ZINC001240215049 1130234557 /nfs/dbraw/zinc/23/45/57/1130234557.db2.gz UOONIQVHQOGBRG-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1ccc2cc(-c3ccc4[nH+]ccn4c3)ccc2n1 ZINC001240217315 1130235445 /nfs/dbraw/zinc/23/54/45/1130235445.db2.gz MLBSKCUGWQYZMD-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC[C@H](C)c1nc2[nH]ccc2c(-c2ccc3[nH+]ccn3c2)n1 ZINC001240217849 1130235541 /nfs/dbraw/zinc/23/55/41/1130235541.db2.gz YBUAQZJGSQSSFI-NSHDSACASA-N 1 2 291.358 3.738 20 0 CHADLO Cc1ccc2cnccc2c1-c1ccc2[nH+]ccn2c1 ZINC001240218212 1130235550 /nfs/dbraw/zinc/23/55/50/1130235550.db2.gz GKNJCEUPPKLRTA-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCc1cc(OC)ccc1-c1ccc2[nH+]ccn2c1 ZINC001240217787 1130235702 /nfs/dbraw/zinc/23/57/02/1130235702.db2.gz OGTDRNCTWONUKZ-UHFFFAOYSA-N 1 2 252.317 3.572 20 0 CHADLO Cc1ccc(-c2ccc(-c3ccc4[nH+]ccn4c3)nn2)s1 ZINC001240217137 1130236349 /nfs/dbraw/zinc/23/63/49/1130236349.db2.gz CPDFQKLEERHFJZ-UHFFFAOYSA-N 1 2 292.367 3.828 20 0 CHADLO Fc1cc2cccnc2c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240219526 1130237842 /nfs/dbraw/zinc/23/78/42/1130237842.db2.gz LYAWRYVTWDGCHP-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ncc(-c2ccc3[nH+]ccn3c2)cc1C(F)(F)F ZINC001240220873 1130238514 /nfs/dbraw/zinc/23/85/14/1130238514.db2.gz MJJXOFBFLMTRNB-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Cc1ncc2ccccc2c1-c1ccc2[nH+]ccn2c1 ZINC001240220732 1130238704 /nfs/dbraw/zinc/23/87/04/1130238704.db2.gz LJOHLGSMZQUXNR-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC(C)(C)OC(=O)c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240219901 1130238756 /nfs/dbraw/zinc/23/87/56/1130238756.db2.gz ZKBLAWAXFGGSOJ-UHFFFAOYSA-N 1 2 294.354 3.957 20 0 CHADLO CCOc1cc(C)ccc1-c1ccc2[nH+]ccn2c1 ZINC001240221579 1130239360 /nfs/dbraw/zinc/23/93/60/1130239360.db2.gz NAXQFOFOKGQJCA-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO c1cn2ccc(-c3cccc(N4CCCCC4)c3)cc2[nH+]1 ZINC001240252124 1130248775 /nfs/dbraw/zinc/24/87/75/1130248775.db2.gz ZSOQVPGBOZOQMY-UHFFFAOYSA-N 1 2 277.371 3.992 20 0 CHADLO CNc1cc(-c2cccc(N3CCCCC3)c2)cc[nH+]1 ZINC001240252536 1130249771 /nfs/dbraw/zinc/24/97/71/1130249771.db2.gz GKBFIRDKKCTOON-UHFFFAOYSA-N 1 2 267.376 3.781 20 0 CHADLO CC(C)COC(=O)[C@H](C)[NH2+][C@H]1CCC[C@H]1c1ccccc1 ZINC000789579390 1130260902 /nfs/dbraw/zinc/26/09/02/1130260902.db2.gz GFRHTXHPPJPRHT-XIRDDKMYSA-N 1 2 289.419 3.500 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139354187 1130264586 /nfs/dbraw/zinc/26/45/86/1130264586.db2.gz MQMWDEZCHKPRIL-VIFPVBQESA-N 1 2 259.180 3.620 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139354187 1130264588 /nfs/dbraw/zinc/26/45/88/1130264588.db2.gz MQMWDEZCHKPRIL-VIFPVBQESA-N 1 2 259.180 3.620 20 0 CHADLO c1cn2c(cccc2-c2ccc3ncsc3c2)[nH+]1 ZINC001240344958 1130273164 /nfs/dbraw/zinc/27/31/64/1130273164.db2.gz UTMWOTQALOKJMK-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cc3ccccc3[nH]2)no1 ZINC000789725398 1130274648 /nfs/dbraw/zinc/27/46/48/1130274648.db2.gz FCQFDRIDYLLWCC-KRWDZBQOSA-N 1 2 281.359 3.801 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cc3ccccc3[nH]2)no1 ZINC000789725398 1130274652 /nfs/dbraw/zinc/27/46/52/1130274652.db2.gz FCQFDRIDYLLWCC-KRWDZBQOSA-N 1 2 281.359 3.801 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2cnc3[nH]ccc3c2)c1 ZINC001240393584 1130289398 /nfs/dbraw/zinc/28/93/98/1130289398.db2.gz SXVVMYZNKQJOSB-UHFFFAOYSA-N 1 2 274.327 3.582 20 0 CHADLO Cc1cc(N2CCOCC2)[nH+]cc1-c1ccc2occc2c1 ZINC001240424136 1130303740 /nfs/dbraw/zinc/30/37/40/1130303740.db2.gz SGRNXTYFCHOVDE-UHFFFAOYSA-N 1 2 294.354 3.640 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc2occc2c1 ZINC001240425554 1130305452 /nfs/dbraw/zinc/30/54/52/1130305452.db2.gz FLTCGYUGOOFHEH-UHFFFAOYSA-N 1 2 264.284 3.985 20 0 CHADLO Cc1csc(C[N@@H+]2CCO[C@@H](C(C)C)C2)c1Cl ZINC001137292872 1130306174 /nfs/dbraw/zinc/30/61/74/1130306174.db2.gz UGNACSADTSISMH-LLVKDONJSA-N 1 2 273.829 3.567 20 0 CHADLO Cc1csc(C[N@H+]2CCO[C@@H](C(C)C)C2)c1Cl ZINC001137292872 1130306177 /nfs/dbraw/zinc/30/61/77/1130306177.db2.gz UGNACSADTSISMH-LLVKDONJSA-N 1 2 273.829 3.567 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3ncc(C4CC4)o3)CCC2)cc1 ZINC000677696481 1130322890 /nfs/dbraw/zinc/32/28/90/1130322890.db2.gz HBZNLRDGJZRDQW-UHFFFAOYSA-N 1 2 286.350 3.860 20 0 CHADLO Cc1cc2cc(-c3ccccc3Cn3cc[nH+]c3)cnc2[nH]1 ZINC001240490279 1130324923 /nfs/dbraw/zinc/32/49/23/1130324923.db2.gz NDFXCNNOMQWNLZ-UHFFFAOYSA-N 1 2 288.354 3.783 20 0 CHADLO COc1ccc(Cl)cc1C[NH+]1CC2(C1)CC(F)(F)C2 ZINC000677733560 1130326443 /nfs/dbraw/zinc/32/64/43/1130326443.db2.gz MPUCEGYBSVOIKL-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO CCC1(CNc2cc(C)c3cccc(C)c3[nH+]2)COC1 ZINC001157541956 1130326761 /nfs/dbraw/zinc/32/67/61/1130326761.db2.gz OIWCTVRPDBJTNY-UHFFFAOYSA-N 1 2 270.376 3.690 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+]1CCCOc1ccc(Cl)cc1 ZINC000677737119 1130327473 /nfs/dbraw/zinc/32/74/73/1130327473.db2.gz SVAWXXSKTPONBI-ZDUSSCGKSA-N 1 2 289.753 3.838 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+]1CCCOc1ccc(Cl)cc1 ZINC000677737119 1130327479 /nfs/dbraw/zinc/32/74/79/1130327479.db2.gz SVAWXXSKTPONBI-ZDUSSCGKSA-N 1 2 289.753 3.838 20 0 CHADLO CC(C)c1cc(N2CC(C(C)C)C2)nc(C(C)C)[nH+]1 ZINC000677745597 1130328162 /nfs/dbraw/zinc/32/81/62/1130328162.db2.gz TWHUQONLAIISRC-UHFFFAOYSA-N 1 2 261.413 3.816 20 0 CHADLO Fc1cc(C(F)(F)F)cnc1-c1ccn2cc[nH+]c2c1 ZINC001240495960 1130328953 /nfs/dbraw/zinc/32/89/53/1130328953.db2.gz BOVHVYGLUCFSMV-UHFFFAOYSA-N 1 2 281.212 3.554 20 0 CHADLO CC(C)c1cc(N[C@@H](C)C[C@H]2CCOC2)nc(C(C)C)[nH+]1 ZINC000677745481 1130329071 /nfs/dbraw/zinc/32/90/71/1130329071.db2.gz BNMMSKDFJOEVMT-UONOGXRCSA-N 1 2 291.439 3.950 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2ccc3[nH+]ccn3c2)c(C)c1 ZINC000677764797 1130330165 /nfs/dbraw/zinc/33/01/65/1130330165.db2.gz VVVKHUBNOFBPCW-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO COc1cc(-c2ccn3cc[nH+]c3c2)nc2ccccc21 ZINC001240497551 1130330183 /nfs/dbraw/zinc/33/01/83/1130330183.db2.gz SWNHTMGIGLCYLD-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1ccc(-c2cc(-c3ccn4cc[nH+]c4c3)ncn2)cc1 ZINC001240504547 1130333153 /nfs/dbraw/zinc/33/31/53/1130333153.db2.gz QVYGCWOCZGSZMZ-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO c1ccn(-c2ccc(-c3ccn4cc[nH+]c4c3)cc2)c1 ZINC001240508527 1130334467 /nfs/dbraw/zinc/33/44/67/1130334467.db2.gz AYRBUAUSAXFGDO-UHFFFAOYSA-N 1 2 259.312 3.792 20 0 CHADLO c1cn2ccc(-c3cccc(-c4cnco4)c3)cc2[nH+]1 ZINC001240508844 1130334707 /nfs/dbraw/zinc/33/47/07/1130334707.db2.gz MCDLLONHZHQTJY-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO Fc1cccc(OC(F)F)c1-c1ccn2cc[nH+]c2c1 ZINC001240509442 1130334757 /nfs/dbraw/zinc/33/47/57/1130334757.db2.gz ZFLUDMAEJLRIDF-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO Cc1c(-c2ccn3cc[nH+]c3c2)ccc2cccnc21 ZINC001240510512 1130335386 /nfs/dbraw/zinc/33/53/86/1130335386.db2.gz MNBYBVYVXKYRNG-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO c1cn2ccc(-c3ccc(O[C@@H]4CCCCO4)cc3)cc2[nH+]1 ZINC001240511923 1130335994 /nfs/dbraw/zinc/33/59/94/1130335994.db2.gz LYEJEQYVDBJNKH-GOSISDBHSA-N 1 2 294.354 3.907 20 0 CHADLO CC[C@H](C(=O)OC)c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240512721 1130336807 /nfs/dbraw/zinc/33/68/07/1130336807.db2.gz YNDWEHBXWHVJQS-INIZCTEOSA-N 1 2 294.354 3.668 20 0 CHADLO Cc1noc(-c2ccc(-c3ccn4cc[nH+]c4c3)cc2)c1N ZINC001240512714 1130337098 /nfs/dbraw/zinc/33/70/98/1130337098.db2.gz YFYVTEOCGXSHFV-UHFFFAOYSA-N 1 2 290.326 3.547 20 0 CHADLO CSc1ccc(-c2ccn3cc[nH+]c3c2)cc1F ZINC001240512688 1130337485 /nfs/dbraw/zinc/33/74/85/1130337485.db2.gz WWESTECEESWITP-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO COC(=O)c1ccc(C(C)C)c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240513415 1130337801 /nfs/dbraw/zinc/33/78/01/1130337801.db2.gz RCVYSBHOOVGSOH-UHFFFAOYSA-N 1 2 294.354 3.911 20 0 CHADLO c1csc([C@@H]2CCC[N@H+]2Cc2cc3ccccc3[nH]2)n1 ZINC001137319579 1130344339 /nfs/dbraw/zinc/34/43/39/1130344339.db2.gz FCTINMHMFGGEED-HNNXBMFYSA-N 1 2 283.400 3.962 20 0 CHADLO c1csc([C@@H]2CCC[N@@H+]2Cc2cc3ccccc3[nH]2)n1 ZINC001137319579 1130344343 /nfs/dbraw/zinc/34/43/43/1130344343.db2.gz FCTINMHMFGGEED-HNNXBMFYSA-N 1 2 283.400 3.962 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cscc2Cl)o1 ZINC000678123387 1130351411 /nfs/dbraw/zinc/35/14/11/1130351411.db2.gz QVQSWTCAKKBYGT-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cscc2Cl)o1 ZINC000678123387 1130351416 /nfs/dbraw/zinc/35/14/16/1130351416.db2.gz QVQSWTCAKKBYGT-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1ccc(C[N@H+](Cc2[nH]nc3ccccc32)C2CC2)o1 ZINC000678134858 1130353932 /nfs/dbraw/zinc/35/39/32/1130353932.db2.gz ANHFSBDYBHQUBB-UHFFFAOYSA-N 1 2 281.359 3.629 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2[nH]nc3ccccc32)C2CC2)o1 ZINC000678134858 1130353939 /nfs/dbraw/zinc/35/39/39/1130353939.db2.gz ANHFSBDYBHQUBB-UHFFFAOYSA-N 1 2 281.359 3.629 20 0 CHADLO Cc1ccc(C[N@H+](Cc2n[nH]c3ccccc32)C2CC2)o1 ZINC000678134858 1130353940 /nfs/dbraw/zinc/35/39/40/1130353940.db2.gz ANHFSBDYBHQUBB-UHFFFAOYSA-N 1 2 281.359 3.629 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2n[nH]c3ccccc32)C2CC2)o1 ZINC000678134858 1130353947 /nfs/dbraw/zinc/35/39/47/1130353947.db2.gz ANHFSBDYBHQUBB-UHFFFAOYSA-N 1 2 281.359 3.629 20 0 CHADLO CC[C@H](C(=O)Nc1ccc(C)[nH+]c1N(C)C)c1ccccc1 ZINC000791139570 1130354393 /nfs/dbraw/zinc/35/43/93/1130354393.db2.gz LBBKSZOBNYOXPD-HNNXBMFYSA-N 1 2 297.402 3.588 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](Cc1cn[nH]c1)C1CC1 ZINC000678135741 1130358285 /nfs/dbraw/zinc/35/82/85/1130358285.db2.gz SQBWTBSUBOZAMB-NSHDSACASA-N 1 2 275.783 3.789 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](Cc1cn[nH]c1)C1CC1 ZINC000678135741 1130358292 /nfs/dbraw/zinc/35/82/92/1130358292.db2.gz SQBWTBSUBOZAMB-NSHDSACASA-N 1 2 275.783 3.789 20 0 CHADLO Cc1cn2c(cccc2-c2cccc(-c3cc[nH]n3)c2)[nH+]1 ZINC001240561728 1130360141 /nfs/dbraw/zinc/36/01/41/1130360141.db2.gz KTCJFDCLEXYDLW-UHFFFAOYSA-N 1 2 274.327 3.700 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@H+]1Cc1n[nH]c2ccccc21 ZINC000678196730 1130369609 /nfs/dbraw/zinc/36/96/09/1130369609.db2.gz CXVGNJMSRSIYND-ZDUSSCGKSA-N 1 2 293.370 3.519 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@@H+]1Cc1n[nH]c2ccccc21 ZINC000678196730 1130369615 /nfs/dbraw/zinc/36/96/15/1130369615.db2.gz CXVGNJMSRSIYND-ZDUSSCGKSA-N 1 2 293.370 3.519 20 0 CHADLO Cc1c(-c2ccc(N3CCCCC3)nc2)ccc2[nH+]ccn21 ZINC001240586117 1130373294 /nfs/dbraw/zinc/37/32/94/1130373294.db2.gz BAQVBTSCYKFLGG-UHFFFAOYSA-N 1 2 292.386 3.695 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc(N2CCCCC2)nc1 ZINC001240586034 1130373330 /nfs/dbraw/zinc/37/33/30/1130373330.db2.gz WMWYKRCQXXQPTA-UHFFFAOYSA-N 1 2 292.386 3.695 20 0 CHADLO Cc1cccn2c(/C=C\c3nc(Cl)ncc3C)c[nH+]c12 ZINC000791432263 1130373433 /nfs/dbraw/zinc/37/34/33/1130373433.db2.gz MUDMGWFRPYFVMI-WAYWQWQTSA-N 1 2 284.750 3.565 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@H+]2Cc2nccc3ccccc32)n1 ZINC000678222505 1130374049 /nfs/dbraw/zinc/37/40/49/1130374049.db2.gz VODOYTSITQGWKH-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@@H+]2Cc2nccc3ccccc32)n1 ZINC000678222505 1130374054 /nfs/dbraw/zinc/37/40/54/1130374054.db2.gz VODOYTSITQGWKH-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO C[N@H+](CC(F)F)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000678233424 1130374296 /nfs/dbraw/zinc/37/42/96/1130374296.db2.gz DSCBHCXTCXURQB-KGLIPLIRSA-N 1 2 253.336 3.910 20 0 CHADLO C[N@@H+](CC(F)F)[C@H]1CCCC[C@@H]1c1ccccc1 ZINC000678233424 1130374302 /nfs/dbraw/zinc/37/43/02/1130374302.db2.gz DSCBHCXTCXURQB-KGLIPLIRSA-N 1 2 253.336 3.910 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC[C@@H](C(F)(F)F)[C@H]2C)o1 ZINC000791585837 1130386692 /nfs/dbraw/zinc/38/66/92/1130386692.db2.gz UWCGWNAOUCAHAO-NXEZZACHSA-N 1 2 276.302 3.571 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC[C@@H](C(F)(F)F)[C@H]2C)o1 ZINC000791585837 1130386696 /nfs/dbraw/zinc/38/66/96/1130386696.db2.gz UWCGWNAOUCAHAO-NXEZZACHSA-N 1 2 276.302 3.571 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1csc(C(C)(C)C)n1 ZINC000678362944 1130409596 /nfs/dbraw/zinc/40/95/96/1130409596.db2.gz UHEKVSUMDRQDKS-GFCCVEGCSA-N 1 2 289.448 3.995 20 0 CHADLO c1ccc2c(c1)SC[C@@H]2[NH2+]Cc1nc2c(s1)CCC2 ZINC000678497626 1130423771 /nfs/dbraw/zinc/42/37/71/1130423771.db2.gz VZTPVDRFKDYIDF-LBPRGKRZSA-N 1 2 288.441 3.568 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2nc(C)sc2C)c(F)c1 ZINC000678597412 1130436834 /nfs/dbraw/zinc/43/68/34/1130436834.db2.gz DDWKKQCEUSPUQL-VIFPVBQESA-N 1 2 294.395 3.758 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@H](C)c2c(C)cc(C)cc2C)s1 ZINC000678623209 1130443572 /nfs/dbraw/zinc/44/35/72/1130443572.db2.gz CAJRNIDQKJWLJY-CYBMUJFWSA-N 1 2 289.448 3.965 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@H](C)c2c(C)cc(C)cc2C)s1 ZINC000678623209 1130443575 /nfs/dbraw/zinc/44/35/75/1130443575.db2.gz CAJRNIDQKJWLJY-CYBMUJFWSA-N 1 2 289.448 3.965 20 0 CHADLO CCc1noc(C[NH2+][C@@H](c2cccc(C)c2)C(C)(C)C)n1 ZINC000678791509 1130455366 /nfs/dbraw/zinc/45/53/66/1130455366.db2.gz PHYLKAPTJNMZCY-INIZCTEOSA-N 1 2 287.407 3.817 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1nc2c(s1)CCC2)C1CC1 ZINC000678819304 1130461950 /nfs/dbraw/zinc/46/19/50/1130461950.db2.gz PELSFWWAIGXVDW-LLVKDONJSA-N 1 2 288.416 3.950 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1nc2c(s1)CCC2)C1CC1 ZINC000678819304 1130461952 /nfs/dbraw/zinc/46/19/52/1130461952.db2.gz PELSFWWAIGXVDW-LLVKDONJSA-N 1 2 288.416 3.950 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccc(Cl)o2)c(C)s1 ZINC000678857729 1130465497 /nfs/dbraw/zinc/46/54/97/1130465497.db2.gz QAORZZBIXQKMBA-SSDOTTSWSA-N 1 2 270.785 3.857 20 0 CHADLO C[C@@H]1C[C@@H]1[C@@H]([NH2+]CC(F)F)c1ccc(Cl)cc1 ZINC000678897600 1130469243 /nfs/dbraw/zinc/46/92/43/1130469243.db2.gz FUIGEGRBULQFSC-WLTAIBSBSA-N 1 2 259.727 3.892 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](c2ccc(F)c(C)c2)C2CC2)n1 ZINC000678903997 1130471139 /nfs/dbraw/zinc/47/11/39/1130471139.db2.gz YXDLZOWMBLNPDW-BONVTDFDSA-N 1 2 289.354 3.627 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccccc2C(F)(F)F)C[C@H]1F ZINC000679220183 1130503747 /nfs/dbraw/zinc/50/37/47/1130503747.db2.gz CRNYXBVAHKVACQ-GXFFZTMASA-N 1 2 275.289 3.885 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccccc2C(F)(F)F)C[C@H]1F ZINC000679220183 1130503749 /nfs/dbraw/zinc/50/37/49/1130503749.db2.gz CRNYXBVAHKVACQ-GXFFZTMASA-N 1 2 275.289 3.885 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679224774 1130505732 /nfs/dbraw/zinc/50/57/32/1130505732.db2.gz OUNXQVZAOQBAFW-GRYCIOLGSA-N 1 2 262.328 3.569 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679224774 1130505739 /nfs/dbraw/zinc/50/57/39/1130505739.db2.gz OUNXQVZAOQBAFW-GRYCIOLGSA-N 1 2 262.328 3.569 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2cnc(Cl)s2)CC1 ZINC000792933331 1130507772 /nfs/dbraw/zinc/50/77/72/1130507772.db2.gz IQNGIWAGEFTOGC-UHFFFAOYSA-N 1 2 298.761 3.961 20 0 CHADLO CC(C)(C)c1nnc(C[N@@H+]2CCC=C(c3ccccc3)C2)o1 ZINC000792934553 1130509052 /nfs/dbraw/zinc/50/90/52/1130509052.db2.gz XVHVEXQAGJOYKZ-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CC(C)(C)c1nnc(C[N@H+]2CCC=C(c3ccccc3)C2)o1 ZINC000792934553 1130509059 /nfs/dbraw/zinc/50/90/59/1130509059.db2.gz XVHVEXQAGJOYKZ-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO Cn1ccc2c[nH+]c(-c3ccc4ccccc4c3)nc21 ZINC001240908358 1130510919 /nfs/dbraw/zinc/51/09/19/1130510919.db2.gz DDRMWXQWMBDVDS-UHFFFAOYSA-N 1 2 259.312 3.789 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](c1cccs1)C1CC1 ZINC000679330670 1130516270 /nfs/dbraw/zinc/51/62/70/1130516270.db2.gz PPQANIZHVCWIPH-XPTSAGLGSA-N 1 2 261.394 3.582 20 0 CHADLO c1cn(Cc2ccc(-c3cccc4ncccc43)nc2)c[nH+]1 ZINC001240937011 1130524208 /nfs/dbraw/zinc/52/42/08/1130524208.db2.gz RPLLPMQVDUKVFZ-UHFFFAOYSA-N 1 2 286.338 3.542 20 0 CHADLO C[C@H]([NH2+]CC1(F)CC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000793169800 1130526108 /nfs/dbraw/zinc/52/61/08/1130526108.db2.gz TTWQELFUPYRCBC-VIFPVBQESA-N 1 2 295.745 3.543 20 0 CHADLO CCCCCC(C)(C)C(=O)Nc1cc(C)[nH+]cc1C ZINC000747106534 1130529622 /nfs/dbraw/zinc/52/96/22/1130529622.db2.gz AJHAGTMFIMPJON-UHFFFAOYSA-N 1 2 262.397 3.665 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCO[C@@H](c3ccccc3)C2)[nH]1 ZINC001137376854 1130532907 /nfs/dbraw/zinc/53/29/07/1130532907.db2.gz SKXGFEPYADHMNC-QGZVFWFLSA-N 1 2 298.430 3.886 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCO[C@@H](c3ccccc3)C2)[nH]1 ZINC001137376854 1130532913 /nfs/dbraw/zinc/53/29/13/1130532913.db2.gz SKXGFEPYADHMNC-QGZVFWFLSA-N 1 2 298.430 3.886 20 0 CHADLO COCc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)cc1 ZINC001240952906 1130533531 /nfs/dbraw/zinc/53/35/31/1130533531.db2.gz IYXCGKJBLUMDLR-UHFFFAOYSA-N 1 2 278.355 3.901 20 0 CHADLO COCc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1 ZINC001240954583 1130534109 /nfs/dbraw/zinc/53/41/09/1130534109.db2.gz LLVZSDTYWRQUEC-UHFFFAOYSA-N 1 2 282.318 3.825 20 0 CHADLO Fc1ncccc1C[NH2+]Cc1nc(-c2ccccc2)cs1 ZINC000793283529 1130537570 /nfs/dbraw/zinc/53/75/70/1130537570.db2.gz HYPSCLWANKFWCU-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)cs1 ZINC000679539402 1130537967 /nfs/dbraw/zinc/53/79/67/1130537967.db2.gz AITSDVKKBSIMKM-SCZZXKLOSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)cs1 ZINC000679539402 1130537971 /nfs/dbraw/zinc/53/79/71/1130537971.db2.gz AITSDVKKBSIMKM-SCZZXKLOSA-N 1 2 278.343 3.614 20 0 CHADLO COc1ccc(Cl)cc1C[NH+]1CCC(F)(F)CC1 ZINC000793352351 1130550043 /nfs/dbraw/zinc/55/00/43/1130550043.db2.gz MFECABSZTBIBMI-UHFFFAOYSA-N 1 2 275.726 3.580 20 0 CHADLO CN(C)c1cccc(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001240986733 1130552191 /nfs/dbraw/zinc/55/21/91/1130552191.db2.gz SZTQWBNJSBZIKV-UHFFFAOYSA-N 1 2 277.371 3.664 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)[C@H](F)CC1CCCCC1 ZINC000793491275 1130560227 /nfs/dbraw/zinc/56/02/27/1130560227.db2.gz JYVQLBDHEHSFDO-CQSZACIVSA-N 1 2 289.354 3.581 20 0 CHADLO Cc1cn2c(n1)C[N@@H+](Cc1c(C)c(C)c(C)c(C)c1C)CC2 ZINC000679868158 1130561260 /nfs/dbraw/zinc/56/12/60/1130561260.db2.gz SHMQWBHAZUHOLK-UHFFFAOYSA-N 1 2 297.446 3.749 20 0 CHADLO Cc1cn2c(n1)C[N@H+](Cc1c(C)c(C)c(C)c(C)c1C)CC2 ZINC000679868158 1130561266 /nfs/dbraw/zinc/56/12/66/1130561266.db2.gz SHMQWBHAZUHOLK-UHFFFAOYSA-N 1 2 297.446 3.749 20 0 CHADLO COc1c(C)cc(-c2cn3cc[nH+]c3cc2C)cc1C ZINC001241016433 1130568447 /nfs/dbraw/zinc/56/84/47/1130568447.db2.gz GAIBRLCIKQCCBQ-UHFFFAOYSA-N 1 2 266.344 3.935 20 0 CHADLO CCOc1cc(F)cc(-c2cc3[nH]c[nH+]c3cc2OC)c1 ZINC001241025811 1130571640 /nfs/dbraw/zinc/57/16/40/1130571640.db2.gz ULNVRSFHZYZVQB-UHFFFAOYSA-N 1 2 286.306 3.776 20 0 CHADLO CC1(C)C[N@H+](Cc2ccnc(Cl)c2Cl)CCS1 ZINC000811463717 1130611595 /nfs/dbraw/zinc/61/15/95/1130611595.db2.gz FMHSJFGTDFTCGB-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccnc(Cl)c2Cl)CCS1 ZINC000811463717 1130611597 /nfs/dbraw/zinc/61/15/97/1130611597.db2.gz FMHSJFGTDFTCGB-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1C[N@H+](Cn2ccc(-c3cccs3)n2)CCC1(F)F ZINC000811780480 1130643690 /nfs/dbraw/zinc/64/36/90/1130643690.db2.gz YDRWCMRRGOBSKL-NSHDSACASA-N 1 2 297.374 3.546 20 0 CHADLO C[C@H]1C[N@@H+](Cn2ccc(-c3cccs3)n2)CCC1(F)F ZINC000811780480 1130643693 /nfs/dbraw/zinc/64/36/93/1130643693.db2.gz YDRWCMRRGOBSKL-NSHDSACASA-N 1 2 297.374 3.546 20 0 CHADLO CC(C)=CC[NH2+]C1(C(=O)OC(C)(C)C)CCCCC1 ZINC000759239284 1130646307 /nfs/dbraw/zinc/64/63/07/1130646307.db2.gz PEFZSWWTMKDSLE-UHFFFAOYSA-N 1 2 267.413 3.587 20 0 CHADLO COc1ccc(C[NH+]2Cc3ccccc3C2)c(OC(C)C)c1 ZINC001234689536 1130672726 /nfs/dbraw/zinc/67/27/26/1130672726.db2.gz UUPNANXCOBLYIP-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO CC(C)c1cc(NC[C@H]2CCC=CO2)nc(C(C)C)[nH+]1 ZINC000780620919 1130686785 /nfs/dbraw/zinc/68/67/85/1130686785.db2.gz AKCKISUDOMLWMD-CYBMUJFWSA-N 1 2 275.396 3.828 20 0 CHADLO CC(C)c1cc(NC[C@@H]2CCC=CO2)nc(C(C)C)[nH+]1 ZINC000780620920 1130686916 /nfs/dbraw/zinc/68/69/16/1130686916.db2.gz AKCKISUDOMLWMD-ZDUSSCGKSA-N 1 2 275.396 3.828 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)C[C@H](C)C1CCCCC1 ZINC001133456612 1130719720 /nfs/dbraw/zinc/71/97/20/1130719720.db2.gz VQVDCYGCUKDQGH-LBPRGKRZSA-N 1 2 274.408 3.665 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2c(F)cc(C)cc2F)c1 ZINC001235193812 1130723358 /nfs/dbraw/zinc/72/33/58/1130723358.db2.gz JKLMZUIJPYHOFI-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2c(F)cc(C)cc2F)c1 ZINC001235193812 1130723362 /nfs/dbraw/zinc/72/33/62/1130723362.db2.gz JKLMZUIJPYHOFI-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CO[C@H](C[N@@H+]1CCn2c(cc3ccccc32)C1)C1CCCC1 ZINC001170690769 1130726289 /nfs/dbraw/zinc/72/62/89/1130726289.db2.gz MHDCJWOGFGSGNJ-LJQANCHMSA-N 1 2 298.430 3.662 20 0 CHADLO CO[C@H](C[N@H+]1CCn2c(cc3ccccc32)C1)C1CCCC1 ZINC001170690769 1130726293 /nfs/dbraw/zinc/72/62/93/1130726293.db2.gz MHDCJWOGFGSGNJ-LJQANCHMSA-N 1 2 298.430 3.662 20 0 CHADLO CCc1cccc(C[N@@H+]2CCc3cc(Cl)ccc3C2)n1 ZINC001235257957 1130730063 /nfs/dbraw/zinc/73/00/63/1130730063.db2.gz IYZICRCSIUQTFY-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cccc(C[N@H+]2CCc3cc(Cl)ccc3C2)n1 ZINC001235257957 1130730065 /nfs/dbraw/zinc/73/00/65/1130730065.db2.gz IYZICRCSIUQTFY-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(F)c(F)c(F)c2F)[C@H]1C ZINC001235355532 1130735160 /nfs/dbraw/zinc/73/51/60/1130735160.db2.gz UGKIXSXZXMJQGW-IUCAKERBSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(F)c(F)c(F)c2F)[C@H]1C ZINC001235355532 1130735165 /nfs/dbraw/zinc/73/51/65/1130735165.db2.gz UGKIXSXZXMJQGW-IUCAKERBSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(F)c(F)c(F)c2F)[C@@H]1C ZINC001235355538 1130735637 /nfs/dbraw/zinc/73/56/37/1130735637.db2.gz UGKIXSXZXMJQGW-RKDXNWHRSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(F)c(F)c(F)c2F)[C@@H]1C ZINC001235355538 1130735640 /nfs/dbraw/zinc/73/56/40/1130735640.db2.gz UGKIXSXZXMJQGW-RKDXNWHRSA-N 1 2 275.289 3.863 20 0 CHADLO OC[C@@H]1CCC[N@@H+]1Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235589746 1130758046 /nfs/dbraw/zinc/75/80/46/1130758046.db2.gz KNGCHXMWPNZOHL-VIFPVBQESA-N 1 2 294.609 3.604 20 0 CHADLO OC[C@@H]1CCC[N@H+]1Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235589746 1130758053 /nfs/dbraw/zinc/75/80/53/1130758053.db2.gz KNGCHXMWPNZOHL-VIFPVBQESA-N 1 2 294.609 3.604 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cncc(F)c2Cl)CCC1(F)F ZINC001235486766 1130748273 /nfs/dbraw/zinc/74/82/73/1130748273.db2.gz AFQFVNBAPMSFLK-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cncc(F)c2Cl)CCC1(F)F ZINC001235486766 1130748276 /nfs/dbraw/zinc/74/82/76/1130748276.db2.gz AFQFVNBAPMSFLK-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[N@H+](Cc1cscn1)[C@H]1CCc2cc(Cl)ccc21 ZINC000782180964 1130757430 /nfs/dbraw/zinc/75/74/30/1130757430.db2.gz MPWHRIKNUNRYIM-AWEZNQCLSA-N 1 2 278.808 3.916 20 0 CHADLO CCC[C@@H]1CCCC[N@@H+]1Cc1nc2ccc(F)cc2[nH]1 ZINC001235698767 1130769343 /nfs/dbraw/zinc/76/93/43/1130769343.db2.gz YBPBVOUFSIOTFG-CYBMUJFWSA-N 1 2 275.371 3.857 20 0 CHADLO CCC[C@@H]1CCCC[N@H+]1Cc1nc2ccc(F)cc2[nH]1 ZINC001235698767 1130769344 /nfs/dbraw/zinc/76/93/44/1130769344.db2.gz YBPBVOUFSIOTFG-CYBMUJFWSA-N 1 2 275.371 3.857 20 0 CHADLO C[C@@H]1COc2ccccc2C[N@@H+]1Cc1ccnc(Cl)c1 ZINC000782521680 1130781703 /nfs/dbraw/zinc/78/17/03/1130781703.db2.gz ZKXVIUYLTKKVTD-GFCCVEGCSA-N 1 2 288.778 3.518 20 0 CHADLO C[C@@H]1COc2ccccc2C[N@H+]1Cc1ccnc(Cl)c1 ZINC000782521680 1130781706 /nfs/dbraw/zinc/78/17/06/1130781706.db2.gz ZKXVIUYLTKKVTD-GFCCVEGCSA-N 1 2 288.778 3.518 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OCCCCC(F)(F)F ZINC000783160298 1130799699 /nfs/dbraw/zinc/79/96/99/1130799699.db2.gz SABPFLXRQQCHMX-UHFFFAOYSA-N 1 2 292.276 3.599 20 0 CHADLO Clc1ccc(-c2cc[nH+]c3c2CCN3)c(Cl)c1 ZINC001235969211 1130822003 /nfs/dbraw/zinc/82/20/03/1130822003.db2.gz XBKKQASNVYCOSI-UHFFFAOYSA-N 1 2 265.143 3.666 20 0 CHADLO Cc1ccc(-c2ccc(-c3ccncc3)cc2)[nH+]c1N ZINC001236036857 1130834473 /nfs/dbraw/zinc/83/44/73/1130834473.db2.gz LLBGKGYQNJSHLA-UHFFFAOYSA-N 1 2 261.328 3.701 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cccc3c1NCC3)C2 ZINC001236073958 1130848829 /nfs/dbraw/zinc/84/88/29/1130848829.db2.gz ZWFFHKADOYGEFV-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cccc3c1NCC3)C2 ZINC001236073958 1130848837 /nfs/dbraw/zinc/84/88/37/1130848837.db2.gz ZWFFHKADOYGEFV-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO COc1cc(-c2cc[nH+]c(N3CCCC3)c2)cc(C)c1F ZINC001236191766 1130883839 /nfs/dbraw/zinc/88/38/39/1130883839.db2.gz VJAHPMVFCHGYHX-UHFFFAOYSA-N 1 2 286.350 3.805 20 0 CHADLO FC(F)(F)[C@@H]1CC=C(c2nc3[nH]ccc3c[nH+]2)CC1 ZINC001236247009 1130904014 /nfs/dbraw/zinc/90/40/14/1130904014.db2.gz OEJBXVADDIYJTE-SNVBAGLBSA-N 1 2 267.254 3.655 20 0 CHADLO C[NH+](C)[C@@H](C(=O)O[C@@H]1CCc2ccccc21)c1ccccc1 ZINC000784588887 1130912065 /nfs/dbraw/zinc/91/20/65/1130912065.db2.gz OHWKMFUREDVGRN-QZTJIDSGSA-N 1 2 295.382 3.520 20 0 CHADLO CN(C)c1ccc(-c2ccc(N)[nH+]c2)c2ccccc21 ZINC001236313806 1130912175 /nfs/dbraw/zinc/91/21/75/1130912175.db2.gz YXPKXEBLTIIPOW-UHFFFAOYSA-N 1 2 263.344 3.550 20 0 CHADLO Nc1ccc(-c2cccc(Cc3ccccc3)c2)c[nH+]1 ZINC001236314716 1130913417 /nfs/dbraw/zinc/91/34/17/1130913417.db2.gz FPPMMGMEYSWHJF-UHFFFAOYSA-N 1 2 260.340 3.922 20 0 CHADLO c1cc(C2=CCSCC2)cc(N2CCCCC2)[nH+]1 ZINC001236367174 1130920319 /nfs/dbraw/zinc/92/03/19/1130920319.db2.gz NUYFUZDTHUAIIS-UHFFFAOYSA-N 1 2 260.406 3.592 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2c(F)ccc(C)c2O)cc1 ZINC001236415431 1130933435 /nfs/dbraw/zinc/93/34/35/1130933435.db2.gz PKIKECFWVLXAIS-UHFFFAOYSA-N 1 2 273.307 3.869 20 0 CHADLO COc1nc(C(C)C)ccc1-c1cc(C)c2[nH+]ccn2c1 ZINC001236444873 1130943854 /nfs/dbraw/zinc/94/38/54/1130943854.db2.gz PYYZITUGOQDKER-UHFFFAOYSA-N 1 2 281.359 3.837 20 0 CHADLO COc1c(C)cccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001245361670 1130949745 /nfs/dbraw/zinc/94/97/45/1130949745.db2.gz FMENRJOQMZJYGR-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO CCN(C)c1cc(C)[nH+]c(-c2ccc(NC(C)C)cc2)n1 ZINC001236479442 1130950877 /nfs/dbraw/zinc/95/08/77/1130950877.db2.gz SVNYPYLPOMARRM-UHFFFAOYSA-N 1 2 284.407 3.728 20 0 CHADLO Cc1cc2c[nH+]c(-c3ccc(NC(C)C)cc3)nc2[nH]1 ZINC001236480492 1130951307 /nfs/dbraw/zinc/95/13/07/1130951307.db2.gz GCBZCYJRIVOGHR-UHFFFAOYSA-N 1 2 266.348 3.705 20 0 CHADLO CC(C)Nc1ccc(-c2cccc3[nH+]ccn32)cc1 ZINC001236484194 1130952789 /nfs/dbraw/zinc/95/27/89/1130952789.db2.gz KTNCHENAHBZWRP-UHFFFAOYSA-N 1 2 251.333 3.822 20 0 CHADLO COc1ccc(Nc2ccc(N)[nH+]c2)cc1C(C)C ZINC001159281871 1130957209 /nfs/dbraw/zinc/95/72/09/1130957209.db2.gz GIENFJMPQNHHJE-UHFFFAOYSA-N 1 2 257.337 3.539 20 0 CHADLO C/C(=C\C=C\[NH3+])c1cncc(OCc2ccccc2)c1 ZINC001236572699 1130978543 /nfs/dbraw/zinc/97/85/43/1130978543.db2.gz CPLREZMVHUQYJL-ADKMWWLWSA-N 1 2 266.344 3.536 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001236611811 1130984172 /nfs/dbraw/zinc/98/41/72/1130984172.db2.gz CZSFSXUNGPOKTR-SGMGOOAPSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001236611811 1130984174 /nfs/dbraw/zinc/98/41/74/1130984174.db2.gz CZSFSXUNGPOKTR-SGMGOOAPSA-N 1 2 298.199 3.547 20 0 CHADLO Clc1nccnc1C[N@@H+]1CCC[C@H]1c1cccs1 ZINC001236612973 1130984178 /nfs/dbraw/zinc/98/41/78/1130984178.db2.gz TZURVNCFLKOYTE-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1nccnc1C[N@H+]1CCC[C@H]1c1cccs1 ZINC001236612973 1130984181 /nfs/dbraw/zinc/98/41/81/1130984181.db2.gz TZURVNCFLKOYTE-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO C/C(=C\Cl)CSCc1cc[nH+]c(N(C)C)c1 ZINC000797809950 1131004442 /nfs/dbraw/zinc/00/44/42/1131004442.db2.gz JUUYDCYWNZXXJC-JXMROGBWSA-N 1 2 256.802 3.523 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)cc2C)s1 ZINC000815616488 1131010068 /nfs/dbraw/zinc/01/00/68/1131010068.db2.gz PSCJCFBCYUVTKE-NXEZZACHSA-N 1 2 279.384 3.706 20 0 CHADLO C[C@H]([NH2+]Cc1cnsn1)c1ccc(F)c2ccccc21 ZINC001170795408 1131012511 /nfs/dbraw/zinc/01/25/11/1131012511.db2.gz TYCISVMQHZNFRE-JTQLQIEISA-N 1 2 287.363 3.681 20 0 CHADLO Cc1cccc(-c2ccc(C[N@@H+](C)C3(C)COC3)cn2)c1C ZINC001236989295 1131033224 /nfs/dbraw/zinc/03/32/24/1131033224.db2.gz MWRYEEKSHOJPFW-UHFFFAOYSA-N 1 2 296.414 3.586 20 0 CHADLO Cc1cccc(-c2ccc(C[N@H+](C)C3(C)COC3)cn2)c1C ZINC001236989295 1131033229 /nfs/dbraw/zinc/03/32/29/1131033229.db2.gz MWRYEEKSHOJPFW-UHFFFAOYSA-N 1 2 296.414 3.586 20 0 CHADLO CC(C)(C)Oc1cccc(C[NH+]2CC3(C2)CCCCO3)c1 ZINC001237099658 1131049823 /nfs/dbraw/zinc/04/98/23/1131049823.db2.gz XLUJSKSVKJCPEU-UHFFFAOYSA-N 1 2 289.419 3.619 20 0 CHADLO CC(C)COc1cc(NCOc2ccccc2)cc[nH+]1 ZINC001170809635 1131050259 /nfs/dbraw/zinc/05/02/59/1131050259.db2.gz QNIXPPZLFXOJKG-UHFFFAOYSA-N 1 2 272.348 3.565 20 0 CHADLO c1cncc(OC2C[NH+](Cc3ccc(C4CCC4)cc3)C2)c1 ZINC001237110527 1131052626 /nfs/dbraw/zinc/05/26/26/1131052626.db2.gz LJXVXXJFNOEZHC-UHFFFAOYSA-N 1 2 294.398 3.612 20 0 CHADLO COC(=O)C[N@H+](C)Cc1ccc2sc3ccccc3c2c1 ZINC001237199765 1131070362 /nfs/dbraw/zinc/07/03/62/1131070362.db2.gz LSPOFERPUGVPED-UHFFFAOYSA-N 1 2 299.395 3.659 20 0 CHADLO COC(=O)C[N@@H+](C)Cc1ccc2sc3ccccc3c2c1 ZINC001237199765 1131070365 /nfs/dbraw/zinc/07/03/65/1131070365.db2.gz LSPOFERPUGVPED-UHFFFAOYSA-N 1 2 299.395 3.659 20 0 CHADLO CC[N@H+](C)Cc1c(Br)cccc1OC(F)F ZINC001237250792 1131074799 /nfs/dbraw/zinc/07/47/99/1131074799.db2.gz MBGGUBLYPJMOGR-UHFFFAOYSA-N 1 2 294.139 3.502 20 0 CHADLO CC[N@@H+](C)Cc1c(Br)cccc1OC(F)F ZINC001237250792 1131074803 /nfs/dbraw/zinc/07/48/03/1131074803.db2.gz MBGGUBLYPJMOGR-UHFFFAOYSA-N 1 2 294.139 3.502 20 0 CHADLO CC(C)(C)OC(=O)Nc1ccccc1C[N@@H+]1CC[C@H](F)C1 ZINC001237338499 1131086502 /nfs/dbraw/zinc/08/65/02/1131086502.db2.gz QBYIVPPCCJYMIM-ZDUSSCGKSA-N 1 2 294.370 3.577 20 0 CHADLO CC(C)(C)OC(=O)Nc1ccccc1C[N@H+]1CC[C@H](F)C1 ZINC001237338499 1131086508 /nfs/dbraw/zinc/08/65/08/1131086508.db2.gz QBYIVPPCCJYMIM-ZDUSSCGKSA-N 1 2 294.370 3.577 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)[C@H]2CCCOC2)c1Cl ZINC001237339068 1131088649 /nfs/dbraw/zinc/08/86/49/1131088649.db2.gz HCBIZFVAGDLUQU-NSHDSACASA-N 1 2 288.218 3.913 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)[C@H]2CCCOC2)c1Cl ZINC001237339068 1131088654 /nfs/dbraw/zinc/08/86/54/1131088654.db2.gz HCBIZFVAGDLUQU-NSHDSACASA-N 1 2 288.218 3.913 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1cnn(C)c1Cl)C1CC1 ZINC000816485012 1131098527 /nfs/dbraw/zinc/09/85/27/1131098527.db2.gz WNIHALYVZJBXOC-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1cnn(C)c1Cl)C1CC1 ZINC000816485012 1131098532 /nfs/dbraw/zinc/09/85/32/1131098532.db2.gz WNIHALYVZJBXOC-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO Cc1cc(C[NH+]2CC3(CCC3)C2)c(Br)cc1F ZINC001237508208 1131107914 /nfs/dbraw/zinc/10/79/14/1131107914.db2.gz WQKHWUVRQJMOTL-UHFFFAOYSA-N 1 2 298.199 3.883 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ccc3ncnc(Cl)c3c2)C1 ZINC001237577372 1131116402 /nfs/dbraw/zinc/11/64/02/1131116402.db2.gz UGKPXKHKEIVDHG-OAHLLOKOSA-N 1 2 293.773 3.607 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ccc3ncnc(Cl)c3c2)C1 ZINC001237577372 1131116405 /nfs/dbraw/zinc/11/64/05/1131116405.db2.gz UGKPXKHKEIVDHG-OAHLLOKOSA-N 1 2 293.773 3.607 20 0 CHADLO CCn1cncc1C[N@@H+]1CCC[C@@H]1c1cc(F)ccc1F ZINC001237589885 1131116873 /nfs/dbraw/zinc/11/68/73/1131116873.db2.gz IVYKNLRKSYHMNU-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cncc1C[N@H+]1CCC[C@@H]1c1cc(F)ccc1F ZINC001237589885 1131116877 /nfs/dbraw/zinc/11/68/77/1131116877.db2.gz IVYKNLRKSYHMNU-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO Cc1coc(C[N@@H+]2CCC[C@H]2c2ccccc2Cl)n1 ZINC001237644578 1131123228 /nfs/dbraw/zinc/12/32/28/1131123228.db2.gz FNFDBFOFYQGKAG-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1coc(C[N@H+]2CCC[C@H]2c2ccccc2Cl)n1 ZINC001237644578 1131123231 /nfs/dbraw/zinc/12/32/31/1131123231.db2.gz FNFDBFOFYQGKAG-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)C[C@@H]2CCCCO2)c1Cl ZINC001138027763 1131133175 /nfs/dbraw/zinc/13/31/75/1131133175.db2.gz IAUQEBNZDJYUBP-LBPRGKRZSA-N 1 2 285.790 3.788 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)C[C@@H]2CCCCO2)c1Cl ZINC001138027763 1131133177 /nfs/dbraw/zinc/13/31/77/1131133177.db2.gz IAUQEBNZDJYUBP-LBPRGKRZSA-N 1 2 285.790 3.788 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC001237904022 1131149827 /nfs/dbraw/zinc/14/98/27/1131149827.db2.gz UPGWVJIHWDKEDS-INIZCTEOSA-N 1 2 283.362 3.939 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+]1CCC[C@](C)(F)C1 ZINC001237904022 1131149828 /nfs/dbraw/zinc/14/98/28/1131149828.db2.gz UPGWVJIHWDKEDS-INIZCTEOSA-N 1 2 283.362 3.939 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+]1CCCC[C@@H](F)C1 ZINC001237903533 1131149894 /nfs/dbraw/zinc/14/98/94/1131149894.db2.gz MOLDBULVWRHTSG-OAHLLOKOSA-N 1 2 283.362 3.939 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+]1CCCC[C@@H](F)C1 ZINC001237903533 1131149897 /nfs/dbraw/zinc/14/98/97/1131149897.db2.gz MOLDBULVWRHTSG-OAHLLOKOSA-N 1 2 283.362 3.939 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@@H+]1CC[C@@H]2CCC[C@@H]2C1 ZINC001237995027 1131160226 /nfs/dbraw/zinc/16/02/26/1131160226.db2.gz CASMNGNLLPSRLP-NWDGAFQWSA-N 1 2 289.810 3.838 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@H+]1CC[C@@H]2CCC[C@@H]2C1 ZINC001237995027 1131160230 /nfs/dbraw/zinc/16/02/30/1131160230.db2.gz CASMNGNLLPSRLP-NWDGAFQWSA-N 1 2 289.810 3.838 20 0 CHADLO Cc1cc(N2CCSC[C@H](C)C2)[nH+]c2ccccc12 ZINC001116756403 1131162067 /nfs/dbraw/zinc/16/20/67/1131162067.db2.gz LBXMRQRGZSEWOC-GFCCVEGCSA-N 1 2 272.417 3.733 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(F)cc2Cl)CCC1(F)F ZINC001238018121 1131162319 /nfs/dbraw/zinc/16/23/19/1131162319.db2.gz RKGBTGNUFLUCOZ-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(F)cc2Cl)CCC1(F)F ZINC001238018121 1131162322 /nfs/dbraw/zinc/16/23/22/1131162322.db2.gz RKGBTGNUFLUCOZ-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO Fc1cc(Cl)c(C[N@@H+]2CCCc3ccccc3C2)cn1 ZINC001238021593 1131162637 /nfs/dbraw/zinc/16/26/37/1131162637.db2.gz YJNXHKVSEGSLSK-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Fc1cc(Cl)c(C[N@H+]2CCCc3ccccc3C2)cn1 ZINC001238021593 1131162640 /nfs/dbraw/zinc/16/26/40/1131162640.db2.gz YJNXHKVSEGSLSK-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO CCCCC[C@@H](CC)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000817458372 1131162785 /nfs/dbraw/zinc/16/27/85/1131162785.db2.gz FKQHSAZXZXBJAK-MRXNPFEDSA-N 1 2 276.424 3.921 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[NH+]1CC2(CCO2)C1 ZINC001238055261 1131164626 /nfs/dbraw/zinc/16/46/26/1131164626.db2.gz FRRSEZWEDCTROR-UHFFFAOYSA-N 1 2 295.382 3.762 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[N@H+]1C[C@H]2C[C@@H](C1)O2 ZINC001238058415 1131165226 /nfs/dbraw/zinc/16/52/26/1131165226.db2.gz TUTVSZOTDGXCNS-KDURUIRLSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[N@@H+]1C[C@H]2C[C@@H](C1)O2 ZINC001238058415 1131165229 /nfs/dbraw/zinc/16/52/29/1131165229.db2.gz TUTVSZOTDGXCNS-KDURUIRLSA-N 1 2 295.382 3.760 20 0 CHADLO CC(C)n1ncnc1C[NH2+][C@H](C)c1ccc(Cl)c(F)c1 ZINC001116841524 1131166485 /nfs/dbraw/zinc/16/64/85/1131166485.db2.gz KGLIEZWODKATAD-SNVBAGLBSA-N 1 2 296.777 3.502 20 0 CHADLO CCc1ccc(NC(=S)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000817560374 1131169008 /nfs/dbraw/zinc/16/90/08/1131169008.db2.gz MGOGILRLLBZLEM-UHFFFAOYSA-N 1 2 299.443 3.747 20 0 CHADLO Cc1cc(C[N@@H+]2CCCCC23COC3)cc(C)c1Cl ZINC001238098594 1131171382 /nfs/dbraw/zinc/17/13/82/1131171382.db2.gz ZIAIBLZLAXMKNQ-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO Cc1cc(C[N@H+]2CCCCC23COC3)cc(C)c1Cl ZINC001238098594 1131171384 /nfs/dbraw/zinc/17/13/84/1131171384.db2.gz ZIAIBLZLAXMKNQ-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO CN(C)c1ccc([NH2+][C@H]2CCc3cccnc3CC2)cc1 ZINC000817581778 1131172843 /nfs/dbraw/zinc/17/28/43/1131172843.db2.gz VIBBOTLUZZPUPR-HNNXBMFYSA-N 1 2 281.403 3.507 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCC3(CCC3)C2)cc1Cl ZINC001238147807 1131173834 /nfs/dbraw/zinc/17/38/34/1131173834.db2.gz BQUMDMDAXJOZDP-UHFFFAOYSA-N 1 2 269.747 3.561 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCC3(CCC3)C2)cc1Cl ZINC001238147807 1131173840 /nfs/dbraw/zinc/17/38/40/1131173840.db2.gz BQUMDMDAXJOZDP-UHFFFAOYSA-N 1 2 269.747 3.561 20 0 CHADLO CCC[NH+](CCC)Cc1cc(Cl)c(O)cc1F ZINC001238143951 1131174546 /nfs/dbraw/zinc/17/45/46/1131174546.db2.gz RODIKLUAZSYSHT-UHFFFAOYSA-N 1 2 259.752 3.807 20 0 CHADLO c1ccc([C@H]2C[N@H+](Cc3cncc(C4CC4)c3)CCO2)cc1 ZINC001238188962 1131177539 /nfs/dbraw/zinc/17/75/39/1131177539.db2.gz LKFJZYJAOZZATQ-LJQANCHMSA-N 1 2 294.398 3.533 20 0 CHADLO c1ccc([C@H]2C[N@@H+](Cc3cncc(C4CC4)c3)CCO2)cc1 ZINC001238188962 1131177542 /nfs/dbraw/zinc/17/75/42/1131177542.db2.gz LKFJZYJAOZZATQ-LJQANCHMSA-N 1 2 294.398 3.533 20 0 CHADLO CC[C@@H](C)[N@@H+](C)Cc1c(Cl)cncc1Br ZINC001238389849 1131194516 /nfs/dbraw/zinc/19/45/16/1131194516.db2.gz OQHGFHHMRWVGJZ-MRVPVSSYSA-N 1 2 291.620 3.728 20 0 CHADLO COc1ccc(Cl)cc1-c1cc(C)c2[nH+]ccn2c1 ZINC001245810714 1131185946 /nfs/dbraw/zinc/18/59/46/1131185946.db2.gz MTCVOSFIYHFTJE-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO Fc1ccnc(Cl)c1C[N@@H+]1CCCc2ccccc2C1 ZINC001238230860 1131186309 /nfs/dbraw/zinc/18/63/09/1131186309.db2.gz OZHTVKKAQRHJPQ-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Fc1ccnc(Cl)c1C[N@H+]1CCCc2ccccc2C1 ZINC001238230860 1131186310 /nfs/dbraw/zinc/18/63/10/1131186310.db2.gz OZHTVKKAQRHJPQ-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc(Cl)nc1 ZINC000817948948 1131189233 /nfs/dbraw/zinc/18/92/33/1131189233.db2.gz FNXHEMQYZSKUQB-JTQLQIEISA-N 1 2 273.767 3.876 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@@H+]1CCCC[C@H](F)C1 ZINC001238318796 1131189818 /nfs/dbraw/zinc/18/98/18/1131189818.db2.gz PAMUDZAHSHFKRP-AWEZNQCLSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@H+]1CCCC[C@H](F)C1 ZINC001238318796 1131189821 /nfs/dbraw/zinc/18/98/21/1131189821.db2.gz PAMUDZAHSHFKRP-AWEZNQCLSA-N 1 2 283.362 3.937 20 0 CHADLO CC[C@@H](C)[N@H+](C)Cc1c(Cl)cncc1Br ZINC001238389849 1131194514 /nfs/dbraw/zinc/19/45/14/1131194514.db2.gz OQHGFHHMRWVGJZ-MRVPVSSYSA-N 1 2 291.620 3.728 20 0 CHADLO CCOc1ccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c(Cl)c1 ZINC001238396165 1131195742 /nfs/dbraw/zinc/19/57/42/1131195742.db2.gz SGRHUYNJCWUCJA-IAQYHMDHSA-N 1 2 285.790 3.919 20 0 CHADLO CCOc1ccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c(Cl)c1 ZINC001238396165 1131195745 /nfs/dbraw/zinc/19/57/45/1131195745.db2.gz SGRHUYNJCWUCJA-IAQYHMDHSA-N 1 2 285.790 3.919 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c(F)c1 ZINC001238444039 1131201096 /nfs/dbraw/zinc/20/10/96/1131201096.db2.gz JKZDTOZLGDDCNJ-MLGOLLRUSA-N 1 2 283.362 3.793 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c(F)c1 ZINC001238444039 1131201100 /nfs/dbraw/zinc/20/11/00/1131201100.db2.gz JKZDTOZLGDDCNJ-MLGOLLRUSA-N 1 2 283.362 3.793 20 0 CHADLO Cc1cc(N2CCC[C@@H]2[C@@H]2CCC[C@@H]2O)[nH+]c2ccccc12 ZINC001117801158 1131201824 /nfs/dbraw/zinc/20/18/24/1131201824.db2.gz FGNBULXCQGRHPO-JQHSSLGASA-N 1 2 296.414 3.673 20 0 CHADLO C[C@H](O)[C@H](C)Nc1ccc([NH2+]C2CCCCC2)cc1 ZINC001118091937 1131209918 /nfs/dbraw/zinc/20/99/18/1131209918.db2.gz BJDMEWISUYLERU-STQMWFEESA-N 1 2 262.397 3.612 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+][C@@H]1C[C@]1(F)c1ccccc1 ZINC000818355853 1131210836 /nfs/dbraw/zinc/21/08/36/1131210836.db2.gz KEHQRFBUVVLJRP-CXMBCZLWSA-N 1 2 288.366 3.833 20 0 CHADLO CCOc1nccc(-c2ccc3[nH+]c(C)cn3c2)c1Cl ZINC001245844575 1131212524 /nfs/dbraw/zinc/21/25/24/1131212524.db2.gz KJIFMTHOKIURPJ-UHFFFAOYSA-N 1 2 287.750 3.757 20 0 CHADLO COc1cc(C)cc(F)c1C[NH+]1CC(C)(C)OC(C)(C)C1 ZINC001238589827 1131220053 /nfs/dbraw/zinc/22/00/53/1131220053.db2.gz AAKUDQPKRKBIRN-UHFFFAOYSA-N 1 2 295.398 3.532 20 0 CHADLO COc1cccc(C[N@H+](C)CCC(F)(F)F)c1Cl ZINC001118494194 1131229769 /nfs/dbraw/zinc/22/97/69/1131229769.db2.gz CCCQXPPYXBJXTD-UHFFFAOYSA-N 1 2 281.705 3.733 20 0 CHADLO COc1cccc(C[N@@H+](C)CCC(F)(F)F)c1Cl ZINC001118494194 1131229773 /nfs/dbraw/zinc/22/97/73/1131229773.db2.gz CCCQXPPYXBJXTD-UHFFFAOYSA-N 1 2 281.705 3.733 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CC[C@@H](F)C(F)(F)CC2)c1 ZINC001137867158 1131426167 /nfs/dbraw/zinc/42/61/67/1131426167.db2.gz KDXYNPFBSXXBCR-LLVKDONJSA-N 1 2 297.242 3.673 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CC[C@@H](F)C(F)(F)CC2)c1 ZINC001137867158 1131426172 /nfs/dbraw/zinc/42/61/72/1131426172.db2.gz KDXYNPFBSXXBCR-LLVKDONJSA-N 1 2 297.242 3.673 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CCC[C@H](C(F)F)C2)c1 ZINC001137872070 1131427212 /nfs/dbraw/zinc/42/72/12/1131427212.db2.gz BAIVJAUEKYGYOC-QMMMGPOBSA-N 1 2 279.252 3.581 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1cc(F)ncc1F ZINC000823452486 1131427267 /nfs/dbraw/zinc/42/72/67/1131427267.db2.gz AGEPSUQNBAHLJH-INIZCTEOSA-N 1 2 288.341 3.869 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1cc(F)ncc1F ZINC000823452486 1131427270 /nfs/dbraw/zinc/42/72/70/1131427270.db2.gz AGEPSUQNBAHLJH-INIZCTEOSA-N 1 2 288.341 3.869 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1CC2CCC1CC2 ZINC001137882702 1131428833 /nfs/dbraw/zinc/42/88/33/1131428833.db2.gz BJXOBWOJIIOIOX-UHFFFAOYSA-N 1 2 271.738 3.993 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1CC2CCC1CC2 ZINC001137882702 1131428836 /nfs/dbraw/zinc/42/88/36/1131428836.db2.gz BJXOBWOJIIOIOX-UHFFFAOYSA-N 1 2 271.738 3.993 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)Cc1ccoc1 ZINC000823495581 1131430268 /nfs/dbraw/zinc/43/02/68/1131430268.db2.gz RGXODMNNPLBDFV-CYBMUJFWSA-N 1 2 258.365 3.697 20 0 CHADLO CCn1nc(C)c(C[NH2+][C@@H](C)c2oc3ccccc3c2C)n1 ZINC000823671955 1131437037 /nfs/dbraw/zinc/43/70/37/1131437037.db2.gz YQSXJAWQTZIEIN-ZDUSSCGKSA-N 1 2 298.390 3.512 20 0 CHADLO CC[N@H+](Cc1ccccc1F)Cc1cc(OC)ccc1F ZINC001137997650 1131448230 /nfs/dbraw/zinc/44/82/30/1131448230.db2.gz VBBUCUYHFJRVSM-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1ccccc1F)Cc1cc(OC)ccc1F ZINC001137997650 1131448235 /nfs/dbraw/zinc/44/82/35/1131448235.db2.gz VBBUCUYHFJRVSM-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2C[C@H]3[C@H](CC3(F)F)C2)c1Cl ZINC001137999360 1131449287 /nfs/dbraw/zinc/44/92/87/1131449287.db2.gz DHGSKGBSXCZVHK-APPZFPTMSA-N 1 2 293.691 3.705 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2C[C@H]3[C@H](CC3(F)F)C2)c1Cl ZINC001137999360 1131449290 /nfs/dbraw/zinc/44/92/90/1131449290.db2.gz DHGSKGBSXCZVHK-APPZFPTMSA-N 1 2 293.691 3.705 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138004738 1131449688 /nfs/dbraw/zinc/44/96/88/1131449688.db2.gz DZCLAYSJFWCUKM-JTQLQIEISA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138004738 1131449690 /nfs/dbraw/zinc/44/96/90/1131449690.db2.gz DZCLAYSJFWCUKM-JTQLQIEISA-N 1 2 289.753 3.618 20 0 CHADLO Cc1ccc(F)c(C[NH+]2CC3(CCC3)C2)c1Cl ZINC001138022867 1131452686 /nfs/dbraw/zinc/45/26/86/1131452686.db2.gz PIVQHWGGHOZTCA-UHFFFAOYSA-N 1 2 253.748 3.773 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1cc(Br)c(F)cc1F ZINC001136849823 1131462395 /nfs/dbraw/zinc/46/23/95/1131462395.db2.gz ZKVYUXOSOVQMJB-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1cc(Br)c(F)cc1F ZINC001136849823 1131462401 /nfs/dbraw/zinc/46/24/01/1131462401.db2.gz ZKVYUXOSOVQMJB-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC[C@H]1CC1CCCC1 ZINC000824383855 1131467504 /nfs/dbraw/zinc/46/75/04/1131467504.db2.gz MJXIUYORJYMMHB-HNNXBMFYSA-N 1 2 297.402 3.748 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)OC3(CCCC3)C2)cc(Cl)n1 ZINC000830859644 1131470696 /nfs/dbraw/zinc/47/06/96/1131470696.db2.gz GHHQQROXBUPZTD-CYBMUJFWSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)OC3(CCCC3)C2)cc(Cl)n1 ZINC000830859644 1131470701 /nfs/dbraw/zinc/47/07/01/1131470701.db2.gz GHHQQROXBUPZTD-CYBMUJFWSA-N 1 2 294.826 3.577 20 0 CHADLO FC1(F)C[C@@H]1[NH2+][C@H]1CCc2cc(Cl)cc(Cl)c2C1 ZINC001171057516 1131470793 /nfs/dbraw/zinc/47/07/93/1131470793.db2.gz CETGVIZNHNYHDT-CABZTGNLSA-N 1 2 292.156 3.848 20 0 CHADLO F[C@@H]1CCCC[N@H+](Cc2nc3ccccc3s2)C1 ZINC001136948609 1131487567 /nfs/dbraw/zinc/48/75/67/1131487567.db2.gz KABZVRLLIDGEPA-LLVKDONJSA-N 1 2 264.369 3.620 20 0 CHADLO F[C@@H]1CCCC[N@@H+](Cc2nc3ccccc3s2)C1 ZINC001136948609 1131487569 /nfs/dbraw/zinc/48/75/69/1131487569.db2.gz KABZVRLLIDGEPA-LLVKDONJSA-N 1 2 264.369 3.620 20 0 CHADLO CCc1nc(C[NH2+]Cc2cc3ccccc3c(OC)c2)co1 ZINC000825062499 1131496820 /nfs/dbraw/zinc/49/68/20/1131496820.db2.gz YPALYZCCEJGUJM-UHFFFAOYSA-N 1 2 296.370 3.689 20 0 CHADLO COc1cccc(F)c1C[N@H+](C)Cc1cccs1 ZINC001138384563 1131497302 /nfs/dbraw/zinc/49/73/02/1131497302.db2.gz RKONVNOSMRLHLR-UHFFFAOYSA-N 1 2 265.353 3.528 20 0 CHADLO COc1cccc(F)c1C[N@@H+](C)Cc1cccs1 ZINC001138384563 1131497304 /nfs/dbraw/zinc/49/73/04/1131497304.db2.gz RKONVNOSMRLHLR-UHFFFAOYSA-N 1 2 265.353 3.528 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001138409751 1131500296 /nfs/dbraw/zinc/50/02/96/1131500296.db2.gz FWDZIMKQOVEUBX-HOTGVXAUSA-N 1 2 296.336 3.887 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001138409751 1131500300 /nfs/dbraw/zinc/50/03/00/1131500300.db2.gz FWDZIMKQOVEUBX-HOTGVXAUSA-N 1 2 296.336 3.887 20 0 CHADLO CC[N@H+](Cc1ncc(C(F)(F)F)[nH]1)C1CCCCC1 ZINC001137010523 1131504882 /nfs/dbraw/zinc/50/48/82/1131504882.db2.gz VBTFOALRDRAXDR-UHFFFAOYSA-N 1 2 275.318 3.583 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@H+](Cc1cc(C)ccc1C(F)(F)F)C2 ZINC001143680994 1131523130 /nfs/dbraw/zinc/52/31/30/1131523130.db2.gz RBRDJIJAMHAMIH-ZFWWWQNUSA-N 1 2 299.336 3.767 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@@H+](Cc1cc(C)ccc1C(F)(F)F)C2 ZINC001143680994 1131523132 /nfs/dbraw/zinc/52/31/32/1131523132.db2.gz RBRDJIJAMHAMIH-ZFWWWQNUSA-N 1 2 299.336 3.767 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC001143758106 1131532740 /nfs/dbraw/zinc/53/27/40/1131532740.db2.gz WXGOTKKABOOTMC-CQSZACIVSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC001143758106 1131532744 /nfs/dbraw/zinc/53/27/44/1131532744.db2.gz WXGOTKKABOOTMC-CQSZACIVSA-N 1 2 257.299 3.597 20 0 CHADLO OC[C@H]1CCC[N@@H+]1Cc1c(Cl)cc(Cl)cc1Cl ZINC001143797446 1131534966 /nfs/dbraw/zinc/53/49/66/1131534966.db2.gz KIBXAXYOSNZIRG-SECBINFHSA-N 1 2 294.609 3.604 20 0 CHADLO OC[C@H]1CCC[N@H+]1Cc1c(Cl)cc(Cl)cc1Cl ZINC001143797446 1131534968 /nfs/dbraw/zinc/53/49/68/1131534968.db2.gz KIBXAXYOSNZIRG-SECBINFHSA-N 1 2 294.609 3.604 20 0 CHADLO F[C@H]1CC[N@H+](Cc2ccc(C(F)(F)F)c(Cl)c2)C1 ZINC001143803869 1131535387 /nfs/dbraw/zinc/53/53/87/1131535387.db2.gz DJTUXOGMIXLCHZ-VIFPVBQESA-N 1 2 281.680 3.903 20 0 CHADLO F[C@H]1CC[N@@H+](Cc2ccc(C(F)(F)F)c(Cl)c2)C1 ZINC001143803869 1131535392 /nfs/dbraw/zinc/53/53/92/1131535392.db2.gz DJTUXOGMIXLCHZ-VIFPVBQESA-N 1 2 281.680 3.903 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1c(F)ccc(F)c1Br ZINC001143812226 1131536251 /nfs/dbraw/zinc/53/62/51/1131536251.db2.gz QRVISZJTQWPCES-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1c(F)ccc(F)c1Br ZINC001143812226 1131536255 /nfs/dbraw/zinc/53/62/55/1131536255.db2.gz QRVISZJTQWPCES-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO CCOc1c(Cl)ccc(C[N@@H+]2CCC[C@H]3C[C@H]32)c1F ZINC001143837586 1131538397 /nfs/dbraw/zinc/53/83/97/1131538397.db2.gz VDZZAHONVDYJDL-GXFFZTMASA-N 1 2 283.774 3.862 20 0 CHADLO CCOc1c(Cl)ccc(C[N@H+]2CCC[C@H]3C[C@H]32)c1F ZINC001143837586 1131538401 /nfs/dbraw/zinc/53/84/01/1131538401.db2.gz VDZZAHONVDYJDL-GXFFZTMASA-N 1 2 283.774 3.862 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+](C)Cc1cnc2ccccn12 ZINC001138717579 1131540310 /nfs/dbraw/zinc/54/03/10/1131540310.db2.gz ATEIJBAWERKAKU-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+](C)Cc1cnc2ccccn12 ZINC001138717579 1131540313 /nfs/dbraw/zinc/54/03/13/1131540313.db2.gz ATEIJBAWERKAKU-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1cc(OC(=O)C[C@@H](C)n2cc[nH+]c2)cc(C)c1Cl ZINC000844332014 1131549923 /nfs/dbraw/zinc/54/99/23/1131549923.db2.gz OVSULKLOKXZQKJ-GFCCVEGCSA-N 1 2 292.766 3.710 20 0 CHADLO COc1ccc(C[N@@H+]2CCCC[C@@](C)(F)C2)c(F)c1F ZINC001143904560 1131550990 /nfs/dbraw/zinc/55/09/90/1131550990.db2.gz QCLMTDRGSYCPAF-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cccc3ccnn31)CC2 ZINC001138807689 1131551256 /nfs/dbraw/zinc/55/12/56/1131551256.db2.gz SNVSNVRACDIAPO-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cccc3ccnn31)CC2 ZINC001138807689 1131551258 /nfs/dbraw/zinc/55/12/58/1131551258.db2.gz SNVSNVRACDIAPO-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc3occc3c1)C2 ZINC001138824995 1131554254 /nfs/dbraw/zinc/55/42/54/1131554254.db2.gz ORFHASBXUGDINA-UHFFFAOYSA-N 1 2 279.339 3.957 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc3occc3c1)C2 ZINC001138824995 1131554258 /nfs/dbraw/zinc/55/42/58/1131554258.db2.gz ORFHASBXUGDINA-UHFFFAOYSA-N 1 2 279.339 3.957 20 0 CHADLO CCCCC[C@@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)C(C)(C)C ZINC000844396752 1131556621 /nfs/dbraw/zinc/55/66/21/1131556621.db2.gz QCFUVUKFOWTQNY-HUUCEWRRSA-N 1 2 293.455 3.945 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCC[C@H]3C[C@H]32)c1F ZINC001143961510 1131559993 /nfs/dbraw/zinc/55/99/93/1131559993.db2.gz PTHFXZMFDAMNNE-QPUJVOFHSA-N 1 2 257.711 3.603 20 0 CHADLO COc1ccc(F)c(C[N@H+](C)Cc2ccccc2)c1F ZINC001143971777 1131562921 /nfs/dbraw/zinc/56/29/21/1131562921.db2.gz WFWWBKKIMJOAKC-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1ccc(F)c(C[N@@H+](C)Cc2ccccc2)c1F ZINC001143971777 1131562923 /nfs/dbraw/zinc/56/29/23/1131562923.db2.gz WFWWBKKIMJOAKC-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO CCC(=O)Oc1ccc(C[N@@H+]2Cc3ccc(F)cc3C2)cc1 ZINC001138966307 1131566755 /nfs/dbraw/zinc/56/67/55/1131566755.db2.gz NDZNUQZGOFXEMP-UHFFFAOYSA-N 1 2 299.345 3.657 20 0 CHADLO CCC(=O)Oc1ccc(C[N@H+]2Cc3ccc(F)cc3C2)cc1 ZINC001138966307 1131566759 /nfs/dbraw/zinc/56/67/59/1131566759.db2.gz NDZNUQZGOFXEMP-UHFFFAOYSA-N 1 2 299.345 3.657 20 0 CHADLO C[C@@H](CC(=O)O[C@@H](C)c1cc2ccccc2o1)n1cc[nH+]c1 ZINC000844491067 1131571544 /nfs/dbraw/zinc/57/15/44/1131571544.db2.gz SVLTXKZDQSMLJD-STQMWFEESA-N 1 2 298.342 3.885 20 0 CHADLO c1csc(-c2ccc(C[N@@H+]3CCOCC34CC4)s2)c1 ZINC001139021968 1131573333 /nfs/dbraw/zinc/57/33/33/1131573333.db2.gz CPSQYTAYVSZCGH-UHFFFAOYSA-N 1 2 291.441 3.841 20 0 CHADLO c1csc(-c2ccc(C[N@H+]3CCOCC34CC4)s2)c1 ZINC001139021968 1131573335 /nfs/dbraw/zinc/57/33/35/1131573335.db2.gz CPSQYTAYVSZCGH-UHFFFAOYSA-N 1 2 291.441 3.841 20 0 CHADLO CCn1ccc2ccc(C[N@@H+]3Cc4ccncc4C3)cc21 ZINC001139122622 1131583387 /nfs/dbraw/zinc/58/33/87/1131583387.db2.gz KAFVISQDVAPKDN-UHFFFAOYSA-N 1 2 277.371 3.572 20 0 CHADLO CCn1ccc2ccc(C[N@H+]3Cc4ccncc4C3)cc21 ZINC001139122622 1131583390 /nfs/dbraw/zinc/58/33/90/1131583390.db2.gz KAFVISQDVAPKDN-UHFFFAOYSA-N 1 2 277.371 3.572 20 0 CHADLO Cc1c(O)cccc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC001144107074 1131588910 /nfs/dbraw/zinc/58/89/10/1131588910.db2.gz GBCWFDPDHYTUGF-UHFFFAOYSA-N 1 2 298.411 3.942 20 0 CHADLO Cc1c(O)cccc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC001144107074 1131588913 /nfs/dbraw/zinc/58/89/13/1131588913.db2.gz GBCWFDPDHYTUGF-UHFFFAOYSA-N 1 2 298.411 3.942 20 0 CHADLO CSc1ccc(C[NH2+][C@H]2C[C@@]2(F)c2ccccc2)o1 ZINC001202903144 1131589061 /nfs/dbraw/zinc/58/90/61/1131589061.db2.gz ONTASOLFHADJMG-DZGCQCFKSA-N 1 2 277.364 3.728 20 0 CHADLO FCC1CC[NH+](Cc2cc(Cl)c(F)cc2F)CC1 ZINC001144130405 1131591121 /nfs/dbraw/zinc/59/11/21/1131591121.db2.gz FLLYCCSKZRKKPW-UHFFFAOYSA-N 1 2 277.717 3.800 20 0 CHADLO Cc1cc(Br)cc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c1 ZINC001144214795 1131597917 /nfs/dbraw/zinc/59/79/17/1131597917.db2.gz PSVULVXOPOYJGT-FPMFFAJLSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1cc(Br)cc(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c1 ZINC001144214795 1131597919 /nfs/dbraw/zinc/59/79/19/1131597919.db2.gz PSVULVXOPOYJGT-FPMFFAJLSA-N 1 2 298.199 3.547 20 0 CHADLO FC1CC[NH+](Cc2[nH]c(-c3ccccc3)nc2Cl)CC1 ZINC001139277344 1131599709 /nfs/dbraw/zinc/59/97/09/1131599709.db2.gz SRZYDWZITOFSKY-UHFFFAOYSA-N 1 2 293.773 3.664 20 0 CHADLO CC(=O)C[N@@H+](C)Cc1ccccc1Oc1ccc(F)cc1 ZINC001139298982 1131600484 /nfs/dbraw/zinc/60/04/84/1131600484.db2.gz AHQDPYJFSABXPE-UHFFFAOYSA-N 1 2 287.334 3.639 20 0 CHADLO CC(=O)C[N@H+](C)Cc1ccccc1Oc1ccc(F)cc1 ZINC001139298982 1131600486 /nfs/dbraw/zinc/60/04/86/1131600486.db2.gz AHQDPYJFSABXPE-UHFFFAOYSA-N 1 2 287.334 3.639 20 0 CHADLO Cc1cc(Br)cc(C[N@@H+]2CCC[C@@H]2CF)c1 ZINC001144226203 1131603210 /nfs/dbraw/zinc/60/32/10/1131603210.db2.gz NHKGNGCRZGOOJT-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCC[C@@H]2CF)cc1Cl ZINC001139598033 1131620997 /nfs/dbraw/zinc/62/09/97/1131620997.db2.gz PZBRYCIBDNLIDY-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO CCOc1ccc(C[N@H+]2CCC[C@@H]2CF)cc1Cl ZINC001139598033 1131620999 /nfs/dbraw/zinc/62/09/99/1131620999.db2.gz PZBRYCIBDNLIDY-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2nccs2)cc1C(C)C ZINC001139621453 1131623768 /nfs/dbraw/zinc/62/37/68/1131623768.db2.gz MOUPUGDZCADBGG-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2nccs2)cc1C(C)C ZINC001139621453 1131623773 /nfs/dbraw/zinc/62/37/73/1131623773.db2.gz MOUPUGDZCADBGG-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO c1cncc(-c2ccc(C[N@@H+]3Cc4ccncc4C3)cc2)c1 ZINC001139648647 1131627194 /nfs/dbraw/zinc/62/71/94/1131627194.db2.gz ZGNXAZOECSDJBP-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1cncc(-c2ccc(C[N@H+]3Cc4ccncc4C3)cc2)c1 ZINC001139648647 1131627196 /nfs/dbraw/zinc/62/71/96/1131627196.db2.gz ZGNXAZOECSDJBP-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccccc2C(F)F)C[C@@H]1F ZINC001139796488 1131636458 /nfs/dbraw/zinc/63/64/58/1131636458.db2.gz ZFEUKDXXRDCWBB-GXTWGEPZSA-N 1 2 275.289 3.896 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccccc2C(F)F)C[C@@H]1F ZINC001139796488 1131636461 /nfs/dbraw/zinc/63/64/61/1131636461.db2.gz ZFEUKDXXRDCWBB-GXTWGEPZSA-N 1 2 275.289 3.896 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2ccccc2C(F)F)n1 ZINC001139800080 1131637370 /nfs/dbraw/zinc/63/73/70/1131637370.db2.gz DSWFJZWDEDXYNS-ZDUSSCGKSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2ccccc2C(F)F)n1 ZINC001139800080 1131637373 /nfs/dbraw/zinc/63/73/73/1131637373.db2.gz DSWFJZWDEDXYNS-ZDUSSCGKSA-N 1 2 293.317 3.653 20 0 CHADLO FC(F)c1ccccc1C[N@@H+]1CCc2ncsc2C1 ZINC001139799831 1131637564 /nfs/dbraw/zinc/63/75/64/1131637564.db2.gz WVFTZDFGOGBMJY-UHFFFAOYSA-N 1 2 280.343 3.639 20 0 CHADLO FC(F)c1ccccc1C[N@H+]1CCc2ncsc2C1 ZINC001139799831 1131637566 /nfs/dbraw/zinc/63/75/66/1131637566.db2.gz WVFTZDFGOGBMJY-UHFFFAOYSA-N 1 2 280.343 3.639 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2ccccc2F)s1 ZINC001139810861 1131637775 /nfs/dbraw/zinc/63/77/75/1131637775.db2.gz VDVSHPNEJMDXAB-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2ccccc2F)s1 ZINC001139810861 1131637777 /nfs/dbraw/zinc/63/77/77/1131637777.db2.gz VDVSHPNEJMDXAB-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO C[N@H+](CCC(=O)c1cccs1)Cc1ccncc1Cl ZINC001139849903 1131640944 /nfs/dbraw/zinc/64/09/44/1131640944.db2.gz UUXWZZPWOWUIDQ-UHFFFAOYSA-N 1 2 294.807 3.501 20 0 CHADLO C[N@@H+](CCC(=O)c1cccs1)Cc1ccncc1Cl ZINC001139849903 1131640945 /nfs/dbraw/zinc/64/09/45/1131640945.db2.gz UUXWZZPWOWUIDQ-UHFFFAOYSA-N 1 2 294.807 3.501 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1Cc1cc(C(F)(F)F)ccc1C ZINC001139887651 1131646352 /nfs/dbraw/zinc/64/63/52/1131646352.db2.gz WLHLCLFRBPMJPG-CQSZACIVSA-N 1 2 287.325 3.625 20 0 CHADLO CC[C@@H]1COCC[N@H+]1Cc1cc(C(F)(F)F)ccc1C ZINC001139887651 1131646355 /nfs/dbraw/zinc/64/63/55/1131646355.db2.gz WLHLCLFRBPMJPG-CQSZACIVSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCOC(C)(C)C1 ZINC001139884369 1131646457 /nfs/dbraw/zinc/64/64/57/1131646457.db2.gz ZWJRQDGSWVGRDO-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCOC(C)(C)C1 ZINC001139884369 1131646460 /nfs/dbraw/zinc/64/64/60/1131646460.db2.gz ZWJRQDGSWVGRDO-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCC(=O)[C@@H](C)CC1 ZINC001139884391 1131646574 /nfs/dbraw/zinc/64/65/74/1131646574.db2.gz AINLDWFCPGJGHF-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCC(=O)[C@@H](C)CC1 ZINC001139884391 1131646576 /nfs/dbraw/zinc/64/65/76/1131646576.db2.gz AINLDWFCPGJGHF-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCCCC12COC2 ZINC001139884776 1131646667 /nfs/dbraw/zinc/64/66/67/1131646667.db2.gz FVXLPQMLPLBOSY-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCCCC12COC2 ZINC001139884776 1131646669 /nfs/dbraw/zinc/64/66/69/1131646669.db2.gz FVXLPQMLPLBOSY-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@H+](Cc1cc(C(F)(F)F)ccc1C)C2 ZINC001139887377 1131646965 /nfs/dbraw/zinc/64/69/65/1131646965.db2.gz PJYZBZSKIKSRBU-GJZGRUSLSA-N 1 2 299.336 3.767 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@@H+](Cc1cc(C(F)(F)F)ccc1C)C2 ZINC001139887377 1131646968 /nfs/dbraw/zinc/64/69/68/1131646968.db2.gz PJYZBZSKIKSRBU-GJZGRUSLSA-N 1 2 299.336 3.767 20 0 CHADLO FC(F)(F)c1ncccc1C[N@H+](Cc1ccco1)C1CC1 ZINC001144538516 1131653728 /nfs/dbraw/zinc/65/37/28/1131653728.db2.gz LUERXBJGYNCAIU-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1ncccc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001144538516 1131653729 /nfs/dbraw/zinc/65/37/29/1131653729.db2.gz LUERXBJGYNCAIU-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2csc(Cl)n2)CC1 ZINC001139976623 1131653967 /nfs/dbraw/zinc/65/39/67/1131653967.db2.gz BYBXDQJUSLFGPO-NSHDSACASA-N 1 2 262.781 3.511 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2csc(Cl)n2)CC1 ZINC001139976623 1131653970 /nfs/dbraw/zinc/65/39/70/1131653970.db2.gz BYBXDQJUSLFGPO-NSHDSACASA-N 1 2 262.781 3.511 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cc3ccccc3cn2)no1 ZINC001139996096 1131655187 /nfs/dbraw/zinc/65/51/87/1131655187.db2.gz XJEXDIFWBWSZAH-GOSISDBHSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cc3ccccc3cn2)no1 ZINC001139996096 1131655192 /nfs/dbraw/zinc/65/51/92/1131655192.db2.gz XJEXDIFWBWSZAH-GOSISDBHSA-N 1 2 293.370 3.868 20 0 CHADLO COc1c(Cl)cccc1C[N@@H+]1CCc2sccc2C1 ZINC001140056640 1131660118 /nfs/dbraw/zinc/66/01/18/1131660118.db2.gz FXSYJCUFVSOIGM-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1c(Cl)cccc1C[N@H+]1CCc2sccc2C1 ZINC001140056640 1131660120 /nfs/dbraw/zinc/66/01/20/1131660120.db2.gz FXSYJCUFVSOIGM-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CC[C@@H](Nc1ccc(NC)[nH+]c1)c1ccccc1C ZINC001171199692 1131663229 /nfs/dbraw/zinc/66/32/29/1131663229.db2.gz JAGNGMIOGLNXPA-OAHLLOKOSA-N 1 2 255.365 3.995 20 0 CHADLO CC[C@H](OC(=O)c1cccc(Cn2cc[nH+]c2)c1)C(C)C ZINC000845852465 1131666269 /nfs/dbraw/zinc/66/62/69/1131666269.db2.gz QQQMYRZAPXHBER-INIZCTEOSA-N 1 2 286.375 3.523 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H]3C[C@H]32)ccc1Br ZINC001140214703 1131678410 /nfs/dbraw/zinc/67/84/10/1131678410.db2.gz SIJIANLUAOKKKI-GXFFZTMASA-N 1 2 284.172 3.573 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H]3C[C@H]32)ccc1Br ZINC001140214703 1131678412 /nfs/dbraw/zinc/67/84/12/1131678412.db2.gz SIJIANLUAOKKKI-GXFFZTMASA-N 1 2 284.172 3.573 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144726514 1131692321 /nfs/dbraw/zinc/69/23/21/1131692321.db2.gz MSBZUWXROIONGR-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144726514 1131692323 /nfs/dbraw/zinc/69/23/23/1131692323.db2.gz MSBZUWXROIONGR-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC(C)(C)Oc2ccc(F)cc21)c1ncc[nH]1 ZINC000846200379 1131699961 /nfs/dbraw/zinc/69/99/61/1131699961.db2.gz GEXYFCBPJFUDQH-GXFFZTMASA-N 1 2 289.354 3.502 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nnc(-c3ccccc3)[nH]2)cs1 ZINC000834741223 1131700201 /nfs/dbraw/zinc/70/02/01/1131700201.db2.gz LMZAOXPIRMQOFB-GFCCVEGCSA-N 1 2 298.415 3.692 20 0 CHADLO Cc1ccc2cc([C@@H](C)[NH2+][C@H]3CCn4ccnc43)oc2c1 ZINC000834832492 1131708558 /nfs/dbraw/zinc/70/85/58/1131708558.db2.gz PESBFNBUHJHLBS-OCCSQVGLSA-N 1 2 281.359 3.733 20 0 CHADLO Fc1cccc(-c2ncc(C[N@H+]3CCC[C@H](F)C3)s2)c1 ZINC001140418195 1131721430 /nfs/dbraw/zinc/72/14/30/1131721430.db2.gz MOBCHEAEQZSCJI-ZDUSSCGKSA-N 1 2 294.370 3.883 20 0 CHADLO Fc1cccc(-c2ncc(C[N@@H+]3CCC[C@H](F)C3)s2)c1 ZINC001140418195 1131721432 /nfs/dbraw/zinc/72/14/32/1131721432.db2.gz MOBCHEAEQZSCJI-ZDUSSCGKSA-N 1 2 294.370 3.883 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2nc3ccccc3s2)o1 ZINC000834900634 1131724084 /nfs/dbraw/zinc/72/40/84/1131724084.db2.gz QGBMAYDXXYVXSX-JTQLQIEISA-N 1 2 287.388 3.698 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2csc(Cl)c2)co1 ZINC000834900593 1131724136 /nfs/dbraw/zinc/72/41/36/1131724136.db2.gz VUHCVMFAZNKKEO-QMMMGPOBSA-N 1 2 270.785 3.803 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2cnc3ccsc3c2)co1 ZINC000834901692 1131724965 /nfs/dbraw/zinc/72/49/65/1131724965.db2.gz YAVUFFUHEKPWTA-JTQLQIEISA-N 1 2 287.388 3.698 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(OC(C)C)cc2)co1 ZINC000834901399 1131726078 /nfs/dbraw/zinc/72/60/78/1131726078.db2.gz UQRJQGZRNSDMRV-CYBMUJFWSA-N 1 2 288.391 3.875 20 0 CHADLO COCc1cc[nH+]c(NCc2ccccc2C(C)C)c1 ZINC000846776298 1131732992 /nfs/dbraw/zinc/73/29/92/1131732992.db2.gz BOFCXXXZUHCJBF-UHFFFAOYSA-N 1 2 270.376 3.964 20 0 CHADLO Clc1cccc2[nH]cc(C[N@@H+]3CCCCC34COC4)c21 ZINC001140436894 1131737187 /nfs/dbraw/zinc/73/71/87/1131737187.db2.gz UPQISMXIUVJJPR-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1cccc2[nH]cc(C[N@H+]3CCCCC34COC4)c21 ZINC001140436894 1131737193 /nfs/dbraw/zinc/73/71/93/1131737193.db2.gz UPQISMXIUVJJPR-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO CC[C@](C)([NH2+]Cc1cnc(Cl)c(F)c1)c1nccs1 ZINC000846824755 1131739246 /nfs/dbraw/zinc/73/92/46/1131739246.db2.gz GSJHDRPSERTGCL-ZDUSSCGKSA-N 1 2 299.802 3.746 20 0 CHADLO Cc1cccc([C@H]([NH2+]Cc2cnsn2)C(C)(C)C)c1 ZINC000846845349 1131749152 /nfs/dbraw/zinc/74/91/52/1131749152.db2.gz JONWDGXUAPHWLS-AWEZNQCLSA-N 1 2 275.421 3.724 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnc(Cl)c(F)c1)c1nccs1 ZINC000846852977 1131750175 /nfs/dbraw/zinc/75/01/75/1131750175.db2.gz NRLQYYXFAKJPCN-SNVBAGLBSA-N 1 2 285.775 3.572 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2cnc(Cl)c(F)c2)s1 ZINC000846853259 1131750757 /nfs/dbraw/zinc/75/07/57/1131750757.db2.gz BBJHGPATYFWNNE-UHFFFAOYSA-N 1 2 299.802 3.664 20 0 CHADLO C[C@H]1CC[C@@H](c2ccccc2)[N@H+](Cc2cnsn2)C1 ZINC000846898292 1131757111 /nfs/dbraw/zinc/75/71/11/1131757111.db2.gz DGLQDZXFODTIRZ-WFASDCNBSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@H]1CC[C@@H](c2ccccc2)[N@@H+](Cc2cnsn2)C1 ZINC000846898292 1131757118 /nfs/dbraw/zinc/75/71/18/1131757118.db2.gz DGLQDZXFODTIRZ-WFASDCNBSA-N 1 2 273.405 3.511 20 0 CHADLO Fc1cc(C[N@@H+]2CCC=C(c3ccco3)C2)cnc1Cl ZINC000846901867 1131757716 /nfs/dbraw/zinc/75/77/16/1131757716.db2.gz QPAKNMOGJCDNPH-UHFFFAOYSA-N 1 2 292.741 3.756 20 0 CHADLO Fc1cc(C[N@H+]2CCC=C(c3ccco3)C2)cnc1Cl ZINC000846901867 1131757722 /nfs/dbraw/zinc/75/77/22/1131757722.db2.gz QPAKNMOGJCDNPH-UHFFFAOYSA-N 1 2 292.741 3.756 20 0 CHADLO CC1(C)C[C@@H](c2ccccc2)[N@H+](Cc2cnsn2)C1 ZINC000846998609 1131768406 /nfs/dbraw/zinc/76/84/06/1131768406.db2.gz MJBWFPCIHGUAAX-AWEZNQCLSA-N 1 2 273.405 3.511 20 0 CHADLO CC1(C)C[C@@H](c2ccccc2)[N@@H+](Cc2cnsn2)C1 ZINC000846998609 1131768410 /nfs/dbraw/zinc/76/84/10/1131768410.db2.gz MJBWFPCIHGUAAX-AWEZNQCLSA-N 1 2 273.405 3.511 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1cccc(F)c1Cl)C2 ZINC001140561814 1131794525 /nfs/dbraw/zinc/79/45/25/1131794525.db2.gz YDBZUTALSLMTCA-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1cccc(F)c1Cl)C2 ZINC001140561814 1131794531 /nfs/dbraw/zinc/79/45/31/1131794531.db2.gz YDBZUTALSLMTCA-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO COc1cc2c(cc1O[C@@H](C)c1ccc(F)cc1)C=[NH+]CC2 ZINC001228089617 1131805472 /nfs/dbraw/zinc/80/54/72/1131805472.db2.gz JQDISNOEAIXVRO-LBPRGKRZSA-N 1 2 299.345 3.949 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)[C@H]1CO ZINC001140585161 1131809782 /nfs/dbraw/zinc/80/97/82/1131809782.db2.gz WOXXEDMISOGFHL-SCZZXKLOSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)[C@H]1CO ZINC001140585161 1131809790 /nfs/dbraw/zinc/80/97/90/1131809790.db2.gz WOXXEDMISOGFHL-SCZZXKLOSA-N 1 2 294.247 3.648 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1CCc2cc(F)c(F)cc2C1 ZINC001140593769 1131817690 /nfs/dbraw/zinc/81/76/90/1131817690.db2.gz WSHIPIDNERPAKD-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1CCc2cc(F)c(F)cc2C1 ZINC001140593769 1131817698 /nfs/dbraw/zinc/81/76/98/1131817698.db2.gz WSHIPIDNERPAKD-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Fc1cnc(Cl)cc1C[N@@H+]1CCCc2ccccc2C1 ZINC001140609903 1131827391 /nfs/dbraw/zinc/82/73/91/1131827391.db2.gz BJXMOVVIFKHRAX-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Fc1cnc(Cl)cc1C[N@H+]1CCCc2ccccc2C1 ZINC001140609903 1131827398 /nfs/dbraw/zinc/82/73/98/1131827398.db2.gz BJXMOVVIFKHRAX-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Cc1ccc(Br)cc1C[N@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001140645665 1131843774 /nfs/dbraw/zinc/84/37/74/1131843774.db2.gz AHZSFACTGSXGKO-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1ccc(Br)cc1C[N@@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001140645665 1131843784 /nfs/dbraw/zinc/84/37/84/1131843784.db2.gz AHZSFACTGSXGKO-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H]3COCc4ccccc43)cc2[nH+]1 ZINC001228327751 1131858048 /nfs/dbraw/zinc/85/80/48/1131858048.db2.gz UTNHGKQCCWWKQN-QGZVFWFLSA-N 1 2 280.327 3.522 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H]3CCc4ccccc43)cc2[nH+]1 ZINC001228327993 1131858226 /nfs/dbraw/zinc/85/82/26/1131858226.db2.gz YYNVRXCWAYNIKF-QGZVFWFLSA-N 1 2 264.328 3.938 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1cc(F)c(Cl)cc1F ZINC001140699258 1131879982 /nfs/dbraw/zinc/87/99/82/1131879982.db2.gz JFHKQABYOYVBGF-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1cc(F)c(Cl)cc1F ZINC001140699258 1131879988 /nfs/dbraw/zinc/87/99/88/1131879988.db2.gz JFHKQABYOYVBGF-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO CCN(CC)c1ccc(NCCCc2cnccc2C)c[nH+]1 ZINC000348094566 1131883527 /nfs/dbraw/zinc/88/35/27/1131883527.db2.gz GVOKZASJDVBGBM-UHFFFAOYSA-N 1 2 298.434 3.676 20 0 CHADLO c1oc2ccccc2c1C[NH+]1CC(Oc2ccccc2)C1 ZINC001140785739 1131917364 /nfs/dbraw/zinc/91/73/64/1131917364.db2.gz RIEBCIVWRYQWOF-UHFFFAOYSA-N 1 2 279.339 3.696 20 0 CHADLO Oc1cc(Cl)cc(C[N@@H+]2CCc3sccc3C2)c1 ZINC001140788010 1131920218 /nfs/dbraw/zinc/92/02/18/1131920218.db2.gz XMGLVDMSQALTSZ-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1cc(Cl)cc(C[N@H+]2CCc3sccc3C2)c1 ZINC001140788010 1131920223 /nfs/dbraw/zinc/92/02/23/1131920223.db2.gz XMGLVDMSQALTSZ-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1cc(Cl)cc(C[N@@H+]2CCc3ccsc3C2)c1 ZINC001140794486 1131922171 /nfs/dbraw/zinc/92/21/71/1131922171.db2.gz MKZKUFSUISSAQE-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1cc(Cl)cc(C[N@H+]2CCc3ccsc3C2)c1 ZINC001140794486 1131922176 /nfs/dbraw/zinc/92/21/76/1131922176.db2.gz MKZKUFSUISSAQE-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO CCc1noc(C)c1[C@@H](C)[NH2+]Cc1nc(C2CC2)cs1 ZINC000773053535 1131931126 /nfs/dbraw/zinc/93/11/26/1131931126.db2.gz RDNMMWALVKTYLM-SECBINFHSA-N 1 2 291.420 3.730 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2cn[nH]c21)c1nc2ccccc2s1 ZINC000773065770 1131931973 /nfs/dbraw/zinc/93/19/73/1131931973.db2.gz QYHFGFIPDKLAAF-ZWNOBZJWSA-N 1 2 298.415 3.748 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2c[nH]nc21)c1nc2ccccc2s1 ZINC000773065770 1131931982 /nfs/dbraw/zinc/93/19/82/1131931982.db2.gz QYHFGFIPDKLAAF-ZWNOBZJWSA-N 1 2 298.415 3.748 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2ccccc2C)c(F)c1 ZINC001140826769 1131938701 /nfs/dbraw/zinc/93/87/01/1131938701.db2.gz QKSGVSKOQAWAAS-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2ccccc2C)c(F)c1 ZINC001140826769 1131938705 /nfs/dbraw/zinc/93/87/05/1131938705.db2.gz QKSGVSKOQAWAAS-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Oc1ccc(C[N@@H+]2CCCc3ccccc3C2)c(F)c1F ZINC001140894042 1131958690 /nfs/dbraw/zinc/95/86/90/1131958690.db2.gz OWGQERLLXFAWOO-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO Oc1ccc(C[N@H+]2CCCc3ccccc3C2)c(F)c1F ZINC001140894042 1131958695 /nfs/dbraw/zinc/95/86/95/1131958695.db2.gz OWGQERLLXFAWOO-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(O)c(F)c2F)Cc2ccccc21 ZINC001140889463 1131959089 /nfs/dbraw/zinc/95/90/89/1131959089.db2.gz GKALWVYBFMIBMK-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(O)c(F)c2F)Cc2ccccc21 ZINC001140889463 1131959091 /nfs/dbraw/zinc/95/90/91/1131959091.db2.gz GKALWVYBFMIBMK-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1ccc(O)c(F)c1F ZINC001140891197 1131959729 /nfs/dbraw/zinc/95/97/29/1131959729.db2.gz WFKYXPRIDRLGBQ-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1ccc(O)c(F)c1F ZINC001140891197 1131959731 /nfs/dbraw/zinc/95/97/31/1131959731.db2.gz WFKYXPRIDRLGBQ-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO C[N@H+](C/C=C/c1ccccc1)Cc1ccc(O)c(F)c1F ZINC001140892790 1131959917 /nfs/dbraw/zinc/95/99/17/1131959917.db2.gz AVXPMFFODDDJFQ-VMPITWQZSA-N 1 2 289.325 3.816 20 0 CHADLO C[N@@H+](C/C=C/c1ccccc1)Cc1ccc(O)c(F)c1F ZINC001140892790 1131959924 /nfs/dbraw/zinc/95/99/24/1131959924.db2.gz AVXPMFFODDDJFQ-VMPITWQZSA-N 1 2 289.325 3.816 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1ccccn1 ZINC001204065143 1131977871 /nfs/dbraw/zinc/97/78/71/1131977871.db2.gz KRUOPSINVFKRNF-QGZVFWFLSA-N 1 2 282.387 3.565 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ccccn1 ZINC001204065143 1131977881 /nfs/dbraw/zinc/97/78/81/1131977881.db2.gz KRUOPSINVFKRNF-QGZVFWFLSA-N 1 2 282.387 3.565 20 0 CHADLO COc1cc(F)cc(CNc2[nH+]cccc2OC(C)C)c1 ZINC001171415168 1131979155 /nfs/dbraw/zinc/97/91/55/1131979155.db2.gz KJORIQQOQBVMAZ-UHFFFAOYSA-N 1 2 290.338 3.629 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)c1ccccn1 ZINC000036934797 1131983735 /nfs/dbraw/zinc/98/37/35/1131983735.db2.gz CVORHGGFXYZOMU-CYBMUJFWSA-N 1 2 255.365 3.629 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1c[nH]nc1C(F)(F)F ZINC001141011618 1131985940 /nfs/dbraw/zinc/98/59/40/1131985940.db2.gz KFMHPNPRDPHBAY-JTQLQIEISA-N 1 2 295.308 3.548 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1c[nH]nc1C(F)(F)F ZINC001141011618 1131985945 /nfs/dbraw/zinc/98/59/45/1131985945.db2.gz KFMHPNPRDPHBAY-JTQLQIEISA-N 1 2 295.308 3.548 20 0 CHADLO CCCn1cc([C@@H](C)[NH2+][C@@H](C)c2ccccc2Cl)nn1 ZINC000715877900 1131994201 /nfs/dbraw/zinc/99/42/01/1131994201.db2.gz POIPPYITDSZGRL-NWDGAFQWSA-N 1 2 292.814 3.753 20 0 CHADLO Clc1cccc2c1CN(Cc1ccn3cc[nH+]c3c1)C2 ZINC001141046843 1131995859 /nfs/dbraw/zinc/99/58/59/1131995859.db2.gz RUQNEWMEEDXOFT-UHFFFAOYSA-N 1 2 283.762 3.504 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1COC2(CCCC2)O1 ZINC000773236701 1132011632 /nfs/dbraw/zinc/01/16/32/1132011632.db2.gz HYPJCZDWTSYRAK-OAHLLOKOSA-N 1 2 298.386 3.641 20 0 CHADLO C[NH+](C)c1ccc(NC2CC(OCc3ccccc3)C2)cc1 ZINC000716231862 1132021947 /nfs/dbraw/zinc/02/19/47/1132021947.db2.gz MTCFBVKNYNUYMB-UHFFFAOYSA-N 1 2 296.414 3.912 20 0 CHADLO Cn1c[nH+]c2ccc(NCC3(c4ccccc4)CC3)cc21 ZINC001171466295 1132033487 /nfs/dbraw/zinc/03/34/87/1132033487.db2.gz LLVBAEKZPZDPPL-UHFFFAOYSA-N 1 2 277.371 3.717 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cc(F)ccc3C2)c(F)c1 ZINC001141195420 1132039775 /nfs/dbraw/zinc/03/97/75/1132039775.db2.gz NGOZHVGDUIAGEB-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cc(F)ccc3C2)c(F)c1 ZINC001141195420 1132039782 /nfs/dbraw/zinc/03/97/82/1132039782.db2.gz NGOZHVGDUIAGEB-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NCCOC2CCCCC2)c1 ZINC001171479517 1132043666 /nfs/dbraw/zinc/04/36/66/1132043666.db2.gz MRIBMAHKHYIXTK-UHFFFAOYSA-N 1 2 299.418 3.848 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(Cl)cc1C ZINC001141232542 1132053739 /nfs/dbraw/zinc/05/37/39/1132053739.db2.gz HZZFKOOGSZZROK-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(Cl)cc1C ZINC001141232542 1132053740 /nfs/dbraw/zinc/05/37/40/1132053740.db2.gz HZZFKOOGSZZROK-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)ccc1Cl ZINC001141234667 1132055308 /nfs/dbraw/zinc/05/53/08/1132055308.db2.gz RQYZYEAMEKDJMG-GDBMZVCRSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)ccc1Cl ZINC001141234667 1132055315 /nfs/dbraw/zinc/05/53/15/1132055315.db2.gz RQYZYEAMEKDJMG-GDBMZVCRSA-N 1 2 291.822 3.982 20 0 CHADLO C[C@H](COCc1ccccc1)C[N@H+]1CCCC(F)(F)C1 ZINC001171735383 1132098480 /nfs/dbraw/zinc/09/84/80/1132098480.db2.gz SCWZDOYKEACZQL-AWEZNQCLSA-N 1 2 283.362 3.570 20 0 CHADLO C[C@H](COCc1ccccc1)C[N@@H+]1CCCC(F)(F)C1 ZINC001171735383 1132098472 /nfs/dbraw/zinc/09/84/72/1132098472.db2.gz SCWZDOYKEACZQL-AWEZNQCLSA-N 1 2 283.362 3.570 20 0 CHADLO FC(F)c1ccc(OC2C[NH+](Cc3ccccc3)C2)cc1 ZINC001229703854 1132108182 /nfs/dbraw/zinc/10/81/82/1132108182.db2.gz DOPOCVRGSPQMCT-UHFFFAOYSA-N 1 2 289.325 3.887 20 0 CHADLO C1=CC=C([NH2+][C@@H]2C[C@H]3CCC[C@@H](C2)[N@H+]3Cc2ccccc2)[CH]1 ZINC001172076825 1132123057 /nfs/dbraw/zinc/12/30/57/1132123057.db2.gz MIZWXLWVNTZDJE-OWZKRDHZSA-N 1 2 293.434 3.820 20 0 CHADLO C1=CC=C([NH2+][C@@H]2C[C@H]3CCC[C@@H](C2)[N@@H+]3Cc2ccccc2)[CH]1 ZINC001172076825 1132123060 /nfs/dbraw/zinc/12/30/60/1132123060.db2.gz MIZWXLWVNTZDJE-OWZKRDHZSA-N 1 2 293.434 3.820 20 0 CHADLO C[C@@H]1CCCC[C@@H]1Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229952097 1132135373 /nfs/dbraw/zinc/13/53/73/1132135373.db2.gz XXWKSEUEQJGJQZ-CJNGLKHVSA-N 1 2 256.349 3.830 20 0 CHADLO c1cc(N2CCSCC2)ccc1[NH2+]C1CC2(CCC2)C1 ZINC000838878956 1132154334 /nfs/dbraw/zinc/15/43/34/1132154334.db2.gz CPELQNJNWASIML-UHFFFAOYSA-N 1 2 288.460 3.984 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(C3CCOCC3)cc2)[nH+]1 ZINC001212650345 1132157517 /nfs/dbraw/zinc/15/75/17/1132157517.db2.gz CGSOCIBHUIJNKO-UHFFFAOYSA-N 1 2 284.359 3.733 20 0 CHADLO c1nc2cc(OC3C[NH+](Cc4ccccc4)C3)ccc2s1 ZINC001230231668 1132162947 /nfs/dbraw/zinc/16/29/47/1132162947.db2.gz OOEKFONXELENPB-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)cc(Br)cc2F)C1 ZINC001141555047 1132166475 /nfs/dbraw/zinc/16/64/75/1132166475.db2.gz SIQOENZRRUABEK-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)cc(Br)cc2F)C1 ZINC001141555047 1132166479 /nfs/dbraw/zinc/16/64/79/1132166479.db2.gz SIQOENZRRUABEK-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1c(F)ccc(C2OCCO2)c1Cl ZINC000839065988 1132178092 /nfs/dbraw/zinc/17/80/92/1132178092.db2.gz BELBDAUGMPNGSX-AOOOYVTPSA-N 1 2 299.773 3.507 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1c(F)ccc(C2OCCO2)c1Cl ZINC000839065988 1132178097 /nfs/dbraw/zinc/17/80/97/1132178097.db2.gz BELBDAUGMPNGSX-AOOOYVTPSA-N 1 2 299.773 3.507 20 0 CHADLO Cc1cc(F)c(F)cc1OC1C[NH+](Cc2ccccc2)C1 ZINC001230443277 1132183754 /nfs/dbraw/zinc/18/37/54/1132183754.db2.gz BXDQZTVBAFPSRG-UHFFFAOYSA-N 1 2 289.325 3.536 20 0 CHADLO c1ncc(C[N@@H+]2CC3(CCCCC3)[C@@H]2c2ccccc2)[nH]1 ZINC000839185232 1132187940 /nfs/dbraw/zinc/18/79/40/1132187940.db2.gz XZXROQOWDLCPSH-KRWDZBQOSA-N 1 2 281.403 3.917 20 0 CHADLO c1ncc(C[N@H+]2CC3(CCCCC3)[C@@H]2c2ccccc2)[nH]1 ZINC000839185232 1132187944 /nfs/dbraw/zinc/18/79/44/1132187944.db2.gz XZXROQOWDLCPSH-KRWDZBQOSA-N 1 2 281.403 3.917 20 0 CHADLO CCOCC[C@H](C)[N@@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001172431191 1132207052 /nfs/dbraw/zinc/20/70/52/1132207052.db2.gz MINSKTZDBRHKOQ-GOEBONIOSA-N 1 2 297.389 3.926 20 0 CHADLO CCOCC[C@H](C)[N@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001172431191 1132207056 /nfs/dbraw/zinc/20/70/56/1132207056.db2.gz MINSKTZDBRHKOQ-GOEBONIOSA-N 1 2 297.389 3.926 20 0 CHADLO CC[C@H](CC(C)C)[N@@H+]1CCOC[C@H]1c1csc(C)n1 ZINC001172402006 1132222908 /nfs/dbraw/zinc/22/29/08/1132222908.db2.gz MGAHSXAMAXVIEP-HIFRSBDPSA-N 1 2 282.453 3.650 20 0 CHADLO CC[C@H](CC(C)C)[N@H+]1CCOC[C@H]1c1csc(C)n1 ZINC001172402006 1132222911 /nfs/dbraw/zinc/22/29/11/1132222911.db2.gz MGAHSXAMAXVIEP-HIFRSBDPSA-N 1 2 282.453 3.650 20 0 CHADLO Cc1ccc2c(c1)CC[C@H]2Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230916452 1132227333 /nfs/dbraw/zinc/22/73/33/1132227333.db2.gz ZNGYDTZNTDSNFC-GOSISDBHSA-N 1 2 293.366 3.742 20 0 CHADLO C[C@@H]1CC(Oc2cc3c(cc2O)C=[NH+]CC3)C[C@@H](C)C1 ZINC001230920903 1132228221 /nfs/dbraw/zinc/22/82/21/1132228221.db2.gz XIJGQCQCZCCZPL-RYUDHWBXSA-N 1 2 273.376 3.571 20 0 CHADLO C[C@@H]1CCC[C@@H](Oc2cc3c(cc2O)C=[NH+]CC3)[C@H]1C ZINC001230922068 1132228779 /nfs/dbraw/zinc/22/87/79/1132228779.db2.gz YWMJYVYZAYCURH-BFQNTYOBSA-N 1 2 273.376 3.571 20 0 CHADLO C[C@@H]1CC[C@@H](Oc2ccc(-c3c[nH+]cn3C)cc2)C1 ZINC001231078421 1132252182 /nfs/dbraw/zinc/25/21/82/1132252182.db2.gz BMYDGDPPPWLEBD-IUODEOHRSA-N 1 2 256.349 3.655 20 0 CHADLO C[C@H]1C[N@H+](Cc2sccc2Oc2ccccc2)CCO1 ZINC001141994221 1132289410 /nfs/dbraw/zinc/28/94/10/1132289410.db2.gz CTMRWZPQRILJNI-ZDUSSCGKSA-N 1 2 289.400 3.761 20 0 CHADLO C[C@H]1C[N@@H+](Cc2sccc2Oc2ccccc2)CCO1 ZINC001141994221 1132289413 /nfs/dbraw/zinc/28/94/13/1132289413.db2.gz CTMRWZPQRILJNI-ZDUSSCGKSA-N 1 2 289.400 3.761 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)cc1Cl ZINC001231418638 1132291429 /nfs/dbraw/zinc/29/14/29/1132291429.db2.gz YILZQNHKLHWLDY-ZBFHGGJFSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)cc1Cl ZINC001231418638 1132291435 /nfs/dbraw/zinc/29/14/35/1132291435.db2.gz YILZQNHKLHWLDY-ZBFHGGJFSA-N 1 2 291.822 3.982 20 0 CHADLO CCc1ccc(C[N@@H+]2Cc3cnc(C)cc3C2)s1 ZINC001231502595 1132307467 /nfs/dbraw/zinc/30/74/67/1132307467.db2.gz OKNNKQPQJXEBNU-UHFFFAOYSA-N 1 2 258.390 3.530 20 0 CHADLO CCc1ccc(C[N@H+]2Cc3cnc(C)cc3C2)s1 ZINC001231502595 1132307468 /nfs/dbraw/zinc/30/74/68/1132307468.db2.gz OKNNKQPQJXEBNU-UHFFFAOYSA-N 1 2 258.390 3.530 20 0 CHADLO CC(C)OC(=O)CC[C@H](C)[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC001172772092 1132312802 /nfs/dbraw/zinc/31/28/02/1132312802.db2.gz BKCLSMSGLVIBNQ-LBPRGKRZSA-N 1 2 295.810 3.776 20 0 CHADLO CC(C)OC(=O)CC[C@H](C)[N@H+]1Cc2ccc(Cl)cc2C1 ZINC001172772092 1132312808 /nfs/dbraw/zinc/31/28/08/1132312808.db2.gz BKCLSMSGLVIBNQ-LBPRGKRZSA-N 1 2 295.810 3.776 20 0 CHADLO C[C@]1(O)CC[N@H+](Cc2cc(Cl)cc(Cl)c2Cl)C1 ZINC001231597451 1132319125 /nfs/dbraw/zinc/31/91/25/1132319125.db2.gz BWRIHPKNBUFKLW-LBPRGKRZSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@]1(O)CC[N@@H+](Cc2cc(Cl)cc(Cl)c2Cl)C1 ZINC001231597451 1132319128 /nfs/dbraw/zinc/31/91/28/1132319128.db2.gz BWRIHPKNBUFKLW-LBPRGKRZSA-N 1 2 294.609 3.604 20 0 CHADLO Cc1ccc(/C=C/c2c[nH+]c3c(c2)CCCN3)cc1 ZINC001206176635 1132321690 /nfs/dbraw/zinc/32/16/90/1132321690.db2.gz MDXHUQOVSDEKAM-CMDGGOBGSA-N 1 2 250.345 3.919 20 0 CHADLO COc1cc(F)ccc1C[N@@H+]1CCc2cc(F)ccc2C1 ZINC001231609095 1132326563 /nfs/dbraw/zinc/32/65/63/1132326563.db2.gz VKMPEDSXZJZKLQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(F)ccc1C[N@H+]1CCc2cc(F)ccc2C1 ZINC001231609095 1132326570 /nfs/dbraw/zinc/32/65/70/1132326570.db2.gz VKMPEDSXZJZKLQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(C)c(C[N@@H+]2CCC(=O)[C@H](C)C2)cc1C(C)C ZINC001231625348 1132327969 /nfs/dbraw/zinc/32/79/69/1132327969.db2.gz UEWYWICRWNRBGF-CQSZACIVSA-N 1 2 289.419 3.538 20 0 CHADLO COc1cc(C)c(C[N@H+]2CCC(=O)[C@H](C)C2)cc1C(C)C ZINC001231625348 1132327978 /nfs/dbraw/zinc/32/79/78/1132327978.db2.gz UEWYWICRWNRBGF-CQSZACIVSA-N 1 2 289.419 3.538 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccc(C)nc1Cl)CC2 ZINC001142122018 1132330444 /nfs/dbraw/zinc/33/04/44/1132330444.db2.gz FJNARURCWKXLCX-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccc(C)nc1Cl)CC2 ZINC001142122018 1132330449 /nfs/dbraw/zinc/33/04/49/1132330449.db2.gz FJNARURCWKXLCX-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCCC(=O)[C@@H](C)C1 ZINC001172847779 1132338934 /nfs/dbraw/zinc/33/89/34/1132338934.db2.gz PNXBVSZROUQTHZ-NWDGAFQWSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCCC(=O)[C@@H](C)C1 ZINC001172847779 1132338943 /nfs/dbraw/zinc/33/89/43/1132338943.db2.gz PNXBVSZROUQTHZ-NWDGAFQWSA-N 1 2 297.801 3.711 20 0 CHADLO Oc1cc(C[N@@H+]2CC[C@H]2c2ccccc2)ccc1Cl ZINC001231737638 1132350920 /nfs/dbraw/zinc/35/09/20/1132350920.db2.gz VDVCGEWRWMCIAC-HNNXBMFYSA-N 1 2 273.763 3.993 20 0 CHADLO Oc1cc(C[N@H+]2CC[C@H]2c2ccccc2)ccc1Cl ZINC001231737638 1132350926 /nfs/dbraw/zinc/35/09/26/1132350926.db2.gz VDVCGEWRWMCIAC-HNNXBMFYSA-N 1 2 273.763 3.993 20 0 CHADLO CC(C)Oc1ncccc1C[N@H+](C)Cc1ccsc1 ZINC001231759539 1132354949 /nfs/dbraw/zinc/35/49/49/1132354949.db2.gz OFQSKYDGNLXFET-UHFFFAOYSA-N 1 2 276.405 3.562 20 0 CHADLO CC(C)Oc1ncccc1C[N@@H+](C)Cc1ccsc1 ZINC001231759539 1132354958 /nfs/dbraw/zinc/35/49/58/1132354958.db2.gz OFQSKYDGNLXFET-UHFFFAOYSA-N 1 2 276.405 3.562 20 0 CHADLO Cc1nn(-c2ccc(C)cc2)c(Cl)c1C[NH+]1CC=CC1 ZINC001231773380 1132358166 /nfs/dbraw/zinc/35/81/66/1132358166.db2.gz ZEAPEYKSESOPCH-UHFFFAOYSA-N 1 2 287.794 3.514 20 0 CHADLO CCCCc1ccc(C[N@@H+]2Cc3ccncc3C2)cc1 ZINC001231783221 1132360642 /nfs/dbraw/zinc/36/06/42/1132360642.db2.gz ZLLNDFBVUPTTRP-UHFFFAOYSA-N 1 2 266.388 3.940 20 0 CHADLO CCCCc1ccc(C[N@H+]2Cc3ccncc3C2)cc1 ZINC001231783221 1132360651 /nfs/dbraw/zinc/36/06/51/1132360651.db2.gz ZLLNDFBVUPTTRP-UHFFFAOYSA-N 1 2 266.388 3.940 20 0 CHADLO CCOC(=O)C1([NH2+]C2CCC3(CCCCC3)CC2)CC1 ZINC001173114776 1132394134 /nfs/dbraw/zinc/39/41/34/1132394134.db2.gz HSNUMPASCWUGGE-UHFFFAOYSA-N 1 2 279.424 3.565 20 0 CHADLO Cn1cc2c(cccc2C[N@@H+]2Cc3cccc(Cl)c3C2)n1 ZINC001142465622 1132397709 /nfs/dbraw/zinc/39/77/09/1132397709.db2.gz XTKNTADNSUJFCK-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1cc2c(cccc2C[N@H+]2Cc3cccc(Cl)c3C2)n1 ZINC001142465622 1132397714 /nfs/dbraw/zinc/39/77/14/1132397714.db2.gz XTKNTADNSUJFCK-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ncccc2Cl)cn1 ZINC001231960694 1132402956 /nfs/dbraw/zinc/40/29/56/1132402956.db2.gz RIEXHKKAMKAKSC-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ncccc2Cl)cn1 ZINC001231960694 1132402961 /nfs/dbraw/zinc/40/29/61/1132402961.db2.gz RIEXHKKAMKAKSC-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO FC(F)(F)c1cccnc1C[N@H+](Cc1ccco1)C1CC1 ZINC001231965044 1132403253 /nfs/dbraw/zinc/40/32/53/1132403253.db2.gz MMXNEURZRUBDFC-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1cccnc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001231965044 1132403255 /nfs/dbraw/zinc/40/32/55/1132403255.db2.gz MMXNEURZRUBDFC-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO F[C@@H]1C[N@H+](Cc2ccc3ccnc(Cl)c3c2)C[C@@H]2C[C@@H]21 ZINC001231980173 1132405090 /nfs/dbraw/zinc/40/50/90/1132405090.db2.gz PGCINQRBKWKEFQ-KCQAQPDRSA-N 1 2 290.769 3.678 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2ccc3ccnc(Cl)c3c2)C[C@@H]2C[C@@H]21 ZINC001231980173 1132405095 /nfs/dbraw/zinc/40/50/95/1132405095.db2.gz PGCINQRBKWKEFQ-KCQAQPDRSA-N 1 2 290.769 3.678 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1cc(C(F)(F)F)cnc1Cl ZINC001232006469 1132408587 /nfs/dbraw/zinc/40/85/87/1132408587.db2.gz YJOXZXVWEHOFFH-QMMMGPOBSA-N 1 2 278.705 3.738 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1cc(C(F)(F)F)cnc1Cl ZINC001232006469 1132408591 /nfs/dbraw/zinc/40/85/91/1132408591.db2.gz YJOXZXVWEHOFFH-QMMMGPOBSA-N 1 2 278.705 3.738 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[N@@H+]1C[C@@H](F)C[C@H]1CF ZINC001232002360 1132409268 /nfs/dbraw/zinc/40/92/68/1132409268.db2.gz SCGDPXWLLOZJFY-STQMWFEESA-N 1 2 293.279 3.896 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[N@H+]1C[C@@H](F)C[C@H]1CF ZINC001232002360 1132409270 /nfs/dbraw/zinc/40/92/70/1132409270.db2.gz SCGDPXWLLOZJFY-STQMWFEESA-N 1 2 293.279 3.896 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(C(F)(F)F)cnc2Cl)C1 ZINC001232006466 1132409616 /nfs/dbraw/zinc/40/96/16/1132409616.db2.gz YFTAIVIFWBVGPI-SECBINFHSA-N 1 2 292.732 3.986 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(C(F)(F)F)cnc2Cl)C1 ZINC001232006466 1132409618 /nfs/dbraw/zinc/40/96/18/1132409618.db2.gz YFTAIVIFWBVGPI-SECBINFHSA-N 1 2 292.732 3.986 20 0 CHADLO CC1CC[NH+](Cc2cc(C(F)(F)F)cnc2Cl)CC1 ZINC001232005942 1132411294 /nfs/dbraw/zinc/41/12/94/1132411294.db2.gz PVYWIXMAEIQBNL-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO COc1cccc2[nH]cc(C[N@@H+]3Cc4cccc(F)c4C3)c21 ZINC001232037352 1132412316 /nfs/dbraw/zinc/41/23/16/1132412316.db2.gz UTOQMYQHQOKZSX-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1cccc2[nH]cc(C[N@H+]3Cc4cccc(F)c4C3)c21 ZINC001232037352 1132412323 /nfs/dbraw/zinc/41/23/23/1132412323.db2.gz UTOQMYQHQOKZSX-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO Oc1cncc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)s2)c1 ZINC001232042524 1132414954 /nfs/dbraw/zinc/41/49/54/1132414954.db2.gz UDFXKUQTMQDVKK-GFCCVEGCSA-N 1 2 294.807 3.839 20 0 CHADLO Oc1cncc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)s2)c1 ZINC001232042524 1132414956 /nfs/dbraw/zinc/41/49/56/1132414956.db2.gz UDFXKUQTMQDVKK-GFCCVEGCSA-N 1 2 294.807 3.839 20 0 CHADLO CCC(C)(C)c1ccc(C[NH+]2CCC(=O)CC2)cc1 ZINC001232078567 1132419264 /nfs/dbraw/zinc/41/92/64/1132419264.db2.gz AXAUTLJDAMUYJE-UHFFFAOYSA-N 1 2 259.393 3.539 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(F)c2F)c(C)n1 ZINC001232084637 1132420740 /nfs/dbraw/zinc/42/07/40/1132420740.db2.gz QGWCNCDUNDGLHV-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(F)c2F)c(C)n1 ZINC001232084637 1132420741 /nfs/dbraw/zinc/42/07/41/1132420741.db2.gz QGWCNCDUNDGLHV-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)OC[C@@H](C)CC(F)F)c1ccccc1 ZINC000842439507 1132430123 /nfs/dbraw/zinc/43/01/23/1132430123.db2.gz PIIXAIOXTOWWAA-SWLSCSKDSA-N 1 2 299.361 3.514 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)OC[C@@H](C)CC(F)F)c1ccccc1 ZINC000842439507 1132430126 /nfs/dbraw/zinc/43/01/26/1132430126.db2.gz PIIXAIOXTOWWAA-SWLSCSKDSA-N 1 2 299.361 3.514 20 0 CHADLO FC(F)(F)c1ccc2c(c1)CC[N@@H+](Cc1cnsc1)C2 ZINC001232229228 1132441181 /nfs/dbraw/zinc/44/11/81/1132441181.db2.gz HOLRGIJOFJYSPZ-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1ccc2c(c1)CC[N@H+](Cc1cnsc1)C2 ZINC001232229228 1132441188 /nfs/dbraw/zinc/44/11/88/1132441188.db2.gz HOLRGIJOFJYSPZ-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO NC(=[NH+]OCCC[C@@H]1CCOC1)c1cccc2ccccc21 ZINC000842647770 1132447794 /nfs/dbraw/zinc/44/77/94/1132447794.db2.gz FJQSBPNEOOGGIM-CQSZACIVSA-N 1 2 298.386 3.503 20 0 CHADLO Cc1ccc(OC(C)C)c(C[N@H+](C)Cc2cncs2)c1 ZINC001232398970 1132466062 /nfs/dbraw/zinc/46/60/62/1132466062.db2.gz GZFQSCWWKYZOAO-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cc1ccc(OC(C)C)c(C[N@@H+](C)Cc2cncs2)c1 ZINC001232398970 1132466068 /nfs/dbraw/zinc/46/60/68/1132466068.db2.gz GZFQSCWWKYZOAO-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO FC(F)(F)c1ccc(C[NH+]2CC3(C2)CCCC3)o1 ZINC001232440947 1132469613 /nfs/dbraw/zinc/46/96/13/1132469613.db2.gz JNBORQBRTBZHTE-UHFFFAOYSA-N 1 2 259.271 3.674 20 0 CHADLO Cc1[nH]c(CN2CCC[C@H]2c2ccc(Cl)s2)c[nH+]1 ZINC001232464164 1132473716 /nfs/dbraw/zinc/47/37/16/1132473716.db2.gz UKBZZVYPCNOUDF-NSHDSACASA-N 1 2 281.812 3.770 20 0 CHADLO CCc1csc(C[N@@H+]2CCc3c(C)cccc3C2)n1 ZINC001232470824 1132474908 /nfs/dbraw/zinc/47/49/08/1132474908.db2.gz WKYLFKWOBJILBX-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCc1csc(C[N@H+]2CCc3c(C)cccc3C2)n1 ZINC001232470824 1132474910 /nfs/dbraw/zinc/47/49/10/1132474910.db2.gz WKYLFKWOBJILBX-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCc1csc(C[N@@H+]2CC[C@@H]2c2ccccc2)n1 ZINC001232474857 1132475873 /nfs/dbraw/zinc/47/58/73/1132475873.db2.gz GCUSKDWJPKMECR-CQSZACIVSA-N 1 2 258.390 3.653 20 0 CHADLO CCc1csc(C[N@H+]2CC[C@@H]2c2ccccc2)n1 ZINC001232474857 1132475877 /nfs/dbraw/zinc/47/58/77/1132475877.db2.gz GCUSKDWJPKMECR-CQSZACIVSA-N 1 2 258.390 3.653 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2c(C)cccc2F)no1 ZINC001232481316 1132478291 /nfs/dbraw/zinc/47/82/91/1132478291.db2.gz DANYVGCULWQICW-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2c(C)cccc2F)no1 ZINC001232481316 1132478295 /nfs/dbraw/zinc/47/82/95/1132478295.db2.gz DANYVGCULWQICW-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO FCc1cccc(C[N@@H+]2CCc3c(Cl)cccc3C2)n1 ZINC001232540201 1132486303 /nfs/dbraw/zinc/48/63/03/1132486303.db2.gz ZBEVNOAIYBWBPD-UHFFFAOYSA-N 1 2 290.769 3.763 20 0 CHADLO FCc1cccc(C[N@H+]2CCc3c(Cl)cccc3C2)n1 ZINC001232540201 1132486308 /nfs/dbraw/zinc/48/63/08/1132486308.db2.gz ZBEVNOAIYBWBPD-UHFFFAOYSA-N 1 2 290.769 3.763 20 0 CHADLO C[C@H]1C(=O)CC[N@H+](Cc2cc3ccoc3cn2)C12CCCC2 ZINC001232555305 1132487972 /nfs/dbraw/zinc/48/79/72/1132487972.db2.gz TWYWNNCCMHWITC-ZDUSSCGKSA-N 1 2 298.386 3.552 20 0 CHADLO C[C@H]1C(=O)CC[N@@H+](Cc2cc3ccoc3cn2)C12CCCC2 ZINC001232555305 1132487975 /nfs/dbraw/zinc/48/79/75/1132487975.db2.gz TWYWNNCCMHWITC-ZDUSSCGKSA-N 1 2 298.386 3.552 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(Cl)ccnc2F)CCC1(F)F ZINC001232615908 1132495368 /nfs/dbraw/zinc/49/53/68/1132495368.db2.gz SWDFKCGWNOTRHH-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(Cl)ccnc2F)CCC1(F)F ZINC001232615908 1132495370 /nfs/dbraw/zinc/49/53/70/1132495370.db2.gz SWDFKCGWNOTRHH-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO CNc1ccccc1C[N@@H+]1Cc2cccc(Cl)c2C1 ZINC001232673605 1132506276 /nfs/dbraw/zinc/50/62/76/1132506276.db2.gz KTCFIUWKJUANKG-UHFFFAOYSA-N 1 2 272.779 3.898 20 0 CHADLO CNc1ccccc1C[N@H+]1Cc2cccc(Cl)c2C1 ZINC001232673605 1132506281 /nfs/dbraw/zinc/50/62/81/1132506281.db2.gz KTCFIUWKJUANKG-UHFFFAOYSA-N 1 2 272.779 3.898 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc3ncsc3c1)C2 ZINC001232741820 1132513858 /nfs/dbraw/zinc/51/38/58/1132513858.db2.gz PTJQXYYHIDLCRV-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc3ncsc3c1)C2 ZINC001232741820 1132513865 /nfs/dbraw/zinc/51/38/65/1132513865.db2.gz PTJQXYYHIDLCRV-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO Cc1cc(CO)cc(Nc2[nH+]cccc2C(C)C)c1 ZINC001212755011 1132513991 /nfs/dbraw/zinc/51/39/91/1132513991.db2.gz BWIPJWROBAGOBC-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO c1cn(Cc2ccc(Nc3ccsc3)cc2)c[nH+]1 ZINC001173819183 1132523578 /nfs/dbraw/zinc/52/35/78/1132523578.db2.gz CMGOQUBWWBKNIQ-UHFFFAOYSA-N 1 2 255.346 3.737 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cc(F)cc(OC(F)(F)F)c1 ZINC001143267642 1132526889 /nfs/dbraw/zinc/52/68/89/1132526889.db2.gz SGNKEGUEKGCEPN-LLVKDONJSA-N 1 2 295.251 3.658 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cc(F)cc(OC(F)(F)F)c1 ZINC001143267642 1132526896 /nfs/dbraw/zinc/52/68/96/1132526896.db2.gz SGNKEGUEKGCEPN-LLVKDONJSA-N 1 2 295.251 3.658 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(C(F)(F)F)c1 ZINC001173822282 1132527094 /nfs/dbraw/zinc/52/70/94/1132527094.db2.gz ISSFLICECRPMLS-UHFFFAOYSA-N 1 2 291.276 3.935 20 0 CHADLO Cc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001173800659 1132534428 /nfs/dbraw/zinc/53/44/28/1132534428.db2.gz HGVWCXNNTYUPCS-UHFFFAOYSA-N 1 2 263.344 3.983 20 0 CHADLO COc1c(F)ccc(C[N@@H+]2CCCC[C@@](C)(F)C2)c1F ZINC001143320175 1132536847 /nfs/dbraw/zinc/53/68/47/1132536847.db2.gz FCNDXBXPEWEENU-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO COc1c(F)ccc(C[N@H+]2CCCC[C@@](C)(F)C2)c1F ZINC001143320175 1132536853 /nfs/dbraw/zinc/53/68/53/1132536853.db2.gz FCNDXBXPEWEENU-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO CCC[N@H+](C)Cc1c(Br)ccc(F)c1F ZINC001143329308 1132537496 /nfs/dbraw/zinc/53/74/96/1132537496.db2.gz OSBSSFODDWHNQO-UHFFFAOYSA-N 1 2 278.140 3.569 20 0 CHADLO CCC[N@@H+](C)Cc1c(Br)ccc(F)c1F ZINC001143329308 1132537499 /nfs/dbraw/zinc/53/74/99/1132537499.db2.gz OSBSSFODDWHNQO-UHFFFAOYSA-N 1 2 278.140 3.569 20 0 CHADLO Cc1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001173807964 1132541767 /nfs/dbraw/zinc/54/17/67/1132541767.db2.gz BSAWNYCGRVABKX-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO CCOc1ccccc1Nc1c[nH+]ccc1OCC ZINC001173849360 1132552710 /nfs/dbraw/zinc/55/27/10/1132552710.db2.gz LHTGQHTZZHSABE-UHFFFAOYSA-N 1 2 258.321 3.623 20 0 CHADLO CCOc1ccc(Nc2ccc3c(c2)[nH+]cn3C)cc1 ZINC001173852386 1132556376 /nfs/dbraw/zinc/55/63/76/1132556376.db2.gz NLHYKDACLHCEOM-UHFFFAOYSA-N 1 2 267.332 3.716 20 0 CHADLO Fc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1F ZINC001173867653 1132570834 /nfs/dbraw/zinc/57/08/34/1132570834.db2.gz XXAVQDUZQGIDBV-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO CCc1ccc(Nc2cccc3[nH+]c(C)cn32)cc1 ZINC001173868869 1132571487 /nfs/dbraw/zinc/57/14/87/1132571487.db2.gz CVURYOSRULXHFU-UHFFFAOYSA-N 1 2 251.333 3.949 20 0 CHADLO CCc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC001173869049 1132571873 /nfs/dbraw/zinc/57/18/73/1132571873.db2.gz WUXFORIUVRYIIK-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO Fc1ccc2c(c1)[nH]nc2C[NH+]1CCCCCCCC1 ZINC001233127836 1132573800 /nfs/dbraw/zinc/57/38/00/1132573800.db2.gz DIXBOWDVMJEDKO-UHFFFAOYSA-N 1 2 275.371 3.858 20 0 CHADLO Cc1nc2c(cccc2Nc2ccc(C)[nH+]c2C)o1 ZINC001203375121 1132577519 /nfs/dbraw/zinc/57/75/19/1132577519.db2.gz SXDILYSWQCFYDO-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO COC(Cc1ccccc1Nc1ccc(C)[nH+]c1C)OC ZINC001203375346 1132577881 /nfs/dbraw/zinc/57/78/81/1132577881.db2.gz VUUNJZRYLRHGFZ-UHFFFAOYSA-N 1 2 286.375 3.603 20 0 CHADLO Fc1ccc(Oc2cccc(C[N@@H+]3C[C@@H]4C[C@H]3CO4)c2)cc1 ZINC001233209048 1132581089 /nfs/dbraw/zinc/58/10/89/1132581089.db2.gz JGYNWHQRALDWQB-YJBOKZPZSA-N 1 2 299.345 3.591 20 0 CHADLO Fc1ccc(Oc2cccc(C[N@H+]3C[C@@H]4C[C@H]3CO4)c2)cc1 ZINC001233209048 1132581091 /nfs/dbraw/zinc/58/10/91/1132581091.db2.gz JGYNWHQRALDWQB-YJBOKZPZSA-N 1 2 299.345 3.591 20 0 CHADLO CC1(F)CC[NH+](Cc2ccc(Cl)c(F)c2F)CC1 ZINC001233207776 1132581172 /nfs/dbraw/zinc/58/11/72/1132581172.db2.gz PWPPUEVJFXYIOH-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO COc1cc(Nc2ccc(C)[nH+]c2C)ccc1C(C)=O ZINC001203376073 1132582072 /nfs/dbraw/zinc/58/20/72/1132582072.db2.gz JGBHLNVFEPZMPK-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COc1ccccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001173840651 1132594641 /nfs/dbraw/zinc/59/46/41/1132594641.db2.gz IKLGVDLNNNWPRY-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(Cc2ccccc2)cc1 ZINC001233331034 1132602323 /nfs/dbraw/zinc/60/23/23/1132602323.db2.gz DXWRKVKPRQASHD-UHFFFAOYSA-N 1 2 292.382 3.897 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(Cc2ccccc2)cc1 ZINC001233331034 1132602331 /nfs/dbraw/zinc/60/23/31/1132602331.db2.gz DXWRKVKPRQASHD-UHFFFAOYSA-N 1 2 292.382 3.897 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCCc3ccccc3C2)cc1F ZINC000133963320 1132609177 /nfs/dbraw/zinc/60/91/77/1132609177.db2.gz WKGYBARXWJUNHE-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CCCc3ccccc3C2)cc1F ZINC000133963320 1132609182 /nfs/dbraw/zinc/60/91/82/1132609182.db2.gz WKGYBARXWJUNHE-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO COc1c[nH+]c(N[C@@H](c2ccccc2)C2CC2)c(C)c1 ZINC001154643696 1132619934 /nfs/dbraw/zinc/61/99/34/1132619934.db2.gz ZAZCTMJPZMFTEZ-INIZCTEOSA-N 1 2 268.360 3.962 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H](c1ccccc1)C1CC1 ZINC001154644260 1132620211 /nfs/dbraw/zinc/62/02/11/1132620211.db2.gz DSNNEGKHOWPGAB-INIZCTEOSA-N 1 2 268.360 3.962 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(C(=O)OC)c2)c1 ZINC001173964938 1132634947 /nfs/dbraw/zinc/63/49/47/1132634947.db2.gz PHDKLYJLIMITQZ-UHFFFAOYSA-N 1 2 270.332 3.564 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cn2c(cccc2Cl)n1 ZINC001233592648 1132643623 /nfs/dbraw/zinc/64/36/23/1132643623.db2.gz RQQAYGJPCCUYOP-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cn2c(cccc2Cl)n1 ZINC001233592648 1132643627 /nfs/dbraw/zinc/64/36/27/1132643627.db2.gz RQQAYGJPCCUYOP-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO CSc1nc2sccc2c(NC(=[NH2+])C(C)(C)C)n1 ZINC001155175751 1132645111 /nfs/dbraw/zinc/64/51/11/1132645111.db2.gz IZMQPKBDKSFGPJ-UHFFFAOYSA-N 1 2 280.422 3.848 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2C(=O)[C@H]2CCCC2(C)C)[nH+]c1C ZINC001155174764 1132645155 /nfs/dbraw/zinc/64/51/55/1132645155.db2.gz NSBKEOSPEVWMTF-KGLIPLIRSA-N 1 2 289.423 3.516 20 0 CHADLO CSCc1ccc(NC[C@H]2CCC(F)(F)C2)[nH+]c1 ZINC001155175068 1132645366 /nfs/dbraw/zinc/64/53/66/1132645366.db2.gz ZUPLMHPLSZBBLA-JTQLQIEISA-N 1 2 272.364 3.792 20 0 CHADLO Fc1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1F ZINC001173945489 1132652957 /nfs/dbraw/zinc/65/29/57/1132652957.db2.gz CDXNKPWRUSDVLE-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO COc1cc(C)c[nH+]c1N1Cc2ccccc2C(C)(C)C1 ZINC001155468070 1132658067 /nfs/dbraw/zinc/65/80/67/1132658067.db2.gz KJAKSJZAYQGFHN-UHFFFAOYSA-N 1 2 282.387 3.696 20 0 CHADLO Cc1nc(N2CCc3c4ccccc4oc3C2)c(C)c(C)[nH+]1 ZINC001155517046 1132658997 /nfs/dbraw/zinc/65/89/97/1132658997.db2.gz GGQKRRKMEFYKAF-UHFFFAOYSA-N 1 2 293.370 3.711 20 0 CHADLO Brc1cc(NC2=CCCC2)cn2cc[nH+]c12 ZINC001174000008 1132671387 /nfs/dbraw/zinc/67/13/87/1132671387.db2.gz VRJRJUBXYVNSOM-UHFFFAOYSA-N 1 2 278.153 3.577 20 0 CHADLO COc1cccnc1NC(=[NH2+])C12CC3CC(CC(C3)C1)C2 ZINC001155955656 1132671827 /nfs/dbraw/zinc/67/18/27/1132671827.db2.gz AJRSTZAFMWBRNY-UHFFFAOYSA-N 1 2 285.391 3.696 20 0 CHADLO Cc1cc(N(C)CC/C=C/c2cccnc2)nc(C2CC2)[nH+]1 ZINC001155976400 1132674780 /nfs/dbraw/zinc/67/47/80/1132674780.db2.gz IYNRQPIVVLCQDK-ZZXKWVIFSA-N 1 2 294.402 3.597 20 0 CHADLO CSc1nc(NCc2cc3ccccc3n2C)cc(C)[nH+]1 ZINC001156008660 1132676022 /nfs/dbraw/zinc/67/60/22/1132676022.db2.gz BJPBTYDIOJGKPN-UHFFFAOYSA-N 1 2 298.415 3.611 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)CCO3)c(C2CC2)c1 ZINC001174010181 1132676968 /nfs/dbraw/zinc/67/69/68/1132676968.db2.gz ZKIRLCPRXJPLSE-UHFFFAOYSA-N 1 2 252.317 3.638 20 0 CHADLO Cc1cc(Cl)c(C)c(NCCOc2ccccc2F)[nH+]1 ZINC001156020210 1132678303 /nfs/dbraw/zinc/67/83/03/1132678303.db2.gz QAVIHAPFZFYLMA-UHFFFAOYSA-N 1 2 294.757 3.982 20 0 CHADLO COc1cccc(Nc2cc(Cl)c3[nH+]ccn3c2)c1F ZINC001173971155 1132681013 /nfs/dbraw/zinc/68/10/13/1132681013.db2.gz KWGPFJFMPQPVNV-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO C=Cc1ccc(NCCCc2ccc(OC)cc2)[nH+]c1 ZINC001156168957 1132686594 /nfs/dbraw/zinc/68/65/94/1132686594.db2.gz NAVFUKBNVPUCDG-UHFFFAOYSA-N 1 2 268.360 3.778 20 0 CHADLO C=Cc1ccc(NCCSCc2ccco2)[nH+]c1 ZINC001156191004 1132687718 /nfs/dbraw/zinc/68/77/18/1132687718.db2.gz JHDISNGBWFRRAU-UHFFFAOYSA-N 1 2 260.362 3.663 20 0 CHADLO Clc1ccc2c(cc[nH+]c2NCCn2cccc2)c1 ZINC001156299681 1132689483 /nfs/dbraw/zinc/68/94/83/1132689483.db2.gz GXTJQJIECNBTNY-UHFFFAOYSA-N 1 2 271.751 3.802 20 0 CHADLO c1ccc(-c2cc[nH+]c(NC[C@H]3CCCCO3)c2)cc1 ZINC001156372565 1132690771 /nfs/dbraw/zinc/69/07/71/1132690771.db2.gz PYDVMGSMGIDSDG-MRXNPFEDSA-N 1 2 268.360 3.730 20 0 CHADLO COc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1OC ZINC001174016986 1132691028 /nfs/dbraw/zinc/69/10/28/1132691028.db2.gz PHDIXTPKRRJLOT-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO Cc1cccc2c(C)cc(NC[C@@H]3CCCCO3)[nH+]c12 ZINC001156369367 1132691066 /nfs/dbraw/zinc/69/10/66/1132691066.db2.gz HEARHEZXFHISJJ-AWEZNQCLSA-N 1 2 270.376 3.833 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(C)c(F)c2)[nH+]1 ZINC001174017602 1132691227 /nfs/dbraw/zinc/69/12/27/1132691227.db2.gz BWTHEYMVYOSLOH-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1cc(Cl)c(C)c(N2C[C@H](C)CC23CC3)[nH+]1 ZINC001156421361 1132695142 /nfs/dbraw/zinc/69/51/42/1132695142.db2.gz ZWQHYCWZDHUZLK-SECBINFHSA-N 1 2 250.773 3.731 20 0 CHADLO COc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c(C)c1 ZINC001174022631 1132696889 /nfs/dbraw/zinc/69/68/89/1132696889.db2.gz XFLJRJZWKOARSA-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO COCC(C)(C)Nc1cc(C2CCCCC2)[nH+]c(C)n1 ZINC001156457369 1132697787 /nfs/dbraw/zinc/69/77/87/1132697787.db2.gz LJDULXRBLYBZFE-UHFFFAOYSA-N 1 2 277.412 3.670 20 0 CHADLO CC(C)(C)c1nnc(Nc2cc[nH+]c3ccccc23)s1 ZINC001174036711 1132700316 /nfs/dbraw/zinc/70/03/16/1132700316.db2.gz DGSSVIQQGLOVDI-UHFFFAOYSA-N 1 2 284.388 3.549 20 0 CHADLO Nc1cc(Cl)[nH+]c(NCc2ccccc2C2CC2)c1 ZINC001156542477 1132705215 /nfs/dbraw/zinc/70/52/15/1132705215.db2.gz XOMINEXQXYYXEB-UHFFFAOYSA-N 1 2 273.767 3.807 20 0 CHADLO CCSc1cc[nH+]c(N[C@@H](C)c2ccc(F)cn2)c1 ZINC001156679627 1132707427 /nfs/dbraw/zinc/70/74/27/1132707427.db2.gz XZBXMTGRVGABKM-JTQLQIEISA-N 1 2 277.368 3.901 20 0 CHADLO CC(C)c1ccccc1Nc1ccc[nH+]c1N1CCOCC1 ZINC001174045414 1132708142 /nfs/dbraw/zinc/70/81/42/1132708142.db2.gz DAJIFRSABYQLKZ-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1nccc2ccccc21 ZINC001156869559 1132710810 /nfs/dbraw/zinc/71/08/10/1132710810.db2.gz ZKYOKJPOPKVGSA-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO Cc1ccc(Nc2cccc(O)c2Br)c(C)[nH+]1 ZINC001203379538 1132721065 /nfs/dbraw/zinc/72/10/65/1132721065.db2.gz CEMBGSSVTCECPR-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO COCc1ccccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001174103747 1132721042 /nfs/dbraw/zinc/72/10/42/1132721042.db2.gz PNEGEUIXLZRZCU-UHFFFAOYSA-N 1 2 287.750 3.878 20 0 CHADLO Cc1cccc2c(C)cc(N3CCC[C@H]4C[C@H]43)[nH+]c12 ZINC001157131385 1132722289 /nfs/dbraw/zinc/72/22/89/1132722289.db2.gz CHGVBJOGTBPXHY-DZGCQCFKSA-N 1 2 252.361 3.840 20 0 CHADLO c1ccc(-c2cc[nH+]c(N3CCC[C@@H]4C[C@@H]43)c2)cc1 ZINC001157133354 1132722342 /nfs/dbraw/zinc/72/23/42/1132722342.db2.gz SKIOMTXXZWZLSQ-CVEARBPZSA-N 1 2 250.345 3.737 20 0 CHADLO CC1=C(C)C[C@](C)(C(=O)NCc2c[nH]c(C(C)C)[nH+]2)CC1 ZINC001157124761 1132722431 /nfs/dbraw/zinc/72/24/31/1132722431.db2.gz OJBKUJORMGVTAA-QGZVFWFLSA-N 1 2 289.423 3.676 20 0 CHADLO CC1=C(C)C[C@](C)(C(=O)NCc2c[nH+]c(C(C)C)[nH]2)CC1 ZINC001157124761 1132722436 /nfs/dbraw/zinc/72/24/36/1132722436.db2.gz OJBKUJORMGVTAA-QGZVFWFLSA-N 1 2 289.423 3.676 20 0 CHADLO CC(C)Oc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1 ZINC001174109155 1132724519 /nfs/dbraw/zinc/72/45/19/1132724519.db2.gz TVUPTYQRAAGYOT-UHFFFAOYSA-N 1 2 271.364 3.678 20 0 CHADLO Cc1cc(Cl)c(C)c(NC2(c3ccccn3)CC2)[nH+]1 ZINC001157372326 1132729767 /nfs/dbraw/zinc/72/97/67/1132729767.db2.gz WVNOQNRMSOYIBI-UHFFFAOYSA-N 1 2 273.767 3.848 20 0 CHADLO CCN(CCn1cc[nH+]c1)c1cc(C)c2cccc(C)c2n1 ZINC001157460558 1132732674 /nfs/dbraw/zinc/73/26/74/1132732674.db2.gz VOZQPEYMRNDZCL-UHFFFAOYSA-N 1 2 294.402 3.575 20 0 CHADLO CC1(C)C[C@H](CNc2[nH+]cccc2OCc2ccccc2)O1 ZINC001157659803 1132737269 /nfs/dbraw/zinc/73/72/69/1132737269.db2.gz GGNYDHMVEQLLGA-OAHLLOKOSA-N 1 2 298.386 3.640 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(F)cc1OC ZINC001174129558 1132738574 /nfs/dbraw/zinc/73/85/74/1132738574.db2.gz FBBQPNWODBEKOT-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO Clc1ccc2c(cc[nH+]c2NCCOc2cccnc2)c1 ZINC001157810856 1132744122 /nfs/dbraw/zinc/74/41/22/1132744122.db2.gz PPHRCASKUSHECU-UHFFFAOYSA-N 1 2 299.761 3.774 20 0 CHADLO CCN(CC)C(=O)c1cccc(Nc2cc(C)cc[nH+]2)c1 ZINC001174164768 1132752148 /nfs/dbraw/zinc/75/21/48/1132752148.db2.gz NVYLYFVYMCZWMK-UHFFFAOYSA-N 1 2 283.375 3.616 20 0 CHADLO CCCCNC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001174120033 1132752536 /nfs/dbraw/zinc/75/25/36/1132752536.db2.gz BKHRPQKNOBFLKA-UHFFFAOYSA-N 1 2 297.402 3.972 20 0 CHADLO Cc1ccc[nH+]c1Nc1cccc(C(=O)N2CCCCC2)c1 ZINC001174173318 1132753749 /nfs/dbraw/zinc/75/37/49/1132753749.db2.gz UYQUPWKZJBJBOX-UHFFFAOYSA-N 1 2 295.386 3.760 20 0 CHADLO CSCc1cc[nH+]c(N[C@H](C)c2ccc(C)cn2)c1 ZINC001158021667 1132761751 /nfs/dbraw/zinc/76/17/51/1132761751.db2.gz RPSLDTUJIKBVEY-GFCCVEGCSA-N 1 2 273.405 3.821 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(C)[nH+]c(C(C)(C)C)n2)nc1 ZINC001158020712 1132762631 /nfs/dbraw/zinc/76/26/31/1132762631.db2.gz KWSXLKGSJIURFE-ZDUSSCGKSA-N 1 2 284.407 3.959 20 0 CHADLO CCCn1cc(Nc2c(C)cc[nH+]c2C(C)C)cn1 ZINC001174218812 1132763525 /nfs/dbraw/zinc/76/35/25/1132763525.db2.gz HYLATAOCNWQNTB-UHFFFAOYSA-N 1 2 258.369 3.864 20 0 CHADLO Cc1nc(N[C@H]2CCC[C@@H](O)C2)cc(C2CCCCC2)[nH+]1 ZINC001158371164 1132772772 /nfs/dbraw/zinc/77/27/72/1132772772.db2.gz UNTMMWDARUQAJV-LSDHHAIUSA-N 1 2 289.423 3.548 20 0 CHADLO CCN(CC)c1cc(N[C@@H]2C[C@@H](C)c3ccccc32)[nH+]cn1 ZINC001158470571 1132779017 /nfs/dbraw/zinc/77/90/17/1132779017.db2.gz CXZFUGCXRWFGFQ-CZUORRHYSA-N 1 2 296.418 3.983 20 0 CHADLO CCN(CC)c1cc(N[C@@H]2C[C@@H](C)c3ccccc32)nc[nH+]1 ZINC001158470571 1132779022 /nfs/dbraw/zinc/77/90/22/1132779022.db2.gz CXZFUGCXRWFGFQ-CZUORRHYSA-N 1 2 296.418 3.983 20 0 CHADLO Cn1ncc2ccc(Nc3cccc(-n4cc[nH+]c4)c3)cc21 ZINC001174339605 1132797954 /nfs/dbraw/zinc/79/79/54/1132797954.db2.gz RKHGYERLLXCVBD-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3cnn(C)c3c2)c1 ZINC001174339816 1132798150 /nfs/dbraw/zinc/79/81/50/1132798150.db2.gz CMKPPBLNZICUDS-UHFFFAOYSA-N 1 2 266.348 3.664 20 0 CHADLO Cn1ncc2ccc(Nc3ccc(-n4cc[nH+]c4)cc3)cc21 ZINC001174339561 1132798432 /nfs/dbraw/zinc/79/84/32/1132798432.db2.gz OXOJIMQYIAYMFM-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1cnc2[nH]ccc2c1 ZINC001174398005 1132813284 /nfs/dbraw/zinc/81/32/84/1132813284.db2.gz NHSRXXDKYDJPFB-UHFFFAOYSA-N 1 2 252.321 3.777 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc2c(c1)CCCN2 ZINC001159203652 1132815431 /nfs/dbraw/zinc/81/54/31/1132815431.db2.gz IFOSUJLFWJHEKO-UHFFFAOYSA-N 1 2 253.349 3.746 20 0 CHADLO Cc1cn2cccc(Nc3ccnc(C(F)(F)F)c3)c2[nH+]1 ZINC001174360565 1132818426 /nfs/dbraw/zinc/81/84/26/1132818426.db2.gz OQXNDVNJZVEOSR-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO Cc1cc(F)cc(Nc2ccc(N)[nH+]c2)c1Cl ZINC001159282392 1132824980 /nfs/dbraw/zinc/82/49/80/1132824980.db2.gz WGRLYVINFSHTGO-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)ccc1C(F)(F)F ZINC001159282666 1132825236 /nfs/dbraw/zinc/82/52/36/1132825236.db2.gz ZYBZAUAEOKTJRJ-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(-c2cnn(C)c2)cc1 ZINC001174377474 1132827873 /nfs/dbraw/zinc/82/78/73/1132827873.db2.gz YMLPKBFKCRZYDQ-UHFFFAOYSA-N 1 2 294.358 3.624 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cnc(Cl)nc3)ccc21 ZINC001174380816 1132828446 /nfs/dbraw/zinc/82/84/46/1132828446.db2.gz LIESBYQBLBXISF-UHFFFAOYSA-N 1 2 287.754 3.804 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cccnc3F)ccc21 ZINC001174380644 1132829051 /nfs/dbraw/zinc/82/90/51/1132829051.db2.gz HGUZULFLUBXOEX-UHFFFAOYSA-N 1 2 270.311 3.895 20 0 CHADLO Cc1nocc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174381555 1132829334 /nfs/dbraw/zinc/82/93/34/1132829334.db2.gz KIIDJRIKPBDOJC-UHFFFAOYSA-N 1 2 256.309 3.657 20 0 CHADLO COc1cc(C)c[nH+]c1NC1CC(C)(C)OC(C)(C)C1 ZINC001159319044 1132831368 /nfs/dbraw/zinc/83/13/68/1132831368.db2.gz FTKHNUCSRJSRJJ-UHFFFAOYSA-N 1 2 278.396 3.547 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1cccc2c1CCN2 ZINC001159417313 1132840519 /nfs/dbraw/zinc/84/05/19/1132840519.db2.gz WECNOIYVAMAKHW-UHFFFAOYSA-N 1 2 253.349 3.917 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(F)cc1N ZINC001159442201 1132844578 /nfs/dbraw/zinc/84/45/78/1132844578.db2.gz DDJGZQKCBZHIOM-UHFFFAOYSA-N 1 2 257.312 3.732 20 0 CHADLO FC(F)Oc1cccc(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174412001 1132850452 /nfs/dbraw/zinc/85/04/52/1132850452.db2.gz LGCQXJSMKCMMDS-UHFFFAOYSA-N 1 2 275.258 3.860 20 0 CHADLO Cn1ccc2cc(Nc3cc[nH+]c4[nH]ccc43)ccc21 ZINC001174412043 1132850624 /nfs/dbraw/zinc/85/06/24/1132850624.db2.gz MTNPXPQTHNBNRN-UHFFFAOYSA-N 1 2 262.316 3.750 20 0 CHADLO c1cn2cccc(Nc3cccc(N4CCCC4)c3)c2[nH+]1 ZINC001174486247 1132857981 /nfs/dbraw/zinc/85/79/81/1132857981.db2.gz DDXUSEABICAOCK-UHFFFAOYSA-N 1 2 278.359 3.678 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(N2CCCC2)c1 ZINC001174487783 1132859832 /nfs/dbraw/zinc/85/98/32/1132859832.db2.gz PRQFOIQZFLIQST-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO c1[nH]nc2cc(Nc3ccc4c[nH+]ccc4c3)ccc12 ZINC001174500246 1132861611 /nfs/dbraw/zinc/86/16/11/1132861611.db2.gz MOEFKXAIEHDYFG-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)NCCC3)c(C)[nH+]1 ZINC001159603907 1132872308 /nfs/dbraw/zinc/87/23/08/1132872308.db2.gz CIUOENOYGAYXOG-UHFFFAOYSA-N 1 2 253.349 3.800 20 0 CHADLO Cc1cccc(Nc2ccc(N3CCCCC3)[nH+]c2)c1O ZINC001174521667 1132911996 /nfs/dbraw/zinc/91/19/96/1132911996.db2.gz BWHOWRLZINISQL-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174524082 1132912424 /nfs/dbraw/zinc/91/24/24/1132912424.db2.gz CHGCVMJHMAAEIY-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)n(C(C)C)n1 ZINC001174523139 1132912829 /nfs/dbraw/zinc/91/28/29/1132912829.db2.gz IHACCVHCKNHNEG-UHFFFAOYSA-N 1 2 299.422 3.901 20 0 CHADLO COc1cc(Nc2ccc(N3CCCCC3)nc2)cc(C)[nH+]1 ZINC001174523142 1132913049 /nfs/dbraw/zinc/91/30/49/1132913049.db2.gz WBBBCRKUPJHHAA-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO CC(C)n1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cn1 ZINC001174523033 1132913571 /nfs/dbraw/zinc/91/35/71/1132913571.db2.gz DHRGAZBIXPRVJM-UHFFFAOYSA-N 1 2 285.395 3.593 20 0 CHADLO Nc1cc(F)cc2c1ccn2-c1ccc2c(c1)CCC[NH2+]2 ZINC001159853173 1132916147 /nfs/dbraw/zinc/91/61/47/1132916147.db2.gz BJQOWOMXVPHMFZ-UHFFFAOYSA-N 1 2 281.334 3.710 20 0 CHADLO COc1c[nH+]c(N[C@@H](C)c2ccc(C(C)=O)cc2)c(C)c1 ZINC001159906521 1132922184 /nfs/dbraw/zinc/92/21/84/1132922184.db2.gz DSKOLLCZDHTOKB-LBPRGKRZSA-N 1 2 284.359 3.774 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(C(C)=O)cc2)c1 ZINC001159906920 1132922289 /nfs/dbraw/zinc/92/22/89/1132922289.db2.gz OKFNBEKYBJULJR-LBPRGKRZSA-N 1 2 284.359 3.604 20 0 CHADLO c1ccc2cc(N[C@H]3COCc4ccccc43)[nH+]cc2c1 ZINC001160115600 1132940133 /nfs/dbraw/zinc/94/01/33/1132940133.db2.gz ALVOWERVNIVDKD-KRWDZBQOSA-N 1 2 276.339 3.918 20 0 CHADLO CCc1c(C)cc(N(C)c2[nH+]cccc2N)cc1C ZINC001174680089 1132946145 /nfs/dbraw/zinc/94/61/45/1132946145.db2.gz MTOIKYGLBVYTGF-UHFFFAOYSA-N 1 2 255.365 3.611 20 0 CHADLO Cc1cn2cccc(Nc3cccc(F)c3C)c2[nH+]1 ZINC001174664781 1132956012 /nfs/dbraw/zinc/95/60/12/1132956012.db2.gz CQQAZTKJSLKYIS-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO c1cc(Nc2ccc(N3CCOCC3)cc2)[nH+]c(C2CC2)c1 ZINC001174708027 1132960720 /nfs/dbraw/zinc/96/07/20/1132960720.db2.gz RMIPQUDDWPIVTC-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO CNc1ccc(Nc2ccc3c(c2)NCCC3(C)C)c[nH+]1 ZINC001160322094 1132961156 /nfs/dbraw/zinc/96/11/56/1132961156.db2.gz XSMABQLWMSGSCC-UHFFFAOYSA-N 1 2 282.391 3.960 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4c(c3)CCCO4)ccc21 ZINC001174721866 1132965712 /nfs/dbraw/zinc/96/57/12/1132965712.db2.gz ZNEYPJSZMMUAFM-UHFFFAOYSA-N 1 2 279.343 3.642 20 0 CHADLO COC1CCC(CCNc2cc(C)[nH+]c(SC)n2)CC1 ZINC001160642062 1132977775 /nfs/dbraw/zinc/97/77/75/1132977775.db2.gz IKQOMTHAUICZRF-UHFFFAOYSA-N 1 2 295.452 3.514 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3ccc(F)c(C)n3)c[nH+]c12 ZINC001160683611 1132978952 /nfs/dbraw/zinc/97/89/52/1132978952.db2.gz PPTCFLFXOSCECN-LBPRGKRZSA-N 1 2 284.338 3.658 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)n(-c2ccccc2)n1 ZINC001213026032 1132980157 /nfs/dbraw/zinc/98/01/57/1132980157.db2.gz FLSDGAMQBZXJDE-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1noc2ccc(CNc3cc(C)[nH+]c(C4CC4)n3)cc12 ZINC001160866611 1132985357 /nfs/dbraw/zinc/98/53/57/1132985357.db2.gz AJMCGULGNFHSPS-UHFFFAOYSA-N 1 2 294.358 3.724 20 0 CHADLO c1nn(Cc2ccccc2)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213027147 1132986251 /nfs/dbraw/zinc/98/62/51/1132986251.db2.gz LKSWOMCZCASPDU-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO FC(F)(F)c1cccc(Nc2cccc3[nH+]c[nH]c32)n1 ZINC001213027089 1132986767 /nfs/dbraw/zinc/98/67/67/1132986767.db2.gz ILEYKTAZNQZDIV-UHFFFAOYSA-N 1 2 278.237 3.720 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)cc(Cl)n1 ZINC001213029277 1132993327 /nfs/dbraw/zinc/99/33/27/1132993327.db2.gz KIKYJUIZJNWZEI-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO c1[nH]c2c(cccc2Nc2cc(-c3ccncn3)cs2)[nH+]1 ZINC001213029735 1132993952 /nfs/dbraw/zinc/99/39/52/1132993952.db2.gz UVPUFTNNPBJLPN-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO Cc1cn(-c2ccc(Nc3cccc4[nH+]c[nH]c43)cc2)cn1 ZINC001213030619 1132994576 /nfs/dbraw/zinc/99/45/76/1132994576.db2.gz JEMZNKPXPHUBOT-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO COc1ccccc1C1(Nc2ccc(C(C)C)c[nH+]2)COC1 ZINC001161272395 1132996661 /nfs/dbraw/zinc/99/66/61/1132996661.db2.gz GWMXVUWGXIYUDY-UHFFFAOYSA-N 1 2 298.386 3.551 20 0 CHADLO Oc1c(Cl)cccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213031521 1132997169 /nfs/dbraw/zinc/99/71/69/1132997169.db2.gz DKDSOZJEULCCNU-UHFFFAOYSA-N 1 2 259.696 3.666 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c[nH]c32)c(Cl)c1 ZINC001213032354 1132998934 /nfs/dbraw/zinc/99/89/34/1132998934.db2.gz AYRNSJKPCDNNJC-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO CCOc1cccc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032592 1133000166 /nfs/dbraw/zinc/00/01/66/1133000166.db2.gz NRBBYGPUKPTBNH-UHFFFAOYSA-N 1 2 253.305 3.705 20 0 CHADLO Cn1ccc2c1cccc2Nc1cccc2[nH+]c[nH]c21 ZINC001213032531 1133000620 /nfs/dbraw/zinc/00/06/20/1133000620.db2.gz KFXZCWFGEHEBLQ-UHFFFAOYSA-N 1 2 262.316 3.798 20 0 CHADLO CSc1cc[nH+]c(N[C@H](C)c2ccc3c(c2)OCO3)c1 ZINC001161516284 1133005408 /nfs/dbraw/zinc/00/54/08/1133005408.db2.gz QLFUIYYWRZZQJR-SNVBAGLBSA-N 1 2 288.372 3.705 20 0 CHADLO CSc1cc(Cl)ncc1NCc1c[nH+]cn1C(C)C ZINC001161565398 1133006738 /nfs/dbraw/zinc/00/67/38/1133006738.db2.gz OOGSRGKKRGBDDQ-UHFFFAOYSA-N 1 2 296.827 3.846 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(-c2ccsc2)nn1 ZINC001161569503 1133006943 /nfs/dbraw/zinc/00/69/43/1133006943.db2.gz ORYXKSGVVJDHOG-UHFFFAOYSA-N 1 2 299.403 3.595 20 0 CHADLO CC(C)n1cncc1CNc1ccc(C(C)(C)C)c[nH+]1 ZINC001161570277 1133007020 /nfs/dbraw/zinc/00/70/20/1133007020.db2.gz ZDSKWFWPUAUFEC-UHFFFAOYSA-N 1 2 272.396 3.769 20 0 CHADLO CC(=O)c1ccc(C)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213033635 1133007268 /nfs/dbraw/zinc/00/72/68/1133007268.db2.gz QBSAYIMNTZNGDC-UHFFFAOYSA-N 1 2 265.316 3.818 20 0 CHADLO CC(C)(C)CNc1cccc(Cc2ccncc2)[nH+]1 ZINC001161639485 1133013016 /nfs/dbraw/zinc/01/30/16/1133013016.db2.gz RFJCLGXRVZOFHB-UHFFFAOYSA-N 1 2 255.365 3.525 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)cc(F)c1F ZINC001213034295 1133013595 /nfs/dbraw/zinc/01/35/95/1133013595.db2.gz BOIAYWYQRQHMOK-UHFFFAOYSA-N 1 2 259.259 3.893 20 0 CHADLO CCCCc1cccc(NCCCn2cc[nH+]c2)c1 ZINC001161688016 1133015633 /nfs/dbraw/zinc/01/56/33/1133015633.db2.gz GOZGLFBQLJOYFU-UHFFFAOYSA-N 1 2 257.381 3.728 20 0 CHADLO Cc1cc(NCCCn2cc[nH+]c2)ccc1OC(F)(F)F ZINC001161687774 1133015651 /nfs/dbraw/zinc/01/56/51/1133015651.db2.gz CRVDHFHSQGFXEW-UHFFFAOYSA-N 1 2 299.296 3.592 20 0 CHADLO CC(C)(C)CCNc1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001161681443 1133015886 /nfs/dbraw/zinc/01/58/86/1133015886.db2.gz YWKVBWSELRGOED-UHFFFAOYSA-N 1 2 261.344 3.860 20 0 CHADLO COc1ccc2[nH+]c(N[C@H]3CCO[C@H](C)C3)c(C)cc2c1 ZINC001161687041 1133016417 /nfs/dbraw/zinc/01/64/17/1133016417.db2.gz NIAHZJLCQLISQF-OCCSQVGLSA-N 1 2 286.375 3.531 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c[nH]c32)c(C(C)=O)c1 ZINC001213034627 1133016686 /nfs/dbraw/zinc/01/66/86/1133016686.db2.gz UJLNCHMRDQZKPY-UHFFFAOYSA-N 1 2 281.315 3.518 20 0 CHADLO Fc1cc(NCCCn2cc[nH+]c2)cc(C(F)F)c1F ZINC001161689451 1133016698 /nfs/dbraw/zinc/01/66/98/1133016698.db2.gz URXGUNWKPHTDCQ-UHFFFAOYSA-N 1 2 287.260 3.601 20 0 CHADLO COc1ccc2cccnc2c1Nc1cccc2[nH+]c[nH]c21 ZINC001213034744 1133017807 /nfs/dbraw/zinc/01/78/07/1133017807.db2.gz ZYWPZBBWBYUDKS-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO Cc1ccc(CNc2cccc(Cc3ccncc3)[nH+]2)o1 ZINC001161765780 1133026716 /nfs/dbraw/zinc/02/67/16/1133026716.db2.gz UDUSYVCIVUOQQY-UHFFFAOYSA-N 1 2 279.343 3.581 20 0 CHADLO Cc1ccc(CN(C)c2cc(C)[nH+]c(C3CCC3)n2)o1 ZINC001161951956 1133040491 /nfs/dbraw/zinc/04/04/91/1133040491.db2.gz PUPVYSMYYKBBMT-UHFFFAOYSA-N 1 2 271.364 3.590 20 0 CHADLO Cc1nc(NCC(C)(C)CC2CC2)c2c([nH+]1)CCC2 ZINC001162125633 1133052168 /nfs/dbraw/zinc/05/21/68/1133052168.db2.gz OSTOHIHKMKSWNG-UHFFFAOYSA-N 1 2 259.397 3.512 20 0 CHADLO Cc1cc(NCC(C)(C)CC2CC2)nc(C2CC2)[nH+]1 ZINC001162125778 1133052753 /nfs/dbraw/zinc/05/27/53/1133052753.db2.gz VGFLXLPSSLKMPJ-UHFFFAOYSA-N 1 2 259.397 3.901 20 0 CHADLO Cc1ncc(CNc2nc(C(C)(C)C)[nH+]c(C)c2C)s1 ZINC001162155960 1133054745 /nfs/dbraw/zinc/05/47/45/1133054745.db2.gz BIDQFIXTVYAMHD-UHFFFAOYSA-N 1 2 290.436 3.768 20 0 CHADLO CC(C)(CNc1cc(N)cc(Cl)[nH+]1)CC(F)(F)F ZINC001162249231 1133064415 /nfs/dbraw/zinc/06/44/15/1133064415.db2.gz LEGOXROUQBBRRJ-UHFFFAOYSA-N 1 2 281.709 3.708 20 0 CHADLO COc1cccc2[nH+]c(N[C@@H]3CCCC[C@H]3F)ccc21 ZINC001162506136 1133081627 /nfs/dbraw/zinc/08/16/27/1133081627.db2.gz PDNWHNVYCCWLMG-TZMCWYRMSA-N 1 2 274.339 3.936 20 0 CHADLO COc1ccc2cc(N[C@@H]3CCCC[C@H]3F)[nH+]cc2c1 ZINC001162506659 1133083154 /nfs/dbraw/zinc/08/31/54/1133083154.db2.gz ZVSDAONFMMKQSL-HUUCEWRRSA-N 1 2 274.339 3.936 20 0 CHADLO Cc1nc2c(ccn2C)c(NC[C@@H]2CCCC[C@H]2C)[nH+]1 ZINC001162524120 1133088147 /nfs/dbraw/zinc/08/81/47/1133088147.db2.gz KJFBPCYCANYBJX-YPMHNXCESA-N 1 2 272.396 3.515 20 0 CHADLO Cc1cc2cc(NC[C@H]3CCC(F)(F)C3)[nH+]cc2[nH]1 ZINC001162645948 1133098915 /nfs/dbraw/zinc/09/89/15/1133098915.db2.gz CZGOJKCUXCAJQA-JTQLQIEISA-N 1 2 265.307 3.719 20 0 CHADLO FC1(F)CC[C@H](CNc2[nH+]cccc2-n2cccc2)C1 ZINC001162646517 1133099473 /nfs/dbraw/zinc/09/94/73/1133099473.db2.gz GKYRLUCZDVRJOX-LBPRGKRZSA-N 1 2 277.318 3.720 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cc(Cl)nc(C(F)(F)F)n1 ZINC001162657524 1133101762 /nfs/dbraw/zinc/10/17/62/1133101762.db2.gz MAIAUHXFGIJOLN-UHFFFAOYSA-N 1 2 280.681 3.584 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cncc(-c2cccs2)n1 ZINC001162661717 1133101821 /nfs/dbraw/zinc/10/18/21/1133101821.db2.gz ZYSLLVAZJOLKOO-UHFFFAOYSA-N 1 2 260.366 3.640 20 0 CHADLO Cc1cc(NC2(Cc3ccc(O)cc3)CC2)nc(C(C)C)[nH+]1 ZINC001162734755 1133106915 /nfs/dbraw/zinc/10/69/15/1133106915.db2.gz JRYHYYFIMQCCMI-UHFFFAOYSA-N 1 2 297.402 3.801 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@H]1CCc2c1c(F)ccc2F ZINC001162932814 1133122545 /nfs/dbraw/zinc/12/25/45/1133122545.db2.gz UEXLHVALGCLAHL-ZDUSSCGKSA-N 1 2 278.277 3.907 20 0 CHADLO COc1c[nH+]c(N[C@H]2CCc3c2c(F)ccc3F)c(C)c1 ZINC001162931418 1133123105 /nfs/dbraw/zinc/12/31/05/1133123105.db2.gz GCYICDKSXUBLQT-AWEZNQCLSA-N 1 2 290.313 3.776 20 0 CHADLO Cc1cc(N2CCC[C@H](C(C)C)C2)nc(C2CCC2)[nH+]1 ZINC001163076506 1133129892 /nfs/dbraw/zinc/12/98/92/1133129892.db2.gz SQLUCJDVKICXDS-HNNXBMFYSA-N 1 2 273.424 3.925 20 0 CHADLO CCc1cc2c(ccnc2NCc2ccc3[nH+]ccn3c2)o1 ZINC001163141087 1133131608 /nfs/dbraw/zinc/13/16/08/1133131608.db2.gz SGTVTJSAKAEKDC-UHFFFAOYSA-N 1 2 292.342 3.650 20 0 CHADLO CC(=O)Nc1ccc([C@H](C)Nc2[nH+]ccc(F)c2C)cc1 ZINC001163149093 1133131771 /nfs/dbraw/zinc/13/17/71/1133131771.db2.gz IVTRLVXVVWPCJH-NSHDSACASA-N 1 2 287.338 3.661 20 0 CHADLO COc1c[nH+]c(N[C@@H](C)c2ccc(NC(C)=O)cc2)c(C)c1 ZINC001163149776 1133131806 /nfs/dbraw/zinc/13/18/06/1133131806.db2.gz QLGUPNPGLUNNPW-LBPRGKRZSA-N 1 2 299.374 3.530 20 0 CHADLO COc1ccc2[nH+]c(N[C@H](C)c3ccno3)c(C)cc2c1 ZINC001163207594 1133136452 /nfs/dbraw/zinc/13/64/52/1133136452.db2.gz PPGWDKGAJBTFNA-LLVKDONJSA-N 1 2 283.331 3.713 20 0 CHADLO Cc1cc(Cl)c(C)c(NCc2cnn3ccccc23)[nH+]1 ZINC001163282387 1133141234 /nfs/dbraw/zinc/14/12/34/1133141234.db2.gz LPOFGEYQUYHUQK-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CCOc1cccc(CC[NH2+]c2ccc(N)c(C)c2)c1 ZINC001163714648 1133167646 /nfs/dbraw/zinc/16/76/46/1133167646.db2.gz WTJRTWBFDCDIQB-UHFFFAOYSA-N 1 2 270.376 3.631 20 0 CHADLO CCc1cc(N[C@@H]2C[C@H]2c2ccccc2OC)nc(CC)[nH+]1 ZINC001163739322 1133170381 /nfs/dbraw/zinc/17/03/81/1133170381.db2.gz FITZOXGPIQDEED-LSDHHAIUSA-N 1 2 297.402 3.578 20 0 CHADLO CC(C)(c1ccnc(Cl)c1)[NH+]1CC2(C1)CC(F)(F)C2 ZINC001163749024 1133171524 /nfs/dbraw/zinc/17/15/24/1133171524.db2.gz ZLQXRUAWTRBGNP-UHFFFAOYSA-N 1 2 286.753 3.701 20 0 CHADLO CC(C)c1ccc(CNc2ccc3c([nH+]2)CCOC3)cc1 ZINC001163820876 1133177478 /nfs/dbraw/zinc/17/74/78/1133177478.db2.gz ORIUKUISFDTGJG-UHFFFAOYSA-N 1 2 282.387 3.890 20 0 CHADLO CC(C)(Cc1ccc(F)cc1)Nc1ccn2cc[nH+]c2c1 ZINC001163852873 1133179247 /nfs/dbraw/zinc/17/92/47/1133179247.db2.gz JTPMVKJEFOHWDH-UHFFFAOYSA-N 1 2 283.350 3.907 20 0 CHADLO COc1ccc(C)[nH+]c1N1CCc2ccccc2[C@@H]1C ZINC001163954934 1133187458 /nfs/dbraw/zinc/18/74/58/1133187458.db2.gz GNNMYRZXILIKDC-ZDUSSCGKSA-N 1 2 268.360 3.522 20 0 CHADLO Cc1cc(N2CCCC(F)(F)CC2)nc(C(C)(C)C)[nH+]1 ZINC001163982130 1133191334 /nfs/dbraw/zinc/19/13/34/1133191334.db2.gz MAYWTUIFDXOOQH-UHFFFAOYSA-N 1 2 283.366 3.708 20 0 CHADLO Cc1cc(N2CC[C@@H](CF)C(F)(F)C2)[nH+]c2ccccc12 ZINC001164093348 1133196788 /nfs/dbraw/zinc/19/67/88/1133196788.db2.gz CCPCOIJLGCIRPC-LBPRGKRZSA-N 1 2 294.320 3.974 20 0 CHADLO CSc1cc[nH+]c(N(C)Cc2cnc(Cl)s2)c1 ZINC001164169769 1133202540 /nfs/dbraw/zinc/20/25/40/1133202540.db2.gz WGVCTFVFXJXMEU-UHFFFAOYSA-N 1 2 285.825 3.550 20 0 CHADLO CCc1cc(NC[C@H]2CCCC3(CCC3)O2)nc(CC)[nH+]1 ZINC001164265591 1133210516 /nfs/dbraw/zinc/21/05/16/1133210516.db2.gz WMQKSXIWNSUTHU-CQSZACIVSA-N 1 2 289.423 3.505 20 0 CHADLO CC(C)c1cc(N[C@@H]2COC[C@@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC001164333109 1133214053 /nfs/dbraw/zinc/21/40/53/1133214053.db2.gz SJDNOLBXXODSBV-UKRRQHHQSA-N 1 2 289.423 3.560 20 0 CHADLO FC(F)(F)C1(c2ccc(NCCn3cccc3)[nH+]c2)CC1 ZINC001164447465 1133219300 /nfs/dbraw/zinc/21/93/00/1133219300.db2.gz SMTIYRAGCOWWQY-UHFFFAOYSA-N 1 2 295.308 3.589 20 0 CHADLO Cc1cc(N2CCC(F)(F)C(C)(C)C2)nc(C(C)(C)C)[nH+]1 ZINC001165215939 1133230014 /nfs/dbraw/zinc/23/00/14/1133230014.db2.gz FVEWCTYMWWEJOL-UHFFFAOYSA-N 1 2 297.393 3.954 20 0 CHADLO Cc1nc(N2CCC3(CC(F)C3)CC2)cc(C(C)C)[nH+]1 ZINC001165308083 1133238307 /nfs/dbraw/zinc/23/83/07/1133238307.db2.gz AYNHRILFLHYGNV-UHFFFAOYSA-N 1 2 277.387 3.627 20 0 CHADLO COC(C)(C)CNc1ccc2c(c1)[nH+]cn2-c1ccccc1 ZINC001165843027 1133254026 /nfs/dbraw/zinc/25/40/26/1133254026.db2.gz TXKHRHGPVLQCPD-UHFFFAOYSA-N 1 2 295.386 3.862 20 0 CHADLO COCC(C)(C)Nc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001165983838 1133256990 /nfs/dbraw/zinc/25/69/90/1133256990.db2.gz QMXPJSGLIPYLHF-UHFFFAOYSA-N 1 2 288.313 3.512 20 0 CHADLO Cc1nc(NC/C=C/c2ccccc2)c2c([nH+]1)CCCC2 ZINC001166064879 1133257929 /nfs/dbraw/zinc/25/79/29/1133257929.db2.gz AWQWTFUWZWAWJI-JXMROGBWSA-N 1 2 279.387 3.789 20 0 CHADLO COc1c(C)[nH+]c(C2CC2)nc1NC/C=C/c1ccccc1 ZINC001166097500 1133259620 /nfs/dbraw/zinc/25/96/20/1133259620.db2.gz XISHQNCJYMGDDM-RMKNXTFCSA-N 1 2 295.386 3.796 20 0 CHADLO COc1cc2cc[nH+]c(N(C)C(C)(C)C)c2cc1F ZINC001166527993 1133267969 /nfs/dbraw/zinc/26/79/69/1133267969.db2.gz FXXZZWXSXBITJB-UHFFFAOYSA-N 1 2 262.328 3.617 20 0 CHADLO COc1cc(C)c[nH+]c1N1CCC[C@@H](Cc2ccccc2)C1 ZINC001166564864 1133271553 /nfs/dbraw/zinc/27/15/53/1133271553.db2.gz ZEMWQLBQWTWQGK-KRWDZBQOSA-N 1 2 296.414 3.858 20 0 CHADLO CN(Cc1cccc2cccnc21)c1cc(N)cc(Cl)[nH+]1 ZINC001166689128 1133282780 /nfs/dbraw/zinc/28/27/80/1133282780.db2.gz WGJKZYUGPQBQSZ-UHFFFAOYSA-N 1 2 298.777 3.502 20 0 CHADLO Cc1nc([C@@H]2CCCCN2c2[nH+]ccc3ccccc32)no1 ZINC001166713749 1133283614 /nfs/dbraw/zinc/28/36/14/1133283614.db2.gz KNYWKZJJHUWKKL-HNNXBMFYSA-N 1 2 294.358 3.658 20 0 CHADLO COC(C)(C)[C@H]1CCCN1c1[nH+]ccc2c(C)cccc21 ZINC001166796569 1133288391 /nfs/dbraw/zinc/28/83/91/1133288391.db2.gz YUCHQQNXIMHKBY-MRXNPFEDSA-N 1 2 284.403 3.937 20 0 CHADLO COc1ccccc1Cc1cn([C@@H]2C=CCCC2)c[nH+]1 ZINC001166964394 1133295041 /nfs/dbraw/zinc/29/50/41/1133295041.db2.gz PEEHIXKTRDDBOP-MRXNPFEDSA-N 1 2 268.360 3.764 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc2c(c1)NC(=O)CO2 ZINC001203186032 1133304800 /nfs/dbraw/zinc/30/48/00/1133304800.db2.gz USONXUQOQOTNTO-UHFFFAOYSA-N 1 2 297.358 3.588 20 0 CHADLO Cc1cc([NH+]2CCCCC2)ccc1Nc1cnoc1 ZINC001203245814 1133307146 /nfs/dbraw/zinc/30/71/46/1133307146.db2.gz XMLJATTXSCVCCF-UHFFFAOYSA-N 1 2 257.337 3.717 20 0 CHADLO Cc1ccc(Nc2cnc3c(C(C)C)cnn3c2)c(C)[nH+]1 ZINC001203368601 1133318857 /nfs/dbraw/zinc/31/88/57/1133318857.db2.gz BCIGGMWYCRNFDP-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO Cc1ccc(Nc2ccnn2-c2ccc(F)cc2)c(C)[nH+]1 ZINC001203370111 1133319520 /nfs/dbraw/zinc/31/95/20/1133319520.db2.gz GJNIWROCTNECHN-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO CCn1cc2cc(Nc3ccc(C)[nH+]c3C)ccc2n1 ZINC001203374964 1133320250 /nfs/dbraw/zinc/32/02/50/1133320250.db2.gz QUNSHQIEQYAWTB-UHFFFAOYSA-N 1 2 266.348 3.812 20 0 CHADLO Cc1nc(Cl)c(Nc2ccc(C)[nH+]c2C)c(Cl)n1 ZINC001203370772 1133320492 /nfs/dbraw/zinc/32/04/92/1133320492.db2.gz UVWOGNPRQUOIKM-UHFFFAOYSA-N 1 2 283.162 3.847 20 0 CHADLO Cc1ccc(Nc2ccc(-c3ccccn3)nc2)c(C)[nH+]1 ZINC001203369306 1133320559 /nfs/dbraw/zinc/32/05/59/1133320559.db2.gz ONBRCPRWWADRIJ-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO CNc1ccc(Nc2nc(C(C)(C)C)cs2)c[nH+]1 ZINC001203447274 1133327981 /nfs/dbraw/zinc/32/79/81/1133327981.db2.gz LHUDBOAFVHEFAD-UHFFFAOYSA-N 1 2 262.382 3.621 20 0 CHADLO CNc1ccc(Nc2ncc(-c3ccccc3)s2)c[nH+]1 ZINC001203446687 1133328589 /nfs/dbraw/zinc/32/85/89/1133328589.db2.gz GYIRUXKXHQMARG-UHFFFAOYSA-N 1 2 282.372 3.990 20 0 CHADLO CNc1ccc(Nc2cc(C3CCCCC3)no2)c[nH+]1 ZINC001203448688 1133328906 /nfs/dbraw/zinc/32/89/06/1133328906.db2.gz FYKAOKUEOLBJPL-UHFFFAOYSA-N 1 2 272.352 3.903 20 0 CHADLO CNc1ccc(Nc2cc(-c3ccccc3C)nn2C)c[nH+]1 ZINC001203454324 1133328946 /nfs/dbraw/zinc/32/89/46/1133328946.db2.gz BJOZAJKABWQNIV-UHFFFAOYSA-N 1 2 293.374 3.576 20 0 CHADLO CNc1ccc(Nc2c(C)ccc3cccnc32)c[nH+]1 ZINC001203456809 1133329042 /nfs/dbraw/zinc/32/90/42/1133329042.db2.gz YJOZABSAOSNAJN-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO CNc1ccc(Nc2c(N)cc(Cl)cc2Cl)c[nH+]1 ZINC001203457974 1133329119 /nfs/dbraw/zinc/32/91/19/1133329119.db2.gz FNYHQPCNZVFDDJ-UHFFFAOYSA-N 1 2 283.162 3.756 20 0 CHADLO CNc1ccc(Nc2cnc(CC(C)C)cc2C)c[nH+]1 ZINC001203455834 1133329311 /nfs/dbraw/zinc/32/93/11/1133329311.db2.gz WHVQETVGMLTBOZ-UHFFFAOYSA-N 1 2 270.380 3.769 20 0 CHADLO CNc1ccc(Nc2cc(Cl)ccc2F)c[nH+]1 ZINC001203454392 1133329915 /nfs/dbraw/zinc/32/99/15/1133329915.db2.gz CLALYHJWXJKVLA-UHFFFAOYSA-N 1 2 251.692 3.659 20 0 CHADLO CNc1ccc(Nc2ccc(Cl)c3nsnc32)c[nH+]1 ZINC001203459264 1133331087 /nfs/dbraw/zinc/33/10/87/1133331087.db2.gz IIOGRTGBEXQATH-UHFFFAOYSA-N 1 2 291.767 3.525 20 0 CHADLO CNc1ccc(Nc2cccc(-c3ccncc3)c2)c[nH+]1 ZINC001203458615 1133331700 /nfs/dbraw/zinc/33/17/00/1133331700.db2.gz OZTSGROYRREUBP-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO COC(=O)c1cc(C)sc1Nc1cc(C)c[nH+]c1C ZINC001203654600 1133348894 /nfs/dbraw/zinc/34/88/94/1133348894.db2.gz MAPLSNGTQVOETR-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1cc(Nc2cc(C)c[nH+]c2C)n(C2CCC2)n1 ZINC001203657074 1133350086 /nfs/dbraw/zinc/35/00/86/1133350086.db2.gz IANUTJUIZLNKCX-UHFFFAOYSA-N 1 2 256.353 3.672 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Cl)ncc2F)c1 ZINC001203656399 1133350424 /nfs/dbraw/zinc/35/04/24/1133350424.db2.gz QLDNCNWIRWNONU-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO CC(=O)Nc1cc(Nc2cc(C)c[nH+]c2C)ccc1C ZINC001203663363 1133350595 /nfs/dbraw/zinc/35/05/95/1133350595.db2.gz OEKUOCYLTOADCM-UHFFFAOYSA-N 1 2 269.348 3.709 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(N)cc(Cl)c2C)c1 ZINC001203664041 1133351456 /nfs/dbraw/zinc/35/14/56/1133351456.db2.gz VHIWAMHFHAIVRK-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO Cc1c[nH+]c(C)c(N(C)c2cc(Cl)ccc2N)c1 ZINC001203663501 1133351490 /nfs/dbraw/zinc/35/14/90/1133351490.db2.gz MYRBHYADQLDEKP-UHFFFAOYSA-N 1 2 261.756 3.702 20 0 CHADLO CCN(CCO)c1ccc(Nc2cc(C)c[nH+]c2C)c(C)c1 ZINC001203663273 1133352118 /nfs/dbraw/zinc/35/21/18/1133352118.db2.gz MDIGRLDRWZYKPB-UHFFFAOYSA-N 1 2 299.418 3.569 20 0 CHADLO COC(=O)[C@@H](C)c1ccc(Nc2cc(C)c[nH+]c2C)cc1 ZINC001203667578 1133352300 /nfs/dbraw/zinc/35/23/00/1133352300.db2.gz PCIGNDACHZOVEK-LBPRGKRZSA-N 1 2 284.359 3.719 20 0 CHADLO Cc1ncncc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203717400 1133358500 /nfs/dbraw/zinc/35/85/00/1133358500.db2.gz BLYLAUXFKZPAHA-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO c1coc(CNc2[nH+]c3ccccc3n2CC2CC2)c1 ZINC001203739255 1133362470 /nfs/dbraw/zinc/36/24/70/1133362470.db2.gz DYWSKKDBEGIRMK-UHFFFAOYSA-N 1 2 267.332 3.651 20 0 CHADLO CCCCOc1ccc(C[N@@H+]2CCn3cccc3C2)cc1 ZINC001203970487 1133390927 /nfs/dbraw/zinc/39/09/27/1133390927.db2.gz PJVBUVSGOQKIID-UHFFFAOYSA-N 1 2 284.403 3.683 20 0 CHADLO CCCCOc1ccc(C[N@H+]2CCn3cccc3C2)cc1 ZINC001203970487 1133390934 /nfs/dbraw/zinc/39/09/34/1133390934.db2.gz PJVBUVSGOQKIID-UHFFFAOYSA-N 1 2 284.403 3.683 20 0 CHADLO COc1ccc2ccccc2c1C[N@@H+]1Cc2ccncc2C1 ZINC001203989931 1133395572 /nfs/dbraw/zinc/39/55/72/1133395572.db2.gz MROCSSPQEDOADE-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1ccc2ccccc2c1C[N@H+]1Cc2ccncc2C1 ZINC001203989931 1133395577 /nfs/dbraw/zinc/39/55/77/1133395577.db2.gz MROCSSPQEDOADE-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc[nH]2)Cc2ccccc2)on1 ZINC001204011043 1133399458 /nfs/dbraw/zinc/39/94/58/1133399458.db2.gz GHUSSSSDUIEGKN-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc[nH]2)Cc2ccccc2)on1 ZINC001204011043 1133399462 /nfs/dbraw/zinc/39/94/62/1133399462.db2.gz GHUSSSSDUIEGKN-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Fc1ccccc1OC1C[NH+](Cc2c[nH]c3ccccc23)C1 ZINC001204046918 1133403808 /nfs/dbraw/zinc/40/38/08/1133403808.db2.gz ATOGWIICOMCNDM-UHFFFAOYSA-N 1 2 296.345 3.570 20 0 CHADLO Fc1cc(F)cc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)c1 ZINC001204232216 1133422966 /nfs/dbraw/zinc/42/29/66/1133422966.db2.gz HBKVTWORCSUFBW-KRWDZBQOSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1cc(F)cc(C[N@H+]2CCOC[C@H]2C2CCCCC2)c1 ZINC001204232216 1133422969 /nfs/dbraw/zinc/42/29/69/1133422969.db2.gz HBKVTWORCSUFBW-KRWDZBQOSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc(F)c([C@H]2CCC[N@@H+]2Cc2ccoc2)c1 ZINC001204242873 1133424639 /nfs/dbraw/zinc/42/46/39/1133424639.db2.gz CYFVHPODVIMXKY-OAHLLOKOSA-N 1 2 263.287 3.895 20 0 CHADLO Fc1ccc(F)c([C@H]2CCC[N@H+]2Cc2ccoc2)c1 ZINC001204242873 1133424641 /nfs/dbraw/zinc/42/46/41/1133424641.db2.gz CYFVHPODVIMXKY-OAHLLOKOSA-N 1 2 263.287 3.895 20 0 CHADLO CCCCCOc1ccc(C[N@@H+]2CCC(=O)C[C@H]2C)cc1 ZINC001204310996 1133435469 /nfs/dbraw/zinc/43/54/69/1133435469.db2.gz QBCAEZZNDVFKFJ-OAHLLOKOSA-N 1 2 289.419 3.809 20 0 CHADLO CCCCCOc1ccc(C[N@H+]2CCC(=O)C[C@H]2C)cc1 ZINC001204310996 1133435471 /nfs/dbraw/zinc/43/54/71/1133435471.db2.gz QBCAEZZNDVFKFJ-OAHLLOKOSA-N 1 2 289.419 3.809 20 0 CHADLO Cc1c(F)cccc1C[N@@H+]1CCc2cc(F)c(F)cc2C1 ZINC001204514290 1133456943 /nfs/dbraw/zinc/45/69/43/1133456943.db2.gz UBJAKYZENNGJHO-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(F)cccc1C[N@H+]1CCc2cc(F)c(F)cc2C1 ZINC001204514290 1133456947 /nfs/dbraw/zinc/45/69/47/1133456947.db2.gz UBJAKYZENNGJHO-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H](C(F)F)C2)cc(F)c1F ZINC001204528345 1133459392 /nfs/dbraw/zinc/45/93/92/1133459392.db2.gz YYFNWIYHGCLVII-VIFPVBQESA-N 1 2 279.252 3.581 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H](C(F)F)C2)cc(F)c1F ZINC001204528345 1133459393 /nfs/dbraw/zinc/45/93/93/1133459393.db2.gz YYFNWIYHGCLVII-VIFPVBQESA-N 1 2 279.252 3.581 20 0 CHADLO CCCOc1ccc(-c2ccc(N)[nH+]c2)cc1Cl ZINC001204786845 1133485261 /nfs/dbraw/zinc/48/52/61/1133485261.db2.gz UHNRZBJTVXJXBD-UHFFFAOYSA-N 1 2 262.740 3.773 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc2c(c1)c(C)nn2C ZINC001204849059 1133492981 /nfs/dbraw/zinc/49/29/81/1133492981.db2.gz GZUAIKXLNFCAFU-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)ccc1C(F)(F)F ZINC001204863144 1133493180 /nfs/dbraw/zinc/49/31/80/1133493180.db2.gz SSPCBBLLSFTLIE-OUJBWJOFSA-N 1 2 291.263 3.634 20 0 CHADLO Fc1cc(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)ccc1C(F)(F)F ZINC001204863144 1133493182 /nfs/dbraw/zinc/49/31/82/1133493182.db2.gz SSPCBBLLSFTLIE-OUJBWJOFSA-N 1 2 291.263 3.634 20 0 CHADLO CCOc1cc(Nc2cnc3[nH]c(C)cc3c2)cc(C)[nH+]1 ZINC001204904570 1133498514 /nfs/dbraw/zinc/49/85/14/1133498514.db2.gz XVOQPQAFYJPVPA-UHFFFAOYSA-N 1 2 282.347 3.717 20 0 CHADLO Cc1ccc2sc(Nc3ccn4cc[nH+]c4c3)nc2c1 ZINC001204951690 1133506743 /nfs/dbraw/zinc/50/67/43/1133506743.db2.gz WZIKMVKTMWPACB-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO Clc1cc(Cl)c(Nc2ccn3cc[nH+]c3c2)cn1 ZINC001204959842 1133507779 /nfs/dbraw/zinc/50/77/79/1133507779.db2.gz XAIHSHYUNBBXQW-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Brc1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001204972001 1133510690 /nfs/dbraw/zinc/51/06/90/1133510690.db2.gz SUHMDXFHBXVCFZ-UHFFFAOYSA-N 1 2 288.148 3.840 20 0 CHADLO Oc1c(Nc2ccn3cc[nH+]c3c2)cccc1C(F)(F)F ZINC001204996950 1133515087 /nfs/dbraw/zinc/51/50/87/1133515087.db2.gz BXCYUXQDTUTCFM-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO CC[N@H+](Cc1nc(C(C)(C)C)co1)Cc1ccccc1 ZINC001205100003 1133529074 /nfs/dbraw/zinc/52/90/74/1133529074.db2.gz BHBZSPRKIKPYON-UHFFFAOYSA-N 1 2 272.392 3.994 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)(C)C)co1)Cc1ccccc1 ZINC001205100003 1133529078 /nfs/dbraw/zinc/52/90/78/1133529078.db2.gz BHBZSPRKIKPYON-UHFFFAOYSA-N 1 2 272.392 3.994 20 0 CHADLO COc1cc(Cl)cc(-c2ccc3[nH+]ccn3c2C)c1 ZINC001205145407 1133536018 /nfs/dbraw/zinc/53/60/18/1133536018.db2.gz XNJNAEDFPYEADU-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(Oc2ccccc2)nc1 ZINC001205350683 1133567529 /nfs/dbraw/zinc/56/75/29/1133567529.db2.gz SVTIYQNAQQSBCQ-LRPJOWSMSA-N 1 2 252.317 3.750 20 0 CHADLO Cc1cc(CN2C[C@@H](C)Oc3c(F)cccc32)cc(C)[nH+]1 ZINC001205437359 1133577171 /nfs/dbraw/zinc/57/71/71/1133577171.db2.gz FSRJMXCTEQWYCU-CYBMUJFWSA-N 1 2 286.350 3.625 20 0 CHADLO Fc1ccc(-c2cc(-n3ccnc3)cc[nH+]2)c(Cl)c1F ZINC001205666643 1133600886 /nfs/dbraw/zinc/60/08/86/1133600886.db2.gz RZCUANDIBVPFQC-UHFFFAOYSA-N 1 2 291.688 3.866 20 0 CHADLO Oc1ccc2cc(-c3c[nH+]c4c(c3)CCCN4)ccc2c1 ZINC001205786558 1133613038 /nfs/dbraw/zinc/61/30/38/1133613038.db2.gz JPDJXNQVASZQTA-UHFFFAOYSA-N 1 2 276.339 3.608 20 0 CHADLO CCOc1c(F)c(F)ccc1-c1cccc2[nH+]ccn21 ZINC001205805775 1133616081 /nfs/dbraw/zinc/61/60/81/1133616081.db2.gz WFJDNKGAWITTTR-UHFFFAOYSA-N 1 2 274.270 3.678 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1ncccc1C ZINC001205814301 1133618110 /nfs/dbraw/zinc/61/81/10/1133618110.db2.gz ZYSXOFFDOKJHTP-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ncccc1C ZINC001205814301 1133618113 /nfs/dbraw/zinc/61/81/13/1133618113.db2.gz ZYSXOFFDOKJHTP-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CC(C)Cn1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC001205863861 1133624107 /nfs/dbraw/zinc/62/41/07/1133624107.db2.gz LRBAARPVHVGIDB-UHFFFAOYSA-N 1 2 295.390 3.528 20 0 CHADLO Cc1cc(C)c(NC(=O)NC(C)(C)/C=C/Cl)c(C)[nH+]1 ZINC001205906947 1133629119 /nfs/dbraw/zinc/62/91/19/1133629119.db2.gz BAAIDDLWNISLPF-VOTSOKGWSA-N 1 2 281.787 3.659 20 0 CHADLO Cc1c[nH]c2ncc(Nc3ccc[nH+]c3N3CCCC3)cc12 ZINC001206020390 1133642100 /nfs/dbraw/zinc/64/21/00/1133642100.db2.gz IHGPKGPEWMNDBM-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO CC(C)O[C@@H]1C[C@@H]([NH2+]CC(F)(F)c2ccccc2)C1(C)C ZINC001206128736 1133661321 /nfs/dbraw/zinc/66/13/21/1133661321.db2.gz ZIGJYDNGYOVMMK-HUUCEWRRSA-N 1 2 297.389 3.960 20 0 CHADLO Clc1cncc(CNc2cc3cc[nH]c3c[nH+]2)c1Cl ZINC001206475825 1133708203 /nfs/dbraw/zinc/70/82/03/1133708203.db2.gz DNMFTROOWZABFC-UHFFFAOYSA-N 1 2 293.157 3.877 20 0 CHADLO c1[nH+]cc(N[C@@H]2CC[C@@H]3COCC[C@H]3C2)c2c1CCCC2 ZINC001206637242 1133729716 /nfs/dbraw/zinc/72/97/16/1133729716.db2.gz XTLYSGWLAOVKEK-NUEKZKHPSA-N 1 2 286.419 3.578 20 0 CHADLO COc1cccc([C@@H]2CCCC[N@@H+]2Cc2cc(C)on2)c1 ZINC001206818705 1133751542 /nfs/dbraw/zinc/75/15/42/1133751542.db2.gz CKBQMXWVAIMIEC-KRWDZBQOSA-N 1 2 286.375 3.719 20 0 CHADLO COc1cccc([C@@H]2CCCC[N@H+]2Cc2cc(C)on2)c1 ZINC001206818705 1133751548 /nfs/dbraw/zinc/75/15/48/1133751548.db2.gz CKBQMXWVAIMIEC-KRWDZBQOSA-N 1 2 286.375 3.719 20 0 CHADLO Cc1cc(C[N@H+](Cc2cccc(C)c2C)C2CC2)no1 ZINC001206822240 1133752699 /nfs/dbraw/zinc/75/26/99/1133752699.db2.gz QUPWQUWFDBBCTJ-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cc1cc(C[N@@H+](Cc2cccc(C)c2C)C2CC2)no1 ZINC001206822240 1133752704 /nfs/dbraw/zinc/75/27/04/1133752704.db2.gz QUPWQUWFDBBCTJ-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cc1ccccc1[C@H]1CCCN1Cc1[nH+]cc2ccccn21 ZINC001207050296 1133763631 /nfs/dbraw/zinc/76/36/31/1133763631.db2.gz NHTCECGGUBWZQO-GOSISDBHSA-N 1 2 291.398 3.980 20 0 CHADLO Fc1cnc(C[N@@H+]2CCC[C@H]2c2cccs2)c(F)c1 ZINC001207097971 1133767820 /nfs/dbraw/zinc/76/78/20/1133767820.db2.gz DKWAVOAIJYLGAK-ZDUSSCGKSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cnc(C[N@H+]2CCC[C@H]2c2cccs2)c(F)c1 ZINC001207097971 1133767824 /nfs/dbraw/zinc/76/78/24/1133767824.db2.gz DKWAVOAIJYLGAK-ZDUSSCGKSA-N 1 2 280.343 3.758 20 0 CHADLO CC[N@H+](Cc1ccc(F)cc1)Cc1ncc(F)cc1F ZINC001207099184 1133768078 /nfs/dbraw/zinc/76/80/78/1133768078.db2.gz MIZKSHRLHBSSOF-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cc1)Cc1ncc(F)cc1F ZINC001207099184 1133768080 /nfs/dbraw/zinc/76/80/80/1133768080.db2.gz MIZKSHRLHBSSOF-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+]CCc1ccc(C(C)C)cc1 ZINC001207333323 1133791838 /nfs/dbraw/zinc/79/18/38/1133791838.db2.gz DEEOLSQRMWRGLJ-KRWDZBQOSA-N 1 2 291.435 3.530 20 0 CHADLO FC[C@@H]1CC[N@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342936 1133792915 /nfs/dbraw/zinc/79/29/15/1133792915.db2.gz RIXNFPKSSNEVJD-NSHDSACASA-N 1 2 285.300 3.739 20 0 CHADLO FC[C@@H]1CC[N@@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342936 1133792920 /nfs/dbraw/zinc/79/29/20/1133792920.db2.gz RIXNFPKSSNEVJD-NSHDSACASA-N 1 2 285.300 3.739 20 0 CHADLO Fc1cc(Cl)ccc1C[NH2+]Cc1noc2ccccc12 ZINC001207518150 1133813755 /nfs/dbraw/zinc/81/37/55/1133813755.db2.gz DFJLBBLWPYQMNL-UHFFFAOYSA-N 1 2 290.725 3.910 20 0 CHADLO FC(F)(F)[C@H]1C[N@H+](CCCC2CCCCC2)CCO1 ZINC001207607776 1133828117 /nfs/dbraw/zinc/82/81/17/1133828117.db2.gz ARVDVHMENUFIJY-CYBMUJFWSA-N 1 2 279.346 3.610 20 0 CHADLO FC(F)(F)[C@H]1C[N@@H+](CCCC2CCCCC2)CCO1 ZINC001207607776 1133828124 /nfs/dbraw/zinc/82/81/24/1133828124.db2.gz ARVDVHMENUFIJY-CYBMUJFWSA-N 1 2 279.346 3.610 20 0 CHADLO FC(F)(F)[C@@H]1C[N@H+](CCCC2CCCCC2)CCO1 ZINC001207607778 1133828260 /nfs/dbraw/zinc/82/82/60/1133828260.db2.gz ARVDVHMENUFIJY-ZDUSSCGKSA-N 1 2 279.346 3.610 20 0 CHADLO FC(F)(F)[C@@H]1C[N@@H+](CCCC2CCCCC2)CCO1 ZINC001207607778 1133828264 /nfs/dbraw/zinc/82/82/64/1133828264.db2.gz ARVDVHMENUFIJY-ZDUSSCGKSA-N 1 2 279.346 3.610 20 0 CHADLO Cc1c(CNc2[nH+]c3ccccc3n2C2CC2)ccnc1F ZINC001207875938 1133857279 /nfs/dbraw/zinc/85/72/79/1133857279.db2.gz BBWMRLHEIQTWKH-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO C[C@@H](C[N@@H+]1CC[C@@H](C)C(F)(F)C1)c1ccccc1 ZINC001207903142 1133859551 /nfs/dbraw/zinc/85/95/51/1133859551.db2.gz CKMNDIYBTZRBEU-QWHCGFSZSA-N 1 2 253.336 3.767 20 0 CHADLO C[C@@H](C[N@H+]1CC[C@@H](C)C(F)(F)C1)c1ccccc1 ZINC001207903142 1133859556 /nfs/dbraw/zinc/85/95/56/1133859556.db2.gz CKMNDIYBTZRBEU-QWHCGFSZSA-N 1 2 253.336 3.767 20 0 CHADLO Fc1ccc(CCC[N@H+]2CCC[C@@H](F)C(F)(F)C2)cc1 ZINC001208767235 1133940772 /nfs/dbraw/zinc/94/07/72/1133940772.db2.gz JNMZVRWYHZYVJH-CQSZACIVSA-N 1 2 289.316 3.828 20 0 CHADLO Fc1ccc(CCC[N@@H+]2CCC[C@@H](F)C(F)(F)C2)cc1 ZINC001208767235 1133940776 /nfs/dbraw/zinc/94/07/76/1133940776.db2.gz JNMZVRWYHZYVJH-CQSZACIVSA-N 1 2 289.316 3.828 20 0 CHADLO FC1(F)CC[N@H+](CCCCCOCc2ccccc2)C1 ZINC001208805461 1133946856 /nfs/dbraw/zinc/94/68/56/1133946856.db2.gz YJXMUSUNEWGFTJ-UHFFFAOYSA-N 1 2 283.362 3.715 20 0 CHADLO FC1(F)CC[N@@H+](CCCCCOCc2ccccc2)C1 ZINC001208805461 1133946857 /nfs/dbraw/zinc/94/68/57/1133946857.db2.gz YJXMUSUNEWGFTJ-UHFFFAOYSA-N 1 2 283.362 3.715 20 0 CHADLO CCOC(C)(C)C[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001209076566 1133973173 /nfs/dbraw/zinc/97/31/73/1133973173.db2.gz OGPFYBROBBKYLN-OAHLLOKOSA-N 1 2 297.826 3.528 20 0 CHADLO CCOC(C)(C)C[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001209076566 1133973179 /nfs/dbraw/zinc/97/31/79/1133973179.db2.gz OGPFYBROBBKYLN-OAHLLOKOSA-N 1 2 297.826 3.528 20 0 CHADLO CC/C=C\CCCCCC[N@@H+]1CCC[C@@H](F)C(=O)C1 ZINC001209286871 1134000661 /nfs/dbraw/zinc/00/06/61/1134000661.db2.gz JXTSKFUSEBSYME-ABCZVMIZSA-N 1 2 269.404 3.906 20 0 CHADLO CC/C=C\CCCCCC[N@H+]1CCC[C@@H](F)C(=O)C1 ZINC001209286871 1134000669 /nfs/dbraw/zinc/00/06/69/1134000669.db2.gz JXTSKFUSEBSYME-ABCZVMIZSA-N 1 2 269.404 3.906 20 0 CHADLO Fc1ccccc1OC1C[NH+](Cc2ccc3cc[nH]c3c2)C1 ZINC001209514287 1134031318 /nfs/dbraw/zinc/03/13/18/1134031318.db2.gz IWJLKTYXNRCFDH-UHFFFAOYSA-N 1 2 296.345 3.570 20 0 CHADLO Cc1cc(Cl)ncc1C[N@@H+]1CCOC2(CCCCC2)C1 ZINC001209633205 1134044652 /nfs/dbraw/zinc/04/46/52/1134044652.db2.gz IIFBISSTRXGFAB-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Cc1cc(Cl)ncc1C[N@H+]1CCOC2(CCCCC2)C1 ZINC001209633205 1134044657 /nfs/dbraw/zinc/04/46/57/1134044657.db2.gz IIFBISSTRXGFAB-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc3[nH]cc(Cl)c32)CCC1=O ZINC001209658541 1134048533 /nfs/dbraw/zinc/04/85/33/1134048533.db2.gz CZXZVKMJDLBYER-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc3[nH]cc(Cl)c32)CCC1=O ZINC001209658541 1134048540 /nfs/dbraw/zinc/04/85/40/1134048540.db2.gz CZXZVKMJDLBYER-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO Cn1cc(C[N@@H+]2Cc3cccc(Cl)c3C2)c2cccnc21 ZINC001209751435 1134057474 /nfs/dbraw/zinc/05/74/74/1134057474.db2.gz QNDMNIQZYLXHBO-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1cc(C[N@H+]2Cc3cccc(Cl)c3C2)c2cccnc21 ZINC001209751435 1134057482 /nfs/dbraw/zinc/05/74/82/1134057482.db2.gz QNDMNIQZYLXHBO-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO COc1cc(Nc2ccc(F)c(OC)c2Cl)cc(C)[nH+]1 ZINC001209801681 1134067767 /nfs/dbraw/zinc/06/77/67/1134067767.db2.gz SAQHCUIMPQDYLJ-UHFFFAOYSA-N 1 2 296.729 3.943 20 0 CHADLO Brc1nc(Nc2ccc3[nH]c[nH+]c3c2)cs1 ZINC001209844333 1134078945 /nfs/dbraw/zinc/07/89/45/1134078945.db2.gz LXWVRZNUZOMAKC-UHFFFAOYSA-N 1 2 295.165 3.526 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(OC(C)C)cc2F)c(N)c1 ZINC001209832400 1134073074 /nfs/dbraw/zinc/07/30/74/1134073074.db2.gz HETVRKCFWPCKDN-UHFFFAOYSA-N 1 2 275.327 3.642 20 0 CHADLO c1[nH]c2ccc(Nc3cc(-c4cccnc4)ccn3)cc2[nH+]1 ZINC001209841296 1134078384 /nfs/dbraw/zinc/07/83/84/1134078384.db2.gz IMHBAPVSGJUFFP-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO Cc1ccc2c(Nc3ccc4[nH]nc(N)c4c3)cccc2[nH+]1 ZINC001209863016 1134087813 /nfs/dbraw/zinc/08/78/13/1134087813.db2.gz ZEFGFPJMPOUSLJ-UHFFFAOYSA-N 1 2 289.342 3.745 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ccc(F)c(CO)c1 ZINC001209874316 1134092862 /nfs/dbraw/zinc/09/28/62/1134092862.db2.gz FCRIZANNIWEASH-UHFFFAOYSA-N 1 2 288.366 3.964 20 0 CHADLO Cc1cc(Nc2cccc(C(=O)N(C)C)c2)ccc1[NH+](C)C ZINC001210040729 1134131008 /nfs/dbraw/zinc/13/10/08/1134131008.db2.gz ATWGGCRUDPDTTD-UHFFFAOYSA-N 1 2 297.402 3.506 20 0 CHADLO CC(=O)c1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1O ZINC001210043845 1134132790 /nfs/dbraw/zinc/13/27/90/1134132790.db2.gz SHVPZTHMGIBDMY-UHFFFAOYSA-N 1 2 284.359 3.713 20 0 CHADLO Cc1cc(Nc2ccc(CO)c(Cl)c2)ccc1[NH+](C)C ZINC001210044071 1134133141 /nfs/dbraw/zinc/13/31/41/1134133141.db2.gz KMNOQWPISKSDIE-UHFFFAOYSA-N 1 2 290.794 3.950 20 0 CHADLO Cc1cc(Nc2ccccc2[C@@H](C)O)ccc1[NH+](C)C ZINC001210045781 1134134002 /nfs/dbraw/zinc/13/40/02/1134134002.db2.gz XQNDGLJNOWXJQT-CYBMUJFWSA-N 1 2 270.376 3.858 20 0 CHADLO c1cc2c(Nc3ccc4c[nH+]ccc4c3)noc2cn1 ZINC001210046876 1134134487 /nfs/dbraw/zinc/13/44/87/1134134487.db2.gz WLEMQKVPLRDHGI-UHFFFAOYSA-N 1 2 262.272 3.515 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3cccnc3c2)[nH+]1 ZINC001210053931 1134137096 /nfs/dbraw/zinc/13/70/96/1134137096.db2.gz RJNHADVNRKKQGA-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO COc1ccc(C(C)=O)cc1Nc1cc[nH+]c(SC)c1 ZINC001210078041 1134142099 /nfs/dbraw/zinc/14/20/99/1134142099.db2.gz ISSMAEMSNFUBKT-UHFFFAOYSA-N 1 2 288.372 3.758 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cnccc3C(F)(F)F)ccc21 ZINC001210095694 1134144808 /nfs/dbraw/zinc/14/48/08/1134144808.db2.gz MVGIUNHRNIQVLO-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cc(F)ccc1CO ZINC001210182072 1134160713 /nfs/dbraw/zinc/16/07/13/1134160713.db2.gz QTXRHORRJQDARJ-UHFFFAOYSA-N 1 2 274.339 3.888 20 0 CHADLO Cc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1O ZINC001210189074 1134161980 /nfs/dbraw/zinc/16/19/80/1134161980.db2.gz ZRJNPMOGKKCPJU-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CCOc1cc(Nc2cccc(Cl)c2O)cc(C)[nH+]1 ZINC001210220523 1134170537 /nfs/dbraw/zinc/17/05/37/1134170537.db2.gz YWTWCPLTWILWQD-UHFFFAOYSA-N 1 2 278.739 3.891 20 0 CHADLO FC(F)(F)Cn1cc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001210222714 1134170583 /nfs/dbraw/zinc/17/05/83/1134170583.db2.gz VEMJTEKTEYLMII-UHFFFAOYSA-N 1 2 292.264 3.737 20 0 CHADLO Cc1cnc(Cl)c(Nc2cccc3cc[nH+]cc32)n1 ZINC001210224277 1134171075 /nfs/dbraw/zinc/17/10/75/1134171075.db2.gz INUQZJMBAIHJGI-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO CCOc1cccnc1Nc1cccc2cc[nH+]cc21 ZINC001210224280 1134171358 /nfs/dbraw/zinc/17/13/58/1134171358.db2.gz WFZCLQDYOAPOTK-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO FC(F)(F)Cn1cnc(Nc2cccc3cc[nH+]cc32)c1 ZINC001210225906 1134172594 /nfs/dbraw/zinc/17/25/94/1134172594.db2.gz OLPFBHMYWSOEEB-UHFFFAOYSA-N 1 2 292.264 3.737 20 0 CHADLO OCc1cccc(F)c1Nc1cccc2cc[nH+]cc21 ZINC001210233360 1134174871 /nfs/dbraw/zinc/17/48/71/1134174871.db2.gz WUZJRYNXQJDCHC-UHFFFAOYSA-N 1 2 268.291 3.610 20 0 CHADLO Oc1cc(F)c(Nc2cccc3cc[nH+]cc32)cc1F ZINC001210232671 1134174906 /nfs/dbraw/zinc/17/49/06/1134174906.db2.gz SOEWSUIIVOFCCV-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO CC(=O)c1ccc(Nc2c(C)cc[nH+]c2C(C)C)cn1 ZINC001210276998 1134182311 /nfs/dbraw/zinc/18/23/11/1134182311.db2.gz VSOFPGIRCGFOKA-UHFFFAOYSA-N 1 2 269.348 3.855 20 0 CHADLO Cc1nc2ccccc2cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210286640 1134185561 /nfs/dbraw/zinc/18/55/61/1134185561.db2.gz BPAZLBMUJCXKQE-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Cc3cccnc3)cc2)cc1N ZINC001210289216 1134186510 /nfs/dbraw/zinc/18/65/10/1134186510.db2.gz CBAKFFKAASVYOF-UHFFFAOYSA-N 1 2 290.370 3.702 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Cl)c(Cl)c2O)cc1N ZINC001210289592 1134186923 /nfs/dbraw/zinc/18/69/23/1134186923.db2.gz SYGLHIQONDRTBG-UHFFFAOYSA-N 1 2 284.146 3.728 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(-c3ccccn3)cc2)cc1N ZINC001210291225 1134187653 /nfs/dbraw/zinc/18/76/53/1134187653.db2.gz VIQUWMJVMZBNJN-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)c(N)c2)c(Cl)cc1F ZINC001210291277 1134187754 /nfs/dbraw/zinc/18/77/54/1134187754.db2.gz YFKZDDABKJOBSM-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3ncc(Cl)cc3c2)cc1N ZINC001210291641 1134188066 /nfs/dbraw/zinc/18/80/66/1134188066.db2.gz PBYMETYMEJRBDS-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1ccc(Nc2ccc(OC(F)F)cc2)[nH+]c1 ZINC001210324449 1134193094 /nfs/dbraw/zinc/19/30/94/1134193094.db2.gz OHSZPLSSNWYYLZ-UHFFFAOYSA-N 1 2 250.248 3.735 20 0 CHADLO CCc1ncccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001210468407 1134223396 /nfs/dbraw/zinc/22/33/96/1134223396.db2.gz XDAXNDIDODYFOJ-UHFFFAOYSA-N 1 2 278.359 3.632 20 0 CHADLO COCOc1ccc(Nc2c[nH+]c(C)cc2C)c(C)c1 ZINC001210489868 1134227791 /nfs/dbraw/zinc/22/77/91/1134227791.db2.gz NFXFPBDRJPJGOW-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO C[C@@H](O)c1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001210508201 1134234680 /nfs/dbraw/zinc/23/46/80/1134234680.db2.gz KTJUMSAZZGOABL-CYBMUJFWSA-N 1 2 279.343 3.669 20 0 CHADLO CCOc1cc(Nc2cccc([C@H](C)O)c2)cc(C)[nH+]1 ZINC001210507358 1134234740 /nfs/dbraw/zinc/23/47/40/1134234740.db2.gz PKOXYLIKBBSKGJ-LBPRGKRZSA-N 1 2 272.348 3.586 20 0 CHADLO CCOc1cc(Nc2cccnc2OC(F)F)cc(C)[nH+]1 ZINC001210622466 1134263189 /nfs/dbraw/zinc/26/31/89/1134263189.db2.gz RZNYZDOYLLVWBW-UHFFFAOYSA-N 1 2 295.289 3.529 20 0 CHADLO CCOc1cc(Nc2ccc([C@H](C)O)cc2)cc(C)[nH+]1 ZINC001210641409 1134266127 /nfs/dbraw/zinc/26/61/27/1134266127.db2.gz GDCQWRNDAZKSFZ-LBPRGKRZSA-N 1 2 272.348 3.586 20 0 CHADLO C[C@H](O)c1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001210644224 1134267167 /nfs/dbraw/zinc/26/71/67/1134267167.db2.gz JRKGFFBXJFJOBD-NSHDSACASA-N 1 2 254.333 3.756 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(CO)ccc2C)cc1 ZINC001210684966 1134274417 /nfs/dbraw/zinc/27/44/17/1134274417.db2.gz ZYCLHAPNVHGMIH-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(CO)ccc2C)cc1 ZINC001210684966 1134274423 /nfs/dbraw/zinc/27/44/23/1134274423.db2.gz ZYCLHAPNVHGMIH-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO COc1cc(Nc2cc(N)cc(Cl)c2C)cc(C)[nH+]1 ZINC001210780724 1134295261 /nfs/dbraw/zinc/29/52/61/1134295261.db2.gz OGGMPQFMMCVRHN-UHFFFAOYSA-N 1 2 277.755 3.686 20 0 CHADLO Oc1cc(Nc2cccn3cc[nH+]c23)cc(C(F)(F)F)c1 ZINC001210822498 1134304634 /nfs/dbraw/zinc/30/46/34/1134304634.db2.gz IIJPCPHFSOZCQX-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccccc1N1CCCCC1 ZINC001210961365 1134340528 /nfs/dbraw/zinc/34/05/28/1134340528.db2.gz NBZPZUNHDXNZEZ-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1ccc(Nc2ccc(-c3ccncn3)cc2)[nH+]c1 ZINC001211013013 1134348943 /nfs/dbraw/zinc/34/89/43/1134348943.db2.gz WQYAOJBADYXAFJ-UHFFFAOYSA-N 1 2 262.316 3.591 20 0 CHADLO Cc1ccc(Nc2ccc(OCC3CC3)cc2)[nH+]c1 ZINC001211013055 1134349701 /nfs/dbraw/zinc/34/97/01/1134349701.db2.gz YLZCOPDEVHBGFG-UHFFFAOYSA-N 1 2 254.333 3.922 20 0 CHADLO Cc1ccc(Nc2ccc(O)c3ccccc23)[nH+]c1 ZINC001211014404 1134349819 /nfs/dbraw/zinc/34/98/19/1134349819.db2.gz YSGUTFIVAXVTQZ-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO COC(=O)c1cc(C)cc(Nc2cc[nH+]c(SC)c2)c1 ZINC001211173350 1134384363 /nfs/dbraw/zinc/38/43/63/1134384363.db2.gz BDKAFQWKLNMMDI-UHFFFAOYSA-N 1 2 288.372 3.642 20 0 CHADLO Cc1occc1Nc1cc(Br)cn2cc[nH+]c12 ZINC001211236684 1134396277 /nfs/dbraw/zinc/39/62/77/1134396277.db2.gz ALRJUOJOIHHIPC-UHFFFAOYSA-N 1 2 292.136 3.742 20 0 CHADLO CCOC(=O)c1cccc(Nc2cc[nH+]c(SC)c2)c1 ZINC001211204967 1134389160 /nfs/dbraw/zinc/38/91/60/1134389160.db2.gz SLXBKANOGNAECK-UHFFFAOYSA-N 1 2 288.372 3.724 20 0 CHADLO CCCCOc1ccc(N(C)c2[nH+]cccc2N)c(C)c1 ZINC001211283384 1134402740 /nfs/dbraw/zinc/40/27/40/1134402740.db2.gz PTAMCCNYFVLVMQ-UHFFFAOYSA-N 1 2 285.391 3.919 20 0 CHADLO COc1cccc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC001211300871 1134405430 /nfs/dbraw/zinc/40/54/30/1134405430.db2.gz OZMVAEBSWSJMRW-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO c1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n(CC2CCC2)n1 ZINC001213087933 1134424294 /nfs/dbraw/zinc/42/42/94/1134424294.db2.gz HVIZBTRSWDOFFF-UHFFFAOYSA-N 1 2 293.374 3.817 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cn(CC4CCC4)cn3)cc2)[nH]1 ZINC001213088208 1134424731 /nfs/dbraw/zinc/42/47/31/1134424731.db2.gz VZHWAZPZYNZDAU-UHFFFAOYSA-N 1 2 293.374 3.817 20 0 CHADLO Cc1cnc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(F)c1 ZINC001213087603 1134424782 /nfs/dbraw/zinc/42/47/82/1134424782.db2.gz UZKPLJDSBWOBIX-UHFFFAOYSA-N 1 2 268.295 3.663 20 0 CHADLO CC(=O)Nc1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213087795 1134424900 /nfs/dbraw/zinc/42/49/00/1134424900.db2.gz AQUCENBAJKLHKK-UHFFFAOYSA-N 1 2 292.342 3.779 20 0 CHADLO c1cc(Nc2ccc3c(c2)NCC3)[nH+]c(C2CC2)c1 ZINC001213151981 1134431199 /nfs/dbraw/zinc/43/11/99/1134431199.db2.gz QHSDIXIHLKROPG-UHFFFAOYSA-N 1 2 251.333 3.671 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1c(F)cccc1C1CC1 ZINC001213452005 1134449601 /nfs/dbraw/zinc/44/96/01/1134449601.db2.gz BWQJIUFVLNEBSI-UHFFFAOYSA-N 1 2 281.334 3.933 20 0 CHADLO COc1cc(N)ccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213499486 1134455096 /nfs/dbraw/zinc/45/50/96/1134455096.db2.gz LGGCKUYXSBZVLQ-UHFFFAOYSA-N 1 2 269.348 3.602 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(O)cccc1F ZINC001213499645 1134455114 /nfs/dbraw/zinc/45/51/14/1134455114.db2.gz UJRVSFGPEXDBIU-UHFFFAOYSA-N 1 2 258.296 3.856 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cncnc1C(F)(F)F ZINC001213497107 1134455158 /nfs/dbraw/zinc/45/51/58/1134455158.db2.gz JAUVNPMHJODNKC-UHFFFAOYSA-N 1 2 294.280 3.820 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(CO)ccc1F ZINC001213499350 1134455625 /nfs/dbraw/zinc/45/56/25/1134455625.db2.gz HKWDCLXYHKZKFG-UHFFFAOYSA-N 1 2 272.323 3.642 20 0 CHADLO Cc1cccc(N)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213499566 1134455631 /nfs/dbraw/zinc/45/56/31/1134455631.db2.gz PMLWXJQRTKNJKD-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)CC(=O)C2 ZINC001213503456 1134456680 /nfs/dbraw/zinc/45/66/80/1134456680.db2.gz HIBPUMFKIHGANW-UHFFFAOYSA-N 1 2 278.355 3.679 20 0 CHADLO Cc1cc(C)c(Nc2ccc(-c3ccccn3)nc2)c[nH+]1 ZINC001213510407 1134457652 /nfs/dbraw/zinc/45/76/52/1134457652.db2.gz BDWCEZSNWCIEBC-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO COC(=O)c1ccc(Cl)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213513299 1134457864 /nfs/dbraw/zinc/45/78/64/1134457864.db2.gz AGRBWGXWENFSNQ-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO COC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)cc1Cl ZINC001213513381 1134457965 /nfs/dbraw/zinc/45/79/65/1134457965.db2.gz GYLNOZAOBNBZNU-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO CC(=O)c1oc2cccnc2c1Nc1c[nH+]c(C)cc1C ZINC001213511151 1134458080 /nfs/dbraw/zinc/45/80/80/1134458080.db2.gz ZGHWOCSVPLFKEO-UHFFFAOYSA-N 1 2 281.315 3.786 20 0 CHADLO Cc1cc(C)c(Nc2cc(=O)[nH]c3ccccc23)c[nH+]1 ZINC001213505501 1134458144 /nfs/dbraw/zinc/45/81/44/1134458144.db2.gz HSZYHFGBNLQETK-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO CCn1cc2cc(Nc3c[nH+]c(C)cc3C)ccc2n1 ZINC001213515695 1134459084 /nfs/dbraw/zinc/45/90/84/1134459084.db2.gz FFJLSCVPXLBKIO-UHFFFAOYSA-N 1 2 266.348 3.812 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2C)OCCO3)c[nH+]1 ZINC001213520943 1134459524 /nfs/dbraw/zinc/45/95/24/1134459524.db2.gz LORMTFHKJLAYDO-UHFFFAOYSA-N 1 2 270.332 3.522 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(F)cnc2Br)c1C ZINC001213525053 1134459981 /nfs/dbraw/zinc/45/99/81/1134459981.db2.gz MECPYOJQSRMHCC-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Cl)c3nccn3c2)c1C ZINC001213527373 1134460232 /nfs/dbraw/zinc/46/02/32/1134460232.db2.gz IJYVUQVZTQCUCJ-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO Cc1ccc(Br)c(Nc2c[nH+]cc(C)c2C)n1 ZINC001213523435 1134460292 /nfs/dbraw/zinc/46/02/92/1134460292.db2.gz RZGMILVEZVJMCN-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnc(OC(F)(F)F)c2)c1C ZINC001213528326 1134460650 /nfs/dbraw/zinc/46/06/50/1134460650.db2.gz AMEACOICXYOLGE-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(F)c(F)cc2F)c1C ZINC001213530821 1134461184 /nfs/dbraw/zinc/46/11/84/1134461184.db2.gz NAQYJJASAHCOPU-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO COC(=O)[C@H](C)c1ccc(Nc2c[nH+]cc(C)c2C)cc1 ZINC001213533924 1134461283 /nfs/dbraw/zinc/46/12/83/1134461283.db2.gz CJLCZYUQTBRKMC-CYBMUJFWSA-N 1 2 284.359 3.719 20 0 CHADLO CCOC(=O)c1ccc(Nc2c[nH+]cc(C)c2C)c(F)c1 ZINC001213529144 1134461380 /nfs/dbraw/zinc/46/13/80/1134461380.db2.gz WXCLAXFNWNHSJK-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Cl)c(N)cc2C)c1C ZINC001213532343 1134461563 /nfs/dbraw/zinc/46/15/63/1134461563.db2.gz CPWRUYYLZFHWDA-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO COC(=O)c1cc(C)cc(Nc2c[nH+]cc(C)c2C)c1 ZINC001213533957 1134461666 /nfs/dbraw/zinc/46/16/66/1134461666.db2.gz FFJWORUZBNGLSB-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3c2cc[nH]c3=O)c1C ZINC001213530837 1134461919 /nfs/dbraw/zinc/46/19/19/1134461919.db2.gz NSVRUOQHNGMALB-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c2ccc(Nc3c[nH+]cc(C)c3C)cc2nn1C ZINC001213530476 1134461988 /nfs/dbraw/zinc/46/19/88/1134461988.db2.gz CIRLAEQGNONVJS-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO COCc1ccc(Nc2c[nH+]cc(C)c2C)cc1F ZINC001213534101 1134462648 /nfs/dbraw/zinc/46/26/48/1134462648.db2.gz MNDBEUCWCFNHQD-UHFFFAOYSA-N 1 2 260.312 3.728 20 0 CHADLO c1cn2cccc(Nc3ccccc3N3CCCC3)c2[nH+]1 ZINC001213601961 1134467426 /nfs/dbraw/zinc/46/74/26/1134467426.db2.gz NYVUXXUNSCKYAK-UHFFFAOYSA-N 1 2 278.359 3.678 20 0 CHADLO COc1cccc(C2CC2)c1Nc1cccc2[nH+]ccn21 ZINC001213811993 1134487318 /nfs/dbraw/zinc/48/73/18/1134487318.db2.gz HRRMFQZCKPIECW-UHFFFAOYSA-N 1 2 279.343 3.964 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C(F)(F)F)cnc1C ZINC001213826989 1134488799 /nfs/dbraw/zinc/48/87/99/1134488799.db2.gz WCFJHHFHVSELIO-UHFFFAOYSA-N 1 2 297.280 3.946 20 0 CHADLO Cc1ccc(Nc2ccc3cc[nH]c(=O)c3c2)c(C)[nH+]1 ZINC001213952277 1134499942 /nfs/dbraw/zinc/49/99/42/1134499942.db2.gz ONDIKAOJDRUBRT-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3cc[nH]c(=O)c3c2)[nH+]1 ZINC001213952568 1134500606 /nfs/dbraw/zinc/50/06/06/1134500606.db2.gz YKQYZNVOFKUVDS-UHFFFAOYSA-N 1 2 290.326 3.640 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(N)cc2C)cc1 ZINC001214125643 1134513774 /nfs/dbraw/zinc/51/37/74/1134513774.db2.gz VTVSAPLHZYAQNT-UHFFFAOYSA-N 1 2 255.365 3.777 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(N)cc2C)cc1 ZINC001214125643 1134513781 /nfs/dbraw/zinc/51/37/81/1134513781.db2.gz VTVSAPLHZYAQNT-UHFFFAOYSA-N 1 2 255.365 3.777 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)c(O)c(Cl)c2)c[nH+]1 ZINC001214484493 1134544141 /nfs/dbraw/zinc/54/41/41/1134544141.db2.gz LICKUCJRQRUYRX-UHFFFAOYSA-N 1 2 295.745 3.779 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)ccc(C)c2OC)c[nH+]1 ZINC001214532564 1134548164 /nfs/dbraw/zinc/54/81/64/1134548164.db2.gz YSARZQSPIDFDJD-UHFFFAOYSA-N 1 2 289.354 3.737 20 0 CHADLO CCN(C)c1ccc(Nc2c(Cl)ccc(O)c2F)c[nH+]1 ZINC001214557701 1134551406 /nfs/dbraw/zinc/55/14/06/1134551406.db2.gz GXLLHUKXIMPLPD-UHFFFAOYSA-N 1 2 295.745 3.779 20 0 CHADLO Cc1cc(CO)ccc1Nc1cc(OC(F)(F)F)cc[nH+]1 ZINC001214662761 1134562250 /nfs/dbraw/zinc/56/22/50/1134562250.db2.gz BBDUDABXCCAVND-UHFFFAOYSA-N 1 2 298.264 3.525 20 0 CHADLO Cc1cc(CO)ccc1Nc1cccc(C2CCC2)[nH+]1 ZINC001214663036 1134562982 /nfs/dbraw/zinc/56/29/82/1134562982.db2.gz PXDVKUNJDBUDPT-UHFFFAOYSA-N 1 2 268.360 3.893 20 0 CHADLO CC(=O)c1cc(Nc2cccc(-n3cc[nH+]c3)c2)cs1 ZINC001214780856 1134571508 /nfs/dbraw/zinc/57/15/08/1134571508.db2.gz WCOMTLAHHLYMTI-UHFFFAOYSA-N 1 2 283.356 3.880 20 0 CHADLO Cc1cccc2[nH+]cc(Nc3ccc(Cl)c(O)c3F)n21 ZINC001214797923 1134573403 /nfs/dbraw/zinc/57/34/03/1134573403.db2.gz JXTBQAYBQFFXSC-UHFFFAOYSA-N 1 2 291.713 3.884 20 0 CHADLO COc1cc(Nc2cc(F)c(OC)c(Cl)c2)cc(C)[nH+]1 ZINC001214968938 1134589622 /nfs/dbraw/zinc/58/96/22/1134589622.db2.gz KXBBAMDJQLADKM-UHFFFAOYSA-N 1 2 296.729 3.943 20 0 CHADLO COc1cc(Nc2ccc(C(C)=O)c(F)c2F)cc(C)[nH+]1 ZINC001214980239 1134590383 /nfs/dbraw/zinc/59/03/83/1134590383.db2.gz IGQVGRRCFFVWQJ-UHFFFAOYSA-N 1 2 292.285 3.623 20 0 CHADLO CCOc1c(F)c(F)ccc1Nc1cccn2cc[nH+]c12 ZINC001215410876 1134624894 /nfs/dbraw/zinc/62/48/94/1134624894.db2.gz IBFHRYHUXYKRTC-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(SC)cc1C ZINC001215473052 1134630794 /nfs/dbraw/zinc/63/07/94/1134630794.db2.gz AIWMDDBJWKSSFM-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO CC(C)Oc1cc(Nc2[nH+]cccc2N(C)C)ccc1F ZINC001215498494 1134634697 /nfs/dbraw/zinc/63/46/97/1134634697.db2.gz MKMRUEUEAQIIHC-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO COCc1cc(C)c(Nc2cccn3cc[nH+]c23)c(C)c1 ZINC001215529213 1134638322 /nfs/dbraw/zinc/63/83/22/1134638322.db2.gz MWTDRMNLMMSCNY-UHFFFAOYSA-N 1 2 281.359 3.841 20 0 CHADLO Fc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)cc2n[nH]cc21 ZINC001215554917 1134641191 /nfs/dbraw/zinc/64/11/91/1134641191.db2.gz LJNCBPPPXDBGJI-UHFFFAOYSA-N 1 2 293.305 3.631 20 0 CHADLO COCc1ccc(Cl)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001215596298 1134650521 /nfs/dbraw/zinc/65/05/21/1134650521.db2.gz JGPYBMKJEKHGJC-UHFFFAOYSA-N 1 2 287.750 3.878 20 0 CHADLO COCOc1ccc(Nc2c(C)cc[nH+]c2C(C)C)cn1 ZINC001215665004 1134658158 /nfs/dbraw/zinc/65/81/58/1134658158.db2.gz HJSCFUZHNYWRSG-UHFFFAOYSA-N 1 2 287.363 3.635 20 0 CHADLO COc1cc(Nc2cc(C)c(F)c(OC)c2)cc(C)[nH+]1 ZINC001215701773 1134661626 /nfs/dbraw/zinc/66/16/26/1134661626.db2.gz QNHNTNOJRYXWPP-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO COc1cc(Nc2cccc3[nH+]ccn32)cc(C)c1F ZINC001215700987 1134661697 /nfs/dbraw/zinc/66/16/97/1134661697.db2.gz TVRNWOWPZKXYLI-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1cn2cccc(Nc3c(F)ccc(C)c3O)c2[nH+]1 ZINC001215737772 1134669811 /nfs/dbraw/zinc/66/98/11/1134669811.db2.gz BLJXQBALAAIZDH-UHFFFAOYSA-N 1 2 271.295 3.539 20 0 CHADLO CSc1cc(Nc2[nH+]cc(O)cc2C)ccc1F ZINC001215793643 1134688350 /nfs/dbraw/zinc/68/83/50/1134688350.db2.gz NRTOGWJIDCKKGF-UHFFFAOYSA-N 1 2 264.325 3.700 20 0 CHADLO CSc1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1F ZINC001215792997 1134688030 /nfs/dbraw/zinc/68/80/30/1134688030.db2.gz CXROUUYPFIPJGX-UHFFFAOYSA-N 1 2 277.368 3.752 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(O)c(C(C)C)c2)[nH+]1 ZINC001215844226 1134701032 /nfs/dbraw/zinc/70/10/32/1134701032.db2.gz AFRZTMAUKQWARR-UHFFFAOYSA-N 1 2 258.321 3.668 20 0 CHADLO CC(C)c1cc(Nc2[nH+]cccc2N(C)C)ccc1O ZINC001215845286 1134701786 /nfs/dbraw/zinc/70/17/86/1134701786.db2.gz XWSKHWMBXHQYGA-UHFFFAOYSA-N 1 2 271.364 3.720 20 0 CHADLO CSc1cccc(-n2c(C)[nH+]c3ccc(N)cc32)c1F ZINC001215868073 1134708250 /nfs/dbraw/zinc/70/82/50/1134708250.db2.gz FNHXJNLMMCCWFR-UHFFFAOYSA-N 1 2 287.363 3.777 20 0 CHADLO CSc1ccc(Nc2ccn3cc[nH+]c3c2)c(C)n1 ZINC001215908771 1134715945 /nfs/dbraw/zinc/71/59/45/1134715945.db2.gz IAFBGKQVABERNL-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO Clc1ccc(Nc2cccn3cc[nH+]c23)c2c[nH]nc21 ZINC001215941021 1134725881 /nfs/dbraw/zinc/72/58/81/1134725881.db2.gz UCQKNVGFWUPRTN-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO Cc1cc(C)c(Nc2ccc(Cl)c3n[nH]cc32)c[nH+]1 ZINC001215939980 1134726540 /nfs/dbraw/zinc/72/65/40/1134726540.db2.gz DWQZIMMFDGIOHP-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO COc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(C)c1C ZINC001215971338 1134736828 /nfs/dbraw/zinc/73/68/28/1134736828.db2.gz CVZHKFFHXMDFIQ-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO Cc1cn2c(cccc2Nc2c(O)cccc2Cl)[nH+]1 ZINC001215983241 1134740258 /nfs/dbraw/zinc/74/02/58/1134740258.db2.gz YMFITMLRMAZEAX-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO Oc1cccc(Cl)c1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001215986099 1134741861 /nfs/dbraw/zinc/74/18/61/1134741861.db2.gz JHYIWZHRUYVNKW-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2N)cc1C(C)C ZINC001216000372 1134746759 /nfs/dbraw/zinc/74/67/59/1134746759.db2.gz IXTJUENGVQTGLS-UHFFFAOYSA-N 1 2 257.337 3.539 20 0 CHADLO Cc1cccc(Nc2cc(OC(F)(F)F)ccc2N)[nH+]1 ZINC001216012673 1134749821 /nfs/dbraw/zinc/74/98/21/1134749821.db2.gz UHOVLEDIJLHCRD-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO Cc1cc(Nc2ccc(N(C)C)c(Cl)c2)nc(C)[nH+]1 ZINC001216060105 1134764269 /nfs/dbraw/zinc/76/42/69/1134764269.db2.gz UJVSKYSRXIMACA-UHFFFAOYSA-N 1 2 276.771 3.556 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]ccn32)cc(Cl)c1O ZINC001216083666 1134771522 /nfs/dbraw/zinc/77/15/22/1134771522.db2.gz TVSFBFBOZIIZJL-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO CCc1ccc[nH+]c1Nc1cc(C)cc(Cl)c1N ZINC001216090936 1134773911 /nfs/dbraw/zinc/77/39/11/1134773911.db2.gz BFHFKDFJLSKPIA-UHFFFAOYSA-N 1 2 261.756 3.932 20 0 CHADLO CCOc1cc(Nc2ccc(CO)c(CC)c2)cc(C)[nH+]1 ZINC001216104245 1134779778 /nfs/dbraw/zinc/77/97/78/1134779778.db2.gz VRVVCPJRLMMMJS-UHFFFAOYSA-N 1 2 286.375 3.587 20 0 CHADLO CNc1cc(F)cc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001216186199 1134799072 /nfs/dbraw/zinc/79/90/72/1134799072.db2.gz AHNHKWKOYUDSOT-UHFFFAOYSA-N 1 2 282.322 3.797 20 0 CHADLO CNc1cc(F)cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001216186642 1134800219 /nfs/dbraw/zinc/80/02/19/1134800219.db2.gz JIMSTJLQFAGSJQ-UHFFFAOYSA-N 1 2 296.349 3.856 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc(CO)cc3F)ccc12 ZINC001216275997 1134832361 /nfs/dbraw/zinc/83/23/61/1134832361.db2.gz AUNZHIBOBHNRPF-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO Cc1c(O)cccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001216319665 1134851580 /nfs/dbraw/zinc/85/15/80/1134851580.db2.gz DWLUKROVAQUDQU-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO Cc1c(F)ccc(F)c1Nc1cccn2cc[nH+]c12 ZINC001216342704 1134863336 /nfs/dbraw/zinc/86/33/36/1134863336.db2.gz QVRWKDWHBFBOFR-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CCOc1cc(C)cc(F)c1Nc1ccn2cc[nH+]c2c1 ZINC001216369150 1134870604 /nfs/dbraw/zinc/87/06/04/1134870604.db2.gz VDVJEZFVEZABKF-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO Cc1c[nH+]c(Nc2c(C)cc(F)cc2Cl)c(N)c1 ZINC001216402577 1134885170 /nfs/dbraw/zinc/88/51/70/1134885170.db2.gz LPYNLKYUHUYRMS-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO COc1cc(Nc2cccc3cc[nH+]cc32)cnc1F ZINC001216422522 1134890204 /nfs/dbraw/zinc/89/02/04/1134890204.db2.gz FUWBLQJIIRDMQE-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(O)cc1Cl ZINC001216425699 1134891501 /nfs/dbraw/zinc/89/15/01/1134891501.db2.gz BYWJVIGXOAFLAC-UHFFFAOYSA-N 1 2 264.712 3.583 20 0 CHADLO Nc1c(Cl)cccc1Nc1[nH+]cccc1N1CCCC1 ZINC001216525852 1134914202 /nfs/dbraw/zinc/91/42/02/1134914202.db2.gz BYOAILXCAKTUPF-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cncc(OCC4CC4)c3)ccc21 ZINC001216600071 1134931429 /nfs/dbraw/zinc/93/14/29/1134931429.db2.gz ZFNDCFFPALQNFP-UHFFFAOYSA-N 1 2 294.358 3.501 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnc(OC(C)C)nc3)ccc12 ZINC001216621000 1134934310 /nfs/dbraw/zinc/93/43/10/1134934310.db2.gz FXJBKHHUWHWKML-UHFFFAOYSA-N 1 2 294.358 3.864 20 0 CHADLO Cc1ccc(CO[C@@H]2C[NH2+]CC(F)(F)C2)c2ccccc12 ZINC001217854917 1135009652 /nfs/dbraw/zinc/00/96/52/1135009652.db2.gz JTDYUEXQYHZQAT-AWEZNQCLSA-N 1 2 291.341 3.662 20 0 CHADLO Cc1cc2ccccc2cc1O[C@H]1CC[NH2+]CC1(F)F ZINC001218012462 1135041690 /nfs/dbraw/zinc/04/16/90/1135041690.db2.gz QCVFXKXYIMZPRH-HNNXBMFYSA-N 1 2 277.314 3.524 20 0 CHADLO Cc1ccc2ccccc2c1O[C@@H]1CC[NH2+]CC1(F)F ZINC001218007539 1135041845 /nfs/dbraw/zinc/04/18/45/1135041845.db2.gz RJEQCFYVDZEBLT-CQSZACIVSA-N 1 2 277.314 3.524 20 0 CHADLO COc1ccc2cc([C@@H](C)Oc3ccc[nH+]c3N)ccc2c1 ZINC001218316674 1135086423 /nfs/dbraw/zinc/08/64/23/1135086423.db2.gz CMISBQCVJVNGHV-GFCCVEGCSA-N 1 2 294.354 3.966 20 0 CHADLO Nc1[nH+]cccc1O[C@H]1CCCC[C@@H]1c1ccccc1 ZINC001218357927 1135094943 /nfs/dbraw/zinc/09/49/43/1135094943.db2.gz QTLABHRRADXUPR-CABCVRRESA-N 1 2 268.360 3.769 20 0 CHADLO COc1ccc2cc([C@@H](C)OCCn3cc[nH+]c3)ccc2c1 ZINC001222135504 1135198626 /nfs/dbraw/zinc/19/86/26/1135198626.db2.gz YZLBNNJPAWDXPB-CQSZACIVSA-N 1 2 296.370 3.823 20 0 CHADLO COCc1ccc(Cl)c(-c2ccn3cc[nH+]c3c2)c1 ZINC001222256609 1135215035 /nfs/dbraw/zinc/21/50/35/1135215035.db2.gz NAOHQMHNFGCTJP-UHFFFAOYSA-N 1 2 272.735 3.801 20 0 CHADLO COCc1ccc(Cl)c(-c2c[nH+]c3c(c2)CCCN3)c1 ZINC001222278909 1135217307 /nfs/dbraw/zinc/21/73/07/1135217307.db2.gz MMKRRGZWCJWFAK-UHFFFAOYSA-N 1 2 288.778 3.549 20 0 CHADLO Cc1cn2cc(-c3cn(C)nc3-c3ccccc3)ccc2[nH+]1 ZINC001222536301 1135248686 /nfs/dbraw/zinc/24/86/86/1135248686.db2.gz ONNXTQPHQXEGBC-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO COc1ccc2cc([C@H](C)OCc3c[nH+]cn3C)ccc2c1 ZINC001222594681 1135253886 /nfs/dbraw/zinc/25/38/86/1135253886.db2.gz ISFUFJRQGNKAEK-ZDUSSCGKSA-N 1 2 296.370 3.860 20 0 CHADLO CCCC[C@@H](OCc1c[nH+]cn1C)c1ccccc1 ZINC001222595428 1135254094 /nfs/dbraw/zinc/25/40/94/1135254094.db2.gz ZOHBDOSTPRHYQY-MRXNPFEDSA-N 1 2 258.365 3.868 20 0 CHADLO COc1ccc([C@H](C)OCc2cc(C)[nH+]c(C)c2)cc1 ZINC001223420533 1135342614 /nfs/dbraw/zinc/34/26/14/1135342614.db2.gz KBIXSYVFXUOTBO-AWEZNQCLSA-N 1 2 271.360 3.985 20 0 CHADLO c1oc2ccccc2c1COC1C[NH+](Cc2ccccc2)C1 ZINC001224136767 1135423504 /nfs/dbraw/zinc/42/35/04/1135423504.db2.gz IMLSUFLGZHWGOU-UHFFFAOYSA-N 1 2 293.366 3.834 20 0 CHADLO CCCC(=O)[C@H](CCC)OCCCCC(=[NH2+])OCC ZINC001224963213 1135497297 /nfs/dbraw/zinc/49/72/97/1135497297.db2.gz JOUWMVQTAVVCGT-AWEZNQCLSA-N 1 2 271.401 3.725 20 0 CHADLO CC(C)[NH+]1CC(Oc2ccccc2OCc2ccccc2)C1 ZINC001225214274 1135516427 /nfs/dbraw/zinc/51/64/27/1135516427.db2.gz GFWOGZWALHFBSG-UHFFFAOYSA-N 1 2 297.398 3.737 20 0 CHADLO Clc1ccc(OC2C[NH+](Cc3ccccc3)C2)cc1 ZINC001225250617 1135526674 /nfs/dbraw/zinc/52/66/74/1135526674.db2.gz BMHWUFLZBUPKAX-UHFFFAOYSA-N 1 2 273.763 3.603 20 0 CHADLO COc1ccc(OC[C@H](C)Oc2c(C)cc[nH+]c2C)cc1 ZINC001227261394 1135811103 /nfs/dbraw/zinc/81/11/03/1135811103.db2.gz AGMGQQLGTFDAFM-ZDUSSCGKSA-N 1 2 287.359 3.553 20 0 CHADLO Cc1cc[nH+]cc1NCc1cc(F)cc(Cl)c1 ZINC000083383912 178387500 /nfs/dbraw/zinc/38/75/00/178387500.db2.gz HPXYHEALVXZMAV-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CC[C@@H](C)c1noc(CCc2[nH]c3c(cccc3C)[nH+]2)n1 ZINC000355888091 529436019 /nfs/dbraw/zinc/43/60/19/529436019.db2.gz KFXHWYCQNJWCGB-SNVBAGLBSA-N 1 2 284.363 3.553 20 0 CHADLO CC[C@@H](C)c1noc(CCc2[nH]c3cccc(C)c3[nH+]2)n1 ZINC000355888091 529436021 /nfs/dbraw/zinc/43/60/21/529436021.db2.gz KFXHWYCQNJWCGB-SNVBAGLBSA-N 1 2 284.363 3.553 20 0 CHADLO CCc1cc(NCc2cccc3[nH+]ccn32)ccc1F ZINC000352922862 529558420 /nfs/dbraw/zinc/55/84/20/529558420.db2.gz DWSXMMBODNMXHE-UHFFFAOYSA-N 1 2 269.323 3.648 20 0 CHADLO CCc1cc(O)ccc1NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334701911 529563081 /nfs/dbraw/zinc/56/30/81/529563081.db2.gz QZXUHBDQDZLFKH-UHFFFAOYSA-N 1 2 299.374 3.919 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)cn1 ZINC000347867726 529644527 /nfs/dbraw/zinc/64/45/27/529644527.db2.gz PYIUTIMYODTARZ-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3ccc(Cl)cc3C2)cn1 ZINC000347867726 529644529 /nfs/dbraw/zinc/64/45/29/529644529.db2.gz PYIUTIMYODTARZ-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CC[C@@H](NC(=O)c1oc2c(cccc2C)c1C)c1[nH]cc[nH+]1 ZINC000347957574 529680226 /nfs/dbraw/zinc/68/02/26/529680226.db2.gz LTCODGSOGSNNHZ-CYBMUJFWSA-N 1 2 297.358 3.654 20 0 CHADLO CCc1ccc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)cc1F ZINC000334545666 529689324 /nfs/dbraw/zinc/68/93/24/529689324.db2.gz LKLXNFVFIVJHPT-IINYFYTJSA-N 1 2 259.328 3.703 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2cn[nH]c2C)o1 ZINC000353833407 529712422 /nfs/dbraw/zinc/71/24/22/529712422.db2.gz ZLAWJLOXTCDWRA-INIZCTEOSA-N 1 2 287.407 3.991 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2cn[nH]c2C)o1 ZINC000353833407 529712423 /nfs/dbraw/zinc/71/24/23/529712423.db2.gz ZLAWJLOXTCDWRA-INIZCTEOSA-N 1 2 287.407 3.991 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1cccc(-n2cccn2)c1 ZINC000352554736 529730326 /nfs/dbraw/zinc/73/03/26/529730326.db2.gz VLLMYQMXHABSGL-UHFFFAOYSA-N 1 2 293.370 3.717 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1nc(C2CC2)cs1 ZINC000352541706 529730526 /nfs/dbraw/zinc/73/05/26/529730526.db2.gz BHNVEWWTNKBGBC-UHFFFAOYSA-N 1 2 274.389 3.865 20 0 CHADLO CCc1nc(C[N@@H+]2CCCCC[C@@H]2c2ccccc2)no1 ZINC000350618940 529736027 /nfs/dbraw/zinc/73/60/27/529736027.db2.gz GGXWSRJIZKQOAS-OAHLLOKOSA-N 1 2 285.391 3.749 20 0 CHADLO CCc1nc(C[N@H+]2CCCCC[C@@H]2c2ccccc2)no1 ZINC000350618940 529736028 /nfs/dbraw/zinc/73/60/28/529736028.db2.gz GGXWSRJIZKQOAS-OAHLLOKOSA-N 1 2 285.391 3.749 20 0 CHADLO CCc1nc(C[NH2+]C2(c3ccccc3)CCCCC2)no1 ZINC000333134498 529739957 /nfs/dbraw/zinc/73/99/57/529739957.db2.gz FHMWDFRSNHEMAQ-UHFFFAOYSA-N 1 2 285.391 3.581 20 0 CHADLO CCc1nc(C[NH2+][C@@H](c2ccc(F)cn2)C(C)C)cs1 ZINC000353535764 529740385 /nfs/dbraw/zinc/74/03/85/529740385.db2.gz LMMSIJZSHQVSKN-OAHLLOKOSA-N 1 2 293.411 3.727 20 0 CHADLO CN(C)c1ccccc1C[N@@H+]1Cc2cccc(Cl)c2C1 ZINC000347455464 529794572 /nfs/dbraw/zinc/79/45/72/529794572.db2.gz OKXCLTNZGSGWQW-UHFFFAOYSA-N 1 2 286.806 3.922 20 0 CHADLO CN(C)c1ccccc1C[N@H+]1Cc2cccc(Cl)c2C1 ZINC000347455464 529794573 /nfs/dbraw/zinc/79/45/73/529794573.db2.gz OKXCLTNZGSGWQW-UHFFFAOYSA-N 1 2 286.806 3.922 20 0 CHADLO CN(CCc1ccc(Br)cc1)c1cccc[nH+]1 ZINC000301188630 529932420 /nfs/dbraw/zinc/93/24/20/529932420.db2.gz LDRHPJSPTLBPFP-UHFFFAOYSA-N 1 2 291.192 3.523 20 0 CHADLO CC(C)CO[C@H]1CCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000573718255 334960470 /nfs/dbraw/zinc/96/04/70/334960470.db2.gz XPGQOVYZFMLREI-HNNXBMFYSA-N 1 2 288.366 3.625 20 0 CHADLO COC1(CC[NH2+][C@H](c2ccccc2)C(F)F)CCC1 ZINC000339223183 522595315 /nfs/dbraw/zinc/59/53/15/522595315.db2.gz JQVHCBFGNYLZSL-CYBMUJFWSA-N 1 2 269.335 3.542 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(Br)s1 ZINC000085711508 179124650 /nfs/dbraw/zinc/12/46/50/179124650.db2.gz FTEGZOYGEBXHLW-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO CN(C)c1cccc(-c2ccc(C(F)(F)F)cc2)[nH+]1 ZINC000091352086 180137640 /nfs/dbraw/zinc/13/76/40/180137640.db2.gz JGUCHMIUICZFII-UHFFFAOYSA-N 1 2 266.266 3.833 20 0 CHADLO CCO[C@@H](CC(=O)Nc1ccc(C)[nH+]c1C)C1=CCCC1 ZINC000446419961 541318777 /nfs/dbraw/zinc/31/87/77/541318777.db2.gz FSCXMCBHOVXYAU-INIZCTEOSA-N 1 2 288.391 3.542 20 0 CHADLO CC(C)(C)c1ccc(CC[NH2+]C2(C(F)F)CC2)cc1 ZINC000574246040 335003779 /nfs/dbraw/zinc/00/37/79/335003779.db2.gz KZQIAURRMACPLW-UHFFFAOYSA-N 1 2 267.363 3.914 20 0 CHADLO CC(C)CO[C@H]1CC[N@H+](Cc2ncc(C(C)(C)C)s2)C1 ZINC000448336575 261118517 /nfs/dbraw/zinc/11/85/17/261118517.db2.gz FVWMMBISKZYVOH-ZDUSSCGKSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)CO[C@H]1CC[N@@H+](Cc2ncc(C(C)(C)C)s2)C1 ZINC000448336575 261118520 /nfs/dbraw/zinc/11/85/20/261118520.db2.gz FVWMMBISKZYVOH-ZDUSSCGKSA-N 1 2 296.480 3.688 20 0 CHADLO CC1(C[N@@H+]2CCO[C@H](c3ccc(F)c(Cl)c3)C2)CC1 ZINC000574347672 335012863 /nfs/dbraw/zinc/01/28/63/335012863.db2.gz ROZAJLBTVVEACL-AWEZNQCLSA-N 1 2 283.774 3.653 20 0 CHADLO CC1(C[N@H+]2CCO[C@H](c3ccc(F)c(Cl)c3)C2)CC1 ZINC000574347672 335012864 /nfs/dbraw/zinc/01/28/64/335012864.db2.gz ROZAJLBTVVEACL-AWEZNQCLSA-N 1 2 283.774 3.653 20 0 CHADLO CCn1nccc1C[N@@H+](CC)Cc1ccc(Cl)s1 ZINC000162746927 261293244 /nfs/dbraw/zinc/29/32/44/261293244.db2.gz CJSPIQUBYFXZGW-UHFFFAOYSA-N 1 2 283.828 3.640 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(C(C)C)cc1 ZINC000169025496 335018484 /nfs/dbraw/zinc/01/84/84/335018484.db2.gz PWCSCEUGYISTLU-UHFFFAOYSA-N 1 2 256.349 3.826 20 0 CHADLO CC1(C2CC2)CCN(c2[nH]c3ccccc3[nH+]2)CC1 ZINC000574732756 335043044 /nfs/dbraw/zinc/04/30/44/335043044.db2.gz OFWKZVNKTBBOTL-UHFFFAOYSA-N 1 2 255.365 3.579 20 0 CHADLO CO[C@H](C)C[N@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000353225222 533079942 /nfs/dbraw/zinc/07/99/42/533079942.db2.gz DCILBWNJSYFYQE-GFCCVEGCSA-N 1 2 282.453 3.658 20 0 CHADLO CO[C@H](C)C[N@@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000353225222 533079949 /nfs/dbraw/zinc/07/99/49/533079949.db2.gz DCILBWNJSYFYQE-GFCCVEGCSA-N 1 2 282.453 3.658 20 0 CHADLO c1[nH]cc(NCc2cccc(OCc3ccccc3)c2)[nH+]1 ZINC000574851468 335052363 /nfs/dbraw/zinc/05/23/63/335052363.db2.gz OCTUCLOIZBCHCM-UHFFFAOYSA-N 1 2 279.343 3.601 20 0 CHADLO COCCC1(CNc2[nH+]ccc3ccc(F)cc32)CC1 ZINC000354882315 533213050 /nfs/dbraw/zinc/21/30/50/533213050.db2.gz IHYFMBBXMXOOQG-UHFFFAOYSA-N 1 2 274.339 3.603 20 0 CHADLO Cc1ccc(NC(=O)c2cc(F)c(Cl)cc2F)c(C)[nH+]1 ZINC000179430150 260048858 /nfs/dbraw/zinc/04/88/58/260048858.db2.gz QVSPGURINLUOSW-UHFFFAOYSA-N 1 2 296.704 3.882 20 0 CHADLO CCN(CC)c1ccc(NCc2ccc(F)cc2)c[nH+]1 ZINC000037003253 260061853 /nfs/dbraw/zinc/06/18/53/260061853.db2.gz HMOBNFGZTFSAFO-UHFFFAOYSA-N 1 2 273.355 3.679 20 0 CHADLO COc1ccc2c(c1)C1(CC1)C[N@H+]([C@@H](C)c1ncc(C)o1)C2 ZINC000336006542 533241530 /nfs/dbraw/zinc/24/15/30/533241530.db2.gz XZDAMZDODNMTLP-ZDUSSCGKSA-N 1 2 298.386 3.600 20 0 CHADLO COc1ccc2c(c1)C1(CC1)C[N@@H+]([C@@H](C)c1ncc(C)o1)C2 ZINC000336006542 533241534 /nfs/dbraw/zinc/24/15/34/533241534.db2.gz XZDAMZDODNMTLP-ZDUSSCGKSA-N 1 2 298.386 3.600 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc(F)ccc1F)CC2 ZINC000352757707 533261652 /nfs/dbraw/zinc/26/16/52/533261652.db2.gz VRFOKOQKRROVEC-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc(F)ccc1F)CC2 ZINC000352757707 533261657 /nfs/dbraw/zinc/26/16/57/533261657.db2.gz VRFOKOQKRROVEC-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COCC[C@H]([NH2+]Cc1ncc(C(C)C)s1)c1ccco1 ZINC000344354122 533311892 /nfs/dbraw/zinc/31/18/92/533311892.db2.gz KHLYMJVFMAYNMU-LBPRGKRZSA-N 1 2 294.420 3.727 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@](F)(c3cccc(Cl)c3)C2)on1 ZINC000366980515 533627938 /nfs/dbraw/zinc/62/79/38/533627938.db2.gz HBCOJPNFYYGHHJ-OAHLLOKOSA-N 1 2 294.757 3.707 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@](F)(c3cccc(Cl)c3)C2)on1 ZINC000366980515 533627941 /nfs/dbraw/zinc/62/79/41/533627941.db2.gz HBCOJPNFYYGHHJ-OAHLLOKOSA-N 1 2 294.757 3.707 20 0 CHADLO Fc1cccc(C[N@H+](CC(F)F)CC2CCC2)c1 ZINC000341751516 130020222 /nfs/dbraw/zinc/02/02/22/130020222.db2.gz RJHRPPBBGAUHCC-UHFFFAOYSA-N 1 2 257.299 3.693 20 0 CHADLO Fc1cccc(C[N@@H+](CC(F)F)CC2CCC2)c1 ZINC000341751516 130020223 /nfs/dbraw/zinc/02/02/23/130020223.db2.gz RJHRPPBBGAUHCC-UHFFFAOYSA-N 1 2 257.299 3.693 20 0 CHADLO C[C@@H]([NH2+][C@@H](CC(F)(F)F)c1ccccc1)c1nccn1C ZINC000341761716 130026984 /nfs/dbraw/zinc/02/69/84/130026984.db2.gz QUYVRRWURNVFIW-YPMHNXCESA-N 1 2 297.324 3.764 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2ccc(F)cc2o1)c1cccc(O)c1 ZINC000341811383 130072418 /nfs/dbraw/zinc/07/24/18/130072418.db2.gz WMOINXDNSSWFKU-SNVBAGLBSA-N 1 2 286.306 3.523 20 0 CHADLO C[C@@H](c1ccsc1)[NH+]1CCN(CCCCCF)CC1 ZINC000341908890 130121561 /nfs/dbraw/zinc/12/15/61/130121561.db2.gz GQAUFPOSOHFXFY-AWEZNQCLSA-N 1 2 284.444 3.567 20 0 CHADLO Cc1nc(C[NH+]2CCC(CC(F)(F)F)CC2)cs1 ZINC000341987225 130210249 /nfs/dbraw/zinc/21/02/49/130210249.db2.gz HEQXAKNUDADICN-UHFFFAOYSA-N 1 2 278.343 3.616 20 0 CHADLO CO[C@H](C)CCNc1cc[nH+]c2c(Cl)cccc12 ZINC000342113627 130269823 /nfs/dbraw/zinc/26/98/23/130269823.db2.gz LAFVWEIJMBDCLJ-SNVBAGLBSA-N 1 2 264.756 3.725 20 0 CHADLO Fc1cccc2[nH]c(-c3cc(-n4cc[nH+]c4)cs3)nc21 ZINC000342133302 130293032 /nfs/dbraw/zinc/29/30/32/130293032.db2.gz FZUYLMBJWSCFMW-UHFFFAOYSA-N 1 2 284.319 3.616 20 0 CHADLO CCCOc1ccc(CNc2[nH+]ccc(C)c2Cl)cn1 ZINC000342218248 130390579 /nfs/dbraw/zinc/39/05/79/130390579.db2.gz GZJQXUHCBMSLJR-UHFFFAOYSA-N 1 2 291.782 3.839 20 0 CHADLO C[N@H+](Cc1ncccc1O)Cc1c(Cl)cccc1Cl ZINC000575112792 335066846 /nfs/dbraw/zinc/06/68/46/335066846.db2.gz BJUFKBHRBXILFH-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1ncccc1O)Cc1c(Cl)cccc1Cl ZINC000575112792 335066848 /nfs/dbraw/zinc/06/68/48/335066848.db2.gz BJUFKBHRBXILFH-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C(F)(F)F)cc2)cc[nH+]1 ZINC000010163047 170399476 /nfs/dbraw/zinc/39/94/76/170399476.db2.gz DKHOSJCKYFNSKW-UHFFFAOYSA-N 1 2 280.249 3.661 20 0 CHADLO Cc1cc(NC(=O)C23CC4CC(CC(C4)C2)C3)cc[nH+]1 ZINC000010163063 170399678 /nfs/dbraw/zinc/39/96/78/170399678.db2.gz RPVMYECVMPLCDE-UHFFFAOYSA-N 1 2 270.376 3.545 20 0 CHADLO Cn1cc[nH+]c1CNc1ccccc1Oc1ccccc1 ZINC000037301916 173107539 /nfs/dbraw/zinc/10/75/39/173107539.db2.gz SQVDCSTUKQSCOF-UHFFFAOYSA-N 1 2 279.343 3.825 20 0 CHADLO Cc1nccc(C[NH2+][C@@H](C)c2cc(Cl)ccc2Cl)n1 ZINC000038037309 174037335 /nfs/dbraw/zinc/03/73/35/174037335.db2.gz WRYVJMRHTYFRNB-VIFPVBQESA-N 1 2 296.201 3.943 20 0 CHADLO Cc1csc(C[NH2+][C@H](C)c2ccc(F)cc2F)n1 ZINC000038090698 174104042 /nfs/dbraw/zinc/10/40/42/174104042.db2.gz GIMINPRYGQZSQX-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1sccc1Br ZINC000043503080 175059057 /nfs/dbraw/zinc/05/90/57/175059057.db2.gz GKAZTNFYJDJDQU-UHFFFAOYSA-N 1 2 286.194 3.736 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1sccc1Br ZINC000043503080 175059058 /nfs/dbraw/zinc/05/90/58/175059058.db2.gz GKAZTNFYJDJDQU-UHFFFAOYSA-N 1 2 286.194 3.736 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1cccc(C)c1 ZINC000037185315 260072734 /nfs/dbraw/zinc/07/27/34/260072734.db2.gz QRXHZWZNNFSAME-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)c1ccoc1C1CC1 ZINC000344595259 225114020 /nfs/dbraw/zinc/11/40/20/225114020.db2.gz UCYCZUUJWSMHOI-UHFFFAOYSA-N 1 2 293.326 3.595 20 0 CHADLO C[C@@H](c1csnn1)[N@@H+]1CC[C@@H](C(F)(F)F)C(C)(C)C1 ZINC000334620323 225158741 /nfs/dbraw/zinc/15/87/41/225158741.db2.gz OCYCCQLNROCNEP-WCBMZHEXSA-N 1 2 293.358 3.510 20 0 CHADLO C[C@@H](c1csnn1)[N@H+]1CC[C@@H](C(F)(F)F)C(C)(C)C1 ZINC000334620323 225158748 /nfs/dbraw/zinc/15/87/48/225158748.db2.gz OCYCCQLNROCNEP-WCBMZHEXSA-N 1 2 293.358 3.510 20 0 CHADLO Cc1cccc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)n1 ZINC000334634778 225180798 /nfs/dbraw/zinc/18/07/98/225180798.db2.gz WYTGFQOWXYLORT-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cccc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)n1 ZINC000334634778 225180800 /nfs/dbraw/zinc/18/08/00/225180800.db2.gz WYTGFQOWXYLORT-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2CCC(F)(F)C2)c(C)[nH+]1 ZINC000334704858 225280485 /nfs/dbraw/zinc/28/04/85/225280485.db2.gz BBYDFYSTWLZARE-GFCCVEGCSA-N 1 2 297.349 3.564 20 0 CHADLO Clc1cnc(C[N@@H+]2CCSC3(CCC3)CC2)s1 ZINC000334732771 225293245 /nfs/dbraw/zinc/29/32/45/225293245.db2.gz RYNNWOPJEHPQGL-UHFFFAOYSA-N 1 2 288.869 3.658 20 0 CHADLO Clc1cnc(C[N@H+]2CCSC3(CCC3)CC2)s1 ZINC000334732771 225293248 /nfs/dbraw/zinc/29/32/48/225293248.db2.gz RYNNWOPJEHPQGL-UHFFFAOYSA-N 1 2 288.869 3.658 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CCc3[nH+]c(C(C)C)[nH]c3C2)cc1 ZINC000334685059 225247740 /nfs/dbraw/zinc/24/77/40/225247740.db2.gz WEXBFRSNFAYOCS-ZDUSSCGKSA-N 1 2 297.402 3.585 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CCc3[nH]c(C(C)C)[nH+]c3C2)cc1 ZINC000334685059 225247744 /nfs/dbraw/zinc/24/77/44/225247744.db2.gz WEXBFRSNFAYOCS-ZDUSSCGKSA-N 1 2 297.402 3.585 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCOc2ccc(F)cc21)c1cscn1 ZINC000334926102 225366254 /nfs/dbraw/zinc/36/62/54/225366254.db2.gz QBVLQKNMYKRDTK-GXFFZTMASA-N 1 2 292.379 3.847 20 0 CHADLO Cc1ccc(N[C@@H](C)c2ccc(-n3cccn3)cc2)c[nH+]1 ZINC000347139623 226057903 /nfs/dbraw/zinc/05/79/03/226057903.db2.gz ZBKRFXVEDTYXPD-AWEZNQCLSA-N 1 2 278.359 3.749 20 0 CHADLO Cc1[nH+]cccc1Cc1nc([C@H]2C[C@H]2c2ccccc2)no1 ZINC000347245921 226078094 /nfs/dbraw/zinc/07/80/94/226078094.db2.gz OMEPBFKWROWZOL-HOTGVXAUSA-N 1 2 291.354 3.635 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+]2CC(C)(C)[C@H]2c2cccs2)o1 ZINC000347597378 226212384 /nfs/dbraw/zinc/21/23/84/226212384.db2.gz JCOKCFPDRZMNJE-GXFFZTMASA-N 1 2 291.420 3.838 20 0 CHADLO CCc1nnc([C@H](C)[N@H+]2CC(C)(C)[C@H]2c2cccs2)o1 ZINC000347597378 226212386 /nfs/dbraw/zinc/21/23/86/226212386.db2.gz JCOKCFPDRZMNJE-GXFFZTMASA-N 1 2 291.420 3.838 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1nc3ccccc3s1)CC2 ZINC000347962987 226389631 /nfs/dbraw/zinc/38/96/31/226389631.db2.gz XZNWHOCSZAWRQX-UHFFFAOYSA-N 1 2 298.386 3.994 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1nc3ccccc3s1)CC2 ZINC000347962987 226389632 /nfs/dbraw/zinc/38/96/32/226389632.db2.gz XZNWHOCSZAWRQX-UHFFFAOYSA-N 1 2 298.386 3.994 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H](C(F)(F)F)[C@H]2C)cs1 ZINC000335384419 226470229 /nfs/dbraw/zinc/47/02/29/226470229.db2.gz PUMKZAFRVYSHLD-KCJUWKMLSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H](C(F)(F)F)[C@H]2C)cs1 ZINC000335384419 226470230 /nfs/dbraw/zinc/47/02/30/226470230.db2.gz PUMKZAFRVYSHLD-KCJUWKMLSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)ccc1F ZINC000348947854 226532472 /nfs/dbraw/zinc/53/24/72/226532472.db2.gz CVTWLUPEPLDIJE-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO FC1(F)CCC12C[NH+](Cc1cnc(C3CCC3)s1)C2 ZINC000335750733 226771875 /nfs/dbraw/zinc/77/18/75/226771875.db2.gz BRYWZJCIKMFKDZ-UHFFFAOYSA-N 1 2 284.375 3.642 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(F)cc1C(F)(F)F ZINC000354520624 227021653 /nfs/dbraw/zinc/02/16/53/227021653.db2.gz KYDQHRMUFUUVGI-LLVKDONJSA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(F)cc1C(F)(F)F ZINC000354520624 227021656 /nfs/dbraw/zinc/02/16/56/227021656.db2.gz KYDQHRMUFUUVGI-LLVKDONJSA-N 1 2 279.252 3.778 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CS[C@@H]1CCO[C@H]1C ZINC000191567850 227041142 /nfs/dbraw/zinc/04/11/42/227041142.db2.gz YFSCBURDYAIBLA-XJKSGUPXSA-N 1 2 288.416 3.591 20 0 CHADLO Cc1cc([C@@H](C)Nc2[nH+]c(C)nc3[nH]ccc32)c(C)s1 ZINC000354729398 227084449 /nfs/dbraw/zinc/08/44/49/227084449.db2.gz LZOAGQWPZUQVEC-SECBINFHSA-N 1 2 286.404 3.540 20 0 CHADLO Cc1cc(N2C[C@H](C)C[C@H](C)[C@H]2C)nc(C2CC2)[nH+]1 ZINC000352594472 227090961 /nfs/dbraw/zinc/09/09/61/227090961.db2.gz HTYOLGFWJRAJPZ-NTZNESFSSA-N 1 2 259.397 3.533 20 0 CHADLO COc1cc2cc[nH+]c(N3C[C@@H](C)C[C@H]3C)c2cc1F ZINC000575210824 335081670 /nfs/dbraw/zinc/08/16/70/335081670.db2.gz VDXKYKIOMQXTEH-WDEREUQCSA-N 1 2 274.339 3.617 20 0 CHADLO Cc1cc(N[C@H]2CCSc3ccc(F)cc32)nc[nH+]1 ZINC000301290428 227500461 /nfs/dbraw/zinc/50/04/61/227500461.db2.gz TUWUKXUJTZGTOH-LBPRGKRZSA-N 1 2 275.352 3.573 20 0 CHADLO c1ccc(C[C@@H](Nc2cc[nH+]c(C3CC3)n2)C2CC2)cc1 ZINC000301354287 227518999 /nfs/dbraw/zinc/51/89/99/227518999.db2.gz XPFBEGLVNRCWHA-MRXNPFEDSA-N 1 2 279.387 3.787 20 0 CHADLO COCCC1(CNc2ccc3ccccc3[nH+]2)CCC1 ZINC000301661241 227630317 /nfs/dbraw/zinc/63/03/17/227630317.db2.gz MRTMKXWTDDPXBO-UHFFFAOYSA-N 1 2 270.376 3.854 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N(C)Cc1ccncc1 ZINC000301672486 227637373 /nfs/dbraw/zinc/63/73/73/227637373.db2.gz ALGYEHHIHBEUIO-UHFFFAOYSA-N 1 2 263.344 3.575 20 0 CHADLO Cc1csc([C@@H](C)Nc2nc(C)[nH+]c3c2CCCC3)n1 ZINC000302071669 227781585 /nfs/dbraw/zinc/78/15/85/227781585.db2.gz YUCYWGMTFIYJGM-SNVBAGLBSA-N 1 2 288.420 3.602 20 0 CHADLO C[NH+](C)[C@H](C(=O)Nc1ccsc1)c1cccc(Cl)c1 ZINC000355834505 228015464 /nfs/dbraw/zinc/01/54/64/228015464.db2.gz MGSKPTQHTHSDQH-ZDUSSCGKSA-N 1 2 294.807 3.643 20 0 CHADLO C[C@H]1CC(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C[C@H](C)C1 ZINC000355854446 228022905 /nfs/dbraw/zinc/02/29/05/228022905.db2.gz XKBGKFKLCMMTCE-ZIAGYGMSSA-N 1 2 297.402 3.883 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@@H+]2[C@H](C)c2ncc(C)o2)o1 ZINC000331462891 228104197 /nfs/dbraw/zinc/10/41/97/228104197.db2.gz ZGGGZJRIDOWMMV-OCCSQVGLSA-N 1 2 290.363 3.621 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@H+]2[C@H](C)c2ncc(C)o2)o1 ZINC000331462891 228104199 /nfs/dbraw/zinc/10/41/99/228104199.db2.gz ZGGGZJRIDOWMMV-OCCSQVGLSA-N 1 2 290.363 3.621 20 0 CHADLO Cc1nc2sccn2c1C[N@H+](C)Cc1ccc(Cl)o1 ZINC000353451683 228104967 /nfs/dbraw/zinc/10/49/67/228104967.db2.gz WSQNNEZBUKCWSP-UHFFFAOYSA-N 1 2 295.795 3.583 20 0 CHADLO Cc1nc2sccn2c1C[N@@H+](C)Cc1ccc(Cl)o1 ZINC000353451683 228104969 /nfs/dbraw/zinc/10/49/69/228104969.db2.gz WSQNNEZBUKCWSP-UHFFFAOYSA-N 1 2 295.795 3.583 20 0 CHADLO CCc1nnc(C[NH2+][C@@H]2CCc3ccc(Cl)cc32)s1 ZINC000353537421 228117019 /nfs/dbraw/zinc/11/70/19/228117019.db2.gz DPLLOPAVSPSTQN-GFCCVEGCSA-N 1 2 293.823 3.531 20 0 CHADLO c1cn(Cc2cccc(-c3noc(C4=CCCC4)n3)c2)c[nH+]1 ZINC000355989247 228077197 /nfs/dbraw/zinc/07/71/97/228077197.db2.gz NINDTIXGXZJJIT-UHFFFAOYSA-N 1 2 292.342 3.549 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1c(F)cccc1Cl ZINC000353364904 228091879 /nfs/dbraw/zinc/09/18/79/228091879.db2.gz SPSSSPAZEQONAP-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1c(F)cccc1Cl ZINC000353364904 228091880 /nfs/dbraw/zinc/09/18/80/228091880.db2.gz SPSSSPAZEQONAP-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(Cc2noc(/C=C\c3cccc(F)c3)n2)c[nH+]1 ZINC000356111898 228125462 /nfs/dbraw/zinc/12/54/62/228125462.db2.gz OFOFBPYKSCFOMR-FPLPWBNLSA-N 1 2 295.317 3.673 20 0 CHADLO Cc1ccoc1C[N@H+]1C[C@@H](C)OC[C@H]1c1ccccc1 ZINC000353646180 228138593 /nfs/dbraw/zinc/13/85/93/228138593.db2.gz IKYHJSZQQQOIPZ-ZBFHGGJFSA-N 1 2 271.360 3.550 20 0 CHADLO Cc1ccoc1C[N@@H+]1C[C@@H](C)OC[C@H]1c1ccccc1 ZINC000353646180 228138595 /nfs/dbraw/zinc/13/85/95/228138595.db2.gz IKYHJSZQQQOIPZ-ZBFHGGJFSA-N 1 2 271.360 3.550 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CC=C(C(F)(F)F)CC1 ZINC000353746204 228149502 /nfs/dbraw/zinc/14/95/02/228149502.db2.gz LXVIHCOHVIDDLX-UHFFFAOYSA-N 1 2 277.236 3.659 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CC=C(C(F)(F)F)CC1 ZINC000353746204 228149503 /nfs/dbraw/zinc/14/95/03/228149503.db2.gz LXVIHCOHVIDDLX-UHFFFAOYSA-N 1 2 277.236 3.659 20 0 CHADLO C[C@H]1C[C@H]1CC(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000353773486 228152745 /nfs/dbraw/zinc/15/27/45/228152745.db2.gz NYYLXVDKNMNJQO-STQMWFEESA-N 1 2 282.343 3.859 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@H+](Cc2ccco2)CC1 ZINC000351983471 228171085 /nfs/dbraw/zinc/17/10/85/228171085.db2.gz SHJIADHLBKKNIZ-UHFFFAOYSA-N 1 2 275.298 3.847 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@@H+](Cc2ccco2)CC1 ZINC000351983471 228171086 /nfs/dbraw/zinc/17/10/86/228171086.db2.gz SHJIADHLBKKNIZ-UHFFFAOYSA-N 1 2 275.298 3.847 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ncc(Cl)s2)cc1 ZINC000351989178 228171390 /nfs/dbraw/zinc/17/13/90/228171390.db2.gz QQUNNOIPVTYSGS-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ncc(Cl)s2)cc1 ZINC000351989178 228171391 /nfs/dbraw/zinc/17/13/91/228171391.db2.gz QQUNNOIPVTYSGS-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccnc(C3CC3)c2)c(C)[nH+]1 ZINC000335983852 228175094 /nfs/dbraw/zinc/17/50/94/228175094.db2.gz HSXBUTBXRHFWQW-UHFFFAOYSA-N 1 2 281.359 3.532 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1[C@@H](C(N)=O)c1ccccc1 ZINC000335989611 228175483 /nfs/dbraw/zinc/17/54/83/228175483.db2.gz IATQIIGDTCLDAJ-MLGOLLRUSA-N 1 2 266.344 3.840 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1[C@@H](C(N)=O)c1ccccc1 ZINC000335989611 228175484 /nfs/dbraw/zinc/17/54/84/228175484.db2.gz IATQIIGDTCLDAJ-MLGOLLRUSA-N 1 2 266.344 3.840 20 0 CHADLO FC1(F)CCC12C[NH+](Cc1cnc(-c3ccco3)s1)C2 ZINC000336017643 228178109 /nfs/dbraw/zinc/17/81/09/228178109.db2.gz NFACGJKAXNBROW-UHFFFAOYSA-N 1 2 296.342 3.634 20 0 CHADLO Cc1ccc(C[S@](=O)c2cc(Cl)ccc2F)c(C)[nH+]1 ZINC000336118756 228190470 /nfs/dbraw/zinc/19/04/70/228190470.db2.gz NIHCDRKEXKPMKJ-IBGZPJMESA-N 1 2 297.782 3.799 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2ccc(O)c(C)c2)c(C)[nH+]1 ZINC000336147243 228197760 /nfs/dbraw/zinc/19/77/60/228197760.db2.gz FOSKNKBJPHLUHL-UHFFFAOYSA-N 1 2 285.347 3.665 20 0 CHADLO Cc1ccc(C[NH2+]C2(C(F)F)CCCCC2)c(C)n1 ZINC000352204912 228198162 /nfs/dbraw/zinc/19/81/62/228198162.db2.gz ZEFXKWSUJYXJRB-UHFFFAOYSA-N 1 2 268.351 3.756 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2C[C@H](C)n3cc[nH+]c32)c(C)c1 ZINC000333824191 228216057 /nfs/dbraw/zinc/21/60/57/228216057.db2.gz KIKLZGRSVMSLEM-KBPBESRZSA-N 1 2 298.390 3.636 20 0 CHADLO Cc1cc(NC(=O)c2nc(-c3ccccc3)cs2)cc[nH+]1 ZINC000179384592 260046159 /nfs/dbraw/zinc/04/61/59/260046159.db2.gz SKDUWSKAHZSBGL-UHFFFAOYSA-N 1 2 295.367 3.766 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cnc(C(C)(C)C)s1 ZINC000179567955 260056028 /nfs/dbraw/zinc/05/60/28/260056028.db2.gz YJZKHVCPHXXRHV-GFCCVEGCSA-N 1 2 289.448 3.819 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cnc(C(C)(C)C)s1 ZINC000179567955 260056029 /nfs/dbraw/zinc/05/60/29/260056029.db2.gz YJZKHVCPHXXRHV-GFCCVEGCSA-N 1 2 289.448 3.819 20 0 CHADLO c1cnn(-c2ccc([NH2+]CCc3ccccc3)cc2)c1 ZINC000036977762 260059562 /nfs/dbraw/zinc/05/95/62/260059562.db2.gz YEFIDHUPDWFNDW-UHFFFAOYSA-N 1 2 263.344 3.527 20 0 CHADLO CN(C)c1ccc(NCc2ccc(C(F)(F)F)cc2)c[nH+]1 ZINC000036988880 260061685 /nfs/dbraw/zinc/06/16/85/260061685.db2.gz LMYVARXIEBURBC-UHFFFAOYSA-N 1 2 295.308 3.779 20 0 CHADLO CSc1ccccc1NCc1c(C)[nH+]c2ccccn21 ZINC000037279007 260079618 /nfs/dbraw/zinc/07/96/18/260079618.db2.gz VJUIZGZKYSIOKG-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](C)c1ccc(CC(C)C)cc1 ZINC000037879966 260118990 /nfs/dbraw/zinc/11/89/90/260118990.db2.gz BQSOMMMBGYQPNY-ZDUSSCGKSA-N 1 2 271.408 3.767 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@H](C)c1nc(C)cs1 ZINC000070939631 260158492 /nfs/dbraw/zinc/15/84/92/260158492.db2.gz ASUMWEYIUPLABE-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(OC(F)(F)F)cc1 ZINC000071413226 260162530 /nfs/dbraw/zinc/16/25/30/260162530.db2.gz JFKYGOJHCBLQPN-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO Cc1nc(C2([NH2+]C/C=C\c3ccccc3)CCCCC2)no1 ZINC000517548754 260190940 /nfs/dbraw/zinc/19/09/40/260190940.db2.gz ACJUOLQUZIAKOU-FLIBITNWSA-N 1 2 297.402 3.840 20 0 CHADLO CCc1cccc(C)c1NCc1cn2ccccc2[nH+]1 ZINC000088627191 260233206 /nfs/dbraw/zinc/23/32/06/260233206.db2.gz UQNVIAVEBUGZSM-UHFFFAOYSA-N 1 2 265.360 3.817 20 0 CHADLO Cc1ccc2[nH+]c(CSC3(CO)CCCCC3)cn2c1 ZINC000313017878 260235029 /nfs/dbraw/zinc/23/50/29/260235029.db2.gz YTSSCMTYXHNWPM-UHFFFAOYSA-N 1 2 290.432 3.571 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccccc1N1CCCC1 ZINC000090207926 260239021 /nfs/dbraw/zinc/23/90/21/260239021.db2.gz GKHXLFYHHYSDIC-UHFFFAOYSA-N 1 2 284.407 3.676 20 0 CHADLO Cc1[nH+]c(-c2ccncc2)nc(N[C@@H]2CCC[C@@H](C)C2)c1C ZINC000517927613 260318690 /nfs/dbraw/zinc/31/86/90/260318690.db2.gz UQGDLNIUJZBGPZ-MLGOLLRUSA-N 1 2 296.418 3.568 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1C[C@H]1CCC2(CCCC2)O1 ZINC000517967409 260330359 /nfs/dbraw/zinc/33/03/59/260330359.db2.gz NHYKLRFGPDDZJH-CHWSQXEVSA-N 1 2 273.367 3.598 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1C[C@H]1CCC2(CCCC2)O1 ZINC000517967409 260330361 /nfs/dbraw/zinc/33/03/61/260330361.db2.gz NHYKLRFGPDDZJH-CHWSQXEVSA-N 1 2 273.367 3.598 20 0 CHADLO Cc1cc(N[C@H]2CCCC[C@@H]2Cc2ccccc2)nc[nH+]1 ZINC000518365148 260384485 /nfs/dbraw/zinc/38/44/85/260384485.db2.gz HHSAGTMZIQVETQ-SJORKVTESA-N 1 2 281.403 3.998 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@@H](C)c1nccs1)c1ccco1 ZINC000093403952 260517512 /nfs/dbraw/zinc/51/75/12/260517512.db2.gz KPKYLWXHAHFDDG-QWHCGFSZSA-N 1 2 294.420 3.801 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)C[C@@H]1C=CCCC1 ZINC000188788060 260745090 /nfs/dbraw/zinc/74/50/90/260745090.db2.gz PEVYVMKWKBHDDV-CYBMUJFWSA-N 1 2 288.391 3.944 20 0 CHADLO Cn1ccnc1C[N@H+](C)Cc1ccc(-c2ccsc2)cc1 ZINC000444656951 261059152 /nfs/dbraw/zinc/05/91/52/261059152.db2.gz IWLACLLQIFGJGM-UHFFFAOYSA-N 1 2 297.427 3.781 20 0 CHADLO Cn1ccnc1C[N@@H+](C)Cc1ccc(-c2ccsc2)cc1 ZINC000444656951 261059153 /nfs/dbraw/zinc/05/91/53/261059153.db2.gz IWLACLLQIFGJGM-UHFFFAOYSA-N 1 2 297.427 3.781 20 0 CHADLO CC(C)COC[C@H]([NH2+][C@H](C)c1cncs1)c1ccco1 ZINC000152582170 261066387 /nfs/dbraw/zinc/06/63/87/261066387.db2.gz FSGIFQVMQAZMDV-OLZOCXBDSA-N 1 2 294.420 3.801 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@H](C)c1cncs1)c1ccco1 ZINC000152582264 261066398 /nfs/dbraw/zinc/06/63/98/261066398.db2.gz FSGIFQVMQAZMDV-CHWSQXEVSA-N 1 2 294.420 3.801 20 0 CHADLO CCc1noc([C@H](C)[N@H+](CC)Cc2cccc(Cl)c2)n1 ZINC000153999464 261085976 /nfs/dbraw/zinc/08/59/76/261085976.db2.gz QJTDDLKVBCUOIR-NSHDSACASA-N 1 2 293.798 3.869 20 0 CHADLO CCc1noc([C@H](C)[N@@H+](CC)Cc2cccc(Cl)c2)n1 ZINC000153999464 261085979 /nfs/dbraw/zinc/08/59/79/261085979.db2.gz QJTDDLKVBCUOIR-NSHDSACASA-N 1 2 293.798 3.869 20 0 CHADLO CC[N@H+](Cc1cncs1)Cc1ccccc1Cl ZINC000154168709 261088379 /nfs/dbraw/zinc/08/83/79/261088379.db2.gz SGUQTFPXLCGEAS-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1cncs1)Cc1ccccc1Cl ZINC000154168709 261088380 /nfs/dbraw/zinc/08/83/80/261088380.db2.gz SGUQTFPXLCGEAS-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO Cc1cc(C(=O)C[N@@H+](C)Cc2ccccc2Cl)c(C)[nH]1 ZINC000052396084 261090199 /nfs/dbraw/zinc/09/01/99/261090199.db2.gz RFANZWXZKUVYHD-UHFFFAOYSA-N 1 2 290.794 3.600 20 0 CHADLO Cc1cc(C(=O)C[N@H+](C)Cc2ccccc2Cl)c(C)[nH]1 ZINC000052396084 261090200 /nfs/dbraw/zinc/09/02/00/261090200.db2.gz RFANZWXZKUVYHD-UHFFFAOYSA-N 1 2 290.794 3.600 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+]1CCc2ccccc2C1 ZINC000154442805 261091719 /nfs/dbraw/zinc/09/17/19/261091719.db2.gz GEPZFXQOGFBKQS-UHFFFAOYSA-N 1 2 270.401 3.579 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+]1CCc2ccccc2C1 ZINC000154442805 261091721 /nfs/dbraw/zinc/09/17/21/261091721.db2.gz GEPZFXQOGFBKQS-UHFFFAOYSA-N 1 2 270.401 3.579 20 0 CHADLO COC[C@H](C)[N@H+](C)Cc1cc(Cl)sc1Cl ZINC000449014176 261095482 /nfs/dbraw/zinc/09/54/82/261095482.db2.gz GWXXKGWUUOWLJN-ZETCQYMHSA-N 1 2 268.209 3.522 20 0 CHADLO COC[C@H](C)[N@@H+](C)Cc1cc(Cl)sc1Cl ZINC000449014176 261095483 /nfs/dbraw/zinc/09/54/83/261095483.db2.gz GWXXKGWUUOWLJN-ZETCQYMHSA-N 1 2 268.209 3.522 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cc(F)ccc1F)c1ccc(C)o1 ZINC000156384995 261121543 /nfs/dbraw/zinc/12/15/43/261121543.db2.gz PHRWCSZANVADSH-NHYWBVRUSA-N 1 2 295.329 3.905 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@H](COC)c2ccc(C)o2)o1 ZINC000156535132 261125454 /nfs/dbraw/zinc/12/54/54/261125454.db2.gz UKAJJIXEXXLKEH-GXTWGEPZSA-N 1 2 277.364 3.782 20 0 CHADLO CCc1nnc(C[N@@H+]2CCCCC[C@H]2c2ccc(C)o2)o1 ZINC000158648517 261168903 /nfs/dbraw/zinc/16/89/03/261168903.db2.gz YPFKKKVLQZUVBA-ZDUSSCGKSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1nnc(C[N@H+]2CCCCC[C@H]2c2ccc(C)o2)o1 ZINC000158648517 261168904 /nfs/dbraw/zinc/16/89/04/261168904.db2.gz YPFKKKVLQZUVBA-ZDUSSCGKSA-N 1 2 289.379 3.651 20 0 CHADLO CCC1(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CCC1 ZINC000175985133 261258921 /nfs/dbraw/zinc/25/89/21/261258921.db2.gz KXTMJBLWYCQDQJ-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccc(F)cc1F ZINC000162307529 261276256 /nfs/dbraw/zinc/27/62/56/261276256.db2.gz WBNQZQDQKOOVAA-BDAKNGLRSA-N 1 2 268.332 3.833 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000162317722 261276942 /nfs/dbraw/zinc/27/69/42/261276942.db2.gz DPVARJVWHFXDIE-QWRGUYRKSA-N 1 2 262.378 3.564 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2cncc(F)c2)cs1 ZINC000162389282 261280061 /nfs/dbraw/zinc/28/00/61/261280061.db2.gz ROAYMENSDKRZEP-ZJUUUORDSA-N 1 2 279.384 3.651 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)s1 ZINC000103966708 261286590 /nfs/dbraw/zinc/28/65/90/261286590.db2.gz FDYRPYLEXGKIKL-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO Cc1[nH]ccc1C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000176467740 261289226 /nfs/dbraw/zinc/28/92/26/261289226.db2.gz BWRPSVHSNYGHOE-UHFFFAOYSA-N 1 2 293.326 3.763 20 0 CHADLO CC(C)Cc1ncc(C[NH2+]C(C)(C)c2nccs2)s1 ZINC000162629113 261289435 /nfs/dbraw/zinc/28/94/35/261289435.db2.gz UHMWHSSQNYGILT-UHFFFAOYSA-N 1 2 295.477 3.823 20 0 CHADLO CCn1nccc1C[N@H+](CC)Cc1ccc(Cl)s1 ZINC000162746927 261293242 /nfs/dbraw/zinc/29/32/42/261293242.db2.gz CJSPIQUBYFXZGW-UHFFFAOYSA-N 1 2 283.828 3.640 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1CCc1ccccc1)c1ccco1 ZINC000164287904 261346368 /nfs/dbraw/zinc/34/63/68/261346368.db2.gz DGOPIZMZQWKBFY-OAHLLOKOSA-N 1 2 295.386 3.570 20 0 CHADLO Cl/C=C(\Cl)C[NH2+]C1(c2nccs2)CCCC1 ZINC000177774867 261369227 /nfs/dbraw/zinc/36/92/27/261369227.db2.gz DVSCPMSHMJEUCA-CLFYSBASSA-N 1 2 277.220 3.821 20 0 CHADLO COc1ccc(Cl)c(C[NH+]2Cc3ccccc3C2)c1 ZINC000361837999 261397868 /nfs/dbraw/zinc/39/78/68/261397868.db2.gz OVNLOEFDMDPESV-UHFFFAOYSA-N 1 2 273.763 3.864 20 0 CHADLO Cc1cccc([C@H](C)Sc2[nH+]cnc3c2cnn3C)c1 ZINC000172915335 261514815 /nfs/dbraw/zinc/51/48/15/261514815.db2.gz QFUKKUGXFTXCLZ-NSHDSACASA-N 1 2 284.388 3.525 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+]Cc2ccc(F)cc2F)o1 ZINC000174476502 261573045 /nfs/dbraw/zinc/57/30/45/261573045.db2.gz KAEXTRSCYCJKHG-OAHLLOKOSA-N 1 2 295.329 3.598 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](C)c2cc(C)sc2C)n1 ZINC000179371958 261781322 /nfs/dbraw/zinc/78/13/22/261781322.db2.gz KAOYUHRZYJDACN-NXEZZACHSA-N 1 2 279.409 3.722 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1C(C)C)c1ccc(F)cc1F ZINC000179626656 261803713 /nfs/dbraw/zinc/80/37/13/261803713.db2.gz QQIHAKFWKWICET-HNNXBMFYSA-N 1 2 293.361 3.983 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](COC)c2ccccc2)cs1 ZINC000181646009 261905874 /nfs/dbraw/zinc/90/58/74/261905874.db2.gz MTVQROQVXHAOCK-HNNXBMFYSA-N 1 2 290.432 3.573 20 0 CHADLO CC[C@@H](Nc1cc(F)cc(Cl)c1)c1[nH+]ccn1C ZINC000182757788 261949650 /nfs/dbraw/zinc/94/96/50/261949650.db2.gz QDHJETZSKASJLZ-GFCCVEGCSA-N 1 2 267.735 3.776 20 0 CHADLO CCc1ccsc1C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000525724533 262006393 /nfs/dbraw/zinc/00/63/93/262006393.db2.gz WZZSSEAFZWDXNV-UHFFFAOYSA-N 1 2 299.399 3.758 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2nc(C)c(C)s2)cs1 ZINC000184687194 262025980 /nfs/dbraw/zinc/02/59/80/262025980.db2.gz CAFUAUIARRHUMG-VIFPVBQESA-N 1 2 281.450 3.630 20 0 CHADLO C[C@@H]([NH2+][C@H](CCO)c1ccco1)c1ccc(F)cc1Cl ZINC000186549229 262097870 /nfs/dbraw/zinc/09/78/70/262097870.db2.gz YWDNOHIPCNIPFR-QMTHXVAHSA-N 1 2 297.757 3.846 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1Cl)[N@@H+]1CCCC2(CC2)C1 ZINC000186968923 262118904 /nfs/dbraw/zinc/11/89/04/262118904.db2.gz UGUBDENNONMTCK-LBPRGKRZSA-N 1 2 292.810 3.543 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1Cl)[N@H+]1CCCC2(CC2)C1 ZINC000186968923 262118907 /nfs/dbraw/zinc/11/89/07/262118907.db2.gz UGUBDENNONMTCK-LBPRGKRZSA-N 1 2 292.810 3.543 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2ccc3ccccc3c2)cc[nH+]1 ZINC000500313339 262143281 /nfs/dbraw/zinc/14/32/81/262143281.db2.gz UITBSGMBDIMCLN-AWEZNQCLSA-N 1 2 290.366 3.707 20 0 CHADLO COC1(CCNc2[nH+]ccc(C)c2Br)CCC1 ZINC000310535328 262163796 /nfs/dbraw/zinc/16/37/96/262163796.db2.gz APCVQPMHEHNLTC-UHFFFAOYSA-N 1 2 299.212 3.524 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2F)[C@@H](c2ccccc2)CO1 ZINC000525781156 262225807 /nfs/dbraw/zinc/22/58/07/262225807.db2.gz ZHUXOPKIVXKJID-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2F)[C@@H](c2ccccc2)CO1 ZINC000525781156 262225808 /nfs/dbraw/zinc/22/58/08/262225808.db2.gz ZHUXOPKIVXKJID-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO Cc1ccc(NCc2cccc3[nH]ccc32)c(C)[nH+]1 ZINC000094664401 262462921 /nfs/dbraw/zinc/46/29/21/262462921.db2.gz LZAKHTREJQGRFB-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO FC(F)[C@@H](Cc1ccccc1)[NH2+][C@@H]1C[C@H]1c1ccco1 ZINC000414353557 262848629 /nfs/dbraw/zinc/84/86/29/262848629.db2.gz VYTQSYCPVWMCAQ-MGPQQGTHSA-N 1 2 277.314 3.602 20 0 CHADLO CC(C)c1cc(N[C@@H](C)c2cn[nH]c2)nc(C(C)C)[nH+]1 ZINC000270466440 262988753 /nfs/dbraw/zinc/98/87/53/262988753.db2.gz FHPYJCLGCUKFTA-NSHDSACASA-N 1 2 273.384 3.620 20 0 CHADLO Cc1nonc1C[NH2+][C@H](c1ccc(Cl)cc1F)C(C)C ZINC000272847907 263009368 /nfs/dbraw/zinc/00/93/68/263009368.db2.gz JSRZZYOAMUQNDS-AWEZNQCLSA-N 1 2 297.761 3.657 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H]2CC(C)(C)Cc3occc32)s1 ZINC000274274999 263022699 /nfs/dbraw/zinc/02/26/99/263022699.db2.gz XGRFYDHWVOXQGK-CABZTGNLSA-N 1 2 291.420 3.804 20 0 CHADLO Cc1nc2ccccn2c1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414846771 263063023 /nfs/dbraw/zinc/06/30/23/263063023.db2.gz HUKAVLNSRPHBOX-UHFFFAOYSA-N 1 2 292.386 3.613 20 0 CHADLO CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)c1ccccc1C ZINC000281878496 263072645 /nfs/dbraw/zinc/07/26/45/263072645.db2.gz RYGYCUDHPPNQEC-MRXNPFEDSA-N 1 2 297.402 3.579 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccc1F)c1c(F)cncc1F ZINC000285496989 263093381 /nfs/dbraw/zinc/09/33/81/263093381.db2.gz MWJLTIFNDDOIAQ-VHSXEESVSA-N 1 2 280.293 3.911 20 0 CHADLO COc1cccnc1C[NH2+][C@@H](C)c1csc(Cl)c1 ZINC000453363178 263253193 /nfs/dbraw/zinc/25/31/93/263253193.db2.gz MHXQTSWHSAFUQX-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CC(C)c1nc(N2CCCc3ccccc3C2)cc[nH+]1 ZINC000171939638 335085699 /nfs/dbraw/zinc/08/56/99/335085699.db2.gz WXGXWZPBRMXMCX-UHFFFAOYSA-N 1 2 267.376 3.553 20 0 CHADLO C[C@H]1OCCN(c2cc[nH+]c3c(Cl)cccc23)[C@@H]1C ZINC000342635449 263457738 /nfs/dbraw/zinc/45/77/38/263457738.db2.gz LICYGWBWYLDAKJ-GHMZBOCLSA-N 1 2 276.767 3.502 20 0 CHADLO CN(Cc1nccs1)c1cc[nH+]c2c(Cl)cccc12 ZINC000342843021 263528429 /nfs/dbraw/zinc/52/84/29/263528429.db2.gz DCFWPCXNHJXNSW-UHFFFAOYSA-N 1 2 289.791 3.981 20 0 CHADLO CC(C)COc1cccc(C[N@H+](C)Cc2nccs2)c1 ZINC000342944962 263551390 /nfs/dbraw/zinc/55/13/90/263551390.db2.gz WEBMXWMLGUQNMJ-UHFFFAOYSA-N 1 2 290.432 3.810 20 0 CHADLO CC(C)COc1cccc(C[N@@H+](C)Cc2nccs2)c1 ZINC000342944962 263551393 /nfs/dbraw/zinc/55/13/93/263551393.db2.gz WEBMXWMLGUQNMJ-UHFFFAOYSA-N 1 2 290.432 3.810 20 0 CHADLO C[C@@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1cncs1 ZINC000343076656 263577752 /nfs/dbraw/zinc/57/77/52/263577752.db2.gz BRVKUADKKUHBQH-SSDOTTSWSA-N 1 2 273.283 3.730 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCc2cccc(Cl)c2C1 ZINC000425340870 264007931 /nfs/dbraw/zinc/00/79/31/264007931.db2.gz BRAJQEOHNXZCER-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCc2c(Cl)cccc2C1 ZINC000425340504 264007975 /nfs/dbraw/zinc/00/79/75/264007975.db2.gz VTRGOOGGIONFQE-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1cncc1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000425340504 264007978 /nfs/dbraw/zinc/00/79/78/264007978.db2.gz VTRGOOGGIONFQE-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1cncc1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC000425340504 264007980 /nfs/dbraw/zinc/00/79/80/264007980.db2.gz VTRGOOGGIONFQE-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cnc(C(C)(C)C)s2)n1 ZINC000353751939 264066523 /nfs/dbraw/zinc/06/65/23/264066523.db2.gz ZQWJOCXCJHQTQG-UHFFFAOYSA-N 1 2 289.448 3.776 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cnc(C(C)(C)C)s2)n1 ZINC000353751939 264066527 /nfs/dbraw/zinc/06/65/27/264066527.db2.gz ZQWJOCXCJHQTQG-UHFFFAOYSA-N 1 2 289.448 3.776 20 0 CHADLO CC[C@H](OC1CCCCC1)C(=O)Nc1c(C)cc[nH+]c1C ZINC000331169500 264145845 /nfs/dbraw/zinc/14/58/45/264145845.db2.gz ZEZYJXLREGTRJK-HNNXBMFYSA-N 1 2 290.407 3.765 20 0 CHADLO Fc1ccc(C[NH+]2CC(CC(F)F)C2)cc1Cl ZINC000425367795 264212906 /nfs/dbraw/zinc/21/29/06/264212906.db2.gz USWHAYMALICNCB-UHFFFAOYSA-N 1 2 263.690 3.566 20 0 CHADLO FC(F)CC1C[NH+](Cc2sccc2Br)C1 ZINC000425368473 264213520 /nfs/dbraw/zinc/21/35/20/264213520.db2.gz WYGVVUSEYXZTSJ-UHFFFAOYSA-N 1 2 296.180 3.598 20 0 CHADLO Cc1cc(NC[C@]2(C)CCCS2)nc(C(C)C)[nH+]1 ZINC000126399287 264219030 /nfs/dbraw/zinc/21/90/30/264219030.db2.gz OQXYXLHACYMAJT-AWEZNQCLSA-N 1 2 265.426 3.606 20 0 CHADLO Cc1cc(F)c(C[NH+]2CC3(CCC3(F)F)C2)c(F)c1 ZINC000425382915 264219040 /nfs/dbraw/zinc/21/90/40/264219040.db2.gz ONGIKWSTOULEOI-UHFFFAOYSA-N 1 2 273.273 3.504 20 0 CHADLO C[C@H](NCc1[nH]cc[nH+]1)c1c(Cl)ccc(F)c1Cl ZINC000070939317 264243202 /nfs/dbraw/zinc/24/32/02/264243202.db2.gz GHISLDRONLVXFE-ZETCQYMHSA-N 1 2 288.153 3.706 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nc(C(F)F)no2)s1 ZINC000425397672 264276595 /nfs/dbraw/zinc/27/65/95/264276595.db2.gz BAIJGJGGIWMBDZ-SECBINFHSA-N 1 2 299.346 3.714 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nc(C(F)F)no2)s1 ZINC000425397672 264276598 /nfs/dbraw/zinc/27/65/98/264276598.db2.gz BAIJGJGGIWMBDZ-SECBINFHSA-N 1 2 299.346 3.714 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nc(C(F)F)no2)c(C)c1 ZINC000425405058 264283118 /nfs/dbraw/zinc/28/31/18/264283118.db2.gz KSVOVAOGHTWZNO-NSHDSACASA-N 1 2 295.333 3.817 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nc(C(F)F)no2)c(C)c1 ZINC000425405058 264283121 /nfs/dbraw/zinc/28/31/21/264283121.db2.gz KSVOVAOGHTWZNO-NSHDSACASA-N 1 2 295.333 3.817 20 0 CHADLO CCSCc1cc[nH+]c(NC2CC(OC(C)C)C2)c1 ZINC000189553795 264307032 /nfs/dbraw/zinc/30/70/32/264307032.db2.gz ZHXJFPVANRFFAS-UHFFFAOYSA-N 1 2 280.437 3.703 20 0 CHADLO FC(F)c1noc(C[N@@H+]2CCCC[C@@H]2c2ccccc2)n1 ZINC000425430422 264310307 /nfs/dbraw/zinc/31/03/07/264310307.db2.gz FMPXVQFCNAIATO-GFCCVEGCSA-N 1 2 293.317 3.734 20 0 CHADLO FC(F)c1noc(C[N@H+]2CCCC[C@@H]2c2ccccc2)n1 ZINC000425430422 264310309 /nfs/dbraw/zinc/31/03/09/264310309.db2.gz FMPXVQFCNAIATO-GFCCVEGCSA-N 1 2 293.317 3.734 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1cc2ccccc2o1 ZINC000425435494 264316779 /nfs/dbraw/zinc/31/67/79/264316779.db2.gz STYLWQQVIZHMDZ-MRVPVSSYSA-N 1 2 293.273 3.604 20 0 CHADLO C[C@H]1CCC[C@H](C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000162609393 264326350 /nfs/dbraw/zinc/32/63/50/264326350.db2.gz QPZBEFIQKBKKSK-JSGCOSHPSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@@H]1CCC[C@@H](C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000162609540 264326532 /nfs/dbraw/zinc/32/65/32/264326532.db2.gz QPZBEFIQKBKKSK-TZMCWYRMSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@@H]1C[C@H](C(C)(C)C)CC[N@@H+]1Cc1nc(C(F)F)no1 ZINC000425460779 264332758 /nfs/dbraw/zinc/33/27/58/264332758.db2.gz SXHOEBKGPDFOGN-NXEZZACHSA-N 1 2 287.354 3.654 20 0 CHADLO C[C@@H]1C[C@H](C(C)(C)C)CC[N@H+]1Cc1nc(C(F)F)no1 ZINC000425460779 264332759 /nfs/dbraw/zinc/33/27/59/264332759.db2.gz SXHOEBKGPDFOGN-NXEZZACHSA-N 1 2 287.354 3.654 20 0 CHADLO Cc1cc(C)c(NC(=O)NCc2c[nH+]c(C)cc2C)c(C)c1 ZINC000334500871 264333177 /nfs/dbraw/zinc/33/31/77/264333177.db2.gz XGXHWLHRLIWCNA-UHFFFAOYSA-N 1 2 297.402 3.945 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C(C)(C)C)nn1)c1ccccc1Cl ZINC000189939585 264338079 /nfs/dbraw/zinc/33/80/79/264338079.db2.gz RNORRCPWMNRZTO-NSHDSACASA-N 1 2 292.814 3.537 20 0 CHADLO CC[N@@H+](Cc1c[nH]c2ccccc12)Cc1noc(C(C)C)n1 ZINC000190460990 264363311 /nfs/dbraw/zinc/36/33/11/264363311.db2.gz XMNOMUDDWFOHDT-UHFFFAOYSA-N 1 2 298.390 3.696 20 0 CHADLO CC[N@H+](Cc1c[nH]c2ccccc12)Cc1noc(C(C)C)n1 ZINC000190460990 264363312 /nfs/dbraw/zinc/36/33/12/264363312.db2.gz XMNOMUDDWFOHDT-UHFFFAOYSA-N 1 2 298.390 3.696 20 0 CHADLO Cc1cn2cc(NC(=O)[C@@H](C)c3ccccc3F)ccc2[nH+]1 ZINC000343288258 264368453 /nfs/dbraw/zinc/36/84/53/264368453.db2.gz IDMPGXWYZRWKIE-LBPRGKRZSA-N 1 2 297.333 3.524 20 0 CHADLO CCC1(C)CCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000344482732 265060526 /nfs/dbraw/zinc/06/05/26/265060526.db2.gz GTBGMNYCEJOJAT-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO CC(C)Cc1noc([C@H](c2ccc(Cl)cc2)[NH+](C)C)n1 ZINC000518999881 265292638 /nfs/dbraw/zinc/29/26/38/265292638.db2.gz NCOLINKGBWUTCZ-AWEZNQCLSA-N 1 2 293.798 3.573 20 0 CHADLO Cc1cccc(CCSCCCOCC(F)(F)F)[nH+]1 ZINC000519155727 265334538 /nfs/dbraw/zinc/33/45/38/265334538.db2.gz ORZUOWSSAUAVGZ-UHFFFAOYSA-N 1 2 293.354 3.635 20 0 CHADLO CCC(C)(C)c1ccc(CN2CCn3cc[nH+]c3C2)cc1 ZINC000352130301 265936589 /nfs/dbraw/zinc/93/65/89/265936589.db2.gz GJIHLGWCWQFOMI-UHFFFAOYSA-N 1 2 283.419 3.587 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@H]2/C=C/c2ccccc2)o1 ZINC000356346735 266099130 /nfs/dbraw/zinc/09/91/30/266099130.db2.gz NWXQEJWNTUBGFA-OFAQMXQXSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@H]2/C=C/c2ccccc2)o1 ZINC000356346735 266099136 /nfs/dbraw/zinc/09/91/36/266099136.db2.gz NWXQEJWNTUBGFA-OFAQMXQXSA-N 1 2 297.402 3.871 20 0 CHADLO C[C@@H]([NH2+]Cc1ncoc1-c1ccccc1)c1ccc(F)cn1 ZINC000356694126 266160794 /nfs/dbraw/zinc/16/07/94/266160794.db2.gz JFEVMJAUVLGKSN-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)[C@@H]2CCCOC2)c1 ZINC000356865917 266197104 /nfs/dbraw/zinc/19/71/04/266197104.db2.gz DRLSLXLKAWKHGV-GXTWGEPZSA-N 1 2 280.437 3.562 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(Cl)c(Cl)c2)n1 ZINC000356887942 266205939 /nfs/dbraw/zinc/20/59/39/266205939.db2.gz BYHSQBWQJZWHQT-SSDOTTSWSA-N 1 2 286.162 3.536 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(-c3ccc(C)cc3)o2)n1 ZINC000356919933 266211007 /nfs/dbraw/zinc/21/10/07/266211007.db2.gz OLBLDXRWJDKEDK-GFCCVEGCSA-N 1 2 297.358 3.797 20 0 CHADLO CCOc1ccccc1C[N@H+](C)[C@H](C)c1ncc(C)o1 ZINC000356954664 266217038 /nfs/dbraw/zinc/21/70/38/266217038.db2.gz APVYVYOIWWCTHQ-CYBMUJFWSA-N 1 2 274.364 3.575 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)[C@H](C)c1ncc(C)o1 ZINC000356954664 266217041 /nfs/dbraw/zinc/21/70/41/266217041.db2.gz APVYVYOIWWCTHQ-CYBMUJFWSA-N 1 2 274.364 3.575 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N[C@@H]3CCC[C@H](C)CC3)cc2n1C ZINC000356967042 266220491 /nfs/dbraw/zinc/22/04/91/266220491.db2.gz HAAJMJIMJIKQMU-SWLSCSKDSA-N 1 2 299.418 3.580 20 0 CHADLO CCC1CCC([N@H+](C)Cc2ncn(-c3ccccc3)n2)CC1 ZINC000357001876 266228672 /nfs/dbraw/zinc/22/86/72/266228672.db2.gz FRYKXDHXXHEQRP-UHFFFAOYSA-N 1 2 298.434 3.668 20 0 CHADLO CCC1CCC([N@@H+](C)Cc2ncn(-c3ccccc3)n2)CC1 ZINC000357001876 266228675 /nfs/dbraw/zinc/22/86/75/266228675.db2.gz FRYKXDHXXHEQRP-UHFFFAOYSA-N 1 2 298.434 3.668 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1nccn1-c1ccccc1 ZINC000361502944 266834905 /nfs/dbraw/zinc/83/49/05/266834905.db2.gz ZYMQNGQXJXLZEZ-UHFFFAOYSA-N 1 2 295.386 3.876 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1nccn1-c1ccccc1 ZINC000361502944 266834907 /nfs/dbraw/zinc/83/49/07/266834907.db2.gz ZYMQNGQXJXLZEZ-UHFFFAOYSA-N 1 2 295.386 3.876 20 0 CHADLO CCCCOC1CC[NH+]([C@H](C)c2nc(C(C)C)no2)CC1 ZINC000362452662 266989274 /nfs/dbraw/zinc/98/92/74/266989274.db2.gz VQHIPWXNMVSFNA-CYBMUJFWSA-N 1 2 295.427 3.535 20 0 CHADLO Fc1cccc(F)c1C1CC[NH+](Cc2nccs2)CC1 ZINC000362528908 267001495 /nfs/dbraw/zinc/00/14/95/267001495.db2.gz PDFQWIOESRLOAI-UHFFFAOYSA-N 1 2 294.370 3.801 20 0 CHADLO Cc1ccc(C(=O)NCC(C)C)cc1Oc1cc[nH+]cc1 ZINC000114998861 267036149 /nfs/dbraw/zinc/03/61/49/267036149.db2.gz GXHUWBIEKHPLEI-UHFFFAOYSA-N 1 2 284.359 3.568 20 0 CHADLO Clc1cccc([C@H]([NH2+]Cc2ccno2)c2ccccn2)c1 ZINC000363037476 267073736 /nfs/dbraw/zinc/07/37/36/267073736.db2.gz GFTKKRDRSXJADD-INIZCTEOSA-N 1 2 299.761 3.602 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367535014 267097447 /nfs/dbraw/zinc/09/74/47/267097447.db2.gz ZTCLWUCCFPYWER-HZPDHXFCSA-N 1 2 299.418 3.927 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2ccccn2)c2ccccn2)cc1 ZINC000369290540 267232094 /nfs/dbraw/zinc/23/20/94/267232094.db2.gz OGFSXBQJVCQLEW-LJQANCHMSA-N 1 2 289.382 3.664 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CSc3ccccc32)c(C)[nH+]1 ZINC000118202963 267244277 /nfs/dbraw/zinc/24/42/77/267244277.db2.gz PSWYKPITVKGOIG-CQSZACIVSA-N 1 2 298.411 3.835 20 0 CHADLO CC[C@H](C)CC(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000369528770 267249752 /nfs/dbraw/zinc/24/97/52/267249752.db2.gz VGTZOPZKAKKBTP-NSHDSACASA-N 1 2 271.364 3.760 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)Cc2ccccc2)c(C)[nH+]1 ZINC000119040393 267294234 /nfs/dbraw/zinc/29/42/34/267294234.db2.gz LWSXKAYJCFJMJK-GFCCVEGCSA-N 1 2 268.360 3.516 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2cccs2)n1)c1cccnc1 ZINC000119092914 267295769 /nfs/dbraw/zinc/29/57/69/267295769.db2.gz RSHRFXJJTMQBJF-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO CSc1ccsc1C(=O)Nc1ccc(C)[nH+]c1C ZINC000119267585 267313604 /nfs/dbraw/zinc/31/36/04/267313604.db2.gz VTNDCEZCCFMWML-UHFFFAOYSA-N 1 2 278.402 3.734 20 0 CHADLO CCC[C@@H](C)[C@@H]1CCCN1C(=O)Nc1cc[nH+]c(C)c1 ZINC000119321145 267321536 /nfs/dbraw/zinc/32/15/36/267321536.db2.gz OLAPUOXRQITHST-DOMZBBRYSA-N 1 2 275.396 3.823 20 0 CHADLO C[C@@H](N[C@H](c1[nH+]ccn1C)c1ccccc1F)c1ccco1 ZINC000370670631 267336595 /nfs/dbraw/zinc/33/65/95/267336595.db2.gz FOPQJJMBAKHUIB-WBMJQRKESA-N 1 2 299.349 3.592 20 0 CHADLO c1csc(C[NH+](Cc2cc[nH]n2)Cc2cccs2)c1 ZINC000120090854 267356852 /nfs/dbraw/zinc/35/68/52/267356852.db2.gz HYOXOHIGZXXXHK-UHFFFAOYSA-N 1 2 289.429 3.735 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2ncc(C3CC3)o2)n1 ZINC000371184091 267361302 /nfs/dbraw/zinc/36/13/02/267361302.db2.gz MCYIFSUHXVNIQD-HNNXBMFYSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2ncc(C3CC3)o2)n1 ZINC000371184091 267361305 /nfs/dbraw/zinc/36/13/05/267361305.db2.gz MCYIFSUHXVNIQD-HNNXBMFYSA-N 1 2 283.375 3.593 20 0 CHADLO c1nc([C@@H]2CCC[N@H+]2Cc2cc3ccccc3s2)no1 ZINC000371373759 267396001 /nfs/dbraw/zinc/39/60/01/267396001.db2.gz NXCOGAFVGWLIBK-ZDUSSCGKSA-N 1 2 285.372 3.621 20 0 CHADLO c1nc([C@@H]2CCC[N@@H+]2Cc2cc3ccccc3s2)no1 ZINC000371373759 267396002 /nfs/dbraw/zinc/39/60/02/267396002.db2.gz NXCOGAFVGWLIBK-ZDUSSCGKSA-N 1 2 285.372 3.621 20 0 CHADLO CC[C@H]([NH2+]Cc1occc1C)c1nc(C)cs1 ZINC000266166640 522672526 /nfs/dbraw/zinc/67/25/26/522672526.db2.gz AUASINKXCIITOQ-NSHDSACASA-N 1 2 250.367 3.594 20 0 CHADLO c1csc([C@@H]2CCC[N@H+]2Cc2nc3ccccc3o2)n1 ZINC000377199059 268055915 /nfs/dbraw/zinc/05/59/15/268055915.db2.gz WHGHYHKYPZNCCL-LBPRGKRZSA-N 1 2 285.372 3.621 20 0 CHADLO c1csc([C@@H]2CCC[N@@H+]2Cc2nc3ccccc3o2)n1 ZINC000377199059 268055917 /nfs/dbraw/zinc/05/59/17/268055917.db2.gz WHGHYHKYPZNCCL-LBPRGKRZSA-N 1 2 285.372 3.621 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]C/C(Cl)=C/Cl ZINC000088162747 524776028 /nfs/dbraw/zinc/77/60/28/524776028.db2.gz KRGHKSZDZHLKBD-CBFJXKFUSA-N 1 2 260.164 3.665 20 0 CHADLO COc1cc[nH+]cc1CSc1ccc(N)cc1Cl ZINC000336785853 328833059 /nfs/dbraw/zinc/83/30/59/328833059.db2.gz AQNOVPNTADDNNV-UHFFFAOYSA-N 1 2 280.780 3.618 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@@H](C)C1CCC1 ZINC000182598541 327750001 /nfs/dbraw/zinc/75/00/01/327750001.db2.gz YZSMTXAFYZVNLJ-ZDUSSCGKSA-N 1 2 263.381 3.621 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]CC(C)(F)F)c2ccsc2S1 ZINC000378726987 327753564 /nfs/dbraw/zinc/75/35/64/327753564.db2.gz JCFAEIRVBIPSFP-IONNQARKSA-N 1 2 263.378 3.918 20 0 CHADLO Fc1ccc([C@@H](CC(F)(F)F)[NH2+]Cc2ccon2)cc1 ZINC000180565728 327806104 /nfs/dbraw/zinc/80/61/04/327806104.db2.gz ZAZKSVRRAMBLPG-GFCCVEGCSA-N 1 2 288.244 3.597 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cscc1C(F)(F)F ZINC000382278398 327857732 /nfs/dbraw/zinc/85/77/32/327857732.db2.gz ILZBNEDYGNTNAX-UHFFFAOYSA-N 1 2 259.243 3.512 20 0 CHADLO Cc1cccc(C[NH2+]Cc2ccsc2Br)n1 ZINC000388389131 327877320 /nfs/dbraw/zinc/87/73/20/327877320.db2.gz AUWHKSDEEZWQCX-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO Cc1cc(Br)ccc1C[NH2+]C1(C(F)F)CC1 ZINC000390535443 327877728 /nfs/dbraw/zinc/87/77/28/327877728.db2.gz JZSQIXDTMOQHFX-UHFFFAOYSA-N 1 2 290.151 3.645 20 0 CHADLO c1ccc2[nH+]c(NCc3cccc4c3OCO4)ccc2c1 ZINC000040444156 327907219 /nfs/dbraw/zinc/90/72/19/327907219.db2.gz WPROTMVLZDFCAC-UHFFFAOYSA-N 1 2 278.311 3.576 20 0 CHADLO CCS[C@H](C)c1noc(C[NH+]2CCC(CC)CC2)n1 ZINC000336802925 327946151 /nfs/dbraw/zinc/94/61/51/327946151.db2.gz WLFRNFZXOLZUCM-LLVKDONJSA-N 1 2 283.441 3.506 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nccn2C(F)F)c(Cl)c1 ZINC000563252452 328000947 /nfs/dbraw/zinc/00/09/47/328000947.db2.gz QRDXKZLELOZFRL-UHFFFAOYSA-N 1 2 285.725 3.530 20 0 CHADLO CC(C)CC[C@@H]1CCC[C@H]1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000567996265 328001759 /nfs/dbraw/zinc/00/17/59/328001759.db2.gz GEBHDVJQENFINP-OWCLPIDISA-N 1 2 291.439 3.555 20 0 CHADLO c1nc(-c2ccccc2)[nH]c1CNc1cccc(C2CC2)[nH+]1 ZINC000339430470 328010578 /nfs/dbraw/zinc/01/05/78/328010578.db2.gz XVPCYVCNNSDGTE-UHFFFAOYSA-N 1 2 290.370 3.961 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](c2nccn2C)c2ccccc2)o1 ZINC000531688302 328024189 /nfs/dbraw/zinc/02/41/89/328024189.db2.gz JRAYANIHNUPONN-PBHICJAKSA-N 1 2 295.386 3.762 20 0 CHADLO COC(C)(C)CN(C)c1cc(C)[nH+]c2c(F)cccc12 ZINC000531706086 328026907 /nfs/dbraw/zinc/02/69/07/328026907.db2.gz MVUKRDZNIQGDMJ-UHFFFAOYSA-N 1 2 276.355 3.544 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC2(C1)CCCCC2 ZINC000534244201 328041617 /nfs/dbraw/zinc/04/16/17/328041617.db2.gz WSQIHDSRLAQQCM-UHFFFAOYSA-N 1 2 269.392 3.734 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@@H]2CC(C)(C)C)c(C)c[nH+]1 ZINC000534324163 328045667 /nfs/dbraw/zinc/04/56/67/328045667.db2.gz UZZFCWUGOJFNIF-CQSZACIVSA-N 1 2 289.423 3.553 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2ccc(C)cc2)nc(C)[nH+]1 ZINC000541079072 328048332 /nfs/dbraw/zinc/04/83/32/328048332.db2.gz XWDIOACAAQTLNV-QGZVFWFLSA-N 1 2 281.403 3.997 20 0 CHADLO C/C=C\c1ccc(NCc2cn3ccccc3[nH+]2)cc1 ZINC000563649397 328081115 /nfs/dbraw/zinc/08/11/15/328081115.db2.gz HPCSBBGEAADMSJ-DJWKRKHSSA-N 1 2 263.344 3.980 20 0 CHADLO CCc1ccccc1CNC(=O)Nc1ccc(C)[nH+]c1C ZINC000152240229 328082391 /nfs/dbraw/zinc/08/23/91/328082391.db2.gz MNGFHOFODUNIEB-UHFFFAOYSA-N 1 2 283.375 3.583 20 0 CHADLO Cc1cc(N2C[C@H](c3ccccc3)C3(CCC3)C2)nc[nH+]1 ZINC000413526775 328148596 /nfs/dbraw/zinc/14/85/96/328148596.db2.gz CUZIYIKZAWPZNK-MRXNPFEDSA-N 1 2 279.387 3.559 20 0 CHADLO CCc1ncc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000414367769 328156189 /nfs/dbraw/zinc/15/61/89/328156189.db2.gz FJXUEYZKNGOKNH-LLVKDONJSA-N 1 2 291.345 3.510 20 0 CHADLO CCc1ncc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000414367769 328156190 /nfs/dbraw/zinc/15/61/90/328156190.db2.gz FJXUEYZKNGOKNH-LLVKDONJSA-N 1 2 291.345 3.510 20 0 CHADLO c1cc2[nH+]ccc(N[C@@H]3CCOC4(CCCCC4)C3)c2cn1 ZINC000268970573 328187789 /nfs/dbraw/zinc/18/77/89/328187789.db2.gz ODYBBAIFRYORGL-CQSZACIVSA-N 1 2 297.402 3.924 20 0 CHADLO Cc1nn(C)c(Cl)c1C[NH2+][C@@H](C)c1ccc(F)cc1F ZINC000271810758 328197657 /nfs/dbraw/zinc/19/76/57/328197657.db2.gz QUWRMQGGVNIFAP-QMMMGPOBSA-N 1 2 299.752 3.511 20 0 CHADLO CCc1[nH+]c2ccccc2n1Cc1noc(C(C)(C)CC)n1 ZINC000273969690 328207703 /nfs/dbraw/zinc/20/77/03/328207703.db2.gz JIVLPUYFNRLLTR-UHFFFAOYSA-N 1 2 298.390 3.718 20 0 CHADLO COc1cc(C[NH2+]CC(C)(F)F)cc2ccccc21 ZINC000583480777 328213533 /nfs/dbraw/zinc/21/35/33/328213533.db2.gz CFQANJZSGODIOB-UHFFFAOYSA-N 1 2 265.303 3.593 20 0 CHADLO CC[C@H](C)[C@@H](C)[NH2+][C@H](C(=O)OC)c1cccc(Cl)c1 ZINC000506252585 332767156 /nfs/dbraw/zinc/76/71/56/332767156.db2.gz DPPOASUTBXOZJC-WDMOLILDSA-N 1 2 283.799 3.578 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC3CCSCC3)cc2)c1C ZINC000291313243 328262988 /nfs/dbraw/zinc/26/29/88/328262988.db2.gz RUHLSQZGNYUGGE-UHFFFAOYSA-N 1 2 287.432 3.797 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CO)c1c(F)cccc1F)c1ccsc1 ZINC000296883323 328292641 /nfs/dbraw/zinc/29/26/41/328292641.db2.gz OGRHTXXAPAISTQ-KGLIPLIRSA-N 1 2 297.370 3.801 20 0 CHADLO CCCCOc1cccc(N[C@@H]2CCn3cc[nH+]c32)c1 ZINC000297053786 328293426 /nfs/dbraw/zinc/29/34/26/328293426.db2.gz VSWXBSDQSJQOEP-OAHLLOKOSA-N 1 2 271.364 3.619 20 0 CHADLO Cc1ccccc1C[NH2+][C@H](c1ncc[nH]1)c1ccc(F)cc1 ZINC000187697188 328301038 /nfs/dbraw/zinc/30/10/38/328301038.db2.gz VNHZFJKJNYLCKT-KRWDZBQOSA-N 1 2 295.361 3.736 20 0 CHADLO COc1cc(C)[nH+]c(CSc2nc3ccccc3o2)c1 ZINC000534519013 328304946 /nfs/dbraw/zinc/30/49/46/328304946.db2.gz RVCTZHYNASRQIN-UHFFFAOYSA-N 1 2 286.356 3.832 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@H]1CC12CCCCC2 ZINC000531909519 328308283 /nfs/dbraw/zinc/30/82/83/328308283.db2.gz DXAXFIHVISEHLS-OAHLLOKOSA-N 1 2 295.386 3.986 20 0 CHADLO CCC[C@H]1C[N@@H+]([C@H](C)c2cc(F)ccc2F)CCO1 ZINC000534667471 328345870 /nfs/dbraw/zinc/34/58/70/328345870.db2.gz PKZQIEKRKICZKA-YPMHNXCESA-N 1 2 269.335 3.527 20 0 CHADLO CCC[C@H]1C[N@H+]([C@H](C)c2cc(F)ccc2F)CCO1 ZINC000534667471 328345872 /nfs/dbraw/zinc/34/58/72/328345872.db2.gz PKZQIEKRKICZKA-YPMHNXCESA-N 1 2 269.335 3.527 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)CC(C)C)cc2[nH+]1 ZINC000049486885 328363808 /nfs/dbraw/zinc/36/38/08/328363808.db2.gz MGLASOBPQOPOHH-UHFFFAOYSA-N 1 2 273.380 3.890 20 0 CHADLO CCOC(=O)[C@@H](CC)[NH2+][C@H](c1ccc(F)cc1)C1CCC1 ZINC000303926874 328369180 /nfs/dbraw/zinc/36/91/80/328369180.db2.gz PWPQHHCXASBRBD-CVEARBPZSA-N 1 2 293.382 3.598 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CC[C@H](Cc2ccccc2)C1 ZINC000534979454 328372952 /nfs/dbraw/zinc/37/29/52/328372952.db2.gz CXKWJIQSJCOZDF-MRXNPFEDSA-N 1 2 291.398 3.642 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+][C@H](C)c1cscn1 ZINC000535064083 328382316 /nfs/dbraw/zinc/38/23/16/328382316.db2.gz GCWCBBJAHYALJO-GFCCVEGCSA-N 1 2 276.405 3.781 20 0 CHADLO CCN(Cc1cc(OC)cc(C)[nH+]1)c1ccc(F)cc1 ZINC000535289193 328438072 /nfs/dbraw/zinc/43/80/72/328438072.db2.gz QYZJFYCBNMUOGA-UHFFFAOYSA-N 1 2 274.339 3.564 20 0 CHADLO Cc1ccc([C@@H](C)NC(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000152296802 328457578 /nfs/dbraw/zinc/45/75/78/328457578.db2.gz QTCKQDDYWOVACS-CYBMUJFWSA-N 1 2 283.375 3.890 20 0 CHADLO COc1ccc(CNc2ccc(C)[nH+]c2C)c(C)c1OC ZINC000352544740 533707065 /nfs/dbraw/zinc/70/70/65/533707065.db2.gz CPSNOBZVHPCCSC-UHFFFAOYSA-N 1 2 286.375 3.636 20 0 CHADLO Cc1csc(C2([NH2+]Cc3occc3C)CCC2)n1 ZINC000344064524 328556010 /nfs/dbraw/zinc/55/60/10/328556010.db2.gz OZHANPVAHBNOSS-UHFFFAOYSA-N 1 2 262.378 3.522 20 0 CHADLO CSc1ccc(NCc2c(C)[nH+]c3ccccn32)cc1 ZINC000037197572 326840905 /nfs/dbraw/zinc/84/09/05/326840905.db2.gz CFFUPRHONMMGMB-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)cc1)c1csnn1 ZINC000398224630 326875353 /nfs/dbraw/zinc/87/53/53/326875353.db2.gz DUGVEHDCRYSMPG-RKDXNWHRSA-N 1 2 267.785 3.603 20 0 CHADLO CCCn1ncc(Nc2cc(CC)[nH+]c(C)n2)c1C1CC1 ZINC000580036081 326920000 /nfs/dbraw/zinc/92/00/00/326920000.db2.gz PXPZGNOLQDLDII-UHFFFAOYSA-N 1 2 285.395 3.575 20 0 CHADLO c1ccc(CC2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000071711559 326920772 /nfs/dbraw/zinc/92/07/72/326920772.db2.gz YOKKJBWBWSEQMH-UHFFFAOYSA-N 1 2 252.361 3.541 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@H]1CCC[C@H]1C1CC1 ZINC000584102294 326955525 /nfs/dbraw/zinc/95/55/25/326955525.db2.gz NQRDWEWLAHIUDW-CABCVRRESA-N 1 2 287.407 3.646 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc(F)c(F)c(F)c1)c1cscn1 ZINC000557262522 326999128 /nfs/dbraw/zinc/99/91/28/326999128.db2.gz MVDUQAMGPTWRTF-JGVFFNPUSA-N 1 2 286.322 3.972 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCS1 ZINC000557558970 327013261 /nfs/dbraw/zinc/01/32/61/327013261.db2.gz NCLSKPHHFXSFMP-SNVBAGLBSA-N 1 2 278.808 3.830 20 0 CHADLO CC(C)[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000557643219 327018611 /nfs/dbraw/zinc/01/86/11/327018611.db2.gz GHERGXYCGSYCGC-HNNXBMFYSA-N 1 2 290.794 3.749 20 0 CHADLO CC(C)c1cc(N2CC(C3CCC3)C2)nc(C(C)C)[nH+]1 ZINC000557694576 327021116 /nfs/dbraw/zinc/02/11/16/327021116.db2.gz GVCNGEJWFDXBLD-UHFFFAOYSA-N 1 2 273.424 3.960 20 0 CHADLO C[C@H]1COCC[C@@H]1CNc1cc[nH+]c2c(Cl)cccc12 ZINC000557721029 327022735 /nfs/dbraw/zinc/02/27/35/327022735.db2.gz ZHGHSJWHCZCJQS-NWDGAFQWSA-N 1 2 290.794 3.973 20 0 CHADLO CCCCCc1ccc(NCc2c[nH+]cn2C)cc1 ZINC000090153538 327031399 /nfs/dbraw/zinc/03/13/99/327031399.db2.gz UPCZSFGADHLMIJ-UHFFFAOYSA-N 1 2 257.381 3.765 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cc(Cl)ccc2F)c1 ZINC000214141937 327034899 /nfs/dbraw/zinc/03/48/99/327034899.db2.gz QIQDJPBJCJWOHC-UHFFFAOYSA-N 1 2 280.730 3.803 20 0 CHADLO C[N@H+](Cc1cc(C(C)(C)C)on1)Cc1ccc(F)cc1 ZINC000584141894 327048052 /nfs/dbraw/zinc/04/80/52/327048052.db2.gz VXEABOJHEGSYFI-UHFFFAOYSA-N 1 2 276.355 3.743 20 0 CHADLO C[N@@H+](Cc1cc(C(C)(C)C)on1)Cc1ccc(F)cc1 ZINC000584141894 327048053 /nfs/dbraw/zinc/04/80/53/327048053.db2.gz VXEABOJHEGSYFI-UHFFFAOYSA-N 1 2 276.355 3.743 20 0 CHADLO CC[C@@H]1CC[C@@H]1[NH2+][C@@H](C(=O)OC)c1ccc2ccccc2c1 ZINC000584139825 327048652 /nfs/dbraw/zinc/04/86/52/327048652.db2.gz GGHUXRPEGHGHFA-JEBQAFNWSA-N 1 2 297.398 3.832 20 0 CHADLO CC[C@@H]1CC[C@H]1[NH2+][C@@H](C(=O)OC)c1ccc2ccccc2c1 ZINC000584139824 327048697 /nfs/dbraw/zinc/04/86/97/327048697.db2.gz GGHUXRPEGHGHFA-FSPWUOQZSA-N 1 2 297.398 3.832 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(C)c(C)c1)C(=O)OC(C)(C)C ZINC000558202633 327078579 /nfs/dbraw/zinc/07/85/79/327078579.db2.gz HVFGGPLOSQQXAZ-OAHLLOKOSA-N 1 2 277.408 3.513 20 0 CHADLO COc1ccc(CCNc2cc(C(F)(F)F)cc[nH+]2)cc1 ZINC000090802806 327100456 /nfs/dbraw/zinc/10/04/56/327100456.db2.gz ACGRSBFEMVFBJI-UHFFFAOYSA-N 1 2 296.292 3.764 20 0 CHADLO Fc1ccccc1CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000090802847 327100461 /nfs/dbraw/zinc/10/04/61/327100461.db2.gz MNYVYVOUKACYSX-UHFFFAOYSA-N 1 2 270.229 3.852 20 0 CHADLO C[C@H](CSc1[nH+]cnc2c1cnn2C)C1CCCCC1 ZINC000558828566 327123075 /nfs/dbraw/zinc/12/30/75/327123075.db2.gz QCYXYWDKFQHUBG-LLVKDONJSA-N 1 2 290.436 3.672 20 0 CHADLO Cc1cnc(C[N@@H+]2CCSC[C@@H]2c2ccc(C)cc2)o1 ZINC000075747053 327127650 /nfs/dbraw/zinc/12/76/50/327127650.db2.gz LHOTXOQWUGMJNW-OAHLLOKOSA-N 1 2 288.416 3.582 20 0 CHADLO Cc1cnc(C[N@H+]2CCSC[C@@H]2c2ccc(C)cc2)o1 ZINC000075747053 327127652 /nfs/dbraw/zinc/12/76/52/327127652.db2.gz LHOTXOQWUGMJNW-OAHLLOKOSA-N 1 2 288.416 3.582 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1csnn1)c1cccc(Cl)c1 ZINC000398316586 327135226 /nfs/dbraw/zinc/13/52/26/327135226.db2.gz FRZILQMGRCAVFA-SKDRFNHKSA-N 1 2 281.812 3.993 20 0 CHADLO CC[C@H](c1nc([C@H]2C[C@H]2C)no1)[N@H+](C)Cc1ccccc1 ZINC000569472551 327183230 /nfs/dbraw/zinc/18/32/30/327183230.db2.gz PIBAGMVEGDZGMK-VHDGCEQUSA-N 1 2 285.391 3.776 20 0 CHADLO CC[C@H](c1nc([C@H]2C[C@H]2C)no1)[N@@H+](C)Cc1ccccc1 ZINC000569472551 327183231 /nfs/dbraw/zinc/18/32/31/327183231.db2.gz PIBAGMVEGDZGMK-VHDGCEQUSA-N 1 2 285.391 3.776 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1cccnc1Cl ZINC000092224233 327190282 /nfs/dbraw/zinc/19/02/82/327190282.db2.gz RETDADCKOXGLTP-AWEZNQCLSA-N 1 2 275.783 3.713 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1cccnc1Cl ZINC000092224233 327190283 /nfs/dbraw/zinc/19/02/83/327190283.db2.gz RETDADCKOXGLTP-AWEZNQCLSA-N 1 2 275.783 3.713 20 0 CHADLO CCS[C@@H](C)c1noc(C[NH+]2CCC(C(C)C)CC2)n1 ZINC000133768232 327199699 /nfs/dbraw/zinc/19/96/99/327199699.db2.gz DPENNWMGCBZRAQ-LBPRGKRZSA-N 1 2 297.468 3.752 20 0 CHADLO CCC(CC)[C@H](C)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000536393992 327235129 /nfs/dbraw/zinc/23/51/29/327235129.db2.gz FOZFXYPJSBERCO-NSHDSACASA-N 1 2 287.407 3.893 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2cccc3[nH+]ccn32)c(C)c1 ZINC000536417702 327236948 /nfs/dbraw/zinc/23/69/48/327236948.db2.gz VMBQMNUYOYFGNW-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccsc2)s1 ZINC000358281854 327242587 /nfs/dbraw/zinc/24/25/87/327242587.db2.gz DAHXNYQXHCFAGW-VIFPVBQESA-N 1 2 267.423 3.574 20 0 CHADLO CC[C@H](C)C[C@@H]([NH2+]Cc1ccc(C(F)F)cc1)C(=O)OC ZINC000566248078 327259849 /nfs/dbraw/zinc/25/98/49/327259849.db2.gz KYTPUVHEAULURO-SMDDNHRTSA-N 1 2 299.361 3.692 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]CC(C)(F)F)cc1Cl ZINC000559877907 327267190 /nfs/dbraw/zinc/26/71/90/327267190.db2.gz IVNPQKAPUZJABN-MRVPVSSYSA-N 1 2 263.715 3.654 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2ccccc21)c1nc(C(C)(C)C)no1 ZINC000559900274 327270063 /nfs/dbraw/zinc/27/00/63/327270063.db2.gz KIOHYLRVSKMXQX-RISCZKNCSA-N 1 2 285.391 3.705 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)[C@H](C)c1ccccc1)c1ccccc1 ZINC000531110723 327280749 /nfs/dbraw/zinc/28/07/49/327280749.db2.gz GSDBJZCCCKPVMU-HDMKZQKVSA-N 1 2 297.398 3.683 20 0 CHADLO CCOc1cc(NCc2ccc(N(C)CC)[nH+]c2)ccc1C ZINC000560090797 327283564 /nfs/dbraw/zinc/28/35/64/327283564.db2.gz BBBVNBVDMSNFEX-UHFFFAOYSA-N 1 2 299.418 3.857 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)c(Cl)c2)o1 ZINC000112535083 327286314 /nfs/dbraw/zinc/28/63/14/327286314.db2.gz FUBNHQDNIGQYAW-YUMQZZPRSA-N 1 2 283.734 3.582 20 0 CHADLO Fc1cc(F)cc([C@@]2(F)CC[N@H+](CCC(F)(F)F)C2)c1 ZINC000560170495 327288455 /nfs/dbraw/zinc/28/84/55/327288455.db2.gz NKTJUTBFQAURSX-GFCCVEGCSA-N 1 2 297.242 3.788 20 0 CHADLO Fc1cc(F)cc([C@@]2(F)CC[N@@H+](CCC(F)(F)F)C2)c1 ZINC000560170495 327288456 /nfs/dbraw/zinc/28/84/56/327288456.db2.gz NKTJUTBFQAURSX-GFCCVEGCSA-N 1 2 297.242 3.788 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[N@H+](C)Cc1cccc(F)c1 ZINC000097091421 327291293 /nfs/dbraw/zinc/29/12/93/327291293.db2.gz RRHJDLNVTOBETI-NSHDSACASA-N 1 2 291.370 3.699 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[N@@H+](C)Cc1cccc(F)c1 ZINC000097091421 327291294 /nfs/dbraw/zinc/29/12/94/327291294.db2.gz RRHJDLNVTOBETI-NSHDSACASA-N 1 2 291.370 3.699 20 0 CHADLO CCC[N@H+](Cc1nnc(C(C)C)[nH]1)Cc1ccc(C)cc1 ZINC000574383465 327321874 /nfs/dbraw/zinc/32/18/74/327321874.db2.gz QMIFCCMSHUCVRI-UHFFFAOYSA-N 1 2 286.423 3.649 20 0 CHADLO CCC[N@@H+](Cc1nnc(C(C)C)[nH]1)Cc1ccc(C)cc1 ZINC000574383465 327321875 /nfs/dbraw/zinc/32/18/75/327321875.db2.gz QMIFCCMSHUCVRI-UHFFFAOYSA-N 1 2 286.423 3.649 20 0 CHADLO Cc1c[nH+]c(CCSC[C@@H]2CCO[C@H](C)C2)c(C)c1 ZINC000574400356 327326729 /nfs/dbraw/zinc/32/67/29/327326729.db2.gz PRBPHFFXKJDFNO-HUUCEWRRSA-N 1 2 279.449 3.789 20 0 CHADLO Cc1c[nH+]c(CCSC[C@@H]2CCO[C@@H](C)C2)c(C)c1 ZINC000574400358 327326767 /nfs/dbraw/zinc/32/67/67/327326767.db2.gz PRBPHFFXKJDFNO-LSDHHAIUSA-N 1 2 279.449 3.789 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccc(Cl)cc1)[C@@H]1CCCO1 ZINC000560579508 327327427 /nfs/dbraw/zinc/32/74/27/327327427.db2.gz AVOHULCZUPJSFN-GWCFXTLKSA-N 1 2 289.753 3.589 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)c(Cl)c1 ZINC000560628513 327332542 /nfs/dbraw/zinc/33/25/42/327332542.db2.gz DXFSTGXKDXBHOW-JKSUJKDBSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)c(Cl)c1 ZINC000560628513 327332543 /nfs/dbraw/zinc/33/25/43/327332543.db2.gz DXFSTGXKDXBHOW-JKSUJKDBSA-N 1 2 295.785 3.623 20 0 CHADLO C[C@@H](Nc1cc(N2CCCC2)nc[nH+]1)[C@H](C)c1ccccc1 ZINC000561262428 327380870 /nfs/dbraw/zinc/38/08/70/327380870.db2.gz JXTGADSIPAYLOC-LSDHHAIUSA-N 1 2 296.418 3.681 20 0 CHADLO C[C@@H](Nc1cc(N2CCCC2)[nH+]cn1)[C@H](C)c1ccccc1 ZINC000561262428 327380872 /nfs/dbraw/zinc/38/08/72/327380872.db2.gz JXTGADSIPAYLOC-LSDHHAIUSA-N 1 2 296.418 3.681 20 0 CHADLO COc1cc(C)cc(CNc2[nH+]ccc(C)c2Cl)c1 ZINC000561282622 327382300 /nfs/dbraw/zinc/38/23/00/327382300.db2.gz OGCPGNHFNLEQMS-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO C[C@H]1CCN(c2cc(C(F)(F)F)cc[nH+]2)CC1(F)F ZINC000561336536 327387139 /nfs/dbraw/zinc/38/71/39/327387139.db2.gz UWNJYIANTXPWGF-QMMMGPOBSA-N 1 2 280.240 3.582 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(SC(C)C)cc1)C(=O)OC ZINC000579607663 327395223 /nfs/dbraw/zinc/39/52/23/327395223.db2.gz MVXPAHOSTBEZGF-SWLSCSKDSA-N 1 2 295.448 3.789 20 0 CHADLO CCc1ccc([C@@H]2CCCN(c3cc[nH+]c(C)n3)C2)cc1 ZINC000561448262 327395313 /nfs/dbraw/zinc/39/53/13/327395313.db2.gz FPXUOWCWRNHINZ-QGZVFWFLSA-N 1 2 281.403 3.731 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(/C=C2/CC[C@H](C)C2)n1 ZINC000564414774 327405211 /nfs/dbraw/zinc/40/52/11/327405211.db2.gz YSILMVRVUQEAQX-PBBNAPBQSA-N 1 2 283.375 3.762 20 0 CHADLO CC(C)C[C@@H]([NH2+]Cc1ccn(C(C)C)n1)c1ccccn1 ZINC000566581777 327413691 /nfs/dbraw/zinc/41/36/91/327413691.db2.gz KCPILYAXBIEKRJ-QGZVFWFLSA-N 1 2 286.423 3.736 20 0 CHADLO CCc1cc(N)nc(SCc2ccc(F)c(Cl)c2)[nH+]1 ZINC000562505700 327487008 /nfs/dbraw/zinc/48/70/08/327487008.db2.gz FKHYOSBYJIGLSV-UHFFFAOYSA-N 1 2 297.786 3.536 20 0 CHADLO CC(C)=CCC[C@@H](C)CC(=O)NC(C)(C)c1[nH]cc[nH+]1 ZINC000565108600 327491395 /nfs/dbraw/zinc/49/13/95/327491395.db2.gz FPJSMQCCGGTEDR-CYBMUJFWSA-N 1 2 277.412 3.534 20 0 CHADLO Cc1nn(C)cc1[C@@H](C)[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000172682536 327517897 /nfs/dbraw/zinc/51/78/97/327517897.db2.gz CYYGOHUUGJZGOP-ACJLOTCBSA-N 1 2 295.386 3.762 20 0 CHADLO CCOc1cc(C)ccc1[NH2+][C@@H](C)[C@H]1CCCOC1 ZINC000507487496 332809383 /nfs/dbraw/zinc/80/93/83/332809383.db2.gz WQYSFJJZCPIKOU-KBPBESRZSA-N 1 2 263.381 3.621 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)c2ccccc2n1)c1ccncc1 ZINC000570319202 327583214 /nfs/dbraw/zinc/58/32/14/327583214.db2.gz QUWUAKQGKVLCJY-MRXNPFEDSA-N 1 2 292.386 3.574 20 0 CHADLO Cc1cccn2cc(CSCc3nccs3)[nH+]c12 ZINC000161700125 327609897 /nfs/dbraw/zinc/60/98/97/327609897.db2.gz PAARJGNHVCQVEQ-UHFFFAOYSA-N 1 2 275.402 3.533 20 0 CHADLO CC(C)N(C)c1ccccc1C[NH2+][C@H](C)c1cscn1 ZINC000527349747 328661887 /nfs/dbraw/zinc/66/18/87/328661887.db2.gz XEPHRRAETOKYNE-CYBMUJFWSA-N 1 2 289.448 3.839 20 0 CHADLO Cc1cc(NC(=O)Cc2ccc(C(C)(C)C)cc2)c(C)c[nH+]1 ZINC000536574855 328666982 /nfs/dbraw/zinc/66/69/82/328666982.db2.gz OBNKBWPURXADFS-UHFFFAOYSA-N 1 2 296.414 3.599 20 0 CHADLO CC[C@H](NC(=O)Nc1cc(C)[nH+]cc1C)c1ccc(C)cc1 ZINC000536618119 328670389 /nfs/dbraw/zinc/67/03/89/328670389.db2.gz HIJIJMGFCKLFBA-INIZCTEOSA-N 1 2 297.402 3.702 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cccc4c3ccn4C)cn2c1 ZINC000532115852 328699432 /nfs/dbraw/zinc/69/94/32/328699432.db2.gz JHWFWODHAMULLW-UHFFFAOYSA-N 1 2 290.370 3.747 20 0 CHADLO Cc1ccc(NCc2cn3cc(C)ccc3[nH+]2)c(C)c1 ZINC000102677717 328711031 /nfs/dbraw/zinc/71/10/31/328711031.db2.gz KKUAZSOWGPLBKG-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO Fc1ccccc1CC1CCN(c2cccc[nH+]2)CC1 ZINC000532289607 328713182 /nfs/dbraw/zinc/71/31/82/328713182.db2.gz MHLXROAUIFMBFG-UHFFFAOYSA-N 1 2 270.351 3.680 20 0 CHADLO CCOC(C)(C)CNc1cc(C)[nH+]c2c(F)cccc12 ZINC000302494969 328832120 /nfs/dbraw/zinc/83/21/20/328832120.db2.gz LTSAQNYLYVNWCR-UHFFFAOYSA-N 1 2 276.355 3.909 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(OC(F)F)cc1 ZINC000389456283 328846988 /nfs/dbraw/zinc/84/69/88/328846988.db2.gz ADNGQIXVRKJAET-BDAKNGLRSA-N 1 2 279.277 3.982 20 0 CHADLO COc1cc(C[NH2+][C@H](C)C(C)(F)F)ccc1OC(C)C ZINC000389471212 328849967 /nfs/dbraw/zinc/84/99/67/328849967.db2.gz QZAKKGSGTNJMCW-LLVKDONJSA-N 1 2 287.350 3.616 20 0 CHADLO C[C@@H]1C[C@@H](C(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)CC(C)(C)C1 ZINC000357530009 328872142 /nfs/dbraw/zinc/87/21/42/328872142.db2.gz XKSZBWGTHXVZSE-MCIONIFRSA-N 1 2 289.423 3.536 20 0 CHADLO F[C@H]1CCCN(c2[nH+]cccc2OCc2ccccc2)C1 ZINC000357693582 328874275 /nfs/dbraw/zinc/87/42/75/328874275.db2.gz SRCQACRMHBTWGM-HNNXBMFYSA-N 1 2 286.350 3.599 20 0 CHADLO CCCCn1cc(C[NH2+][C@H](C)c2csc(C)n2)c(C)n1 ZINC000359321525 328897104 /nfs/dbraw/zinc/89/71/04/328897104.db2.gz GKCXVGFLYOCDBW-GFCCVEGCSA-N 1 2 292.452 3.607 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2cc3cc(C)c(C)cc3o2)n1 ZINC000361610813 328926409 /nfs/dbraw/zinc/92/64/09/328926409.db2.gz OHQKBGXCKZYMBT-LLVKDONJSA-N 1 2 285.347 3.592 20 0 CHADLO Fc1ccc(/C=C/C[N@@H+]2CCC[C@H]2c2ncc[nH]2)cc1F ZINC000377629982 329021965 /nfs/dbraw/zinc/02/19/65/329021965.db2.gz UGHDLOVWSCYTJH-KZTTYJGESA-N 1 2 289.329 3.538 20 0 CHADLO Fc1ccc(/C=C/C[N@H+]2CCC[C@H]2c2ncc[nH]2)cc1F ZINC000377629982 329021966 /nfs/dbraw/zinc/02/19/66/329021966.db2.gz UGHDLOVWSCYTJH-KZTTYJGESA-N 1 2 289.329 3.538 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)CCc2ccoc2)[nH+]1 ZINC000378172729 329042288 /nfs/dbraw/zinc/04/22/88/329042288.db2.gz KFOFXIUAWVQUJC-UHFFFAOYSA-N 1 2 295.342 3.549 20 0 CHADLO CCCCCC[C@H](C)C(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000378520601 329053833 /nfs/dbraw/zinc/05/38/33/329053833.db2.gz SNSUELOOBOCKGY-UONOGXRCSA-N 1 2 277.412 3.680 20 0 CHADLO C=Cn1cc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)cn1 ZINC000193687809 329060937 /nfs/dbraw/zinc/06/09/37/329060937.db2.gz RQIUSSAHJNSNKP-LBPRGKRZSA-N 1 2 275.783 3.830 20 0 CHADLO C=Cn1cc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)cn1 ZINC000193687809 329060938 /nfs/dbraw/zinc/06/09/38/329060938.db2.gz RQIUSSAHJNSNKP-LBPRGKRZSA-N 1 2 275.783 3.830 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2cncs2)cc1F ZINC000360073861 329071220 /nfs/dbraw/zinc/07/12/20/329071220.db2.gz HEXXJKHBGUTUQK-AWEZNQCLSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2cncs2)cc1F ZINC000360073861 329071221 /nfs/dbraw/zinc/07/12/21/329071221.db2.gz HEXXJKHBGUTUQK-AWEZNQCLSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2cncs2)cc1F ZINC000360073871 329071226 /nfs/dbraw/zinc/07/12/26/329071226.db2.gz HEXXJKHBGUTUQK-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2cncs2)cc1F ZINC000360073871 329071227 /nfs/dbraw/zinc/07/12/27/329071227.db2.gz HEXXJKHBGUTUQK-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO CC(C)c1nc(NCc2ccc(OC(F)F)cc2)cc[nH+]1 ZINC000171675352 329075486 /nfs/dbraw/zinc/07/54/86/329075486.db2.gz JMAYNRBYVPERCG-UHFFFAOYSA-N 1 2 293.317 3.814 20 0 CHADLO CCc1ccc(NC(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)cc1C ZINC000582469567 329091821 /nfs/dbraw/zinc/09/18/21/329091821.db2.gz HRWLBRXALUPCEV-SWLSCSKDSA-N 1 2 298.390 3.581 20 0 CHADLO CC(C)C(=O)Nc1ccc(N[C@H]2C[C@H](C)n3cc[nH+]c32)cc1 ZINC000393368129 329092034 /nfs/dbraw/zinc/09/20/34/329092034.db2.gz NCLXQDVYWGFINR-WFASDCNBSA-N 1 2 298.390 3.596 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H]1C[C@@]12CCCc1ccccc12 ZINC000173173345 329143176 /nfs/dbraw/zinc/14/31/76/329143176.db2.gz QMLFQWUJGOHCPW-VQIMIIECSA-N 1 2 292.382 3.623 20 0 CHADLO CC[C@@H](C(=O)Nc1c(C)cc[nH+]c1C)c1ccc(OC)cc1 ZINC000425597187 329147157 /nfs/dbraw/zinc/14/71/57/329147157.db2.gz RKDDTTNHGFHFBO-MRXNPFEDSA-N 1 2 298.386 3.839 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1ccncc1Cl ZINC000173583395 329149510 /nfs/dbraw/zinc/14/95/10/329149510.db2.gz FVVWFZFTFPTAFX-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1ccncc1Cl ZINC000173583395 329149512 /nfs/dbraw/zinc/14/95/12/329149512.db2.gz FVVWFZFTFPTAFX-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ncc(-c3cccs3)o2)CCS1 ZINC000174359783 329157856 /nfs/dbraw/zinc/15/78/56/329157856.db2.gz PGZBJJPTUIFKAA-LLVKDONJSA-N 1 2 294.445 3.731 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ncc(-c3cccs3)o2)CCS1 ZINC000174359783 329157858 /nfs/dbraw/zinc/15/78/58/329157858.db2.gz PGZBJJPTUIFKAA-LLVKDONJSA-N 1 2 294.445 3.731 20 0 CHADLO Cc1nc(N[C@H](C)c2c(F)cccc2Cl)cc[nH+]1 ZINC000175054670 329162384 /nfs/dbraw/zinc/16/23/84/329162384.db2.gz WAYWNUICOGIMCY-MRVPVSSYSA-N 1 2 265.719 3.751 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(C(=O)OC(C)(C)C)cc2)c1 ZINC000508615182 332844228 /nfs/dbraw/zinc/84/42/28/332844228.db2.gz MUQNRHIAQVHNSY-UHFFFAOYSA-N 1 2 298.386 3.957 20 0 CHADLO C[N@H+](CCSc1ccc(F)cc1)Cc1nccs1 ZINC000175301449 329164685 /nfs/dbraw/zinc/16/46/85/329164685.db2.gz UJVJYSIZPACNHH-UHFFFAOYSA-N 1 2 282.409 3.506 20 0 CHADLO C[N@@H+](CCSc1ccc(F)cc1)Cc1nccs1 ZINC000175301449 329164686 /nfs/dbraw/zinc/16/46/86/329164686.db2.gz UJVJYSIZPACNHH-UHFFFAOYSA-N 1 2 282.409 3.506 20 0 CHADLO c1csc(SCc2ccc(-n3cc[nH+]c3)nc2)c1 ZINC000175251565 329166987 /nfs/dbraw/zinc/16/69/87/329166987.db2.gz SQJGYCSLHIKATE-UHFFFAOYSA-N 1 2 273.386 3.621 20 0 CHADLO Cc1cccc2[nH+]c(CCc3nc(C4CCCC4)no3)[nH]c21 ZINC000175441225 329168617 /nfs/dbraw/zinc/16/86/17/329168617.db2.gz RAMNNXURAWBFGQ-UHFFFAOYSA-N 1 2 296.374 3.697 20 0 CHADLO Cc1cccc2[nH]c(CCc3nc(C4CCCC4)no3)[nH+]c21 ZINC000175441225 329168618 /nfs/dbraw/zinc/16/86/18/329168618.db2.gz RAMNNXURAWBFGQ-UHFFFAOYSA-N 1 2 296.374 3.697 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(Cl)cc2)s1 ZINC000175511358 329169274 /nfs/dbraw/zinc/16/92/74/329169274.db2.gz RCQKZERJGOJKCC-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(Cl)cc2)s1 ZINC000175511358 329169276 /nfs/dbraw/zinc/16/92/76/329169276.db2.gz RCQKZERJGOJKCC-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO Clc1cccc(C[N@@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000177686074 329188917 /nfs/dbraw/zinc/18/89/17/329188917.db2.gz PTASMMUGTYZGAY-HNNXBMFYSA-N 1 2 277.751 3.507 20 0 CHADLO Clc1cccc(C[N@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000177686074 329188918 /nfs/dbraw/zinc/18/89/18/329188918.db2.gz PTASMMUGTYZGAY-HNNXBMFYSA-N 1 2 277.751 3.507 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@H](C)c2ccc(F)cc2F)n1 ZINC000178509591 329197808 /nfs/dbraw/zinc/19/78/08/329197808.db2.gz SSVHKSJRMYEDME-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO C[C@H](CC(=O)NCc1ccn2cc[nH+]c2c1)C1CCCCC1 ZINC000178350842 329199147 /nfs/dbraw/zinc/19/91/47/329199147.db2.gz RNPNQBYHKFRPPX-CQSZACIVSA-N 1 2 299.418 3.557 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1cc[nH+]c(C)n1)CCC2 ZINC000179237221 329206195 /nfs/dbraw/zinc/20/61/95/329206195.db2.gz PJLGBYYXTMSMGC-HNNXBMFYSA-N 1 2 253.349 3.583 20 0 CHADLO C[C@H](Nc1cccc(-c2[nH+]ccn2C)c1)c1ccccn1 ZINC000179611736 329210327 /nfs/dbraw/zinc/21/03/27/329210327.db2.gz SAOGDMADZSBXGV-ZDUSSCGKSA-N 1 2 278.359 3.655 20 0 CHADLO Cc1cccc(-n2ccnc2SCCCn2cc[nH+]c2)c1 ZINC000180432154 329216690 /nfs/dbraw/zinc/21/66/90/329216690.db2.gz FCRHYAMMZYYTMH-UHFFFAOYSA-N 1 2 298.415 3.560 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2cc(F)ccc2C)s1 ZINC000180227112 329218943 /nfs/dbraw/zinc/21/89/43/329218943.db2.gz FPGLMZAQAKGYGM-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2cc(F)ccc2C)s1 ZINC000180227112 329218944 /nfs/dbraw/zinc/21/89/44/329218944.db2.gz FPGLMZAQAKGYGM-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2c(F)cccc2F)s1 ZINC000224187471 329240198 /nfs/dbraw/zinc/24/01/98/329240198.db2.gz ZJIZDPMBJTYGBU-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2cccc(F)c2)n1)c1cccnc1 ZINC000182889753 329245702 /nfs/dbraw/zinc/24/57/02/329245702.db2.gz MNKZKXAHUDTRMS-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO Clc1cnc(C[NH2+]Cc2ccccc2Cl)s1 ZINC000225293636 329248097 /nfs/dbraw/zinc/24/80/97/329248097.db2.gz DYRVVTBGIQPAFU-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO c1cn(CCSCCCCc2ccccc2)c[nH+]1 ZINC000184846583 329263526 /nfs/dbraw/zinc/26/35/26/329263526.db2.gz VFSMTXAMWKGXPH-UHFFFAOYSA-N 1 2 260.406 3.639 20 0 CHADLO Cc1ccc(Cn2ccc(-c3ccc(F)cc3)n2)c(C)[nH+]1 ZINC000185364958 329271123 /nfs/dbraw/zinc/27/11/23/329271123.db2.gz ZLSMFDVBTAIWNY-UHFFFAOYSA-N 1 2 281.334 3.749 20 0 CHADLO Clc1ccc2oc(SCCCn3cc[nH+]c3)nc2c1 ZINC000185402858 329272416 /nfs/dbraw/zinc/27/24/16/329272416.db2.gz FQRRBMVJEZQAIC-UHFFFAOYSA-N 1 2 293.779 3.860 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](c3c(F)cccc3F)C2)cs1 ZINC000185303831 329272793 /nfs/dbraw/zinc/27/27/93/329272793.db2.gz YOAZGHVSBYLGFJ-NSHDSACASA-N 1 2 294.370 3.719 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](c3c(F)cccc3F)C2)cs1 ZINC000185303831 329272794 /nfs/dbraw/zinc/27/27/94/329272794.db2.gz YOAZGHVSBYLGFJ-NSHDSACASA-N 1 2 294.370 3.719 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncc(-c2ccccc2)o1)c1cnccn1 ZINC000185444329 329273743 /nfs/dbraw/zinc/27/37/43/329273743.db2.gz WUKHNWWVOSZEPI-OLZOCXBDSA-N 1 2 294.358 3.543 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cnccn1)c1ncc(-c2ccccc2)o1 ZINC000185444363 329273751 /nfs/dbraw/zinc/27/37/51/329273751.db2.gz WUKHNWWVOSZEPI-CHWSQXEVSA-N 1 2 294.358 3.543 20 0 CHADLO COc1ccc(SCc2ccc(C)[nH+]c2C)cc1 ZINC000186432716 329342528 /nfs/dbraw/zinc/34/25/28/329342528.db2.gz UKWYOYJVGLTPOC-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2C[C@]2(C)C(C)C)c(C)[nH+]1 ZINC000186652911 329347023 /nfs/dbraw/zinc/34/70/23/329347023.db2.gz JZDCIMQIIRXPGE-XJKSGUPXSA-N 1 2 260.381 3.628 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[NH+]1CCSCC1 ZINC000186675080 329347312 /nfs/dbraw/zinc/34/73/12/329347312.db2.gz GHLOBXCZNPDEBB-SECBINFHSA-N 1 2 259.777 3.589 20 0 CHADLO Clc1ccc(C[NH+]2CC3(C2)CCOCC3)c(Cl)c1 ZINC000459628614 329349641 /nfs/dbraw/zinc/34/96/41/329349641.db2.gz ZDGWDZVHAMZAOF-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1nc(-c2ccccc2)no1 ZINC000190772244 329402249 /nfs/dbraw/zinc/40/22/49/329402249.db2.gz QTBJFTHKIMNTFE-UHFFFAOYSA-N 1 2 295.342 3.581 20 0 CHADLO CCN(C)c1ccc(CNc2ccc3nc(C)oc3c2)c[nH+]1 ZINC000191380294 329413372 /nfs/dbraw/zinc/41/33/72/329413372.db2.gz OBICWGLALNAHLV-UHFFFAOYSA-N 1 2 296.374 3.599 20 0 CHADLO CCN(C)c1ccc(CNc2ccc3ncsc3c2)c[nH+]1 ZINC000191403198 329414034 /nfs/dbraw/zinc/41/40/34/329414034.db2.gz TUYILWGABBBVSK-UHFFFAOYSA-N 1 2 298.415 3.760 20 0 CHADLO Cc1cc[nH+]c(N[C@@H](C)c2nccs2)c1Br ZINC000235542465 329440557 /nfs/dbraw/zinc/44/05/57/329440557.db2.gz ILMALUHYONXXOH-QMMMGPOBSA-N 1 2 298.209 3.782 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cnc2ccsc2c1)c1csnn1 ZINC000398393594 329443133 /nfs/dbraw/zinc/44/31/33/329443133.db2.gz XPKSSIVTASYFNS-RKDXNWHRSA-N 1 2 290.417 3.560 20 0 CHADLO O=C(CCC1CCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000047514862 329619677 /nfs/dbraw/zinc/61/96/77/329619677.db2.gz QAERXQKIUVFTHK-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](C)c2ccc(C3CC3)cc2)n1 ZINC000419345590 329718992 /nfs/dbraw/zinc/71/89/92/329718992.db2.gz UDFMTQZGXDWOSM-CYBMUJFWSA-N 1 2 267.376 3.513 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@@H](C)c1ccc(C2CC2)cc1 ZINC000419348658 329719173 /nfs/dbraw/zinc/71/91/73/329719173.db2.gz XVOYVQMVYXGWTK-LBPRGKRZSA-N 1 2 299.370 3.794 20 0 CHADLO COc1cc(C)[nH+]c(CSC[C@H](CC(C)C)OC)c1 ZINC000419457063 329731235 /nfs/dbraw/zinc/73/12/35/329731235.db2.gz VEMDUXCCHLCZJF-HNNXBMFYSA-N 1 2 283.437 3.693 20 0 CHADLO COc1cc(CSCc2ccc(C)[nH+]c2C)ccn1 ZINC000419460967 329731676 /nfs/dbraw/zinc/73/16/76/329731676.db2.gz IVPRFYYYNNHUHS-UHFFFAOYSA-N 1 2 274.389 3.535 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C2CCCCCC2)n1 ZINC000420511823 329772975 /nfs/dbraw/zinc/77/29/75/329772975.db2.gz PKZJXKDWNVNIGH-UHFFFAOYSA-N 1 2 271.364 3.802 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@@H]2CCC[C@H](C)C2)n1 ZINC000420513722 329773482 /nfs/dbraw/zinc/77/34/82/329773482.db2.gz WXJIRANKBKZZPX-GXTWGEPZSA-N 1 2 285.391 3.733 20 0 CHADLO CCCC1(c2noc([C@@H](c3ccccc3)[NH+](C)C)n2)CCC1 ZINC000420517787 329774428 /nfs/dbraw/zinc/77/44/28/329774428.db2.gz MZEMMCAUWILJFS-OAHLLOKOSA-N 1 2 299.418 3.942 20 0 CHADLO CC[C@@H]1C[NH+](Cc2csc(C(C)C)n2)C[C@@H](CC)O1 ZINC000420956172 329791361 /nfs/dbraw/zinc/79/13/61/329791361.db2.gz MQVGFTCHZFQFNR-ZIAGYGMSSA-N 1 2 282.453 3.656 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2ccccc2Cl)nn1C ZINC000421321988 329811864 /nfs/dbraw/zinc/81/18/64/329811864.db2.gz CXJLWQBZDKNXMS-SECBINFHSA-N 1 2 298.217 3.886 20 0 CHADLO Cc1cc(CNC(=O)C[C@H]2CCC[C@@H](C)C2)cc(C)[nH+]1 ZINC000421577469 329843165 /nfs/dbraw/zinc/84/31/65/329843165.db2.gz OZWYMZYGQGTEBP-DOMZBBRYSA-N 1 2 274.408 3.531 20 0 CHADLO CCCC[C@H](CC)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421561913 329846528 /nfs/dbraw/zinc/84/65/28/329846528.db2.gz UFITYBBMPUKARO-HNNXBMFYSA-N 1 2 262.397 3.531 20 0 CHADLO CCC[C@@H](C(=O)Nc1cc[nH+]cc1CC)c1ccccc1 ZINC000509746422 332880726 /nfs/dbraw/zinc/88/07/26/332880726.db2.gz ZCAXPEFENLSFDV-MRXNPFEDSA-N 1 2 282.387 3.588 20 0 CHADLO Cc1cc(CNC(=O)c2ccc3c(c2)CCC=C3)cc(C)[nH+]1 ZINC000421574942 329848438 /nfs/dbraw/zinc/84/84/38/329848438.db2.gz IDHBCMSZZJKYIM-UHFFFAOYSA-N 1 2 292.382 3.588 20 0 CHADLO Cc1cc(Br)ccc1N[C@@H](C)c1[nH+]ccn1C ZINC000070443288 329855574 /nfs/dbraw/zinc/85/55/74/329855574.db2.gz VXYYMDLNEVBANR-JTQLQIEISA-N 1 2 294.196 3.664 20 0 CHADLO CCSc1cccc(NC(=O)c2ccc3[nH+]ccn3c2)c1 ZINC000071019714 329856365 /nfs/dbraw/zinc/85/63/65/329856365.db2.gz HESNQZXPCAHIMX-UHFFFAOYSA-N 1 2 297.383 3.699 20 0 CHADLO Cc1cn2cc(NC(=O)CC3CCCCC3)ccc2[nH+]1 ZINC000071478584 329856647 /nfs/dbraw/zinc/85/66/47/329856647.db2.gz OODZMTMHOPDRSX-UHFFFAOYSA-N 1 2 271.364 3.552 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2C[C@@H]2c2ccccc2Cl)cc[nH+]1 ZINC000073409145 329860788 /nfs/dbraw/zinc/86/07/88/329860788.db2.gz HBTOOKPTNWVAHB-ZIAGYGMSSA-N 1 2 286.762 3.786 20 0 CHADLO Cc1cc(N2CCC[C@H]3CCC[C@@H]32)nc(-c2ccncc2)[nH+]1 ZINC000078413330 329958960 /nfs/dbraw/zinc/95/89/60/329958960.db2.gz IISKOMMVJFDCAP-ZBFHGGJFSA-N 1 2 294.402 3.616 20 0 CHADLO c1ccc(-c2ccc[nH+]c2NCc2cccnc2)cc1 ZINC000162386835 330008381 /nfs/dbraw/zinc/00/83/81/330008381.db2.gz HOSTTZQPAVDALN-UHFFFAOYSA-N 1 2 261.328 3.756 20 0 CHADLO COc1c(C)c[nH+]c(CNC2(C(F)F)CCCCC2)c1C ZINC000510106735 332892743 /nfs/dbraw/zinc/89/27/43/332892743.db2.gz UOALWKZWOGDOER-UHFFFAOYSA-N 1 2 298.377 3.765 20 0 CHADLO CCCn1cc(C[NH+](Cc2ccco2)Cc2ccco2)cn1 ZINC000162565598 330071894 /nfs/dbraw/zinc/07/18/94/330071894.db2.gz MIIBPQPLPAEQPW-UHFFFAOYSA-N 1 2 299.374 3.682 20 0 CHADLO Fc1cccc(N[C@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421831329 330085228 /nfs/dbraw/zinc/08/52/28/330085228.db2.gz DUYSJRCVJIYRCA-AWEZNQCLSA-N 1 2 256.324 3.580 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncc1Cl)c1ccncc1F ZINC000421852497 330088071 /nfs/dbraw/zinc/08/80/71/330088071.db2.gz IJUMDYDYKJQEMI-VHSXEESVSA-N 1 2 279.746 3.681 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H](C)c2ccc(C)o2)n1 ZINC000080274410 330116494 /nfs/dbraw/zinc/11/64/94/330116494.db2.gz IVWICJUHGPITPM-MNOVXSKESA-N 1 2 250.367 3.765 20 0 CHADLO COc1ccc(OC)c([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)c1 ZINC000423215831 330178571 /nfs/dbraw/zinc/17/85/71/330178571.db2.gz NQHIALXQXMWNFK-GFCCVEGCSA-N 1 2 298.386 3.845 20 0 CHADLO CCc1ccc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)o1 ZINC000423221651 330179655 /nfs/dbraw/zinc/17/96/55/330179655.db2.gz XLLWCPUYVPXDEG-LLVKDONJSA-N 1 2 256.349 3.983 20 0 CHADLO COc1ccsc1[C@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423221946 330179786 /nfs/dbraw/zinc/17/97/86/330179786.db2.gz YUVIOHVBYPCTJI-JTQLQIEISA-N 1 2 274.389 3.898 20 0 CHADLO c1ccc2c(c1)CC[C@@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423213903 330184246 /nfs/dbraw/zinc/18/42/46/330184246.db2.gz KNGXABBNOOBULJ-KRWDZBQOSA-N 1 2 250.345 3.754 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@H](C)[C@@H]2c2ccccc2)cs1 ZINC000081575119 330212970 /nfs/dbraw/zinc/21/29/70/330212970.db2.gz JOJRERJUEUAPGS-BLLLJJGKSA-N 1 2 272.417 3.899 20 0 CHADLO CCc1nc(C[N@H+]2C[C@H](C)[C@@H]2c2ccccc2)cs1 ZINC000081575119 330212971 /nfs/dbraw/zinc/21/29/71/330212971.db2.gz JOJRERJUEUAPGS-BLLLJJGKSA-N 1 2 272.417 3.899 20 0 CHADLO C[C@H](CNc1ccc(N(C)C)[nH+]c1)c1ccc(F)cc1 ZINC000527116427 330223263 /nfs/dbraw/zinc/22/32/63/330223263.db2.gz HBCJHTGGQUPSAS-GFCCVEGCSA-N 1 2 273.355 3.502 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCCC(C)(C)CO ZINC000301718134 330226354 /nfs/dbraw/zinc/22/63/54/330226354.db2.gz LGLBLNOUOBQNQS-UHFFFAOYSA-N 1 2 272.392 3.754 20 0 CHADLO Cc1ccc(C[NH2+]Cc2coc(-c3cccs3)n2)o1 ZINC000064412011 330251631 /nfs/dbraw/zinc/25/16/31/330251631.db2.gz MZVBEKCZMLWIPB-UHFFFAOYSA-N 1 2 274.345 3.594 20 0 CHADLO Fc1cccnc1C[NH2+]Cc1csc(Cl)c1Cl ZINC000527530622 330258345 /nfs/dbraw/zinc/25/83/45/330258345.db2.gz JNWBPGFLBCAEPZ-UHFFFAOYSA-N 1 2 291.178 3.879 20 0 CHADLO Cc1cc(C[NH2+]CC(F)(F)c2ccc(Cl)cc2)ccn1 ZINC000527710675 330275873 /nfs/dbraw/zinc/27/58/73/330275873.db2.gz ZVLQBVPRCJGFRQ-UHFFFAOYSA-N 1 2 296.748 3.925 20 0 CHADLO CC(C)Cc1ncc(C[NH2+][C@@H](C)c2ncccc2F)s1 ZINC000527826262 330286668 /nfs/dbraw/zinc/28/66/68/330286668.db2.gz JYKSJMMOXZQIPK-NSHDSACASA-N 1 2 293.411 3.727 20 0 CHADLO Fc1cc2c(cc1Cl)[C@H]([NH2+]Cc1cscn1)CC2 ZINC000527851636 330288255 /nfs/dbraw/zinc/28/82/55/330288255.db2.gz ALZDPFYGZFIKCE-CYBMUJFWSA-N 1 2 282.771 3.713 20 0 CHADLO CC(C)COC1CCN(c2[nH+]ccc3ccccc32)CC1 ZINC000527856902 330288995 /nfs/dbraw/zinc/28/89/95/330288995.db2.gz YEESCWNJVBEVJK-UHFFFAOYSA-N 1 2 284.403 3.876 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](C)c1cccc(C(C)C)c1 ZINC000527873362 330291010 /nfs/dbraw/zinc/29/10/10/330291010.db2.gz YFTFAJBPKHGFQS-LBPRGKRZSA-N 1 2 257.381 3.692 20 0 CHADLO CCn1ncc(C[NH2+][C@@H](C)c2cc3cc(C)c(C)cc3o2)n1 ZINC000527920047 330295706 /nfs/dbraw/zinc/29/57/06/330295706.db2.gz GLRYIUAUKWEUSP-ZDUSSCGKSA-N 1 2 298.390 3.512 20 0 CHADLO CC(C)n1c[nH+]cc1CN[C@@H](CC(C)(C)C)C(F)(F)F ZINC000424162889 330307796 /nfs/dbraw/zinc/30/77/96/330307796.db2.gz CUWXENQQGUIZKC-LBPRGKRZSA-N 1 2 291.361 3.921 20 0 CHADLO CCCCOc1ccc(NCc2c[nH+]cn2C(C)C)cn1 ZINC000424170680 330310801 /nfs/dbraw/zinc/31/08/01/330310801.db2.gz KNAAWVCVBYKGCW-UHFFFAOYSA-N 1 2 288.395 3.650 20 0 CHADLO F[C@@]1(c2ccccc2)C[C@H]1[NH2+]Cc1ccc(Cl)o1 ZINC000424187261 330320040 /nfs/dbraw/zinc/32/00/40/330320040.db2.gz APBCPLRBUVHPLC-TZMCWYRMSA-N 1 2 265.715 3.660 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccnc2C(F)(F)F)o1 ZINC000528344803 330321115 /nfs/dbraw/zinc/32/11/15/330321115.db2.gz MGJSBTMTRFZZOL-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccnc2C(F)(F)F)o1 ZINC000528344803 330321116 /nfs/dbraw/zinc/32/11/16/330321116.db2.gz MGJSBTMTRFZZOL-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000533072683 330326831 /nfs/dbraw/zinc/32/68/31/330326831.db2.gz ZKEPBJGDLILDCG-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CCc2ccc(O)cc2C1 ZINC000533072683 330326832 /nfs/dbraw/zinc/32/68/32/330326832.db2.gz ZKEPBJGDLILDCG-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO F[C@@]1(c2ccccc2)C[C@@H]1[NH2+]Cc1csc(C2CC2)n1 ZINC000424201404 330326836 /nfs/dbraw/zinc/32/68/36/330326836.db2.gz RXEXJZARDOJRQD-GOEBONIOSA-N 1 2 288.391 3.747 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]Cc2nnc(C)s2)cc1F ZINC000542811312 330326896 /nfs/dbraw/zinc/32/68/96/330326896.db2.gz DWGXBELSRSBZHV-QMMMGPOBSA-N 1 2 297.424 3.558 20 0 CHADLO CCCc1csc(C[NH2+][C@H]2C[C@@]2(F)c2ccccc2)n1 ZINC000424201390 330326945 /nfs/dbraw/zinc/32/69/45/330326945.db2.gz RWKROFWKYHWWJP-GOEBONIOSA-N 1 2 290.407 3.823 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@H+](C)Cc1c(C)cccc1C ZINC000533074863 330329184 /nfs/dbraw/zinc/32/91/84/330329184.db2.gz YFQVPAXOYSHBRO-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@@H+](C)Cc1c(C)cccc1C ZINC000533074863 330329185 /nfs/dbraw/zinc/32/91/85/330329185.db2.gz YFQVPAXOYSHBRO-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO Cc1ccccc1NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000105853398 330329963 /nfs/dbraw/zinc/32/99/63/330329963.db2.gz PSUKFTRJJKTNKU-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO Cc1ccc(NCc2cn3cc(Cl)ccc3[nH+]2)cc1 ZINC000105853921 330329976 /nfs/dbraw/zinc/32/99/76/330329976.db2.gz FDCAIQUUHYFZAR-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO Fc1ccc(NCc2cn3cc(Cl)ccc3[nH+]2)c(F)c1 ZINC000105858148 330329978 /nfs/dbraw/zinc/32/99/78/330329978.db2.gz PIRIBNJMQOVOMD-UHFFFAOYSA-N 1 2 293.704 3.878 20 0 CHADLO CO[C@H]1C[C@H]2C[C@@H](Nc3c[nH+]c4c(c3)CCCC4)C[C@H]2C1 ZINC000424282145 330333902 /nfs/dbraw/zinc/33/39/02/330333902.db2.gz OYXHOQGGHMZEAY-OOIBXKBGSA-N 1 2 286.419 3.576 20 0 CHADLO CCCC[C@H](CC)CSc1nc(N)cc(C)[nH+]1 ZINC000106901706 330344473 /nfs/dbraw/zinc/34/44/73/330344473.db2.gz GXJMXRJNESUZIN-NSHDSACASA-N 1 2 253.415 3.676 20 0 CHADLO C[C@H]([N@H+](C)Cc1noc(Cc2ccccc2)n1)C(C)(C)C ZINC000533249934 330355454 /nfs/dbraw/zinc/35/54/54/330355454.db2.gz IMFBFLJSKJSGKA-ZDUSSCGKSA-N 1 2 287.407 3.527 20 0 CHADLO C[C@H]([N@@H+](C)Cc1noc(Cc2ccccc2)n1)C(C)(C)C ZINC000533249934 330355455 /nfs/dbraw/zinc/35/54/55/330355455.db2.gz IMFBFLJSKJSGKA-ZDUSSCGKSA-N 1 2 287.407 3.527 20 0 CHADLO Cc1ccc(NC(=O)C/C=C/c2ccccc2F)c(C)[nH+]1 ZINC000119078225 330369938 /nfs/dbraw/zinc/36/99/38/330369938.db2.gz ZNYBJROOQPRNSQ-FNORWQNLSA-N 1 2 284.334 3.880 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000424571684 330380875 /nfs/dbraw/zinc/38/08/75/330380875.db2.gz GLANNQINOQXNEW-KGLIPLIRSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H]1CCCC[C@@H]1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000424571497 330380957 /nfs/dbraw/zinc/38/09/57/330380957.db2.gz GLANNQINOQXNEW-KBPBESRZSA-N 1 2 283.375 3.637 20 0 CHADLO c1cn(CCSCc2cccc3ccccc32)c[nH+]1 ZINC000090199261 330391189 /nfs/dbraw/zinc/39/11/89/330391189.db2.gz OWHGKKMPVHSCTJ-UHFFFAOYSA-N 1 2 268.385 3.970 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(-c2ccccc2)s1)c1ccco1 ZINC000090401687 330392397 /nfs/dbraw/zinc/39/23/97/330392397.db2.gz JUEIFWDEFCIPLB-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO CCOC(=O)[C@@H](CC)[NH2+][C@H](C)c1ccc(SCC)cc1 ZINC000537102994 330399572 /nfs/dbraw/zinc/39/95/72/330399572.db2.gz DOOXFYSYKKONGR-IUODEOHRSA-N 1 2 295.448 3.791 20 0 CHADLO Cc1ccc(C[C@@H](C)NC(=O)Nc2cc[nH+]cc2C)c(C)c1 ZINC000121428444 330401306 /nfs/dbraw/zinc/40/13/06/330401306.db2.gz BBMLVCDARLKCIY-OAHLLOKOSA-N 1 2 297.402 3.760 20 0 CHADLO Cc1ccsc1CCCC(=O)Nc1cc[nH+]cc1C ZINC000121710796 330405955 /nfs/dbraw/zinc/40/59/55/330405955.db2.gz IEWPSZBFPGXULR-UHFFFAOYSA-N 1 2 274.389 3.721 20 0 CHADLO CC(C)c1ncc(CN2CCCC[C@H]2c2[nH]cc[nH+]2)s1 ZINC000092728511 330412556 /nfs/dbraw/zinc/41/25/56/330412556.db2.gz APNPULAMHWDQAR-ZDUSSCGKSA-N 1 2 290.436 3.717 20 0 CHADLO Fc1ccc(Cl)cc1CN1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000092729570 330412567 /nfs/dbraw/zinc/41/25/67/330412567.db2.gz PHUWLKKQSXUSCZ-CQSZACIVSA-N 1 2 293.773 3.929 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000424643052 330439992 /nfs/dbraw/zinc/43/99/92/330439992.db2.gz LAHVIQPWWKWQNS-DOMZBBRYSA-N 1 2 283.375 3.842 20 0 CHADLO COc1ccc(CCn2c[nH+]c3cc(F)c(F)cc32)cc1 ZINC000123152131 330444775 /nfs/dbraw/zinc/44/47/75/330444775.db2.gz SJXDEHNUTAXJCB-UHFFFAOYSA-N 1 2 288.297 3.566 20 0 CHADLO CC[C@@](C)([NH2+]Cc1c(C)ccc2ccccc21)C(=O)OC ZINC000428294066 330519321 /nfs/dbraw/zinc/51/93/21/330519321.db2.gz KPCDKVYUWKCPJY-GOSISDBHSA-N 1 2 285.387 3.580 20 0 CHADLO CC(C)(c1ccc(NCc2[nH]cc[nH+]2)cc1)C(F)(F)F ZINC000428549729 330527805 /nfs/dbraw/zinc/52/78/05/330527805.db2.gz UAYISKVJTQFKRS-UHFFFAOYSA-N 1 2 283.297 3.862 20 0 CHADLO Cc1cc(N2CCc3cccc(C)c3C2)nc(C(C)C)[nH+]1 ZINC000428667068 330529170 /nfs/dbraw/zinc/52/91/70/330529170.db2.gz UGHYPOOBLSHSTC-UHFFFAOYSA-N 1 2 281.403 3.780 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1C[C@@H](C)[C@@H]1C)c1ccc2ccccc2c1 ZINC000430080833 330558805 /nfs/dbraw/zinc/55/88/05/330558805.db2.gz OWXXXUBJYYEESS-JGTCGTHISA-N 1 2 297.398 3.688 20 0 CHADLO FC1(c2ccccc2)CCN(c2cc[nH+]c(C3CC3)n2)CC1 ZINC000432836783 330608808 /nfs/dbraw/zinc/60/88/08/330608808.db2.gz WFRCZBFPXPNASA-UHFFFAOYSA-N 1 2 297.377 3.819 20 0 CHADLO Cc1cc(N2[C@H](C)C[C@@H]3CCCC[C@H]32)nc(C2CC2)[nH+]1 ZINC000433767018 330630964 /nfs/dbraw/zinc/63/09/64/330630964.db2.gz QWQYGHWXJJOPQN-VHDGCEQUSA-N 1 2 271.408 3.820 20 0 CHADLO CC(C)(C)c1cc(NC[C@H]2CCOC2)nc(C(C)(C)C)[nH+]1 ZINC000153261536 330641490 /nfs/dbraw/zinc/64/14/90/330641490.db2.gz FJFUYIPWDVFIAR-GFCCVEGCSA-N 1 2 291.439 3.520 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[NH2+][C@H]1C[C@H](c2ccccc2)C1 ZINC000435669156 330659867 /nfs/dbraw/zinc/65/98/67/330659867.db2.gz IOLYNIDOSVVKKG-ULQDDVLXSA-N 1 2 289.419 3.500 20 0 CHADLO COc1cccc2c(NCCCOCC(C)C)cc[nH+]c12 ZINC000436188942 330670477 /nfs/dbraw/zinc/67/04/77/330670477.db2.gz WIFHLSJRVMOPPR-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO CC1C[NH+](Cc2ccc(Cl)c(C(F)(F)F)c2)C1 ZINC000438856175 330730014 /nfs/dbraw/zinc/73/00/14/330730014.db2.gz WGNUDWYFRZPTIQ-UHFFFAOYSA-N 1 2 263.690 3.811 20 0 CHADLO CCc1[nH+]c2ccccc2n1CC1(O)CCC(C)CC1 ZINC000438792214 330729193 /nfs/dbraw/zinc/72/91/93/330729193.db2.gz OMKAFSSCQRDDSD-UHFFFAOYSA-N 1 2 272.392 3.540 20 0 CHADLO C[C@H](CSCc1cn2ccccc2[nH+]1)C(F)(F)F ZINC000439022629 330732573 /nfs/dbraw/zinc/73/25/73/330732573.db2.gz TURLCPIOIJAPLX-SECBINFHSA-N 1 2 274.311 3.766 20 0 CHADLO CCC[C@H]([NH2+]CCc1cccc2ccccc21)C(=O)OCC ZINC000439550850 330740255 /nfs/dbraw/zinc/74/02/55/330740255.db2.gz PRJDIIBAQMIQHS-SFHVURJKSA-N 1 2 299.414 3.704 20 0 CHADLO Cn1c[nH+]cc1COc1ccc(-c2ccccc2)cc1 ZINC000439547069 330740295 /nfs/dbraw/zinc/74/02/95/330740295.db2.gz GWMMEIDGGUWJJY-UHFFFAOYSA-N 1 2 264.328 3.666 20 0 CHADLO CC(C)CC(C)(C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000439776295 330742942 /nfs/dbraw/zinc/74/29/42/330742942.db2.gz SEWAGZSMBGDXAS-UHFFFAOYSA-N 1 2 285.391 3.883 20 0 CHADLO CCC[C@H](NC(=O)C[C@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000572487542 330749116 /nfs/dbraw/zinc/74/91/16/330749116.db2.gz JKILJKLJONCOLA-HOCLYGCPSA-N 1 2 291.439 3.699 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]Cc2ccc(C(F)F)cc2)no1 ZINC000441219360 330763476 /nfs/dbraw/zinc/76/34/76/330763476.db2.gz PLYQJTYBRHLCTK-UHFFFAOYSA-N 1 2 295.333 3.595 20 0 CHADLO Cc1nc(CSc2[nH+]cc3ccccn32)c(C)s1 ZINC000443437289 330799653 /nfs/dbraw/zinc/79/96/53/330799653.db2.gz MAPFYVBTRMONOJ-UHFFFAOYSA-N 1 2 275.402 3.700 20 0 CHADLO Cn1c(N2CCC[C@@H]2c2ccco2)[nH+]c2cccc(F)c21 ZINC000443524455 330800842 /nfs/dbraw/zinc/80/08/42/330800842.db2.gz UKXXWIVEJSUXJL-CYBMUJFWSA-N 1 2 285.322 3.647 20 0 CHADLO CC(C)C[C@@H]1CCN(c2cc[nH+]c3ccncc32)C1 ZINC000444072763 330809969 /nfs/dbraw/zinc/80/99/69/330809969.db2.gz FLHXGDZEOBLVAN-ZDUSSCGKSA-N 1 2 255.365 3.502 20 0 CHADLO Cn1c[nH+]cc1CN1C[C@H](c2ccccc2)c2ccccc21 ZINC000444772844 330824171 /nfs/dbraw/zinc/82/41/71/330824171.db2.gz BNJPFPOCNWEOAR-GOSISDBHSA-N 1 2 289.382 3.572 20 0 CHADLO CC1CC[NH+](Cc2nc(C(C)(C)c3ccccc3)no2)CC1 ZINC000445485967 330829851 /nfs/dbraw/zinc/82/98/51/330829851.db2.gz GRVRXRIOBSEFDQ-UHFFFAOYSA-N 1 2 299.418 3.627 20 0 CHADLO COc1cc(CNc2ccc(C)[nH+]c2C)ccc1C ZINC000446967132 330858822 /nfs/dbraw/zinc/85/88/22/330858822.db2.gz QJTNUFYSLUTFCR-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO Cc1cccc([C@H](C)CNC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000447186098 330862754 /nfs/dbraw/zinc/86/27/54/330862754.db2.gz KRCZDURMNGJFQX-CYBMUJFWSA-N 1 2 297.402 3.932 20 0 CHADLO CC[C@@H]1C[C@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000448834133 330885287 /nfs/dbraw/zinc/88/52/87/330885287.db2.gz ILLCLVHJHHARQJ-ZYHUDNBSSA-N 1 2 289.766 3.510 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(Br)c(F)c1)C(F)F ZINC000449356851 330918300 /nfs/dbraw/zinc/91/83/00/330918300.db2.gz AZVGSKIEYWQQJH-SNVBAGLBSA-N 1 2 296.130 3.722 20 0 CHADLO COc1cc(Cl)c(C[NH+]2CC3(CC3)C2)cc1Cl ZINC000449370061 330919464 /nfs/dbraw/zinc/91/94/64/330919464.db2.gz IAHNJIMBYFJTNM-UHFFFAOYSA-N 1 2 272.175 3.598 20 0 CHADLO C[C@H](COc1ccc(Cl)c(Cl)c1)[NH2+]C(CF)CF ZINC000449378182 330920657 /nfs/dbraw/zinc/92/06/57/330920657.db2.gz CSHWYWJRIWSKBP-MRVPVSSYSA-N 1 2 298.160 3.658 20 0 CHADLO C[C@@H]([NH2+]C/C=C/c1ccc(F)cc1F)C(C)(F)F ZINC000449412068 330923613 /nfs/dbraw/zinc/92/36/13/330923613.db2.gz DPFXIWBEXJINQJ-CDAZIORVSA-N 1 2 261.262 3.611 20 0 CHADLO CC[C@@H](CC(F)(F)F)C(=O)Nc1ccc(C)[nH+]c1C ZINC000450146568 330963461 /nfs/dbraw/zinc/96/34/61/330963461.db2.gz LNHUXSKKTOTRIE-JTQLQIEISA-N 1 2 274.286 3.616 20 0 CHADLO Cc1ccc(NC(=O)c2cccc3c2CCCC3)c(C)[nH+]1 ZINC000450149096 330963534 /nfs/dbraw/zinc/96/35/34/330963534.db2.gz RUZWSSNVAWLGKS-UHFFFAOYSA-N 1 2 280.371 3.830 20 0 CHADLO CO[C@@H](C)[C@H](C)Nc1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450468271 330981936 /nfs/dbraw/zinc/98/19/36/330981936.db2.gz LLSFVVJCXXSDGB-UWVGGRQHSA-N 1 2 298.308 3.511 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2csnn2)cc(C)c1F ZINC000450683532 330993481 /nfs/dbraw/zinc/99/34/81/330993481.db2.gz SNAFQBVPQMFZSA-MNOVXSKESA-N 1 2 279.384 3.706 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+][C@@H](C)c1csnn1 ZINC000450748526 330997674 /nfs/dbraw/zinc/99/76/74/330997674.db2.gz UHSZCBYBUZSGQH-RYUDHWBXSA-N 1 2 261.394 3.567 20 0 CHADLO C[N@H+](Cc1nnc(C2CC2)s1)CC1(c2ccccc2)CC1 ZINC000450808213 331000591 /nfs/dbraw/zinc/00/05/91/331000591.db2.gz IDBGOCPOEBEQST-UHFFFAOYSA-N 1 2 299.443 3.579 20 0 CHADLO C[N@@H+](Cc1nnc(C2CC2)s1)CC1(c2ccccc2)CC1 ZINC000450808213 331000592 /nfs/dbraw/zinc/00/05/92/331000592.db2.gz IDBGOCPOEBEQST-UHFFFAOYSA-N 1 2 299.443 3.579 20 0 CHADLO Clc1cccc(C2CN(c3cc[nH+]c(C4CC4)n3)C2)c1 ZINC000450818233 331000924 /nfs/dbraw/zinc/00/09/24/331000924.db2.gz HFYZTJNPRMDQQB-UHFFFAOYSA-N 1 2 285.778 3.611 20 0 CHADLO CC[N@H+](Cc1c[nH]c2ccccc12)[C@H](C)c1csnn1 ZINC000450832148 331001779 /nfs/dbraw/zinc/00/17/79/331001779.db2.gz QRIGEQPFYDAKHH-LLVKDONJSA-N 1 2 286.404 3.603 20 0 CHADLO CC[N@@H+](Cc1c[nH]c2ccccc12)[C@H](C)c1csnn1 ZINC000450832148 331001780 /nfs/dbraw/zinc/00/17/80/331001780.db2.gz QRIGEQPFYDAKHH-LLVKDONJSA-N 1 2 286.404 3.603 20 0 CHADLO Brc1ccc2[nH+]c(CSC3CCC3)cn2c1 ZINC000450987979 331010408 /nfs/dbraw/zinc/01/04/08/331010408.db2.gz ZHOKIMAQQGQDCY-UHFFFAOYSA-N 1 2 297.221 3.883 20 0 CHADLO Cc1ccc(F)c(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000194692646 331011042 /nfs/dbraw/zinc/01/10/42/331011042.db2.gz AEEPFNDQBHXKAF-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1[nH]c2ccc(CSC3(CO)CCCCC3)cc2[nH+]1 ZINC000451052681 331013682 /nfs/dbraw/zinc/01/36/82/331013682.db2.gz AVIKISQUGUQQEX-UHFFFAOYSA-N 1 2 290.432 3.800 20 0 CHADLO Cc1[nH]c2cc(CSC3(CO)CCCCC3)ccc2[nH+]1 ZINC000451052681 331013683 /nfs/dbraw/zinc/01/36/83/331013683.db2.gz AVIKISQUGUQQEX-UHFFFAOYSA-N 1 2 290.432 3.800 20 0 CHADLO CC(C)CC(CC(C)C)C(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000451107592 331015793 /nfs/dbraw/zinc/01/57/93/331015793.db2.gz ZVIDQBRFJKCREJ-HNNXBMFYSA-N 1 2 293.455 3.931 20 0 CHADLO CC(C)(C)c1csc(C[N@@H+]2CCC[C@@](C)(F)C2)n1 ZINC000451117596 331016162 /nfs/dbraw/zinc/01/61/62/331016162.db2.gz VICCVRJKHFAZCQ-CQSZACIVSA-N 1 2 270.417 3.765 20 0 CHADLO CC(C)(C)c1csc(C[N@H+]2CCC[C@@](C)(F)C2)n1 ZINC000451117596 331016163 /nfs/dbraw/zinc/01/61/63/331016163.db2.gz VICCVRJKHFAZCQ-CQSZACIVSA-N 1 2 270.417 3.765 20 0 CHADLO Cc1cncc(C[N@@H+]2CCc3c(Cl)cc(F)cc3C2)c1 ZINC000451158975 331018204 /nfs/dbraw/zinc/01/82/04/331018204.db2.gz AZUULQZSPXERIL-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cncc(C[N@H+]2CCc3c(Cl)cc(F)cc3C2)c1 ZINC000451158975 331018205 /nfs/dbraw/zinc/01/82/05/331018205.db2.gz AZUULQZSPXERIL-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CC[C@@H](F)C2)cc1Cl ZINC000451194887 331019951 /nfs/dbraw/zinc/01/99/51/331019951.db2.gz KWJGMMVUAPLDRC-GFCCVEGCSA-N 1 2 271.763 3.671 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CC[C@@H](F)C2)cc1Cl ZINC000451194887 331019952 /nfs/dbraw/zinc/01/99/52/331019952.db2.gz KWJGMMVUAPLDRC-GFCCVEGCSA-N 1 2 271.763 3.671 20 0 CHADLO CCCC[C@H](C(=O)OC)[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451827712 331043933 /nfs/dbraw/zinc/04/39/33/331043933.db2.gz AINOLZJECAJDOU-UKRRQHHQSA-N 1 2 291.391 3.538 20 0 CHADLO CCCC[C@H](C(=O)OC)[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451827712 331043934 /nfs/dbraw/zinc/04/39/34/331043934.db2.gz AINOLZJECAJDOU-UKRRQHHQSA-N 1 2 291.391 3.538 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2nc3ccccc3[nH]2)on1 ZINC000451881419 331046151 /nfs/dbraw/zinc/04/61/51/331046151.db2.gz YRKOBOGUQQPGNH-INIZCTEOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2nc3ccccc3[nH]2)on1 ZINC000451881419 331046152 /nfs/dbraw/zinc/04/61/52/331046152.db2.gz YRKOBOGUQQPGNH-INIZCTEOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2C)nc1 ZINC000451991025 331049441 /nfs/dbraw/zinc/04/94/41/331049441.db2.gz MUCKPYZJSFILBX-MRXNPFEDSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2C)nc1 ZINC000451991025 331049442 /nfs/dbraw/zinc/04/94/42/331049442.db2.gz MUCKPYZJSFILBX-MRXNPFEDSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2ccc(C)c(C)c2)s1 ZINC000452041157 331051635 /nfs/dbraw/zinc/05/16/35/331051635.db2.gz IUHVLEKURKCVFR-GFCCVEGCSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2ccc(C)c(C)c2)s1 ZINC000452041157 331051636 /nfs/dbraw/zinc/05/16/36/331051636.db2.gz IUHVLEKURKCVFR-GFCCVEGCSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@@H](C)c2nc(C(C)C)no2)c1 ZINC000452093543 331053920 /nfs/dbraw/zinc/05/39/20/331053920.db2.gz UNXSMPUXQVPSOS-STQMWFEESA-N 1 2 273.380 3.913 20 0 CHADLO CCCC[C@H](CC)C(=O)N1CC(C)(C)[C@H]1c1[nH+]ccn1C ZINC000452842728 331079190 /nfs/dbraw/zinc/07/91/90/331079190.db2.gz ITRNBCWPXBVIOL-UONOGXRCSA-N 1 2 291.439 3.546 20 0 CHADLO CC[C@H](CC(C)C)c1nc(Cc2ccc(C)[nH+]c2)no1 ZINC000453092866 331088463 /nfs/dbraw/zinc/08/84/63/331088463.db2.gz SKZGYKIKKFMPCT-CQSZACIVSA-N 1 2 273.380 3.904 20 0 CHADLO CSc1ccc(F)cc1C[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000453128486 331092010 /nfs/dbraw/zinc/09/20/10/331092010.db2.gz WRNHYVXCDOEECW-GXFFZTMASA-N 1 2 291.395 3.540 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H]1CCn2ccnc21)c1ccc(F)cc1F ZINC000453140707 331093467 /nfs/dbraw/zinc/09/34/67/331093467.db2.gz OEDRWNRYVSZFDX-HUUCEWRRSA-N 1 2 291.345 3.593 20 0 CHADLO CCc1ccc(N[C@H](C)c2[nH+]ccn2CC)cc1F ZINC000453171354 331096821 /nfs/dbraw/zinc/09/68/21/331096821.db2.gz IVZDALZRSNLVMY-LLVKDONJSA-N 1 2 261.344 3.778 20 0 CHADLO Cc1c(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cnn1CCC(C)C ZINC000453175918 331097347 /nfs/dbraw/zinc/09/73/47/331097347.db2.gz DBKXVLMOANBOKY-TZMCWYRMSA-N 1 2 287.411 3.552 20 0 CHADLO FC(F)(F)CCc1ccc(N[C@H]2CCn3cc[nH+]c32)cc1 ZINC000453185884 331098655 /nfs/dbraw/zinc/09/86/55/331098655.db2.gz VSZAJIMZKMHSFI-ZDUSSCGKSA-N 1 2 295.308 3.935 20 0 CHADLO CC(C)Cn1ncc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)c1C1CC1 ZINC000453212029 331101978 /nfs/dbraw/zinc/10/19/78/331101978.db2.gz BOIAFMWVKDZCFA-TZMCWYRMSA-N 1 2 299.422 3.731 20 0 CHADLO CC(C)Cn1ncc(N[C@H]2C[C@@H](C)n3cc[nH+]c32)c1C1CC1 ZINC000453212028 331102023 /nfs/dbraw/zinc/10/20/23/331102023.db2.gz BOIAFMWVKDZCFA-OCCSQVGLSA-N 1 2 299.422 3.731 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)c1ccc(C(=O)OC)cc1)C(F)F ZINC000453228534 331104142 /nfs/dbraw/zinc/10/41/42/331104142.db2.gz BWCRCYXKIADRNN-QWHCGFSZSA-N 1 2 285.334 3.558 20 0 CHADLO CCn1cc([C@H](C)[NH2+][C@H](c2ccco2)c2ccccc2)cn1 ZINC000194983450 331104497 /nfs/dbraw/zinc/10/44/97/331104497.db2.gz ICIWEWSETGKCGR-KSSFIOAISA-N 1 2 295.386 3.936 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(OC)cc1F)C(F)F ZINC000453246169 331106849 /nfs/dbraw/zinc/10/68/49/331106849.db2.gz MNONFWWNNCOCEE-QPUJVOFHSA-N 1 2 261.287 3.529 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCSc2ccc(F)cc21)C(F)F ZINC000453248339 331107301 /nfs/dbraw/zinc/10/73/01/331107301.db2.gz PJAVFDXMXBZRES-QWRGUYRKSA-N 1 2 275.339 3.996 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC)C(F)F)c1ccc(OC)cc1 ZINC000453250029 331107536 /nfs/dbraw/zinc/10/75/36/331107536.db2.gz RFYXZNMMNQPRMG-STQMWFEESA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCSc2ccc(OC)cc21)C(F)F ZINC000453253458 331107979 /nfs/dbraw/zinc/10/79/79/331107979.db2.gz XFTOTQGSMNPPTL-VXGBXAGGSA-N 1 2 287.375 3.865 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCc2c1c(F)ccc2F)C(F)F ZINC000453254902 331108069 /nfs/dbraw/zinc/10/80/69/331108069.db2.gz YOKRXBXBLMBGJU-QWRGUYRKSA-N 1 2 261.262 3.585 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(F)cc1N(C)C ZINC000453281602 331110661 /nfs/dbraw/zinc/11/06/61/331110661.db2.gz HJSLVPKEEYKMTH-ZJUUUORDSA-N 1 2 274.330 3.586 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)N[C@H](c1ccccc1)C(F)(F)F ZINC000453336689 331118522 /nfs/dbraw/zinc/11/85/22/331118522.db2.gz FIUOXGBHHNUWRI-WCQYABFASA-N 1 2 297.324 3.857 20 0 CHADLO COc1cccnc1C[NH2+][C@@H](C)c1csc(C(C)C)n1 ZINC000453368016 331123616 /nfs/dbraw/zinc/12/36/16/331123616.db2.gz PENMOIDPBGFLRR-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H](C)c1cc(C)c(C)o1 ZINC000453371944 331124343 /nfs/dbraw/zinc/12/43/43/331124343.db2.gz WFLZVPVDQFYCRV-VXGBXAGGSA-N 1 2 261.369 3.525 20 0 CHADLO CC[C@H]([NH2+]Cc1cscn1)c1ccccc1F ZINC000163829567 331132749 /nfs/dbraw/zinc/13/27/49/331132749.db2.gz HGWVAQJOIXAJCN-ZDUSSCGKSA-N 1 2 250.342 3.523 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)C1(C2CCC2)CCC1 ZINC000454510832 331147681 /nfs/dbraw/zinc/14/76/81/331147681.db2.gz OHZIXZRFAWZJBE-UHFFFAOYSA-N 1 2 295.386 3.781 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+][C@@H]1CCCC[C@H]1c1ccccc1 ZINC000164096949 331162302 /nfs/dbraw/zinc/16/23/02/331162302.db2.gz IKRVPAVEJGXMIH-JKSUJKDBSA-N 1 2 289.419 3.644 20 0 CHADLO C[C@H]([NH2+]CC(=O)OC(C)(C)C)c1cccc2ccccc21 ZINC000164115412 331164835 /nfs/dbraw/zinc/16/48/35/331164835.db2.gz JYBDRZCLMIQIIP-ZDUSSCGKSA-N 1 2 285.387 3.832 20 0 CHADLO C[C@H]1CC=C(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CC1 ZINC000455419137 331169393 /nfs/dbraw/zinc/16/93/93/331169393.db2.gz YSMTXWIDQIXYOE-LBPRGKRZSA-N 1 2 281.359 3.762 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1CC(C(C)(C)C)C1 ZINC000455432141 331169844 /nfs/dbraw/zinc/16/98/44/331169844.db2.gz DWPXVIOSJBCIDV-UHFFFAOYSA-N 1 2 275.396 3.645 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@H]1CCCC1(C)C ZINC000455440673 331169992 /nfs/dbraw/zinc/16/99/92/331169992.db2.gz NRLXBLGQYGZWAS-CYBMUJFWSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1cc(C)c(NC(=O)N([C@@H](C)C2CC2)C2CC2)c(C)[nH+]1 ZINC000455485724 331170817 /nfs/dbraw/zinc/17/08/17/331170817.db2.gz KFOCLDDIAJMGHQ-ZDUSSCGKSA-N 1 2 287.407 3.802 20 0 CHADLO Cc1cc(C)c(NC(=O)NCCCC(C)(F)F)c(C)[nH+]1 ZINC000455526752 331172076 /nfs/dbraw/zinc/17/20/76/331172076.db2.gz QAFPKMAJUCYYHJ-UHFFFAOYSA-N 1 2 285.338 3.564 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1ccc(C(C)C)s1 ZINC000456342460 331190355 /nfs/dbraw/zinc/19/03/55/331190355.db2.gz DQIOZRNBYMZAHK-LLVKDONJSA-N 1 2 291.420 3.579 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000456357530 331190671 /nfs/dbraw/zinc/19/06/71/331190671.db2.gz BYPFBCHKZUYVDA-BPLDGKMQSA-N 1 2 289.423 3.612 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C1(CC(C)C)CCCC1 ZINC000456365068 331191173 /nfs/dbraw/zinc/19/11/73/331191173.db2.gz VUIYWHLSAGOLKQ-CQSZACIVSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)[C@@H](c1ccccc1)C(C)C ZINC000456372617 331191527 /nfs/dbraw/zinc/19/15/27/331191527.db2.gz ZJZHGQUASUMLMG-GOEBONIOSA-N 1 2 299.418 3.520 20 0 CHADLO CCC[C@@H](C(=O)N[C@@H](C)c1[nH+]ccn1CC)c1ccccc1 ZINC000456377984 331191687 /nfs/dbraw/zinc/19/16/87/331191687.db2.gz IKJKQYMXZHOEDP-GOEBONIOSA-N 1 2 299.418 3.664 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)c2ccc3sccc3c2)c2[nH+]ccn21 ZINC000456397828 331192140 /nfs/dbraw/zinc/19/21/40/331192140.db2.gz CFYMSDJNDHAWSO-ZWNOBZJWSA-N 1 2 297.383 3.534 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H]2CCCC(C)(C)C2)c[nH+]1 ZINC000456826024 331206180 /nfs/dbraw/zinc/20/61/80/331206180.db2.gz ADDJCFKUBWHADV-AWEZNQCLSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2CCC(C)(C)CC2)c[nH+]1 ZINC000456838117 331207086 /nfs/dbraw/zinc/20/70/86/331207086.db2.gz LFGYCHATYHTMEP-UHFFFAOYSA-N 1 2 274.408 3.531 20 0 CHADLO CC[C@@H](C(=O)NCc1c[nH+]c(C)cc1C)c1ccccc1 ZINC000456839742 331207181 /nfs/dbraw/zinc/20/71/81/331207181.db2.gz DJDOHOSLOMSQQG-QGZVFWFLSA-N 1 2 282.387 3.508 20 0 CHADLO Cc1cc(C)c(CNC(=O)CC[C@H](C)c2ccccc2)c[nH+]1 ZINC000456842551 331207318 /nfs/dbraw/zinc/20/73/18/331207318.db2.gz PVUHGCPTEKAJOZ-AWEZNQCLSA-N 1 2 296.414 3.899 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2=C(C)CC(C)(C)CC2)c[nH+]1 ZINC000456852913 331207529 /nfs/dbraw/zinc/20/75/29/331207529.db2.gz XQQOKVYGDCKFDS-UHFFFAOYSA-N 1 2 286.419 3.841 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H](C)CC2CCCC2)c[nH+]1 ZINC000456851593 331207597 /nfs/dbraw/zinc/20/75/97/331207597.db2.gz WRTXKGGCGPLVLB-CYBMUJFWSA-N 1 2 274.408 3.531 20 0 CHADLO CC[C@@H](CC(F)F)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000457518842 331225622 /nfs/dbraw/zinc/22/56/22/331225622.db2.gz IAZYZWXHMXBFFY-JTQLQIEISA-N 1 2 293.317 3.697 20 0 CHADLO CCCCN(CCCC)C(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000459051659 331268579 /nfs/dbraw/zinc/26/85/79/331268579.db2.gz FVUCVXWMWWRCAC-CQSZACIVSA-N 1 2 294.443 3.576 20 0 CHADLO CCCCN(CCCC)C(=O)NCc1c[nH+]c(C)cc1C ZINC000459066551 331268919 /nfs/dbraw/zinc/26/89/19/331268919.db2.gz APDPYWDWTHZDTO-UHFFFAOYSA-N 1 2 291.439 3.810 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C3CC3)cc2)cs1 ZINC000459309884 331275603 /nfs/dbraw/zinc/27/56/03/331275603.db2.gz LNAGUFHPJDQSNU-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C3CC3)cc2)cs1 ZINC000459309884 331275604 /nfs/dbraw/zinc/27/56/04/331275604.db2.gz LNAGUFHPJDQSNU-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC2CC3(CCC3)C2)c1 ZINC000459347916 331277267 /nfs/dbraw/zinc/27/72/67/331277267.db2.gz LXVCNVQADIKSRS-UHFFFAOYSA-N 1 2 267.376 3.832 20 0 CHADLO Clc1cnn(CC[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000459364548 331278365 /nfs/dbraw/zinc/27/83/65/331278365.db2.gz SONUPGGXBQKFBM-CQSZACIVSA-N 1 2 296.201 3.637 20 0 CHADLO Clc1cnn(CC[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000459364548 331278366 /nfs/dbraw/zinc/27/83/66/331278366.db2.gz SONUPGGXBQKFBM-CQSZACIVSA-N 1 2 296.201 3.637 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)Cc2cccnc2)c(Cl)c1 ZINC000459479567 331283893 /nfs/dbraw/zinc/28/38/93/331283893.db2.gz KBBSDGIXHFEXDL-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)Cc2cccnc2)c(Cl)c1 ZINC000459479567 331283894 /nfs/dbraw/zinc/28/38/94/331283894.db2.gz KBBSDGIXHFEXDL-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCCCOc1ccc(C[NH2+]CC(F)F)cc1OCC ZINC000459536352 331286518 /nfs/dbraw/zinc/28/65/18/331286518.db2.gz LDMWZQYQHQTAJT-UHFFFAOYSA-N 1 2 287.350 3.619 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3cccc(Cl)c3C2)n1 ZINC000459699982 331295015 /nfs/dbraw/zinc/29/50/15/331295015.db2.gz KBJSWRFIURWPTG-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1csc(C[N@H+]2CCc3cccc(Cl)c3C2)n1 ZINC000459699982 331295016 /nfs/dbraw/zinc/29/50/16/331295016.db2.gz KBJSWRFIURWPTG-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Fc1cccc2c1C[N@H+](CCc1ccc(F)c(F)c1)C2 ZINC000459750983 331298156 /nfs/dbraw/zinc/29/81/56/331298156.db2.gz NMVXCKDTLVZCPZ-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1cccc2c1C[N@@H+](CCc1ccc(F)c(F)c1)C2 ZINC000459750983 331298157 /nfs/dbraw/zinc/29/81/57/331298157.db2.gz NMVXCKDTLVZCPZ-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Cc1noc(C[N@@H+]2CC3(CCCCC3)[C@@H]2c2ccccc2)n1 ZINC000459749457 331298213 /nfs/dbraw/zinc/29/82/13/331298213.db2.gz PBYBRRXDZDEWTC-KRWDZBQOSA-N 1 2 297.402 3.885 20 0 CHADLO Cc1noc(C[N@H+]2CC3(CCCCC3)[C@@H]2c2ccccc2)n1 ZINC000459749457 331298214 /nfs/dbraw/zinc/29/82/14/331298214.db2.gz PBYBRRXDZDEWTC-KRWDZBQOSA-N 1 2 297.402 3.885 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCCCC2)cc1)[C@H]1CCOC1 ZINC000164966202 331305503 /nfs/dbraw/zinc/30/55/03/331305503.db2.gz DHACZLPBWLRLSG-CABCVRRESA-N 1 2 274.408 3.514 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000460330214 331309260 /nfs/dbraw/zinc/30/92/60/331309260.db2.gz HSGLPIZMPYFAPY-CQSZACIVSA-N 1 2 285.391 3.879 20 0 CHADLO CC[C@@H](c1ccccc1)[C@H]1CCCN1c1cc[nH+]c(C)n1 ZINC000462801270 331329795 /nfs/dbraw/zinc/32/97/95/331329795.db2.gz BNHQPRMXOBPWHR-DLBZAZTESA-N 1 2 281.403 3.948 20 0 CHADLO COc1ccc([C@@H](C)CCNc2cc[nH+]c(C(C)C)n2)cc1 ZINC000462872085 331333467 /nfs/dbraw/zinc/33/34/67/331333467.db2.gz CEZGZUXLGHTMMB-AWEZNQCLSA-N 1 2 299.418 3.636 20 0 CHADLO CC1(C)[C@H](Nc2ccc3ccccc3[nH+]2)[C@@H]2CCCO[C@H]21 ZINC000462909676 331334848 /nfs/dbraw/zinc/33/48/48/331334848.db2.gz AXFDCEYTVSDOTM-IAOVAPTHSA-N 1 2 282.387 3.850 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1cc(C)[nH+]c(-c2cccnc2)n1 ZINC000463070166 331339288 /nfs/dbraw/zinc/33/92/88/331339288.db2.gz NJTNGZPNTUFJTC-OLZOCXBDSA-N 1 2 284.407 3.506 20 0 CHADLO Cc1ccc(C(C)(C)CN(C)c2cccc[nH+]2)cc1 ZINC000463076492 331339581 /nfs/dbraw/zinc/33/95/81/331339581.db2.gz AQYGFXPXCXJDIE-UHFFFAOYSA-N 1 2 254.377 3.804 20 0 CHADLO CCC[C@@H]1CCCN(c2cc(C)[nH+]c(-c3ccncc3)n2)C1 ZINC000466818776 331405719 /nfs/dbraw/zinc/40/57/19/331405719.db2.gz DGZQXTCGHKNYCP-OAHLLOKOSA-N 1 2 296.418 3.864 20 0 CHADLO Cc1cccc(C)c1CNc1[nH+]cccc1OC(F)F ZINC000469461911 331452093 /nfs/dbraw/zinc/45/20/93/331452093.db2.gz ZQACPJONXXGCRN-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO COc1ccc(C[C@@H](C)CNc2ccc[nH+]c2C)cc1F ZINC000470244410 331476253 /nfs/dbraw/zinc/47/62/53/331476253.db2.gz YRQOPSFEOOWIKZ-GFCCVEGCSA-N 1 2 288.366 3.828 20 0 CHADLO COc1ccc(C[C@H](C)CNc2ccc[nH+]c2C)cc1F ZINC000470244411 331476264 /nfs/dbraw/zinc/47/62/64/331476264.db2.gz YRQOPSFEOOWIKZ-LBPRGKRZSA-N 1 2 288.366 3.828 20 0 CHADLO CC(C)(CF)[NH2+]Cc1csc(-c2ccc(F)cc2)n1 ZINC000472145689 331530179 /nfs/dbraw/zinc/53/01/79/331530179.db2.gz KWSAKIJKNCHAHX-UHFFFAOYSA-N 1 2 282.359 3.787 20 0 CHADLO Cc1nc(N2C[C@@H](c3ccccc3)C[C@@H]2C)c(C)c(C)[nH+]1 ZINC000474770560 331597412 /nfs/dbraw/zinc/59/74/12/331597412.db2.gz FZMAHIDEBAPSCA-SJCJKPOMSA-N 1 2 281.403 3.784 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)[C@H]2CCCC2(C)C)c1 ZINC000475988653 331650801 /nfs/dbraw/zinc/65/08/01/331650801.db2.gz PTSPTYAKSVERJP-OAHLLOKOSA-N 1 2 297.402 3.852 20 0 CHADLO Cc1ccc(F)c(NCc2ccc(N(C)C(C)C)[nH+]c2)c1 ZINC000480277654 331765876 /nfs/dbraw/zinc/76/58/76/331765876.db2.gz LEZGNZCGDPGRPO-UHFFFAOYSA-N 1 2 287.382 3.986 20 0 CHADLO CC(C)C[C@H]1OCCC[C@H]1Nc1[nH+]c2ccccc2n1C ZINC000480528386 331776353 /nfs/dbraw/zinc/77/63/53/331776353.db2.gz ZYOLRRPKVSEVKK-GDBMZVCRSA-N 1 2 287.407 3.579 20 0 CHADLO Fc1ccc([C@H]2CCN(c3cc[nH+]c4ccncc43)C2)cc1 ZINC000480779080 331788125 /nfs/dbraw/zinc/78/81/25/331788125.db2.gz JYWZJFFYTJSKMO-AWEZNQCLSA-N 1 2 293.345 3.763 20 0 CHADLO FC(F)(F)COc1cccc(CCNc2cccc[nH+]2)c1 ZINC000481063782 331796829 /nfs/dbraw/zinc/79/68/29/331796829.db2.gz YGWPBXKDJKELTG-UHFFFAOYSA-N 1 2 296.292 3.677 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCCC2(C)C)c(C)[nH+]1 ZINC000483963418 331890107 /nfs/dbraw/zinc/89/01/07/331890107.db2.gz SRDIVJVERXEYAQ-ZDUSSCGKSA-N 1 2 260.381 3.772 20 0 CHADLO CC[C@H]([NH2+][C@H](c1ccccc1)C1CC1)C(=O)OC(C)(C)C ZINC000484081829 331892253 /nfs/dbraw/zinc/89/22/53/331892253.db2.gz KNVRREFYDHJPPB-JKSUJKDBSA-N 1 2 289.419 3.848 20 0 CHADLO FC(F)(F)[C@@H]1CCCC[C@H]1CNc1cccc[nH+]1 ZINC000484804456 331905203 /nfs/dbraw/zinc/90/52/03/331905203.db2.gz FBZKKDPVBIQPDM-WDEREUQCSA-N 1 2 258.287 3.862 20 0 CHADLO Cc1nc(N[C@@H]2CCC[C@H](c3ccccc3)C2)cc[nH+]1 ZINC000487127728 331956839 /nfs/dbraw/zinc/95/68/39/331956839.db2.gz ASBQSTPVSWAJAH-JKSUJKDBSA-N 1 2 267.376 3.923 20 0 CHADLO Fc1ccc2cc(C[NH+]3Cc4ccccc4C3)[nH]c2c1 ZINC000488290173 331997629 /nfs/dbraw/zinc/99/76/29/331997629.db2.gz GBBNNORCPDRMCJ-UHFFFAOYSA-N 1 2 266.319 3.823 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+]C[C@@H]1C[C@H]1C ZINC000488324208 331999764 /nfs/dbraw/zinc/99/97/64/331999764.db2.gz RTUWAZMDUURVKA-OCCSQVGLSA-N 1 2 276.449 3.616 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000488338319 332000690 /nfs/dbraw/zinc/00/06/90/332000690.db2.gz KYMHYRSFIBETTL-HCKVZZMMSA-N 1 2 283.774 3.508 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000488338319 332000691 /nfs/dbraw/zinc/00/06/91/332000691.db2.gz KYMHYRSFIBETTL-HCKVZZMMSA-N 1 2 283.774 3.508 20 0 CHADLO C[C@@H]1C[C@H]1C[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000488338318 332000714 /nfs/dbraw/zinc/00/07/14/332000714.db2.gz KYMHYRSFIBETTL-GMXABZIVSA-N 1 2 283.774 3.508 20 0 CHADLO C[C@@H]1C[C@H]1C[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000488338318 332000715 /nfs/dbraw/zinc/00/07/15/332000715.db2.gz KYMHYRSFIBETTL-GMXABZIVSA-N 1 2 283.774 3.508 20 0 CHADLO C[C@@H]1C[C@H]1CNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000488440027 332008693 /nfs/dbraw/zinc/00/86/93/332008693.db2.gz AGGVZGKYFQWNCT-HIFRSBDPSA-N 1 2 279.387 3.670 20 0 CHADLO Cc1c[nH+]cc(N[C@@H]2CS[C@@H](C(C)(C)C)C2)c1 ZINC000488449194 332010147 /nfs/dbraw/zinc/01/01/47/332010147.db2.gz NPSLPXDSXKPLIL-QWHCGFSZSA-N 1 2 250.411 3.722 20 0 CHADLO Fc1cc(C2CC2)ccc1CNc1cc[nH+]c2ccncc12 ZINC000488486881 332013248 /nfs/dbraw/zinc/01/32/48/332013248.db2.gz MBLDIWWBNQIHMR-UHFFFAOYSA-N 1 2 293.345 3.680 20 0 CHADLO COCC(C)(C)CNc1cc(C)[nH+]c2c(F)cccc12 ZINC000488693000 332021987 /nfs/dbraw/zinc/02/19/87/332021987.db2.gz ZZLZKTSQJAAZPI-UHFFFAOYSA-N 1 2 276.355 3.767 20 0 CHADLO Cc1cc(NC[C@H]2CCCC[C@@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000489226836 332043872 /nfs/dbraw/zinc/04/38/72/332043872.db2.gz SGYCAPCCHBQEJV-XJKSGUPXSA-N 1 2 296.418 3.507 20 0 CHADLO FC(F)(F)[C@H]([NH2+][C@@H]1CCC[C@H]([C@H]2CCOC2)C1)C1CC1 ZINC000489829216 332069397 /nfs/dbraw/zinc/06/93/97/332069397.db2.gz RHCCVJGCBJWFAP-IGQOVBAYSA-N 1 2 291.357 3.512 20 0 CHADLO Fc1cc(F)cc(O[C@@H]2CCC[C@@H]2Nc2cccc[nH+]2)c1 ZINC000575347310 335096080 /nfs/dbraw/zinc/09/60/80/335096080.db2.gz VYDROEGYLYPJAY-LSDHHAIUSA-N 1 2 290.313 3.772 20 0 CHADLO CC(C)(C)C=CC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000491894102 332374017 /nfs/dbraw/zinc/37/40/17/332374017.db2.gz KRLXOXACXZAACU-HJWRWDBZSA-N 1 2 269.348 3.618 20 0 CHADLO CC(C)([NH2+]Cc1ccsc1)c1cc(C(F)(F)F)on1 ZINC000492110753 332383181 /nfs/dbraw/zinc/38/31/81/332383181.db2.gz UTWDLJFLNSIDHK-UHFFFAOYSA-N 1 2 290.310 3.780 20 0 CHADLO CCc1ccc(/C=C\C(=O)Nc2c(C)cc[nH+]c2C)o1 ZINC000493168872 332429132 /nfs/dbraw/zinc/42/91/32/332429132.db2.gz UBEHXDJEQFSJQX-FPLPWBNLSA-N 1 2 270.332 3.506 20 0 CHADLO CCCN(C(=O)/C=C/c1[nH]cc[nH+]1)[C@@H](CC)c1ccccc1 ZINC000493373364 332437623 /nfs/dbraw/zinc/43/76/23/332437623.db2.gz UORJPIUQAGDWKD-OFAQMXQXSA-N 1 2 297.402 3.813 20 0 CHADLO C[C@H]1CCN(c2[nH+]ccc3ccc(F)cc32)CCS1 ZINC000493408682 332439065 /nfs/dbraw/zinc/43/90/65/332439065.db2.gz DFGMKMUQLANLEI-NSHDSACASA-N 1 2 276.380 3.706 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(/C=C/C2CCCCC2)n1 ZINC000575736790 335110476 /nfs/dbraw/zinc/11/04/76/335110476.db2.gz WCCATOSGHUIANP-MDZDMXLPSA-N 1 2 283.375 3.957 20 0 CHADLO Cc1cc(N(C)[C@H]2CCCC[C@@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000498839132 332574111 /nfs/dbraw/zinc/57/41/11/332574111.db2.gz QICJEELZVYVYHU-BBRMVZONSA-N 1 2 296.418 3.862 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)C1CCCCC1)c1ccsc1 ZINC000499601083 332589419 /nfs/dbraw/zinc/58/94/19/332589419.db2.gz SDXQSWCUNFHIEZ-FZMZJTMJSA-N 1 2 281.421 3.521 20 0 CHADLO CN(C)c1ccc(NC/C=C/c2ccc(F)c(F)c2)c[nH+]1 ZINC000512013399 332950582 /nfs/dbraw/zinc/95/05/82/332950582.db2.gz AGAYCGFBMNNECJ-ONEGZZNKSA-N 1 2 289.329 3.551 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1CCC[C@@H]2CCCC[C@@H]12 ZINC000512571911 332973922 /nfs/dbraw/zinc/97/39/22/332973922.db2.gz DPFBMCRTKFYSPW-ARFHVFGLSA-N 1 2 286.419 3.611 20 0 CHADLO C[C@H](CC1CCCCC1)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178381480 333071821 /nfs/dbraw/zinc/07/18/21/333071821.db2.gz CIDKHJBPIHYTGZ-CQSZACIVSA-N 1 2 299.418 3.557 20 0 CHADLO CCC[C@H](CC)[NH2+][C@H](C(=O)OC)c1ccc(Cl)cc1 ZINC000517006671 333089342 /nfs/dbraw/zinc/08/93/42/333089342.db2.gz MVZLYXUDAYAQAM-KBPBESRZSA-N 1 2 283.799 3.722 20 0 CHADLO CCC[C@H](CC)[NH2+][C@@H](C(=O)OC)c1ccc(Cl)cc1 ZINC000517006674 333089515 /nfs/dbraw/zinc/08/95/15/333089515.db2.gz MVZLYXUDAYAQAM-UONOGXRCSA-N 1 2 283.799 3.722 20 0 CHADLO CCN(C(=O)C[C@H](C)n1cc[nH+]c1)c1cc(C)ccc1C ZINC000517033769 333090344 /nfs/dbraw/zinc/09/03/44/333090344.db2.gz NNAPGLPUFFHPBW-HNNXBMFYSA-N 1 2 285.391 3.504 20 0 CHADLO Cc1cc(N2CCC[C@]2(C)Cc2cccc(F)c2)nc[nH+]1 ZINC000517134305 333093196 /nfs/dbraw/zinc/09/31/96/333093196.db2.gz LTDITNDVJHACRA-QGZVFWFLSA-N 1 2 285.366 3.526 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cn1)c1cscn1 ZINC000517396065 333098829 /nfs/dbraw/zinc/09/88/29/333098829.db2.gz ZXEPPAZMQJASGR-DTWKUNHWSA-N 1 2 267.785 3.603 20 0 CHADLO CC(C)c1nc(NCc2ccc(Cl)cc2Cl)cc[nH+]1 ZINC000517635819 333104523 /nfs/dbraw/zinc/10/45/23/333104523.db2.gz GDGMSZHGGXOYEM-UHFFFAOYSA-N 1 2 296.201 3.941 20 0 CHADLO CC(C)c1nc(N2CCC[C@@H]2Cc2ccc(F)cc2)cc[nH+]1 ZINC000517650278 333105030 /nfs/dbraw/zinc/10/50/30/333105030.db2.gz IPDDJHJZWCECSZ-MRXNPFEDSA-N 1 2 299.393 3.951 20 0 CHADLO CCOC(=O)C[N@H+](C)[C@@H](C)c1ccc(Cl)c(Cl)c1 ZINC000521195623 333114633 /nfs/dbraw/zinc/11/46/33/333114633.db2.gz LEGVSTQKSJXPNN-VIFPVBQESA-N 1 2 290.190 3.549 20 0 CHADLO CCOC(=O)C[N@@H+](C)[C@@H](C)c1ccc(Cl)c(Cl)c1 ZINC000521195623 333114634 /nfs/dbraw/zinc/11/46/34/333114634.db2.gz LEGVSTQKSJXPNN-VIFPVBQESA-N 1 2 290.190 3.549 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](C)c2oc3ccccc3c2C)n1 ZINC000183599214 333121368 /nfs/dbraw/zinc/12/13/68/333121368.db2.gz WAKJMPNFODHWAQ-LBPRGKRZSA-N 1 2 299.374 3.928 20 0 CHADLO CCOC(=O)[C@@H]([NH2+]Cc1ccc(C(F)F)cc1)[C@@H](C)CC ZINC000183994142 333124248 /nfs/dbraw/zinc/12/42/48/333124248.db2.gz DTBRQDNXIQBIKG-FZMZJTMJSA-N 1 2 299.361 3.692 20 0 CHADLO Cc1cncc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000184451791 333128914 /nfs/dbraw/zinc/12/89/14/333128914.db2.gz PCEXCEQXYYQDKN-AWEZNQCLSA-N 1 2 282.391 3.558 20 0 CHADLO COc1cccc2c1[nH+]c(NCc1ccc(C)s1)n2C ZINC000184791729 333132309 /nfs/dbraw/zinc/13/23/09/333132309.db2.gz SSMRWZKMQMWLKW-UHFFFAOYSA-N 1 2 287.388 3.564 20 0 CHADLO COC(=O)[C@@H](C)[NH2+][C@H](C)c1ccc(Cl)c2ccccc21 ZINC000184924751 333133252 /nfs/dbraw/zinc/13/32/52/333133252.db2.gz BDFJIWPIXVUIJT-GHMZBOCLSA-N 1 2 291.778 3.705 20 0 CHADLO C[C@H]1CCC[C@@H]1CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000186646178 333164138 /nfs/dbraw/zinc/16/41/38/333164138.db2.gz ZGGWMYDAFNZELC-UONOGXRCSA-N 1 2 283.375 3.637 20 0 CHADLO CC(C)COCCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000220450842 333166648 /nfs/dbraw/zinc/16/66/48/333166648.db2.gz IGKPNLHNQRCWLI-UHFFFAOYSA-N 1 2 276.302 3.575 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@@H](C)c1ncc(C)o1 ZINC000220897250 333170180 /nfs/dbraw/zinc/17/01/80/333170180.db2.gz QTUHKYFDYSPHAX-OLZOCXBDSA-N 1 2 274.364 3.712 20 0 CHADLO C[C@@H]1CCC[C@H]1CC(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000187625305 333173083 /nfs/dbraw/zinc/17/30/83/333173083.db2.gz HSLMRPIMTJWELN-OLZOCXBDSA-N 1 2 283.375 3.842 20 0 CHADLO CCCCc1noc(C[N@H+](CC)Cc2ccc(C)cc2)n1 ZINC000521860908 333194351 /nfs/dbraw/zinc/19/43/51/333194351.db2.gz ZGWIVIYVKYKJAM-UHFFFAOYSA-N 1 2 287.407 3.743 20 0 CHADLO CCCCc1noc(C[N@@H+](CC)Cc2ccc(C)cc2)n1 ZINC000521860908 333194352 /nfs/dbraw/zinc/19/43/52/333194352.db2.gz ZGWIVIYVKYKJAM-UHFFFAOYSA-N 1 2 287.407 3.743 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2ccccc2OC(F)F)o1 ZINC000223642104 333210322 /nfs/dbraw/zinc/21/03/22/333210322.db2.gz MTHWBLKTHLKJRS-GHMZBOCLSA-N 1 2 296.317 3.996 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2cccc(OC(F)F)c2)o1 ZINC000223650764 333210448 /nfs/dbraw/zinc/21/04/48/333210448.db2.gz WUCMHOMYGNKINP-QWRGUYRKSA-N 1 2 296.317 3.996 20 0 CHADLO CC(C)c1nc(N[C@@H]2CCc3cc(Cl)ccc32)cc[nH+]1 ZINC000521935537 333224034 /nfs/dbraw/zinc/22/40/34/333224034.db2.gz WCSRVIGIMPEPSR-CQSZACIVSA-N 1 2 287.794 3.775 20 0 CHADLO Cc1ccc(C)c([C@@H]2CN(c3cccc[nH+]3)[C@H](C)CO2)c1 ZINC000192666016 333237674 /nfs/dbraw/zinc/23/76/74/333237674.db2.gz IAXGGZRATAMGJH-WBVHZDCISA-N 1 2 282.387 3.665 20 0 CHADLO CC(C)C[C@H](Nc1[nH+]cnc2c1cnn2C)c1ccccc1 ZINC000192941514 333240458 /nfs/dbraw/zinc/24/04/58/333240458.db2.gz FQIUBAZHMLERGO-HNNXBMFYSA-N 1 2 295.390 3.563 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+](C)Cc2ccc(C)cc2)n1 ZINC000193016689 333241574 /nfs/dbraw/zinc/24/15/74/333241574.db2.gz GWVGXUHXXLEHBI-CQSZACIVSA-N 1 2 287.407 3.914 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+](C)Cc2ccc(C)cc2)n1 ZINC000193016689 333241575 /nfs/dbraw/zinc/24/15/75/333241575.db2.gz GWVGXUHXXLEHBI-CQSZACIVSA-N 1 2 287.407 3.914 20 0 CHADLO Cc1cccc2nc(C[NH2+]Cc3sccc3Cl)cn21 ZINC000193096924 333242562 /nfs/dbraw/zinc/24/25/62/333242562.db2.gz ARJDIEGYYTUZFQ-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO c1ccc(-c2ccc(NCc3cccnc3)[nH+]c2)cc1 ZINC000194246690 333267917 /nfs/dbraw/zinc/26/79/17/333267917.db2.gz SQINCZIWMQFZBL-UHFFFAOYSA-N 1 2 261.328 3.756 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000522566526 333290278 /nfs/dbraw/zinc/29/02/78/333290278.db2.gz KWDLIPVQXGFQDY-DLBZAZTESA-N 1 2 293.414 3.833 20 0 CHADLO Cc1cc(NCc2ccc(C(C)C)cc2)nc(C2CC2)[nH+]1 ZINC000522569824 333290520 /nfs/dbraw/zinc/29/05/20/333290520.db2.gz GJGFTDGJMYJKMN-UHFFFAOYSA-N 1 2 281.403 3.820 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1nc(C)cs1 ZINC000228745275 333296336 /nfs/dbraw/zinc/29/63/36/333296336.db2.gz RYROJJXALSOFNZ-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO CCCC[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cc1)C(=O)OC ZINC000231729659 333328691 /nfs/dbraw/zinc/32/86/91/333328691.db2.gz FLJGXUGYBJZPST-SMDDNHRTSA-N 1 2 283.799 3.722 20 0 CHADLO C[C@@H](CC(F)(F)F)Nc1ccc2ccccc2[nH+]1 ZINC000231749673 333329181 /nfs/dbraw/zinc/32/91/81/333329181.db2.gz VLFIDBVCVWZFBS-VIFPVBQESA-N 1 2 254.255 3.988 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1CCOc1ccccc1Cl ZINC000302742967 333334933 /nfs/dbraw/zinc/33/49/33/333334933.db2.gz BYOOCPDFGXTJKY-CYBMUJFWSA-N 1 2 290.794 3.597 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1CCOc1ccccc1Cl ZINC000302742967 333334934 /nfs/dbraw/zinc/33/49/34/333334934.db2.gz BYOOCPDFGXTJKY-CYBMUJFWSA-N 1 2 290.794 3.597 20 0 CHADLO CC[C@@H](CNc1cc(C)[nH+]c(C2CC2)n1)c1ccccc1 ZINC000523877150 333348888 /nfs/dbraw/zinc/34/88/88/333348888.db2.gz JSFXHKVWOFYUGU-AWEZNQCLSA-N 1 2 281.403 3.690 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1csc(C)n1 ZINC000234929599 333363035 /nfs/dbraw/zinc/36/30/35/333363035.db2.gz SDJHCURSTUROCN-VIFPVBQESA-N 1 2 296.823 3.964 20 0 CHADLO c1ccc2c(c1)CC[C@H](Nc1ccc(N3CCCC3)[nH+]c1)C2 ZINC000236680629 333374728 /nfs/dbraw/zinc/37/47/28/333374728.db2.gz FXIYYSNCVQJCEG-KRWDZBQOSA-N 1 2 293.414 3.651 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)CCc1ccccc1)c1ccccc1 ZINC000237123710 333377535 /nfs/dbraw/zinc/37/75/35/333377535.db2.gz VSJCMHAGFLROBR-CRAIPNDOSA-N 1 2 297.398 3.512 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+]Cc1ncccc1Cl ZINC000528431209 333436850 /nfs/dbraw/zinc/43/68/50/333436850.db2.gz KHKWGTJEZFGMDO-GFCCVEGCSA-N 1 2 290.794 3.985 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H]2CCCC23CC3)[nH+]1 ZINC000528850244 333461573 /nfs/dbraw/zinc/46/15/73/333461573.db2.gz JHVFLNDCXFHOON-AWEZNQCLSA-N 1 2 295.386 3.904 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCOC3(CCCCC3)C2)cs1 ZINC000173853417 335142148 /nfs/dbraw/zinc/14/21/48/335142148.db2.gz RVODYYYMCALMIR-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCOC3(CCCCC3)C2)cs1 ZINC000173853417 335142150 /nfs/dbraw/zinc/14/21/50/335142150.db2.gz RVODYYYMCALMIR-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC(F)(F)C[C@@H]2C)c(C)[nH+]1 ZINC000529107341 333472464 /nfs/dbraw/zinc/47/24/64/333472464.db2.gz ZBDJJWDVWIHUMD-NSHDSACASA-N 1 2 297.349 3.658 20 0 CHADLO c1coc(C[NH+](Cc2ccco2)Cc2ccco2)c1 ZINC000037625512 333535311 /nfs/dbraw/zinc/53/53/11/333535311.db2.gz NSOMZTJDWREIPG-UHFFFAOYSA-N 1 2 257.289 3.668 20 0 CHADLO Cc1cc(NC[C@@H]2CCO[C@H](C)C2)c2cccc(F)c2[nH+]1 ZINC000538926031 333645324 /nfs/dbraw/zinc/64/53/24/333645324.db2.gz DJNXWCPAMAVSSI-CHWSQXEVSA-N 1 2 288.366 3.909 20 0 CHADLO CC(=O)c1c(C)[nH]c(C(=O)Nc2cc[nH+]c(C)c2)c1C(C)C ZINC000128693416 333700398 /nfs/dbraw/zinc/70/03/98/333700398.db2.gz MDERICSLBMIVDB-UHFFFAOYSA-N 1 2 299.374 3.605 20 0 CHADLO Fc1ccc2cc[nH+]c(NCc3ccc4c(c3)CCO4)c2c1 ZINC000539889729 333700772 /nfs/dbraw/zinc/70/07/72/333700772.db2.gz KNJOUVGLBMKEPI-UHFFFAOYSA-N 1 2 294.329 3.921 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+]Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000174455728 335156025 /nfs/dbraw/zinc/15/60/25/335156025.db2.gz MTBXBSXZRYFXLO-ZFWWWQNUSA-N 1 2 287.407 3.545 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1cscn1 ZINC000540727697 333744122 /nfs/dbraw/zinc/74/41/22/333744122.db2.gz WTPXGLMRBKWZCM-LBPRGKRZSA-N 1 2 288.420 3.702 20 0 CHADLO COc1cccc(C[C@@H](Nc2ccc(C)[nH+]c2)C2CC2)c1 ZINC000540749784 333745276 /nfs/dbraw/zinc/74/52/76/333745276.db2.gz OHQRCDHECNORHC-GOSISDBHSA-N 1 2 282.387 3.832 20 0 CHADLO Cc1cc(N2CC[C@@H](C(C)(C)C)C2)nc(-c2ccncc2)[nH+]1 ZINC000541341127 333773001 /nfs/dbraw/zinc/77/30/01/333773001.db2.gz FYBWHXJIGUNUBL-OAHLLOKOSA-N 1 2 296.418 3.719 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(OC2CCCC2)c1)c1ccon1 ZINC000541779820 333792465 /nfs/dbraw/zinc/79/24/65/333792465.db2.gz KNMYELZGBJRQBW-CYBMUJFWSA-N 1 2 286.375 3.847 20 0 CHADLO CC[C@](C)([NH2+]CCCCC(=O)OC(C)(C)C)C(F)(F)F ZINC000543140346 333860196 /nfs/dbraw/zinc/86/01/96/333860196.db2.gz UQDMLUMTPQTZSA-ZDUSSCGKSA-N 1 2 297.361 3.819 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CC[C@@H](C(C)(C)C)[C@H](O)C1 ZINC000543434040 333874312 /nfs/dbraw/zinc/87/43/12/333874312.db2.gz HWWJFCMTLPOBKG-NVXWUHKLSA-N 1 2 298.430 3.777 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)c(Cl)c1)c1ccon1 ZINC000543574002 333881427 /nfs/dbraw/zinc/88/14/27/333881427.db2.gz IBOSPBYLEWIQON-DTWKUNHWSA-N 1 2 268.719 3.879 20 0 CHADLO CC(C)[C@H]1C[C@@H](Nc2c[nH+]c3c(c2)CCCC3)CCO1 ZINC000544041617 333912040 /nfs/dbraw/zinc/91/20/40/333912040.db2.gz SJZXMLMYZLTKNA-WMLDXEAASA-N 1 2 274.408 3.576 20 0 CHADLO COc1cc[nH+]cc1NC(=O)CC(C)(C)c1ccc(C)cc1 ZINC000131412562 333934880 /nfs/dbraw/zinc/93/48/80/333934880.db2.gz ZBRCIWBGYSWPIJ-UHFFFAOYSA-N 1 2 298.386 3.705 20 0 CHADLO Cc1cc(N2CC[C@@H](C)C(C)(C)C2)nc(-c2ccncc2)[nH+]1 ZINC000544702334 333943489 /nfs/dbraw/zinc/94/34/89/333943489.db2.gz QHMXMJWSRNSGLJ-CYBMUJFWSA-N 1 2 296.418 3.719 20 0 CHADLO CC(C)C[C@@H](C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000545199567 333968336 /nfs/dbraw/zinc/96/83/36/333968336.db2.gz LNYRWESNMHFFBQ-GFCCVEGCSA-N 1 2 271.364 3.697 20 0 CHADLO C[C@@H]1c2c(F)cccc2CCN1c1cc[nH+]c(C2CC2)n1 ZINC000545206106 333969014 /nfs/dbraw/zinc/96/90/14/333969014.db2.gz ZTZPCIISVSQNCJ-LLVKDONJSA-N 1 2 283.350 3.617 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC2CC(OC(C)(C)C)C2)c1 ZINC000132818696 334006313 /nfs/dbraw/zinc/00/63/13/334006313.db2.gz YRHHYZOMLALDIX-UHFFFAOYSA-N 1 2 299.418 3.845 20 0 CHADLO CC(C)=CC[N@@H+]1CCC[C@@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000133263241 334032037 /nfs/dbraw/zinc/03/20/37/334032037.db2.gz NPTAFFQJDDYQAE-OAHLLOKOSA-N 1 2 282.391 3.575 20 0 CHADLO CC(C)=CC[N@H+]1CCC[C@@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000133263241 334032038 /nfs/dbraw/zinc/03/20/38/334032038.db2.gz NPTAFFQJDDYQAE-OAHLLOKOSA-N 1 2 282.391 3.575 20 0 CHADLO CC(C)=CC[N@@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000133263241 334032040 /nfs/dbraw/zinc/03/20/40/334032040.db2.gz NPTAFFQJDDYQAE-OAHLLOKOSA-N 1 2 282.391 3.575 20 0 CHADLO CC(C)=CC[N@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000133263241 334032041 /nfs/dbraw/zinc/03/20/41/334032041.db2.gz NPTAFFQJDDYQAE-OAHLLOKOSA-N 1 2 282.391 3.575 20 0 CHADLO COc1ccc[nH+]c1N[C@H]1CCC[C@@H](c2ncccc2C)C1 ZINC000546691262 334046171 /nfs/dbraw/zinc/04/61/71/334046171.db2.gz ZLTJQNGOTVUJRK-CABCVRRESA-N 1 2 297.402 3.932 20 0 CHADLO CCC[C@H](C)c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000547105646 334066793 /nfs/dbraw/zinc/06/67/93/334066793.db2.gz HNQJHPBWBVHSSE-LBPRGKRZSA-N 1 2 282.347 3.826 20 0 CHADLO c1cn(-c2cccc(-c3noc(C4CCCCC4)n3)c2)c[nH+]1 ZINC000547104581 334066816 /nfs/dbraw/zinc/06/68/16/334066816.db2.gz WJWCUUWTTUKXGJ-UHFFFAOYSA-N 1 2 294.358 3.970 20 0 CHADLO C[C@@H]1C[C@H]1c1noc(/C=C/c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000547182692 334071116 /nfs/dbraw/zinc/07/11/16/334071116.db2.gz ZVUOOVLGMDEYNI-NJKKUKIKSA-N 1 2 292.342 3.549 20 0 CHADLO FC(F)Oc1ccc[nH+]c1NCc1cnc(C2CC2)s1 ZINC000547325133 334079397 /nfs/dbraw/zinc/07/93/97/334079397.db2.gz HSGNEVTYKFEXBF-UHFFFAOYSA-N 1 2 297.330 3.629 20 0 CHADLO C[C@@H]1CCC[C@@H]1CC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000547424492 334087153 /nfs/dbraw/zinc/08/71/53/334087153.db2.gz ZNGOEBMIIHOAOD-UKRRQHHQSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2ncc(Cl)s2)o1 ZINC000547435728 334088381 /nfs/dbraw/zinc/08/83/81/334088381.db2.gz NSDUSIYUDYALMB-PSASIEDQSA-N 1 2 282.796 3.803 20 0 CHADLO COc1ccc[nH+]c1NCc1cc2c(ccc(C)c2C)[nH]1 ZINC000547448906 334089363 /nfs/dbraw/zinc/08/93/63/334089363.db2.gz SHLIRGAPAOFKNU-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1ncc(Cl)s1 ZINC000547509888 334096514 /nfs/dbraw/zinc/09/65/14/334096514.db2.gz ISCHVYZXFQLYNC-NSHDSACASA-N 1 2 281.812 3.775 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1ncc(Cl)s1 ZINC000547509888 334096515 /nfs/dbraw/zinc/09/65/15/334096515.db2.gz ISCHVYZXFQLYNC-NSHDSACASA-N 1 2 281.812 3.775 20 0 CHADLO c1cc([C@@H]2CCCN2c2[nH]c3ccccc3[nH+]2)cs1 ZINC000134884771 334107046 /nfs/dbraw/zinc/10/70/46/334107046.db2.gz ZBRRRNKZFXXUIB-AWEZNQCLSA-N 1 2 269.373 3.966 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)ccc1F)c1nc2c(s1)CCC2 ZINC000134894544 334107331 /nfs/dbraw/zinc/10/73/31/334107331.db2.gz LMKMKSMHMGYRJC-VIFPVBQESA-N 1 2 294.370 3.761 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@@H](C)c1ncc(C)s1 ZINC000134908926 334107745 /nfs/dbraw/zinc/10/77/45/334107745.db2.gz NRWUNLMVSRPXLS-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2nc3c(s2)CCC3)cs1 ZINC000134910570 334108054 /nfs/dbraw/zinc/10/80/54/334108054.db2.gz MYWICACJCBDXNP-SECBINFHSA-N 1 2 293.461 3.502 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1csc(Cc2ccccc2)n1 ZINC000547768603 334116195 /nfs/dbraw/zinc/11/61/95/334116195.db2.gz DTLIUDOTRKNQKS-CHWSQXEVSA-N 1 2 272.417 3.717 20 0 CHADLO CC1(C)CCC[C@@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000547788430 334118762 /nfs/dbraw/zinc/11/87/62/334118762.db2.gz ARPCTFAVJMDTMQ-CQSZACIVSA-N 1 2 283.375 3.842 20 0 CHADLO CCCCn1c(SCCC)nnc1[C@@H](CC)[NH+](C)C ZINC000078249478 334129127 /nfs/dbraw/zinc/12/91/27/334129127.db2.gz FARCPAMFWDOXGI-GFCCVEGCSA-N 1 2 284.473 3.593 20 0 CHADLO COc1ccc(C)cc1NCc1cn2cccc(C)c2[nH+]1 ZINC000078504613 334134958 /nfs/dbraw/zinc/13/49/58/334134958.db2.gz YLQGVVJAGPGHGE-UHFFFAOYSA-N 1 2 281.359 3.572 20 0 CHADLO CC[C@@]1(C)C[N@@H+]([C@@H](C)c2ccc(F)c(F)c2)CCO1 ZINC000135644214 334135067 /nfs/dbraw/zinc/13/50/67/334135067.db2.gz GZGNXQWUDXUSCA-NHYWBVRUSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@]1(C)C[N@H+]([C@@H](C)c2ccc(F)c(F)c2)CCO1 ZINC000135644214 334135069 /nfs/dbraw/zinc/13/50/69/334135069.db2.gz GZGNXQWUDXUSCA-NHYWBVRUSA-N 1 2 269.335 3.527 20 0 CHADLO Cc1ccc(CNc2cccc3cc[nH]c32)c(C)[nH+]1 ZINC000548139511 334141909 /nfs/dbraw/zinc/14/19/09/334141909.db2.gz LOIUUUFAMAOXNS-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2cc(F)c(F)cc2F)c1 ZINC000136067429 334145789 /nfs/dbraw/zinc/14/57/89/334145789.db2.gz RHLDGRDILXQQRX-UHFFFAOYSA-N 1 2 287.231 3.672 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3cscn3)CCC2)c(F)c1 ZINC000136135648 334147835 /nfs/dbraw/zinc/14/78/35/334147835.db2.gz OYNMBPKDOHWEKD-UHFFFAOYSA-N 1 2 280.343 3.590 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2c(C)cc(Cl)cc2C)n1 ZINC000564349901 334154931 /nfs/dbraw/zinc/15/49/31/334154931.db2.gz QHGYNOQIMXAJRQ-LLVKDONJSA-N 1 2 293.798 3.841 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2c(C)cc(Cl)cc2C)n1 ZINC000564349901 334154932 /nfs/dbraw/zinc/15/49/32/334154932.db2.gz QHGYNOQIMXAJRQ-LLVKDONJSA-N 1 2 293.798 3.841 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@@H](C)c2cccc(OC)c2)s1 ZINC000079496510 334155577 /nfs/dbraw/zinc/15/55/77/334155577.db2.gz BKTBVMGLPVLNDV-LBPRGKRZSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@@H](C)c2cccc(OC)c2)s1 ZINC000079496510 334155578 /nfs/dbraw/zinc/15/55/78/334155578.db2.gz BKTBVMGLPVLNDV-LBPRGKRZSA-N 1 2 290.432 3.907 20 0 CHADLO COc1ccc[nH+]c1N[C@H](C)CCCC(F)(F)F ZINC000548493868 334160508 /nfs/dbraw/zinc/16/05/08/334160508.db2.gz NDJVMOCXZKZKJZ-SECBINFHSA-N 1 2 262.275 3.623 20 0 CHADLO Cc1cccn2cc(CNC(=O)CCCC3CCCC3)[nH+]c12 ZINC000080121015 334166136 /nfs/dbraw/zinc/16/61/36/334166136.db2.gz ZHBJIJAXTKXGBJ-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)CCc2cccc(F)c2)cc[nH+]1 ZINC000080221000 334167750 /nfs/dbraw/zinc/16/77/50/334167750.db2.gz KHSZCBJZRNEWAI-GFCCVEGCSA-N 1 2 286.350 3.737 20 0 CHADLO C[C@H]1CC[C@H](Nc2ccc(N3CCCCC3)[nH+]c2)C1 ZINC000080433474 334171520 /nfs/dbraw/zinc/17/15/20/334171520.db2.gz IRKVNVGSURDFHM-KBPBESRZSA-N 1 2 259.397 3.672 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@H]1CC[C@@H](C)C1 ZINC000080458316 334171933 /nfs/dbraw/zinc/17/19/33/334171933.db2.gz UDRQZUICZCDWKK-OCCSQVGLSA-N 1 2 263.381 3.621 20 0 CHADLO Cc1cc(N2CC[C@H](CC(C)C)C2)nc(-c2ccncc2)[nH+]1 ZINC000080696542 334173112 /nfs/dbraw/zinc/17/31/12/334173112.db2.gz QWTLJKVDNZPDMO-OAHLLOKOSA-N 1 2 296.418 3.719 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](Cc1cccc(F)c1)C1CC1 ZINC000564358514 334175669 /nfs/dbraw/zinc/17/56/69/334175669.db2.gz VXJTYFHTWCIRFJ-LBPRGKRZSA-N 1 2 293.382 3.520 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](Cc1cccc(F)c1)C1CC1 ZINC000564358514 334175670 /nfs/dbraw/zinc/17/56/70/334175670.db2.gz VXJTYFHTWCIRFJ-LBPRGKRZSA-N 1 2 293.382 3.520 20 0 CHADLO C[N@H+](Cc1ncnn1C(C)(C)C)[C@H]1CCCc2ccccc21 ZINC000548913326 334180103 /nfs/dbraw/zinc/18/01/03/334180103.db2.gz ARNSLZUWSJDYTK-INIZCTEOSA-N 1 2 298.434 3.543 20 0 CHADLO C[N@@H+](Cc1ncnn1C(C)(C)C)[C@H]1CCCc2ccccc21 ZINC000548913326 334180105 /nfs/dbraw/zinc/18/01/05/334180105.db2.gz ARNSLZUWSJDYTK-INIZCTEOSA-N 1 2 298.434 3.543 20 0 CHADLO Cc1ccc2[nH+]c(CN3C[C@@H](C)c4ccccc43)cn2c1 ZINC000548961485 334181377 /nfs/dbraw/zinc/18/13/77/334181377.db2.gz ZXLSWFIWWVQYGI-CQSZACIVSA-N 1 2 277.371 3.766 20 0 CHADLO Fc1ccccc1[C@@]1(F)CCN(c2[nH]c3ccccc3[nH+]2)C1 ZINC000548990644 334182197 /nfs/dbraw/zinc/18/21/97/334182197.db2.gz VPSNKHLXXNLQJR-QGZVFWFLSA-N 1 2 299.324 3.777 20 0 CHADLO Clc1ccsc1C[N@@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000549041688 334183769 /nfs/dbraw/zinc/18/37/69/334183769.db2.gz QPTHXQZDJOWXFX-CQSZACIVSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccsc1C[N@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000549041688 334183770 /nfs/dbraw/zinc/18/37/70/334183770.db2.gz QPTHXQZDJOWXFX-CQSZACIVSA-N 1 2 293.819 3.975 20 0 CHADLO CC[C@@H](C(=O)OC)[NH+]1Cc2ccccc2-c2ccccc2C1 ZINC000549230341 334188179 /nfs/dbraw/zinc/18/81/79/334188179.db2.gz MBZMIHJROZOJDX-SFHVURJKSA-N 1 2 295.382 3.621 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC000245509390 334198880 /nfs/dbraw/zinc/19/88/80/334198880.db2.gz KDEWCBFZEODVHE-HDJSIYSDSA-N 1 2 260.381 3.791 20 0 CHADLO Cc1sccc1C[NH2+]Cc1nc(C)c2ccccc2n1 ZINC000550123174 334213776 /nfs/dbraw/zinc/21/37/76/334213776.db2.gz QNNCCJUXVCJSJQ-UHFFFAOYSA-N 1 2 283.400 3.598 20 0 CHADLO CCCC[C@@H](C)[N@H+](CCCC)Cc1nsc(N(C)C)n1 ZINC000550370388 334221272 /nfs/dbraw/zinc/22/12/72/334221272.db2.gz PEFJBLQEMUBXFL-CYBMUJFWSA-N 1 2 298.500 3.785 20 0 CHADLO CCCC[C@@H](C)[N@@H+](CCCC)Cc1nsc(N(C)C)n1 ZINC000550370388 334221273 /nfs/dbraw/zinc/22/12/73/334221273.db2.gz PEFJBLQEMUBXFL-CYBMUJFWSA-N 1 2 298.500 3.785 20 0 CHADLO Cc1cc(N2CCS[C@@H]3CCCC[C@H]32)nc(C2CC2)[nH+]1 ZINC000245881824 334222832 /nfs/dbraw/zinc/22/28/32/334222832.db2.gz KDXDFNCVANKGAU-ZIAGYGMSSA-N 1 2 289.448 3.527 20 0 CHADLO CC1=CC[C@H](Nc2ccc(N3CCCC3)[nH+]c2)CC1 ZINC000552009430 334273934 /nfs/dbraw/zinc/27/39/34/334273934.db2.gz XPZJXTXXJVGQGL-AWEZNQCLSA-N 1 2 257.381 3.593 20 0 CHADLO Cc1c([C@H](C)[NH2+]CC(C)(F)F)cnn1-c1ccc(F)cc1 ZINC000550883189 334231625 /nfs/dbraw/zinc/23/16/25/334231625.db2.gz UUBPCYKLBOYTJE-JTQLQIEISA-N 1 2 297.324 3.626 20 0 CHADLO CC(C)c1nc(N2C[C@H](C)[C@@H]2c2ccccc2)cc[nH+]1 ZINC000246235095 334244457 /nfs/dbraw/zinc/24/44/57/334244457.db2.gz TWBGDIXHBBHKTR-XJKSGUPXSA-N 1 2 267.376 3.797 20 0 CHADLO Clc1ccccc1[C@@H]1C[N@@H+]([C@@H]2C=CCCC2)CCO1 ZINC000246296612 334249380 /nfs/dbraw/zinc/24/93/80/334249380.db2.gz WBHBYOTTWSFBGE-CJNGLKHVSA-N 1 2 277.795 3.822 20 0 CHADLO Clc1ccccc1[C@@H]1C[N@H+]([C@@H]2C=CCCC2)CCO1 ZINC000246296612 334249381 /nfs/dbraw/zinc/24/93/81/334249381.db2.gz WBHBYOTTWSFBGE-CJNGLKHVSA-N 1 2 277.795 3.822 20 0 CHADLO CCSCC[N@@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000551710773 334258626 /nfs/dbraw/zinc/25/86/26/334258626.db2.gz ATHXAFHQWRTKJH-CQSZACIVSA-N 1 2 289.366 3.588 20 0 CHADLO CCSCC[N@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000551710773 334258627 /nfs/dbraw/zinc/25/86/27/334258627.db2.gz ATHXAFHQWRTKJH-CQSZACIVSA-N 1 2 289.366 3.588 20 0 CHADLO Cn1cc[nH+]c1CSc1ccc2ccccc2c1 ZINC000248108543 334294891 /nfs/dbraw/zinc/29/48/91/334294891.db2.gz GNAIGGWXEQVMAM-UHFFFAOYSA-N 1 2 254.358 3.866 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000250044879 334342671 /nfs/dbraw/zinc/34/26/71/334342671.db2.gz HQJLORDBCRORMF-GHMZBOCLSA-N 1 2 269.335 3.525 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000250044879 334342672 /nfs/dbraw/zinc/34/26/72/334342672.db2.gz HQJLORDBCRORMF-GHMZBOCLSA-N 1 2 269.335 3.525 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CC(C)(C)O1 ZINC000553316883 334377141 /nfs/dbraw/zinc/37/71/41/334377141.db2.gz CUGXMOJDNAJMOI-LLVKDONJSA-N 1 2 290.794 3.892 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ccc(Cl)cn2)n1 ZINC000554497933 334432784 /nfs/dbraw/zinc/43/27/84/334432784.db2.gz ZHWGINKIUGMVMT-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO COc1ccc[nH+]c1N[C@H]1CCC[C@H](c2cccnc2)C1 ZINC000554559144 334438269 /nfs/dbraw/zinc/43/82/69/334438269.db2.gz OFBSVJGYNNTHFM-ZFWWWQNUSA-N 1 2 283.375 3.624 20 0 CHADLO CCOC(=O)[C@@H](c1cccc(Cl)c1)[NH+]1C2CCC1CC2 ZINC000555431558 334478503 /nfs/dbraw/zinc/47/85/03/334478503.db2.gz SUKUBRMBBHQPRN-YMAMQOFZSA-N 1 2 293.794 3.571 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1c1noc(C[C@H](C)n2cc[nH+]c2)n1 ZINC000566319199 334642545 /nfs/dbraw/zinc/64/25/45/334642545.db2.gz JNYKOLREESVVDQ-MJBXVCDLSA-N 1 2 288.395 3.754 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCc1cnc(C2CC2)o1 ZINC000566719684 334661644 /nfs/dbraw/zinc/66/16/44/334661644.db2.gz HXPORYJKEHQAIU-UHFFFAOYSA-N 1 2 295.342 3.721 20 0 CHADLO CCC1CC[NH+](Cc2noc(C3CCCCC3)n2)CC1 ZINC000156256919 334738386 /nfs/dbraw/zinc/73/83/86/334738386.db2.gz DDZIQTGLGBENGU-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO COc1ccsc1[C@@H](C)[NH2+][C@H](C)c1cscn1 ZINC000567628106 334742192 /nfs/dbraw/zinc/74/21/92/334742192.db2.gz JTUVQLDTIVBXBF-RKDXNWHRSA-N 1 2 268.407 3.625 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)cc2F)s1 ZINC000273743608 334770024 /nfs/dbraw/zinc/77/00/24/334770024.db2.gz VYMLHILZVZFZII-HTQZYQBOSA-N 1 2 283.347 3.537 20 0 CHADLO Cc1ccc(CNc2c[nH+]ccc2OC(C)(C)C)nc1 ZINC000158434137 334801298 /nfs/dbraw/zinc/80/12/98/334801298.db2.gz JSDXMVWHISCAAY-UHFFFAOYSA-N 1 2 271.364 3.574 20 0 CHADLO CCn1cc[nH+]c1/C=C/C(=O)Nc1ccccc1C(C)C ZINC000158622213 334808084 /nfs/dbraw/zinc/80/80/84/334808084.db2.gz LAXAPSYJKOJCAR-MDZDMXLPSA-N 1 2 283.375 3.678 20 0 CHADLO CC(C)c1ccc(CCC(=O)NC(C)(C)c2[nH]cc[nH+]2)cc1 ZINC000568890536 334845425 /nfs/dbraw/zinc/84/54/25/334845425.db2.gz KXGUJFIBSMRRGB-UHFFFAOYSA-N 1 2 299.418 3.517 20 0 CHADLO Cc1nc(N2C[C@H](c3ccccc3)[C@H]3CCC[C@@H]32)cc[nH+]1 ZINC000569015139 334851409 /nfs/dbraw/zinc/85/14/09/334851409.db2.gz CLQBXDANQHFKCM-ZACQAIPSSA-N 1 2 279.387 3.558 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(C)cc1OC)C(F)F ZINC000569191063 334860311 /nfs/dbraw/zinc/86/03/11/334860311.db2.gz BKCDXEJVTBMDNY-PWSUYJOCSA-N 1 2 257.324 3.698 20 0 CHADLO CCc1nc(N2Cc3ccccc3C(C)(C)C2)cc(C)[nH+]1 ZINC000576420278 335201787 /nfs/dbraw/zinc/20/17/87/335201787.db2.gz YFZWKMZDGWIOPS-UHFFFAOYSA-N 1 2 281.403 3.645 20 0 CHADLO Cc1ccc(NC(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)s1 ZINC000175829013 335205864 /nfs/dbraw/zinc/20/58/64/335205864.db2.gz IBNRQCHRHYSSHU-NSHDSACASA-N 1 2 290.392 3.539 20 0 CHADLO CC(C)C[C@@H]1CCCCCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000576521033 335214381 /nfs/dbraw/zinc/21/43/81/335214381.db2.gz LBLXHYFEUARDDQ-CVEARBPZSA-N 1 2 291.439 3.652 20 0 CHADLO CC[C@H](C)n1ncc(NCc2[nH]c(C)c(C)[nH+]2)c1C1CC1 ZINC000576887610 335261043 /nfs/dbraw/zinc/26/10/43/335261043.db2.gz LNLFVCXSICDVDD-JTQLQIEISA-N 1 2 287.411 3.684 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](C)c1ccc(Cl)s1 ZINC000176310017 335261688 /nfs/dbraw/zinc/26/16/88/335261688.db2.gz RASMLUQAILBVMH-SECBINFHSA-N 1 2 280.780 3.847 20 0 CHADLO C[C@@H]1C[NH+](Cc2ncc(C(C)(C)C)s2)C[C@@H](C)S1 ZINC000576908378 335262871 /nfs/dbraw/zinc/26/28/71/335262871.db2.gz BPEFYWPHJSAOIX-GHMZBOCLSA-N 1 2 284.494 3.766 20 0 CHADLO Cc1ccc2[nH+]c(CNc3ccc(F)c(F)c3)cn2c1 ZINC000176350121 335264044 /nfs/dbraw/zinc/26/40/44/335264044.db2.gz VYBBBHDBBYAMGN-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO Cc1ccccc1[C@@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)C(C)C ZINC000576993708 335275118 /nfs/dbraw/zinc/27/51/18/335275118.db2.gz CAJQZATUEWIWFC-QAPCUYQASA-N 1 2 299.418 3.656 20 0 CHADLO COc1cc(C[N@@H+]2Cc3ccc(C(C)=O)cc3C2)ccc1C ZINC000577003242 335277366 /nfs/dbraw/zinc/27/73/66/335277366.db2.gz OFTUXQWUWIYTJS-UHFFFAOYSA-N 1 2 295.382 3.722 20 0 CHADLO COc1cc(C[N@H+]2Cc3ccc(C(C)=O)cc3C2)ccc1C ZINC000577003242 335277368 /nfs/dbraw/zinc/27/73/68/335277368.db2.gz OFTUXQWUWIYTJS-UHFFFAOYSA-N 1 2 295.382 3.722 20 0 CHADLO COc1cccc2c(NCc3ncccc3F)c(C)c[nH+]c12 ZINC000577254829 335330175 /nfs/dbraw/zinc/33/01/75/335330175.db2.gz CAFJPBGUEVJOGU-UHFFFAOYSA-N 1 2 297.333 3.698 20 0 CHADLO CCc1cc(N)nc(S[C@@H](C)c2ccc(Cl)cc2)[nH+]1 ZINC000577347648 335341032 /nfs/dbraw/zinc/34/10/32/335341032.db2.gz ZGBSSCHGPQRRDX-VIFPVBQESA-N 1 2 293.823 3.958 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1ccccc1C(F)(F)F ZINC000346842419 335371396 /nfs/dbraw/zinc/37/13/96/335371396.db2.gz APCCREDOJNBQOI-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO CC(C)COC[C@H]([NH2+][C@H](C)c1ccoc1)c1ccco1 ZINC000178357396 335427630 /nfs/dbraw/zinc/42/76/30/335427630.db2.gz RXDXJFKASGSFSE-HIFRSBDPSA-N 1 2 277.364 3.937 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H](C)c2ccoc2)n1 ZINC000178522500 335435774 /nfs/dbraw/zinc/43/57/74/335435774.db2.gz MMEBIFCEIGZJFT-SNVBAGLBSA-N 1 2 250.367 3.710 20 0 CHADLO Fc1ccccc1[C@H](Cc1ccccc1)[NH2+]Cc1ccon1 ZINC000179810562 335521714 /nfs/dbraw/zinc/52/17/14/335521714.db2.gz VVPKVAUMRXHYEL-SFHVURJKSA-N 1 2 296.345 3.887 20 0 CHADLO CC[C@@H](CC(F)(F)F)c1nc(Cc2ccc(C)[nH+]c2)no1 ZINC000351075758 335564087 /nfs/dbraw/zinc/56/40/87/335564087.db2.gz JHRYMFCWBFMTSV-NSHDSACASA-N 1 2 299.296 3.810 20 0 CHADLO Cc1c([C@@H](C)Nc2[nH+]c3ccccc3cc2C)cnn1C ZINC000180394998 335579553 /nfs/dbraw/zinc/57/95/53/335579553.db2.gz VJCFZHLXKCTJGQ-GFCCVEGCSA-N 1 2 280.375 3.758 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cn1)c1nc2c(s1)CCC2 ZINC000578568484 335649928 /nfs/dbraw/zinc/64/99/28/335649928.db2.gz UPFUDTSIXJAQQX-SECBINFHSA-N 1 2 293.823 3.531 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCCOc2cc(Cl)ccc21 ZINC000578677328 335663112 /nfs/dbraw/zinc/66/31/12/335663112.db2.gz QHQDFNVOUAZISB-LLVKDONJSA-N 1 2 275.726 3.799 20 0 CHADLO CC[C@H](c1ccccc1)N(CC)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000578871501 335683024 /nfs/dbraw/zinc/68/30/24/335683024.db2.gz LCIILUWBOYSZSA-DOTOQJQBSA-N 1 2 299.418 3.834 20 0 CHADLO Cc1[nH]c(CN[C@@H](C)c2nc(C(C)(C)C)cs2)[nH+]c1C ZINC000579128471 335713811 /nfs/dbraw/zinc/71/38/11/335713811.db2.gz AUJQOUQBCLZASH-NSHDSACASA-N 1 2 292.452 3.631 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCO[C@H](C(C)(C)C)C1 ZINC000579193726 335719991 /nfs/dbraw/zinc/71/99/91/335719991.db2.gz IUNUJMDJGCCZLM-INIZCTEOSA-N 1 2 284.403 3.795 20 0 CHADLO CC(C)c1ccc2occ(C(=O)N[C@H](C)c3[nH]cc[nH+]3)c2c1 ZINC000579252116 335728422 /nfs/dbraw/zinc/72/84/22/335728422.db2.gz UIAIVZNHLXIDCA-LLVKDONJSA-N 1 2 297.358 3.770 20 0 CHADLO CCCS(=O)(=O)Nc1ccc([NH2+][C@@H](CC)C(C)C)cc1 ZINC000181147976 335752347 /nfs/dbraw/zinc/75/23/47/335752347.db2.gz YUNPZYJBXWXRKZ-HNNXBMFYSA-N 1 2 298.452 3.685 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nnc(CC)o1)c1ccc(F)cc1F ZINC000181657966 335776768 /nfs/dbraw/zinc/77/67/68/335776768.db2.gz SPXRMUKFLBRJFF-CYBMUJFWSA-N 1 2 295.333 3.541 20 0 CHADLO C[C@H]([NH2+]Cc1ccncc1Cl)c1cccc(F)c1F ZINC000181987135 335793634 /nfs/dbraw/zinc/79/36/34/335793634.db2.gz GMGDAPXLZHBUQT-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OC1CCCC1)c1nccn1C ZINC000182501863 335824520 /nfs/dbraw/zinc/82/45/20/335824520.db2.gz INTRPTRKIGZVSA-AWEZNQCLSA-N 1 2 299.418 3.592 20 0 CHADLO COc1ccc2c(c1)[C@H](Nc1ccc(C)[nH+]c1)CCCO2 ZINC000182911642 335850102 /nfs/dbraw/zinc/85/01/02/335850102.db2.gz PODSGZRWUZCUND-MRXNPFEDSA-N 1 2 284.359 3.724 20 0 CHADLO CC[C@@H]1CCc2ccccc2N1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000580894722 335980945 /nfs/dbraw/zinc/98/09/45/335980945.db2.gz FBZLWNOEQRSJMA-GOEBONIOSA-N 1 2 297.402 3.592 20 0 CHADLO COc1cc[nH+]cc1NC(=O)[C@H]1CCC[C@H]1c1ccccc1 ZINC000580992158 335993335 /nfs/dbraw/zinc/99/33/35/335993335.db2.gz QVUZPSPHXMRDRJ-GJZGRUSLSA-N 1 2 296.370 3.613 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@H](C)C4CCC4)n3)[nH+]c12 ZINC000581243619 336030144 /nfs/dbraw/zinc/03/01/44/336030144.db2.gz OKYLRKVMUPBDOP-GFCCVEGCSA-N 1 2 296.374 3.520 20 0 CHADLO Oc1cccc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)c1Cl ZINC000380868893 336038245 /nfs/dbraw/zinc/03/82/45/336038245.db2.gz XYSODAVCFAODSV-SNVBAGLBSA-N 1 2 293.716 3.820 20 0 CHADLO Oc1cccc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)c1Cl ZINC000380868893 336038246 /nfs/dbraw/zinc/03/82/46/336038246.db2.gz XYSODAVCFAODSV-SNVBAGLBSA-N 1 2 293.716 3.820 20 0 CHADLO COC(=O)C[NH2+][C@@H]1CCCCC[C@@H]1c1ccc(Cl)cc1 ZINC000581384806 336066406 /nfs/dbraw/zinc/06/64/06/336066406.db2.gz NRFODNVLWJTQGY-HUUCEWRRSA-N 1 2 295.810 3.519 20 0 CHADLO COc1cc[nH+]cc1COc1cc(Cl)ccc1Cl ZINC000386286708 336170094 /nfs/dbraw/zinc/17/00/94/336170094.db2.gz BWRKUUJTUVBMNQ-UHFFFAOYSA-N 1 2 284.142 3.976 20 0 CHADLO COc1ccc(-c2[nH]c3ccc(C)c(C)c3[nH+]2)cc1OC ZINC000386346431 336171476 /nfs/dbraw/zinc/17/14/76/336171476.db2.gz KUSLBCJHSNTFHO-UHFFFAOYSA-N 1 2 282.343 3.864 20 0 CHADLO CC1=CC[N@H+](Cc2cc(O)cc(C(F)(F)F)c2)CC1 ZINC000356133403 520872919 /nfs/dbraw/zinc/87/29/19/520872919.db2.gz WYAVLVUBRXPZDT-UHFFFAOYSA-N 1 2 271.282 3.563 20 0 CHADLO CC1=CC[N@@H+](Cc2cc(O)cc(C(F)(F)F)c2)CC1 ZINC000356133403 520872931 /nfs/dbraw/zinc/87/29/31/520872931.db2.gz WYAVLVUBRXPZDT-UHFFFAOYSA-N 1 2 271.282 3.563 20 0 CHADLO COc1cccc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000192752222 533776928 /nfs/dbraw/zinc/77/69/28/533776928.db2.gz WVXQTYRJANKKIT-AWEZNQCLSA-N 1 2 297.402 3.864 20 0 CHADLO COc1ccc(Cl)cc1CNc1cc[nH+]c(C2CC2)n1 ZINC000110219360 533861732 /nfs/dbraw/zinc/86/17/32/533861732.db2.gz CUZJPIKIBVYRBX-UHFFFAOYSA-N 1 2 289.766 3.628 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@@H+]1Cc1cncc(F)c1 ZINC000347020331 534059143 /nfs/dbraw/zinc/05/91/43/534059143.db2.gz UGRXVJBLYROOKD-VXGBXAGGSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@H+]1Cc1cncc(F)c1 ZINC000347020331 534059146 /nfs/dbraw/zinc/05/91/46/534059146.db2.gz UGRXVJBLYROOKD-VXGBXAGGSA-N 1 2 288.341 3.868 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC(C3CCCC3)C2)c(C)[nH+]1 ZINC000334706603 534073897 /nfs/dbraw/zinc/07/38/97/534073897.db2.gz RHGIFLXFMYGPNT-UHFFFAOYSA-N 1 2 287.407 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@@H](C)C2(C)CC2)c(C)[nH+]1 ZINC000334712129 534074515 /nfs/dbraw/zinc/07/45/15/534074515.db2.gz LDGWFSXOWCAJRX-ZDUSSCGKSA-N 1 2 275.396 3.659 20 0 CHADLO C[C@H]1Cc2ccccc2[C@H]1Nc1cc[nH+]c(C2CC2)n1 ZINC000112558641 534117062 /nfs/dbraw/zinc/11/70/62/534117062.db2.gz UXNNSWZQWJNJOB-ZBEGNZNMSA-N 1 2 265.360 3.699 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1ccn(C(F)F)n1 ZINC000347674389 534149667 /nfs/dbraw/zinc/14/96/67/534149667.db2.gz DVZXGXQDDXJUDW-SECBINFHSA-N 1 2 271.336 3.533 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1ccn(C(F)F)n1 ZINC000347674389 534149671 /nfs/dbraw/zinc/14/96/71/534149671.db2.gz DVZXGXQDDXJUDW-SECBINFHSA-N 1 2 271.336 3.533 20 0 CHADLO CC(C)(C)C[C@H](O)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354326816 518693964 /nfs/dbraw/zinc/69/39/64/518693964.db2.gz FBAAPXZVCMYAAM-ZDUSSCGKSA-N 1 2 276.355 3.583 20 0 CHADLO FC(F)(F)COc1cccc(COc2cc[nH+]cc2)c1 ZINC000356094550 534392444 /nfs/dbraw/zinc/39/24/44/534392444.db2.gz MDFSKJPJNLVVFU-UHFFFAOYSA-N 1 2 283.249 3.602 20 0 CHADLO FC(F)(F)[C@H]1CC[N@H+](Cc2cscn2)CC12CCC2 ZINC000335928489 534413010 /nfs/dbraw/zinc/41/30/10/534413010.db2.gz TZBOONOFAZOICY-NSHDSACASA-N 1 2 290.354 3.698 20 0 CHADLO FC(F)(F)[C@H]1CC[N@@H+](Cc2cscn2)CC12CCC2 ZINC000335928489 534413016 /nfs/dbraw/zinc/41/30/16/534413016.db2.gz TZBOONOFAZOICY-NSHDSACASA-N 1 2 290.354 3.698 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@H](C)c2cccc(C3CC3)c2)n1 ZINC000295391588 519476535 /nfs/dbraw/zinc/47/65/35/519476535.db2.gz LHLFFXBHLMCZJB-CYBMUJFWSA-N 1 2 299.418 3.996 20 0 CHADLO CC(C)[C@H](C)N(C)C(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000337907884 519811730 /nfs/dbraw/zinc/81/17/30/519811730.db2.gz WAGUJXUPIQTCMO-AWEZNQCLSA-N 1 2 298.386 3.990 20 0 CHADLO CC(C)[C@H](Nc1ccc(C(F)(F)F)cn1)c1[nH]cc[nH+]1 ZINC000285815151 519821727 /nfs/dbraw/zinc/82/17/27/519821727.db2.gz YZWXXNPZBKAVGV-NSHDSACASA-N 1 2 284.285 3.633 20 0 CHADLO CC(C)[C@H](Nc1nc(C(F)(F)F)cs1)c1[nH]cc[nH+]1 ZINC000291075431 519821762 /nfs/dbraw/zinc/82/17/62/519821762.db2.gz HNIROCSBGPWVKY-QMMMGPOBSA-N 1 2 290.314 3.694 20 0 CHADLO CC(C)c1ccoc1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000339882476 519849532 /nfs/dbraw/zinc/84/95/32/519849532.db2.gz SXONUHFPWNBRFA-UHFFFAOYSA-N 1 2 295.342 3.841 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC(C)(C)[C@H]2[C@@H]2CCCO2)s1 ZINC000367956526 519850500 /nfs/dbraw/zinc/85/05/00/519850500.db2.gz BYADLXXKEACCJQ-SWLSCSKDSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC(C)(C)[C@H]2[C@@H]2CCCO2)s1 ZINC000367956526 519850503 /nfs/dbraw/zinc/85/05/03/519850503.db2.gz BYADLXXKEACCJQ-SWLSCSKDSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1[nH+]c2ccccc2n1Cc1noc(C2CCC2)n1 ZINC000278031376 519869828 /nfs/dbraw/zinc/86/98/28/519869828.db2.gz ZPVHAWKFRHWNIA-UHFFFAOYSA-N 1 2 296.374 3.859 20 0 CHADLO CC(C)c1noc(C[N@H+](Cc2ccc(F)cc2)C(C)C)n1 ZINC000299404517 519903019 /nfs/dbraw/zinc/90/30/19/519903019.db2.gz XBGRIMDBQCNDLK-UHFFFAOYSA-N 1 2 291.370 3.743 20 0 CHADLO CC(C)c1noc(C[N@@H+](Cc2ccc(F)cc2)C(C)C)n1 ZINC000299404517 519903022 /nfs/dbraw/zinc/90/30/22/519903022.db2.gz XBGRIMDBQCNDLK-UHFFFAOYSA-N 1 2 291.370 3.743 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+]CC(F)(F)c2ccccc2)cn1 ZINC000353624309 519971069 /nfs/dbraw/zinc/97/10/69/519971069.db2.gz DEGJBUSCKOUTJX-CYBMUJFWSA-N 1 2 293.361 3.907 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@@H]1c1cccc(F)c1 ZINC000264497133 520027495 /nfs/dbraw/zinc/02/74/95/520027495.db2.gz HPQPLMFRMZZBJV-MRXNPFEDSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@@H]1c1cccc(F)c1 ZINC000264497133 520027506 /nfs/dbraw/zinc/02/75/06/520027506.db2.gz HPQPLMFRMZZBJV-MRXNPFEDSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@H]1c1cccc(F)c1 ZINC000264497131 520031770 /nfs/dbraw/zinc/03/17/70/520031770.db2.gz HPQPLMFRMZZBJV-INIZCTEOSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@H]1c1cccc(F)c1 ZINC000264497131 520031777 /nfs/dbraw/zinc/03/17/77/520031777.db2.gz HPQPLMFRMZZBJV-INIZCTEOSA-N 1 2 287.382 3.940 20 0 CHADLO c1cc(N2CCCC3(CCCCC3)C2)nc(C2CC2)[nH+]1 ZINC000347193604 534471770 /nfs/dbraw/zinc/47/17/70/534471770.db2.gz XAEVSWMFSQGESS-UHFFFAOYSA-N 1 2 271.408 3.905 20 0 CHADLO Fc1ccc2c(c1)CC[N@@H+](Cc1nc3ccccc3s1)C2 ZINC000351920448 534499129 /nfs/dbraw/zinc/49/91/29/534499129.db2.gz BIJCMFHUNYOZRG-UHFFFAOYSA-N 1 2 298.386 3.994 20 0 CHADLO Fc1ccc2c(c1)CC[N@H+](Cc1nc3ccccc3s1)C2 ZINC000351920448 534499136 /nfs/dbraw/zinc/49/91/36/534499136.db2.gz BIJCMFHUNYOZRG-UHFFFAOYSA-N 1 2 298.386 3.994 20 0 CHADLO CC1=CCC[N@H+](Cc2ccnc(Cl)c2Cl)C1 ZINC000291572248 520860518 /nfs/dbraw/zinc/86/05/18/520860518.db2.gz NZOIVUBDZMSLHI-UHFFFAOYSA-N 1 2 257.164 3.540 20 0 CHADLO CC1=CCC[N@@H+](Cc2ccnc(Cl)c2Cl)C1 ZINC000291572248 520860528 /nfs/dbraw/zinc/86/05/28/520860528.db2.gz NZOIVUBDZMSLHI-UHFFFAOYSA-N 1 2 257.164 3.540 20 0 CHADLO CC1CCC(OC2CCN(c3cccc[nH+]3)CC2)CC1 ZINC000363504512 520957665 /nfs/dbraw/zinc/95/76/65/520957665.db2.gz QZTGVSHJXOGAFK-UHFFFAOYSA-N 1 2 274.408 3.646 20 0 CHADLO CCC[C@H](C)C(=O)NCc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000282860831 521002763 /nfs/dbraw/zinc/00/27/63/521002763.db2.gz HMXLPOQOWYYHTB-LBPRGKRZSA-N 1 2 299.418 3.503 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](c2ccc(F)cc2)C(C)C)no1 ZINC000352094409 534546672 /nfs/dbraw/zinc/54/66/72/534546672.db2.gz ZVIIYHFXXHYGOS-QMTHXVAHSA-N 1 2 277.343 3.565 20 0 CHADLO CC[N@H+](Cc1ccc(C)cn1)Cc1c(F)cccc1F ZINC000158034717 521305729 /nfs/dbraw/zinc/30/57/29/521305729.db2.gz NBVIXSXETKHZPZ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccc(C)cn1)Cc1c(F)cccc1F ZINC000158034717 521305740 /nfs/dbraw/zinc/30/57/40/521305740.db2.gz NBVIXSXETKHZPZ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@H+](Cc1ccncc1)[C@H](C)c1c(F)cccc1F ZINC000266041372 521424143 /nfs/dbraw/zinc/42/41/43/521424143.db2.gz WBGSBXWGTWDGDO-GFCCVEGCSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@@H+](Cc1ccncc1)[C@H](C)c1c(F)cccc1F ZINC000266041372 521424146 /nfs/dbraw/zinc/42/41/46/521424146.db2.gz WBGSBXWGTWDGDO-GFCCVEGCSA-N 1 2 276.330 3.943 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2cccnc2Cl)o1 ZINC000293218381 521495557 /nfs/dbraw/zinc/49/55/57/521495557.db2.gz AITVYVCOFIBAEG-JTQLQIEISA-N 1 2 293.798 3.835 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2ccc(C)o2)o1 ZINC000293355323 521500022 /nfs/dbraw/zinc/50/00/22/521500022.db2.gz HEGQJGSDYXCLOB-LLVKDONJSA-N 1 2 262.353 3.688 20 0 CHADLO CCCc1nc(C[N@H+](C)Cc2ccoc2C)cs1 ZINC000055057719 521503588 /nfs/dbraw/zinc/50/35/88/521503588.db2.gz ZYULFXXDFZPHHW-UHFFFAOYSA-N 1 2 264.394 3.629 20 0 CHADLO CCCc1nc(C[N@@H+](C)Cc2ccoc2C)cs1 ZINC000055057719 521503591 /nfs/dbraw/zinc/50/35/91/521503591.db2.gz ZYULFXXDFZPHHW-UHFFFAOYSA-N 1 2 264.394 3.629 20 0 CHADLO CCC(CC)[C@H](NC(=O)c1c[nH+]c(C)[nH]1)c1ccccc1 ZINC000339290663 521619245 /nfs/dbraw/zinc/61/92/45/521619245.db2.gz PKIQYJPCRHULBX-INIZCTEOSA-N 1 2 285.391 3.625 20 0 CHADLO CCC[N@H+](Cc1noc(C2CC2)n1)[C@@H]1CCc2ccccc21 ZINC000299411583 521696477 /nfs/dbraw/zinc/69/64/77/521696477.db2.gz PFZJGKSRVOEASK-MRXNPFEDSA-N 1 2 297.402 3.847 20 0 CHADLO CCC[N@@H+](Cc1noc(C2CC2)n1)[C@@H]1CCc2ccccc21 ZINC000299411583 521696479 /nfs/dbraw/zinc/69/64/79/521696479.db2.gz PFZJGKSRVOEASK-MRXNPFEDSA-N 1 2 297.402 3.847 20 0 CHADLO CCSCc1ccccc1C(=O)Nc1cc[nH+]cc1C ZINC000278949266 521719352 /nfs/dbraw/zinc/71/93/52/521719352.db2.gz DVEIUOUVXZMCMS-UHFFFAOYSA-N 1 2 286.400 3.895 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)COC(C)C)c1 ZINC000337267878 521719873 /nfs/dbraw/zinc/71/98/73/521719873.db2.gz WVZFZNMGIWFLCL-LBPRGKRZSA-N 1 2 268.426 3.560 20 0 CHADLO CCS[C@@H]1CCC[C@@H](NC(=O)Nc2cc[nH+]c(C)c2)C1 ZINC000119701654 521724588 /nfs/dbraw/zinc/72/45/88/521724588.db2.gz GYMGEAWHTHABOP-TZMCWYRMSA-N 1 2 293.436 3.576 20 0 CHADLO CC[C@@H](C)Cc1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000290080975 522032578 /nfs/dbraw/zinc/03/25/78/522032578.db2.gz KUMVAJPIGAVVJC-CYBMUJFWSA-N 1 2 296.374 3.570 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2ccc(C)c(F)c2)[nH]1 ZINC000277163697 522053630 /nfs/dbraw/zinc/05/36/30/522053630.db2.gz HACLQDBCTHLLQO-CMPLNLGQSA-N 1 2 290.386 3.617 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1ccccc1OC(C)C ZINC000265270806 522082527 /nfs/dbraw/zinc/08/25/27/522082527.db2.gz XCDHSWJSXJMWNN-UHFFFAOYSA-N 1 2 284.359 3.684 20 0 CHADLO Cc1nc(NCc2cc(F)ccc2F)c2c([nH+]1)CCCC2 ZINC000302072610 534625085 /nfs/dbraw/zinc/62/50/85/534625085.db2.gz ZIRNKJWLZIJVKF-UHFFFAOYSA-N 1 2 289.329 3.554 20 0 CHADLO CCc1nc(C[NH2+][C@@H](c2ccc(Cl)cc2)C(C)C)no1 ZINC000264677101 522346899 /nfs/dbraw/zinc/34/68/99/522346899.db2.gz BEQWWMQJFWTADH-OAHLLOKOSA-N 1 2 293.798 3.772 20 0 CHADLO COC[C@@H]([NH2+]Cc1cc2ccccc2o1)c1ccco1 ZINC000080239484 522360982 /nfs/dbraw/zinc/36/09/82/522360982.db2.gz WJAJZBLEDBKXRT-CQSZACIVSA-N 1 2 271.316 3.503 20 0 CHADLO COC[C@@H]([NH2+]Cc1ncc(C(C)(C)C)s1)c1ccco1 ZINC000279076963 522366992 /nfs/dbraw/zinc/36/69/92/522366992.db2.gz GQUKOBMCTFISMO-LLVKDONJSA-N 1 2 294.420 3.511 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1cc(C)on1)c1cccc(Cl)c1 ZINC000290585165 522371223 /nfs/dbraw/zinc/37/12/23/522371223.db2.gz GFBNBDXUZYARBZ-XHDPSFHLSA-N 1 2 294.782 3.675 20 0 CHADLO CCc1ncc(C[N@@H+]2CCC[C@@H]2c2csc(C)n2)s1 ZINC000275162690 522548832 /nfs/dbraw/zinc/54/88/32/522548832.db2.gz YTEDWVWHHCSWDR-CYBMUJFWSA-N 1 2 293.461 3.808 20 0 CHADLO CCc1ncc(C[N@H+]2CCC[C@@H]2c2csc(C)n2)s1 ZINC000275162690 522548841 /nfs/dbraw/zinc/54/88/41/522548841.db2.gz YTEDWVWHHCSWDR-CYBMUJFWSA-N 1 2 293.461 3.808 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@H](C)c1ncc(C)s1 ZINC000280246212 522558026 /nfs/dbraw/zinc/55/80/26/522558026.db2.gz NZXXNTKHOZQEFT-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO CCc1nnc(C[NH2+][C@H]2CCc3cc(Cl)ccc32)s1 ZINC000290111916 522748663 /nfs/dbraw/zinc/74/86/63/522748663.db2.gz ISCZAVQZUSMKOP-LBPRGKRZSA-N 1 2 293.823 3.531 20 0 CHADLO CC[C@H](Nc1ncnc2ccc(SC)cc21)c1[nH]cc[nH+]1 ZINC000340937362 522781643 /nfs/dbraw/zinc/78/16/43/522781643.db2.gz YBBSQXMWIMLAGJ-LBPRGKRZSA-N 1 2 299.403 3.638 20 0 CHADLO CCc1noc(C)c1CNc1cc[nH+]c2c(OC)cccc12 ZINC000292773227 522851755 /nfs/dbraw/zinc/85/17/55/522851755.db2.gz LGMCYDCMDLPLSF-UHFFFAOYSA-N 1 2 297.358 3.714 20 0 CHADLO C[N@H+](CCSC1CCCCC1)Cc1nccs1 ZINC000337255842 522925110 /nfs/dbraw/zinc/92/51/10/522925110.db2.gz RZOXZCMHEPVGHG-UHFFFAOYSA-N 1 2 270.467 3.641 20 0 CHADLO C[N@@H+](CCSC1CCCCC1)Cc1nccs1 ZINC000337255842 522925119 /nfs/dbraw/zinc/92/51/19/522925119.db2.gz RZOXZCMHEPVGHG-UHFFFAOYSA-N 1 2 270.467 3.641 20 0 CHADLO COCc1cc(N2CCC[C@H]2C)c2cc(F)ccc2[nH+]1 ZINC000290756298 522926697 /nfs/dbraw/zinc/92/66/97/522926697.db2.gz JITYXWQHGQOLNU-LLVKDONJSA-N 1 2 274.339 3.509 20 0 CHADLO COCc1ccc([C@H]2CCC[N@@H+]2Cc2nc(C)cs2)o1 ZINC000131364870 523022573 /nfs/dbraw/zinc/02/25/73/523022573.db2.gz QSVBZQZOWPDEFD-CYBMUJFWSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1ccc([C@H]2CCC[N@H+]2Cc2nc(C)cs2)o1 ZINC000131364870 523022583 /nfs/dbraw/zinc/02/25/83/523022583.db2.gz QSVBZQZOWPDEFD-CYBMUJFWSA-N 1 2 292.404 3.528 20 0 CHADLO CC[C@H]1CN(c2[nH+]ccc3ccc(F)cc32)CCS1 ZINC000354345294 523308157 /nfs/dbraw/zinc/30/81/57/523308157.db2.gz ZGXFHBZHGAIBPO-ZDUSSCGKSA-N 1 2 276.380 3.706 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2csc(-c3ccoc3)n2)CCS1 ZINC000076073547 523456405 /nfs/dbraw/zinc/45/64/05/523456405.db2.gz ULTXMMFPIFQXNQ-CYBMUJFWSA-N 1 2 294.445 3.731 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2csc(-c3ccoc3)n2)CCS1 ZINC000076073547 523456409 /nfs/dbraw/zinc/45/64/09/523456409.db2.gz ULTXMMFPIFQXNQ-CYBMUJFWSA-N 1 2 294.445 3.731 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1cc(Cl)cc(Cl)c1 ZINC000077267078 523481398 /nfs/dbraw/zinc/48/13/98/523481398.db2.gz YKKZPTRBPLQLDB-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1cc(Cl)cc(Cl)c1 ZINC000077267078 523481406 /nfs/dbraw/zinc/48/14/06/523481406.db2.gz YKKZPTRBPLQLDB-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO COc1ccc(C(=O)Nc2ccc(C)[nH+]c2C)cc1C1CC1 ZINC000277615122 523748718 /nfs/dbraw/zinc/74/87/18/523748718.db2.gz NTCOCKLWKCWXRG-UHFFFAOYSA-N 1 2 296.370 3.837 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@H](C)c2ccc(F)cc2)cs1 ZINC000341070198 523915051 /nfs/dbraw/zinc/91/50/51/523915051.db2.gz GSFWWXOAQZFWEY-GHMZBOCLSA-N 1 2 294.395 3.840 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ncc(C3CC3)o2)cc1 ZINC000340658401 523920056 /nfs/dbraw/zinc/92/00/56/523920056.db2.gz AYWVNYRSOBHNPX-UHFFFAOYSA-N 1 2 270.376 3.746 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ncc(C3CC3)o2)cc1 ZINC000340658401 523920062 /nfs/dbraw/zinc/92/00/62/523920062.db2.gz AYWVNYRSOBHNPX-UHFFFAOYSA-N 1 2 270.376 3.746 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](C)c2nccn2-c2ccccc2)o1 ZINC000290092700 523944769 /nfs/dbraw/zinc/94/47/69/523944769.db2.gz JQHJNEYDUSSXHO-AWEZNQCLSA-N 1 2 295.386 3.879 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2ncc(C)cn2)o1 ZINC000340692334 524056865 /nfs/dbraw/zinc/05/68/65/524056865.db2.gz XBUKPGQZXLIYHU-CZUORRHYSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2ncc(C)cn2)o1 ZINC000340692334 524056867 /nfs/dbraw/zinc/05/68/67/524056867.db2.gz XBUKPGQZXLIYHU-CZUORRHYSA-N 1 2 299.418 3.914 20 0 CHADLO COc1ccc(CNc2c[nH+]ccc2C)cc1OC(F)F ZINC000071412961 524102391 /nfs/dbraw/zinc/10/23/91/524102391.db2.gz PWYSPFPPTKMVSY-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)C(C)(C)C ZINC000265232052 524247420 /nfs/dbraw/zinc/24/74/20/524247420.db2.gz OQJHVQUCTJDSRZ-NSHDSACASA-N 1 2 271.364 3.697 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(F)cc2C)c(C)[nH+]1 ZINC000120235612 524304855 /nfs/dbraw/zinc/30/48/55/524304855.db2.gz HEBZPXTYGUHEPV-UHFFFAOYSA-N 1 2 272.323 3.707 20 0 CHADLO Cc1cc(C)cc(CCC(=O)Nc2cc[nH+]cc2C)c1 ZINC000130114658 524375922 /nfs/dbraw/zinc/37/59/22/524375922.db2.gz MVOWSGUVJUJRID-UHFFFAOYSA-N 1 2 268.360 3.578 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(Cl)ccc2Cl)o1 ZINC000061630409 524394638 /nfs/dbraw/zinc/39/46/38/524394638.db2.gz XEZSLCWZSOAXDG-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(Cl)ccc2Cl)o1 ZINC000061630409 524394645 /nfs/dbraw/zinc/39/46/45/524394645.db2.gz XEZSLCWZSOAXDG-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO C[C@@H]1CCN(C(=O)Nc2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000264865052 524472568 /nfs/dbraw/zinc/47/25/68/524472568.db2.gz UFJRKTHUFUZEFT-CYBMUJFWSA-N 1 2 297.358 3.748 20 0 CHADLO C[C@@H]1CCSCC[N@@H+]1Cc1ccnc(Cl)c1Cl ZINC000291587262 524552593 /nfs/dbraw/zinc/55/25/93/524552593.db2.gz PKYUODKQWUGTRW-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CCSCC[N@H+]1Cc1ccnc(Cl)c1Cl ZINC000291587262 524552602 /nfs/dbraw/zinc/55/26/02/524552602.db2.gz PKYUODKQWUGTRW-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nccs2)c(Br)c1 ZINC000125922712 524585472 /nfs/dbraw/zinc/58/54/72/524585472.db2.gz IGWCKVVUIIKGMV-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccccc2F)C(C)C)on1 ZINC000299376429 524669113 /nfs/dbraw/zinc/66/91/13/524669113.db2.gz MINGDCVYZMXJTA-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccccc2F)C(C)C)on1 ZINC000299376429 524669123 /nfs/dbraw/zinc/66/91/23/524669123.db2.gz MINGDCVYZMXJTA-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1ccc(Cc2noc(/C=C\c3ccccc3)n2)c[nH+]1 ZINC000356134005 524698343 /nfs/dbraw/zinc/69/83/43/524698343.db2.gz YAKPSLZAYOVJLH-KTKRTIGZSA-N 1 2 277.327 3.534 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccc(Br)cc2)no1 ZINC000044296212 524778030 /nfs/dbraw/zinc/77/80/30/524778030.db2.gz JPKBKJSLTPYSGU-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2cc3ccccc3o2)no1 ZINC000044296543 524778810 /nfs/dbraw/zinc/77/88/10/524778810.db2.gz JXNLQHBSZYXDDI-LLVKDONJSA-N 1 2 256.305 3.580 20 0 CHADLO COc1ccccc1[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000036335310 524785773 /nfs/dbraw/zinc/78/57/73/524785773.db2.gz KYHNPQOUZJUACX-ZDUSSCGKSA-N 1 2 270.376 3.934 20 0 CHADLO COc1ccc(OC)c([C@@H](C)[NH2+][C@H](C)c2cscn2)c1 ZINC000162324895 524886625 /nfs/dbraw/zinc/88/66/25/524886625.db2.gz WZSMDXJJHRDUOQ-GHMZBOCLSA-N 1 2 292.404 3.572 20 0 CHADLO Cc1cccc2c1C[N@H+]([C@@H](C)c1nc(C(C)C)no1)CC2 ZINC000277280925 524945295 /nfs/dbraw/zinc/94/52/95/524945295.db2.gz SIYZLZRICJNEQQ-ZDUSSCGKSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1cccc2c1C[N@@H+]([C@@H](C)c1nc(C(C)C)no1)CC2 ZINC000277280925 524945301 /nfs/dbraw/zinc/94/53/01/524945301.db2.gz SIYZLZRICJNEQQ-ZDUSSCGKSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1cc(N(C)Cc2ccc(F)c(F)c2)nc(C2CC2)[nH+]1 ZINC000341475210 525028323 /nfs/dbraw/zinc/02/83/23/525028323.db2.gz IXYPZBHBYOGRFY-UHFFFAOYSA-N 1 2 289.329 3.577 20 0 CHADLO Cc1ccccc1C1(CNc2cccc[nH+]2)CCOCC1 ZINC000299173863 525081426 /nfs/dbraw/zinc/08/14/26/525081426.db2.gz ZRMBARKWWOEXGA-UHFFFAOYSA-N 1 2 282.387 3.550 20 0 CHADLO Cc1ccc(NC(=O)N2CC3(CCC3)[C@@H]2C(C)C)c(C)[nH+]1 ZINC000292651940 525117393 /nfs/dbraw/zinc/11/73/93/525117393.db2.gz IBJWXDVOQCNDAQ-HNNXBMFYSA-N 1 2 287.407 3.741 20 0 CHADLO Cc1cc(NC(c2ccccc2)c2ccccc2)nc[nH+]1 ZINC000040727119 525264415 /nfs/dbraw/zinc/26/44/15/525264415.db2.gz CWKOUVJFPYLRNF-UHFFFAOYSA-N 1 2 275.355 3.987 20 0 CHADLO COc1ccc([C@H](C)CC(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000119219652 525292223 /nfs/dbraw/zinc/29/22/23/525292223.db2.gz QBXVYBYEOHLUED-GFCCVEGCSA-N 1 2 298.386 3.839 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)N(c2cc[nH+]c3ccncc32)C1 ZINC000363780349 525295528 /nfs/dbraw/zinc/29/55/28/525295528.db2.gz VOZSHYBTXWQJIG-ACJLOTCBSA-N 1 2 290.370 3.612 20 0 CHADLO Cc1cc(N[C@@H]2C[C@H]2c2c(F)cccc2Cl)nc[nH+]1 ZINC000156688404 525299399 /nfs/dbraw/zinc/29/93/99/525299399.db2.gz WRBPHZIXROFCFB-BXKDBHETSA-N 1 2 277.730 3.546 20 0 CHADLO Cc1ccc(N[C@H](c2cccnc2)C2CCC2)c[nH+]1 ZINC000280192802 525318242 /nfs/dbraw/zinc/31/82/42/525318242.db2.gz AXAWDIUYZRVJJQ-INIZCTEOSA-N 1 2 253.349 3.738 20 0 CHADLO CSC1(CNc2ccc(-n3c[nH+]c(C)c3C)cc2)CC1 ZINC000291350391 525341498 /nfs/dbraw/zinc/34/14/98/525341498.db2.gz XESKBPOLEQBTHG-UHFFFAOYSA-N 1 2 287.432 3.797 20 0 CHADLO C[C@]12CC[N@H+](Cc3cc(F)c(F)cc3F)C[C@H]1C2(F)F ZINC000292823060 525345820 /nfs/dbraw/zinc/34/58/20/525345820.db2.gz QELKSFVTQPGHBH-OLZOCXBDSA-N 1 2 291.263 3.581 20 0 CHADLO C[C@]12CC[N@@H+](Cc3cc(F)c(F)cc3F)C[C@H]1C2(F)F ZINC000292823060 525345835 /nfs/dbraw/zinc/34/58/35/525345835.db2.gz QELKSFVTQPGHBH-OLZOCXBDSA-N 1 2 291.263 3.581 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)Nc1cc[nH+]cc1C ZINC000116195186 525402208 /nfs/dbraw/zinc/40/22/08/525402208.db2.gz LUDWVKYYFBUBGN-CYBMUJFWSA-N 1 2 268.360 3.831 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C1CC1)C1CC(c2ccccc2C)C1 ZINC000353666106 534853957 /nfs/dbraw/zinc/85/39/57/534853957.db2.gz NBWDLCGDBZSGGA-UHFFFAOYSA-N 1 2 295.430 3.937 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C1CC1)C1CC(c2ccccc2C)C1 ZINC000353666106 534853960 /nfs/dbraw/zinc/85/39/60/534853960.db2.gz NBWDLCGDBZSGGA-UHFFFAOYSA-N 1 2 295.430 3.937 20 0 CHADLO C[C@H]([NH2+]Cc1cc[nH]n1)c1c(Cl)ccc(F)c1Cl ZINC000041014382 525434697 /nfs/dbraw/zinc/43/46/97/525434697.db2.gz HJVPYYRZVGWGJG-ZETCQYMHSA-N 1 2 288.153 3.706 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cc(C)ccc2OC(F)F)no1 ZINC000290728845 525464707 /nfs/dbraw/zinc/46/47/07/525464707.db2.gz PEXDCPNXUOCOKB-LLVKDONJSA-N 1 2 296.317 3.744 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1ccccc1Cl ZINC000040420811 525482839 /nfs/dbraw/zinc/48/28/39/525482839.db2.gz MCCSEPAGJBCCCV-VIFPVBQESA-N 1 2 252.770 3.647 20 0 CHADLO CSCC[N@@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000340716099 525504668 /nfs/dbraw/zinc/50/46/68/525504668.db2.gz ZZDRLKYUOUBKCB-UHFFFAOYSA-N 1 2 280.824 3.542 20 0 CHADLO CSCC[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000340716099 525504674 /nfs/dbraw/zinc/50/46/74/525504674.db2.gz ZZDRLKYUOUBKCB-UHFFFAOYSA-N 1 2 280.824 3.542 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1nccs1 ZINC000070984353 525530446 /nfs/dbraw/zinc/53/04/46/525530446.db2.gz OSOZGLADBQFIAM-IUCAKERBSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1cncs1 ZINC000129203059 525603264 /nfs/dbraw/zinc/60/32/64/525603264.db2.gz UUUBPILKZFYQGE-BDAKNGLRSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cncs1)c1c(F)cccc1F ZINC000129203269 525620184 /nfs/dbraw/zinc/62/01/84/525620184.db2.gz UUUBPILKZFYQGE-DTWKUNHWSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1nccs1 ZINC000086508904 525656275 /nfs/dbraw/zinc/65/62/75/525656275.db2.gz JFMYVPDNOAMCCB-LBPRGKRZSA-N 1 2 288.420 3.702 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1cncs1 ZINC000129203495 525657480 /nfs/dbraw/zinc/65/74/80/525657480.db2.gz UUUBPILKZFYQGE-RKDXNWHRSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1ccncc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000353653718 525741955 /nfs/dbraw/zinc/74/19/55/525741955.db2.gz IQQXDSNGXYVVDN-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ccncc1C[N@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000353653718 525741960 /nfs/dbraw/zinc/74/19/60/525741960.db2.gz IQQXDSNGXYVVDN-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](c2cnn(C)c2)c2ccccc2)o1 ZINC000366685600 525749385 /nfs/dbraw/zinc/74/93/85/525749385.db2.gz YAQNGHAODPCWSZ-KDOFPFPSSA-N 1 2 295.386 3.762 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]CC(F)(F)c1ccccc1 ZINC000353625349 525769058 /nfs/dbraw/zinc/76/90/58/525769058.db2.gz GDGRBJCBOKXKFY-ZDUSSCGKSA-N 1 2 276.330 3.833 20 0 CHADLO Cc1ccoc1C[N@@H+](C)[C@@H](C)c1nc(-c2ccccc2)no1 ZINC000292136313 525796705 /nfs/dbraw/zinc/79/67/05/525796705.db2.gz IVFSZCWJEIDQDS-ZDUSSCGKSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccoc1C[N@H+](C)[C@@H](C)c1nc(-c2ccccc2)no1 ZINC000292136313 525796712 /nfs/dbraw/zinc/79/67/12/525796712.db2.gz IVFSZCWJEIDQDS-ZDUSSCGKSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2ncc(C)cn2)o1 ZINC000340691185 525845312 /nfs/dbraw/zinc/84/53/12/525845312.db2.gz QMVXBWBGLMIOIB-WFASDCNBSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2ncc(C)cn2)o1 ZINC000340691185 525845317 /nfs/dbraw/zinc/84/53/17/525845317.db2.gz QMVXBWBGLMIOIB-WFASDCNBSA-N 1 2 285.391 3.660 20 0 CHADLO COc1cccc(CCNc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000225729505 525903921 /nfs/dbraw/zinc/90/39/21/525903921.db2.gz HLJCXILPHKMWAA-UHFFFAOYSA-N 1 2 285.391 3.572 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2cccs2)[nH+]1 ZINC000366356450 525962867 /nfs/dbraw/zinc/96/28/67/525962867.db2.gz QMQXFCFCUODCHC-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO Cc1c2ccccc2oc1[C@@H]([NH2+]Cc1ccn[nH]1)C(C)C ZINC000069878269 525987722 /nfs/dbraw/zinc/98/77/22/525987722.db2.gz DZGHSDXUTSYHIR-INIZCTEOSA-N 1 2 283.375 3.951 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3cccc(Cl)c3)CC2)o1 ZINC000280203472 526015211 /nfs/dbraw/zinc/01/52/11/526015211.db2.gz JEYHDCVHZICHNJ-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3cccc(Cl)c3)CC2)o1 ZINC000280203472 526015219 /nfs/dbraw/zinc/01/52/19/526015219.db2.gz JEYHDCVHZICHNJ-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000290440887 526022342 /nfs/dbraw/zinc/02/23/42/526022342.db2.gz NUBZHKLXORHOSF-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000290440887 526022350 /nfs/dbraw/zinc/02/23/50/526022350.db2.gz NUBZHKLXORHOSF-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CCCC[C@H]1c1ccc(F)cc1 ZINC000290346781 526026033 /nfs/dbraw/zinc/02/60/33/526026033.db2.gz FDYYHNBDDJPMOA-INIZCTEOSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCCC[C@H]1c1ccc(F)cc1 ZINC000290346781 526026038 /nfs/dbraw/zinc/02/60/38/526026038.db2.gz FDYYHNBDDJPMOA-INIZCTEOSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2cc(C)on2)o1 ZINC000076120857 526037851 /nfs/dbraw/zinc/03/78/51/526037851.db2.gz JPEOXYALFZIJFY-IAQYHMDHSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2cc(C)on2)o1 ZINC000076120857 526037860 /nfs/dbraw/zinc/03/78/60/526037860.db2.gz JPEOXYALFZIJFY-IAQYHMDHSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1cnc(C[NH2+]C(c2ccccc2)c2ccccc2)nc1 ZINC000340692932 526038668 /nfs/dbraw/zinc/03/86/68/526038668.db2.gz WQZKYAQYHHXWIU-UHFFFAOYSA-N 1 2 289.382 3.664 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](Cc1cc[nH]n1)C1CC1 ZINC000129890936 526102310 /nfs/dbraw/zinc/10/23/10/526102310.db2.gz LVINPONVUDBCEC-NSHDSACASA-N 1 2 275.783 3.789 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](Cc1cc[nH]n1)C1CC1 ZINC000129890936 526102314 /nfs/dbraw/zinc/10/23/14/526102314.db2.gz LVINPONVUDBCEC-NSHDSACASA-N 1 2 275.783 3.789 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000264141507 526125139 /nfs/dbraw/zinc/12/51/39/526125139.db2.gz BIOWZLWLEPMHNU-ZDUSSCGKSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000264141507 526125147 /nfs/dbraw/zinc/12/51/47/526125147.db2.gz BIOWZLWLEPMHNU-ZDUSSCGKSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000264141501 526134949 /nfs/dbraw/zinc/13/49/49/526134949.db2.gz BIOWZLWLEPMHNU-CYBMUJFWSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@H](c1cccnc1)[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000264141501 526134951 /nfs/dbraw/zinc/13/49/51/526134951.db2.gz BIOWZLWLEPMHNU-CYBMUJFWSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1nnc(-c2ccco2)o1)C1CC1 ZINC000086235766 526139569 /nfs/dbraw/zinc/13/95/69/526139569.db2.gz IVLMSUNXSJPBHO-NSHDSACASA-N 1 2 299.330 3.648 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1nnc(-c2ccco2)o1)C1CC1 ZINC000086235766 526139574 /nfs/dbraw/zinc/13/95/74/526139574.db2.gz IVLMSUNXSJPBHO-NSHDSACASA-N 1 2 299.330 3.648 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cccn2CCC(C)C)o1 ZINC000337261994 526158983 /nfs/dbraw/zinc/15/89/83/526158983.db2.gz QSHBPYYWABPUOK-AWEZNQCLSA-N 1 2 275.396 3.681 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1nnc(C(C)C)o1 ZINC000280267006 526159101 /nfs/dbraw/zinc/15/91/01/526159101.db2.gz CSEGTTGDLBGGEL-UHFFFAOYSA-N 1 2 291.420 3.547 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1nnc(C(C)C)o1 ZINC000280267006 526159110 /nfs/dbraw/zinc/15/91/10/526159110.db2.gz CSEGTTGDLBGGEL-UHFFFAOYSA-N 1 2 291.420 3.547 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@H+]1C[C@@H](C)[C@H]1C ZINC000292958939 526177498 /nfs/dbraw/zinc/17/74/98/526177498.db2.gz JIZMZOSQDILZKF-GMTAPVOTSA-N 1 2 279.334 3.833 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@@H+]1C[C@@H](C)[C@H]1C ZINC000292958939 526177492 /nfs/dbraw/zinc/17/74/92/526177492.db2.gz JIZMZOSQDILZKF-GMTAPVOTSA-N 1 2 279.334 3.833 20 0 CHADLO Cc1c[nH+]cc(NCc2ncc(C(C)(C)C)s2)c1 ZINC000279075397 526210325 /nfs/dbraw/zinc/21/03/25/526210325.db2.gz GPQCMUOOZWZFGZ-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO C[C@@H]1CC=CC[C@@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000340894288 526236220 /nfs/dbraw/zinc/23/62/20/526236220.db2.gz UBECFTADSNRTRM-OCCSQVGLSA-N 1 2 299.349 3.552 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)CC1CC(c2ccccc2)C1 ZINC000281960451 526237364 /nfs/dbraw/zinc/23/73/64/526237364.db2.gz RYXATHAQYIMTHQ-UHFFFAOYSA-N 1 2 280.371 3.912 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)NC[C@H]1CCCc2ccccc21 ZINC000121772201 526238357 /nfs/dbraw/zinc/23/83/57/526238357.db2.gz AYXKKUNRRSUCGQ-OAHLLOKOSA-N 1 2 295.386 3.632 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C[C@H](C)c1ccc(F)cc1F ZINC000127699178 526239013 /nfs/dbraw/zinc/23/90/13/526239013.db2.gz IVLGGWINGVFLQC-JTQLQIEISA-N 1 2 290.313 3.801 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1csc(-c2ccc(F)cc2)n1 ZINC000293063541 526287331 /nfs/dbraw/zinc/28/73/31/526287331.db2.gz NSZKIRCFTYEUAO-AWEZNQCLSA-N 1 2 294.370 3.883 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1csc(-c2ccc(F)cc2)n1 ZINC000293063541 526287335 /nfs/dbraw/zinc/28/73/35/526287335.db2.gz NSZKIRCFTYEUAO-AWEZNQCLSA-N 1 2 294.370 3.883 20 0 CHADLO Fc1ccc(Br)c(CNc2cccc[nH+]2)c1 ZINC000037999174 526325172 /nfs/dbraw/zinc/32/51/72/526325172.db2.gz HFDOIAIBGOGPRE-UHFFFAOYSA-N 1 2 281.128 3.595 20 0 CHADLO Cc1csc(C[N@@H+]([C@@H](C)c2ccco2)C2CC2)n1 ZINC000130195985 526342613 /nfs/dbraw/zinc/34/26/13/526342613.db2.gz XVUJCXPDWJFUMH-NSHDSACASA-N 1 2 262.378 3.770 20 0 CHADLO Cc1csc(C[N@H+]([C@@H](C)c2ccco2)C2CC2)n1 ZINC000130195985 526342616 /nfs/dbraw/zinc/34/26/16/526342616.db2.gz XVUJCXPDWJFUMH-NSHDSACASA-N 1 2 262.378 3.770 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCO[C@@H](c3ccccc3)C2)c1 ZINC000338383393 526350088 /nfs/dbraw/zinc/35/00/88/526350088.db2.gz HZJZJJUAUZQQRN-QGZVFWFLSA-N 1 2 289.325 3.538 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCO[C@@H](c3ccccc3)C2)c1 ZINC000338383393 526350092 /nfs/dbraw/zinc/35/00/92/526350092.db2.gz HZJZJJUAUZQQRN-QGZVFWFLSA-N 1 2 289.325 3.538 20 0 CHADLO c1cc(CNc2[nH+]cccc2OCc2ccccc2)co1 ZINC000354508344 526430077 /nfs/dbraw/zinc/43/00/77/526430077.db2.gz NDEJEFYKHITHQN-UHFFFAOYSA-N 1 2 280.327 3.866 20 0 CHADLO c1cc(OCC2CC2)ccc1[NH2+]C[C@@H]1CCSC1 ZINC000278564399 526434988 /nfs/dbraw/zinc/43/49/88/526434988.db2.gz WOCKDLLJTXHKMZ-ZDUSSCGKSA-N 1 2 263.406 3.640 20 0 CHADLO c1ccc(C[N@@H+]2Cc3cnc(-c4ccccc4)nc3C2)cc1 ZINC000299890141 526471712 /nfs/dbraw/zinc/47/17/12/526471712.db2.gz USVQQVCLEFBODJ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(C[N@H+]2Cc3cnc(-c4ccccc4)nc3C2)cc1 ZINC000299890141 526471715 /nfs/dbraw/zinc/47/17/15/526471715.db2.gz USVQQVCLEFBODJ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](c1ccc(F)cc1)C1CCCC1 ZINC000237555479 526555534 /nfs/dbraw/zinc/55/55/34/526555534.db2.gz HTLXLOJPKSFBRD-KRWDZBQOSA-N 1 2 287.382 3.878 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2ccc(C)c(Cl)c2)n1 ZINC000289378112 526653280 /nfs/dbraw/zinc/65/32/80/526653280.db2.gz BLDPEZPKDPTAAU-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2ccc(C)c(Cl)c2)n1 ZINC000289378112 526653286 /nfs/dbraw/zinc/65/32/86/526653286.db2.gz BLDPEZPKDPTAAU-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSc1nncn1C(C)C ZINC000338504683 526668324 /nfs/dbraw/zinc/66/83/24/526668324.db2.gz GMVYMPVATOFNEY-UHFFFAOYSA-N 1 2 290.436 3.978 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSC[C@@H]1CCCO1 ZINC000338561924 526669330 /nfs/dbraw/zinc/66/93/30/526669330.db2.gz CQVBILAKWRODFD-AWEZNQCLSA-N 1 2 265.422 3.926 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](C)c1ccc(Cl)cc1Cl ZINC000070261615 526703448 /nfs/dbraw/zinc/70/34/48/526703448.db2.gz JAEFKMVFBVOZJC-ZETCQYMHSA-N 1 2 286.162 3.536 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cnc3ccccc3c2)cs1 ZINC000337133610 526842745 /nfs/dbraw/zinc/84/27/45/526842745.db2.gz LANHUUNISGYELW-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cnc3ccccc3c2)cs1 ZINC000337133610 526842746 /nfs/dbraw/zinc/84/27/46/526842746.db2.gz LANHUUNISGYELW-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1nc(C[NH+]2CC(c3nc4ccccc4s3)C2)oc1C ZINC000336836500 526851398 /nfs/dbraw/zinc/85/13/98/526851398.db2.gz CBSJTNWCXFFACZ-UHFFFAOYSA-N 1 2 299.399 3.501 20 0 CHADLO CC(=O)Nc1cc(NCCCc2c[nH+]ccc2C)ccc1C ZINC000347911155 526927112 /nfs/dbraw/zinc/92/71/12/526927112.db2.gz BKHTXXQDIDBICT-UHFFFAOYSA-N 1 2 297.402 3.702 20 0 CHADLO Clc1ccc(C[NH2+]Cc2csc(-c3ccco3)n2)o1 ZINC000340408610 526948042 /nfs/dbraw/zinc/94/80/42/526948042.db2.gz LDEZHHZYAFLIDR-UHFFFAOYSA-N 1 2 294.763 3.939 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@@H+](Cc1ccccn1)C2 ZINC000338419780 526967771 /nfs/dbraw/zinc/96/77/71/526967771.db2.gz COAXAEDIMCMETN-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@H+](Cc1ccccn1)C2 ZINC000338419780 526967777 /nfs/dbraw/zinc/96/77/77/526967777.db2.gz COAXAEDIMCMETN-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1cnc3ccccn13)C2 ZINC000353521695 526984280 /nfs/dbraw/zinc/98/42/80/526984280.db2.gz SBEJGNCDBDTEHI-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1cnc3ccccn13)C2 ZINC000353521695 526984285 /nfs/dbraw/zinc/98/42/85/526984285.db2.gz SBEJGNCDBDTEHI-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccc3c(n2)CCCC3)cs1 ZINC000278599695 527063997 /nfs/dbraw/zinc/06/39/97/527063997.db2.gz ZJMCDRFUPPKJEJ-NSHDSACASA-N 1 2 287.432 3.576 20 0 CHADLO Cc1[nH]c(C(=O)N[C@@H](c2ccccc2C)C(C)(C)C)c[nH+]1 ZINC000339223619 527240266 /nfs/dbraw/zinc/24/02/66/527240266.db2.gz MQJNGENHOVJEDM-HNNXBMFYSA-N 1 2 285.391 3.544 20 0 CHADLO Cc1ncc(C[N@@H+]2CC[C@@](F)(c3ccccc3F)C2)s1 ZINC000338589205 527257563 /nfs/dbraw/zinc/25/75/63/527257563.db2.gz RIFSSJGLDQQQNR-HNNXBMFYSA-N 1 2 294.370 3.661 20 0 CHADLO Cc1ncc(C[N@H+]2CC[C@@](F)(c3ccccc3F)C2)s1 ZINC000338589205 527257572 /nfs/dbraw/zinc/25/75/72/527257572.db2.gz RIFSSJGLDQQQNR-HNNXBMFYSA-N 1 2 294.370 3.661 20 0 CHADLO CC(C)([NH2+]Cc1nc(C2CCCC2)no1)c1ccccc1 ZINC000331624590 527296256 /nfs/dbraw/zinc/29/62/56/527296256.db2.gz YFRMXEYROWMSPX-UHFFFAOYSA-N 1 2 285.391 3.752 20 0 CHADLO Cc1ncccc1C(=O)OCc1ccc(C(C)C)[nH+]c1C ZINC000293258968 527358747 /nfs/dbraw/zinc/35/87/47/527358747.db2.gz ZTJIPWLNIWICPF-UHFFFAOYSA-N 1 2 284.359 3.574 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+]2CCc3sccc3C2)nc1 ZINC000278163420 527682120 /nfs/dbraw/zinc/68/21/20/527682120.db2.gz PMGOKIFZAKDPSA-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+]2CCc3sccc3C2)nc1 ZINC000278163420 527682125 /nfs/dbraw/zinc/68/21/25/527682125.db2.gz PMGOKIFZAKDPSA-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+](Cc1ccon1)C1CC1 ZINC000077267028 527687293 /nfs/dbraw/zinc/68/72/93/527687293.db2.gz YUFVMVWRUGIKOF-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+](Cc1ccon1)C1CC1 ZINC000077267028 527687296 /nfs/dbraw/zinc/68/72/96/527687296.db2.gz YUFVMVWRUGIKOF-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc(SC)c[nH+]2)C12CCC2 ZINC000347264957 527955432 /nfs/dbraw/zinc/95/54/32/527955432.db2.gz WSDPADBGXDGWLO-QWHCGFSZSA-N 1 2 278.421 3.563 20 0 CHADLO CC(C)c1ccc(C(=O)Nc2cccc3[nH+]ccn32)s1 ZINC000354798720 528081892 /nfs/dbraw/zinc/08/18/92/528081892.db2.gz OJAGLGXIXIAROV-UHFFFAOYSA-N 1 2 285.372 3.772 20 0 CHADLO CCC[N@@H+](Cc1ccccc1-c1ccnn1C)CC(F)F ZINC000350932324 528147859 /nfs/dbraw/zinc/14/78/59/528147859.db2.gz AURPFUZFFHLUBS-UHFFFAOYSA-N 1 2 293.361 3.564 20 0 CHADLO CCC[N@H+](Cc1ccccc1-c1ccnn1C)CC(F)F ZINC000350932324 528147865 /nfs/dbraw/zinc/14/78/65/528147865.db2.gz AURPFUZFFHLUBS-UHFFFAOYSA-N 1 2 293.361 3.564 20 0 CHADLO CC(C)c1ccccc1N(C)C(=O)c1ccc2[nH+]ccn2c1 ZINC000346523705 528190848 /nfs/dbraw/zinc/19/08/48/528190848.db2.gz SSQHZEWWVMFYFG-UHFFFAOYSA-N 1 2 293.370 3.734 20 0 CHADLO CC(C)c1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@@](C)(F)C1 ZINC000347389304 528197745 /nfs/dbraw/zinc/19/77/45/528197745.db2.gz RDIDGQMUDSSUMT-CXAGYDPISA-N 1 2 292.398 3.571 20 0 CHADLO CC(C)c1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@@](C)(F)C1 ZINC000347389304 528197756 /nfs/dbraw/zinc/19/77/56/528197756.db2.gz RDIDGQMUDSSUMT-CXAGYDPISA-N 1 2 292.398 3.571 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC(C)(C)[C@@H]2[C@H]2CCCO2)cs1 ZINC000351958544 528275814 /nfs/dbraw/zinc/27/58/14/528275814.db2.gz NVKLLPGYQPXQGD-KGLIPLIRSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC(C)(C)[C@@H]2[C@H]2CCCO2)cs1 ZINC000351958544 528275819 /nfs/dbraw/zinc/27/58/19/528275819.db2.gz NVKLLPGYQPXQGD-KGLIPLIRSA-N 1 2 294.464 3.656 20 0 CHADLO CCCCCn1cc(C[NH2+][C@@H](C)c2cc(C)on2)c(C)n1 ZINC000353271849 528291040 /nfs/dbraw/zinc/29/10/40/528291040.db2.gz ZJHBOPVTIWXVPE-AWEZNQCLSA-N 1 2 290.411 3.529 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCS[C@@H]3CCCC[C@H]32)s1 ZINC000336202685 528427020 /nfs/dbraw/zinc/42/70/20/528427020.db2.gz FVWAPJWDTROOHF-VXGBXAGGSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCS[C@@H]3CCCC[C@H]32)s1 ZINC000336202685 528427025 /nfs/dbraw/zinc/42/70/25/528427025.db2.gz FVWAPJWDTROOHF-VXGBXAGGSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCS[C@@H]3CCCC[C@@H]32)s1 ZINC000336202683 528427118 /nfs/dbraw/zinc/42/71/18/528427118.db2.gz FVWAPJWDTROOHF-NWDGAFQWSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCS[C@@H]3CCCC[C@@H]32)s1 ZINC000336202683 528427124 /nfs/dbraw/zinc/42/71/24/528427124.db2.gz FVWAPJWDTROOHF-NWDGAFQWSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)C[C@H](CCO)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354547060 528499169 /nfs/dbraw/zinc/49/91/69/528499169.db2.gz UPRKVNSGVJQYGX-ZDUSSCGKSA-N 1 2 290.382 3.831 20 0 CHADLO CC(C)n1cc(C[N@H+]([C@@H](C)c2ccccc2)C2CC2)nn1 ZINC000347643692 528561362 /nfs/dbraw/zinc/56/13/62/528561362.db2.gz BEMKWTWIIZZFAB-AWEZNQCLSA-N 1 2 284.407 3.585 20 0 CHADLO CC(C)n1cc(C[N@@H+]([C@@H](C)c2ccccc2)C2CC2)nn1 ZINC000347643692 528561366 /nfs/dbraw/zinc/56/13/66/528561366.db2.gz BEMKWTWIIZZFAB-AWEZNQCLSA-N 1 2 284.407 3.585 20 0 CHADLO CC(C)[C@@H]1CCCCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344390163 528649609 /nfs/dbraw/zinc/64/96/09/528649609.db2.gz HSNJUQAQETXZAM-KRWDZBQOSA-N 1 2 297.402 3.523 20 0 CHADLO CCCc1occc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000344454014 528803345 /nfs/dbraw/zinc/80/33/45/528803345.db2.gz CKELWORHOMBQHS-UHFFFAOYSA-N 1 2 272.348 3.805 20 0 CHADLO CCCc1occc1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000344594945 528803490 /nfs/dbraw/zinc/80/34/90/528803490.db2.gz SWTMLTVPEQUVMW-UHFFFAOYSA-N 1 2 295.342 3.670 20 0 CHADLO CC[N@H+](Cc1ccn(C(F)F)n1)Cc1cccc(Cl)c1 ZINC000347673109 528856343 /nfs/dbraw/zinc/85/63/43/528856343.db2.gz CXVLJVHUGJGAHC-UHFFFAOYSA-N 1 2 299.752 3.954 20 0 CHADLO CC[N@@H+](Cc1ccn(C(F)F)n1)Cc1cccc(Cl)c1 ZINC000347673109 528856344 /nfs/dbraw/zinc/85/63/44/528856344.db2.gz CXVLJVHUGJGAHC-UHFFFAOYSA-N 1 2 299.752 3.954 20 0 CHADLO CC[N@H+](Cc1cn(C(C)C)nn1)Cc1ccc(Cl)cc1 ZINC000347590056 528863558 /nfs/dbraw/zinc/86/35/58/528863558.db2.gz AICHSPXAQUGRGD-UHFFFAOYSA-N 1 2 292.814 3.535 20 0 CHADLO CC[N@@H+](Cc1cn(C(C)C)nn1)Cc1ccc(Cl)cc1 ZINC000347590056 528863566 /nfs/dbraw/zinc/86/35/66/528863566.db2.gz AICHSPXAQUGRGD-UHFFFAOYSA-N 1 2 292.814 3.535 20 0 CHADLO CCOC[C@H]1CCC[C@@H]1Nc1cc(CSCC)cc[nH+]1 ZINC000354561765 529021667 /nfs/dbraw/zinc/02/16/67/529021667.db2.gz FAHCJZZHJTVGDD-CABCVRRESA-N 1 2 294.464 3.952 20 0 CHADLO CC[C@H]1CCCN1C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000352799644 529219897 /nfs/dbraw/zinc/21/98/97/529219897.db2.gz XDPCTXZAJYUEAT-HNNXBMFYSA-N 1 2 296.370 3.889 20 0 CHADLO CC[C@@H]1CCN1C(=O)c1ccc(C)c(Oc2cc[nH+]cc2)c1 ZINC000347636153 529331498 /nfs/dbraw/zinc/33/14/98/529331498.db2.gz QLCCHVWXSWDKQF-OAHLLOKOSA-N 1 2 296.370 3.807 20 0 CHADLO CC[C@@H](C)[C@@H]([NH2+]Cc1nc(C)no1)c1ccc(Cl)cc1 ZINC000341845453 535669673 /nfs/dbraw/zinc/66/96/73/535669673.db2.gz HXDOCQYFWJWHTN-MEBBXXQBSA-N 1 2 293.798 3.908 20 0 CHADLO Clc1ccc([C@@H]2C[N@@H+](C3CCCC3)CCO2)s1 ZINC000363472580 728639793 /nfs/dbraw/zinc/63/97/93/728639793.db2.gz RTTCJVYBEXTPHI-NSHDSACASA-N 1 2 271.813 3.717 20 0 CHADLO Clc1ccc([C@@H]2C[N@H+](C3CCCC3)CCO2)s1 ZINC000363472580 728639796 /nfs/dbraw/zinc/63/97/96/728639796.db2.gz RTTCJVYBEXTPHI-NSHDSACASA-N 1 2 271.813 3.717 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)C1=CCCCCC1 ZINC000128671334 1125467653 /nfs/dbraw/zinc/46/76/53/1125467653.db2.gz BPQXMBUQJTVCQZ-UHFFFAOYSA-N 1 2 281.359 3.701 20 0 CHADLO COc1ccc(C[NH2+]C2(C(F)F)CCCCC2)cc1F ZINC000510089943 1117870110 /nfs/dbraw/zinc/87/01/10/1117870110.db2.gz WXGVFMPIIDILCM-UHFFFAOYSA-N 1 2 287.325 3.892 20 0 CHADLO CCC(CC)[C@H]([NH2+]Cc1noc(C)n1)c1cccs1 ZINC000341595674 1118753537 /nfs/dbraw/zinc/75/35/37/1118753537.db2.gz VLFCXHVFTDOYKL-AWEZNQCLSA-N 1 2 279.409 3.707 20 0 CHADLO CCc1cnc(C[N@@H+](CC)Cc2cccc(Cl)c2)o1 ZINC000075638285 1119179025 /nfs/dbraw/zinc/17/90/25/1119179025.db2.gz CQPHXHRFFFZZCB-UHFFFAOYSA-N 1 2 278.783 3.913 20 0 CHADLO CCc1cnc(C[N@H+](CC)Cc2cccc(Cl)c2)o1 ZINC000075638285 1119179027 /nfs/dbraw/zinc/17/90/27/1119179027.db2.gz CQPHXHRFFFZZCB-UHFFFAOYSA-N 1 2 278.783 3.913 20 0 CHADLO c1sc(C2CCCC2)nc1C[N@@H+]1CCC12CCC2 ZINC000081564025 1119629689 /nfs/dbraw/zinc/62/96/89/1119629689.db2.gz HIYRXTXPRPYEMW-UHFFFAOYSA-N 1 2 262.422 3.929 20 0 CHADLO c1sc(C2CCCC2)nc1C[N@H+]1CCC12CCC2 ZINC000081564025 1119629690 /nfs/dbraw/zinc/62/96/90/1119629690.db2.gz HIYRXTXPRPYEMW-UHFFFAOYSA-N 1 2 262.422 3.929 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1nc2ccccc2[nH]1 ZINC000348301739 1125499994 /nfs/dbraw/zinc/49/99/94/1125499994.db2.gz AJFLCOSYJXSUOY-UHFFFAOYSA-N 1 2 297.427 3.917 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1nc2ccccc2[nH]1 ZINC000348301739 1125499995 /nfs/dbraw/zinc/49/99/95/1125499995.db2.gz AJFLCOSYJXSUOY-UHFFFAOYSA-N 1 2 297.427 3.917 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@H](C)c2nc(C3CC3)no2)c1C ZINC000115603364 1125504825 /nfs/dbraw/zinc/50/48/25/1125504825.db2.gz MMFLZASKSHOEAW-QWHCGFSZSA-N 1 2 285.391 3.976 20 0 CHADLO COc1ccsc1C[N@H+](C)Cc1nc2ccccc2o1 ZINC000093339064 1125507264 /nfs/dbraw/zinc/50/72/64/1125507264.db2.gz CNTORCLADWDRHX-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO COc1ccsc1C[N@@H+](C)Cc1nc2ccccc2o1 ZINC000093339064 1125507269 /nfs/dbraw/zinc/50/72/69/1125507269.db2.gz CNTORCLADWDRHX-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO CCOC(=O)CCCC[N@H+](CC)c1cc(C)cc(C)c1 ZINC001167706102 1120015611 /nfs/dbraw/zinc/01/56/11/1120015611.db2.gz QEIBWMKCVITSBI-UHFFFAOYSA-N 1 2 277.408 3.863 20 0 CHADLO CCOC(=O)CCCC[N@@H+](CC)c1cc(C)cc(C)c1 ZINC001167706102 1120015616 /nfs/dbraw/zinc/01/56/16/1120015616.db2.gz QEIBWMKCVITSBI-UHFFFAOYSA-N 1 2 277.408 3.863 20 0 CHADLO FC(F)Oc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000397993918 1120541404 /nfs/dbraw/zinc/54/14/04/1120541404.db2.gz JQONUJYJGUQTIE-NSHDSACASA-N 1 2 277.261 3.565 20 0 CHADLO Cc1ncc(C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)s1 ZINC000661507748 1120771702 /nfs/dbraw/zinc/77/17/02/1120771702.db2.gz BKOMQCNQVAMLFP-CQSZACIVSA-N 1 2 262.353 3.538 20 0 CHADLO Cc1ncc(C[N@H+]2CC[C@@H]2c2ccc(F)cc2)s1 ZINC000661507748 1120771707 /nfs/dbraw/zinc/77/17/07/1120771707.db2.gz BKOMQCNQVAMLFP-CQSZACIVSA-N 1 2 262.353 3.538 20 0 CHADLO C[N@H+](Cc1cncs1)Cc1ccc(F)c(Cl)c1 ZINC000432792555 1121356211 /nfs/dbraw/zinc/35/62/11/1121356211.db2.gz LEWBMLCOKIKDBT-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1cncs1)Cc1ccc(F)c(Cl)c1 ZINC000432792555 1121356219 /nfs/dbraw/zinc/35/62/19/1121356219.db2.gz LEWBMLCOKIKDBT-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+](CCC(F)(F)F)CC2CC2)n1 ZINC000894089732 1121467437 /nfs/dbraw/zinc/46/74/37/1121467437.db2.gz WMFHJSLUQVUCBW-UHFFFAOYSA-N 1 2 289.345 3.698 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@H+]1CC[C@](C)(F)C1 ZINC000501407703 1121976688 /nfs/dbraw/zinc/97/66/88/1121976688.db2.gz DUHFWJYCSLXUAW-MFKMUULPSA-N 1 2 286.188 3.944 20 0 CHADLO FCC[NH2+][C@H](c1ccco1)c1ccc(Br)cc1 ZINC000436311911 1122537213 /nfs/dbraw/zinc/53/72/13/1122537213.db2.gz IZEGDXYWJGWNGR-ZDUSSCGKSA-N 1 2 298.155 3.691 20 0 CHADLO FC(F)(F)CCc1[nH]c2cc3c(cc2[nH+]1)CCC3 ZINC001250432385 1122610174 /nfs/dbraw/zinc/61/01/74/1122610174.db2.gz PLGQBFDBHAQVDK-UHFFFAOYSA-N 1 2 254.255 3.547 20 0 CHADLO CCO[P@@](C)(=O)CC[C@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC000895645327 1123501554 /nfs/dbraw/zinc/50/15/54/1123501554.db2.gz PDBOUCQNXJEWSG-HXPMCKFVSA-N 1 2 284.340 3.833 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@@H](C)c2sc(C)nc2C)s1 ZINC000346135966 1123668010 /nfs/dbraw/zinc/66/80/10/1123668010.db2.gz OJHXTBXNVWWDDA-JTQLQIEISA-N 1 2 295.477 3.972 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@@H](C)c2sc(C)nc2C)s1 ZINC000346135966 1123668012 /nfs/dbraw/zinc/66/80/12/1123668012.db2.gz OJHXTBXNVWWDDA-JTQLQIEISA-N 1 2 295.477 3.972 20 0 CHADLO CCc1cc(Cl)cnc1NCc1c[nH+]cn1C(C)C ZINC000862533850 1123816663 /nfs/dbraw/zinc/81/66/63/1123816663.db2.gz OSDCABZPWRYPHH-UHFFFAOYSA-N 1 2 278.787 3.687 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc2nc(C)ccc2c1)C(F)F ZINC000449366219 1124700723 /nfs/dbraw/zinc/70/07/23/1124700723.db2.gz YBOLGXASRLMPDR-CYBMUJFWSA-N 1 2 264.319 3.677 20 0 CHADLO CCOc1nnc(C[N@@H+](C)C[C@H](C)C2CCCCC2)s1 ZINC000528774862 1124800218 /nfs/dbraw/zinc/80/02/18/1124800218.db2.gz SXDITWQXAWHYGQ-LBPRGKRZSA-N 1 2 297.468 3.585 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC[C@H]2c2ccsc2)nn1 ZINC000876580028 1124962236 /nfs/dbraw/zinc/96/22/36/1124962236.db2.gz PGPDEADGLAQBIG-LBPRGKRZSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc(C[N@H+]2CCC[C@H]2c2ccsc2)nn1 ZINC000876580028 1124962240 /nfs/dbraw/zinc/96/22/40/1124962240.db2.gz PGPDEADGLAQBIG-LBPRGKRZSA-N 1 2 279.796 3.529 20 0 CHADLO Cc1scc(C[NH2+]Cc2c(F)ccc(O)c2F)c1C ZINC000877673587 1125062873 /nfs/dbraw/zinc/06/28/73/1125062873.db2.gz GEXVSDGHJKZBLR-UHFFFAOYSA-N 1 2 283.343 3.639 20 0 CHADLO Cc1nc(C)c([C@H](C)Nc2c[nH+]c(C)c(C)c2)s1 ZINC000883341163 1125397975 /nfs/dbraw/zinc/39/79/75/1125397975.db2.gz JPOVKLOBFCCVAI-NSHDSACASA-N 1 2 261.394 3.945 20 0 CHADLO FC1(F)C[C@@]12CCC[N@H+](Cc1csc(C3CC3)n1)C2 ZINC000844441631 1131566680 /nfs/dbraw/zinc/56/66/80/1131566680.db2.gz PRYBXUNBSXTHIX-CYBMUJFWSA-N 1 2 284.375 3.642 20 0 CHADLO FC1(F)C[C@@]12CCC[N@@H+](Cc1csc(C3CC3)n1)C2 ZINC000844441631 1131566685 /nfs/dbraw/zinc/56/66/85/1131566685.db2.gz PRYBXUNBSXTHIX-CYBMUJFWSA-N 1 2 284.375 3.642 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](C)Cc2ccccc2)c1 ZINC001139589044 1131618774 /nfs/dbraw/zinc/61/87/74/1131618774.db2.gz WPPZMFUKFYZGPJ-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](C)Cc2ccccc2)c1 ZINC001139589044 1131618777 /nfs/dbraw/zinc/61/87/77/1131618777.db2.gz WPPZMFUKFYZGPJ-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(F)cc(F)cc2F)CCC1(F)F ZINC001140530146 1131781149 /nfs/dbraw/zinc/78/11/49/1131781149.db2.gz RDAKSTRXNARWAP-VIFPVBQESA-N 1 2 293.279 3.971 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701614 1131882116 /nfs/dbraw/zinc/88/21/16/1131882116.db2.gz SXEPRXASSWHJKT-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1cc(F)c(Cl)cc1F ZINC001140699641 1131879798 /nfs/dbraw/zinc/87/97/98/1131879798.db2.gz XTWKQCUFTXHVTJ-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1cc(F)c(Cl)cc1F ZINC001140699641 1131879802 /nfs/dbraw/zinc/87/98/02/1131879802.db2.gz XTWKQCUFTXHVTJ-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701614 1131882111 /nfs/dbraw/zinc/88/21/11/1131882111.db2.gz SXEPRXASSWHJKT-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](C(C)C)C2)c(Cl)c1 ZINC001140800337 1131923541 /nfs/dbraw/zinc/92/35/41/1131923541.db2.gz CCMITGVXRRYTAT-HNNXBMFYSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](C(C)C)C2)c(Cl)c1 ZINC001140800337 1131923544 /nfs/dbraw/zinc/92/35/44/1131923544.db2.gz CCMITGVXRRYTAT-HNNXBMFYSA-N 1 2 267.800 3.505 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(C)c(Cl)c1 ZINC001231423829 1132291160 /nfs/dbraw/zinc/29/11/60/1132291160.db2.gz JOQYLJGEJPXUGJ-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(C)c(Cl)c1 ZINC001231423829 1132291168 /nfs/dbraw/zinc/29/11/68/1132291168.db2.gz JOQYLJGEJPXUGJ-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO COC1(C)CC[NH+](Cc2c(F)cc(F)cc2Cl)CC1 ZINC001232098389 1132422121 /nfs/dbraw/zinc/42/21/21/1132422121.db2.gz XKPNCUDGYRNVCS-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccnc(C(F)(F)F)c2)CCC1(F)F ZINC001232237390 1132442356 /nfs/dbraw/zinc/44/23/56/1132442356.db2.gz PCHHODWJPHLZTL-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001233350505 1132608026 /nfs/dbraw/zinc/60/80/26/1132608026.db2.gz JOTCHNQITRESGP-ZJUUUORDSA-N 1 2 273.804 3.994 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001233350505 1132608029 /nfs/dbraw/zinc/60/80/29/1132608029.db2.gz JOTCHNQITRESGP-ZJUUUORDSA-N 1 2 273.804 3.994 20 0 CHADLO CCC[C@H]1CCCC[N@@H+]1Cc1c(Cl)ncnc1Cl ZINC001233409730 1132618775 /nfs/dbraw/zinc/61/87/75/1132618775.db2.gz KXSUXZSCZMVEOX-JTQLQIEISA-N 1 2 288.222 3.938 20 0 CHADLO CCC[C@H]1CCCC[N@H+]1Cc1c(Cl)ncnc1Cl ZINC001233409730 1132618778 /nfs/dbraw/zinc/61/87/78/1132618778.db2.gz KXSUXZSCZMVEOX-JTQLQIEISA-N 1 2 288.222 3.938 20 0 CHADLO COCC(C)(C)Nc1cc(C)c2cccc(C)c2[nH+]1 ZINC001156454764 1132697973 /nfs/dbraw/zinc/69/79/73/1132697973.db2.gz ADYLHKTXIKEJEP-UHFFFAOYSA-N 1 2 258.365 3.689 20 0 CHADLO C[C@H]([NH2+]Cc1cncs1)c1nc(C(C)(C)C)cs1 ZINC000136082049 1132706864 /nfs/dbraw/zinc/70/68/64/1132706864.db2.gz MANRUHITORJFBZ-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(N)c(Br)c2)c1 ZINC001160375682 1132966878 /nfs/dbraw/zinc/96/68/78/1132966878.db2.gz IHOAEGVKLWNOFA-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Fc1cc(C(F)(F)F)ccc1C[N@@H+]1CCC[C@H](F)C1 ZINC001204468602 1133450705 /nfs/dbraw/zinc/45/07/05/1133450705.db2.gz GTFGWXVZYFHNAA-NSHDSACASA-N 1 2 279.252 3.778 20 0 CHADLO Fc1cc(C(F)(F)F)ccc1C[N@H+]1CCC[C@H](F)C1 ZINC001204468602 1133450704 /nfs/dbraw/zinc/45/07/04/1133450704.db2.gz GTFGWXVZYFHNAA-NSHDSACASA-N 1 2 279.252 3.778 20 0 CHADLO CCN(C)c1ccc(NC2=CCC(F)(F)CC2)c[nH+]1 ZINC001210032596 1134128179 /nfs/dbraw/zinc/12/81/79/1134128179.db2.gz SCROYHYDMGQNCS-UHFFFAOYSA-N 1 2 267.323 3.653 20 0 CHADLO C[N@@H+](Cc1csc(C2CCCCC2)n1)C[C@H]1CCCO1 ZINC000052102937 1125514137 /nfs/dbraw/zinc/51/41/37/1125514137.db2.gz SYIFPKPDIBRHFL-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO C[N@H+](Cc1csc(C2CCCCC2)n1)C[C@H]1CCCO1 ZINC000052102937 1125514140 /nfs/dbraw/zinc/51/41/40/1125514140.db2.gz SYIFPKPDIBRHFL-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO CC[N@H+](C[C@H]1C[C@]12CCOC2)c1ccc(C)cc1C ZINC001307534463 1125530810 /nfs/dbraw/zinc/53/08/10/1125530810.db2.gz ASCGYOIZJVHMQJ-WBVHZDCISA-N 1 2 259.393 3.556 20 0 CHADLO CC[N@@H+](C[C@H]1C[C@]12CCOC2)c1ccc(C)cc1C ZINC001307534463 1125530816 /nfs/dbraw/zinc/53/08/16/1125530816.db2.gz ASCGYOIZJVHMQJ-WBVHZDCISA-N 1 2 259.393 3.556 20 0 CHADLO C[C@]12CSC[C@H]1CN(c1[nH+]ccc3cc(F)ccc31)C2 ZINC000897486790 1125543821 /nfs/dbraw/zinc/54/38/21/1125543821.db2.gz AKRMKXCNWAPSNW-WBMJQRKESA-N 1 2 288.391 3.563 20 0 CHADLO Oc1cccc(C[NH2+]Cc2cc(F)ccc2F)c1Cl ZINC000393223745 1125552828 /nfs/dbraw/zinc/55/28/28/1125552828.db2.gz GSGCPPRDLKKEHS-UHFFFAOYSA-N 1 2 283.705 3.614 20 0 CHADLO CC[N@H+](Cc1cc(-c2ccccc2)on1)Cc1ccncc1 ZINC000348325061 1125559889 /nfs/dbraw/zinc/55/98/89/1125559889.db2.gz VLDFDDPOMZIPDN-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC[N@@H+](Cc1cc(-c2ccccc2)on1)Cc1ccncc1 ZINC000348325061 1125559895 /nfs/dbraw/zinc/55/98/95/1125559895.db2.gz VLDFDDPOMZIPDN-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CCCn1c(C)nn(C[NH+]2CCC(C)(CC)CC2)c1=S ZINC000760279919 1125565859 /nfs/dbraw/zinc/56/58/59/1125565859.db2.gz UTYYWYSLSDECDG-UHFFFAOYSA-N 1 2 296.484 3.602 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000245441472 1125571267 /nfs/dbraw/zinc/57/12/67/1125571267.db2.gz VLLZZECELOVERA-TYNCELHUSA-N 1 2 283.375 3.701 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000245441472 1125571270 /nfs/dbraw/zinc/57/12/70/1125571270.db2.gz VLLZZECELOVERA-TYNCELHUSA-N 1 2 283.375 3.701 20 0 CHADLO CSc1ccccc1C[N@H+](C)[C@H](C)c1ncc(C)o1 ZINC000348328525 1125580178 /nfs/dbraw/zinc/58/01/78/1125580178.db2.gz LGRXWGMVLKKGTL-GFCCVEGCSA-N 1 2 276.405 3.898 20 0 CHADLO CSc1ccccc1C[N@@H+](C)[C@H](C)c1ncc(C)o1 ZINC000348328525 1125580186 /nfs/dbraw/zinc/58/01/86/1125580186.db2.gz LGRXWGMVLKKGTL-GFCCVEGCSA-N 1 2 276.405 3.898 20 0 CHADLO Cc1ccc(NCc2cncc3ccccc32)c(C)[nH+]1 ZINC000135784380 1125599470 /nfs/dbraw/zinc/59/94/70/1125599470.db2.gz ILRRXLWDFVFTEN-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO CC(C)[C@H](C)[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000533462136 1125608554 /nfs/dbraw/zinc/60/85/54/1125608554.db2.gz RXPNUCJULUOGJN-LBPRGKRZSA-N 1 2 265.401 3.594 20 0 CHADLO CC(C)[C@H](C)[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000533462136 1125608558 /nfs/dbraw/zinc/60/85/58/1125608558.db2.gz RXPNUCJULUOGJN-LBPRGKRZSA-N 1 2 265.401 3.594 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccc(Cl)cn2)c1 ZINC000886052470 1125642090 /nfs/dbraw/zinc/64/20/90/1125642090.db2.gz RBRIJCNSXGSMPL-UHFFFAOYSA-N 1 2 293.823 3.995 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247107724 1125654599 /nfs/dbraw/zinc/65/45/99/1125654599.db2.gz ZYVCDIBOZXXFGF-BLLLJJGKSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247107724 1125654601 /nfs/dbraw/zinc/65/46/01/1125654601.db2.gz ZYVCDIBOZXXFGF-BLLLJJGKSA-N 1 2 275.323 3.553 20 0 CHADLO CCc1ccc[nH+]c1Nc1cc2ccccc2n1C ZINC001212043111 1125660642 /nfs/dbraw/zinc/66/06/42/1125660642.db2.gz NJASQVSLDUVMKD-UHFFFAOYSA-N 1 2 251.333 3.879 20 0 CHADLO Cc1cc(C)c(Nc2cc3ccccc3n2C)c[nH+]1 ZINC001212042943 1125660825 /nfs/dbraw/zinc/66/08/25/1125660825.db2.gz DSDWKSRHDRMXAS-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)cc1)c1ncco1 ZINC000886272072 1125664072 /nfs/dbraw/zinc/66/40/72/1125664072.db2.gz GJBCXKRGSYWKIH-UWVGGRQHSA-N 1 2 250.729 3.740 20 0 CHADLO CCSc1cccc(C[NH2+][C@@H](C)c2ncco2)c1 ZINC000886272081 1125665606 /nfs/dbraw/zinc/66/56/06/1125665606.db2.gz GKOAHOUOMONOEA-NSHDSACASA-N 1 2 262.378 3.637 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(C)(C)C)s1)c1ncco1 ZINC000886274182 1125665627 /nfs/dbraw/zinc/66/56/27/1125665627.db2.gz NYCQYWSGOKJZHV-SNVBAGLBSA-N 1 2 264.394 3.884 20 0 CHADLO Cc1nccnc1[C@@H](C)Nc1ccc([NH+]2CCCC2)cc1 ZINC000219217942 1125672238 /nfs/dbraw/zinc/67/22/38/1125672238.db2.gz AYDKAUOVWAKYPQ-CQSZACIVSA-N 1 2 282.391 3.558 20 0 CHADLO Cc1nccnc1[C@H](C)Nc1ccc([NH+]2CCCC2)cc1 ZINC000219218020 1125672283 /nfs/dbraw/zinc/67/22/83/1125672283.db2.gz AYDKAUOVWAKYPQ-AWEZNQCLSA-N 1 2 282.391 3.558 20 0 CHADLO Cc1n[nH]c(CNc2ccc3[nH+]c(C)cc(C)c3c2)c1C ZINC000886369831 1125674016 /nfs/dbraw/zinc/67/40/16/1125674016.db2.gz QNSNTVKDNZYREN-UHFFFAOYSA-N 1 2 280.375 3.804 20 0 CHADLO C[N@H+](Cc1ccno1)Cc1ccc2cccc(Cl)c2n1 ZINC001137228577 1125675430 /nfs/dbraw/zinc/67/54/30/1125675430.db2.gz PWWBOWYLWNTAGN-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@@H+](Cc1ccno1)Cc1ccc2cccc(Cl)c2n1 ZINC001137228577 1125675433 /nfs/dbraw/zinc/67/54/33/1125675433.db2.gz PWWBOWYLWNTAGN-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(OC(F)F)c2)c[nH+]1 ZINC001212058853 1125675762 /nfs/dbraw/zinc/67/57/62/1125675762.db2.gz VIOQCXLUVKKDPH-UHFFFAOYSA-N 1 2 293.317 3.883 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(OC(F)F)cc1)c1ncco1 ZINC000886529988 1125684179 /nfs/dbraw/zinc/68/41/79/1125684179.db2.gz BCERPIUYUCFOLZ-NXEZZACHSA-N 1 2 282.290 3.688 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(OC(F)F)cc1)c1ncco1 ZINC000886529989 1125684651 /nfs/dbraw/zinc/68/46/51/1125684651.db2.gz BCERPIUYUCFOLZ-UWVGGRQHSA-N 1 2 282.290 3.688 20 0 CHADLO c1coc([C@@H]2CCCCC[N@H+]2Cc2nc(C3CC3)no2)c1 ZINC000076804318 1125685972 /nfs/dbraw/zinc/68/59/72/1125685972.db2.gz RYPYYEVOBHDATD-ZDUSSCGKSA-N 1 2 287.363 3.657 20 0 CHADLO c1coc([C@@H]2CCCCC[N@@H+]2Cc2nc(C3CC3)no2)c1 ZINC000076804318 1125685975 /nfs/dbraw/zinc/68/59/75/1125685975.db2.gz RYPYYEVOBHDATD-ZDUSSCGKSA-N 1 2 287.363 3.657 20 0 CHADLO C[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(Cl)s1 ZINC000393296172 1125686196 /nfs/dbraw/zinc/68/61/96/1125686196.db2.gz PHMNYNKAMOSGNB-SSDOTTSWSA-N 1 2 290.219 3.639 20 0 CHADLO CCCn1c(C)nn(C[N@@H+]2CCC(CC)(CC)C2)c1=S ZINC000760283324 1125687115 /nfs/dbraw/zinc/68/71/15/1125687115.db2.gz BKKAQXHWDWXUEA-UHFFFAOYSA-N 1 2 296.484 3.602 20 0 CHADLO CCCn1c(C)nn(C[N@H+]2CCC(CC)(CC)C2)c1=S ZINC000760283324 1125687120 /nfs/dbraw/zinc/68/71/20/1125687120.db2.gz BKKAQXHWDWXUEA-UHFFFAOYSA-N 1 2 296.484 3.602 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CCCc2c3cc(F)ccc3[nH]c21 ZINC000886550440 1125690086 /nfs/dbraw/zinc/69/00/86/1125690086.db2.gz ZWKYAXNXTHVAIM-MRXNPFEDSA-N 1 2 298.365 3.506 20 0 CHADLO c1cnc2c(c1)CC[C@@H]2Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000886566555 1125691476 /nfs/dbraw/zinc/69/14/76/1125691476.db2.gz SZOLHYJUWSJKEA-INIZCTEOSA-N 1 2 294.402 3.566 20 0 CHADLO C[NH2+]c1ccc(NCc2c(C)nn(C(C)(C)C)c2C)cc1 ZINC000629388957 1125704218 /nfs/dbraw/zinc/70/42/18/1125704218.db2.gz GEEVSFPCXHVATF-UHFFFAOYSA-N 1 2 286.423 3.909 20 0 CHADLO CC[C@@H]([NH2+]Cc1noc(C)n1)c1cccc2ccccc21 ZINC000886918190 1125723902 /nfs/dbraw/zinc/72/39/02/1125723902.db2.gz TZLPRTKVOIRQPN-MRXNPFEDSA-N 1 2 281.359 3.772 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH2+][C@H]2CCc3c2cccc3F)n1 ZINC000886921061 1125725181 /nfs/dbraw/zinc/72/51/81/1125725181.db2.gz WETGIYLGXFZVSH-YGRLFVJLSA-N 1 2 289.354 3.670 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OCC1CC1)c1nccs1 ZINC000091727158 1125751835 /nfs/dbraw/zinc/75/18/35/1125751835.db2.gz ADBZNOZUUGRGDM-GFCCVEGCSA-N 1 2 288.416 3.783 20 0 CHADLO CCc1cnc(C[N@@H+]2Cc3ccccc3OC3(CCC3)C2)o1 ZINC000887151391 1125756350 /nfs/dbraw/zinc/75/63/50/1125756350.db2.gz VKSBIGUCKGZVPX-UHFFFAOYSA-N 1 2 298.386 3.554 20 0 CHADLO CCc1cnc(C[N@H+]2Cc3ccccc3OC3(CCC3)C2)o1 ZINC000887151391 1125756353 /nfs/dbraw/zinc/75/63/53/1125756353.db2.gz VKSBIGUCKGZVPX-UHFFFAOYSA-N 1 2 298.386 3.554 20 0 CHADLO CCn1cncc1C[N@@H+]1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000841844014 1125771674 /nfs/dbraw/zinc/77/16/74/1125771674.db2.gz WIGNUDBSUFNMCE-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cncc1C[N@H+]1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000841844014 1125771681 /nfs/dbraw/zinc/77/16/81/1125771681.db2.gz WIGNUDBSUFNMCE-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO Cc1ccnc(C[N@H+](C)Cc2ccc(C(C)(C)C)cc2)n1 ZINC000533776016 1125781699 /nfs/dbraw/zinc/78/16/99/1125781699.db2.gz PIQPWPPARQMSCP-UHFFFAOYSA-N 1 2 283.419 3.715 20 0 CHADLO Cc1ccnc(C[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)n1 ZINC000533776016 1125781704 /nfs/dbraw/zinc/78/17/04/1125781704.db2.gz PIQPWPPARQMSCP-UHFFFAOYSA-N 1 2 283.419 3.715 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@@H]2c2cccc(C)c2C)no1 ZINC000122294675 1125786762 /nfs/dbraw/zinc/78/67/62/1125786762.db2.gz HAIAQQJVVHVWOW-OAHLLOKOSA-N 1 2 285.391 3.586 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@@H]2c2cccc(C)c2C)no1 ZINC000122294675 1125786765 /nfs/dbraw/zinc/78/67/65/1125786765.db2.gz HAIAQQJVVHVWOW-OAHLLOKOSA-N 1 2 285.391 3.586 20 0 CHADLO COc1nc(C)cc(C)c1C[N@H+](C)Cc1ccc(Cl)o1 ZINC000621742273 1129190241 /nfs/dbraw/zinc/19/02/41/1129190241.db2.gz LMWIZXYDCODBFD-UHFFFAOYSA-N 1 2 294.782 3.585 20 0 CHADLO COc1nc(C)cc(C)c1C[N@@H+](C)Cc1ccc(Cl)o1 ZINC000621742273 1129190243 /nfs/dbraw/zinc/19/02/43/1129190243.db2.gz LMWIZXYDCODBFD-UHFFFAOYSA-N 1 2 294.782 3.585 20 0 CHADLO FC1(F)C[C@]12CC[N@H+](Cc1ncc(Cl)cc1Cl)C2 ZINC000649907244 1129686768 /nfs/dbraw/zinc/68/67/68/1129686768.db2.gz MESBHMNKRQVWKG-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@]12CC[N@@H+](Cc1ncc(Cl)cc1Cl)C2 ZINC000649907244 1129686769 /nfs/dbraw/zinc/68/67/69/1129686769.db2.gz MESBHMNKRQVWKG-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO CC(C)(C)SCC[N@@H+]1CCCC[C@@H]1C(F)F ZINC000801954809 1129963538 /nfs/dbraw/zinc/96/35/38/1129963538.db2.gz MKSDFJNCSIUKLC-SNVBAGLBSA-N 1 2 251.386 3.638 20 0 CHADLO CC(C)(C)SCC[N@H+]1CCCC[C@@H]1C(F)F ZINC000801954809 1129963541 /nfs/dbraw/zinc/96/35/41/1129963541.db2.gz MKSDFJNCSIUKLC-SNVBAGLBSA-N 1 2 251.386 3.638 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncc1F)c1cc(F)ccc1F ZINC000338007436 1126708698 /nfs/dbraw/zinc/70/86/98/1126708698.db2.gz ISFOFHFMFXZYKX-VHSXEESVSA-N 1 2 280.293 3.911 20 0 CHADLO CCc1nc2c(s1)[C@H]([N@H+](C)Cc1nccs1)CCC2 ZINC000135212929 1126713844 /nfs/dbraw/zinc/71/38/44/1126713844.db2.gz WXWONHPUNYSPDF-LLVKDONJSA-N 1 2 293.461 3.671 20 0 CHADLO CCc1nc2c(s1)[C@H]([N@@H+](C)Cc1nccs1)CCC2 ZINC000135212929 1126713847 /nfs/dbraw/zinc/71/38/47/1126713847.db2.gz WXWONHPUNYSPDF-LLVKDONJSA-N 1 2 293.461 3.671 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1ncc[nH]1 ZINC000600630445 1126728965 /nfs/dbraw/zinc/72/89/65/1126728965.db2.gz ULXWPSAODUMLNY-CYBMUJFWSA-N 1 2 263.772 3.646 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1ncc[nH]1 ZINC000600630445 1126728967 /nfs/dbraw/zinc/72/89/67/1126728967.db2.gz ULXWPSAODUMLNY-CYBMUJFWSA-N 1 2 263.772 3.646 20 0 CHADLO Cc1cnc(F)cc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001212846863 1126727254 /nfs/dbraw/zinc/72/72/54/1126727254.db2.gz OGWVTSHXYNBOHJ-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cccc(F)c1OC(F)F ZINC000659816461 1126743910 /nfs/dbraw/zinc/74/39/10/1126743910.db2.gz RCURUOKGHIHQHB-UHFFFAOYSA-N 1 2 285.265 3.652 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cccc(F)c1OC(F)F ZINC000659816461 1126743913 /nfs/dbraw/zinc/74/39/13/1126743913.db2.gz RCURUOKGHIHQHB-UHFFFAOYSA-N 1 2 285.265 3.652 20 0 CHADLO COCC1([N@H+](C)Cc2c(Cl)cccc2Cl)CC1 ZINC000677725236 1130325433 /nfs/dbraw/zinc/32/54/33/1130325433.db2.gz DJYRZXAQFHENOQ-UHFFFAOYSA-N 1 2 274.191 3.604 20 0 CHADLO COCC1([N@@H+](C)Cc2c(Cl)cccc2Cl)CC1 ZINC000677725236 1130325435 /nfs/dbraw/zinc/32/54/35/1130325435.db2.gz DJYRZXAQFHENOQ-UHFFFAOYSA-N 1 2 274.191 3.604 20 0 CHADLO CC(C)=CCC[C@@H](C)[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000482520126 1126762994 /nfs/dbraw/zinc/76/29/94/1126762994.db2.gz QMZOTOXZSCYZIG-ZBFHGGJFSA-N 1 2 284.407 3.619 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1cn(C2CCC2)nn1 ZINC000668061551 1126763048 /nfs/dbraw/zinc/76/30/48/1126763048.db2.gz KQCRHNVJAUXXBR-RVDMUPIBSA-N 1 2 296.418 3.538 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1cn(C2CCC2)nn1 ZINC000668061551 1126763052 /nfs/dbraw/zinc/76/30/52/1126763052.db2.gz KQCRHNVJAUXXBR-RVDMUPIBSA-N 1 2 296.418 3.538 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)oc1C ZINC000174508265 1126770539 /nfs/dbraw/zinc/77/05/39/1126770539.db2.gz VXVQJZYVOGZDQO-BXUZGUMPSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)oc1C ZINC000174508265 1126770541 /nfs/dbraw/zinc/77/05/41/1126770541.db2.gz VXVQJZYVOGZDQO-BXUZGUMPSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)no1 ZINC000174512163 1126771467 /nfs/dbraw/zinc/77/14/67/1126771467.db2.gz DJKXFNOSYNHAFY-BXUZGUMPSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)no1 ZINC000174512163 1126771469 /nfs/dbraw/zinc/77/14/69/1126771469.db2.gz DJKXFNOSYNHAFY-BXUZGUMPSA-N 1 2 260.337 3.549 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+](C)Cc1nccs1 ZINC000175066987 1126776842 /nfs/dbraw/zinc/77/68/42/1126776842.db2.gz HUDGCKCFQBQMAC-CYBMUJFWSA-N 1 2 264.369 3.865 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1nccs1 ZINC000175066987 1126776845 /nfs/dbraw/zinc/77/68/45/1126776845.db2.gz HUDGCKCFQBQMAC-CYBMUJFWSA-N 1 2 264.369 3.865 20 0 CHADLO Cc1nc(C[N@H+]2CCCC[C@H]2c2cccn2C)cs1 ZINC000175125318 1126777753 /nfs/dbraw/zinc/77/77/53/1126777753.db2.gz UKSCANFCOVXEIF-HNNXBMFYSA-N 1 2 275.421 3.517 20 0 CHADLO Cc1nc(C[N@@H+]2CCCC[C@H]2c2cccn2C)cs1 ZINC000175125318 1126777756 /nfs/dbraw/zinc/77/77/56/1126777756.db2.gz UKSCANFCOVXEIF-HNNXBMFYSA-N 1 2 275.421 3.517 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1ccccc1Cl)c1ccco1 ZINC000177396533 1126801014 /nfs/dbraw/zinc/80/10/14/1126801014.db2.gz TUOOSDYSBGJQNG-RISCZKNCSA-N 1 2 279.767 3.971 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCOc2c(Cl)cccc21)c1nccs1 ZINC000177924101 1126807417 /nfs/dbraw/zinc/80/74/17/1126807417.db2.gz VLMDPRPNBKPGLM-BXKDBHETSA-N 1 2 294.807 3.971 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC000679542165 1130538128 /nfs/dbraw/zinc/53/81/28/1130538128.db2.gz NNIYEVRURCXBQS-NEPJUHHUSA-N 1 2 289.345 3.627 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000179398807 1126827385 /nfs/dbraw/zinc/82/73/85/1126827385.db2.gz SEZFXBLPHCYQJD-ZDUSSCGKSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000179398807 1126827390 /nfs/dbraw/zinc/82/73/90/1126827390.db2.gz SEZFXBLPHCYQJD-ZDUSSCGKSA-N 1 2 283.375 3.537 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1C(C)C)c1ccc(F)cc1F ZINC000179626673 1126832106 /nfs/dbraw/zinc/83/21/06/1126832106.db2.gz QQIHAKFWKWICET-OAHLLOKOSA-N 1 2 293.361 3.983 20 0 CHADLO Cc1cc(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)on1 ZINC000179511936 1126829499 /nfs/dbraw/zinc/82/94/99/1126829499.db2.gz HTNBQGPYAJVFOB-UHFFFAOYSA-N 1 2 274.368 3.632 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1csc(C2CC2)n1 ZINC000179575588 1126831159 /nfs/dbraw/zinc/83/11/59/1126831159.db2.gz IPYYBVBMMMFYCJ-HNNXBMFYSA-N 1 2 287.432 3.696 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1csc(C2CC2)n1 ZINC000179575588 1126831162 /nfs/dbraw/zinc/83/11/62/1126831162.db2.gz IPYYBVBMMMFYCJ-HNNXBMFYSA-N 1 2 287.432 3.696 20 0 CHADLO CC[C@H](CC(C)C)c1nc(-c2ccc(N)[nH+]c2C)no1 ZINC000904263225 1126840949 /nfs/dbraw/zinc/84/09/49/1126840949.db2.gz UTCJEZYPCHEIBB-LLVKDONJSA-N 1 2 274.368 3.562 20 0 CHADLO CCCc1nc(C[N@@H+](C)C2CCC(CCC)CC2)no1 ZINC000484891973 1126853367 /nfs/dbraw/zinc/85/33/67/1126853367.db2.gz CVXIJCZRBNFRJJ-UHFFFAOYSA-N 1 2 279.428 3.813 20 0 CHADLO CCCc1nc(C[N@H+](C)C2CCC(CCC)CC2)no1 ZINC000484891973 1126853370 /nfs/dbraw/zinc/85/33/70/1126853370.db2.gz CVXIJCZRBNFRJJ-UHFFFAOYSA-N 1 2 279.428 3.813 20 0 CHADLO CCc1nnc(C[NH2+][C@H](CC2CCC2)c2ccccc2)o1 ZINC000181351326 1126855076 /nfs/dbraw/zinc/85/50/76/1126855076.db2.gz UEOOYIXBTKEMDK-OAHLLOKOSA-N 1 2 285.391 3.653 20 0 CHADLO CCS[C@@H](C)c1noc(C[NH2+][C@@H](C)c2ccccc2)n1 ZINC000181347266 1126855114 /nfs/dbraw/zinc/85/51/14/1126855114.db2.gz SUPKAXJBUBLMFE-RYUDHWBXSA-N 1 2 291.420 3.735 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H](C)COC(C)(C)C)cc1 ZINC001234587281 1130659252 /nfs/dbraw/zinc/65/92/52/1130659252.db2.gz ZOFIKTQISRYSJD-GFCCVEGCSA-N 1 2 279.380 3.631 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cccc(N3CCCC3)c2)oc1C ZINC000181885111 1126862354 /nfs/dbraw/zinc/86/23/54/1126862354.db2.gz BXHVRCHRRCXRJG-AWEZNQCLSA-N 1 2 299.418 3.742 20 0 CHADLO C[C@@H]([NH2+]C1(c2cccc(F)c2)CC1)c1nccs1 ZINC000182212364 1126868289 /nfs/dbraw/zinc/86/82/89/1126868289.db2.gz DXRDFUWTSYXOID-SNVBAGLBSA-N 1 2 262.353 3.622 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](CC)c2cccc(Cl)c2)o1 ZINC000182583653 1126873614 /nfs/dbraw/zinc/87/36/14/1126873614.db2.gz KDXSQMCVOZUHGX-LBPRGKRZSA-N 1 2 279.771 3.526 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2c(F)cccc2F)C2CC2)n1 ZINC000183565942 1126888506 /nfs/dbraw/zinc/88/85/06/1126888506.db2.gz KONLEIITNBVRFG-CQSZACIVSA-N 1 2 294.370 3.971 20 0 CHADLO COCC1CCC(Nc2c[nH+]cc3c2CCCC3)CC1 ZINC000668441960 1126891637 /nfs/dbraw/zinc/89/16/37/1126891637.db2.gz XTYOALUOWAFITN-UHFFFAOYSA-N 1 2 274.408 3.578 20 0 CHADLO Cc1noc(C)c1CNc1[nH+]c2ccccc2n1CC1CC1 ZINC000905428892 1126902047 /nfs/dbraw/zinc/90/20/47/1126902047.db2.gz BPFVBXBNLFWCFL-UHFFFAOYSA-N 1 2 296.374 3.663 20 0 CHADLO COCC1=CC[N@H+](Cc2csc(CCC(C)C)n2)CC1 ZINC000185834376 1126903641 /nfs/dbraw/zinc/90/36/41/1126903641.db2.gz KKVXKGOOPNXWBN-UHFFFAOYSA-N 1 2 294.464 3.510 20 0 CHADLO COCC1=CC[N@@H+](Cc2csc(CCC(C)C)n2)CC1 ZINC000185834376 1126903643 /nfs/dbraw/zinc/90/36/43/1126903643.db2.gz KKVXKGOOPNXWBN-UHFFFAOYSA-N 1 2 294.464 3.510 20 0 CHADLO Cc1ccc(Cl)cc1-c1cc[nH+]c(N2CCOCC2)c1 ZINC000906198769 1126941167 /nfs/dbraw/zinc/94/11/67/1126941167.db2.gz YPONBVNDZBMMHR-UHFFFAOYSA-N 1 2 288.778 3.547 20 0 CHADLO CC(C)N(C)c1ccc(CNc2ccc([NH+](C)C)cc2)cn1 ZINC000191368674 1126951518 /nfs/dbraw/zinc/95/15/18/1126951518.db2.gz ICDQMTWQUBXABD-UHFFFAOYSA-N 1 2 298.434 3.604 20 0 CHADLO c1nc(C[NH+]2CCC(c3nc4ccccc4s3)CC2)co1 ZINC000191921788 1126956562 /nfs/dbraw/zinc/95/65/62/1126956562.db2.gz FDDPTDWGVUWFKP-UHFFFAOYSA-N 1 2 299.399 3.664 20 0 CHADLO COc1ccccc1[C@H](CC(C)C)[NH2+]Cc1cocn1 ZINC000192321642 1126960968 /nfs/dbraw/zinc/96/09/68/1126960968.db2.gz OMZPRFZYMHRCBK-HNNXBMFYSA-N 1 2 274.364 3.560 20 0 CHADLO Cc1nc(C[N@H+]2CC=C(c3ccc(F)cc3)CC2)cs1 ZINC000192997175 1126966155 /nfs/dbraw/zinc/96/61/55/1126966155.db2.gz GPLBQATVFZYNLT-UHFFFAOYSA-N 1 2 288.391 3.880 20 0 CHADLO Cc1nc(C[N@@H+]2CC=C(c3ccc(F)cc3)CC2)cs1 ZINC000192997175 1126966157 /nfs/dbraw/zinc/96/61/57/1126966157.db2.gz GPLBQATVFZYNLT-UHFFFAOYSA-N 1 2 288.391 3.880 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2cccc(O)c2)cs1 ZINC000194889302 1126977375 /nfs/dbraw/zinc/97/73/75/1126977375.db2.gz BCYAJAVSGPETGS-WDEREUQCSA-N 1 2 276.405 3.823 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@H]2C[C@H](c3ccccc3)C2)c(C)[nH+]1 ZINC000907949238 1126988452 /nfs/dbraw/zinc/98/84/52/1126988452.db2.gz CAWCJYIZUKCBNW-QAQDUYKDSA-N 1 2 294.398 3.683 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2ccc(F)cc2)no1 ZINC000267651636 1127003858 /nfs/dbraw/zinc/00/38/58/1127003858.db2.gz LIKYYFBTCJUWGX-INIZCTEOSA-N 1 2 274.339 3.849 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2ccc(F)cc2)no1 ZINC000267651636 1127003863 /nfs/dbraw/zinc/00/38/63/1127003863.db2.gz LIKYYFBTCJUWGX-INIZCTEOSA-N 1 2 274.339 3.849 20 0 CHADLO FC(F)(F)c1ccc(-c2c[nH+]c3n2CCCC3)cc1 ZINC000630050565 1127015863 /nfs/dbraw/zinc/01/58/63/1127015863.db2.gz RTNFAPVAINBDSJ-UHFFFAOYSA-N 1 2 266.266 3.905 20 0 CHADLO Cn1c[nH+]cc1CNC1(c2c(Cl)cccc2Cl)CC1 ZINC000268345690 1127015944 /nfs/dbraw/zinc/01/59/44/1127015944.db2.gz LZMFHPFARBZHHF-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccn(C3CCCC3)n2)cc1 ZINC000268677007 1127021150 /nfs/dbraw/zinc/02/11/50/1127021150.db2.gz LPJZQIVDYTXPLK-UHFFFAOYSA-N 1 2 299.418 3.639 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccn(C3CCCC3)n2)cc1 ZINC000268677007 1127021153 /nfs/dbraw/zinc/02/11/53/1127021153.db2.gz LPJZQIVDYTXPLK-UHFFFAOYSA-N 1 2 299.418 3.639 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nnc(C(C)C)s2)ccc1F ZINC000269044590 1127033689 /nfs/dbraw/zinc/03/36/89/1127033689.db2.gz HHCMBNFMOVTTPQ-NSHDSACASA-N 1 2 293.411 3.960 20 0 CHADLO Cc1cccc2[nH]c(C[NH2+]Cc3sccc3Cl)nc21 ZINC000487553406 1127034201 /nfs/dbraw/zinc/03/42/01/1127034201.db2.gz CBYHOVPPMCITQZ-UHFFFAOYSA-N 1 2 291.807 3.876 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2c(F)cccc2Cl)c(C)n1 ZINC000269026473 1127033080 /nfs/dbraw/zinc/03/30/80/1127033080.db2.gz QTQVYTDOCPNIIG-VIFPVBQESA-N 1 2 293.773 3.737 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2nccs2)c1 ZINC000269624131 1127043746 /nfs/dbraw/zinc/04/37/46/1127043746.db2.gz FQKQPGBXYMRJJY-ZDUSSCGKSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2nccs2)c1 ZINC000269624131 1127043749 /nfs/dbraw/zinc/04/37/49/1127043749.db2.gz FQKQPGBXYMRJJY-ZDUSSCGKSA-N 1 2 262.353 3.619 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1cscn1)C1CC1 ZINC000269638522 1127043869 /nfs/dbraw/zinc/04/38/69/1127043869.db2.gz RSSRFEMKKCPPLT-LBPRGKRZSA-N 1 2 258.390 3.869 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1cscn1)C1CC1 ZINC000269638522 1127043874 /nfs/dbraw/zinc/04/38/74/1127043874.db2.gz RSSRFEMKKCPPLT-LBPRGKRZSA-N 1 2 258.390 3.869 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2nccs2)[C@H]1c1ccccc1 ZINC000269725543 1127045306 /nfs/dbraw/zinc/04/53/06/1127045306.db2.gz VXSXCBNJTWTWML-IUODEOHRSA-N 1 2 290.457 3.822 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2nccs2)[C@H]1c1ccccc1 ZINC000269725543 1127045308 /nfs/dbraw/zinc/04/53/08/1127045308.db2.gz VXSXCBNJTWTWML-IUODEOHRSA-N 1 2 290.457 3.822 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC000269711519 1127045722 /nfs/dbraw/zinc/04/57/22/1127045722.db2.gz RRBWBOXLIHECRF-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000269711519 1127045727 /nfs/dbraw/zinc/04/57/27/1127045727.db2.gz RRBWBOXLIHECRF-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@@H](C)c3cn[nH]c3)cs2)cc1 ZINC000270251203 1127051906 /nfs/dbraw/zinc/05/19/06/1127051906.db2.gz UMJXCISDGQLLDJ-LBPRGKRZSA-N 1 2 298.415 3.692 20 0 CHADLO CC(C)CC(=O)Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000270521615 1127056643 /nfs/dbraw/zinc/05/66/43/1127056643.db2.gz TYQRXSIBCKTIKP-UHFFFAOYSA-N 1 2 295.386 3.586 20 0 CHADLO c1nc(C[NH2+]C2(c3ccccc3)CCC2)oc1C1CC1 ZINC000270642317 1127059929 /nfs/dbraw/zinc/05/99/29/1127059929.db2.gz RZBWMGUOYMYUOX-UHFFFAOYSA-N 1 2 268.360 3.721 20 0 CHADLO C[C@H]1C[C@H](C[N@H+](CC2CC2)c2ccccc2)CCO1 ZINC000488172096 1127060061 /nfs/dbraw/zinc/06/00/61/1127060061.db2.gz UVVSJRSYVFKSBW-GOEBONIOSA-N 1 2 259.393 3.718 20 0 CHADLO C[C@H]1C[C@H](C[N@@H+](CC2CC2)c2ccccc2)CCO1 ZINC000488172096 1127060062 /nfs/dbraw/zinc/06/00/62/1127060062.db2.gz UVVSJRSYVFKSBW-GOEBONIOSA-N 1 2 259.393 3.718 20 0 CHADLO COc1cccc([C@H]2CCC[N@@H+]2Cc2ncc(C3CC3)o2)c1 ZINC000270687633 1127061148 /nfs/dbraw/zinc/06/11/48/1127061148.db2.gz ZSDLNMXGJOZGQV-MRXNPFEDSA-N 1 2 298.386 3.898 20 0 CHADLO COc1cccc([C@H]2CCC[N@H+]2Cc2ncc(C3CC3)o2)c1 ZINC000270687633 1127061153 /nfs/dbraw/zinc/06/11/53/1127061153.db2.gz ZSDLNMXGJOZGQV-MRXNPFEDSA-N 1 2 298.386 3.898 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cnc([C@H]2CCCO2)s1 ZINC000271046974 1127065740 /nfs/dbraw/zinc/06/57/40/1127065740.db2.gz MOFNBWDRQYNMNH-SMDDNHRTSA-N 1 2 292.404 3.781 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cnc([C@H]2CCCO2)s1 ZINC000271046974 1127065743 /nfs/dbraw/zinc/06/57/43/1127065743.db2.gz MOFNBWDRQYNMNH-SMDDNHRTSA-N 1 2 292.404 3.781 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2oc3ccccc3c2C)[nH]1 ZINC000271419517 1127079748 /nfs/dbraw/zinc/07/97/48/1127079748.db2.gz BSVZMBPHSLQPIX-VXGBXAGGSA-N 1 2 298.390 3.834 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)[nH]1 ZINC000271484694 1127085699 /nfs/dbraw/zinc/08/56/99/1127085699.db2.gz JZSVUIQXJMCGRP-GHMZBOCLSA-N 1 2 284.363 3.525 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(SC)s1)c1ccccc1 ZINC000271681458 1127096847 /nfs/dbraw/zinc/09/68/47/1127096847.db2.gz OYYFNCPBUIWOQG-LLVKDONJSA-N 1 2 279.434 3.501 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc3ccccc3n2)s1 ZINC000274265499 1127111801 /nfs/dbraw/zinc/11/18/01/1127111801.db2.gz VUOSUIQUAIARHU-MNOVXSKESA-N 1 2 298.415 3.807 20 0 CHADLO CC(C)OCc1ccc(Nc2[nH+]cnc3[nH]ccc32)cc1 ZINC000339143458 1127115753 /nfs/dbraw/zinc/11/57/53/1127115753.db2.gz QUURJSKJYAUTQC-UHFFFAOYSA-N 1 2 282.347 3.627 20 0 CHADLO c1ccc(-c2nnc(C[N@@H+]3CC[C@@H]3c3ccccc3)o2)cc1 ZINC000348540024 1127121692 /nfs/dbraw/zinc/12/16/92/1127121692.db2.gz RSEBHQZQVBIQBR-MRXNPFEDSA-N 1 2 291.354 3.684 20 0 CHADLO c1ccc(-c2nnc(C[N@H+]3CC[C@@H]3c3ccccc3)o2)cc1 ZINC000348540024 1127121694 /nfs/dbraw/zinc/12/16/94/1127121694.db2.gz RSEBHQZQVBIQBR-MRXNPFEDSA-N 1 2 291.354 3.684 20 0 CHADLO Fc1ccc2cc(C[N@H+]3CCCC[C@@H]3c3cc[nH]n3)[nH]c2c1 ZINC000348711598 1127125650 /nfs/dbraw/zinc/12/56/50/1127125650.db2.gz GQWWPMJFFRRILK-QGZVFWFLSA-N 1 2 298.365 3.757 20 0 CHADLO C[N@H+](Cc1csc(Cl)c1Cl)[C@@H]1CCCOC1 ZINC000348708248 1127125511 /nfs/dbraw/zinc/12/55/11/1127125511.db2.gz KRYKUMQDHBUERX-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO C[N@@H+](Cc1csc(Cl)c1Cl)[C@@H]1CCCOC1 ZINC000348708248 1127125512 /nfs/dbraw/zinc/12/55/12/1127125512.db2.gz KRYKUMQDHBUERX-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO Fc1ccc2cc(C[N@@H+]3CCCC[C@@H]3c3cc[nH]n3)[nH]c2c1 ZINC000348711598 1127125648 /nfs/dbraw/zinc/12/56/48/1127125648.db2.gz GQWWPMJFFRRILK-QGZVFWFLSA-N 1 2 298.365 3.757 20 0 CHADLO C[C@H](Nc1ccc(Cn2cc[nH+]c2)cn1)C1CCCCC1 ZINC000348846115 1127131690 /nfs/dbraw/zinc/13/16/90/1127131690.db2.gz BMEJMNRIDLTDJY-AWEZNQCLSA-N 1 2 284.407 3.707 20 0 CHADLO CC(C)SCC[N@H+](C)Cc1nc(C(F)(F)F)cs1 ZINC000283323165 1127132810 /nfs/dbraw/zinc/13/28/10/1127132810.db2.gz ZTMMSXRNWJFTCX-UHFFFAOYSA-N 1 2 298.399 3.735 20 0 CHADLO CC(C)SCC[N@@H+](C)Cc1nc(C(F)(F)F)cs1 ZINC000283323165 1127132814 /nfs/dbraw/zinc/13/28/14/1127132814.db2.gz ZTMMSXRNWJFTCX-UHFFFAOYSA-N 1 2 298.399 3.735 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccon1)c1ccc(F)cc1F ZINC000349232334 1127144389 /nfs/dbraw/zinc/14/43/89/1127144389.db2.gz BNGCAHASWGKPPU-TVQRCGJNSA-N 1 2 266.291 3.755 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]Cc1ncc(Cl)s1 ZINC000349673015 1127157319 /nfs/dbraw/zinc/15/73/19/1127157319.db2.gz VHCGCEOUTWVUSW-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO CCc1cnccc1[C@@H](C)[NH2+]Cc1ncc(Cl)s1 ZINC000349667726 1127157565 /nfs/dbraw/zinc/15/75/65/1127157565.db2.gz MQIKGGZMVDMJNB-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC2(CCC2)Oc2ccccc21)c1ccon1 ZINC000349835840 1127164021 /nfs/dbraw/zinc/16/40/21/1127164021.db2.gz RBSFWEGIPUSQIS-SWLSCSKDSA-N 1 2 284.359 3.772 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1cc2ccccc2o1 ZINC000349836328 1127164605 /nfs/dbraw/zinc/16/46/05/1127164605.db2.gz RUMIRKSJQFIFQI-WDEREUQCSA-N 1 2 256.305 3.833 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@@H](C)c2ccon2)ccc1SC ZINC000349843481 1127164956 /nfs/dbraw/zinc/16/49/56/1127164956.db2.gz XORKBSBCNMGHHM-QWRGUYRKSA-N 1 2 292.404 3.817 20 0 CHADLO Cc1nocc1C[N@H+](Cc1ccco1)Cc1ccccc1 ZINC000350278366 1127175962 /nfs/dbraw/zinc/17/59/62/1127175962.db2.gz KNAMIBDMTISQBU-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO Cc1nocc1C[N@@H+](Cc1ccco1)Cc1ccccc1 ZINC000350278366 1127175963 /nfs/dbraw/zinc/17/59/63/1127175963.db2.gz KNAMIBDMTISQBU-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2coc(C(C)(C)C)n2)cc1 ZINC000930188318 1127177201 /nfs/dbraw/zinc/17/72/01/1127177201.db2.gz BASWYCRCZWQTPV-LBPRGKRZSA-N 1 2 288.391 3.832 20 0 CHADLO Cc1ccc(C)c(CNc2ccc(Cn3cc[nH+]c3)cn2)c1 ZINC000351570765 1127194135 /nfs/dbraw/zinc/19/41/35/1127194135.db2.gz PLAHMKRGFNFALF-UHFFFAOYSA-N 1 2 292.386 3.555 20 0 CHADLO FC(F)Oc1cccc(CSCCCn2cc[nH+]c2)c1 ZINC000351698317 1127204882 /nfs/dbraw/zinc/20/48/82/1127204882.db2.gz WBDAGKIUTKTIRR-UHFFFAOYSA-N 1 2 298.358 3.808 20 0 CHADLO CC1(C)CCC[C@H](CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001299417449 1127217768 /nfs/dbraw/zinc/21/77/68/1127217768.db2.gz VCEJCFNTLHTTDD-LBPRGKRZSA-N 1 2 285.391 3.509 20 0 CHADLO Cc1cc(N2CCc3c(Cl)cccc3C2)nc(C2CC2)[nH+]1 ZINC000352350411 1127225899 /nfs/dbraw/zinc/22/58/99/1127225899.db2.gz QVOCHORYATXKLY-UHFFFAOYSA-N 1 2 299.805 3.879 20 0 CHADLO O=C1CC(CNc2ccc3[nH]c(-c4ccccc4)[nH+]c3c2)C1 ZINC001168398071 1127247662 /nfs/dbraw/zinc/24/76/62/1127247662.db2.gz HWSGIESPMAUVMG-UHFFFAOYSA-N 1 2 291.354 3.621 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+][C@@H](C)c2cc(C)ccn2)o1 ZINC000286080275 1127257894 /nfs/dbraw/zinc/25/78/94/1127257894.db2.gz MHRZNOWFYMFPQQ-BBRMVZONSA-N 1 2 288.391 3.584 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2nc3ccccc3s2)s1 ZINC000224188280 1127254565 /nfs/dbraw/zinc/25/45/65/1127254565.db2.gz PQYUATDCPCTLKD-JTQLQIEISA-N 1 2 289.429 3.912 20 0 CHADLO Cc1cc(C[NH2+]C2(c3cccc(Cl)c3)CCC2)no1 ZINC000646094863 1127255532 /nfs/dbraw/zinc/25/55/32/1127255532.db2.gz XPRPPJDTCATBJD-UHFFFAOYSA-N 1 2 276.767 3.805 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCC[C@@H]1c1cc[nH]n1 ZINC000286132266 1127260158 /nfs/dbraw/zinc/26/01/58/1127260158.db2.gz MSNWKXSABPFABD-MRXNPFEDSA-N 1 2 285.391 3.534 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCC[C@@H]1c1cc[nH]n1 ZINC000286132266 1127260161 /nfs/dbraw/zinc/26/01/61/1127260161.db2.gz MSNWKXSABPFABD-MRXNPFEDSA-N 1 2 285.391 3.534 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000286204969 1127262563 /nfs/dbraw/zinc/26/25/63/1127262563.db2.gz QEODKPXKESPIRK-CQSZACIVSA-N 1 2 277.318 3.684 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000286204969 1127262565 /nfs/dbraw/zinc/26/25/65/1127262565.db2.gz QEODKPXKESPIRK-CQSZACIVSA-N 1 2 277.318 3.684 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccc(F)cc2F)cc1 ZINC000057611144 1127267824 /nfs/dbraw/zinc/26/78/24/1127267824.db2.gz QOOJDISNFYEDIP-UHFFFAOYSA-N 1 2 262.303 3.643 20 0 CHADLO CCC[C@H](Nc1cc(CSCCO)cc[nH+]1)C1CCC1 ZINC000590778351 1127271337 /nfs/dbraw/zinc/27/13/37/1127271337.db2.gz OKMPMOCACUKLHE-HNNXBMFYSA-N 1 2 294.464 3.688 20 0 CHADLO C[N@@H+](Cc1cc(Cl)c(O)cc1F)C[C@H]1CC1(C)C ZINC001238150381 1131175185 /nfs/dbraw/zinc/17/51/85/1131175185.db2.gz SQOOGBSCGGXWBF-SNVBAGLBSA-N 1 2 271.763 3.663 20 0 CHADLO C[N@H+](Cc1cc(Cl)c(O)cc1F)C[C@H]1CC1(C)C ZINC001238150381 1131175188 /nfs/dbraw/zinc/17/51/88/1131175188.db2.gz SQOOGBSCGGXWBF-SNVBAGLBSA-N 1 2 271.763 3.663 20 0 CHADLO C=C(Br)C[N@H+]1CCC[C@H]1c1cccc(F)c1 ZINC000057754915 1127277683 /nfs/dbraw/zinc/27/76/83/1127277683.db2.gz GMKRZNZIVBCTHX-ZDUSSCGKSA-N 1 2 284.172 3.871 20 0 CHADLO C=C(Br)C[N@@H+]1CCC[C@H]1c1cccc(F)c1 ZINC000057754915 1127277685 /nfs/dbraw/zinc/27/76/85/1127277685.db2.gz GMKRZNZIVBCTHX-ZDUSSCGKSA-N 1 2 284.172 3.871 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+][C@H](C)c1c(F)cncc1F ZINC000353934952 1127280235 /nfs/dbraw/zinc/28/02/35/1127280235.db2.gz SAZWDYQVBIILRQ-GHMZBOCLSA-N 1 2 291.345 3.729 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@@H+]2CC[C@H](C)C(C)(C)C2)n1 ZINC000354004074 1127284531 /nfs/dbraw/zinc/28/45/31/1127284531.db2.gz WWJYAUYWYOEVBO-RYUDHWBXSA-N 1 2 297.468 3.752 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@H+]2CC[C@H](C)C(C)(C)C2)n1 ZINC000354004074 1127284534 /nfs/dbraw/zinc/28/45/34/1127284534.db2.gz WWJYAUYWYOEVBO-RYUDHWBXSA-N 1 2 297.468 3.752 20 0 CHADLO CC[C@@H](CC(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000591141782 1127286897 /nfs/dbraw/zinc/28/68/97/1127286897.db2.gz XAZTVQUCWUSIHP-AWEZNQCLSA-N 1 2 285.391 3.883 20 0 CHADLO C[N@H+](Cc1ccc[nH]1)Cc1cnc(C2CCCC2)s1 ZINC000354035264 1127287065 /nfs/dbraw/zinc/28/70/65/1127287065.db2.gz WQMAQTZKEOAUCQ-UHFFFAOYSA-N 1 2 275.421 3.761 20 0 CHADLO C[N@@H+](Cc1ccc[nH]1)Cc1cnc(C2CCCC2)s1 ZINC000354035264 1127287067 /nfs/dbraw/zinc/28/70/67/1127287067.db2.gz WQMAQTZKEOAUCQ-UHFFFAOYSA-N 1 2 275.421 3.761 20 0 CHADLO CC[C@H](CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC000591122555 1127287351 /nfs/dbraw/zinc/28/73/51/1127287351.db2.gz HONYYNMQZPUVSO-CQSZACIVSA-N 1 2 285.391 3.883 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nccn1-c1ccccc1)c1cncs1 ZINC000287164448 1127294301 /nfs/dbraw/zinc/29/43/01/1127294301.db2.gz UFQVIEYIEWGCEZ-STQMWFEESA-N 1 2 298.415 3.741 20 0 CHADLO O=C(/C=C/c1cccs1)Nc1ccccc1-n1cc[nH+]c1 ZINC000045859968 1127307283 /nfs/dbraw/zinc/30/72/83/1127307283.db2.gz STULXQHBHORPJR-BQYQJAHWSA-N 1 2 295.367 3.586 20 0 CHADLO COCC1CCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000287588462 1127310119 /nfs/dbraw/zinc/31/01/19/1127310119.db2.gz XVDGWOREZARVNY-UHFFFAOYSA-N 1 2 290.794 3.751 20 0 CHADLO CCN(CCSC)c1[nH+]ccc2cc(F)ccc21 ZINC000591999828 1127331350 /nfs/dbraw/zinc/33/13/50/1127331350.db2.gz NTKXZUHVXHAFSJ-UHFFFAOYSA-N 1 2 264.369 3.563 20 0 CHADLO Cc1ccc(CCCC(=O)Nc2ccn3cc[nH+]c3c2)s1 ZINC000355301670 1127332553 /nfs/dbraw/zinc/33/25/53/1127332553.db2.gz DLRAMZJBEAVCQG-UHFFFAOYSA-N 1 2 299.399 3.666 20 0 CHADLO CCOc1ccc2c(c1)C[N@@H+](Cc1ccc(Cl)o1)CC2 ZINC001323302083 1127335492 /nfs/dbraw/zinc/33/54/92/1127335492.db2.gz BKNWZOSXJVTIPS-UHFFFAOYSA-N 1 2 291.778 3.890 20 0 CHADLO CCOc1ccc2c(c1)C[N@H+](Cc1ccc(Cl)o1)CC2 ZINC001323302083 1127335496 /nfs/dbraw/zinc/33/54/96/1127335496.db2.gz BKNWZOSXJVTIPS-UHFFFAOYSA-N 1 2 291.778 3.890 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cnc(C3CCCC3)s2)C1 ZINC000355357014 1127336123 /nfs/dbraw/zinc/33/61/23/1127336123.db2.gz TVSLHRDRQJHPON-CQSZACIVSA-N 1 2 268.401 3.735 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cnc(C3CCCC3)s2)C1 ZINC000355357014 1127336127 /nfs/dbraw/zinc/33/61/27/1127336127.db2.gz TVSLHRDRQJHPON-CQSZACIVSA-N 1 2 268.401 3.735 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H](C)CC(C)(C)C)cc2[nH+]1 ZINC000355369663 1127336355 /nfs/dbraw/zinc/33/63/55/1127336355.db2.gz AZYUTBULDGEZFQ-SNVBAGLBSA-N 1 2 273.380 3.882 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1cncc(F)c1 ZINC000225653449 1127339859 /nfs/dbraw/zinc/33/98/59/1127339859.db2.gz NZAFNYOFMMBEGS-ZDUSSCGKSA-N 1 2 285.366 3.994 20 0 CHADLO Cc1ccc(-c2ccc(C)c(NCc3c[nH+]cn3C)c2)o1 ZINC000355519598 1127347479 /nfs/dbraw/zinc/34/74/79/1127347479.db2.gz VFSSCKPFHWWTAW-UHFFFAOYSA-N 1 2 281.359 3.909 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@@H+]1Cc1cscn1 ZINC000592333045 1127343899 /nfs/dbraw/zinc/34/38/99/1127343899.db2.gz WOCDMWDWGPIARF-OAHLLOKOSA-N 1 2 288.416 3.879 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@H+]1Cc1cscn1 ZINC000592333045 1127343904 /nfs/dbraw/zinc/34/39/04/1127343904.db2.gz WOCDMWDWGPIARF-OAHLLOKOSA-N 1 2 288.416 3.879 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@@H+]1Cc1cc(C)on1 ZINC000592330150 1127343934 /nfs/dbraw/zinc/34/39/34/1127343934.db2.gz LJZLISXEVRUXJW-MRXNPFEDSA-N 1 2 286.375 3.719 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@H+]1Cc1cc(C)on1 ZINC000592330150 1127343938 /nfs/dbraw/zinc/34/39/38/1127343938.db2.gz LJZLISXEVRUXJW-MRXNPFEDSA-N 1 2 286.375 3.719 20 0 CHADLO Cc1c[nH+]c(CCSCc2nccs2)c(C)c1 ZINC000592454344 1127350485 /nfs/dbraw/zinc/35/04/85/1127350485.db2.gz VUSGEXDBXBASPT-UHFFFAOYSA-N 1 2 264.419 3.631 20 0 CHADLO CCC[C@](C)(CC)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000356417429 1127383513 /nfs/dbraw/zinc/38/35/13/1127383513.db2.gz JEHADBUJTHOSRE-UXLLHSPISA-N 1 2 291.439 3.509 20 0 CHADLO CC1=C(c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)CCC1 ZINC000356602439 1127384382 /nfs/dbraw/zinc/38/43/82/1127384382.db2.gz PTQYYDOUNCJFQK-UHFFFAOYSA-N 1 2 292.342 3.880 20 0 CHADLO CCC(C)(C)CC[S@@](=O)Cc1ccc(C)[nH+]c1C ZINC000358139352 1127403654 /nfs/dbraw/zinc/40/36/54/1127403654.db2.gz RGZVNKPDNXHQKF-GOSISDBHSA-N 1 2 267.438 3.773 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2cc3ccccc3o2)c(C)[nH+]1 ZINC000358131874 1127403792 /nfs/dbraw/zinc/40/37/92/1127403792.db2.gz XWUHBDOIYSMMTK-OAQYLSRUSA-N 1 2 299.395 3.894 20 0 CHADLO Cc1cccn2c(CNc3cnc4ccccc4c3)c[nH+]c12 ZINC000358155654 1127405251 /nfs/dbraw/zinc/40/52/51/1127405251.db2.gz FLVYKGAVFLPYJS-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO C[C@H]([NH2+]Cc1ccn(C)n1)c1ccc(-c2ccccc2)o1 ZINC000358251695 1127410809 /nfs/dbraw/zinc/41/08/09/1127410809.db2.gz NASCBBKIAMBSNB-ZDUSSCGKSA-N 1 2 281.359 3.531 20 0 CHADLO Cc1nnc(C[NH2+]C(C)(C)c2ccc(C(C)C)cc2)o1 ZINC000594381637 1127415265 /nfs/dbraw/zinc/41/52/65/1127415265.db2.gz RJRUPAGKLYGZRS-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO CS[C@H](C)CCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000358427545 1127420983 /nfs/dbraw/zinc/42/09/83/1127420983.db2.gz OVXOTOUNTWZBNW-LLVKDONJSA-N 1 2 289.404 3.547 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](C)c2cc(C)ccc2C)s1 ZINC000358505085 1127424469 /nfs/dbraw/zinc/42/44/69/1127424469.db2.gz GWNVRECLAHVFON-LBPRGKRZSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](C)c2cc(C)ccc2C)s1 ZINC000358505085 1127424471 /nfs/dbraw/zinc/42/44/71/1127424471.db2.gz GWNVRECLAHVFON-LBPRGKRZSA-N 1 2 275.421 3.656 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2cccnc21)c1c(F)cccc1F ZINC000226814025 1127426562 /nfs/dbraw/zinc/42/65/62/1127426562.db2.gz GPBGUQNLUYUUGF-QMTHXVAHSA-N 1 2 274.314 3.698 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@H+](Cc2ncc(C(C)(C)C)o2)C1 ZINC000358810086 1127434909 /nfs/dbraw/zinc/43/49/09/1127434909.db2.gz OGLPOXBVURJAOE-DZGCQCFKSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@@H+](Cc2ncc(C(C)(C)C)o2)C1 ZINC000358810086 1127434910 /nfs/dbraw/zinc/43/49/10/1127434910.db2.gz OGLPOXBVURJAOE-DZGCQCFKSA-N 1 2 299.418 3.950 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCC(C)(C)C2)c(C)[nH+]1 ZINC000358877188 1127437074 /nfs/dbraw/zinc/43/70/74/1127437074.db2.gz QRKIBHKHWFZBLS-ZDUSSCGKSA-N 1 2 260.381 3.772 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nccs1)c1cc(F)ccc1F ZINC000358916316 1127439222 /nfs/dbraw/zinc/43/92/22/1127439222.db2.gz SAUHAEYQGRNKGU-CQSZACIVSA-N 1 2 282.359 3.908 20 0 CHADLO COC(=O)[C@@H](c1ccccc1C)[N@H+](C)Cc1ccccc1C ZINC000466834437 1127445472 /nfs/dbraw/zinc/44/54/72/1127445472.db2.gz YBSZXUWINPWOOY-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO COC(=O)[C@@H](c1ccccc1C)[N@@H+](C)Cc1ccccc1C ZINC000466834437 1127445475 /nfs/dbraw/zinc/44/54/75/1127445475.db2.gz YBSZXUWINPWOOY-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO CCC[C@@H](CC)[S@](=O)Cc1ccc(C)[nH+]c1C ZINC000359419149 1127462645 /nfs/dbraw/zinc/46/26/45/1127462645.db2.gz YRDXMRQRZXEOAD-RHSMWYFYSA-N 1 2 253.411 3.526 20 0 CHADLO CC[C@H](C)CC(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000359426841 1127462962 /nfs/dbraw/zinc/46/29/62/1127462962.db2.gz HISGFBVQQRLFGG-NSHDSACASA-N 1 2 291.782 3.900 20 0 CHADLO CCSCC[N@H+](C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000595514164 1127464686 /nfs/dbraw/zinc/46/46/86/1127464686.db2.gz BASNOHZMBNITKB-SNVBAGLBSA-N 1 2 297.855 3.962 20 0 CHADLO CCSCC[N@@H+](C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000595514164 1127464687 /nfs/dbraw/zinc/46/46/87/1127464687.db2.gz BASNOHZMBNITKB-SNVBAGLBSA-N 1 2 297.855 3.962 20 0 CHADLO FC1=CCC[N@H+](Cc2ccc(C(F)(F)F)cc2)C1 ZINC000359513880 1127465846 /nfs/dbraw/zinc/46/58/46/1127465846.db2.gz HUJURXUOMYHYCH-UHFFFAOYSA-N 1 2 259.246 3.765 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccc(C(F)(F)F)cc2)C1 ZINC000359513880 1127465849 /nfs/dbraw/zinc/46/58/49/1127465849.db2.gz HUJURXUOMYHYCH-UHFFFAOYSA-N 1 2 259.246 3.765 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1ccsc1Cl)CO2 ZINC000359666692 1127472395 /nfs/dbraw/zinc/47/23/95/1127472395.db2.gz XGWXVLOVBAJPDR-LLVKDONJSA-N 1 2 283.755 3.764 20 0 CHADLO COC[C@H]([NH2+]Cc1ccsc1Cl)c1ccc(C)o1 ZINC000359745713 1127476158 /nfs/dbraw/zinc/47/61/58/1127476158.db2.gz LBKQLZMVDQVTFW-NSHDSACASA-N 1 2 285.796 3.780 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(F)cc2F)c(C)n1 ZINC000359850317 1127480501 /nfs/dbraw/zinc/48/05/01/1127480501.db2.gz UBOMSSABUAFBIW-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(F)cc2F)c(C)n1 ZINC000359850317 1127480502 /nfs/dbraw/zinc/48/05/02/1127480502.db2.gz UBOMSSABUAFBIW-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO COc1ccc(F)c(C[NH2+]C(C)(C)c2nc(C)cs2)c1 ZINC000293687273 1127513122 /nfs/dbraw/zinc/51/31/22/1127513122.db2.gz WBWPCVXQMKQFLU-UHFFFAOYSA-N 1 2 294.395 3.624 20 0 CHADLO CSCc1cnc(C[NH2+]C2(c3ccccc3)CC2)s1 ZINC000293713798 1127514422 /nfs/dbraw/zinc/51/44/22/1127514422.db2.gz XYUQJMBSXDCZPA-UHFFFAOYSA-N 1 2 290.457 3.785 20 0 CHADLO CC(C)OCc1nc([C@H](C)[NH2+][C@H]2C=CCCCCC2)no1 ZINC000293737017 1127516809 /nfs/dbraw/zinc/51/68/09/1127516809.db2.gz ZUHWDMPZBMJNPH-KBPBESRZSA-N 1 2 293.411 3.534 20 0 CHADLO CC(C)(Cc1ccccc1)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000361000395 1127528236 /nfs/dbraw/zinc/52/82/36/1127528236.db2.gz APGWGMGYJZBXID-UHFFFAOYSA-N 1 2 293.370 3.542 20 0 CHADLO c1cn(-c2cccc(CNc3nccc4occc43)c2)c[nH+]1 ZINC000361026978 1127531458 /nfs/dbraw/zinc/53/14/58/1127531458.db2.gz WASABJILWHKUCZ-UHFFFAOYSA-N 1 2 290.326 3.626 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(Sc2ccccn2)o1 ZINC000293946115 1127535611 /nfs/dbraw/zinc/53/56/11/1127535611.db2.gz IMCGZBVZFALQPQ-GFCCVEGCSA-N 1 2 292.379 3.760 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(Sc2ccccn2)o1 ZINC000293946115 1127535614 /nfs/dbraw/zinc/53/56/14/1127535614.db2.gz IMCGZBVZFALQPQ-GFCCVEGCSA-N 1 2 292.379 3.760 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1C)c1ccc(-c2ccccc2)o1 ZINC000361114375 1127539445 /nfs/dbraw/zinc/53/94/45/1127539445.db2.gz SDCAOXFVXBXEMW-ZDUSSCGKSA-N 1 2 281.359 3.531 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cncc(F)c1)c1nc2ccccc2o1 ZINC000361121926 1127540272 /nfs/dbraw/zinc/54/02/72/1127540272.db2.gz WVKPMVUZQVKOGZ-WDEREUQCSA-N 1 2 285.322 3.774 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](C)c2cncc(F)c2)cs1 ZINC000361132333 1127541036 /nfs/dbraw/zinc/54/10/36/1127541036.db2.gz PCMFPKACXOIVEI-NSHDSACASA-N 1 2 293.411 3.871 20 0 CHADLO C[N@H+](Cc1nc(-c2ccccc2)c[nH]1)Cc1ccc(F)cc1 ZINC000361095739 1127538031 /nfs/dbraw/zinc/53/80/31/1127538031.db2.gz JHVBIZLFVHOWLJ-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO C[N@@H+](Cc1nc(-c2ccccc2)c[nH]1)Cc1ccc(F)cc1 ZINC000361095739 1127538035 /nfs/dbraw/zinc/53/80/35/1127538035.db2.gz JHVBIZLFVHOWLJ-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H](C)[C@H]3CCCOC3)cc2)c1C ZINC000361253354 1127550903 /nfs/dbraw/zinc/55/09/03/1127550903.db2.gz UWRUOUXXXVKPBY-HOCLYGCPSA-N 1 2 299.418 3.716 20 0 CHADLO CSCc1cnc(C[NH2+][C@H]2CCCc3occc32)s1 ZINC000294274794 1127561719 /nfs/dbraw/zinc/56/17/19/1127561719.db2.gz VRMRMQPJGHMMGN-LBPRGKRZSA-N 1 2 294.445 3.766 20 0 CHADLO COC(=O)c1oc([C@@H](C)[N@@H+]2Cc3ccc(C)cc3C2)cc1C ZINC000361603532 1127576560 /nfs/dbraw/zinc/57/65/60/1127576560.db2.gz NOKDNFSTPAKYQW-CYBMUJFWSA-N 1 2 299.370 3.760 20 0 CHADLO COC(=O)c1oc([C@@H](C)[N@H+]2Cc3ccc(C)cc3C2)cc1C ZINC000361603532 1127576562 /nfs/dbraw/zinc/57/65/62/1127576562.db2.gz NOKDNFSTPAKYQW-CYBMUJFWSA-N 1 2 299.370 3.760 20 0 CHADLO CCc1cnc(C[N@@H+]2CCc3cc(C)ccc3C2)s1 ZINC000361726669 1127584747 /nfs/dbraw/zinc/58/47/47/1127584747.db2.gz KIGZMIGGUUFZAN-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCc1cnc(C[N@H+]2CCc3cc(C)ccc3C2)s1 ZINC000361726669 1127584750 /nfs/dbraw/zinc/58/47/50/1127584750.db2.gz KIGZMIGGUUFZAN-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCOc1ccc2ccccc2c1OCc1c[nH+]cn1C ZINC000361774687 1127588777 /nfs/dbraw/zinc/58/87/77/1127588777.db2.gz BNYWQDWLUZBNDT-UHFFFAOYSA-N 1 2 282.343 3.551 20 0 CHADLO CCOc1ccccc1C[NH2+]Cc1cc(F)cnc1Cl ZINC000294671068 1127590868 /nfs/dbraw/zinc/59/08/68/1127590868.db2.gz ANRGIZSDIKXUCW-UHFFFAOYSA-N 1 2 294.757 3.563 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2cc(F)cnc2Cl)o1 ZINC000294681782 1127591651 /nfs/dbraw/zinc/59/16/51/1127591651.db2.gz BGKOQJZXILGRAC-NOZJJQNGSA-N 1 2 294.757 3.880 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1c(C)noc1C ZINC000228746116 1127600772 /nfs/dbraw/zinc/60/07/72/1127600772.db2.gz DHYNFTRTZPHBAS-SECBINFHSA-N 1 2 294.782 3.804 20 0 CHADLO Cc1cnc(C[NH2+]Cc2cc(C3CC3)ccc2F)s1 ZINC000295082707 1127618570 /nfs/dbraw/zinc/61/85/70/1127618570.db2.gz ILBIQWXECQJCMH-UHFFFAOYSA-N 1 2 276.380 3.758 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2cccc(C3CC3)c2)o1 ZINC000295342561 1127636381 /nfs/dbraw/zinc/63/63/81/1127636381.db2.gz ICESPGVMSKMVCA-GFCCVEGCSA-N 1 2 285.391 3.921 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[N@@H+]1CCC[C@](C)(F)C1 ZINC000295391310 1127640526 /nfs/dbraw/zinc/64/05/26/1127640526.db2.gz LFMHPAPRCHSFNN-WBMJQRKESA-N 1 2 289.354 3.622 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[N@H+]1CCC[C@](C)(F)C1 ZINC000295391310 1127640527 /nfs/dbraw/zinc/64/05/27/1127640527.db2.gz LFMHPAPRCHSFNN-WBMJQRKESA-N 1 2 289.354 3.622 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1ccc2ccccc2c1 ZINC000603785616 1127671537 /nfs/dbraw/zinc/67/15/37/1127671537.db2.gz GJZFGGFXVIVJHU-UHFFFAOYSA-N 1 2 287.322 3.740 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H](C)c1cccc(F)c1F ZINC000296726601 1127682613 /nfs/dbraw/zinc/68/26/13/1127682613.db2.gz IVWMNYXGOYPOLQ-WDEREUQCSA-N 1 2 279.334 3.593 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc2[nH+]ccn2c1)C1CCCCC1 ZINC000603881711 1127679723 /nfs/dbraw/zinc/67/97/23/1127679723.db2.gz GQISDYHTRRNNAG-ZDUSSCGKSA-N 1 2 285.391 3.879 20 0 CHADLO CC(C)Cc1ccc(C(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000603883726 1127680366 /nfs/dbraw/zinc/68/03/66/1127680366.db2.gz DPZBDVZCPZFLDQ-UHFFFAOYSA-N 1 2 293.370 3.785 20 0 CHADLO c1cn(-c2cccc(N[C@@H]3CCC34CCC4)c2)c[nH+]1 ZINC000669703427 1127680545 /nfs/dbraw/zinc/68/05/45/1127680545.db2.gz HGORCBJTLBASFF-OAHLLOKOSA-N 1 2 253.349 3.617 20 0 CHADLO C[C@H](CC(=O)Nc1ccc2[nH+]ccn2c1)CC(C)(C)C ZINC000603884347 1127680651 /nfs/dbraw/zinc/68/06/51/1127680651.db2.gz UHXXDKOSNGWXFL-GFCCVEGCSA-N 1 2 273.380 3.735 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2cccc(C)c2F)[nH]1 ZINC000296966829 1127686312 /nfs/dbraw/zinc/68/63/12/1127686312.db2.gz URJCKSKBITVHIG-PWSUYJOCSA-N 1 2 290.386 3.617 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2CSCc3ccccc32)no1 ZINC000669706463 1127687281 /nfs/dbraw/zinc/68/72/81/1127687281.db2.gz QQTXBGPFCYNRCR-IAQYHMDHSA-N 1 2 274.389 3.622 20 0 CHADLO CC[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1cc(F)ccc1F ZINC000297129431 1127689623 /nfs/dbraw/zinc/68/96/23/1127689623.db2.gz YYBLQMNTJJCJCS-ONERCXAPSA-N 1 2 291.345 3.908 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2CCCc3c(F)cc(F)cc32)no1 ZINC000297167873 1127689815 /nfs/dbraw/zinc/68/98/15/1127689815.db2.gz DGEZWADPNMBJIR-BONVTDFDSA-N 1 2 292.329 3.989 20 0 CHADLO C[C@H]1CCC[C@H](C)[NH+]1Cc1cnc(Br)s1 ZINC000297383945 1127694743 /nfs/dbraw/zinc/69/47/43/1127694743.db2.gz DPIWOGOSFYGCHW-IUCAKERBSA-N 1 2 289.242 3.669 20 0 CHADLO Fc1ccccc1[C@@H]1CC[N@H+](Cc2csc(Cl)n2)C1 ZINC000297474076 1127697780 /nfs/dbraw/zinc/69/77/80/1127697780.db2.gz LBMVRDRTUVFWOH-SNVBAGLBSA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccccc1[C@@H]1CC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000297474076 1127697781 /nfs/dbraw/zinc/69/77/81/1127697781.db2.gz LBMVRDRTUVFWOH-SNVBAGLBSA-N 1 2 296.798 3.925 20 0 CHADLO COCc1cc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)no1 ZINC000297569132 1127700353 /nfs/dbraw/zinc/70/03/53/1127700353.db2.gz CTGSBWZSIXFWCI-NSHDSACASA-N 1 2 294.782 3.667 20 0 CHADLO COCc1cc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)no1 ZINC000297569132 1127700355 /nfs/dbraw/zinc/70/03/55/1127700355.db2.gz CTGSBWZSIXFWCI-NSHDSACASA-N 1 2 294.782 3.667 20 0 CHADLO Cc1c2ccccc2oc1C(=O)NCc1c[nH+]c(C)cc1C ZINC001275909874 1127701859 /nfs/dbraw/zinc/70/18/59/1127701859.db2.gz CLPHAMWSRRKGEK-UHFFFAOYSA-N 1 2 294.354 3.683 20 0 CHADLO CC1(C)C[N@H+](Cc2nc3ccccc3s2)C(C)(C)CO1 ZINC000604388911 1127704023 /nfs/dbraw/zinc/70/40/23/1127704023.db2.gz NKECPEZFGBHZLA-UHFFFAOYSA-N 1 2 290.432 3.686 20 0 CHADLO CC1(C)C[N@@H+](Cc2nc3ccccc3s2)C(C)(C)CO1 ZINC000604388911 1127704029 /nfs/dbraw/zinc/70/40/29/1127704029.db2.gz NKECPEZFGBHZLA-UHFFFAOYSA-N 1 2 290.432 3.686 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCn2cccc2[C@H]1C ZINC001232483769 1127721211 /nfs/dbraw/zinc/72/12/11/1127721211.db2.gz OSYWUAIZXAHEOS-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCn2cccc2[C@H]1C ZINC001232483769 1127721213 /nfs/dbraw/zinc/72/12/13/1127721213.db2.gz OSYWUAIZXAHEOS-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO CC(C)n1ncc2cc(Nc3[nH+]cnc4[nH]ccc43)ccc21 ZINC000604996170 1127725051 /nfs/dbraw/zinc/72/50/51/1127725051.db2.gz MMUIWLMYBKURBS-UHFFFAOYSA-N 1 2 292.346 3.632 20 0 CHADLO CCCC[C@@H](CC)CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000605077845 1127727879 /nfs/dbraw/zinc/72/78/79/1127727879.db2.gz ZJXVSERHYHOGNJ-OAHLLOKOSA-N 1 2 299.418 3.819 20 0 CHADLO COc1ccccc1/C=C/C[N@@H+]1CCC[C@H]1c1cc(C)on1 ZINC000367229834 1127752010 /nfs/dbraw/zinc/75/20/10/1127752010.db2.gz UYBXVMYPXJQBMO-JZLODUJNSA-N 1 2 298.386 3.842 20 0 CHADLO COc1ccccc1/C=C/C[N@H+]1CCC[C@H]1c1cc(C)on1 ZINC000367229834 1127752013 /nfs/dbraw/zinc/75/20/13/1127752013.db2.gz UYBXVMYPXJQBMO-JZLODUJNSA-N 1 2 298.386 3.842 20 0 CHADLO CSc1cccc(NC(=O)Nc2ccc3[nH+]ccn3c2)c1 ZINC000606583450 1127767960 /nfs/dbraw/zinc/76/79/60/1127767960.db2.gz BNHXBFSTQWRGKW-UHFFFAOYSA-N 1 2 298.371 3.700 20 0 CHADLO Cc1cc(C)cc(NC(=O)Nc2ccc3[nH+]ccn3c2)c1 ZINC000606580212 1127768150 /nfs/dbraw/zinc/76/81/50/1127768150.db2.gz FHHYUIIHVKLSNG-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO FC(F)(F)[C@@H]1C[N@H+](Cc2cccc3ccccc32)CCO1 ZINC000606902884 1127780892 /nfs/dbraw/zinc/78/08/92/1127780892.db2.gz XFFUYZPXCLLOEC-HNNXBMFYSA-N 1 2 295.304 3.603 20 0 CHADLO FC(F)(F)[C@@H]1C[N@@H+](Cc2cccc3ccccc32)CCO1 ZINC000606902884 1127780894 /nfs/dbraw/zinc/78/08/94/1127780894.db2.gz XFFUYZPXCLLOEC-HNNXBMFYSA-N 1 2 295.304 3.603 20 0 CHADLO Cn1ccc([C@@H](Nc2cccc[nH+]2)c2ccc(Cl)cc2)n1 ZINC000371841039 1127781093 /nfs/dbraw/zinc/78/10/93/1127781093.db2.gz OJEKWDKDOIWEPD-INIZCTEOSA-N 1 2 298.777 3.670 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cccc4c3OCCC4)n2c1 ZINC000372092464 1127787201 /nfs/dbraw/zinc/78/72/01/1127787201.db2.gz UAXZYRBRJDRTQP-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO c1coc(-c2nc(C[N@H+]3[C@H]4C=CC[C@@H]3CC4)cs2)c1 ZINC000372136668 1127789293 /nfs/dbraw/zinc/78/92/93/1127789293.db2.gz BNAXCXZOIODODW-QWHCGFSZSA-N 1 2 272.373 3.696 20 0 CHADLO c1coc(-c2nc(C[N@@H+]3[C@H]4C=CC[C@@H]3CC4)cs2)c1 ZINC000372136668 1127789295 /nfs/dbraw/zinc/78/92/95/1127789295.db2.gz BNAXCXZOIODODW-QWHCGFSZSA-N 1 2 272.373 3.696 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@H+](Cc2cncs2)CC1 ZINC000372524574 1127803750 /nfs/dbraw/zinc/80/37/50/1127803750.db2.gz RTDWRFRSBOIWGZ-UHFFFAOYSA-N 1 2 292.354 3.711 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@@H+](Cc2cncs2)CC1 ZINC000372524574 1127803752 /nfs/dbraw/zinc/80/37/52/1127803752.db2.gz RTDWRFRSBOIWGZ-UHFFFAOYSA-N 1 2 292.354 3.711 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000372826661 1127815899 /nfs/dbraw/zinc/81/58/99/1127815899.db2.gz WLSFDNIYGNNMJO-HNNXBMFYSA-N 1 2 298.386 3.898 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000372826661 1127815903 /nfs/dbraw/zinc/81/59/03/1127815903.db2.gz WLSFDNIYGNNMJO-HNNXBMFYSA-N 1 2 298.386 3.898 20 0 CHADLO CCC1(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)CCC1 ZINC000373669179 1127856159 /nfs/dbraw/zinc/85/61/59/1127856159.db2.gz YWIHDPGVQTXAPT-UHFFFAOYSA-N 1 2 283.375 3.904 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)N1CCC2(C1)CCCCC2 ZINC000608313947 1127860087 /nfs/dbraw/zinc/86/00/87/1127860087.db2.gz WDPLRGPZHGATGL-UHFFFAOYSA-N 1 2 298.390 3.522 20 0 CHADLO CCCCc1nc(C[NH+]2C[C@H](C)S[C@@H](C)C2)cs1 ZINC000608502025 1127872688 /nfs/dbraw/zinc/87/26/88/1127872688.db2.gz HYPHZVDCUXPXEZ-RYUDHWBXSA-N 1 2 284.494 3.812 20 0 CHADLO CC[N@H+](Cc1occc1C)Cc1ccncc1Cl ZINC000412028248 1127891968 /nfs/dbraw/zinc/89/19/68/1127891968.db2.gz RMZBHOMZTUQMBU-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1occc1C)Cc1ccncc1Cl ZINC000412028248 1127891969 /nfs/dbraw/zinc/89/19/69/1127891969.db2.gz RMZBHOMZTUQMBU-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[C@@H]1CN(c2cc[nH+]c(C)n2)CC[C@H]1c1ccccc1 ZINC000470870769 1127907770 /nfs/dbraw/zinc/90/77/70/1127907770.db2.gz CQMMKAFQECYDIE-NVXWUHKLSA-N 1 2 281.403 3.805 20 0 CHADLO Fc1ccc([C@@H]2CCCN2c2cc(NC3CC3)[nH+]cn2)cc1 ZINC000413036473 1127939816 /nfs/dbraw/zinc/93/98/16/1127939816.db2.gz DPVWEPXCCWVWMC-HNNXBMFYSA-N 1 2 298.365 3.532 20 0 CHADLO Fc1ccc([C@@H]2CCCN2c2cc(NC3CC3)nc[nH+]2)cc1 ZINC000413036473 1127939820 /nfs/dbraw/zinc/93/98/20/1127939820.db2.gz DPVWEPXCCWVWMC-HNNXBMFYSA-N 1 2 298.365 3.532 20 0 CHADLO Cc1nc(N[C@@H](c2cccnc2)C2CC2)c2c([nH+]1)CCCC2 ZINC000543876466 1127951612 /nfs/dbraw/zinc/95/16/12/1127951612.db2.gz MFMWRCHRFQVAKZ-QGZVFWFLSA-N 1 2 294.402 3.622 20 0 CHADLO CC(C)n1ccc(Nc2ccccc2C[NH+]2CCOCC2)c1 ZINC001203164655 1127950192 /nfs/dbraw/zinc/95/01/92/1127950192.db2.gz FBHMXCZVMGDHOV-UHFFFAOYSA-N 1 2 299.418 3.645 20 0 CHADLO Cc1cnsc1C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC001233321811 1127951155 /nfs/dbraw/zinc/95/11/55/1127951155.db2.gz FGRLQVLMYMCVTO-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnsc1C[N@H+]1CCc2cccc(Cl)c2C1 ZINC001233321811 1127951160 /nfs/dbraw/zinc/95/11/60/1127951160.db2.gz FGRLQVLMYMCVTO-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@@H]1C[N@H+](Cc2noc(C3CCCCC3)n2)CC(C)(C)C1 ZINC000544116122 1127959196 /nfs/dbraw/zinc/95/91/96/1127959196.db2.gz ZYIZSJICKRADNG-ZDUSSCGKSA-N 1 2 291.439 3.985 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2noc(C3CCCCC3)n2)CC(C)(C)C1 ZINC000544116122 1127959199 /nfs/dbraw/zinc/95/91/99/1127959199.db2.gz ZYIZSJICKRADNG-ZDUSSCGKSA-N 1 2 291.439 3.985 20 0 CHADLO CC/C=C\CCSCc1cc(OC)cc(C)[nH+]1 ZINC000467315474 1127964524 /nfs/dbraw/zinc/96/45/24/1127964524.db2.gz PZZMRAQGRDGTJV-WAYWQWQTSA-N 1 2 251.395 3.988 20 0 CHADLO CC1=C(c2nc(Cc3cn4cccc(C)c4[nH+]3)no2)CCC1 ZINC000544655693 1127980112 /nfs/dbraw/zinc/98/01/12/1127980112.db2.gz DRTZOBHPOILSFK-UHFFFAOYSA-N 1 2 294.358 3.574 20 0 CHADLO CCc1ncc(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000929631190 1127992355 /nfs/dbraw/zinc/99/23/55/1127992355.db2.gz DFVMUZDWGNTMFG-OAHLLOKOSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1ncc(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000929631190 1127992358 /nfs/dbraw/zinc/99/23/58/1127992358.db2.gz DFVMUZDWGNTMFG-OAHLLOKOSA-N 1 2 292.329 3.852 20 0 CHADLO CC(C)CCc1noc(C[NH2+]C(C)(C)c2cccs2)n1 ZINC000541643527 1128032550 /nfs/dbraw/zinc/03/25/50/1128032550.db2.gz ARYDOKVLGGKCPC-UHFFFAOYSA-N 1 2 293.436 3.745 20 0 CHADLO Fc1cccc(C[N@@H+]2CCO[C@@H](CCc3ccccc3)C2)c1 ZINC000541698818 1128035082 /nfs/dbraw/zinc/03/50/82/1128035082.db2.gz FYZWBDLJAAOJFX-IBGZPJMESA-N 1 2 299.389 3.659 20 0 CHADLO Fc1cccc(C[N@H+]2CCO[C@@H](CCc3ccccc3)C2)c1 ZINC000541698818 1128035088 /nfs/dbraw/zinc/03/50/88/1128035088.db2.gz FYZWBDLJAAOJFX-IBGZPJMESA-N 1 2 299.389 3.659 20 0 CHADLO C[C@H](c1csnn1)[NH+]1CCC(c2cccc(F)c2)CC1 ZINC000827991418 1128041042 /nfs/dbraw/zinc/04/10/42/1128041042.db2.gz PLVNGMRYJWUIFL-LLVKDONJSA-N 1 2 291.395 3.618 20 0 CHADLO C[C@@H](c1csnn1)[NH+]1CCC(c2cccc(F)c2)CC1 ZINC000827991419 1128041484 /nfs/dbraw/zinc/04/14/84/1128041484.db2.gz PLVNGMRYJWUIFL-NSHDSACASA-N 1 2 291.395 3.618 20 0 CHADLO CC(C)n1ccc(CNc2cc3ccccc3c[nH+]2)n1 ZINC000631124262 1128068824 /nfs/dbraw/zinc/06/88/24/1128068824.db2.gz IQYDDWUUJWDJTO-UHFFFAOYSA-N 1 2 266.348 3.624 20 0 CHADLO C[C@@H]1C[C@H]1c1noc(/C=C\c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000547182694 1128074158 /nfs/dbraw/zinc/07/41/58/1128074158.db2.gz ZVUOOVLGMDEYNI-ZDRFKOLCSA-N 1 2 292.342 3.549 20 0 CHADLO C[C@@H]1C[N@H+](CCc2c(F)cccc2Cl)C[C@@H](C2CC2)O1 ZINC000470911314 1128080537 /nfs/dbraw/zinc/08/05/37/1128080537.db2.gz RXQZTAYTMKGOTF-BZNIZROVSA-N 1 2 297.801 3.521 20 0 CHADLO C[C@@H]1C[N@@H+](CCc2c(F)cccc2Cl)C[C@@H](C2CC2)O1 ZINC000470911314 1128080541 /nfs/dbraw/zinc/08/05/41/1128080541.db2.gz RXQZTAYTMKGOTF-BZNIZROVSA-N 1 2 297.801 3.521 20 0 CHADLO Clc1cnccc1C[N@H+](Cc1cccs1)C1CC1 ZINC000173474399 1128089921 /nfs/dbraw/zinc/08/99/21/1128089921.db2.gz IGXWPYHXPDGVFM-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Clc1cnccc1C[N@@H+](Cc1cccs1)C1CC1 ZINC000173474399 1128089925 /nfs/dbraw/zinc/08/99/25/1128089925.db2.gz IGXWPYHXPDGVFM-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO C[C@@H](c1ccco1)c1noc([C@H]2CC[N@@H+]2C2CCCC2)n1 ZINC000924124936 1128090957 /nfs/dbraw/zinc/09/09/57/1128090957.db2.gz OVNAEGCYTRSXTQ-WCQYABFASA-N 1 2 287.363 3.504 20 0 CHADLO C[C@@H](c1ccco1)c1noc([C@H]2CC[N@H+]2C2CCCC2)n1 ZINC000924124936 1128090962 /nfs/dbraw/zinc/09/09/62/1128090962.db2.gz OVNAEGCYTRSXTQ-WCQYABFASA-N 1 2 287.363 3.504 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H]2CCCc3oc(C)nc32)o1 ZINC000924564642 1128105691 /nfs/dbraw/zinc/10/56/91/1128105691.db2.gz OSMKMFLJXIZKPQ-PWSUYJOCSA-N 1 2 260.337 3.613 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+][C@H](C)c2ccc(Cl)s2)nn1 ZINC000924610436 1128107327 /nfs/dbraw/zinc/10/73/27/1128107327.db2.gz QPZPVZTWWNEGGX-NXEZZACHSA-N 1 2 298.843 3.986 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CC[C@@H](c3ccccc3)C2)c(C)c[nH+]1 ZINC000626226023 1128108983 /nfs/dbraw/zinc/10/89/83/1128108983.db2.gz XMUYHHNYIPTHPK-IAGOWNOFSA-N 1 2 294.398 3.643 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@H](C)c1ccc(F)c(Cl)c1 ZINC000924770507 1128112354 /nfs/dbraw/zinc/11/23/54/1128112354.db2.gz QQYDYSSIRJOMNA-HTQZYQBOSA-N 1 2 283.734 3.582 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nccs1)c1ccccc1)C1(Cl)CC1 ZINC000924864209 1128115452 /nfs/dbraw/zinc/11/54/52/1128115452.db2.gz JZLCRFPEMLNUID-YPMHNXCESA-N 1 2 292.835 3.982 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@@H]1CCOc2c(C)cccc21 ZINC000924887413 1128116269 /nfs/dbraw/zinc/11/62/69/1128116269.db2.gz SIHIWRHLGYODRD-HUUCEWRRSA-N 1 2 298.386 3.782 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2ccns2)cs1 ZINC000925035044 1128119140 /nfs/dbraw/zinc/11/91/40/1128119140.db2.gz XEHPXOOVFAVSIC-DTWKUNHWSA-N 1 2 267.423 3.574 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1csc(C(F)(F)F)c1 ZINC000925212522 1128125915 /nfs/dbraw/zinc/12/59/15/1128125915.db2.gz SCOHJGVWWKRRLB-ZETCQYMHSA-N 1 2 276.283 3.606 20 0 CHADLO CC(C)c1cc(NC[C@H]2CC(C)(C)O2)nc(C(C)C)[nH+]1 ZINC001168452919 1128131322 /nfs/dbraw/zinc/13/13/22/1128131322.db2.gz PRSWSFSNJHMCEU-GFCCVEGCSA-N 1 2 277.412 3.703 20 0 CHADLO C[C@H](Cc1ccccn1)Nc1ccc([NH+]2CCCC2)cc1 ZINC000925726243 1128138798 /nfs/dbraw/zinc/13/87/98/1128138798.db2.gz HCULPUFNVUBRGA-OAHLLOKOSA-N 1 2 281.403 3.725 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1ccns1 ZINC000925727380 1128139180 /nfs/dbraw/zinc/13/91/80/1128139180.db2.gz OQPQKXUDUCMYSU-GFCCVEGCSA-N 1 2 273.405 3.916 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@H](C)c1cccc(F)c1F ZINC000925670494 1128136511 /nfs/dbraw/zinc/13/65/11/1128136511.db2.gz LNUHMCDRMJBKFM-NOZJJQNGSA-N 1 2 292.329 3.989 20 0 CHADLO c1cn(-c2csc(CN3CCCc4ccccc43)c2)c[nH+]1 ZINC000542468805 1128145807 /nfs/dbraw/zinc/14/58/07/1128145807.db2.gz DBGBSVOPPJNREG-UHFFFAOYSA-N 1 2 295.411 3.887 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C/C2CCCC2)c(C)[nH+]1 ZINC000493480472 1128141619 /nfs/dbraw/zinc/14/16/19/1128141619.db2.gz KPKMTFWQSSWMDE-CMDGGOBGSA-N 1 2 258.365 3.692 20 0 CHADLO Cc1cc(C)cc(NC(=O)NNc2cc[nH+]cc2Cl)c1 ZINC000152963097 1128159151 /nfs/dbraw/zinc/15/91/51/1128159151.db2.gz WOTIMNZVAGCWIS-UHFFFAOYSA-N 1 2 290.754 3.500 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc2ncsc2c1)c1ncco1 ZINC000926212444 1128160232 /nfs/dbraw/zinc/16/02/32/1128160232.db2.gz AOECFVVALVVZIH-UWVGGRQHSA-N 1 2 273.361 3.696 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)on1 ZINC000926244900 1128163440 /nfs/dbraw/zinc/16/34/40/1128163440.db2.gz QMASBSGBRFYUGU-MNOVXSKESA-N 1 2 286.331 3.841 20 0 CHADLO CCC[C@@H]([NH2+]Cc1snnc1C)c1ncccc1Cl ZINC000926475638 1128174059 /nfs/dbraw/zinc/17/40/59/1128174059.db2.gz ZRSZNWHSMDIQEV-LLVKDONJSA-N 1 2 296.827 3.526 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(C2CC2)c1)c1ncco1 ZINC000926492536 1128175681 /nfs/dbraw/zinc/17/56/81/1128175681.db2.gz FHQSELXQJCDNTC-NWDGAFQWSA-N 1 2 256.349 3.964 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2coc3ccccc23)[nH]1 ZINC000926505599 1128176282 /nfs/dbraw/zinc/17/62/82/1128176282.db2.gz IGKSWTAPCVZPTJ-NSHDSACASA-N 1 2 284.363 3.525 20 0 CHADLO CCC[C@H]([NH2+]Cc1cnc(CC)o1)c1ncccc1Cl ZINC000926539940 1128179125 /nfs/dbraw/zinc/17/91/25/1128179125.db2.gz YCRPNELOYFPPEF-ZDUSSCGKSA-N 1 2 293.798 3.916 20 0 CHADLO C[C@H](N[C@H]1C[C@]1(F)c1ccccc1)c1cn2c([nH+]1)CCCC2 ZINC000926614845 1128184172 /nfs/dbraw/zinc/18/41/72/1128184172.db2.gz LRVYHQQBFIGHOV-OWQGQXMQSA-N 1 2 299.393 3.507 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cn(C(C)C)nn1)c1ccccc1F ZINC000926646340 1128186063 /nfs/dbraw/zinc/18/60/63/1128186063.db2.gz LEWMQNQKALNVAW-DOMZBBRYSA-N 1 2 290.386 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](c2ccccn2)C2CCC2)c1 ZINC000926661146 1128186712 /nfs/dbraw/zinc/18/67/12/1128186712.db2.gz LUIXIHUEAHSHSY-QGZVFWFLSA-N 1 2 283.375 3.576 20 0 CHADLO C[C@@H]([NH2+]Cc1cscc1Cl)c1cn2c(n1)CCCC2 ZINC000926868472 1128198990 /nfs/dbraw/zinc/19/89/90/1128198990.db2.gz OSLPJRYTZZKJCY-SNVBAGLBSA-N 1 2 295.839 3.785 20 0 CHADLO CCc1noc(C)c1[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000777604587 1128192774 /nfs/dbraw/zinc/19/27/74/1128192774.db2.gz IYHDGEAAXVGJIV-LLVKDONJSA-N 1 2 273.380 3.785 20 0 CHADLO C[C@H]([NH2+][C@H]1COCc2cccc(Cl)c21)c1ccns1 ZINC000926775078 1128193553 /nfs/dbraw/zinc/19/35/53/1128193553.db2.gz WBXXZSNGEFDGIB-CABZTGNLSA-N 1 2 294.807 3.719 20 0 CHADLO COc1ccnc([C@@H](C)[NH2+]Cc2cscc2Cl)c1 ZINC000926865756 1128199374 /nfs/dbraw/zinc/19/93/74/1128199374.db2.gz HOTKZJHERJAVDU-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO CCC[C@H](C)c1noc(-c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000761853880 1128248683 /nfs/dbraw/zinc/24/86/83/1128248683.db2.gz YLZZPQBOFRHBDG-LBPRGKRZSA-N 1 2 282.347 3.826 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cnc2ccccc2n1)c1nccs1 ZINC000660079574 1128204146 /nfs/dbraw/zinc/20/41/46/1128204146.db2.gz LPMHREBKOGPWFB-MRXNPFEDSA-N 1 2 298.415 3.501 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)C[N@@H+]1C/C=C\c1ccncc1 ZINC000494936881 1128214256 /nfs/dbraw/zinc/21/42/56/1128214256.db2.gz LOSKEXDRDGGTAV-AJZLDFLGSA-N 1 2 294.398 3.557 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)C[N@H+]1C/C=C\c1ccncc1 ZINC000494936881 1128214260 /nfs/dbraw/zinc/21/42/60/1128214260.db2.gz LOSKEXDRDGGTAV-AJZLDFLGSA-N 1 2 294.398 3.557 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](C)c2ccoc2)c(F)c1 ZINC000927093840 1128216851 /nfs/dbraw/zinc/21/68/51/1128216851.db2.gz NPVBKKHNZLNGBO-SNVBAGLBSA-N 1 2 251.276 3.717 20 0 CHADLO FC(F)C[N@@H+](Cc1ccccc1)C[C@H]1CCSC1 ZINC000494973604 1128218172 /nfs/dbraw/zinc/21/81/72/1128218172.db2.gz BNUJGXYPYIKRGC-CYBMUJFWSA-N 1 2 271.376 3.507 20 0 CHADLO FC(F)C[N@H+](Cc1ccccc1)C[C@H]1CCSC1 ZINC000494973604 1128218176 /nfs/dbraw/zinc/21/81/76/1128218176.db2.gz BNUJGXYPYIKRGC-CYBMUJFWSA-N 1 2 271.376 3.507 20 0 CHADLO C(=C/c1ccncc1)\C[N@@H+]1CCCC[C@@H]1c1nccs1 ZINC000494996597 1128222505 /nfs/dbraw/zinc/22/25/05/1128222505.db2.gz LVXNXNMXOMNNHZ-NHZBNJEXSA-N 1 2 285.416 3.779 20 0 CHADLO C(=C/c1ccncc1)\C[N@H+]1CCCC[C@@H]1c1nccs1 ZINC000494996597 1128222508 /nfs/dbraw/zinc/22/25/08/1128222508.db2.gz LVXNXNMXOMNNHZ-NHZBNJEXSA-N 1 2 285.416 3.779 20 0 CHADLO C[C@H](Cc1cccc(F)c1)[N@@H+]1CCCCC(F)(F)C1 ZINC001168475819 1128223952 /nfs/dbraw/zinc/22/39/52/1128223952.db2.gz QAPZIRJJLCZAQD-GFCCVEGCSA-N 1 2 271.326 3.878 20 0 CHADLO C[C@H](Cc1cccc(F)c1)[N@H+]1CCCCC(F)(F)C1 ZINC001168475819 1128223955 /nfs/dbraw/zinc/22/39/55/1128223955.db2.gz QAPZIRJJLCZAQD-GFCCVEGCSA-N 1 2 271.326 3.878 20 0 CHADLO CCC(CC)(CO)CNc1[nH+]c2ccccc2cc1C ZINC000229178316 1128231488 /nfs/dbraw/zinc/23/14/88/1128231488.db2.gz VUBZWXDNKUDKIE-UHFFFAOYSA-N 1 2 272.392 3.754 20 0 CHADLO CC1(C(=O)Nc2ccc(-n3cc[nH+]c3)c(Cl)c2)CCC1 ZINC000495096426 1128231536 /nfs/dbraw/zinc/23/15/36/1128231536.db2.gz AXFGYDAKCHWXEX-UHFFFAOYSA-N 1 2 289.766 3.654 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C\c2ccccc2)c(C)[nH+]1 ZINC000229855464 1128240576 /nfs/dbraw/zinc/24/05/76/1128240576.db2.gz DIUDWMNAGAWQOE-KTKRTIGZSA-N 1 2 266.344 3.659 20 0 CHADLO CCC[C@H](C)c1noc(-c2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000761840904 1128243392 /nfs/dbraw/zinc/24/33/92/1128243392.db2.gz DRJRFPQXZHHQRM-ZDUSSCGKSA-N 1 2 296.374 3.885 20 0 CHADLO CCc1ccc([C@H]2COCC[N@@H+]2CCCC(C)(F)F)o1 ZINC000929676276 1128281354 /nfs/dbraw/zinc/28/13/54/1128281354.db2.gz PODHVCFSJZGWFR-CYBMUJFWSA-N 1 2 287.350 3.651 20 0 CHADLO CCc1ccc([C@H]2COCC[N@H+]2CCCC(C)(F)F)o1 ZINC000929676276 1128281357 /nfs/dbraw/zinc/28/13/57/1128281357.db2.gz PODHVCFSJZGWFR-CYBMUJFWSA-N 1 2 287.350 3.651 20 0 CHADLO CCCC[C@@H](C(=O)NCc1cc(C)[nH+]c(C)c1)C(C)C ZINC000622109862 1129227842 /nfs/dbraw/zinc/22/78/42/1129227842.db2.gz FOIRIHURGRKIGZ-MRXNPFEDSA-N 1 2 276.424 3.777 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000929788452 1128286996 /nfs/dbraw/zinc/28/69/96/1128286996.db2.gz SGIQFWVCZLEZFE-NHYWBVRUSA-N 1 2 274.314 3.868 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000929788452 1128286999 /nfs/dbraw/zinc/28/69/99/1128286999.db2.gz SGIQFWVCZLEZFE-NHYWBVRUSA-N 1 2 274.314 3.868 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1nncs1)c1ccc(F)cc1 ZINC000929894180 1128292755 /nfs/dbraw/zinc/29/27/55/1128292755.db2.gz USTHSFKXLSRLJI-CYBMUJFWSA-N 1 2 279.384 3.554 20 0 CHADLO Fc1cccc(C[NH2+]Cc2csc(Cl)c2Cl)n1 ZINC000762455346 1128301181 /nfs/dbraw/zinc/30/11/81/1128301181.db2.gz SYOQABDSUORDCJ-UHFFFAOYSA-N 1 2 291.178 3.879 20 0 CHADLO CC(C)([NH2+]Cc1cnc(-c2ccccc2)o1)c1nccs1 ZINC000929983727 1128296301 /nfs/dbraw/zinc/29/63/01/1128296301.db2.gz HYQNLKVXXCJUTF-UHFFFAOYSA-N 1 2 299.399 3.823 20 0 CHADLO CC(C)c1ccc(C(C)(C)[NH2+]Cc2nncs2)cc1 ZINC000929970620 1128296737 /nfs/dbraw/zinc/29/67/37/1128296737.db2.gz YHUBAGWJCXDHOE-UHFFFAOYSA-N 1 2 275.421 3.686 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)OC1CCCCC1)c1ccccc1 ZINC000762432191 1128299208 /nfs/dbraw/zinc/29/92/08/1128299208.db2.gz COFRFTUWDLEFFN-MRXNPFEDSA-N 1 2 275.392 3.555 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)OC1CCCCC1)c1ccccc1 ZINC000762432191 1128299210 /nfs/dbraw/zinc/29/92/10/1128299210.db2.gz COFRFTUWDLEFFN-MRXNPFEDSA-N 1 2 275.392 3.555 20 0 CHADLO CCc1onc(C)c1C[N@H+](C)Cc1cc(C)ccc1F ZINC000930261254 1128311802 /nfs/dbraw/zinc/31/18/02/1128311802.db2.gz KIAOGXXAYBURRF-UHFFFAOYSA-N 1 2 276.355 3.625 20 0 CHADLO CCc1onc(C)c1C[N@@H+](C)Cc1cc(C)ccc1F ZINC000930261254 1128311806 /nfs/dbraw/zinc/31/18/06/1128311806.db2.gz KIAOGXXAYBURRF-UHFFFAOYSA-N 1 2 276.355 3.625 20 0 CHADLO CC[C@@H](c1ccccc1OC)N(C)Cc1[nH]c(C)[nH+]c1C ZINC000930491439 1128321948 /nfs/dbraw/zinc/32/19/48/1128321948.db2.gz CJJCZGPNVWVQTR-INIZCTEOSA-N 1 2 287.407 3.618 20 0 CHADLO FC(F)(F)c1cccc(C2C[NH+](Cc3nccs3)C2)c1 ZINC000648807950 1128321976 /nfs/dbraw/zinc/32/19/76/1128321976.db2.gz SSDGQHXSTQJNSU-UHFFFAOYSA-N 1 2 298.333 3.761 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cnc(-c2ccccc2)o1 ZINC000930581674 1128326634 /nfs/dbraw/zinc/32/66/34/1128326634.db2.gz XVYAEKIOINHVMQ-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cnc(-c2ccccc2)o1 ZINC000930581674 1128326638 /nfs/dbraw/zinc/32/66/38/1128326638.db2.gz XVYAEKIOINHVMQ-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](C[C@H]1CCCC3(CCC3)O1)C2 ZINC000930717853 1128332224 /nfs/dbraw/zinc/33/22/24/1128332224.db2.gz ROIJKTCNHUBVSU-GOSISDBHSA-N 1 2 299.414 3.697 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](C[C@H]1CCCC3(CCC3)O1)C2 ZINC000930717853 1128332229 /nfs/dbraw/zinc/33/22/29/1128332229.db2.gz ROIJKTCNHUBVSU-GOSISDBHSA-N 1 2 299.414 3.697 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1ncccn1)c1ccccc1F ZINC000930968939 1128341688 /nfs/dbraw/zinc/34/16/88/1128341688.db2.gz NEANYFROGZFBIS-WFASDCNBSA-N 1 2 273.355 3.664 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2coc(C(C)(C)C)n2)s1 ZINC000931467380 1128363292 /nfs/dbraw/zinc/36/32/92/1128363292.db2.gz JEAIPTWJQVPWBC-SECBINFHSA-N 1 2 279.409 3.588 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@@H]2CCCC[C@H]2C)c[nH+]1 ZINC000562638767 1128415006 /nfs/dbraw/zinc/41/50/06/1128415006.db2.gz HBKKMDABFNPELP-DOMZBBRYSA-N 1 2 274.408 3.531 20 0 CHADLO COc1ccc([C@H]2COCC[N@@H+]2CCCC(C)(F)F)cc1 ZINC000931562818 1128369591 /nfs/dbraw/zinc/36/95/91/1128369591.db2.gz IIVVGEOZBQHISN-OAHLLOKOSA-N 1 2 299.361 3.504 20 0 CHADLO COc1ccc([C@H]2COCC[N@H+]2CCCC(C)(F)F)cc1 ZINC000931562818 1128369595 /nfs/dbraw/zinc/36/95/95/1128369595.db2.gz IIVVGEOZBQHISN-OAHLLOKOSA-N 1 2 299.361 3.504 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2ncc(Cl)n2C)c1 ZINC000055910079 1128379250 /nfs/dbraw/zinc/37/92/50/1128379250.db2.gz AWNLRSXZORLILW-AWEZNQCLSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2ncc(Cl)n2C)c1 ZINC000055910079 1128379255 /nfs/dbraw/zinc/37/92/55/1128379255.db2.gz AWNLRSXZORLILW-AWEZNQCLSA-N 1 2 289.810 3.719 20 0 CHADLO CC[C@@H](C[NH2+][C@@H](C)C(=O)OC1CCCC1)c1ccccc1 ZINC000763286928 1128387987 /nfs/dbraw/zinc/38/79/87/1128387987.db2.gz JURYPTCEDIVHDX-GJZGRUSLSA-N 1 2 289.419 3.644 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+]1CCn2nc(C3CC3)cc2C1 ZINC000932606668 1128417133 /nfs/dbraw/zinc/41/71/33/1128417133.db2.gz XOHQWRUVMGAPFG-CQSZACIVSA-N 1 2 281.403 3.646 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+]1CCn2nc(C3CC3)cc2C1 ZINC000932606668 1128417134 /nfs/dbraw/zinc/41/71/34/1128417134.db2.gz XOHQWRUVMGAPFG-CQSZACIVSA-N 1 2 281.403 3.646 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCC[C@H](Cc2nccs2)C1 ZINC000932202458 1128399542 /nfs/dbraw/zinc/39/95/42/1128399542.db2.gz ZQXKTUQCYUCZLT-PAKSIRSJSA-N 1 2 291.247 3.717 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCC[C@H](Cc2nccs2)C1 ZINC000932202458 1128399544 /nfs/dbraw/zinc/39/95/44/1128399544.db2.gz ZQXKTUQCYUCZLT-PAKSIRSJSA-N 1 2 291.247 3.717 20 0 CHADLO Cc1ccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c(Cl)n1 ZINC000916042538 1128404116 /nfs/dbraw/zinc/40/41/16/1128404116.db2.gz DVFPKYSKCLYXOE-UHFFFAOYSA-N 1 2 289.766 3.616 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000932360028 1128405287 /nfs/dbraw/zinc/40/52/87/1128405287.db2.gz IVILGHAGPBJWIW-QGZVFWFLSA-N 1 2 297.402 3.505 20 0 CHADLO CCSc1ccccc1C[NH2+][C@H](c1ncccn1)C1CC1 ZINC000932353166 1128405667 /nfs/dbraw/zinc/40/56/67/1128405667.db2.gz XDVCRHKDWCNZIM-INIZCTEOSA-N 1 2 299.443 3.830 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+][C@H](c1ncccn1)C1CC1 ZINC000932360027 1128405723 /nfs/dbraw/zinc/40/57/23/1128405723.db2.gz IVILGHAGPBJWIW-KRWDZBQOSA-N 1 2 297.402 3.505 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](c2ccccn2)C2CC2)cs1 ZINC000932382778 1128406128 /nfs/dbraw/zinc/40/61/28/1128406128.db2.gz WQOXNZAKUHLKNP-MRXNPFEDSA-N 1 2 287.432 3.732 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC[C@@]2(CC=CCC2)C1 ZINC000932852273 1128424185 /nfs/dbraw/zinc/42/41/85/1128424185.db2.gz POIUKGPPPCWQBI-SFHVURJKSA-N 1 2 295.386 3.525 20 0 CHADLO CC(C)(C)OC(=O)[C@H]1CCC[N@@H+]1C/C=C/c1ccccc1 ZINC000125474784 1128449714 /nfs/dbraw/zinc/44/97/14/1128449714.db2.gz UJCURWUCHNDAON-AYAUWGRQSA-N 1 2 287.403 3.506 20 0 CHADLO CC(C)(C)OC(=O)[C@H]1CCC[N@H+]1C/C=C/c1ccccc1 ZINC000125474784 1128449717 /nfs/dbraw/zinc/44/97/17/1128449717.db2.gz UJCURWUCHNDAON-AYAUWGRQSA-N 1 2 287.403 3.506 20 0 CHADLO CC[C@H](C)[C@H]([NH2+][C@@H](c1ccccc1C)C1CC1)C(=O)OC ZINC001168518374 1128446980 /nfs/dbraw/zinc/44/69/80/1128446980.db2.gz CLDHTZYUHNGJKQ-AFAVFJNCSA-N 1 2 289.419 3.623 20 0 CHADLO COc1ccc2oc(C[NH2+][C@@H](C)c3nccs3)cc2c1 ZINC000125171569 1128448485 /nfs/dbraw/zinc/44/84/85/1128448485.db2.gz LVDJQVHGZCXNNG-JTQLQIEISA-N 1 2 288.372 3.749 20 0 CHADLO CCC[C@](C)([NH2+]Cc1nc(C(F)F)no1)c1ccccc1 ZINC000934181004 1128453985 /nfs/dbraw/zinc/45/39/85/1128453985.db2.gz ZSHAFTUFDDSYBG-HNNXBMFYSA-N 1 2 295.333 3.812 20 0 CHADLO Cc1ccccc1-c1cnc(C[NH2+][C@H](C)c2cncs2)o1 ZINC000934197707 1128454696 /nfs/dbraw/zinc/45/46/96/1128454696.db2.gz GMTIDDOCVIMUHN-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1cscn1)C(C)(C)C ZINC000934292017 1128457084 /nfs/dbraw/zinc/45/70/84/1128457084.db2.gz FVWRSXPPQQPYTJ-CQSZACIVSA-N 1 2 275.421 3.724 20 0 CHADLO C[C@H]1CSCCC[N@@H+]1Cc1ncc(Cl)cc1Cl ZINC000934305571 1128457667 /nfs/dbraw/zinc/45/76/67/1128457667.db2.gz ZBDOCGZRULPXAE-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CSCCC[N@H+]1Cc1ncc(Cl)cc1Cl ZINC000934305571 1128457669 /nfs/dbraw/zinc/45/76/69/1128457669.db2.gz ZBDOCGZRULPXAE-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1nc2ccccc2[nH]1 ZINC000780792947 1128462440 /nfs/dbraw/zinc/46/24/40/1128462440.db2.gz KIGLRQCNOHPNQX-MNOVXSKESA-N 1 2 297.324 3.726 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1nc2ccccc2[nH]1 ZINC000780792947 1128462442 /nfs/dbraw/zinc/46/24/42/1128462442.db2.gz KIGLRQCNOHPNQX-MNOVXSKESA-N 1 2 297.324 3.726 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Cl)cn2)[C@@H]1c1ccccc1 ZINC000563736636 1128476770 /nfs/dbraw/zinc/47/67/70/1128476770.db2.gz JSWHTWBTYWJFOV-LRDDRELGSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)cn2)[C@@H]1c1ccccc1 ZINC000563736636 1128476772 /nfs/dbraw/zinc/47/67/72/1128476772.db2.gz JSWHTWBTYWJFOV-LRDDRELGSA-N 1 2 272.779 3.928 20 0 CHADLO Cc1cccc([C@@H]2CCCCC[N@@H+]2Cc2csnn2)c1 ZINC000128330577 1128492223 /nfs/dbraw/zinc/49/22/23/1128492223.db2.gz PGMJOGRYLNDOCB-INIZCTEOSA-N 1 2 287.432 3.964 20 0 CHADLO Cc1cccc([C@@H]2CCCCC[N@H+]2Cc2csnn2)c1 ZINC000128330577 1128492224 /nfs/dbraw/zinc/49/22/24/1128492224.db2.gz PGMJOGRYLNDOCB-INIZCTEOSA-N 1 2 287.432 3.964 20 0 CHADLO CC(C)CC[N@H+](Cn1nc(C(C)(C)C)oc1=S)C1CC1 ZINC000917428790 1128499820 /nfs/dbraw/zinc/49/98/20/1128499820.db2.gz MTSMDYUMYVYDSH-UHFFFAOYSA-N 1 2 297.468 3.971 20 0 CHADLO CC(C)CC[N@@H+](Cn1nc(C(C)(C)C)oc1=S)C1CC1 ZINC000917428790 1128499823 /nfs/dbraw/zinc/49/98/23/1128499823.db2.gz MTSMDYUMYVYDSH-UHFFFAOYSA-N 1 2 297.468 3.971 20 0 CHADLO CC(C)[C@H](c1ccccc1)N(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000565049978 1128519033 /nfs/dbraw/zinc/51/90/33/1128519033.db2.gz WNZRCPTZGPKBHC-MAUKXSAKSA-N 1 2 299.418 3.690 20 0 CHADLO Cc1ccc(C[S@](=O)[C@H]2CCc3ccccc3C2)c(C)[nH+]1 ZINC000565231656 1128522503 /nfs/dbraw/zinc/52/25/03/1128522503.db2.gz AYFIXLOCVKSSKE-RXVVDRJESA-N 1 2 299.439 3.505 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1ccc(C(F)F)cc1 ZINC000763424762 1128524659 /nfs/dbraw/zinc/52/46/59/1128524659.db2.gz ACZURKGOMUXWHW-UHFFFAOYSA-N 1 2 296.342 3.927 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@@H](C)c1ccncc1Cl)CO2 ZINC000565593357 1128529206 /nfs/dbraw/zinc/52/92/06/1128529206.db2.gz RMTZTBXGSANZBW-XHDPSFHLSA-N 1 2 288.778 3.828 20 0 CHADLO Cc1ccc([C@H]2[C@@H](C)CCC[N@@H+]2Cc2csnn2)cc1 ZINC000129084417 1128530318 /nfs/dbraw/zinc/53/03/18/1128530318.db2.gz QOQUKZSGYNTLNW-XJKSGUPXSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@H]2[C@@H](C)CCC[N@H+]2Cc2csnn2)cc1 ZINC000129084417 1128530322 /nfs/dbraw/zinc/53/03/22/1128530322.db2.gz QOQUKZSGYNTLNW-XJKSGUPXSA-N 1 2 287.432 3.820 20 0 CHADLO CCCCc1ccc([C@@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000565727363 1128531021 /nfs/dbraw/zinc/53/10/21/1128531021.db2.gz IGADKOUGBQVWSY-CQSZACIVSA-N 1 2 269.392 3.670 20 0 CHADLO CCCCC[C@@H](C)N1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001257518699 1128534885 /nfs/dbraw/zinc/53/48/85/1128534885.db2.gz QMVIBNSBTXOUDC-HUUCEWRRSA-N 1 2 288.426 3.761 20 0 CHADLO CCCCC[C@@H](C)[N@@H+]1CC[C@@H](N2CCCC2)C(F)(F)C1 ZINC001257518699 1128534888 /nfs/dbraw/zinc/53/48/88/1128534888.db2.gz QMVIBNSBTXOUDC-HUUCEWRRSA-N 1 2 288.426 3.761 20 0 CHADLO CCCCC[C@@H](C)[N@H+]1CC[C@@H](N2CCCC2)C(F)(F)C1 ZINC001257518699 1128534890 /nfs/dbraw/zinc/53/48/90/1128534890.db2.gz QMVIBNSBTXOUDC-HUUCEWRRSA-N 1 2 288.426 3.761 20 0 CHADLO CC(=Cc1cccc(C(F)(F)F)c1)C[NH2+]Cc1ccn[nH]1 ZINC000567195512 1128560003 /nfs/dbraw/zinc/56/00/03/1128560003.db2.gz DRRDNAWDCZNCMO-YRNVUSSQSA-N 1 2 295.308 3.622 20 0 CHADLO CCc1ccc(NC(=O)C[C@H](C)n2cc[nH+]c2)cc1Cl ZINC000566309660 1128541613 /nfs/dbraw/zinc/54/16/13/1128541613.db2.gz QEDKEDAGRSEUHJ-NSHDSACASA-N 1 2 291.782 3.689 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@H+]2Cc2ccn(-c3ccccc3)n2)c1 ZINC000130002611 1128560992 /nfs/dbraw/zinc/56/09/92/1128560992.db2.gz UZNOIVCVPZRFHQ-GOSISDBHSA-N 1 2 292.386 3.538 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@@H+]2Cc2ccn(-c3ccccc3)n2)c1 ZINC000130002611 1128560994 /nfs/dbraw/zinc/56/09/94/1128560994.db2.gz UZNOIVCVPZRFHQ-GOSISDBHSA-N 1 2 292.386 3.538 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cccc(C(F)(F)F)c2)C1 ZINC000566801862 1128552302 /nfs/dbraw/zinc/55/23/02/1128552302.db2.gz KKRCRXZBHRJDHF-LBPRGKRZSA-N 1 2 261.262 3.639 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cccc(C(F)(F)F)c2)C1 ZINC000566801862 1128552304 /nfs/dbraw/zinc/55/23/04/1128552304.db2.gz KKRCRXZBHRJDHF-LBPRGKRZSA-N 1 2 261.262 3.639 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2ccc(Cl)cn2)sc1C ZINC000566933071 1128554457 /nfs/dbraw/zinc/55/44/57/1128554457.db2.gz UGKWINIWHYYPCR-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO O=C(Nc1cccc(C2CCC2)c1)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000567344144 1128563437 /nfs/dbraw/zinc/56/34/37/1128563437.db2.gz OPARNFBWHDIQFA-OAHLLOKOSA-N 1 2 295.386 3.736 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+][C@H](C)c1nccn1C ZINC000070444685 1128564005 /nfs/dbraw/zinc/56/40/05/1128564005.db2.gz FONXSSOBJVYZBZ-UONOGXRCSA-N 1 2 287.407 3.619 20 0 CHADLO Cc1ncccc1C[N@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000130128959 1128567451 /nfs/dbraw/zinc/56/74/51/1128567451.db2.gz HQHHFZUQICJWHR-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1ncccc1C[N@@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000130128959 1128567452 /nfs/dbraw/zinc/56/74/52/1128567452.db2.gz HQHHFZUQICJWHR-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO C[C@H](c1cccc(F)c1)[NH+]1CCN(Cc2ccccc2)CC1 ZINC000556276455 1128573104 /nfs/dbraw/zinc/57/31/04/1128573104.db2.gz KURPARBKOHNNNI-MRXNPFEDSA-N 1 2 298.405 3.705 20 0 CHADLO O=C(Cn1c[nH+]c(Cc2ccccc2)c1)C1CCCCC1 ZINC000919135479 1128591536 /nfs/dbraw/zinc/59/15/36/1128591536.db2.gz FVTSCONECSONLP-UHFFFAOYSA-N 1 2 282.387 3.623 20 0 CHADLO c1[nH+]c(Cc2ccccc2)cn1C[C@@H]1CCC2(CCC2)CO1 ZINC000919155701 1128591863 /nfs/dbraw/zinc/59/18/63/1128591863.db2.gz FHFNMKRKPZEUQW-SFHVURJKSA-N 1 2 296.414 3.823 20 0 CHADLO Cc1nc(C[NH+]2CC(c3nc4ccccc4s3)C2)c(C)o1 ZINC000660146637 1128593044 /nfs/dbraw/zinc/59/30/44/1128593044.db2.gz ILSLXUFFNINCRW-UHFFFAOYSA-N 1 2 299.399 3.501 20 0 CHADLO c1cc(C[N@@H+]2CCc3ccccc3[C@H]2c2ccccc2)no1 ZINC000569264695 1128602501 /nfs/dbraw/zinc/60/25/01/1128602501.db2.gz MZBDHDHHTHBOCS-LJQANCHMSA-N 1 2 290.366 3.822 20 0 CHADLO c1cc(C[N@H+]2CCc3ccccc3[C@H]2c2ccccc2)no1 ZINC000569264695 1128602502 /nfs/dbraw/zinc/60/25/02/1128602502.db2.gz MZBDHDHHTHBOCS-LJQANCHMSA-N 1 2 290.366 3.822 20 0 CHADLO CC[C@@H]([NH2+]C[C@@H](C)c1ccc(F)cc1)C(=O)OC(C)(C)C ZINC000569891455 1128611191 /nfs/dbraw/zinc/61/11/91/1128611191.db2.gz OAUUSUFDGGTIAU-IUODEOHRSA-N 1 2 295.398 3.639 20 0 CHADLO CC[C@@H](Cc1ccccc1)C(=O)NCc1c[nH+]c(C)cc1C ZINC000570796358 1128631902 /nfs/dbraw/zinc/63/19/02/1128631902.db2.gz WWASFZMKZNJDID-KRWDZBQOSA-N 1 2 296.414 3.584 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2ccc(Cl)cc2C)n1 ZINC000572175545 1128642918 /nfs/dbraw/zinc/64/29/18/1128642918.db2.gz NFDNSTPBZJZKRM-SNVBAGLBSA-N 1 2 279.771 3.533 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2ccc(Cl)cc2C)n1 ZINC000572175545 1128642922 /nfs/dbraw/zinc/64/29/22/1128642922.db2.gz NFDNSTPBZJZKRM-SNVBAGLBSA-N 1 2 279.771 3.533 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001138409753 1128673901 /nfs/dbraw/zinc/67/39/01/1128673901.db2.gz FWDZIMKQOVEUBX-JKSUJKDBSA-N 1 2 296.336 3.887 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001138409753 1128673904 /nfs/dbraw/zinc/67/39/04/1128673904.db2.gz FWDZIMKQOVEUBX-JKSUJKDBSA-N 1 2 296.336 3.887 20 0 CHADLO COc1ccc2c(c1)C(C)(C)C[C@H]2[NH2+]CC(C)(F)F ZINC000577873380 1128695121 /nfs/dbraw/zinc/69/51/21/1128695121.db2.gz ZETDTWGNXCNNSB-CYBMUJFWSA-N 1 2 269.335 3.662 20 0 CHADLO CCSc1cccc(C[NH2+][C@@H](C)c2nnc(C)s2)c1 ZINC000274491301 1117745241 /nfs/dbraw/zinc/74/52/41/1117745241.db2.gz ZUAOKANBUGPDFR-JTQLQIEISA-N 1 2 293.461 3.809 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1csc(C)n1 ZINC000035015279 1117760164 /nfs/dbraw/zinc/76/01/64/1117760164.db2.gz ZLBJAEJTAILJQZ-NSHDSACASA-N 1 2 276.405 3.619 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCc3cc(F)ccc32)c(C)[nH+]1 ZINC000622776851 1117767004 /nfs/dbraw/zinc/76/70/04/1117767004.db2.gz SIKRNGXKVSGXEI-INIZCTEOSA-N 1 2 298.361 3.814 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnc(C)n1-c1ccccc1 ZINC000430149217 1117782237 /nfs/dbraw/zinc/78/22/37/1117782237.db2.gz VKYZBBOTWFQUOY-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnc(C)n1-c1ccccc1 ZINC000430149217 1117782239 /nfs/dbraw/zinc/78/22/39/1117782239.db2.gz VKYZBBOTWFQUOY-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCCC3(CCC3)C2)cc1Cl ZINC001238154010 1117796355 /nfs/dbraw/zinc/79/63/55/1117796355.db2.gz IKDVWHPDULEKTJ-UHFFFAOYSA-N 1 2 283.774 3.951 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCCC3(CCC3)C2)cc1Cl ZINC001238154010 1117796358 /nfs/dbraw/zinc/79/63/58/1117796358.db2.gz IKDVWHPDULEKTJ-UHFFFAOYSA-N 1 2 283.774 3.951 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)[C@@H](C)C(=O)OC(C)(C)C ZINC000610012836 1128736026 /nfs/dbraw/zinc/73/60/26/1128736026.db2.gz IANCFPWTJAHXSZ-LBPRGKRZSA-N 1 2 297.826 3.892 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)[C@@H](C)C(=O)OC(C)(C)C ZINC000610012836 1128736030 /nfs/dbraw/zinc/73/60/30/1128736030.db2.gz IANCFPWTJAHXSZ-LBPRGKRZSA-N 1 2 297.826 3.892 20 0 CHADLO CCOc1cc(Nc2c[nH+]c(C)cc2C)cc(C)n1 ZINC001213507694 1117834710 /nfs/dbraw/zinc/83/47/10/1117834710.db2.gz BHYWQXUFYDVGFI-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO Cc1cc(C)c(Nc2cc(-c3ccncn3)cs2)c[nH+]1 ZINC001213508068 1117847904 /nfs/dbraw/zinc/84/79/04/1117847904.db2.gz KOGYGTAUMCUJKF-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000430205256 1117862683 /nfs/dbraw/zinc/86/26/83/1117862683.db2.gz MNQRHEZZESQNJI-NSHDSACASA-N 1 2 261.262 3.829 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000430205256 1117862687 /nfs/dbraw/zinc/86/26/87/1117862687.db2.gz MNQRHEZZESQNJI-NSHDSACASA-N 1 2 261.262 3.829 20 0 CHADLO Cc1nc(N2CC[C@H](C3CCCCC3)C2)c2c([nH+]1)CCC2 ZINC001167067256 1117869436 /nfs/dbraw/zinc/86/94/36/1117869436.db2.gz CBSMLLDODDGERV-HNNXBMFYSA-N 1 2 285.435 3.680 20 0 CHADLO Cn1cc(Cl)c(C[NH2+][C@@H]2CCc3c2cccc3Cl)n1 ZINC000579197650 1128740692 /nfs/dbraw/zinc/74/06/92/1128740692.db2.gz KQLCWOAXQOAKEV-CYBMUJFWSA-N 1 2 296.201 3.504 20 0 CHADLO COc1cc(C)c[nH+]c1N1CC[C@@H](C2CCCCC2)C1 ZINC001167068648 1117878609 /nfs/dbraw/zinc/87/86/09/1117878609.db2.gz VWMMLARXPRURPY-OAHLLOKOSA-N 1 2 274.408 3.805 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1c[nH]nc1-c1cccc(C)c1 ZINC000623679749 1117889356 /nfs/dbraw/zinc/88/93/56/1117889356.db2.gz HYYIXIMYWWEISE-UHFFFAOYSA-N 1 2 279.334 3.520 20 0 CHADLO CC(C)C[C@H](C)C[C@@H](C)N1CC([NH+]2CCC(F)CC2)C1 ZINC001258076494 1128743363 /nfs/dbraw/zinc/74/33/63/1128743363.db2.gz ZYSJSGWMZLIQSV-LSDHHAIUSA-N 1 2 284.463 3.565 20 0 CHADLO c1ncc(C[N@H+]2CCc3ccccc3[C@@H]2c2ccccc2)[nH]1 ZINC000339611124 1117917802 /nfs/dbraw/zinc/91/78/02/1117917802.db2.gz QRSBIHGHNNWHAD-IBGZPJMESA-N 1 2 289.382 3.557 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](C)c2cccc(OC(C)C)c2)n1 ZINC000615315487 1128743788 /nfs/dbraw/zinc/74/37/88/1128743788.db2.gz RTJFVGHUXILZQY-VXGBXAGGSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)n1 ZINC000615320392 1128744148 /nfs/dbraw/zinc/74/41/48/1128744148.db2.gz LOTGWUDEZLQFQN-RYUDHWBXSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](C)c2cccc(OC(C)C)c2)n1 ZINC000615315481 1128744312 /nfs/dbraw/zinc/74/43/12/1128744312.db2.gz RTJFVGHUXILZQY-NWDGAFQWSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccc(C)c(F)c3)cc2[nH+]1 ZINC000010896567 1117934372 /nfs/dbraw/zinc/93/43/72/1117934372.db2.gz BYVWFSQPQAWNQG-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3CC=C(C)CC3)cs2)o1 ZINC000339625768 1117941677 /nfs/dbraw/zinc/94/16/77/1117941677.db2.gz FJMWYAGVEGQEIM-UHFFFAOYSA-N 1 2 274.389 3.864 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3CC=C(C)CC3)cs2)o1 ZINC000339625768 1117941682 /nfs/dbraw/zinc/94/16/82/1117941682.db2.gz FJMWYAGVEGQEIM-UHFFFAOYSA-N 1 2 274.389 3.864 20 0 CHADLO COc1c(C)c[nH+]c(CSCC=C(C)C)c1C ZINC000579337900 1128745518 /nfs/dbraw/zinc/74/55/18/1128745518.db2.gz GPSBRQOGSVGANL-UHFFFAOYSA-N 1 2 251.395 3.906 20 0 CHADLO Fc1cncc(C[NH2+]C2(c3c(F)cccc3F)CCC2)c1 ZINC000623844243 1117944922 /nfs/dbraw/zinc/94/49/22/1117944922.db2.gz MZEGAGVLDMCJJZ-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO c1nc2c(s1)CCC[C@@H]2[NH2+]Cc1ccc2ccccc2n1 ZINC000623874297 1117946728 /nfs/dbraw/zinc/94/67/28/1117946728.db2.gz FJHFKYJIKARUOX-HNNXBMFYSA-N 1 2 295.411 3.859 20 0 CHADLO Cc1ccnc(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC000339654333 1117947481 /nfs/dbraw/zinc/94/74/81/1117947481.db2.gz ZDBFKAFGIRUBLL-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccnc(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC000339654333 1117947483 /nfs/dbraw/zinc/94/74/83/1117947483.db2.gz ZDBFKAFGIRUBLL-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO CC1=CC[N@H+]([C@H](C)c2nc(-c3cccs3)no2)CC1 ZINC000339658600 1117948715 /nfs/dbraw/zinc/94/87/15/1117948715.db2.gz NNTBGMUFBYTWEI-LLVKDONJSA-N 1 2 275.377 3.511 20 0 CHADLO CC1=CC[N@@H+]([C@H](C)c2nc(-c3cccs3)no2)CC1 ZINC000339658600 1117948720 /nfs/dbraw/zinc/94/87/20/1117948720.db2.gz NNTBGMUFBYTWEI-LLVKDONJSA-N 1 2 275.377 3.511 20 0 CHADLO Fc1ccc(F)c(C[NH2+][C@@H]2CCCc3scnc32)c1 ZINC000623889557 1117951702 /nfs/dbraw/zinc/95/17/02/1117951702.db2.gz PVXSNAUZGISPBD-GFCCVEGCSA-N 1 2 280.343 3.589 20 0 CHADLO c1cnn(-c2ccc([NH2+]C[C@H]3CC=CCC3)cc2)c1 ZINC000036977633 1117954165 /nfs/dbraw/zinc/95/41/65/1117954165.db2.gz MMCGHKIJKHETHB-AWEZNQCLSA-N 1 2 253.349 3.641 20 0 CHADLO CC(C)Oc1cc(C[N@H+](C)Cc2cncs2)ccc1F ZINC001238465574 1117963068 /nfs/dbraw/zinc/96/30/68/1117963068.db2.gz VQRXQIJTUPRPGB-UHFFFAOYSA-N 1 2 294.395 3.701 20 0 CHADLO CC(C)Oc1cc(C[N@@H+](C)Cc2cncs2)ccc1F ZINC001238465574 1117963071 /nfs/dbraw/zinc/96/30/71/1117963071.db2.gz VQRXQIJTUPRPGB-UHFFFAOYSA-N 1 2 294.395 3.701 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3ccccc32)C[C@H](C)S1 ZINC000624002712 1117968481 /nfs/dbraw/zinc/96/84/81/1117968481.db2.gz CAAFEHZBKGRHOY-TXEJJXNPSA-N 1 2 258.390 3.565 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2C[C@@]2(F)c2ccccc2)n1 ZINC000624003671 1117968849 /nfs/dbraw/zinc/96/88/49/1117968849.db2.gz GFHZFMYAUAOBII-CORIIIEPSA-N 1 2 276.380 3.739 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1cc[nH]c1C1CC1 ZINC000339820466 1117979061 /nfs/dbraw/zinc/97/90/61/1117979061.db2.gz NOQXXJFMQRWDCW-UHFFFAOYSA-N 1 2 292.342 3.535 20 0 CHADLO CC[C@@H]([NH2+]CC(F)(F)CC)c1ccc2c(c1)OCCO2 ZINC000624147604 1117989522 /nfs/dbraw/zinc/98/95/22/1117989522.db2.gz GEXDXXVDBHKJGF-GFCCVEGCSA-N 1 2 285.334 3.544 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](CCCOC)c1ccc(F)cc1 ZINC000624149144 1117989840 /nfs/dbraw/zinc/98/98/40/1117989840.db2.gz XKFCHQLCYSUBLK-CQSZACIVSA-N 1 2 289.341 3.928 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@]12C[C@H]1CCC2 ZINC000579461257 1128748718 /nfs/dbraw/zinc/74/87/18/1128748718.db2.gz GHUXKBDGZDRUOP-CXAGYDPISA-N 1 2 281.359 3.514 20 0 CHADLO COc1ccccc1[C@@H](C)N(C)c1cc(N)cc(Cl)[nH+]1 ZINC001167106198 1118022306 /nfs/dbraw/zinc/02/23/06/1118022306.db2.gz OXUYOZWRFXXVKW-SNVBAGLBSA-N 1 2 291.782 3.523 20 0 CHADLO CC(C)[N@H+](CCCOCc1ccccc1)CC(F)F ZINC000182475523 1118022609 /nfs/dbraw/zinc/02/26/09/1118022609.db2.gz RYRJQPMUIKEGOY-UHFFFAOYSA-N 1 2 271.351 3.569 20 0 CHADLO CC(C)[N@@H+](CCCOCc1ccccc1)CC(F)F ZINC000182475523 1118022612 /nfs/dbraw/zinc/02/26/12/1118022612.db2.gz RYRJQPMUIKEGOY-UHFFFAOYSA-N 1 2 271.351 3.569 20 0 CHADLO COc1ccccc1[C@H](C)N(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001167107797 1118023252 /nfs/dbraw/zinc/02/32/52/1118023252.db2.gz YSNWNEBNQCCWTO-ZDUSSCGKSA-N 1 2 297.402 3.868 20 0 CHADLO Cc1cc2c(cn1)CN(c1cc(-c3ccccc3)cc[nH+]1)C2 ZINC001167110411 1118025252 /nfs/dbraw/zinc/02/52/52/1118025252.db2.gz RQVNIGUBFQJSHH-UHFFFAOYSA-N 1 2 287.366 3.972 20 0 CHADLO Cc1ccc2cc[nH+]c(N3Cc4cnc(C)cc4C3)c2c1 ZINC001167109971 1118025375 /nfs/dbraw/zinc/02/53/75/1118025375.db2.gz OQOIGZJESJPVOJ-UHFFFAOYSA-N 1 2 275.355 3.767 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(F)F)nc1)c1c(F)cncc1F ZINC000631136421 1118033648 /nfs/dbraw/zinc/03/36/48/1118033648.db2.gz UWYYLSYAESXGAE-MRVPVSSYSA-N 1 2 299.271 3.543 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nccn1C)c1cc(F)ccc1F ZINC000183298673 1118042327 /nfs/dbraw/zinc/04/23/27/1118042327.db2.gz HGUQJBUQVTZGPR-QMTHXVAHSA-N 1 2 279.334 3.500 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCc2[nH]ncc21)c1ccc(OC)cc1 ZINC000368606206 1118057965 /nfs/dbraw/zinc/05/79/65/1118057965.db2.gz DCTUHHJXWBZHMV-CVEARBPZSA-N 1 2 285.391 3.537 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc2ccccc2nc1C)c1nccs1 ZINC000185114533 1118061145 /nfs/dbraw/zinc/06/11/45/1118061145.db2.gz PLDSCVWLIPOAIB-GFCCVEGCSA-N 1 2 298.415 3.636 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nccs2)c(Cl)c1 ZINC000235525013 1118068642 /nfs/dbraw/zinc/06/86/42/1118068642.db2.gz JCLPHXHIYRWBFN-JTQLQIEISA-N 1 2 266.797 3.956 20 0 CHADLO C[C@H](Nc1[nH+]c2ccccc2n1C)c1ccccc1 ZINC000079043919 1118072483 /nfs/dbraw/zinc/07/24/83/1118072483.db2.gz IBAKBMACWIWKSD-LBPRGKRZSA-N 1 2 251.333 3.746 20 0 CHADLO CC=C(C=CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)CC ZINC000764413800 1118090911 /nfs/dbraw/zinc/09/09/11/1118090911.db2.gz LMHYYUJHFPELJB-IKQKLUSLSA-N 1 2 269.348 3.722 20 0 CHADLO CCOC(=O)C[N@H+](CC)Cc1ccc(C2CCCC2)cc1 ZINC001167191979 1118092032 /nfs/dbraw/zinc/09/20/32/1118092032.db2.gz DFTZJOVGPVMMQI-UHFFFAOYSA-N 1 2 289.419 3.729 20 0 CHADLO CCOC(=O)C[N@@H+](CC)Cc1ccc(C2CCCC2)cc1 ZINC001167191979 1118092037 /nfs/dbraw/zinc/09/20/37/1118092037.db2.gz DFTZJOVGPVMMQI-UHFFFAOYSA-N 1 2 289.419 3.729 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cncc3ccccc32)c(C)[nH+]1 ZINC000340166002 1118094372 /nfs/dbraw/zinc/09/43/72/1118094372.db2.gz VNKOZDIGHKBFAN-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO Oc1ccc(C[N@@H+]2CCOC3(CCCCC3)C2)c(Cl)c1 ZINC001140083980 1118095035 /nfs/dbraw/zinc/09/50/35/1118095035.db2.gz STMPVGNEVDKQJN-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO Oc1ccc(C[N@H+]2CCOC3(CCCCC3)C2)c(Cl)c1 ZINC001140083980 1118095041 /nfs/dbraw/zinc/09/50/41/1118095041.db2.gz STMPVGNEVDKQJN-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(OC(C)C)cc2F)c1 ZINC001209833059 1118096583 /nfs/dbraw/zinc/09/65/83/1118096583.db2.gz UUQMWCDEONNSLX-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@@H](C)c2csnn2)s1 ZINC000398250845 1118107330 /nfs/dbraw/zinc/10/73/30/1118107330.db2.gz WGRITYVRAUXPHO-IUCAKERBSA-N 1 2 267.423 3.574 20 0 CHADLO CO[C@@H](C)c1cccc(Nc2[nH+]cccc2N(C)C)c1 ZINC001209948858 1118116345 /nfs/dbraw/zinc/11/63/45/1118116345.db2.gz BALLQDSAZMEGDN-LBPRGKRZSA-N 1 2 271.364 3.599 20 0 CHADLO COC(=O)c1cc(Nc2ccc([NH+](C)C)c(C)c2)cs1 ZINC001210040319 1118140959 /nfs/dbraw/zinc/14/09/59/1118140959.db2.gz XBDKGYGFOXRESA-UHFFFAOYSA-N 1 2 290.388 3.653 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCc3c2cc(F)cc3F)cs1 ZINC000121322447 1118149130 /nfs/dbraw/zinc/14/91/30/1118149130.db2.gz IAWBIRLEVCEUKR-CQSZACIVSA-N 1 2 294.370 3.761 20 0 CHADLO Cc1ccc(N(C)Cc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001167284358 1118159622 /nfs/dbraw/zinc/15/96/22/1118159622.db2.gz XETOKYSHRCXFNL-UHFFFAOYSA-N 1 2 277.371 3.817 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccc(C)c(C)c3)cc2[nH+]1 ZINC000010896163 1118159998 /nfs/dbraw/zinc/15/99/98/1118159998.db2.gz CGLIJSFFGNXGAY-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000542652937 1118164206 /nfs/dbraw/zinc/16/42/06/1118164206.db2.gz DVBLBUJUIJZCNQ-CYBMUJFWSA-N 1 2 291.439 3.578 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000542652937 1118164209 /nfs/dbraw/zinc/16/42/09/1118164209.db2.gz DVBLBUJUIJZCNQ-CYBMUJFWSA-N 1 2 291.439 3.578 20 0 CHADLO COCC1([N@H+](C)Cc2nc(C3CCCCC3)cs2)CC1 ZINC000683523639 1118168540 /nfs/dbraw/zinc/16/85/40/1118168540.db2.gz OIGAUZWLPDXGPE-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO COCC1([N@@H+](C)Cc2nc(C3CCCCC3)cs2)CC1 ZINC000683523639 1118168543 /nfs/dbraw/zinc/16/85/43/1118168543.db2.gz OIGAUZWLPDXGPE-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO c1sc(C[N@H+]2CC=CCC2)nc1C1CCCCC1 ZINC000683523475 1118168678 /nfs/dbraw/zinc/16/86/78/1118168678.db2.gz LEWGYRKLVMDHAY-UHFFFAOYSA-N 1 2 262.422 3.953 20 0 CHADLO c1sc(C[N@@H+]2CC=CCC2)nc1C1CCCCC1 ZINC000683523475 1118168681 /nfs/dbraw/zinc/16/86/81/1118168681.db2.gz LEWGYRKLVMDHAY-UHFFFAOYSA-N 1 2 262.422 3.953 20 0 CHADLO Cc1ccnc(C[N@@H+](C)Cc2cc(Cl)ccc2F)c1 ZINC000683525083 1118168918 /nfs/dbraw/zinc/16/89/18/1118168918.db2.gz NAKNNUCNMYFSBH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccnc(C[N@H+](C)Cc2cc(Cl)ccc2F)c1 ZINC000683525083 1118168919 /nfs/dbraw/zinc/16/89/19/1118168919.db2.gz NAKNNUCNMYFSBH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1O ZINC001210191502 1118184664 /nfs/dbraw/zinc/18/46/64/1118184664.db2.gz VJWZWARPTRIUNL-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO COCc1cc[nH+]c(NCc2ccccc2OC(C)C)c1 ZINC000650244750 1118192357 /nfs/dbraw/zinc/19/23/57/1118192357.db2.gz NTRWHFIDLJKLKE-UHFFFAOYSA-N 1 2 286.375 3.627 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCn2ccnc21)c1ccc(F)cc1F ZINC000655728475 1118196167 /nfs/dbraw/zinc/19/61/67/1118196167.db2.gz VGFFNPJHAMWELL-CABCVRRESA-N 1 2 291.345 3.737 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCn2ccnc21)c1ccc(F)cc1F ZINC000655728476 1118196364 /nfs/dbraw/zinc/19/63/64/1118196364.db2.gz VGFFNPJHAMWELL-GJZGRUSLSA-N 1 2 291.345 3.737 20 0 CHADLO C[C@H]([NH2+]Cc1cnn(C2CCC2)c1)c1c(F)cccc1F ZINC000921562799 1118201158 /nfs/dbraw/zinc/20/11/58/1118201158.db2.gz IWMLAFIMMFPRQG-NSHDSACASA-N 1 2 291.345 3.737 20 0 CHADLO CC[N@H+](CC(C)C)Cn1nc(C(F)(F)F)sc1=S ZINC000188640424 1128763056 /nfs/dbraw/zinc/76/30/56/1128763056.db2.gz ZRGMACDRXZGYMI-UHFFFAOYSA-N 1 2 299.387 3.628 20 0 CHADLO CC[N@@H+](CC(C)C)Cn1nc(C(F)(F)F)sc1=S ZINC000188640424 1128763059 /nfs/dbraw/zinc/76/30/59/1128763059.db2.gz ZRGMACDRXZGYMI-UHFFFAOYSA-N 1 2 299.387 3.628 20 0 CHADLO CCC[NH+](CCC)Cn1nc(C(F)(F)F)sc1=S ZINC000188632008 1128763162 /nfs/dbraw/zinc/76/31/62/1128763162.db2.gz YNXOXGGZKFXTTE-UHFFFAOYSA-N 1 2 299.387 3.772 20 0 CHADLO Cc1ccc(Nc2cccc(C(C)C)[nH+]2)cc1CO ZINC001210245723 1118223099 /nfs/dbraw/zinc/22/30/99/1118223099.db2.gz MTKDDMDEJCQCHN-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO CNc1ccc(Nc2cc(C(C)(C)C)ccc2N)c[nH+]1 ZINC001203458569 1118226695 /nfs/dbraw/zinc/22/66/95/1118226695.db2.gz OEXVRGSIJBAEAS-UHFFFAOYSA-N 1 2 270.380 3.747 20 0 CHADLO Cc1cc(C)c(C(=O)NCC[C@@H]2CCC(F)(F)C2)c(C)[nH+]1 ZINC000889994091 1118227704 /nfs/dbraw/zinc/22/77/04/1118227704.db2.gz NHOALUJXVMRFGB-ZDUSSCGKSA-N 1 2 296.361 3.562 20 0 CHADLO CCOc1ccc(Nc2ccc(NC)[nH+]c2)cc1Cl ZINC001203459075 1118234337 /nfs/dbraw/zinc/23/43/37/1118234337.db2.gz XGHCCRYYSSJQGO-UHFFFAOYSA-N 1 2 277.755 3.919 20 0 CHADLO Cc1cc(Br)ccc1Nc1c[nH+]c(C)c(N)c1 ZINC001210288771 1118235365 /nfs/dbraw/zinc/23/53/65/1118235365.db2.gz UQZPPGHDKXTKGJ-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Oc3cccnc3)cc2)cc1N ZINC001210288822 1118236495 /nfs/dbraw/zinc/23/64/95/1118236495.db2.gz WMFWHOFSKYYSFE-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO COc1cccc(Nc2c[nH+]c(C)c(N)c2)c1C(F)(F)F ZINC001210290286 1118237131 /nfs/dbraw/zinc/23/71/31/1118237131.db2.gz IOXDLRLPUHJQJS-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)c(N)cc2Cl)cc1N ZINC001210289717 1118237157 /nfs/dbraw/zinc/23/71/57/1118237157.db2.gz XVFKHGWAZHGTOB-UHFFFAOYSA-N 1 2 283.162 3.605 20 0 CHADLO Cc1cc([NH2+][C@H](C2CC2)[C@@H]2CCCOC2)ccc1N(C)C ZINC000926053081 1118242389 /nfs/dbraw/zinc/24/23/89/1118242389.db2.gz VKTRQUZRLODYMD-CRAIPNDOSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@H](C2CC2)[C@@H]2CCCOC2)ccc1[NH+](C)C ZINC000926053081 1118242395 /nfs/dbraw/zinc/24/23/95/1118242395.db2.gz VKTRQUZRLODYMD-CRAIPNDOSA-N 1 2 288.435 3.678 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2nc(-c3ccco3)no2)cc1 ZINC000044070179 1118249431 /nfs/dbraw/zinc/24/94/31/1118249431.db2.gz CRYQENSIWFMDDO-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2nc(-c3ccco3)no2)cc1 ZINC000044070179 1118249436 /nfs/dbraw/zinc/24/94/36/1118249436.db2.gz CRYQENSIWFMDDO-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO Cc1cc(NCC2=CCCCC2)nc(C2CCC2)[nH+]1 ZINC001651108559 1118253856 /nfs/dbraw/zinc/25/38/56/1118253856.db2.gz FLICRKRAINAEMW-UHFFFAOYSA-N 1 2 257.381 3.965 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000235666611 1118261931 /nfs/dbraw/zinc/26/19/31/1118261931.db2.gz NOKRDUHJKOJPSZ-VIFPVBQESA-N 1 2 259.180 3.620 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000235666611 1118261928 /nfs/dbraw/zinc/26/19/28/1118261928.db2.gz NOKRDUHJKOJPSZ-VIFPVBQESA-N 1 2 259.180 3.620 20 0 CHADLO C[C@@H]1SCC[N@H+](Cc2cscn2)[C@@H]1c1ccccc1 ZINC000172452210 1118263505 /nfs/dbraw/zinc/26/35/05/1118263505.db2.gz YLXZXPXKQWCRTR-WFASDCNBSA-N 1 2 290.457 3.822 20 0 CHADLO C[C@@H]1SCC[N@@H+](Cc2cscn2)[C@@H]1c1ccccc1 ZINC000172452210 1118263508 /nfs/dbraw/zinc/26/35/08/1118263508.db2.gz YLXZXPXKQWCRTR-WFASDCNBSA-N 1 2 290.457 3.822 20 0 CHADLO Cc1cccnc1C[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000071339371 1118276302 /nfs/dbraw/zinc/27/63/02/1118276302.db2.gz ZOSGBNAYTYVRSA-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO FC(F)C[N@H+](C[C@@H]1CCc2ccccc2C1)C1CC1 ZINC000543842022 1118277356 /nfs/dbraw/zinc/27/73/56/1118277356.db2.gz FOXBQCUPPQZNIX-GFCCVEGCSA-N 1 2 265.347 3.521 20 0 CHADLO FC(F)C[N@@H+](C[C@@H]1CCc2ccccc2C1)C1CC1 ZINC000543842022 1118277359 /nfs/dbraw/zinc/27/73/59/1118277359.db2.gz FOXBQCUPPQZNIX-GFCCVEGCSA-N 1 2 265.347 3.521 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2ccc(F)cc2Cl)nn1C ZINC000282180437 1118277371 /nfs/dbraw/zinc/27/73/71/1118277371.db2.gz MUFLMLAITAOURQ-MNOVXSKESA-N 1 2 295.789 3.933 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1cscn1 ZINC000057625677 1118282293 /nfs/dbraw/zinc/28/22/93/1118282293.db2.gz DUOPZBITCNOCOB-LBPRGKRZSA-N 1 2 264.419 3.714 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1cscn1 ZINC000057625677 1118282295 /nfs/dbraw/zinc/28/22/95/1118282295.db2.gz DUOPZBITCNOCOB-LBPRGKRZSA-N 1 2 264.419 3.714 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2COc3cc(F)cc(F)c32)c(C)o1 ZINC000683692205 1118288397 /nfs/dbraw/zinc/28/83/97/1118288397.db2.gz MZRSFFAFULAJPT-YMTOWFKASA-N 1 2 293.313 3.959 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc([C@@H](C)O)c1 ZINC001210507130 1118303588 /nfs/dbraw/zinc/30/35/88/1118303588.db2.gz AJZIKQXIDCHRNG-GFCCVEGCSA-N 1 2 256.349 3.749 20 0 CHADLO CCC1([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CCCC1 ZINC000639223189 1118323365 /nfs/dbraw/zinc/32/33/65/1118323365.db2.gz TXZMATBZXXNQJZ-AWEZNQCLSA-N 1 2 276.428 3.739 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@H]2CCC[C@H]2F)cs1 ZINC000340533501 1118325198 /nfs/dbraw/zinc/32/51/98/1118325198.db2.gz VIAXHWDCSWAXFF-AXFHLTTASA-N 1 2 256.390 3.808 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccccn2)C2CC2)c(F)c1 ZINC000064809358 1118329572 /nfs/dbraw/zinc/32/95/72/1118329572.db2.gz KMBVSFSSPXYWBS-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccccn2)C2CC2)c(F)c1 ZINC000064809358 1118329575 /nfs/dbraw/zinc/32/95/75/1118329575.db2.gz KMBVSFSSPXYWBS-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@H](C)c1cccnc1Cl)CO2 ZINC000090452511 1118333233 /nfs/dbraw/zinc/33/32/33/1118333233.db2.gz KIPMDVLWIBSOPV-BXUZGUMPSA-N 1 2 288.778 3.828 20 0 CHADLO Clc1cccc(C2C[NH+](Cc3cc(C4CC4)no3)C2)c1 ZINC000639233673 1118334491 /nfs/dbraw/zinc/33/44/91/1118334491.db2.gz OKKQHLQVPFSVKH-UHFFFAOYSA-N 1 2 288.778 3.805 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccc(F)nc1 ZINC000189296288 1128771025 /nfs/dbraw/zinc/77/10/25/1128771025.db2.gz YYRUOOHOKLVPTB-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccc(F)nc1 ZINC000189296288 1128771028 /nfs/dbraw/zinc/77/10/28/1128771028.db2.gz YYRUOOHOKLVPTB-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO CCOc1ccc(CCNc2cccc[nH+]2)cc1OCC ZINC000067948881 1118357385 /nfs/dbraw/zinc/35/73/85/1118357385.db2.gz UPHCFROEGFFUEB-UHFFFAOYSA-N 1 2 286.375 3.534 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cnc2sccc2c1 ZINC001210693066 1118367172 /nfs/dbraw/zinc/36/71/72/1118367172.db2.gz DVZQWERIRUDHKL-UHFFFAOYSA-N 1 2 280.356 3.526 20 0 CHADLO CC[C@@H]([NH2+]Cc1csc(C)n1)c1ccccc1F ZINC000163472199 1128772833 /nfs/dbraw/zinc/77/28/33/1128772833.db2.gz PCHBBJIGQIAEDN-CQSZACIVSA-N 1 2 264.369 3.832 20 0 CHADLO COc1ccc2cc(NC3CCC(OC)CC3)[nH+]cc2c1 ZINC001168603032 1118369099 /nfs/dbraw/zinc/36/90/99/1118369099.db2.gz AECNZJKZLRDFQB-UHFFFAOYSA-N 1 2 286.375 3.613 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3nnc(C4CC4)o3)CCCC2)c1 ZINC000072607115 1118374928 /nfs/dbraw/zinc/37/49/28/1118374928.db2.gz ROJRGIBUINZGQO-UHFFFAOYSA-N 1 2 297.402 3.815 20 0 CHADLO CC(C)C1CC[NH+](CC(=O)Nc2ccccc2Cl)CC1 ZINC000072717311 1118375758 /nfs/dbraw/zinc/37/57/58/1118375758.db2.gz ZIOUAFMLCHSILM-UHFFFAOYSA-N 1 2 294.826 3.647 20 0 CHADLO COc1cc(Nc2ccnc(Cl)c2Cl)cc(C)[nH+]1 ZINC001210774640 1118381237 /nfs/dbraw/zinc/38/12/37/1118381237.db2.gz PPAYVAGWPPYVSB-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc(Nc2ccc(-c3ccncn3)cc2)cc(C)[nH+]1 ZINC001210780975 1118384679 /nfs/dbraw/zinc/38/46/79/1118384679.db2.gz UPJDNJMPVHPINM-UHFFFAOYSA-N 1 2 292.342 3.599 20 0 CHADLO COc1cc(Nc2c(N)cc(Cl)cc2F)cc(C)[nH+]1 ZINC001210781195 1118385314 /nfs/dbraw/zinc/38/53/14/1118385314.db2.gz ZDTOOODMUCSPNM-UHFFFAOYSA-N 1 2 281.718 3.517 20 0 CHADLO COc1cc(Nc2cc(C(C)=O)cc(C(C)=O)c2)cc(C)[nH+]1 ZINC001210784660 1118387104 /nfs/dbraw/zinc/38/71/04/1118387104.db2.gz SJRLMYKGXDMSRS-UHFFFAOYSA-N 1 2 298.342 3.547 20 0 CHADLO Cc1cc(NC2CC(OC(C)(C)C)C2)ccc1[NH+](C)C ZINC000779168917 1128774415 /nfs/dbraw/zinc/77/44/15/1128774415.db2.gz BSZVQILBSWQVJK-UHFFFAOYSA-N 1 2 276.424 3.819 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2CCCC23CC3)c(C)[nH+]1 ZINC000626568813 1128774953 /nfs/dbraw/zinc/77/49/53/1128774953.db2.gz LSYVFKCPRSYHGJ-AWEZNQCLSA-N 1 2 287.407 3.709 20 0 CHADLO CC(C)c1nnc2n1CC[N@@H+](C[C@@H](C)c1ccccc1)[C@H]2C ZINC000189539963 1128775738 /nfs/dbraw/zinc/77/57/38/1128775738.db2.gz JQCBVHBUUWVJGW-CABCVRRESA-N 1 2 298.434 3.582 20 0 CHADLO CC(C)c1nnc2n1CC[N@H+](C[C@@H](C)c1ccccc1)[C@H]2C ZINC000189539963 1128775741 /nfs/dbraw/zinc/77/57/41/1128775741.db2.gz JQCBVHBUUWVJGW-CABCVRRESA-N 1 2 298.434 3.582 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)sc1Cl)c1ccncn1 ZINC000312761764 1118412830 /nfs/dbraw/zinc/41/28/30/1118412830.db2.gz IUNIYKRIRUTQRP-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO c1cc2cc(NC[C@H]3CCN(c4ccccc4)C3)[nH+]cc2[nH]1 ZINC000683893387 1118431936 /nfs/dbraw/zinc/43/19/36/1118431936.db2.gz QUAOGIJKAUTFGZ-CQSZACIVSA-N 1 2 292.386 3.501 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)o1 ZINC000683897835 1118433201 /nfs/dbraw/zinc/43/32/01/1118433201.db2.gz CMBAPMLJVMZBTI-SNVBAGLBSA-N 1 2 280.318 3.763 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)o1 ZINC000683897835 1118433203 /nfs/dbraw/zinc/43/32/03/1118433203.db2.gz CMBAPMLJVMZBTI-SNVBAGLBSA-N 1 2 280.318 3.763 20 0 CHADLO CNc1ccc(Br)cc1Nc1ccc(C)c[nH+]1 ZINC001211012382 1118439304 /nfs/dbraw/zinc/43/93/04/1118439304.db2.gz CXEPXBJOZXCQRS-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2cccc(C3CC3)c2)o1 ZINC000580403098 1118447338 /nfs/dbraw/zinc/44/73/38/1118447338.db2.gz RVCGCKPCBXVXDG-GHMZBOCLSA-N 1 2 271.364 3.667 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1nc(C2CCC2)no1 ZINC001167417565 1118475788 /nfs/dbraw/zinc/47/57/88/1118475788.db2.gz VQOFPQXHGAUTPU-MRXNPFEDSA-N 1 2 297.402 3.847 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1nc(C2CCC2)no1 ZINC001167417565 1118475791 /nfs/dbraw/zinc/47/57/91/1118475791.db2.gz VQOFPQXHGAUTPU-MRXNPFEDSA-N 1 2 297.402 3.847 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(C)cc2Cl)C[C@@H](C)O1 ZINC001167420381 1118481456 /nfs/dbraw/zinc/48/14/56/1118481456.db2.gz CIUKPAOQHRTXAN-TZMCWYRMSA-N 1 2 267.800 3.648 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(C)cc2Cl)C[C@@H](C)O1 ZINC001167420381 1118481457 /nfs/dbraw/zinc/48/14/57/1118481457.db2.gz CIUKPAOQHRTXAN-TZMCWYRMSA-N 1 2 267.800 3.648 20 0 CHADLO FC(F)(F)c1cccc(C[NH+]2CC3(CC3(F)F)C2)c1 ZINC000656267483 1118488527 /nfs/dbraw/zinc/48/85/27/1118488527.db2.gz XMNHVWBEUICNOL-UHFFFAOYSA-N 1 2 277.236 3.546 20 0 CHADLO COc1cccc(Cl)c1C[N@H+](C)Cc1ccc(O)cc1 ZINC000349347866 1118501186 /nfs/dbraw/zinc/50/11/86/1118501186.db2.gz MSIDWIZCGPXYAB-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+](C)Cc1ccc(O)cc1 ZINC000349347866 1118501189 /nfs/dbraw/zinc/50/11/89/1118501189.db2.gz MSIDWIZCGPXYAB-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO CCO[C@H]1CC[N@H+](Cc2csc(C3CCCCC3)n2)C1 ZINC000932977050 1118510305 /nfs/dbraw/zinc/51/03/05/1118510305.db2.gz OCNJUQCXMOIDKY-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO CCO[C@H]1CC[N@@H+](Cc2csc(C3CCCCC3)n2)C1 ZINC000932977050 1118510308 /nfs/dbraw/zinc/51/03/08/1118510308.db2.gz OCNJUQCXMOIDKY-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1cc(C)c(Nc2cc(CO)ccc2Cl)c[nH+]1 ZINC001213518206 1118515258 /nfs/dbraw/zinc/51/52/58/1118515258.db2.gz APZCCRUQHSLPOW-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1cc(C)c(Nc2cccc3[nH]c(=O)ccc23)c[nH+]1 ZINC001213518609 1118518034 /nfs/dbraw/zinc/51/80/34/1118518034.db2.gz XYRGISGPBXXBBN-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1cc(N2CC[C@@H]2c2ccccc2)nc(C(C)C)[nH+]1 ZINC000471628433 1118521364 /nfs/dbraw/zinc/52/13/64/1118521364.db2.gz MJFWLDAYTQEXTF-OAHLLOKOSA-N 1 2 267.376 3.860 20 0 CHADLO Cc1occc1C[NH2+]Cc1ncc(C(C)(C)C)s1 ZINC000684111933 1118522836 /nfs/dbraw/zinc/52/28/36/1118522836.db2.gz VGPIENZSHLPREV-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO Cc1c[nH+]cc(Nc2ncccc2Br)c1C ZINC001213523071 1118525337 /nfs/dbraw/zinc/52/53/37/1118525337.db2.gz KZDWCSZSGXXHCU-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO CC(C)C[C@@H]1CC[N@H+](Cc2csc(Cl)n2)C1 ZINC000307807979 1118534006 /nfs/dbraw/zinc/53/40/06/1118534006.db2.gz CCMSSHXJXBPMKG-JTQLQIEISA-N 1 2 258.818 3.665 20 0 CHADLO CC(C)C[C@@H]1CC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000307807979 1118534010 /nfs/dbraw/zinc/53/40/10/1118534010.db2.gz CCMSSHXJXBPMKG-JTQLQIEISA-N 1 2 258.818 3.665 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(C(=O)NC(C)C)cc2)c1C ZINC001213531047 1118546313 /nfs/dbraw/zinc/54/63/13/1118546313.db2.gz SXDQSEGLSYNSOF-UHFFFAOYSA-N 1 2 283.375 3.580 20 0 CHADLO F[C@@H]1CC[C@H](Nc2[nH+]cccc2OCc2ccccc2)C1 ZINC000340984666 1118570043 /nfs/dbraw/zinc/57/00/43/1118570043.db2.gz XGPCSYJPLNLAAN-CABCVRRESA-N 1 2 286.350 3.963 20 0 CHADLO CC[C@H](C)[C@@H]1CCCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000933220709 1118573225 /nfs/dbraw/zinc/57/32/25/1118573225.db2.gz IUDWPGQGWMMVFP-LRDDRELGSA-N 1 2 285.391 3.604 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@H](C)c1nc2ccccc2n1C ZINC000316838891 1118585689 /nfs/dbraw/zinc/58/56/89/1118585689.db2.gz QYXTZUOMRIDFED-CMPLNLGQSA-N 1 2 298.390 3.590 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000341035008 1118591903 /nfs/dbraw/zinc/59/19/03/1118591903.db2.gz NXSYRUNULAMHOD-CQSZACIVSA-N 1 2 281.403 3.515 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](CC)c2ccc(C)cc2)o1 ZINC000341066628 1118599079 /nfs/dbraw/zinc/59/90/79/1118599079.db2.gz WMUBJMJUPMRIDM-JSGCOSHPSA-N 1 2 273.380 3.742 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@@H](C)c2ccc(F)cc2)cs1 ZINC000341070202 1118599650 /nfs/dbraw/zinc/59/96/50/1118599650.db2.gz GSFWWXOAQZFWEY-WDEREUQCSA-N 1 2 294.395 3.840 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2cccc(Cl)c2)o1 ZINC000341072361 1118599984 /nfs/dbraw/zinc/59/99/84/1118599984.db2.gz MLKGVIITRRRIHX-NXEZZACHSA-N 1 2 279.771 3.697 20 0 CHADLO Clc1n[nH]c(C2CC2)c1C[N@@H+]1CCC[C@@H]1c1ccc[nH]1 ZINC000891404362 1118600795 /nfs/dbraw/zinc/60/07/95/1118600795.db2.gz GQSLZEXUXCIZSP-CYBMUJFWSA-N 1 2 290.798 3.606 20 0 CHADLO Clc1n[nH]c(C2CC2)c1C[N@H+]1CCC[C@@H]1c1ccc[nH]1 ZINC000891404362 1118600797 /nfs/dbraw/zinc/60/07/97/1118600797.db2.gz GQSLZEXUXCIZSP-CYBMUJFWSA-N 1 2 290.798 3.606 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C)cn1)c1cccc(Cl)c1 ZINC000341095244 1118601997 /nfs/dbraw/zinc/60/19/97/1118601997.db2.gz HVZGWSCEINASBV-CQSZACIVSA-N 1 2 275.783 3.679 20 0 CHADLO CSc1ncc(Nc2cccc3[nH+]c(C)cn32)cc1C ZINC001215826553 1118603232 /nfs/dbraw/zinc/60/32/32/1118603232.db2.gz PLMAWIBLMBEYMJ-UHFFFAOYSA-N 1 2 284.388 3.812 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccc(F)c(F)c2)c1F ZINC000192940215 1118603758 /nfs/dbraw/zinc/60/37/58/1118603758.db2.gz MHZHNVIEVWKDOA-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccc(F)c(F)c2)c1F ZINC000192940215 1118603761 /nfs/dbraw/zinc/60/37/61/1118603761.db2.gz MHZHNVIEVWKDOA-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO CCN(C[C@@H]1CCOC1)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000090137997 1118614896 /nfs/dbraw/zinc/61/48/96/1118614896.db2.gz WBQMWBHRFSBJJF-AWEZNQCLSA-N 1 2 291.439 3.586 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ncc(C3CC3)o2)cc1 ZINC000341207213 1118621779 /nfs/dbraw/zinc/62/17/79/1118621779.db2.gz JQTZHDVOFPAYQC-GFCCVEGCSA-N 1 2 256.349 3.711 20 0 CHADLO Cc1cc(C[NH+]2CC3(CC(F)C3)C2)ccc1Br ZINC000656694535 1118638164 /nfs/dbraw/zinc/63/81/64/1118638164.db2.gz UGWJWSBDXMYACZ-UHFFFAOYSA-N 1 2 298.199 3.691 20 0 CHADLO CCCOc1c(C[NH+]2CC(C(F)F)C2)cccc1OCC ZINC000656691110 1118639287 /nfs/dbraw/zinc/63/92/87/1118639287.db2.gz UGOYOFZZLRLCNM-UHFFFAOYSA-N 1 2 299.361 3.571 20 0 CHADLO CC(C)c1cc(N[C@H](C)Cc2ccncc2)nc(C(C)C)[nH+]1 ZINC000341256236 1118642860 /nfs/dbraw/zinc/64/28/60/1118642860.db2.gz GRIRAHOXJOAPMA-CQSZACIVSA-N 1 2 298.434 3.583 20 0 CHADLO COc1c(C)c[nH+]c(CSCc2ncc(C)s2)c1C ZINC000180418071 1128791165 /nfs/dbraw/zinc/79/11/65/1128791165.db2.gz MFBPPNZGASDFIK-UHFFFAOYSA-N 1 2 294.445 3.905 20 0 CHADLO COc1cncc(COc2cc(C)[nH+]c3ccccc32)c1 ZINC000341267621 1118644859 /nfs/dbraw/zinc/64/48/59/1118644859.db2.gz GCIWEELNPPYPGC-UHFFFAOYSA-N 1 2 280.327 3.526 20 0 CHADLO Cc1cc2cc(N[C@@H](C)c3ccc(F)cn3)[nH+]cc2[nH]1 ZINC001167475878 1118645012 /nfs/dbraw/zinc/64/50/12/1118645012.db2.gz ICLKXWUSQIXPOL-JTQLQIEISA-N 1 2 270.311 3.579 20 0 CHADLO Cc1c[nH+]c(CNc2cccc3ccsc32)n1C ZINC000341283631 1118652370 /nfs/dbraw/zinc/65/23/70/1118652370.db2.gz MUXIZEDHBKVALF-UHFFFAOYSA-N 1 2 257.362 3.555 20 0 CHADLO Cc1coc(-c2ccc(C)c(NCc3[nH+]cc(C)n3C)c2)n1 ZINC000341284454 1118653341 /nfs/dbraw/zinc/65/33/41/1118653341.db2.gz OHNBVNWBEAILHY-UHFFFAOYSA-N 1 2 296.374 3.612 20 0 CHADLO CC(=O)Nc1ccc(CNc2c[nH+]cc3c2CCCC3)cc1 ZINC000656776139 1118655494 /nfs/dbraw/zinc/65/54/94/1118655494.db2.gz IRPDEQFTLKPDLZ-UHFFFAOYSA-N 1 2 295.386 3.531 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@H]2c2cn[nH]c2)c1Cl ZINC000639777054 1118667568 /nfs/dbraw/zinc/66/75/68/1118667568.db2.gz HZGBUWDGFKZBEE-ZDUSSCGKSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@H]2c2cn[nH]c2)c1Cl ZINC000639777054 1118667570 /nfs/dbraw/zinc/66/75/70/1118667570.db2.gz HZGBUWDGFKZBEE-ZDUSSCGKSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1c[nH+]c(CNc2ccc(C3CCC3)cc2)n1C ZINC000341364623 1118675184 /nfs/dbraw/zinc/67/51/84/1118675184.db2.gz BXKLIFYTSJUJFG-UHFFFAOYSA-N 1 2 255.365 3.608 20 0 CHADLO Cc1nc(N2CC[C@@H](c3ccccc3)C[C@@H]2C)cc[nH+]1 ZINC000341367582 1118676158 /nfs/dbraw/zinc/67/61/58/1118676158.db2.gz FNZBLSUAOIPTDU-XJKSGUPXSA-N 1 2 267.376 3.558 20 0 CHADLO Cc1c[nH+]c(CNc2ccc(C)c(C(F)(F)F)c2)n1C ZINC000341367042 1118676499 /nfs/dbraw/zinc/67/64/99/1118676499.db2.gz WSPVWHGQBWSODI-UHFFFAOYSA-N 1 2 283.297 3.668 20 0 CHADLO CCCOc1cccc(C[NH2+]C(C)(C)C(F)F)c1 ZINC000684414005 1118688104 /nfs/dbraw/zinc/68/81/04/1118688104.db2.gz PDEGELAVCJDCDY-UHFFFAOYSA-N 1 2 257.324 3.609 20 0 CHADLO CC(C)([NH2+]Cc1cccc(-c2ccccn2)c1)C(F)F ZINC000684413995 1118688205 /nfs/dbraw/zinc/68/82/05/1118688205.db2.gz OXEODFPBUMBWCD-UHFFFAOYSA-N 1 2 276.330 3.882 20 0 CHADLO CC(C)n1cc2c(n1)[C@H]([NH2+][C@@H](C)c1ccns1)CCC2 ZINC000926184913 1118705672 /nfs/dbraw/zinc/70/56/72/1118705672.db2.gz UGXRKRVQWBUEJK-WCQYABFASA-N 1 2 290.436 3.649 20 0 CHADLO COc1cccc2c(N[C@@H](C)c3ccc(F)cn3)cc[nH+]c12 ZINC001167486310 1118712393 /nfs/dbraw/zinc/71/23/93/1118712393.db2.gz YMIWWEURLIDGNU-NSHDSACASA-N 1 2 297.333 3.951 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1nccn1C1CC1)C1CC1 ZINC000891591445 1118714738 /nfs/dbraw/zinc/71/47/38/1118714738.db2.gz CWFFMPDPTUJWEW-CQSZACIVSA-N 1 2 281.403 3.944 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1nccn1C1CC1)C1CC1 ZINC000891591445 1118714740 /nfs/dbraw/zinc/71/47/40/1118714740.db2.gz CWFFMPDPTUJWEW-CQSZACIVSA-N 1 2 281.403 3.944 20 0 CHADLO Cc1cc(C)c(CNc2cc(C)[nH+]c(C3CC3)n2)c(C)c1 ZINC000341494781 1118717176 /nfs/dbraw/zinc/71/71/76/1118717176.db2.gz YFCNIXNXGKEPSN-UHFFFAOYSA-N 1 2 281.403 3.622 20 0 CHADLO CCC(CC)[C@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000341500327 1118717236 /nfs/dbraw/zinc/71/72/36/1118717236.db2.gz ONGHSNPCTUZHBQ-ZDUSSCGKSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1nn(C)c(C[N@@H+]2CCC[C@H]2c2ccsc2)c1Cl ZINC000891617839 1118721925 /nfs/dbraw/zinc/72/19/25/1118721925.db2.gz KMLRLLNSNOMZNI-LBPRGKRZSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1nn(C)c(C[N@H+]2CCC[C@H]2c2ccsc2)c1Cl ZINC000891617839 1118721927 /nfs/dbraw/zinc/72/19/27/1118721927.db2.gz KMLRLLNSNOMZNI-LBPRGKRZSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)[C@@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000628132412 1118725854 /nfs/dbraw/zinc/72/58/54/1118725854.db2.gz FMDHLGNCPRIDLN-NSHDSACASA-N 1 2 297.324 3.930 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)[C@@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000628132412 1118725857 /nfs/dbraw/zinc/72/58/57/1118725857.db2.gz FMDHLGNCPRIDLN-NSHDSACASA-N 1 2 297.324 3.930 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2cnc(C)s2)cs1 ZINC000090409274 1118729307 /nfs/dbraw/zinc/72/93/07/1118729307.db2.gz KPUIGMRHAMSVCQ-BDAKNGLRSA-N 1 2 281.450 3.882 20 0 CHADLO CC[N@H+](Cc1nccn1C1CC1)[C@H](C)c1cccc(OC)c1 ZINC000891657167 1118733296 /nfs/dbraw/zinc/73/32/96/1118733296.db2.gz GFAZIJSKQLNPSR-CQSZACIVSA-N 1 2 299.418 3.810 20 0 CHADLO CC[N@@H+](Cc1nccn1C1CC1)[C@H](C)c1cccc(OC)c1 ZINC000891657167 1118733299 /nfs/dbraw/zinc/73/32/99/1118733299.db2.gz GFAZIJSKQLNPSR-CQSZACIVSA-N 1 2 299.418 3.810 20 0 CHADLO CCCCc1noc(C[N@H+](C)[C@@H]2CCCc3ccccc32)n1 ZINC000627655640 1128797271 /nfs/dbraw/zinc/79/72/71/1128797271.db2.gz ZRCGURSJYLVECW-MRXNPFEDSA-N 1 2 299.418 3.922 20 0 CHADLO CCCCc1noc(C[N@@H+](C)[C@@H]2CCCc3ccccc32)n1 ZINC000627655640 1128797274 /nfs/dbraw/zinc/79/72/74/1128797274.db2.gz ZRCGURSJYLVECW-MRXNPFEDSA-N 1 2 299.418 3.922 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)C/C=C\Cl ZINC000255384568 1118737215 /nfs/dbraw/zinc/73/72/15/1118737215.db2.gz WFKKVSDKWSXRSX-UTCJRWHESA-N 1 2 260.164 3.533 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)C/C=C\Cl ZINC000255384568 1118737219 /nfs/dbraw/zinc/73/72/19/1118737219.db2.gz WFKKVSDKWSXRSX-UTCJRWHESA-N 1 2 260.164 3.533 20 0 CHADLO Cc1cc(C[NH2+][C@@H](CC(F)(F)F)c2ccccc2)nn1C ZINC000341572670 1118743522 /nfs/dbraw/zinc/74/35/22/1118743522.db2.gz KIENWKFRBYTBNZ-AWEZNQCLSA-N 1 2 297.324 3.512 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccc(Cl)cc1 ZINC000068784525 1128798377 /nfs/dbraw/zinc/79/83/77/1128798377.db2.gz IDHYCEQDFKAMFD-SNVBAGLBSA-N 1 2 293.798 3.871 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CCC(C)(F)F ZINC000684509168 1118771273 /nfs/dbraw/zinc/77/12/73/1118771273.db2.gz XRGDRGKMGVOQKL-UHFFFAOYSA-N 1 2 293.317 3.759 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1C/C=C/c1ccncc1 ZINC000430867990 1118779916 /nfs/dbraw/zinc/77/99/16/1118779916.db2.gz MJAHFPCKDGOVCA-RTRPANQVSA-N 1 2 296.418 3.538 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1C/C=C/c1ccncc1 ZINC000430867990 1118779918 /nfs/dbraw/zinc/77/99/18/1118779918.db2.gz MJAHFPCKDGOVCA-RTRPANQVSA-N 1 2 296.418 3.538 20 0 CHADLO c1cn(-c2cccc(N[C@H]3CC[C@@H]3C3CCC3)c2)c[nH+]1 ZINC000510653557 1118783336 /nfs/dbraw/zinc/78/33/36/1118783336.db2.gz SFSIKHKKXQWTMW-SJORKVTESA-N 1 2 267.376 3.863 20 0 CHADLO CC(C)(C#N)CCCO[NH+]=C(N)Cc1ccccc1Cl ZINC000158123817 1118785141 /nfs/dbraw/zinc/78/51/41/1118785141.db2.gz UQXSZWPBWMOSAX-UHFFFAOYSA-N 1 2 293.798 3.501 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(OC)cc2C)cs1 ZINC000891814604 1118788974 /nfs/dbraw/zinc/78/89/74/1118788974.db2.gz NYOMIBXKZKKLAC-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(OC)cc2C)cs1 ZINC000891814604 1118788976 /nfs/dbraw/zinc/78/89/76/1118788976.db2.gz NYOMIBXKZKKLAC-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO Cc1cccc(C[N@@H+]2CC(C)(C)[C@H]2c2ccco2)n1 ZINC000639928733 1118809055 /nfs/dbraw/zinc/80/90/55/1118809055.db2.gz ZOFTZQIOCSQPQS-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cccc(C[N@H+]2CC(C)(C)[C@H]2c2ccco2)n1 ZINC000639928733 1118809059 /nfs/dbraw/zinc/80/90/59/1118809059.db2.gz ZOFTZQIOCSQPQS-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO CC1(Cc2nc(-c3ccccc3-n3cc[nH+]c3)no2)CCC1 ZINC001211527227 1118849010 /nfs/dbraw/zinc/84/90/10/1118849010.db2.gz BJULIKITDKLUHA-UHFFFAOYSA-N 1 2 294.358 3.655 20 0 CHADLO Cc1n[nH]cc1C[N@@H+](C)Cc1cc(Cl)ccc1Cl ZINC000639970240 1118852558 /nfs/dbraw/zinc/85/25/58/1118852558.db2.gz MBECWCRSKYMIRO-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1n[nH]cc1C[N@H+](C)Cc1cc(Cl)ccc1Cl ZINC000639970240 1118852564 /nfs/dbraw/zinc/85/25/64/1118852564.db2.gz MBECWCRSKYMIRO-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Clc1cncc(Cl)c1C[N@@H+]1CCCC12CC2 ZINC001137603531 1128810560 /nfs/dbraw/zinc/81/05/60/1128810560.db2.gz VBFZYQPRLCSNPU-UHFFFAOYSA-N 1 2 257.164 3.517 20 0 CHADLO Clc1cncc(Cl)c1C[N@H+]1CCCC12CC2 ZINC001137603531 1128810565 /nfs/dbraw/zinc/81/05/65/1128810565.db2.gz VBFZYQPRLCSNPU-UHFFFAOYSA-N 1 2 257.164 3.517 20 0 CHADLO CCCN(Cc1ccccc1)C(=O)Nc1cc(C)[nH+]cc1C ZINC000535772156 1118883510 /nfs/dbraw/zinc/88/35/10/1118883510.db2.gz UURWKTYHSXWBTD-UHFFFAOYSA-N 1 2 297.402 3.564 20 0 CHADLO Cc1cc(NCc2ccc([C@@H](C)O)cc2)nc(C2CCC2)[nH+]1 ZINC000891969221 1118909830 /nfs/dbraw/zinc/90/98/30/1118909830.db2.gz ISKSVJJYKSNHAZ-CYBMUJFWSA-N 1 2 297.402 3.718 20 0 CHADLO CCS[C@H](C)c1noc(C[N@@H+]2CCC[C@@](C)(CC)C2)n1 ZINC000151124162 1118921684 /nfs/dbraw/zinc/92/16/84/1118921684.db2.gz JPUZKLBUKWVVHV-IUODEOHRSA-N 1 2 297.468 3.896 20 0 CHADLO CCS[C@H](C)c1noc(C[N@H+]2CCC[C@@](C)(CC)C2)n1 ZINC000151124162 1118921685 /nfs/dbraw/zinc/92/16/85/1118921685.db2.gz JPUZKLBUKWVVHV-IUODEOHRSA-N 1 2 297.468 3.896 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2ccn(C3CCCC3)n2)s1 ZINC000090572891 1118946085 /nfs/dbraw/zinc/94/60/85/1118946085.db2.gz COOQSUGHENMSHH-NSHDSACASA-N 1 2 290.436 3.614 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cncs1)c1nc2ccccc2o1 ZINC000934195482 1118962060 /nfs/dbraw/zinc/96/20/60/1118962060.db2.gz OUBPOIWNGHJOKF-VHSXEESVSA-N 1 2 273.361 3.696 20 0 CHADLO FC(F)(F)Oc1cc(Cl)ccc1C[N@@H+]1C[C@@H]2C[C@@H]2C1 ZINC001143430009 1118963446 /nfs/dbraw/zinc/96/34/46/1118963446.db2.gz YLRZSACCMCWESU-AOOOYVTPSA-N 1 2 291.700 3.690 20 0 CHADLO FC(F)(F)Oc1cc(Cl)ccc1C[N@H+]1C[C@@H]2C[C@@H]2C1 ZINC001143430009 1118963448 /nfs/dbraw/zinc/96/34/48/1118963448.db2.gz YLRZSACCMCWESU-AOOOYVTPSA-N 1 2 291.700 3.690 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H](C)c1nccn1-c1ccccc1 ZINC000342277796 1118966163 /nfs/dbraw/zinc/96/61/63/1118966163.db2.gz LGHFDXVAKJYHRH-AWEZNQCLSA-N 1 2 281.359 3.625 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](C)c1nccn1-c1ccccc1 ZINC000342277797 1118966367 /nfs/dbraw/zinc/96/63/67/1118966367.db2.gz LGHFDXVAKJYHRH-CQSZACIVSA-N 1 2 281.359 3.625 20 0 CHADLO FC(F)C1CCC(Nc2cc(NCC3CC3)[nH+]cn2)CC1 ZINC000413432156 1118974708 /nfs/dbraw/zinc/97/47/08/1118974708.db2.gz BHYOICINYMMGQW-UHFFFAOYSA-N 1 2 296.365 3.534 20 0 CHADLO FC(F)C1CCC(Nc2cc(NCC3CC3)nc[nH+]2)CC1 ZINC000413432156 1118974709 /nfs/dbraw/zinc/97/47/09/1118974709.db2.gz BHYOICINYMMGQW-UHFFFAOYSA-N 1 2 296.365 3.534 20 0 CHADLO CCn1cc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)c(C)n1 ZINC000892121333 1118975788 /nfs/dbraw/zinc/97/57/88/1118975788.db2.gz QYUYSXFOGOZLOZ-INIZCTEOSA-N 1 2 289.810 3.812 20 0 CHADLO CCn1cc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)c(C)n1 ZINC000892121333 1118975790 /nfs/dbraw/zinc/97/57/90/1118975790.db2.gz QYUYSXFOGOZLOZ-INIZCTEOSA-N 1 2 289.810 3.812 20 0 CHADLO COc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1nccc(C)n1 ZINC000342330664 1118979671 /nfs/dbraw/zinc/97/96/71/1118979671.db2.gz WVRNMNTZOPHYCX-MRXNPFEDSA-N 1 2 299.418 3.671 20 0 CHADLO C[C@@H]1Oc2ccccc2[C@@H]1[NH2+]Cc1csc(C2CC2)n1 ZINC000342413046 1119010860 /nfs/dbraw/zinc/01/08/60/1119010860.db2.gz FNYSBSXSGCFJMU-ZUZCIYMTSA-N 1 2 286.400 3.632 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000294081024 1119030084 /nfs/dbraw/zinc/03/00/84/1119030084.db2.gz LMBVKAGAYGSZET-IPYPFGDCSA-N 1 2 285.391 3.557 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1ccc(F)c(F)c1F ZINC000657365231 1119030300 /nfs/dbraw/zinc/03/03/00/1119030300.db2.gz ACZULHBJBMTSMC-SSDOTTSWSA-N 1 2 284.281 3.560 20 0 CHADLO Cc1[nH]c(CN(C)Cc2cc(Cl)cc(Cl)c2)[nH+]c1C ZINC000628146332 1128817880 /nfs/dbraw/zinc/81/78/80/1128817880.db2.gz LUSYVBDUHNZYIY-UHFFFAOYSA-N 1 2 298.217 3.965 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3C3(CCC3)C2)oc1C ZINC000375688014 1119049870 /nfs/dbraw/zinc/04/98/70/1119049870.db2.gz HWFCDILKGHGETR-UHFFFAOYSA-N 1 2 282.387 3.729 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3C3(CCC3)C2)oc1C ZINC000375688014 1119049875 /nfs/dbraw/zinc/04/98/75/1119049875.db2.gz HWFCDILKGHGETR-UHFFFAOYSA-N 1 2 282.387 3.729 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@H](c2ccc(F)cc2F)C(C)(C)C)n1 ZINC000657393339 1119053345 /nfs/dbraw/zinc/05/33/45/1119053345.db2.gz AQRJGWKEPVSUJA-OAHLLOKOSA-N 1 2 293.361 3.873 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccccc2C(F)(F)F)no1 ZINC000044692278 1119058797 /nfs/dbraw/zinc/05/87/97/1119058797.db2.gz NQFMTKFGLYQHDO-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1ccsc1C(F)(F)F ZINC000628174869 1128821375 /nfs/dbraw/zinc/82/13/75/1128821375.db2.gz AMOSAAPEECKDIW-QMMMGPOBSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1ccsc1C(F)(F)F ZINC000628174869 1128821380 /nfs/dbraw/zinc/82/13/80/1128821380.db2.gz AMOSAAPEECKDIW-QMMMGPOBSA-N 1 2 281.368 3.704 20 0 CHADLO Cc1[nH]c(CNc2cc(C)cc(C(F)(F)F)c2)[nH+]c1C ZINC000657448397 1119102274 /nfs/dbraw/zinc/10/22/74/1119102274.db2.gz XNLBDEZVQNVDER-UHFFFAOYSA-N 1 2 283.297 3.966 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@H](CC(F)(F)F)c2ccccc2C)n1 ZINC000657450632 1119105505 /nfs/dbraw/zinc/10/55/05/1119105505.db2.gz XJVDHFMQDSCIEC-CYBMUJFWSA-N 1 2 297.324 3.810 20 0 CHADLO Cc1[nH]c(CN2CCCC[C@H]2c2ccc(F)cc2)[nH+]c1C ZINC000628185642 1128822331 /nfs/dbraw/zinc/82/23/31/1128822331.db2.gz FZBZCBWOWHWDNB-INIZCTEOSA-N 1 2 287.382 3.893 20 0 CHADLO Cc1[nH]c(CN2CCCC[C@@H]2c2ccc(F)cc2)[nH+]c1C ZINC000628185643 1128822641 /nfs/dbraw/zinc/82/26/41/1128822641.db2.gz FZBZCBWOWHWDNB-MRXNPFEDSA-N 1 2 287.382 3.893 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1noc(-c2ccsc2)n1 ZINC000159334448 1119117256 /nfs/dbraw/zinc/11/72/56/1119117256.db2.gz YAENYVNGBLINKX-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000684964589 1119145172 /nfs/dbraw/zinc/14/51/72/1119145172.db2.gz KPEYCSIHUJZBPI-QGZVFWFLSA-N 1 2 287.382 3.859 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000684964589 1119145175 /nfs/dbraw/zinc/14/51/75/1119145175.db2.gz KPEYCSIHUJZBPI-QGZVFWFLSA-N 1 2 287.382 3.859 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000684964588 1119145386 /nfs/dbraw/zinc/14/53/86/1119145386.db2.gz KPEYCSIHUJZBPI-KRWDZBQOSA-N 1 2 287.382 3.859 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000684964588 1119145388 /nfs/dbraw/zinc/14/53/88/1119145388.db2.gz KPEYCSIHUJZBPI-KRWDZBQOSA-N 1 2 287.382 3.859 20 0 CHADLO Cc1cn2cc(NC(=O)Cc3sc(C)cc3C)ccc2[nH+]1 ZINC001125613950 1119148025 /nfs/dbraw/zinc/14/80/25/1119148025.db2.gz PGYMXEUEUWGGSE-UHFFFAOYSA-N 1 2 299.399 3.502 20 0 CHADLO C[N@H+](Cc1cnc(C2CC2)o1)Cc1ccc(Cl)c(F)c1 ZINC000628187766 1128825434 /nfs/dbraw/zinc/82/54/34/1128825434.db2.gz ZBELISMOHLJZKK-UHFFFAOYSA-N 1 2 294.757 3.977 20 0 CHADLO C[N@@H+](Cc1cnc(C2CC2)o1)Cc1ccc(Cl)c(F)c1 ZINC000628187766 1128825441 /nfs/dbraw/zinc/82/54/41/1128825441.db2.gz ZBELISMOHLJZKK-UHFFFAOYSA-N 1 2 294.757 3.977 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C[C@]1(C)O ZINC001140584840 1119161914 /nfs/dbraw/zinc/16/19/14/1119161914.db2.gz GLOLXGBJNJNQNI-PELKAZGASA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C[C@]1(C)O ZINC001140584840 1119161917 /nfs/dbraw/zinc/16/19/17/1119161917.db2.gz GLOLXGBJNJNQNI-PELKAZGASA-N 1 2 294.247 3.648 20 0 CHADLO COc1cccc(F)c1CNc1[nH+]cccc1-n1cccc1 ZINC001167606196 1119172000 /nfs/dbraw/zinc/17/20/00/1119172000.db2.gz FGZMPHXICUSHKU-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2ncc(C)n2C)o1 ZINC000342795611 1119173261 /nfs/dbraw/zinc/17/32/61/1119173261.db2.gz XTVFGXZLNIMAOL-IUODEOHRSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2ncc(C)n2C)o1 ZINC000342795611 1119173263 /nfs/dbraw/zinc/17/32/63/1119173263.db2.gz XTVFGXZLNIMAOL-IUODEOHRSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CCN2Cc2[nH+]cc(C)n2C)o1 ZINC000342795611 1119173266 /nfs/dbraw/zinc/17/32/66/1119173266.db2.gz XTVFGXZLNIMAOL-IUODEOHRSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1nc(C(C)C)sc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000075936797 1119180823 /nfs/dbraw/zinc/18/08/23/1119180823.db2.gz UJPOMJUWDWUVKQ-UHFFFAOYSA-N 1 2 275.377 3.531 20 0 CHADLO CC[C@]1(C)CCC[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000075999337 1119181782 /nfs/dbraw/zinc/18/17/82/1119181782.db2.gz VAOVXGGHFWVWFJ-GOSISDBHSA-N 1 2 299.418 3.673 20 0 CHADLO CC[C@]1(C)CCC[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000075999337 1119181783 /nfs/dbraw/zinc/18/17/83/1119181783.db2.gz VAOVXGGHFWVWFJ-GOSISDBHSA-N 1 2 299.418 3.673 20 0 CHADLO Cc1cncc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213085356 1119185622 /nfs/dbraw/zinc/18/56/22/1119185622.db2.gz DGFQZRGXHHHGKD-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO c1cn(-c2cccc(Oc3ncnc4sccc43)c2)c[nH+]1 ZINC000077982011 1119196739 /nfs/dbraw/zinc/19/67/39/1119196739.db2.gz RKBYNTSAOSRFAW-UHFFFAOYSA-N 1 2 294.339 3.669 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C(C)(C)C)s1)c1cscn1 ZINC000657599432 1119204524 /nfs/dbraw/zinc/20/45/24/1119204524.db2.gz FKNJBZSAZSAFTQ-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO Cc1cc(C)c(C)c(NCc2cc[nH+]c(N)c2)c1C ZINC001167616926 1119215105 /nfs/dbraw/zinc/21/51/05/1119215105.db2.gz CNOUJRUKBCVKGX-UHFFFAOYSA-N 1 2 255.365 3.510 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnc(C2CC2)o1)c1nc(C)cs1 ZINC000628213991 1128829601 /nfs/dbraw/zinc/82/96/01/1128829601.db2.gz GSCAESXBPKGIKS-GFCCVEGCSA-N 1 2 277.393 3.558 20 0 CHADLO COc1cc(Cl)c(Cl)cc1NCc1cc[nH+]c(N)c1 ZINC001167618519 1119217989 /nfs/dbraw/zinc/21/79/89/1119217989.db2.gz JOHZCXLNLPMJKY-UHFFFAOYSA-N 1 2 298.173 3.591 20 0 CHADLO Cc1cc(-c2ccccc2)ncc1NCc1cc[nH+]c(N)c1 ZINC001167618181 1119218903 /nfs/dbraw/zinc/21/89/03/1119218903.db2.gz FMUHDNUXBZHYSF-UHFFFAOYSA-N 1 2 290.370 3.646 20 0 CHADLO C[C@H]1CO[C@@H](c2ccccc2)C[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628162153 1119221871 /nfs/dbraw/zinc/22/18/71/1119221871.db2.gz GDXAUSLHZKGXHR-SUMWQHHRSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@H]1CO[C@@H](c2ccccc2)C[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628162153 1119221872 /nfs/dbraw/zinc/22/18/72/1119221872.db2.gz GDXAUSLHZKGXHR-SUMWQHHRSA-N 1 2 298.386 3.514 20 0 CHADLO CCc1nc2c(s1)[C@H]([NH2+][C@H]1CCCC1(F)F)CCC2 ZINC000672620317 1119253352 /nfs/dbraw/zinc/25/33/52/1119253352.db2.gz LEHLRBCHLRBSFW-KOLCDFICSA-N 1 2 286.391 3.860 20 0 CHADLO C[C@@H]([NH2+][C@@H](CC(F)(F)F)c1ccccc1)c1ncc[nH]1 ZINC000138989513 1119254503 /nfs/dbraw/zinc/25/45/03/1119254503.db2.gz MOVZHXXDQVEOSY-PWSUYJOCSA-N 1 2 283.297 3.754 20 0 CHADLO FC(F)SCc1ccc(CNc2cccc[nH+]2)o1 ZINC000121573160 1119265490 /nfs/dbraw/zinc/26/54/90/1119265490.db2.gz WEEVUBDYRWMHCT-UHFFFAOYSA-N 1 2 270.304 3.743 20 0 CHADLO COc1ncc(Nc2c(C)cc[nH+]c2C(C)C)cc1F ZINC001203408117 1119266805 /nfs/dbraw/zinc/26/68/05/1119266805.db2.gz ZLCXMVIWUACSRM-UHFFFAOYSA-N 1 2 275.327 3.800 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](C)c2cccc3ccccc32)n1 ZINC000079287772 1119276714 /nfs/dbraw/zinc/27/67/14/1119276714.db2.gz ZNGRESWOCDBVKC-RYUDHWBXSA-N 1 2 281.359 3.943 20 0 CHADLO Cc1nc2c(s1)[C@H]([NH2+][C@@H]1CCCC1(F)F)CCC2 ZINC000672622842 1119302192 /nfs/dbraw/zinc/30/21/92/1119302192.db2.gz VLKHOEBQFGTWHL-GHMZBOCLSA-N 1 2 272.364 3.606 20 0 CHADLO Cc1cnn(CC2CC2)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213087511 1119310786 /nfs/dbraw/zinc/31/07/86/1119310786.db2.gz QPQQHPIFYNJOJM-UHFFFAOYSA-N 1 2 293.374 3.735 20 0 CHADLO Cc1ccc([C@H]([NH2+][C@H]2CCCc3[nH]ncc32)C2CC2)cc1 ZINC000353446786 1128837596 /nfs/dbraw/zinc/83/75/96/1128837596.db2.gz KVZRKMHOSLCZJY-WMZOPIPTSA-N 1 2 281.403 3.836 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1nc2ccccc2s1 ZINC000092332052 1119327742 /nfs/dbraw/zinc/32/77/42/1119327742.db2.gz SIBHSFSLCRFHML-SECBINFHSA-N 1 2 287.388 3.752 20 0 CHADLO COc1ccc2cc(-c3c[nH+]c(N)c(C)c3)ccc2c1 ZINC000129754746 1119334347 /nfs/dbraw/zinc/33/43/47/1119334347.db2.gz KGFXWGSKPXPPOA-UHFFFAOYSA-N 1 2 264.328 3.801 20 0 CHADLO c1csc(C([NH2+]Cc2ccon2)c2cccs2)c1 ZINC000092695949 1119346441 /nfs/dbraw/zinc/34/64/41/1119346441.db2.gz FCURGEAZKBCPMW-UHFFFAOYSA-N 1 2 276.386 3.677 20 0 CHADLO FC(F)COCCNc1cc[nH+]c2c(Cl)cccc12 ZINC000343206859 1119350935 /nfs/dbraw/zinc/35/09/35/1119350935.db2.gz OMFYDJGNQNEIKH-UHFFFAOYSA-N 1 2 286.709 3.582 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)cn2)[C@H]1c1ccccc1 ZINC000563736639 1119388500 /nfs/dbraw/zinc/38/85/00/1119388500.db2.gz JSWHTWBTYWJFOV-MLGOLLRUSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)cn2)[C@H]1c1ccccc1 ZINC000563736639 1119388505 /nfs/dbraw/zinc/38/85/05/1119388505.db2.gz JSWHTWBTYWJFOV-MLGOLLRUSA-N 1 2 272.779 3.928 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C)on1)c1ccc(Cl)s1 ZINC000268800988 1119392821 /nfs/dbraw/zinc/39/28/21/1119392821.db2.gz AXVPWGMGDVDIPI-JTQLQIEISA-N 1 2 270.785 3.939 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1nccs1)C1CC1 ZINC000349915425 1119393179 /nfs/dbraw/zinc/39/31/79/1119393179.db2.gz OGGQDCRYLMWFCK-LBPRGKRZSA-N 1 2 258.390 3.869 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1nccs1)C1CC1 ZINC000349915425 1119393181 /nfs/dbraw/zinc/39/31/81/1119393181.db2.gz OGGQDCRYLMWFCK-LBPRGKRZSA-N 1 2 258.390 3.869 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC2CCCCC2)c(C)[nH+]1 ZINC000408408101 1119395055 /nfs/dbraw/zinc/39/50/55/1119395055.db2.gz NXBLXNDTWLTVLL-UHFFFAOYSA-N 1 2 275.396 3.709 20 0 CHADLO Cc1ccc(CNc2[nH+]c3cc(Cl)ccc3n2C)nc1 ZINC000167763027 1119441828 /nfs/dbraw/zinc/44/18/28/1119441828.db2.gz HVIGUZWHVDZPOK-UHFFFAOYSA-N 1 2 286.766 3.542 20 0 CHADLO Clc1ccccc1C1([NH2+]Cc2cnc(C3CC3)o2)CC1 ZINC000628321595 1128847125 /nfs/dbraw/zinc/84/71/25/1128847125.db2.gz QKZQTCZJXANSHM-UHFFFAOYSA-N 1 2 288.778 3.984 20 0 CHADLO CC1C[NH+](Cc2ccc(Cl)cc2Br)C1 ZINC000555341779 1119492705 /nfs/dbraw/zinc/49/27/05/1119492705.db2.gz NGVXEJUASDFRFS-UHFFFAOYSA-N 1 2 274.589 3.554 20 0 CHADLO CC[C@@H]1CCCN(c2cc(C)[nH+]c(C3CC3)n2)CC1 ZINC000343718090 1119494259 /nfs/dbraw/zinc/49/42/59/1119494259.db2.gz AFKOYNVIVSPOPW-CYBMUJFWSA-N 1 2 259.397 3.679 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nccs2)C2CC2)cc1C ZINC000135095677 1119494278 /nfs/dbraw/zinc/49/42/78/1119494278.db2.gz KAOLAXDYINPOSZ-UHFFFAOYSA-N 1 2 272.417 3.925 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nccs2)C2CC2)cc1C ZINC000135095677 1119494280 /nfs/dbraw/zinc/49/42/80/1119494280.db2.gz KAOLAXDYINPOSZ-UHFFFAOYSA-N 1 2 272.417 3.925 20 0 CHADLO CCOc1cc(Nc2ccc3nc(C)oc3c2)cc(C)[nH+]1 ZINC001213064691 1119509728 /nfs/dbraw/zinc/50/97/28/1119509728.db2.gz BAYVDMBCZGVWJG-UHFFFAOYSA-N 1 2 283.331 3.982 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1nc(C2CC2)cs1 ZINC000343775923 1119521117 /nfs/dbraw/zinc/52/11/17/1119521117.db2.gz WXEVEUMJOFZASC-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1nc(C2CC2)cs1 ZINC000343775923 1119521121 /nfs/dbraw/zinc/52/11/21/1119521121.db2.gz WXEVEUMJOFZASC-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1nn(C)cc1[C@H](C)[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000172682541 1119525718 /nfs/dbraw/zinc/52/57/18/1119525718.db2.gz CYYGOHUUGJZGOP-UGSOOPFHSA-N 1 2 295.386 3.762 20 0 CHADLO CCOC1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC1 ZINC000090072757 1119543777 /nfs/dbraw/zinc/54/37/77/1119543777.db2.gz GTVFKLWSCFDTMP-UHFFFAOYSA-N 1 2 291.439 3.729 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)cs1)c1cccnc1Cl ZINC000090459275 1119544738 /nfs/dbraw/zinc/54/47/38/1119544738.db2.gz ULUZXCWHBFMWEH-VIFPVBQESA-N 1 2 293.823 3.920 20 0 CHADLO CC(C)c1cc(NC[C@@H]2CCC[C@H](O)C2)nc(C(C)C)[nH+]1 ZINC000090094928 1119545786 /nfs/dbraw/zinc/54/57/86/1119545786.db2.gz BYGNTFADCKAXJE-KGLIPLIRSA-N 1 2 291.439 3.686 20 0 CHADLO CC(C)c1cc(N[C@H](C)C2CCOCC2)nc(C(C)C)[nH+]1 ZINC000090112231 1119545945 /nfs/dbraw/zinc/54/59/45/1119545945.db2.gz WTPFCFGDQKDANW-CYBMUJFWSA-N 1 2 291.439 3.950 20 0 CHADLO Cc1cnc(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)o1 ZINC000090101810 1119545999 /nfs/dbraw/zinc/54/59/99/1119545999.db2.gz SUXLBQOMXLMHTG-UHFFFAOYSA-N 1 2 274.368 3.632 20 0 CHADLO C[C@H]([NH2+]Cc1ncccc1F)c1ccc2ccccc2n1 ZINC000090720865 1119549019 /nfs/dbraw/zinc/54/90/19/1119549019.db2.gz WSDLEVQRVKGBDA-LBPRGKRZSA-N 1 2 281.334 3.620 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccc1F)c1cc2ccccc2o1 ZINC000090721319 1119549094 /nfs/dbraw/zinc/54/90/94/1119549094.db2.gz KWJDXTFBHCDDOX-LLVKDONJSA-N 1 2 270.307 3.818 20 0 CHADLO Cc1noc(C[NH2+][C@@H](c2ccc(F)cc2)C2CCCC2)n1 ZINC000091321434 1119554426 /nfs/dbraw/zinc/55/44/26/1119554426.db2.gz ZQRIUIFUUMTIBG-MRXNPFEDSA-N 1 2 289.354 3.538 20 0 CHADLO CCc1ncc(C[N@H+](C)Cc2cc(F)cc(F)c2)s1 ZINC000093324249 1119568697 /nfs/dbraw/zinc/56/86/97/1119568697.db2.gz OOMNTLAGEVORPT-UHFFFAOYSA-N 1 2 282.359 3.616 20 0 CHADLO CCc1ncc(C[N@@H+](C)Cc2cc(F)cc(F)c2)s1 ZINC000093324249 1119568701 /nfs/dbraw/zinc/56/87/01/1119568701.db2.gz OOMNTLAGEVORPT-UHFFFAOYSA-N 1 2 282.359 3.616 20 0 CHADLO FC(F)C[N@H+](C[C@H]1C[C@@H]1c1ccccc1)C1CC1 ZINC000093276600 1119570741 /nfs/dbraw/zinc/57/07/41/1119570741.db2.gz VOMAAKLPTMRMCN-TZMCWYRMSA-N 1 2 251.320 3.520 20 0 CHADLO FC(F)C[N@@H+](C[C@H]1C[C@@H]1c1ccccc1)C1CC1 ZINC000093276600 1119570744 /nfs/dbraw/zinc/57/07/44/1119570744.db2.gz VOMAAKLPTMRMCN-TZMCWYRMSA-N 1 2 251.320 3.520 20 0 CHADLO CCn1cc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000093686014 1119571666 /nfs/dbraw/zinc/57/16/66/1119571666.db2.gz WCZGIDAHPJNBBF-OAHLLOKOSA-N 1 2 275.783 3.503 20 0 CHADLO CCn1cc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000093686014 1119571668 /nfs/dbraw/zinc/57/16/68/1119571668.db2.gz WCZGIDAHPJNBBF-OAHLLOKOSA-N 1 2 275.783 3.503 20 0 CHADLO COc1cc(C)c(C)cc1C[N@@H+]1CCn2cccc2[C@H]1C ZINC001238768560 1131243282 /nfs/dbraw/zinc/24/32/82/1131243282.db2.gz XURSKWCVYKFZFZ-OAHLLOKOSA-N 1 2 284.403 3.690 20 0 CHADLO COc1cc(C)c(C)cc1C[N@H+]1CCn2cccc2[C@H]1C ZINC001238768560 1131243283 /nfs/dbraw/zinc/24/32/83/1131243283.db2.gz XURSKWCVYKFZFZ-OAHLLOKOSA-N 1 2 284.403 3.690 20 0 CHADLO C[C@@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1ccccc1Cl ZINC000379027846 1119593332 /nfs/dbraw/zinc/59/33/32/1119593332.db2.gz VLLUPFXTVHJVDI-MISXGVKJSA-N 1 2 275.783 3.893 20 0 CHADLO Cc1cc(C[N@H+](CC(F)F)CC2CCC2)cc(C)c1O ZINC000685420381 1119604948 /nfs/dbraw/zinc/60/49/48/1119604948.db2.gz WPUDYEBASCUECH-UHFFFAOYSA-N 1 2 283.362 3.876 20 0 CHADLO Cc1cc(C[N@@H+](CC(F)F)CC2CCC2)cc(C)c1O ZINC000685420381 1119604951 /nfs/dbraw/zinc/60/49/51/1119604951.db2.gz WPUDYEBASCUECH-UHFFFAOYSA-N 1 2 283.362 3.876 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC[C@@H]2C2CC2)cc(C(F)(F)F)c1 ZINC001143458087 1119615467 /nfs/dbraw/zinc/61/54/67/1119615467.db2.gz MSFVTTUCTOAWLF-OAHLLOKOSA-N 1 2 299.336 3.625 20 0 CHADLO Cc1cc(C[N@H+]2CCOC[C@@H]2C2CC2)cc(C(F)(F)F)c1 ZINC001143458087 1119615468 /nfs/dbraw/zinc/61/54/68/1119615468.db2.gz MSFVTTUCTOAWLF-OAHLLOKOSA-N 1 2 299.336 3.625 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1ccccc1Cl ZINC000294230465 1119622503 /nfs/dbraw/zinc/62/25/03/1119622503.db2.gz VLLUPFXTVHJVDI-COPLHBTASA-N 1 2 275.783 3.893 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3ccc(F)cc3)CCC2)no1 ZINC000685651998 1119645051 /nfs/dbraw/zinc/64/50/51/1119645051.db2.gz KMIHHDLWPKJXKB-LBPRGKRZSA-N 1 2 274.339 3.852 20 0 CHADLO CN(c1ccccc1)C1CC[NH+](C/C(Cl)=C\Cl)CC1 ZINC000255740991 1119736896 /nfs/dbraw/zinc/73/68/96/1119736896.db2.gz MTUHSMADUMGNDZ-ACCUITESSA-N 1 2 299.245 3.906 20 0 CHADLO FC(F)(F)c1nc(C[NH2+][C@@H]2CCc3ccccc32)cs1 ZINC000892473992 1119755093 /nfs/dbraw/zinc/75/50/93/1119755093.db2.gz ZCKNOXHIGGHFBB-GFCCVEGCSA-N 1 2 298.333 3.939 20 0 CHADLO Cc1ccccc1[C@H]([NH2+][C@@H]1CCCc2[nH]ncc21)C1CC1 ZINC000375806997 1119758131 /nfs/dbraw/zinc/75/81/31/1119758131.db2.gz QDVPCVOITHYHTN-SJLPKXTDSA-N 1 2 281.403 3.836 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)c(Cl)c1)c1cnn(C)n1 ZINC000924544056 1119787385 /nfs/dbraw/zinc/78/73/85/1119787385.db2.gz XFUABCKIUWXJQC-RKDXNWHRSA-N 1 2 299.205 3.534 20 0 CHADLO C=CC[N@H+](Cc1ccccc1)Cn1ccc(C(F)(F)F)n1 ZINC000065941305 1119791431 /nfs/dbraw/zinc/79/14/31/1119791431.db2.gz YLCKHACHMAXEAT-UHFFFAOYSA-N 1 2 295.308 3.548 20 0 CHADLO C=CC[N@@H+](Cc1ccccc1)Cn1ccc(C(F)(F)F)n1 ZINC000065941305 1119791433 /nfs/dbraw/zinc/79/14/33/1119791433.db2.gz YLCKHACHMAXEAT-UHFFFAOYSA-N 1 2 295.308 3.548 20 0 CHADLO CCc1noc(C[N@H+](Cc2ccc(F)cc2)C(C)(C)C)n1 ZINC000132856241 1119791986 /nfs/dbraw/zinc/79/19/86/1119791986.db2.gz SLNCDAKASNVDHK-UHFFFAOYSA-N 1 2 291.370 3.572 20 0 CHADLO CCc1noc(C[N@@H+](Cc2ccc(F)cc2)C(C)(C)C)n1 ZINC000132856241 1119791990 /nfs/dbraw/zinc/79/19/90/1119791990.db2.gz SLNCDAKASNVDHK-UHFFFAOYSA-N 1 2 291.370 3.572 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)cn2)CC2(CCCC2)O1 ZINC000570031912 1119792654 /nfs/dbraw/zinc/79/26/54/1119792654.db2.gz GLCSILFOZSQQJE-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)cn2)CC2(CCCC2)O1 ZINC000570031912 1119792658 /nfs/dbraw/zinc/79/26/58/1119792658.db2.gz GLCSILFOZSQQJE-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1ccc(Cl)nc1 ZINC000780386072 1119795045 /nfs/dbraw/zinc/79/50/45/1119795045.db2.gz UFELFEYQJSEDCX-MWLCHTKSSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1ccc(Cl)nc1 ZINC000780386072 1119795044 /nfs/dbraw/zinc/79/50/44/1119795044.db2.gz UFELFEYQJSEDCX-MWLCHTKSSA-N 1 2 292.732 3.898 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000628290603 1119798358 /nfs/dbraw/zinc/79/83/58/1119798358.db2.gz MITNWMLZYRWQIT-UHFFFAOYSA-N 1 2 286.350 3.858 20 0 CHADLO Cc1[nH]c(CN2CCCSc3ccccc32)[nH+]c1C ZINC000628283609 1119804033 /nfs/dbraw/zinc/80/40/33/1119804033.db2.gz CLRRJOXGEKWDGR-UHFFFAOYSA-N 1 2 273.405 3.529 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+]Cc2ccccc2CO)cs1 ZINC000545278135 1119842782 /nfs/dbraw/zinc/84/27/82/1119842782.db2.gz HHBIEINTTLYARQ-LBPRGKRZSA-N 1 2 290.432 3.610 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2[nH]ncc2C)o1 ZINC000685839569 1119859297 /nfs/dbraw/zinc/85/92/97/1119859297.db2.gz KPSTXDOMYJTLCD-MLGOLLRUSA-N 1 2 287.407 3.847 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2[nH]ncc2C)o1 ZINC000685839569 1119859302 /nfs/dbraw/zinc/85/93/02/1119859302.db2.gz KPSTXDOMYJTLCD-MLGOLLRUSA-N 1 2 287.407 3.847 20 0 CHADLO Cc1cccc(Nc2ccc3c(c2)CCCC3=O)[nH+]1 ZINC001213100275 1119865219 /nfs/dbraw/zinc/86/52/19/1119865219.db2.gz NBVCXVSEDCBCEC-UHFFFAOYSA-N 1 2 252.317 3.653 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOCC2)cc1C)[C@@H]1C[C@@H]1C ZINC000414109727 1119902719 /nfs/dbraw/zinc/90/27/19/1119902719.db2.gz VEVBGFPOMINJEX-XKQJLSEDSA-N 1 2 288.435 3.678 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1ccn(C)n1)c1ccc(F)cc1F ZINC000414117951 1119905857 /nfs/dbraw/zinc/90/58/57/1119905857.db2.gz TXYSNPGJTLWJBZ-ZBEGNZNMSA-N 1 2 293.361 3.890 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000613407705 1119917777 /nfs/dbraw/zinc/91/77/77/1119917777.db2.gz IVEOQABJPPVGLC-KBXCAEBGSA-N 1 2 285.362 3.960 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000613407705 1119917778 /nfs/dbraw/zinc/91/77/78/1119917778.db2.gz IVEOQABJPPVGLC-KBXCAEBGSA-N 1 2 285.362 3.960 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@H]2[NH2+][C@H](C)c1csnn1 ZINC000414290661 1119969546 /nfs/dbraw/zinc/96/95/46/1119969546.db2.gz AHKWVCBLVIJSHS-NQBHXWOUSA-N 1 2 273.405 3.746 20 0 CHADLO CC[N@H+](Cc1cscn1)[C@@H](C)c1ccc(F)cc1 ZINC000102949708 1119992082 /nfs/dbraw/zinc/99/20/82/1119992082.db2.gz OEYQFUXBEJCCJS-NSHDSACASA-N 1 2 264.369 3.865 20 0 CHADLO CC[N@@H+](Cc1cscn1)[C@@H](C)c1ccc(F)cc1 ZINC000102949708 1119992083 /nfs/dbraw/zinc/99/20/83/1119992083.db2.gz OEYQFUXBEJCCJS-NSHDSACASA-N 1 2 264.369 3.865 20 0 CHADLO CC(C)O[C@H]1C[C@@H](Nc2ccc([NH+](C)C)cc2)C1(C)C ZINC000765808484 1120043326 /nfs/dbraw/zinc/04/33/26/1120043326.db2.gz IDYMTPHDVPMMCK-CVEARBPZSA-N 1 2 276.424 3.757 20 0 CHADLO CCCCOCC[NH2+][C@@H](C)c1nc(C2CCCCC2)no1 ZINC000348548926 1120048020 /nfs/dbraw/zinc/04/80/20/1120048020.db2.gz HMCOVCMVJVQNAG-ZDUSSCGKSA-N 1 2 295.427 3.585 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@H](c2cccnc2)C2CCC2)n1 ZINC000903313719 1120081488 /nfs/dbraw/zinc/08/14/88/1120081488.db2.gz XNXSVUUTLMKDFQ-KRWDZBQOSA-N 1 2 299.418 3.998 20 0 CHADLO CC(C)c1cccc(Nc2ccc3c(c2)CCC(=O)N3C)[nH+]1 ZINC001213127641 1120088038 /nfs/dbraw/zinc/08/80/38/1120088038.db2.gz ZXQIBCXUQCHBRJ-UHFFFAOYSA-N 1 2 295.386 3.858 20 0 CHADLO Cc1cc(F)ccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001239737829 1120091644 /nfs/dbraw/zinc/09/16/44/1120091644.db2.gz GLVJGTBVWZZAEM-UHFFFAOYSA-N 1 2 252.292 3.987 20 0 CHADLO CCC[C@@H]1CCCC[N@@H+]1Cc1noc(C(C)(C)C)n1 ZINC000179520478 1120093087 /nfs/dbraw/zinc/09/30/87/1120093087.db2.gz UEITVQSLEAYSHK-GFCCVEGCSA-N 1 2 265.401 3.522 20 0 CHADLO CCC[C@@H]1CCCC[N@H+]1Cc1noc(C(C)(C)C)n1 ZINC000179520478 1120093092 /nfs/dbraw/zinc/09/30/92/1120093092.db2.gz UEITVQSLEAYSHK-GFCCVEGCSA-N 1 2 265.401 3.522 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)o1 ZINC000179528552 1120094001 /nfs/dbraw/zinc/09/40/01/1120094001.db2.gz GQLDWOACQZOFJC-ZDUSSCGKSA-N 1 2 274.364 3.621 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000181607027 1120104994 /nfs/dbraw/zinc/10/49/94/1120104994.db2.gz SXCVUOIBLSMQKH-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1ccco1 ZINC000169280627 1120106174 /nfs/dbraw/zinc/10/61/74/1120106174.db2.gz DXEWGWPVJLYFPR-JTQLQIEISA-N 1 2 265.740 3.792 20 0 CHADLO CC[N@H+](Cc1cn(C(C)C)nn1)[C@H](C)c1ccc(F)cc1 ZINC000347658301 1120115085 /nfs/dbraw/zinc/11/50/85/1120115085.db2.gz JLSSRMIXJBVCBP-CYBMUJFWSA-N 1 2 290.386 3.581 20 0 CHADLO CC[N@@H+](Cc1cn(C(C)C)nn1)[C@H](C)c1ccc(F)cc1 ZINC000347658301 1120115087 /nfs/dbraw/zinc/11/50/87/1120115087.db2.gz JLSSRMIXJBVCBP-CYBMUJFWSA-N 1 2 290.386 3.581 20 0 CHADLO C[N@H+](Cc1nnc(C(F)(F)F)s1)C1CCCCCC1 ZINC000659815318 1120115806 /nfs/dbraw/zinc/11/58/06/1120115806.db2.gz DDCWLFJSPRBGCA-UHFFFAOYSA-N 1 2 293.358 3.712 20 0 CHADLO C[N@@H+](Cc1nnc(C(F)(F)F)s1)C1CCCCCC1 ZINC000659815318 1120115811 /nfs/dbraw/zinc/11/58/11/1120115811.db2.gz DDCWLFJSPRBGCA-UHFFFAOYSA-N 1 2 293.358 3.712 20 0 CHADLO COc1ccc2cc(C[N@H+](C)Cc3coc(C)n3)ccc2c1 ZINC000659820091 1120118009 /nfs/dbraw/zinc/11/80/09/1120118009.db2.gz CKZPNIBBIIFYLN-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1ccc2cc(C[N@@H+](C)Cc3coc(C)n3)ccc2c1 ZINC000659820091 1120118012 /nfs/dbraw/zinc/11/80/12/1120118012.db2.gz CKZPNIBBIIFYLN-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO CCCc1nc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2)no1 ZINC000353200642 1120118348 /nfs/dbraw/zinc/11/83/48/1120118348.db2.gz JYBBTRAPFNKVNJ-DZGCQCFKSA-N 1 2 285.391 3.605 20 0 CHADLO CCCc1nc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2)no1 ZINC000353200642 1120118353 /nfs/dbraw/zinc/11/83/53/1120118353.db2.gz JYBBTRAPFNKVNJ-DZGCQCFKSA-N 1 2 285.391 3.605 20 0 CHADLO c1cc2c(s1)CC[N@@H+](Cc1nsc3ccccc31)C2 ZINC000659824948 1120119997 /nfs/dbraw/zinc/11/99/97/1120119997.db2.gz JRHJUBYWXYTBEE-UHFFFAOYSA-N 1 2 286.425 3.916 20 0 CHADLO c1cc2c(s1)CC[N@H+](Cc1nsc3ccccc31)C2 ZINC000659824948 1120120001 /nfs/dbraw/zinc/12/00/01/1120120001.db2.gz JRHJUBYWXYTBEE-UHFFFAOYSA-N 1 2 286.425 3.916 20 0 CHADLO COCOc1ccc(-c2ccc3[nH+]ccn3c2)cc1Cl ZINC001205028948 1120122933 /nfs/dbraw/zinc/12/29/33/1120122933.db2.gz ASPPCCGRELNCMK-UHFFFAOYSA-N 1 2 288.734 3.637 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1nc(CCC(C)C)no1 ZINC000181538476 1120147331 /nfs/dbraw/zinc/14/73/31/1120147331.db2.gz YZVWRPDWSXIQIW-CQSZACIVSA-N 1 2 287.407 3.817 20 0 CHADLO Cc1cc(NC[C@H](C)c2ccncc2)nc(C2CCC2)[nH+]1 ZINC000892836928 1120148671 /nfs/dbraw/zinc/14/86/71/1120148671.db2.gz PTXLNQADVMNION-LBPRGKRZSA-N 1 2 282.391 3.663 20 0 CHADLO CC[N@H+](Cc1nc(C)oc1C)Cc1cccc(Cl)c1 ZINC000659842887 1120165200 /nfs/dbraw/zinc/16/52/00/1120165200.db2.gz HBKMWCUHYVSYAV-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO CC[N@@H+](Cc1nc(C)oc1C)Cc1cccc(Cl)c1 ZINC000659842887 1120165203 /nfs/dbraw/zinc/16/52/03/1120165203.db2.gz HBKMWCUHYVSYAV-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO CC[N@H+](C)[C@@H](c1nc(C2(C)CCCC2)no1)c1ccccc1 ZINC000624759079 1120167741 /nfs/dbraw/zinc/16/77/41/1120167741.db2.gz KCXGQQNXOYDUOA-OAHLLOKOSA-N 1 2 299.418 3.942 20 0 CHADLO CC[N@@H+](C)[C@@H](c1nc(C2(C)CCCC2)no1)c1ccccc1 ZINC000624759079 1120167744 /nfs/dbraw/zinc/16/77/44/1120167744.db2.gz KCXGQQNXOYDUOA-OAHLLOKOSA-N 1 2 299.418 3.942 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cnc(C)s2)c1Cl ZINC000659845012 1120175805 /nfs/dbraw/zinc/17/58/05/1120175805.db2.gz GFEBHCDWGMPUMU-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cnc(C)s2)c1Cl ZINC000659845012 1120175806 /nfs/dbraw/zinc/17/58/06/1120175806.db2.gz GFEBHCDWGMPUMU-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO CC[C@@H](NC(=O)CCCn1cc[nH+]c1)c1cc(C)ccc1C ZINC000629110732 1128896257 /nfs/dbraw/zinc/89/62/57/1128896257.db2.gz YXEJUSZIUPUJTG-QGZVFWFLSA-N 1 2 299.418 3.548 20 0 CHADLO CC(C)Oc1cc(N[C@H]2CCCc3cccnc32)cc[nH+]1 ZINC001168655967 1120177850 /nfs/dbraw/zinc/17/78/50/1120177850.db2.gz SZOONNASHPPZCZ-HNNXBMFYSA-N 1 2 283.375 3.753 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@@H+]2CCC=C(C)C)no1 ZINC000659850011 1120190950 /nfs/dbraw/zinc/19/09/50/1120190950.db2.gz LVTJOKDAEBXIKE-ZDUSSCGKSA-N 1 2 263.385 3.515 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@H+]2CCC=C(C)C)no1 ZINC000659850011 1120190952 /nfs/dbraw/zinc/19/09/52/1120190952.db2.gz LVTJOKDAEBXIKE-ZDUSSCGKSA-N 1 2 263.385 3.515 20 0 CHADLO CCOc1ccc(C[NH2+][C@](C)(CC)c2nccs2)o1 ZINC000892992335 1120192098 /nfs/dbraw/zinc/19/20/98/1120192098.db2.gz UTQNTOLEFIUEJW-CQSZACIVSA-N 1 2 280.393 3.550 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@H+]1CCSC[C@H]1C ZINC000172595734 1120192668 /nfs/dbraw/zinc/19/26/68/1120192668.db2.gz QMYKMZHYXJNJMI-SNVBAGLBSA-N 1 2 294.445 3.649 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@@H+]1CCSC[C@H]1C ZINC000172595734 1120192670 /nfs/dbraw/zinc/19/26/70/1120192670.db2.gz QMYKMZHYXJNJMI-SNVBAGLBSA-N 1 2 294.445 3.649 20 0 CHADLO CCC(C)(C)c1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC001127644836 1128897515 /nfs/dbraw/zinc/89/75/15/1128897515.db2.gz NDGVUJVTSRUWMN-UHFFFAOYSA-N 1 2 282.387 3.752 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@H](c2ccccc2)O1 ZINC000659855235 1120196774 /nfs/dbraw/zinc/19/67/74/1120196774.db2.gz WULXEOCPMHGUAY-FZKQIMNGSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@H](c2ccccc2)O1 ZINC000659855235 1120196776 /nfs/dbraw/zinc/19/67/76/1120196776.db2.gz WULXEOCPMHGUAY-FZKQIMNGSA-N 1 2 298.386 3.514 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(F)cc2)C(C)C)co1 ZINC000659857709 1120197591 /nfs/dbraw/zinc/19/75/91/1120197591.db2.gz OXUFLCKTUXLBJI-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(F)cc2)C(C)C)co1 ZINC000659857709 1120197594 /nfs/dbraw/zinc/19/75/94/1120197594.db2.gz OXUFLCKTUXLBJI-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO CC[NH2+]Cc1noc(C(C)(C)c2ccc3ccccc3c2)n1 ZINC000843140669 1120211667 /nfs/dbraw/zinc/21/16/67/1120211667.db2.gz ASDMFFGUQJDVAP-UHFFFAOYSA-N 1 2 295.386 3.658 20 0 CHADLO CC(C)c1ccc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)cn1 ZINC000926896192 1120211875 /nfs/dbraw/zinc/21/18/75/1120211875.db2.gz IXSXOESVNAVTPN-ZDUSSCGKSA-N 1 2 284.407 3.911 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cc(C)cnc2Cl)s1 ZINC000921815077 1120225120 /nfs/dbraw/zinc/22/51/20/1120225120.db2.gz HPFIKRSDMHDZNQ-JTQLQIEISA-N 1 2 281.812 3.659 20 0 CHADLO Cc1cc(N2CC[C@H](c3ccccc3F)C2)nc(C2CC2)[nH+]1 ZINC000338735492 1120228393 /nfs/dbraw/zinc/22/83/93/1120228393.db2.gz YWTQMGXBEIAWSS-AWEZNQCLSA-N 1 2 297.377 3.795 20 0 CHADLO C[C@H](Nc1nc(N(C)C)c2ccccc2[nH+]1)C(C)(C)C ZINC001168683416 1120241461 /nfs/dbraw/zinc/24/14/61/1120241461.db2.gz JFRHIAACVKDLNQ-NSHDSACASA-N 1 2 272.396 3.542 20 0 CHADLO CCc1nc(N2CC(C)(C)[C@H]2c2ccccc2)cc(C)[nH+]1 ZINC000672187724 1120252064 /nfs/dbraw/zinc/25/20/64/1120252064.db2.gz HGJBPDMCCZZQKZ-QGZVFWFLSA-N 1 2 281.403 3.935 20 0 CHADLO C[C@H](CNc1cc2cc[nH]c2c[nH+]1)c1ccc(F)cc1 ZINC000672188058 1120252074 /nfs/dbraw/zinc/25/20/74/1120252074.db2.gz BILXKRQKCUWGLJ-LLVKDONJSA-N 1 2 269.323 3.918 20 0 CHADLO C[NH+](C)c1ccc(NCc2c(Cl)n[nH]c2C2CC2)cc1 ZINC000921864970 1120257625 /nfs/dbraw/zinc/25/76/25/1120257625.db2.gz ZOGVUCCVGNOSFL-UHFFFAOYSA-N 1 2 290.798 3.619 20 0 CHADLO CC[C@H](C)[C@H](C)[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000179952447 1120258851 /nfs/dbraw/zinc/25/88/51/1120258851.db2.gz CQCCNCDYJCBXBF-ZNMIVQPWSA-N 1 2 276.424 3.758 20 0 CHADLO Cc1cc(NCc2ccnn2C2CCC2)ccc1[NH+](C)C ZINC000921875455 1120262382 /nfs/dbraw/zinc/26/23/82/1120262382.db2.gz IZCGMZBCAUEVEY-UHFFFAOYSA-N 1 2 284.407 3.595 20 0 CHADLO CCc1cnc(C[N@@H+]2CCc3sccc3[C@H]2CC)o1 ZINC000075637975 1120262773 /nfs/dbraw/zinc/26/27/73/1120262773.db2.gz PQPATHTYHISGQU-CYBMUJFWSA-N 1 2 276.405 3.808 20 0 CHADLO CCc1cnc(C[N@H+]2CCc3sccc3[C@H]2CC)o1 ZINC000075637975 1120262777 /nfs/dbraw/zinc/26/27/77/1120262777.db2.gz PQPATHTYHISGQU-CYBMUJFWSA-N 1 2 276.405 3.808 20 0 CHADLO CCN(C[C@@H]1CCOC1)c1cc[nH+]c2c(Cl)cccc12 ZINC000672240772 1120264047 /nfs/dbraw/zinc/26/40/47/1120264047.db2.gz NNEJTANRKNRDBU-LBPRGKRZSA-N 1 2 290.794 3.751 20 0 CHADLO COc1cc(C)[nH+]c(CSc2nc(C(C)C)c[nH]2)c1 ZINC000629223716 1128903132 /nfs/dbraw/zinc/90/31/32/1128903132.db2.gz XFWJUURMMWJWEP-UHFFFAOYSA-N 1 2 277.393 3.537 20 0 CHADLO CC(C)c1cc(N2CC[C@H](C(F)F)C2)nc(C(C)C)[nH+]1 ZINC000672275374 1120273735 /nfs/dbraw/zinc/27/37/35/1120273735.db2.gz QJHOSHVNBIILOO-NSHDSACASA-N 1 2 283.366 3.815 20 0 CHADLO CCSCCSCc1[nH+]cc(C)c(OC)c1C ZINC000180436548 1120275293 /nfs/dbraw/zinc/27/52/93/1120275293.db2.gz BFOAJFJDMDAJSF-UHFFFAOYSA-N 1 2 271.451 3.693 20 0 CHADLO Cc1ccc2[nH+]c(CSc3nc(C(C)C)c[nH]3)cn2c1 ZINC000629225790 1128903996 /nfs/dbraw/zinc/90/39/96/1128903996.db2.gz HRIGRKFORCDVGZ-UHFFFAOYSA-N 1 2 286.404 3.782 20 0 CHADLO FC(F)[C@@H]([NH2+]CCCc1cccnc1)c1ccccc1 ZINC000930566163 1120281994 /nfs/dbraw/zinc/28/19/94/1120281994.db2.gz MZAVHLVJYDDPME-HNNXBMFYSA-N 1 2 276.330 3.610 20 0 CHADLO Cc1cc(C)c(NC(=O)CO[C@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC000112568971 1120287493 /nfs/dbraw/zinc/28/74/93/1120287493.db2.gz JKSLXZPYGPOOPS-NHYWBVRUSA-N 1 2 290.407 3.541 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@H](c2ccccc2Br)C1 ZINC000181118085 1120289104 /nfs/dbraw/zinc/28/91/04/1120289104.db2.gz JDAUXLLUJISSRJ-RISCZKNCSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@H](c2ccccc2Br)C1 ZINC000181118085 1120289107 /nfs/dbraw/zinc/28/91/07/1120289107.db2.gz JDAUXLLUJISSRJ-RISCZKNCSA-N 1 2 298.224 3.621 20 0 CHADLO CC(C)Oc1cc(-c2ccc3[nH+]ccn3c2)ccc1F ZINC001240218475 1120303366 /nfs/dbraw/zinc/30/33/66/1120303366.db2.gz DUSZSEGQFCDHBT-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO Cc1cc(N(C)Cc2c(F)cccc2F)nc(C2CC2)[nH+]1 ZINC000338782021 1120310070 /nfs/dbraw/zinc/31/00/70/1120310070.db2.gz HSDUQCYRUUKKFR-UHFFFAOYSA-N 1 2 289.329 3.577 20 0 CHADLO CCOc1cccc2cc([C@@H](C)[NH2+]Cc3cocn3)oc21 ZINC000182060688 1120313461 /nfs/dbraw/zinc/31/34/61/1120313461.db2.gz ZJADLOVQVOIRTB-LLVKDONJSA-N 1 2 286.331 3.670 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1cccnc1Cl ZINC000780387857 1120325113 /nfs/dbraw/zinc/32/51/13/1120325113.db2.gz WWHARPYAPSCDCQ-KOLCDFICSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1cccnc1Cl ZINC000780387857 1120325118 /nfs/dbraw/zinc/32/51/18/1120325118.db2.gz WWHARPYAPSCDCQ-KOLCDFICSA-N 1 2 292.732 3.898 20 0 CHADLO FC(F)(F)[C@@H]1C[C@@H](C(F)(F)F)CN(c2cccc[nH+]2)C1 ZINC000618438085 1128911768 /nfs/dbraw/zinc/91/17/68/1128911768.db2.gz MLLWHJILBWXYGW-RKDXNWHRSA-N 1 2 298.230 3.649 20 0 CHADLO CCCn1nc(C)c(CNc2ccc([NH2+]C)cc2)c1C ZINC000629388210 1128908773 /nfs/dbraw/zinc/90/87/73/1128908773.db2.gz LGGZDTWLZUQGLL-UHFFFAOYSA-N 1 2 272.396 3.564 20 0 CHADLO CCOc1ccc(CNc2cc(CSCC)cc[nH+]2)o1 ZINC000893243471 1120332113 /nfs/dbraw/zinc/33/21/13/1120332113.db2.gz DWFFIANNDLQUBF-UHFFFAOYSA-N 1 2 292.404 3.939 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)c(C)n1 ZINC000672621443 1120334815 /nfs/dbraw/zinc/33/48/15/1120334815.db2.gz XSAUUFIRJNPXNV-DGCLKSJQSA-N 1 2 254.324 3.537 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(-n2cccn2)cc1 ZINC000672621689 1120336815 /nfs/dbraw/zinc/33/68/15/1120336815.db2.gz DWPVQUMBHLLSRZ-DOMZBBRYSA-N 1 2 291.345 3.711 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1cccc(Cl)c1F ZINC000183656466 1120345208 /nfs/dbraw/zinc/34/52/08/1120345208.db2.gz QWOQVDWLOGSCMY-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1cccc(Cl)c1F ZINC000183656466 1120345212 /nfs/dbraw/zinc/34/52/12/1120345212.db2.gz QWOQVDWLOGSCMY-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO COc1ccc(C[NH2+]C2(c3ccc(F)cc3F)CCC2)o1 ZINC000893261067 1120352772 /nfs/dbraw/zinc/35/27/72/1120352772.db2.gz UPCXFPXQOLTDHM-UHFFFAOYSA-N 1 2 293.313 3.735 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@H+]1Cc1cc(C2CC2)no1 ZINC000660058120 1120356385 /nfs/dbraw/zinc/35/63/85/1120356385.db2.gz WNHJYCBPWCLVGM-LBPRGKRZSA-N 1 2 284.359 3.508 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@@H+]1Cc1cc(C2CC2)no1 ZINC000660058120 1120356389 /nfs/dbraw/zinc/35/63/89/1120356389.db2.gz WNHJYCBPWCLVGM-LBPRGKRZSA-N 1 2 284.359 3.508 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1scnc1Cl ZINC001137823300 1120359538 /nfs/dbraw/zinc/35/95/38/1120359538.db2.gz ANQQYCSXXRWCDR-JTQLQIEISA-N 1 2 278.808 3.743 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1scnc1Cl ZINC001137823300 1120359541 /nfs/dbraw/zinc/35/95/41/1120359541.db2.gz ANQQYCSXXRWCDR-JTQLQIEISA-N 1 2 278.808 3.743 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2scnc2Cl)cn1 ZINC001137823296 1120359858 /nfs/dbraw/zinc/35/98/58/1120359858.db2.gz ADRSRHWMTOZPTQ-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2scnc2Cl)cn1 ZINC001137823296 1120359865 /nfs/dbraw/zinc/35/98/65/1120359865.db2.gz ADRSRHWMTOZPTQ-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H]2CCCc3nc(C)sc32)c(C)o1 ZINC000660072815 1120363242 /nfs/dbraw/zinc/36/32/42/1120363242.db2.gz RBHVBZFPNWBEFW-CQSZACIVSA-N 1 2 291.420 3.566 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H]2CCCc3nc(C)sc32)c(C)o1 ZINC000660072815 1120363248 /nfs/dbraw/zinc/36/32/48/1120363248.db2.gz RBHVBZFPNWBEFW-CQSZACIVSA-N 1 2 291.420 3.566 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)c1ccsc1 ZINC000078053665 1120363225 /nfs/dbraw/zinc/36/32/25/1120363225.db2.gz UTIYCLHHDVZGBF-GFCCVEGCSA-N 1 2 297.383 3.676 20 0 CHADLO Fc1ccc(C[NH+]2CCC(F)CC2)c(F)c1Cl ZINC001143615610 1120411888 /nfs/dbraw/zinc/41/18/88/1120411888.db2.gz FXNCDMVABIXQFT-UHFFFAOYSA-N 1 2 263.690 3.552 20 0 CHADLO CC[C@@H](C)[C@@H](C(=O)OCc1ccc[nH+]c1N)c1ccccc1 ZINC000922141425 1120382856 /nfs/dbraw/zinc/38/28/56/1120382856.db2.gz SPLKWLLLLUAJMA-CZUORRHYSA-N 1 2 298.386 3.537 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(F)c(OC)c2F)c[nH+]1 ZINC001212498673 1120399179 /nfs/dbraw/zinc/39/91/79/1120399179.db2.gz RAKZFEDGAMUAGV-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)CCN1c1cccc[nH+]1 ZINC000543068608 1120399758 /nfs/dbraw/zinc/39/97/58/1120399758.db2.gz XSBHDFBOHBDPDN-GDBMZVCRSA-N 1 2 252.361 3.854 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+][C@H](C)c1c(F)cccc1F ZINC000078679191 1120399768 /nfs/dbraw/zinc/39/97/68/1120399768.db2.gz HQQGZLBXHAZJND-RKDXNWHRSA-N 1 2 280.318 3.981 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H](C)c2cccnc2Cl)n1 ZINC000162338036 1120402529 /nfs/dbraw/zinc/40/25/29/1120402529.db2.gz ZUSYLJSJXLYJAK-UWVGGRQHSA-N 1 2 281.812 3.912 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)C[N@@H+]1Cc1nnsc1Cl ZINC000115555396 1120440685 /nfs/dbraw/zinc/44/06/85/1120440685.db2.gz LTLQEBNMUJIVEX-CMPLNLGQSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)C[N@H+]1Cc1nnsc1Cl ZINC000115555396 1120440687 /nfs/dbraw/zinc/44/06/87/1120440687.db2.gz LTLQEBNMUJIVEX-CMPLNLGQSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(F)F)c1cc2ccncc2s1 ZINC000641792546 1120448502 /nfs/dbraw/zinc/44/85/02/1120448502.db2.gz WVBLMMBKMCKUHG-YUMQZZPRSA-N 1 2 256.321 3.601 20 0 CHADLO COc1cc(C[NH2+][C@@H](C)C(F)F)cc2ccccc21 ZINC000641793948 1120452588 /nfs/dbraw/zinc/45/25/88/1120452588.db2.gz PRJWSXCFNIKZMO-JTQLQIEISA-N 1 2 265.303 3.592 20 0 CHADLO Cc1cc(NC(=O)c2ccccc2C(F)(F)F)cc[nH+]1 ZINC000072709705 1120457515 /nfs/dbraw/zinc/45/75/15/1120457515.db2.gz QCEVGRDLVSWBEB-UHFFFAOYSA-N 1 2 280.249 3.661 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCC(F)(F)[C@H](F)C2)c(F)c1 ZINC001141191169 1120461098 /nfs/dbraw/zinc/46/10/98/1120461098.db2.gz BCSIHFYEDVHQSR-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1ccc(C[N@H+]2CCCC(F)(F)[C@H](F)C2)c(F)c1 ZINC001141191169 1120461101 /nfs/dbraw/zinc/46/11/01/1120461101.db2.gz BCSIHFYEDVHQSR-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cc3ccccc3o2)c(C)o1 ZINC000660258162 1120470108 /nfs/dbraw/zinc/47/01/08/1120470108.db2.gz YHVCBBZBAIIYCY-JTQLQIEISA-N 1 2 270.332 3.888 20 0 CHADLO C[NH+](C)[C@@H](c1nc(CC2(C)CCCC2)no1)c1ccccc1 ZINC000584868214 1120473423 /nfs/dbraw/zinc/47/34/23/1120473423.db2.gz YSENZNVDAXULBT-MRXNPFEDSA-N 1 2 299.418 3.843 20 0 CHADLO Cc1cn(C)nc1C[N@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC000929285688 1120484838 /nfs/dbraw/zinc/48/48/38/1120484838.db2.gz NQSWKDXQFHCLMR-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Cc1cn(C)nc1C[N@@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC000929285688 1120484841 /nfs/dbraw/zinc/48/48/41/1120484841.db2.gz NQSWKDXQFHCLMR-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Cc1ccc(Cl)cc1[C@H](C)OCCn1cc[nH+]c1 ZINC001222134804 1120491426 /nfs/dbraw/zinc/49/14/26/1120491426.db2.gz OPGXWGRVFRJICA-LBPRGKRZSA-N 1 2 264.756 3.623 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCc2oc(C)nc21)c1c(C)noc1C ZINC000925637036 1120500322 /nfs/dbraw/zinc/50/03/22/1120500322.db2.gz GXLBPEDUDFPMFR-STQMWFEESA-N 1 2 289.379 3.706 20 0 CHADLO Cc1cc(NC2(C(F)F)CCCC2)nc(C2CC2)[nH+]1 ZINC000673509287 1120515792 /nfs/dbraw/zinc/51/57/92/1120515792.db2.gz RMFQFCJWNBGNEJ-UHFFFAOYSA-N 1 2 267.323 3.652 20 0 CHADLO COCCC1(C)CN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000673519453 1120518848 /nfs/dbraw/zinc/51/88/48/1120518848.db2.gz RQGFJDPYTCZHRG-UHFFFAOYSA-N 1 2 291.439 3.586 20 0 CHADLO Cc1cc(C)nc(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)c1 ZINC000931084878 1120524782 /nfs/dbraw/zinc/52/47/82/1120524782.db2.gz SZABLSAVMUPHIK-QGZVFWFLSA-N 1 2 281.403 3.677 20 0 CHADLO Cc1cc(C)nc(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)c1 ZINC000931084878 1120524779 /nfs/dbraw/zinc/52/47/79/1120524779.db2.gz SZABLSAVMUPHIK-QGZVFWFLSA-N 1 2 281.403 3.677 20 0 CHADLO Cc1cc(F)ccc1C[NH2+][C@@H]1c2ccccc2OC[C@H]1F ZINC000922524995 1120547012 /nfs/dbraw/zinc/54/70/12/1120547012.db2.gz IKQMXXADJTXADG-NVXWUHKLSA-N 1 2 289.325 3.696 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@H]2CCCC2(F)F)ccc1F ZINC000398010726 1120553786 /nfs/dbraw/zinc/55/37/86/1120553786.db2.gz SNBRACZSUBEEKH-ZANVPECISA-N 1 2 273.298 3.673 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)cc1F ZINC000398016618 1120555798 /nfs/dbraw/zinc/55/57/98/1120555798.db2.gz XDQOSZPISRADNL-RNCFNFMXSA-N 1 2 273.298 3.673 20 0 CHADLO Cc1ccc2cc(C[NH2+][C@H]3CCCC3(F)F)ccc2n1 ZINC000398065661 1120563174 /nfs/dbraw/zinc/56/31/74/1120563174.db2.gz CBRDYYVGBPWRKY-HNNXBMFYSA-N 1 2 276.330 3.821 20 0 CHADLO CC[C@@H]([NH2+]Cc1csc(C)n1)c1cc(F)ccc1OC ZINC000922620796 1120564255 /nfs/dbraw/zinc/56/42/55/1120564255.db2.gz YDDNPSVDJDLQEQ-CQSZACIVSA-N 1 2 294.395 3.840 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCC[C@@H]2c2ccccc2)c1 ZINC000652068167 1120577293 /nfs/dbraw/zinc/57/72/93/1120577293.db2.gz UUBPYYGWXFLANI-SJORKVTESA-N 1 2 282.387 3.976 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2C[C@@H](c3ccccc3)[C@H]2C)c1 ZINC000652068500 1120577456 /nfs/dbraw/zinc/57/74/56/1120577456.db2.gz FWQDILNQKCGJCJ-KBRIMQKVSA-N 1 2 282.387 3.832 20 0 CHADLO Cc1ccccc1[C@H](CC(F)(F)F)[NH2+]Cc1cn(C)cn1 ZINC000673607613 1120578336 /nfs/dbraw/zinc/57/83/36/1120578336.db2.gz KWIYPRALMWPWIB-AWEZNQCLSA-N 1 2 297.324 3.512 20 0 CHADLO Cc1ccsc1[C@@H](Cc1ccccc1)[NH2+]Cc1ccn[nH]1 ZINC000124711403 1120581921 /nfs/dbraw/zinc/58/19/21/1120581921.db2.gz UNBOQKMOMBOSBT-MRXNPFEDSA-N 1 2 297.427 3.853 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1c(F)cccc1F ZINC000020096605 1120584275 /nfs/dbraw/zinc/58/42/75/1120584275.db2.gz IBWKZBVBTVWMRR-LLVKDONJSA-N 1 2 277.314 3.824 20 0 CHADLO c1snnc1C[NH2+][C@H](Cc1ccccc1)c1ccccc1 ZINC000398228064 1120595611 /nfs/dbraw/zinc/59/56/11/1120595611.db2.gz GQMYSUFOKOVBOO-QGZVFWFLSA-N 1 2 295.411 3.612 20 0 CHADLO Cc1cccc(-c2n[nH]cc2C[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000673618294 1120601164 /nfs/dbraw/zinc/60/11/64/1120601164.db2.gz ZVSVTXCTNNQWDC-CQSZACIVSA-N 1 2 291.345 3.663 20 0 CHADLO Cc1cc(CNc2ccc3c(c2)CCC[N@H+]3C)cnc1F ZINC000893367225 1120604617 /nfs/dbraw/zinc/60/46/17/1120604617.db2.gz FSOTZNIGXUSTNM-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO Cc1cc(CNc2ccc3c(c2)CCC[N@@H+]3C)cnc1F ZINC000893367225 1120604619 /nfs/dbraw/zinc/60/46/19/1120604619.db2.gz FSOTZNIGXUSTNM-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3ccc(O)c(F)c3)ccc21 ZINC000893367957 1120606056 /nfs/dbraw/zinc/60/60/56/1120606056.db2.gz NKWCVRSFHQFPPT-UHFFFAOYSA-N 1 2 286.350 3.526 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3ccc(O)c(F)c3)ccc21 ZINC000893367957 1120606057 /nfs/dbraw/zinc/60/60/57/1120606057.db2.gz NKWCVRSFHQFPPT-UHFFFAOYSA-N 1 2 286.350 3.526 20 0 CHADLO O=C(CCCC1CC1)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000643161752 1120613015 /nfs/dbraw/zinc/61/30/15/1120613015.db2.gz QPZVTDZUTDZJAP-UHFFFAOYSA-N 1 2 287.338 3.530 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H]2CCCc3cccnc32)c(F)c1 ZINC000922875918 1120615747 /nfs/dbraw/zinc/61/57/47/1120615747.db2.gz OZZDKEBVRLYYSV-MRXNPFEDSA-N 1 2 288.341 3.835 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+][C@@H]3CCC34CCC4)cc2)CCO1 ZINC000282405782 1120618310 /nfs/dbraw/zinc/61/83/10/1120618310.db2.gz BZSDHBXLXMLISR-RHSMWYFYSA-N 1 2 286.419 3.656 20 0 CHADLO C[C@@H]1CCC[C@@H]([NH2+]c2ccc(N3CCOCC3)cc2)C1 ZINC000020564024 1120623603 /nfs/dbraw/zinc/62/36/03/1120623603.db2.gz XXCNYCBXDZZMGT-GDBMZVCRSA-N 1 2 274.408 3.514 20 0 CHADLO Cc1ccc(-c2ccc([C@@H]3CC(=O)CC[N@H+]3C)cc2)o1 ZINC000629718471 1128929218 /nfs/dbraw/zinc/92/92/18/1128929218.db2.gz ZLMFOSVPXINLPR-INIZCTEOSA-N 1 2 269.344 3.591 20 0 CHADLO Cc1ccc(-c2ccc([C@@H]3CC(=O)CC[N@@H+]3C)cc2)o1 ZINC000629718471 1128929219 /nfs/dbraw/zinc/92/92/19/1128929219.db2.gz ZLMFOSVPXINLPR-INIZCTEOSA-N 1 2 269.344 3.591 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1nc(C)oc1C ZINC000660477560 1120636266 /nfs/dbraw/zinc/63/62/66/1120636266.db2.gz NGTDMLGQEVIRJT-JTQLQIEISA-N 1 2 276.405 3.864 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2cccc(C(C)C)c2)n1 ZINC000660497329 1120640154 /nfs/dbraw/zinc/64/01/54/1120640154.db2.gz XECFNBXMBUJLRG-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO CC(C)(C)C1CC[NH+](Cc2noc(C3CCC3)n2)CC1 ZINC000625182524 1120643419 /nfs/dbraw/zinc/64/34/19/1120643419.db2.gz RKKJVYBIZMSKBJ-UHFFFAOYSA-N 1 2 277.412 3.595 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@@H+]1Cn1ncsc1=S ZINC000124242159 1120653404 /nfs/dbraw/zinc/65/34/04/1120653404.db2.gz VMDBJTZZHFNJEF-CYBMUJFWSA-N 1 2 291.445 3.777 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@H+]1Cn1ncsc1=S ZINC000124242159 1120653406 /nfs/dbraw/zinc/65/34/06/1120653406.db2.gz VMDBJTZZHFNJEF-CYBMUJFWSA-N 1 2 291.445 3.777 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@H+](C)Cc1nc(C)oc1C ZINC000660556008 1120657731 /nfs/dbraw/zinc/65/77/31/1120657731.db2.gz CIIDTFHAMWAVLN-INIZCTEOSA-N 1 2 288.391 3.883 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@@H+](C)Cc1nc(C)oc1C ZINC000660556008 1120657732 /nfs/dbraw/zinc/65/77/32/1120657732.db2.gz CIIDTFHAMWAVLN-INIZCTEOSA-N 1 2 288.391 3.883 20 0 CHADLO CC[C@@H]1CCC[C@@H](C[NH2+][C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000545600936 1120661358 /nfs/dbraw/zinc/66/13/58/1120661358.db2.gz YOJVONSHOWQSRS-INMHGKMJSA-N 1 2 298.434 3.700 20 0 CHADLO Cc1ccc([C@H]2CC[N@H+](Cc3noc(C4CCC4)n3)C2)cc1 ZINC000625313578 1120666620 /nfs/dbraw/zinc/66/66/20/1120666620.db2.gz KVPILXUSRGATKY-INIZCTEOSA-N 1 2 297.402 3.635 20 0 CHADLO Cc1ccc([C@H]2CC[N@@H+](Cc3noc(C4CCC4)n3)C2)cc1 ZINC000625313578 1120666623 /nfs/dbraw/zinc/66/66/23/1120666623.db2.gz KVPILXUSRGATKY-INIZCTEOSA-N 1 2 297.402 3.635 20 0 CHADLO CCCC[NH+](CCCC)Cn1ncsc1=S ZINC000124620379 1120668772 /nfs/dbraw/zinc/66/87/72/1120668772.db2.gz GKBOQBUNUGCDEZ-UHFFFAOYSA-N 1 2 259.444 3.534 20 0 CHADLO c1cc([NH2+]C(C2CC2)C2CC2)cc2c1NCC21CCOCC1 ZINC001168743419 1120679261 /nfs/dbraw/zinc/67/92/61/1120679261.db2.gz GNDFPLAJRRPHMX-UHFFFAOYSA-N 1 2 298.430 3.761 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2ccsc2)cc1OC ZINC000651609050 1120680380 /nfs/dbraw/zinc/68/03/80/1120680380.db2.gz OAYPVYZHVLIZMP-UHFFFAOYSA-N 1 2 295.379 3.536 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2ccsc2)cc1OC ZINC000651609050 1120680386 /nfs/dbraw/zinc/68/03/86/1120680386.db2.gz OAYPVYZHVLIZMP-UHFFFAOYSA-N 1 2 295.379 3.536 20 0 CHADLO CC(C)C[C@H](C)C[N@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660767030 1120685753 /nfs/dbraw/zinc/68/57/53/1120685753.db2.gz JHJUDKDUMZSSCM-GOEBONIOSA-N 1 2 286.423 3.508 20 0 CHADLO CC(C)C[C@H](C)C[N@@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660767030 1120685758 /nfs/dbraw/zinc/68/57/58/1120685758.db2.gz JHJUDKDUMZSSCM-GOEBONIOSA-N 1 2 286.423 3.508 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[NH2+]Cc1ccon1 ZINC000673886994 1120687161 /nfs/dbraw/zinc/68/71/61/1120687161.db2.gz ZQFRDTXPLSNBBW-UHFFFAOYSA-N 1 2 296.370 3.905 20 0 CHADLO C[C@@H]1CCC[C@@H](C[N@@H+](C)[C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000660765885 1120687284 /nfs/dbraw/zinc/68/72/84/1120687284.db2.gz YFMYFXCTKNYRLC-BFYDXBDKSA-N 1 2 298.434 3.652 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NC(C2CC2)C2CC2)c1 ZINC001168747611 1120693244 /nfs/dbraw/zinc/69/32/44/1120693244.db2.gz ZKZJFOUNCJECHC-UHFFFAOYSA-N 1 2 267.376 3.688 20 0 CHADLO c1cn(-c2cccc(N[C@@H]3CCCC34CC4)c2)c[nH+]1 ZINC000657961664 1120702671 /nfs/dbraw/zinc/70/26/71/1120702671.db2.gz SRFCYBMLGHWRRP-OAHLLOKOSA-N 1 2 253.349 3.617 20 0 CHADLO Cc1cc(C)c(NC(=O)c2c(F)cccc2F)c(C)[nH+]1 ZINC000132900426 1120703030 /nfs/dbraw/zinc/70/30/30/1120703030.db2.gz QZMAQHKDMZDESP-UHFFFAOYSA-N 1 2 276.286 3.537 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2cccc(C(C)C)c2)n1 ZINC000660925945 1120703850 /nfs/dbraw/zinc/70/38/50/1120703850.db2.gz HFRXUKXVPXAAGN-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2cccc(C(C)C)c2)n1 ZINC000660925945 1120703852 /nfs/dbraw/zinc/70/38/52/1120703852.db2.gz HFRXUKXVPXAAGN-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccsc2)C(C)(C)C)nc1 ZINC000661137026 1120725313 /nfs/dbraw/zinc/72/53/13/1120725313.db2.gz YGFYPTOFBFTBDL-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccsc2)C(C)(C)C)nc1 ZINC000661137026 1120725318 /nfs/dbraw/zinc/72/53/18/1120725318.db2.gz YGFYPTOFBFTBDL-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO c1coc(C2CC[NH+](Cc3ncc(C4CC4)o3)CC2)c1 ZINC000661161020 1120728907 /nfs/dbraw/zinc/72/89/07/1120728907.db2.gz JOXORDUUHRSKHG-UHFFFAOYSA-N 1 2 272.348 3.525 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169541 1120731406 /nfs/dbraw/zinc/73/14/06/1120731406.db2.gz MNEHOXWRRGZUNI-ZBEGNZNMSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169541 1120731412 /nfs/dbraw/zinc/73/14/12/1120731412.db2.gz MNEHOXWRRGZUNI-ZBEGNZNMSA-N 1 2 281.346 3.527 20 0 CHADLO Cc1nc(C[N@H+]2CCc3ccc(C(C)C)cc3C2)c(C)o1 ZINC000661374553 1120757858 /nfs/dbraw/zinc/75/78/58/1120757858.db2.gz BFLXEQVOZVEXGN-UHFFFAOYSA-N 1 2 284.403 3.973 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3ccc(C(C)C)cc3C2)c(C)o1 ZINC000661374553 1120757860 /nfs/dbraw/zinc/75/78/60/1120757860.db2.gz BFLXEQVOZVEXGN-UHFFFAOYSA-N 1 2 284.403 3.973 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccccc1F ZINC000661484029 1120769986 /nfs/dbraw/zinc/76/99/86/1120769986.db2.gz JJIHHIRLHHNUBH-OAHLLOKOSA-N 1 2 295.789 3.700 20 0 CHADLO CC1(C[N@@H+]2CCC[C@@H]2c2nccc(C(F)(F)F)n2)CCC1 ZINC000661534363 1120775681 /nfs/dbraw/zinc/77/56/81/1120775681.db2.gz FUROPBZBWBVMMY-LLVKDONJSA-N 1 2 299.340 3.823 20 0 CHADLO CC1(C[N@H+]2CCC[C@@H]2c2nccc(C(F)(F)F)n2)CCC1 ZINC000661534363 1120775684 /nfs/dbraw/zinc/77/56/84/1120775684.db2.gz FUROPBZBWBVMMY-LLVKDONJSA-N 1 2 299.340 3.823 20 0 CHADLO C/C=C\C[C@H]([NH2+][C@H](CC)Cc1cccs1)C(=O)OCC ZINC000617856400 1120787835 /nfs/dbraw/zinc/78/78/35/1120787835.db2.gz GTFSICYSOZRKMN-CUCMZFDFSA-N 1 2 295.448 3.557 20 0 CHADLO C[C@@H]1CC2(CC(Nc3ccc([NH+]4CCCC4)cc3)C2)CO1 ZINC000925727298 1120789593 /nfs/dbraw/zinc/78/95/93/1120789593.db2.gz OHRYOBWKGKJQLV-MXWWQKGMSA-N 1 2 286.419 3.656 20 0 CHADLO Cc1csc2nc(C)nc(NCc3cc(C)[nH+]c(C)c3)c12 ZINC000643191214 1120790258 /nfs/dbraw/zinc/79/02/58/1120790258.db2.gz MFKUYDFANMZVIG-UHFFFAOYSA-N 1 2 298.415 3.932 20 0 CHADLO CC(C)CCc1nc(C[N@H+](C)CC2=CCCOC2)cs1 ZINC000661655046 1120790369 /nfs/dbraw/zinc/79/03/69/1120790369.db2.gz MPFBAUCVSSZIIZ-UHFFFAOYSA-N 1 2 294.464 3.510 20 0 CHADLO CC(C)CCc1nc(C[N@@H+](C)CC2=CCCOC2)cs1 ZINC000661655046 1120790372 /nfs/dbraw/zinc/79/03/72/1120790372.db2.gz MPFBAUCVSSZIIZ-UHFFFAOYSA-N 1 2 294.464 3.510 20 0 CHADLO CCCCc1noc(C[N@H+](C)[C@@H](C)c2ccc(C)cc2)n1 ZINC000047960004 1120799311 /nfs/dbraw/zinc/79/93/11/1120799311.db2.gz DUHSOSVUSXNIHG-AWEZNQCLSA-N 1 2 287.407 3.914 20 0 CHADLO CCCCc1noc(C[N@@H+](C)[C@@H](C)c2ccc(C)cc2)n1 ZINC000047960004 1120799314 /nfs/dbraw/zinc/79/93/14/1120799314.db2.gz DUHSOSVUSXNIHG-AWEZNQCLSA-N 1 2 287.407 3.914 20 0 CHADLO CC[C@@H](C)CCc1nc(-c2ccc(-n3cc[nH+]c3)cc2)no1 ZINC001211671892 1120801165 /nfs/dbraw/zinc/80/11/65/1120801165.db2.gz IHNYFTYEFSDOFZ-CYBMUJFWSA-N 1 2 296.374 3.901 20 0 CHADLO CCc1cccc(C[NH2+][C@@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000652307253 1120816663 /nfs/dbraw/zinc/81/66/63/1120816663.db2.gz QJRWMWSBJFNITF-QGZVFWFLSA-N 1 2 298.434 3.778 20 0 CHADLO OC[C@@H]([NH2+]Cc1c(Cl)cccc1Cl)c1ccccc1 ZINC000062999602 1120820192 /nfs/dbraw/zinc/82/01/92/1120820192.db2.gz DGHRMIRLKGOKDX-OAHLLOKOSA-N 1 2 296.197 3.817 20 0 CHADLO COCc1cc[nH+]c(N[C@H](Cc2ccccc2)C2CC2)c1 ZINC000652331089 1120830067 /nfs/dbraw/zinc/83/00/67/1120830067.db2.gz XRAGXWWPBFOHKV-QGZVFWFLSA-N 1 2 282.387 3.661 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCSc3ccccc32)c1 ZINC000652331164 1120830212 /nfs/dbraw/zinc/83/02/12/1120830212.db2.gz ZQRHHSQCYCDIPE-AWEZNQCLSA-N 1 2 286.400 3.877 20 0 CHADLO C[C@H](OC(=O)CCCn1cc[nH+]c1)c1ccc(Cl)s1 ZINC000092489122 1120841112 /nfs/dbraw/zinc/84/11/12/1120841112.db2.gz SKCUPXSRCOVAOZ-JTQLQIEISA-N 1 2 298.795 3.683 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2C[C@H](C)OC(C)(C)C2)c1 ZINC000511913233 1120844472 /nfs/dbraw/zinc/84/44/72/1120844472.db2.gz XBMKYQUVVCZVFB-LBPRGKRZSA-N 1 2 299.361 3.596 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2C[C@H](C)OC(C)(C)C2)c1 ZINC000511913233 1120844474 /nfs/dbraw/zinc/84/44/74/1120844474.db2.gz XBMKYQUVVCZVFB-LBPRGKRZSA-N 1 2 299.361 3.596 20 0 CHADLO CCc1cc(N2[C@H](C)C[C@@H]3CCCC[C@@H]32)nc(C)[nH+]1 ZINC000543877719 1120860375 /nfs/dbraw/zinc/86/03/75/1120860375.db2.gz VQQGJSGTPIOJJO-ZLDLUXBVSA-N 1 2 259.397 3.505 20 0 CHADLO CCc1nnc(C[N@@H+](C)CCCC(CC)CC)s1 ZINC000662036368 1120862379 /nfs/dbraw/zinc/86/23/79/1120862379.db2.gz HIVRYXCXPZXZOQ-UHFFFAOYSA-N 1 2 269.458 3.749 20 0 CHADLO CCc1nnc(C[N@H+](C)CCCC(CC)CC)s1 ZINC000662036368 1120862380 /nfs/dbraw/zinc/86/23/80/1120862380.db2.gz HIVRYXCXPZXZOQ-UHFFFAOYSA-N 1 2 269.458 3.749 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccccc2Cl)nn1C ZINC000487378229 1120878171 /nfs/dbraw/zinc/87/81/71/1120878171.db2.gz MAPQLSKBCGPAPY-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccccc2Cl)nn1C ZINC000487378229 1120878176 /nfs/dbraw/zinc/87/81/76/1120878176.db2.gz MAPQLSKBCGPAPY-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO COc1cccc(C2([NH2+]Cc3ncc(C4CC4)o3)CCC2)c1 ZINC000662109783 1120878219 /nfs/dbraw/zinc/87/82/19/1120878219.db2.gz OVSRZADSGBDEOT-UHFFFAOYSA-N 1 2 298.386 3.730 20 0 CHADLO c1cn(Cc2nc(-c3ccc4c(c3)CCC4)cs2)c[nH+]1 ZINC000663219064 1120892409 /nfs/dbraw/zinc/89/24/09/1120892409.db2.gz GTEZQVUZWVJHRB-UHFFFAOYSA-N 1 2 281.384 3.544 20 0 CHADLO CCc1sc(Cn2cc[nH+]c2)nc1-c1ccc(OC)cc1 ZINC000663220044 1120892760 /nfs/dbraw/zinc/89/27/60/1120892760.db2.gz MRBGUUIETNZERD-UHFFFAOYSA-N 1 2 299.399 3.626 20 0 CHADLO CCCn1nccc1CNc1[nH+]c2ccccc2n1C(C)C ZINC000663258415 1120902307 /nfs/dbraw/zinc/90/23/07/1120902307.db2.gz GXNTZNYSEPUGSV-UHFFFAOYSA-N 1 2 297.406 3.836 20 0 CHADLO Cc1cc(NCc2noc3ccccc23)nc(C2CCC2)[nH+]1 ZINC000893558693 1120913753 /nfs/dbraw/zinc/91/37/53/1120913753.db2.gz CHAWBSOVZZANPK-UHFFFAOYSA-N 1 2 294.358 3.806 20 0 CHADLO CCOc1ccc(C[N@@H+]2Cc3ccccc3[C@H]2C)nc1 ZINC000662288148 1120919581 /nfs/dbraw/zinc/91/95/81/1120919581.db2.gz FSXBMXQYAOATAA-CYBMUJFWSA-N 1 2 268.360 3.557 20 0 CHADLO CCOc1ccc(C[N@H+]2Cc3ccccc3[C@H]2C)nc1 ZINC000662288148 1120919586 /nfs/dbraw/zinc/91/95/86/1120919586.db2.gz FSXBMXQYAOATAA-CYBMUJFWSA-N 1 2 268.360 3.557 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)s1 ZINC000161766558 1120926169 /nfs/dbraw/zinc/92/61/69/1120926169.db2.gz ROZAUOGMTWXDHE-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO CC(C)(C)OC1CC[NH+](Cc2csc(C3CC3)n2)CC1 ZINC000162266583 1120937965 /nfs/dbraw/zinc/93/79/65/1120937965.db2.gz BLRJXXVOFBCOMZ-UHFFFAOYSA-N 1 2 294.464 3.800 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NC3(CO)CCCC3)c2)cc1 ZINC001167802696 1120949612 /nfs/dbraw/zinc/94/96/12/1120949612.db2.gz WSOQJZAGNLFWPY-UHFFFAOYSA-N 1 2 282.387 3.774 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](C)c2nc3c(s2)CCCC3)n1 ZINC000093399728 1128952436 /nfs/dbraw/zinc/95/24/36/1128952436.db2.gz FSLUZSVVUDOMAS-LBPRGKRZSA-N 1 2 287.432 3.576 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NC2(CO)CCCC2)c1 ZINC001167805805 1120965059 /nfs/dbraw/zinc/96/50/59/1120965059.db2.gz GGCYYPNPDXTCKC-UHFFFAOYSA-N 1 2 276.424 3.558 20 0 CHADLO CC(C)C[C@@H](C(=O)N(C)C1CCCCCC1)n1cc[nH+]c1 ZINC001141389487 1120989600 /nfs/dbraw/zinc/98/96/00/1120989600.db2.gz NPCOZVHKPRPCQM-INIZCTEOSA-N 1 2 291.439 3.652 20 0 CHADLO CN(C)c1ccc(NC/C=C\c2ccc(F)c(F)c2)c[nH+]1 ZINC000512013398 1121008965 /nfs/dbraw/zinc/00/89/65/1121008965.db2.gz AGAYCGFBMNNECJ-ARJAWSKDSA-N 1 2 289.329 3.551 20 0 CHADLO Cc1cn2cccc(Nc3ccc(OC(C)C)nc3)c2[nH+]1 ZINC001174762422 1121022133 /nfs/dbraw/zinc/02/21/33/1121022133.db2.gz YWLPPSMMKKHRPQ-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO CCOC(=O)Cc1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001212517425 1121023573 /nfs/dbraw/zinc/02/35/73/1121023573.db2.gz BLLGKJMFSRVTMH-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO Cc1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc1O ZINC001211698103 1121026484 /nfs/dbraw/zinc/02/64/84/1121026484.db2.gz XJYCJUSAPCDPQH-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO CC(F)(F)CNc1cc[nH+]c(OCc2ccccc2)c1 ZINC001162230888 1121032198 /nfs/dbraw/zinc/03/21/98/1121032198.db2.gz UPTIAYNCLKBJDP-UHFFFAOYSA-N 1 2 278.302 3.728 20 0 CHADLO c1cc2ccc(Nc3ccc[nH+]c3N3CCCC3)cc2[nH]1 ZINC001174747428 1121035317 /nfs/dbraw/zinc/03/53/17/1121035317.db2.gz SVVMQYUMTSWEGS-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO Cc1cccn2cc(C[N@@H+]3CC[C@H]3c3ccccc3)nc12 ZINC000674005970 1121035582 /nfs/dbraw/zinc/03/55/82/1121035582.db2.gz WBFVPUSYIGARGR-KRWDZBQOSA-N 1 2 277.371 3.590 20 0 CHADLO Cc1cccn2cc(C[N@H+]3CC[C@H]3c3ccccc3)nc12 ZINC000674005970 1121035591 /nfs/dbraw/zinc/03/55/91/1121035591.db2.gz WBFVPUSYIGARGR-KRWDZBQOSA-N 1 2 277.371 3.590 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2c(C)c(C)c(C)c(C)c2C)o1 ZINC000543542715 1121037317 /nfs/dbraw/zinc/03/73/17/1121037317.db2.gz BYEAXRXXZUENRH-CQSZACIVSA-N 1 2 287.407 3.771 20 0 CHADLO Fc1cc(Br)c(CNc2cccc[nH+]2)cc1F ZINC000343980666 1121038974 /nfs/dbraw/zinc/03/89/74/1121038974.db2.gz UFNAALWPAVISJK-UHFFFAOYSA-N 1 2 299.118 3.734 20 0 CHADLO COc1c(C)c[nH+]c(CSc2nc(C)cs2)c1C ZINC000078708896 1128958112 /nfs/dbraw/zinc/95/81/12/1128958112.db2.gz BCHFHJKJLMVLFI-UHFFFAOYSA-N 1 2 280.418 3.764 20 0 CHADLO CC(C)c1nc(C[N@H+]2C[C@@H](C)C(F)(F)[C@@H](C)C2)cs1 ZINC000625648651 1121044609 /nfs/dbraw/zinc/04/46/09/1121044609.db2.gz RRBVNMQZUNWQKL-PHIMTYICSA-N 1 2 288.407 3.990 20 0 CHADLO CC(C)c1nc(C[N@@H+]2C[C@@H](C)C(F)(F)[C@@H](C)C2)cs1 ZINC000625648651 1121044613 /nfs/dbraw/zinc/04/46/13/1121044613.db2.gz RRBVNMQZUNWQKL-PHIMTYICSA-N 1 2 288.407 3.990 20 0 CHADLO C[C@H]1C[NH+](Cc2cc(C(C)(C)C)on2)C[C@H](C)C1(F)F ZINC000625652034 1121046910 /nfs/dbraw/zinc/04/69/10/1121046910.db2.gz GDUYQBXEYHHGRP-QWRGUYRKSA-N 1 2 286.366 3.695 20 0 CHADLO COc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1C ZINC001174829565 1121049139 /nfs/dbraw/zinc/04/91/39/1121049139.db2.gz DKQUFFVWUKDYGT-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO FC1(F)C[C@H]2CC[C@@H](C1)[N@H+]2Cc1csc(C2CC2)n1 ZINC000625678144 1121054042 /nfs/dbraw/zinc/05/40/42/1121054042.db2.gz WGLKPXSJQIRBGD-TXEJJXNPSA-N 1 2 284.375 3.783 20 0 CHADLO FC1(F)C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1csc(C2CC2)n1 ZINC000625678144 1121054047 /nfs/dbraw/zinc/05/40/47/1121054047.db2.gz WGLKPXSJQIRBGD-TXEJJXNPSA-N 1 2 284.375 3.783 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(Cl)oc3ccccc32)[C@H](C)CO1 ZINC000351053062 1121065090 /nfs/dbraw/zinc/06/50/90/1121065090.db2.gz PAARDJTZNYPSKU-GHMZBOCLSA-N 1 2 279.767 3.695 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(Cl)oc3ccccc32)[C@H](C)CO1 ZINC000351053062 1121065096 /nfs/dbraw/zinc/06/50/96/1121065096.db2.gz PAARDJTZNYPSKU-GHMZBOCLSA-N 1 2 279.767 3.695 20 0 CHADLO CC(C)c1cccc(Nc2ccc3c(c2)OCO3)[nH+]1 ZINC001174862838 1121071271 /nfs/dbraw/zinc/07/12/71/1121071271.db2.gz YVJJMERIRSCSRN-UHFFFAOYSA-N 1 2 256.305 3.677 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)C1CCCC1 ZINC000674078989 1121076016 /nfs/dbraw/zinc/07/60/16/1121076016.db2.gz HRGNTLQPJLELKA-CYBMUJFWSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C1CCCC1 ZINC000674079204 1121077866 /nfs/dbraw/zinc/07/78/66/1121077866.db2.gz MLGDBBHODUJHIG-ZDUSSCGKSA-N 1 2 283.375 3.637 20 0 CHADLO C[NH+](C)c1ccc(NC2CCC(F)(F)CC2)cc1 ZINC000688332619 1128961259 /nfs/dbraw/zinc/96/12/59/1128961259.db2.gz ZWAHPFRPBPHMPZ-UHFFFAOYSA-N 1 2 254.324 3.742 20 0 CHADLO CN(C)c1ccc([NH2+]C2CCC(F)(F)CC2)cc1 ZINC000688332619 1128961262 /nfs/dbraw/zinc/96/12/62/1128961262.db2.gz ZWAHPFRPBPHMPZ-UHFFFAOYSA-N 1 2 254.324 3.742 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc4ncccc43)ccc21 ZINC001174908911 1121089204 /nfs/dbraw/zinc/08/92/04/1121089204.db2.gz WJDJDCZINPOPBT-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO FC(F)C1([NH2+]Cc2cc3ccccc3[nH]2)CCCC1 ZINC000674132116 1121090415 /nfs/dbraw/zinc/09/04/15/1121090415.db2.gz YGBOIMVJLYLWPI-UHFFFAOYSA-N 1 2 264.319 3.835 20 0 CHADLO COCc1ccc(Nc2cccc3[nH+]c(C)cn32)cc1 ZINC001174916872 1121092204 /nfs/dbraw/zinc/09/22/04/1121092204.db2.gz IYOWVKGUIFXSOE-UHFFFAOYSA-N 1 2 267.332 3.533 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(C)[nH+]c(C(C)C)n2)o1 ZINC000037976229 1121093474 /nfs/dbraw/zinc/09/34/74/1121093474.db2.gz GZCGUTBXILKJKJ-LBPRGKRZSA-N 1 2 259.353 3.983 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(COC)cc2)c1 ZINC001174919724 1121094091 /nfs/dbraw/zinc/09/40/91/1121094091.db2.gz KXJXJUMUMZFCFT-UHFFFAOYSA-N 1 2 256.349 3.924 20 0 CHADLO FC(F)C1([NH2+]CC2=Cc3ccccc3OC2)CCCC1 ZINC000674135373 1121094983 /nfs/dbraw/zinc/09/49/83/1121094983.db2.gz MLUNWXRHUJQMFS-UHFFFAOYSA-N 1 2 279.330 3.630 20 0 CHADLO CCn1c(C[NH2+]Cc2ccccc2Cl)nc2ccccc21 ZINC000037977283 1121095857 /nfs/dbraw/zinc/09/58/57/1121095857.db2.gz PAWLXQULQODOMR-UHFFFAOYSA-N 1 2 299.805 3.999 20 0 CHADLO COc1cc(F)c(Nc2cccn3cc(C)[nH+]c23)cc1F ZINC001174923595 1121097345 /nfs/dbraw/zinc/09/73/45/1121097345.db2.gz MDYXRHQLHUGNOY-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO c1cc(C2CC2)ccc1Nc1ccc(N2CCOCC2)[nH+]c1 ZINC001174977649 1121100771 /nfs/dbraw/zinc/10/07/71/1121100771.db2.gz MCLWYHWZOZHLEK-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO CC(C)[C@@H](C)N(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CC1 ZINC000344183440 1121113161 /nfs/dbraw/zinc/11/31/61/1121113161.db2.gz AMBWRYKCHJLUGI-CQSZACIVSA-N 1 2 297.402 3.521 20 0 CHADLO CN(C)c1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001174962943 1121115283 /nfs/dbraw/zinc/11/52/83/1121115283.db2.gz QNIXGGHTDNVPQE-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccc3ccccc3n2)cs1 ZINC000344205923 1121120701 /nfs/dbraw/zinc/12/07/01/1121120701.db2.gz CMYQGVPMHFOXBR-NSHDSACASA-N 1 2 283.400 3.851 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ccc(Cl)s2)nc1 ZINC000674387171 1121126324 /nfs/dbraw/zinc/12/63/24/1121126324.db2.gz DOROEWQSZRWRFJ-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ccc(Cl)s2)nc1 ZINC000674387171 1121126329 /nfs/dbraw/zinc/12/63/29/1121126329.db2.gz DOROEWQSZRWRFJ-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO COc1cc(Nc2cccc(Cn3cc[nH+]c3)c2)ccc1C ZINC001175016163 1121134556 /nfs/dbraw/zinc/13/45/56/1121134556.db2.gz QCQAMZLHOUPZBM-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO CCOc1ccc(Nc2ccn3cc[nH+]c3c2)cc1F ZINC001175067385 1121147528 /nfs/dbraw/zinc/14/75/28/1121147528.db2.gz RMCNDPCXRLYAOB-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO O=C(CC1CCCC1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000162698888 1121153504 /nfs/dbraw/zinc/15/35/04/1121153504.db2.gz WCMBHAWLZVUUTI-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO Oc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1 ZINC001175038863 1121155274 /nfs/dbraw/zinc/15/52/74/1121155274.db2.gz ADBBTJRUVVJNBM-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO Cc1cc(NCc2cnc(Cl)s2)nc(C2CCC2)[nH+]1 ZINC000893675858 1121159411 /nfs/dbraw/zinc/15/94/11/1121159411.db2.gz OUBOFIICRDEHOT-UHFFFAOYSA-N 1 2 294.811 3.775 20 0 CHADLO Cc1ccc2c(Nc3ccc(O)cc3)cccc2[nH+]1 ZINC001175051454 1121161368 /nfs/dbraw/zinc/16/13/68/1121161368.db2.gz RNRRXDLTCUSZJW-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO COc1ccc(C[N@H+](C)[C@@H](C)c2ccc(F)cc2F)cn1 ZINC000674749906 1121176620 /nfs/dbraw/zinc/17/66/20/1121176620.db2.gz BSWLGAFIKWOUAQ-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2F)cn1 ZINC000674749906 1121176622 /nfs/dbraw/zinc/17/66/22/1121176622.db2.gz BSWLGAFIKWOUAQ-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO CCOc1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175091406 1121180778 /nfs/dbraw/zinc/18/07/78/1121180778.db2.gz BTNGAFDGHHMXNT-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO CCOc1cccc(Nc2ccc(N(C)CC)[nH+]c2)c1 ZINC001175092767 1121181910 /nfs/dbraw/zinc/18/19/10/1121181910.db2.gz XAZYTCLZIJJDTK-UHFFFAOYSA-N 1 2 271.364 3.680 20 0 CHADLO CCOc1ccc(Nc2cccn3cc[nH+]c23)c(F)c1F ZINC001175149658 1121185693 /nfs/dbraw/zinc/18/56/93/1121185693.db2.gz DJSYFLKTQNRBOF-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO [NH2+]=CNc1c(F)c(C(F)(F)F)ccc1Br ZINC001167871079 1121187433 /nfs/dbraw/zinc/18/74/33/1121187433.db2.gz HNZXPVXTKBQERT-UHFFFAOYSA-N 1 2 285.038 3.626 20 0 CHADLO CCCOCCNc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001167872711 1121190775 /nfs/dbraw/zinc/19/07/75/1121190775.db2.gz QDNAZVSIJALTSR-UHFFFAOYSA-N 1 2 288.313 3.514 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N)ccc1OC(C)C ZINC001175191991 1121204351 /nfs/dbraw/zinc/20/43/51/1121204351.db2.gz JJFXBVZMBJCLGF-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OCC)cc1C ZINC001175166861 1121212675 /nfs/dbraw/zinc/21/26/75/1121212675.db2.gz UFGXKCRTPCNDGX-UHFFFAOYSA-N 1 2 272.348 3.931 20 0 CHADLO CC(=O)c1ccccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001175176484 1121217424 /nfs/dbraw/zinc/21/74/24/1121217424.db2.gz QGBXOFJOCFUIRV-UHFFFAOYSA-N 1 2 285.734 3.934 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccccc1C(C)=O ZINC001175176681 1121217599 /nfs/dbraw/zinc/21/75/99/1121217599.db2.gz IZJWTXDNHVVLNY-UHFFFAOYSA-N 1 2 254.333 3.899 20 0 CHADLO CCOc1cc(Nc2ccn3cc[nH+]c3c2)c(F)cc1F ZINC001212530581 1121225290 /nfs/dbraw/zinc/22/52/90/1121225290.db2.gz HVNYBYBWUJLFFL-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CCOc1cccc(F)c1Nc1ccn2cc[nH+]c2c1 ZINC001175224439 1121230791 /nfs/dbraw/zinc/23/07/91/1121230791.db2.gz DFHCBMNOUMYJQL-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(C)c(O)c2)cc1 ZINC001211706206 1121233707 /nfs/dbraw/zinc/23/37/07/1121233707.db2.gz FKFFBWBUMCUIBV-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(C)c(O)c2)cc1 ZINC001211706206 1121233708 /nfs/dbraw/zinc/23/37/08/1121233708.db2.gz FKFFBWBUMCUIBV-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CCOc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(C)c1 ZINC001175242267 1121241975 /nfs/dbraw/zinc/24/19/75/1121241975.db2.gz JSULZKOUOXHASF-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CCOc1ccc(Nc2[nH+]cccc2N(C)C)c(C)c1 ZINC001175242673 1121241984 /nfs/dbraw/zinc/24/19/84/1121241984.db2.gz KLXZSTFAFMDPJE-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OCC)c(F)c1 ZINC001175247779 1121244229 /nfs/dbraw/zinc/24/42/29/1121244229.db2.gz MJACHOMPKMUFHS-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO Cc1cn2cccc(Nc3ccc(F)c(C)c3)c2[nH+]1 ZINC001175251232 1121247628 /nfs/dbraw/zinc/24/76/28/1121247628.db2.gz JENBWEYYKDKPIQ-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2cc(C)cc(C)[nH+]2)c1 ZINC001175273642 1121248008 /nfs/dbraw/zinc/24/80/08/1121248008.db2.gz ZHZCUDNKPDMMKO-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1ccc(C(C)(C)C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000112298470 1121253139 /nfs/dbraw/zinc/25/31/39/1121253139.db2.gz LPDAPIHLXUSMOZ-UHFFFAOYSA-N 1 2 268.360 3.615 20 0 CHADLO CCOc1c(F)cccc1Nc1c[nH+]c(C)cc1C ZINC001212534425 1121253926 /nfs/dbraw/zinc/25/39/26/1121253926.db2.gz QXPPTENKJKMILO-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](CC(C)C)c1ccccc1C ZINC000112379191 1121255462 /nfs/dbraw/zinc/25/54/62/1121255462.db2.gz KIWUPBJAYXASAX-KRWDZBQOSA-N 1 2 271.408 3.904 20 0 CHADLO CCOc1cc(F)ccc1Nc1ccc(N(C)CC)[nH+]c1 ZINC001175291606 1121258345 /nfs/dbraw/zinc/25/83/45/1121258345.db2.gz YZCSDCNFHCWQOU-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO CCOc1c(F)cccc1Nc1ccc(N(C)CC)[nH+]c1 ZINC001212535390 1121262392 /nfs/dbraw/zinc/26/23/92/1121262392.db2.gz UMXZNTUZSWRBTD-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO CCOc1c(F)cccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001212536771 1121262916 /nfs/dbraw/zinc/26/29/16/1121262916.db2.gz CLHSUWXRJAAHRG-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO CC(C)(C)[C@H]1CCN(c2[nH+]ccc3c(N)cccc32)C1 ZINC000663796118 1121264900 /nfs/dbraw/zinc/26/49/00/1121264900.db2.gz ZWMSUSIAHCCCHR-LBPRGKRZSA-N 1 2 269.392 3.689 20 0 CHADLO Cc1cc(OC(F)F)ccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175343423 1121272621 /nfs/dbraw/zinc/27/26/21/1121272621.db2.gz IGSWLXHIDYYCAX-UHFFFAOYSA-N 1 2 293.317 3.801 20 0 CHADLO CN(C)c1ccc(Nc2cccc(C3CC3)c2)c[nH+]1 ZINC001175345788 1121273507 /nfs/dbraw/zinc/27/35/07/1121273507.db2.gz HZTODALZSJOROZ-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO CC(C)Oc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1F ZINC001175346346 1121273635 /nfs/dbraw/zinc/27/36/35/1121273635.db2.gz UAMNIVXMVZFPOT-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO CN(C)c1ccc(Nc2c(F)cc(Cl)cc2F)c[nH+]1 ZINC001175345245 1121273704 /nfs/dbraw/zinc/27/37/04/1121273704.db2.gz SWVVKUUXHQSLOZ-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO CC(C)n1ncc2cc(Nc3ccc(N(C)C)[nH+]c3)ccc21 ZINC001175346116 1121274343 /nfs/dbraw/zinc/27/43/43/1121274343.db2.gz NFWNCUOZVWUFDI-UHFFFAOYSA-N 1 2 295.390 3.822 20 0 CHADLO CN(C)c1ccc(Nc2cc3ocnc3cc2Cl)c[nH+]1 ZINC001175345695 1121274425 /nfs/dbraw/zinc/27/44/25/1121274425.db2.gz FYNMOMUVXQJWCJ-UHFFFAOYSA-N 1 2 288.738 3.686 20 0 CHADLO COc1cc(C)c(Nc2ccc(N(C)C)[nH+]c2)c(C)c1 ZINC001175345186 1121274678 /nfs/dbraw/zinc/27/46/78/1121274678.db2.gz PEUDPBRLJSRVJE-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO CC(C)OCc1cccc(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001175344170 1121274747 /nfs/dbraw/zinc/27/47/47/1121274747.db2.gz AHKFNTIMYUOODQ-UHFFFAOYSA-N 1 2 285.391 3.816 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)c(Cl)cc2O)c[nH+]1 ZINC001175345235 1121274756 /nfs/dbraw/zinc/27/47/56/1121274756.db2.gz SJKOIDUOHKRUAU-UHFFFAOYSA-N 1 2 298.173 3.904 20 0 CHADLO CC(=O)c1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1C ZINC001175348344 1121275972 /nfs/dbraw/zinc/27/59/72/1121275972.db2.gz FEXRIPMJSRTXNK-UHFFFAOYSA-N 1 2 295.386 3.936 20 0 CHADLO CC[C@H]1C[N@H+](Cc2csc(C(C)(C)C)n2)CCS1 ZINC000174355613 1121281950 /nfs/dbraw/zinc/28/19/50/1121281950.db2.gz MGZAZFFTPSEXGW-LBPRGKRZSA-N 1 2 284.494 3.768 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2csc(C(C)(C)C)n2)CCS1 ZINC000174355613 1121281955 /nfs/dbraw/zinc/28/19/55/1121281955.db2.gz MGZAZFFTPSEXGW-LBPRGKRZSA-N 1 2 284.494 3.768 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(C)cc2F)c[nH+]1 ZINC001175323899 1121282769 /nfs/dbraw/zinc/28/27/69/1121282769.db2.gz HAKFTWJOHXKQPH-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CN(C)c1ccc(Nc2csc(Cl)c2)c[nH+]1 ZINC001175340880 1121290012 /nfs/dbraw/zinc/29/00/12/1121290012.db2.gz LYRPXIXWWJBDOW-UHFFFAOYSA-N 1 2 253.758 3.606 20 0 CHADLO Cc1cc(Nc2ccc(N(C)C)[nH+]c2)c2cccc(F)c2n1 ZINC001175340540 1121290298 /nfs/dbraw/zinc/29/02/98/1121290298.db2.gz KFUACWQMTLRHLT-UHFFFAOYSA-N 1 2 296.349 3.887 20 0 CHADLO Cc1ccnc(F)c1Nc1ccc[nH+]c1N1CCCCC1 ZINC001212555077 1121293787 /nfs/dbraw/zinc/29/37/87/1121293787.db2.gz YOBLTRMRRGKKRA-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO Cc1ccc(C[S@@](=O)CCC2CCCCC2)c(C)[nH+]1 ZINC000614040229 1121295660 /nfs/dbraw/zinc/29/56/60/1121295660.db2.gz AEMAYBXWFWVOPN-IBGZPJMESA-N 1 2 279.449 3.918 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(-n4cccn4)cc3)ccc21 ZINC001175370770 1121300246 /nfs/dbraw/zinc/30/02/46/1121300246.db2.gz XGRJQZJLCDPETE-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO c1cn2ccc(Nc3ccc4ncccc4c3)cc2[nH+]1 ZINC001175376677 1121305045 /nfs/dbraw/zinc/30/50/45/1121305045.db2.gz ZQHSPVCXOPWKLE-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2ncc(C(C)C)o2)n1 ZINC000766139077 1121307108 /nfs/dbraw/zinc/30/71/08/1121307108.db2.gz IFIOEXLXAGIAJI-OAHLLOKOSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2ncc(C(C)C)o2)n1 ZINC000766139077 1121307118 /nfs/dbraw/zinc/30/71/18/1121307118.db2.gz IFIOEXLXAGIAJI-OAHLLOKOSA-N 1 2 285.391 3.839 20 0 CHADLO c1[nH]nc2ncc(Nc3ccc([NH+]4CCCCC4)cc3)cc12 ZINC001175403276 1121316129 /nfs/dbraw/zinc/31/61/29/1121316129.db2.gz FMOODLHIRSBRKC-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCc4ccccc4C3)sc2c1 ZINC000432748797 1121319962 /nfs/dbraw/zinc/31/99/62/1121319962.db2.gz QQMXMZGQKTUVSA-UHFFFAOYSA-N 1 2 298.386 3.994 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCc4ccccc4C3)sc2c1 ZINC000432748797 1121319969 /nfs/dbraw/zinc/31/99/69/1121319969.db2.gz QQMXMZGQKTUVSA-UHFFFAOYSA-N 1 2 298.386 3.994 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOCC2)cc1)C1CCC1 ZINC000322242773 1121321135 /nfs/dbraw/zinc/32/11/35/1121321135.db2.gz APXQZYALTHZHLR-KRWDZBQOSA-N 1 2 274.408 3.514 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1nc(Cl)nc2sccc21 ZINC001162657861 1121325997 /nfs/dbraw/zinc/32/59/97/1121325997.db2.gz RTURZRFVYNZVNH-UHFFFAOYSA-N 1 2 268.773 3.780 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cc(Cl)nc(-c2ccccn2)n1 ZINC001162656660 1121326043 /nfs/dbraw/zinc/32/60/43/1121326043.db2.gz AIFRBJTYSIJDOV-UHFFFAOYSA-N 1 2 289.770 3.627 20 0 CHADLO Cc1c2c[nH]nc2ccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001175465634 1121329406 /nfs/dbraw/zinc/32/94/06/1121329406.db2.gz ISYIIHKBLBIADC-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO CCc1cccc(Nc2ccc3n[nH]cc3c2C)[nH+]1 ZINC001175465066 1121329818 /nfs/dbraw/zinc/32/98/18/1121329818.db2.gz JIUPCWTYZOZTJZ-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc([S@](C)=O)cc2)c1 ZINC001175477695 1121337024 /nfs/dbraw/zinc/33/70/24/1121337024.db2.gz BHTLJPBHYYCWOC-IBGZPJMESA-N 1 2 274.389 3.515 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2nccnc2c1 ZINC001175489398 1121341458 /nfs/dbraw/zinc/34/14/58/1121341458.db2.gz REVYMDGDTOPXKW-UHFFFAOYSA-N 1 2 264.332 3.639 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](C)[C@H]2CC[C@@H](C)C2)no1 ZINC000429580850 1121341541 /nfs/dbraw/zinc/34/15/41/1121341541.db2.gz RHGMXROFQAWEMQ-CJNGLKHVSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](C)[C@H]2CC[C@@H](C)C2)no1 ZINC000429580850 1121341551 /nfs/dbraw/zinc/34/15/51/1121341551.db2.gz RHGMXROFQAWEMQ-CJNGLKHVSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(F)nc1F ZINC001213494632 1121345334 /nfs/dbraw/zinc/34/53/34/1121345334.db2.gz DAKYVVUEBHMCND-UHFFFAOYSA-N 1 2 261.275 3.684 20 0 CHADLO Cc1cc(C)n(CCCNc2cc3ccccc3c[nH+]2)n1 ZINC000631037507 1128980001 /nfs/dbraw/zinc/98/00/01/1128980001.db2.gz RQZVCHQJQMTBDW-UHFFFAOYSA-N 1 2 280.375 3.550 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]C1(c2cccc(F)c2)CC1 ZINC000925878893 1121363121 /nfs/dbraw/zinc/36/31/21/1121363121.db2.gz NQHDGJLUEMVDKE-AWEZNQCLSA-N 1 2 286.350 3.778 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2CCOc3ccc(F)cc32)n1 ZINC000675809229 1121364741 /nfs/dbraw/zinc/36/47/41/1121364741.db2.gz DBXFBXCMQGHOQX-GXFFZTMASA-N 1 2 292.379 3.765 20 0 CHADLO Cc1ccc2[nH]ncc2c1Nc1cccc2[nH+]cn(C)c21 ZINC001175527807 1121370013 /nfs/dbraw/zinc/37/00/13/1121370013.db2.gz WTCSPSCKMQDONZ-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO OCc1ccc(Nc2ccc([NH+]3CCCCC3)cc2)cc1 ZINC001175567667 1121390852 /nfs/dbraw/zinc/39/08/52/1121390852.db2.gz NZTCWCIRIQHAQZ-UHFFFAOYSA-N 1 2 282.387 3.913 20 0 CHADLO CC(C)(C)C=CNc1cccc(Cn2cc[nH+]c2)c1 ZINC001175571547 1121392069 /nfs/dbraw/zinc/39/20/69/1121392069.db2.gz ZEJMCXZREBXXDX-BQYQJAHWSA-N 1 2 255.365 3.903 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@H+]([C@@H]1CCCOC1)CC2 ZINC000676010170 1121396465 /nfs/dbraw/zinc/39/64/65/1121396465.db2.gz UJBLPDNCMBXGFH-GFCCVEGCSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@@H+]([C@@H]1CCCOC1)CC2 ZINC000676010170 1121396471 /nfs/dbraw/zinc/39/64/71/1121396471.db2.gz UJBLPDNCMBXGFH-GFCCVEGCSA-N 1 2 286.202 3.531 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(SC)nc1 ZINC001175651859 1121408695 /nfs/dbraw/zinc/40/86/95/1121408695.db2.gz TYKKAEQFEPRVPA-UHFFFAOYSA-N 1 2 259.378 3.813 20 0 CHADLO CSc1ccc(Nc2cccc3[nH+]c(C)cn32)cn1 ZINC001175651363 1121408984 /nfs/dbraw/zinc/40/89/84/1121408984.db2.gz KLGLXTJCYCIQAZ-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(C(=O)NC2CC2)cc1 ZINC001175606439 1121410246 /nfs/dbraw/zinc/41/02/46/1121410246.db2.gz MOCOSCGQTMQKIO-UHFFFAOYSA-N 1 2 295.386 3.588 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2ccncc2c1 ZINC001175702418 1121420697 /nfs/dbraw/zinc/42/06/97/1121420697.db2.gz IYLTXOSSSQRUJT-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO CSc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC001175654837 1121421014 /nfs/dbraw/zinc/42/10/14/1121421014.db2.gz RFHKAFXPULHHJO-UHFFFAOYSA-N 1 2 286.404 3.542 20 0 CHADLO Cc1n[nH]c2cc(Nc3cc(Cl)c4[nH+]ccn4c3)ccc12 ZINC001175660293 1121425089 /nfs/dbraw/zinc/42/50/89/1121425089.db2.gz OTVXFVSVGSZVSB-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO CC(C)(C)c1ccccc1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000676370454 1121429251 /nfs/dbraw/zinc/42/92/51/1121429251.db2.gz JYEFEFCEYOHBAR-UHFFFAOYSA-N 1 2 293.370 3.884 20 0 CHADLO COc1cccc2c(N[C@@H]3CCC(F)(F)C3)cc[nH+]c12 ZINC000681982614 1121432159 /nfs/dbraw/zinc/43/21/59/1121432159.db2.gz SXOSKUPWXJIMHJ-SNVBAGLBSA-N 1 2 278.302 3.843 20 0 CHADLO CC[C@H](C[N@@H+]1C[C@H](c2ccccc2Cl)OC[C@H]1C)OC ZINC000419207074 1121435766 /nfs/dbraw/zinc/43/57/66/1121435766.db2.gz OCFPZDFVFNRDKM-XJKCOSOUSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C[N@H+]1C[C@H](c2ccccc2Cl)OC[C@H]1C)OC ZINC000419207074 1121435769 /nfs/dbraw/zinc/43/57/69/1121435769.db2.gz OCFPZDFVFNRDKM-XJKCOSOUSA-N 1 2 297.826 3.527 20 0 CHADLO Cc1cc(N2CCC(F)(F)[C@H](C)C2)nc(C2CCC2)[nH+]1 ZINC000893905870 1121437764 /nfs/dbraw/zinc/43/77/64/1121437764.db2.gz SUHVVFOGSZPXTF-SNVBAGLBSA-N 1 2 281.350 3.534 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2cnn(C(C)C)c2)c(C)s1 ZINC000676489938 1121438824 /nfs/dbraw/zinc/43/88/24/1121438824.db2.gz NAVOIBAPSYGUJH-QWRGUYRKSA-N 1 2 292.452 3.949 20 0 CHADLO Cc1cscc1N[C@H](C)c1cn2c([nH+]1)CCCC2 ZINC000925926814 1121444542 /nfs/dbraw/zinc/44/45/42/1121444542.db2.gz TVNKYGUUSNHJCF-LLVKDONJSA-N 1 2 261.394 3.762 20 0 CHADLO Fc1cccc(/C=C/C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)c1 ZINC000893987942 1121452364 /nfs/dbraw/zinc/45/23/64/1121452364.db2.gz WSMJWRZTEHACHM-NBUZRDOWSA-N 1 2 285.366 3.789 20 0 CHADLO Fc1cccc(/C=C/C[N@H+]2CCCC[C@H]2c2ncc[nH]2)c1 ZINC000893987942 1121452370 /nfs/dbraw/zinc/45/23/70/1121452370.db2.gz WSMJWRZTEHACHM-NBUZRDOWSA-N 1 2 285.366 3.789 20 0 CHADLO Cc1cscc1Nc1ccc[nH+]c1N1CCCC1 ZINC001175765588 1121458365 /nfs/dbraw/zinc/45/83/65/1121458365.db2.gz NMDQGRKTAZQRBW-UHFFFAOYSA-N 1 2 259.378 3.795 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+](CCC(F)(F)F)CC2CC2)n1 ZINC000894089732 1121467435 /nfs/dbraw/zinc/46/74/35/1121467435.db2.gz WMFHJSLUQVUCBW-UHFFFAOYSA-N 1 2 289.345 3.698 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2c(C)noc2C)c[nH+]1 ZINC000925932661 1121467877 /nfs/dbraw/zinc/46/78/77/1121467877.db2.gz NERVYJBSMLXOBJ-NSHDSACASA-N 1 2 288.395 3.706 20 0 CHADLO COc1cccc(Nc2cccn3cc[nH+]c23)c1Cl ZINC001175896809 1121479447 /nfs/dbraw/zinc/47/94/47/1121479447.db2.gz YFQMLWZKMFOTQM-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO CC(C)Oc1ccc(Nc2[nH+]cccc2N)cc1Cl ZINC001175870854 1121486413 /nfs/dbraw/zinc/48/64/13/1121486413.db2.gz XYSPSAYXIKVHKI-UHFFFAOYSA-N 1 2 277.755 3.848 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+]2CCCC(F)(F)CC2)n1 ZINC000625768397 1121489468 /nfs/dbraw/zinc/48/94/68/1121489468.db2.gz WEWFIEDXWBOYKL-LLVKDONJSA-N 1 2 287.354 3.594 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+]2CCCC(F)(F)CC2)n1 ZINC000625768397 1121489472 /nfs/dbraw/zinc/48/94/72/1121489472.db2.gz WEWFIEDXWBOYKL-LLVKDONJSA-N 1 2 287.354 3.594 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2scnc2c1 ZINC001175911439 1121495363 /nfs/dbraw/zinc/49/53/63/1121495363.db2.gz NQJRLTMDUMABRO-UHFFFAOYSA-N 1 2 271.345 3.834 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)cc(C)c1N ZINC001175932848 1121500151 /nfs/dbraw/zinc/50/01/51/1121500151.db2.gz XVZBIITWASMNTF-UHFFFAOYSA-N 1 2 282.391 3.624 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(C)c(N)c(C)c1 ZINC001175931685 1121500959 /nfs/dbraw/zinc/50/09/59/1121500959.db2.gz LHGFDGIGPMBZKI-UHFFFAOYSA-N 1 2 255.365 3.895 20 0 CHADLO Cc1nn(C)cc1[C@@H]1CCCN1c1cc2ccccc2c[nH+]1 ZINC000631164119 1128988986 /nfs/dbraw/zinc/98/89/86/1128988986.db2.gz NLZQXDHVXLAZMR-KRWDZBQOSA-N 1 2 292.386 3.618 20 0 CHADLO COC(=O)[C@@H](c1ccccc1F)[N@H+](C)C[C@H](C)C(C)(C)C ZINC001175984147 1121511653 /nfs/dbraw/zinc/51/16/53/1121511653.db2.gz REVOEMAOUGPIRJ-SWLSCSKDSA-N 1 2 295.398 3.654 20 0 CHADLO COC(=O)[C@@H](c1ccccc1F)[N@@H+](C)C[C@H](C)C(C)(C)C ZINC001175984147 1121511656 /nfs/dbraw/zinc/51/16/56/1121511656.db2.gz REVOEMAOUGPIRJ-SWLSCSKDSA-N 1 2 295.398 3.654 20 0 CHADLO Cc1nnc(-c2cccc(Nc3ccc(C)[nH+]c3C)c2)o1 ZINC001175988503 1121515003 /nfs/dbraw/zinc/51/50/03/1121515003.db2.gz NOTDUJVYUXUWFQ-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO CCN(C)c1ccc(Nc2ccccc2OC(F)F)c[nH+]1 ZINC001176011120 1121525384 /nfs/dbraw/zinc/52/53/84/1121525384.db2.gz LTNIFYJALHLMHO-UHFFFAOYSA-N 1 2 293.317 3.883 20 0 CHADLO CC(C)c1cccc(Nc2cccc(N3CCOCC3)c2)[nH+]1 ZINC001176016173 1121526275 /nfs/dbraw/zinc/52/62/75/1121526275.db2.gz HAONXTFNJIIKJQ-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2csc3ccccc23)[nH]1 ZINC000411165022 1121528313 /nfs/dbraw/zinc/52/83/13/1121528313.db2.gz JLMQTQUFWNNBDF-SNVBAGLBSA-N 1 2 286.404 3.521 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2csc3ccccc23)[nH]1 ZINC000411165022 1121528318 /nfs/dbraw/zinc/52/83/18/1121528318.db2.gz JLMQTQUFWNNBDF-SNVBAGLBSA-N 1 2 286.404 3.521 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCS[C@@H](C)[C@@H]2C)cs1 ZINC000345084402 1121535811 /nfs/dbraw/zinc/53/58/11/1121535811.db2.gz IRLYKBBUQDOBGO-RYUDHWBXSA-N 1 2 284.494 3.812 20 0 CHADLO CCCCc1nc(C[N@H+]2CCS[C@@H](C)[C@@H]2C)cs1 ZINC000345084402 1121535817 /nfs/dbraw/zinc/53/58/17/1121535817.db2.gz IRLYKBBUQDOBGO-RYUDHWBXSA-N 1 2 284.494 3.812 20 0 CHADLO Cc1cc2cc(C[NH2+][C@H](C)c3ccon3)oc2cc1C ZINC000543638490 1121544425 /nfs/dbraw/zinc/54/44/25/1121544425.db2.gz ZCELTKHVZCUHPE-GFCCVEGCSA-N 1 2 270.332 3.888 20 0 CHADLO COc1cccc2[nH]c(Nc3ccc4c(c3)[nH+]cn4C)cc21 ZINC001176064449 1121545952 /nfs/dbraw/zinc/54/59/52/1121545952.db2.gz SPCWXWMRJWRBAU-UHFFFAOYSA-N 1 2 292.342 3.807 20 0 CHADLO COc1cc[nH+]cc1Nc1c(Cl)ccnc1Cl ZINC001176116892 1121556071 /nfs/dbraw/zinc/55/60/71/1121556071.db2.gz PAIWURSHBVZZGV-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO COc1cc[nH+]cc1Nc1nc2c(cccc2C)s1 ZINC001176119551 1121556917 /nfs/dbraw/zinc/55/69/17/1121556917.db2.gz UAEYRYRRZCETKB-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2cccc(F)c2nc1C ZINC001176119355 1121557084 /nfs/dbraw/zinc/55/70/84/1121557084.db2.gz QDKHYSOKXRVUAO-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc(Cl)cc1Cl ZINC001176118849 1121557119 /nfs/dbraw/zinc/55/71/19/1121557119.db2.gz PICAQNUDZQZDDH-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)cc(F)c1Cl ZINC001176123916 1121558967 /nfs/dbraw/zinc/55/89/67/1121558967.db2.gz XHNHNEWHNVHWPG-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2ccc(O)cc21 ZINC001176123053 1121559408 /nfs/dbraw/zinc/55/94/08/1121559408.db2.gz LFMSDAISMLTECW-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO CCc1cc(OCc2conc2C)c2ccccc2[nH+]1 ZINC000663998186 1121565318 /nfs/dbraw/zinc/56/53/18/1121565318.db2.gz KDYWKGATRRHIBK-UHFFFAOYSA-N 1 2 268.316 3.673 20 0 CHADLO CCC(CC)Cn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000663993588 1121566299 /nfs/dbraw/zinc/56/62/99/1121566299.db2.gz CYRXKHWVUVRDRI-UHFFFAOYSA-N 1 2 271.286 3.886 20 0 CHADLO Cc1cc(C)c(CNc2ccc(C(F)(F)F)nc2)c[nH+]1 ZINC000894118143 1121566863 /nfs/dbraw/zinc/56/68/63/1121566863.db2.gz NNORFHLGUVRTCW-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO Cc1noc2ncc(CNc3ccc([NH+](C)C)c(C)c3)cc12 ZINC000894119641 1121569060 /nfs/dbraw/zinc/56/90/60/1121569060.db2.gz GPFAEFFGPNGBLK-UHFFFAOYSA-N 1 2 296.374 3.518 20 0 CHADLO CC(C)([NH2+]Cc1ccsc1Cl)c1nccs1 ZINC000336665294 1121571169 /nfs/dbraw/zinc/57/11/69/1121571169.db2.gz LMSZFMGLBJIAIZ-UHFFFAOYSA-N 1 2 272.826 3.883 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1N(C)c1[nH+]cccc1N ZINC001176204981 1121573956 /nfs/dbraw/zinc/57/39/56/1121573956.db2.gz PQAPFPRORJCMOD-UHFFFAOYSA-N 1 2 281.281 3.759 20 0 CHADLO O=C1CCc2cc(Nc3ccccc3-n3cc[nH+]c3)ccc21 ZINC001176231883 1121581852 /nfs/dbraw/zinc/58/18/52/1121581852.db2.gz JLTJHKQEGIIFOI-UHFFFAOYSA-N 1 2 289.338 3.745 20 0 CHADLO CC(C)C[C@H](C(=O)Nc1ccc(Cl)cc1)n1cc[nH+]c1 ZINC000635064066 1121583179 /nfs/dbraw/zinc/58/31/79/1121583179.db2.gz PHDBBFNOVIUCNA-CQSZACIVSA-N 1 2 291.782 3.762 20 0 CHADLO Oc1ccc2cc(Nc3ccn4cc[nH+]c4c3)ccc2c1 ZINC001176386969 1121608524 /nfs/dbraw/zinc/60/85/24/1121608524.db2.gz JOGXRGWKBDXYPN-UHFFFAOYSA-N 1 2 275.311 3.937 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)c(OC)cc1F ZINC001214060499 1121609439 /nfs/dbraw/zinc/60/94/39/1121609439.db2.gz TYPAIWDYXSYEMN-UHFFFAOYSA-N 1 2 282.702 3.635 20 0 CHADLO COc1cc(Nc2c(F)cc(F)c(F)c2F)cc(C)[nH+]1 ZINC001176349185 1121611242 /nfs/dbraw/zinc/61/12/42/1121611242.db2.gz FFZFLYDLDNGUBN-UHFFFAOYSA-N 1 2 286.228 3.699 20 0 CHADLO OCc1cc(Nc2cc[nH+]c3ccc(Cl)cc23)cs1 ZINC001176367664 1121615166 /nfs/dbraw/zinc/61/51/66/1121615166.db2.gz CNTMVGFFPHMGFI-UHFFFAOYSA-N 1 2 290.775 3.608 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2scnc2C2CC2)cs1 ZINC000487272446 1121617173 /nfs/dbraw/zinc/61/71/73/1121617173.db2.gz HWFPCWNYXIRIHA-VIFPVBQESA-N 1 2 293.461 3.890 20 0 CHADLO C[C@@H](O)[C@H](C)n1c2ccccc2[nH+]c1NCc1ccccc1 ZINC000268049353 1121633439 /nfs/dbraw/zinc/63/34/39/1121633439.db2.gz NZIVXTVZOZEDQY-UONOGXRCSA-N 1 2 295.386 3.590 20 0 CHADLO COC(=O)c1ccccc1C[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000611274582 1121633960 /nfs/dbraw/zinc/63/39/60/1121633960.db2.gz XKCOWVGPFKSWJV-QGZVFWFLSA-N 1 2 299.345 3.559 20 0 CHADLO COC(=O)c1ccccc1C[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000611274582 1121633963 /nfs/dbraw/zinc/63/39/63/1121633963.db2.gz XKCOWVGPFKSWJV-QGZVFWFLSA-N 1 2 299.345 3.559 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(C(=O)N(C)CC)c1 ZINC001176463769 1121635061 /nfs/dbraw/zinc/63/50/61/1121635061.db2.gz CPFBADTXUYSWGG-UHFFFAOYSA-N 1 2 297.402 3.788 20 0 CHADLO CN(C)c1ccc(Nc2cccc(OCC3CC3)c2)c[nH+]1 ZINC001176490107 1121637584 /nfs/dbraw/zinc/63/75/84/1121637584.db2.gz GAIPJLCQSNUWQW-UHFFFAOYSA-N 1 2 283.375 3.680 20 0 CHADLO Cc1ccn2c(C[NH2+]C3(C(F)F)CCCCC3)cnc2c1 ZINC000512914002 1121642089 /nfs/dbraw/zinc/64/20/89/1121642089.db2.gz VLPMUXLNMATUPH-UHFFFAOYSA-N 1 2 293.361 3.700 20 0 CHADLO FC(F)(F)Oc1cccc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001176538194 1121645367 /nfs/dbraw/zinc/64/53/67/1121645367.db2.gz WHNZVSGTKKEDBH-UHFFFAOYSA-N 1 2 293.248 3.977 20 0 CHADLO CN(c1ccc(OC(C)(C)C)cc1)c1[nH+]cccc1N ZINC001176637548 1121665533 /nfs/dbraw/zinc/66/55/33/1121665533.db2.gz SRIYMOLAEAPCFW-UHFFFAOYSA-N 1 2 271.364 3.609 20 0 CHADLO c1ccc([C@@H]2CCCC[N@H+]2Cc2nc(C3CC3)no2)cc1 ZINC000273903142 1121682881 /nfs/dbraw/zinc/68/28/81/1121682881.db2.gz YAGSCYRAKHLVDR-HNNXBMFYSA-N 1 2 283.375 3.674 20 0 CHADLO c1ccc([C@@H]2CCCC[N@@H+]2Cc2nc(C3CC3)no2)cc1 ZINC000273903142 1121682884 /nfs/dbraw/zinc/68/28/84/1121682884.db2.gz YAGSCYRAKHLVDR-HNNXBMFYSA-N 1 2 283.375 3.674 20 0 CHADLO c1ccc2nc(Nc3ccc[nH+]c3N3CCCC3)ccc2c1 ZINC001176742884 1121686818 /nfs/dbraw/zinc/68/68/18/1121686818.db2.gz AOQIMPDMJMTCBR-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO C[C@H]1CCC(F)(F)C[N@@H+]1CC[C@@H]1CCCC1(F)F ZINC001176896243 1121701916 /nfs/dbraw/zinc/70/19/16/1121701916.db2.gz FMXSDSPCSAAAFT-QWRGUYRKSA-N 1 2 267.310 3.932 20 0 CHADLO C[C@H]1CCC(F)(F)C[N@H+]1CC[C@@H]1CCCC1(F)F ZINC001176896243 1121701920 /nfs/dbraw/zinc/70/19/20/1121701920.db2.gz FMXSDSPCSAAAFT-QWRGUYRKSA-N 1 2 267.310 3.932 20 0 CHADLO C[C@@H]1CCC(F)(F)C[N@@H+]1CCCc1cccc(F)c1 ZINC001176898893 1121702079 /nfs/dbraw/zinc/70/20/79/1121702079.db2.gz UEMZLTCJJMKFJB-GFCCVEGCSA-N 1 2 271.326 3.878 20 0 CHADLO C[C@@H]1CCC(F)(F)C[N@H+]1CCCc1cccc(F)c1 ZINC001176898893 1121702086 /nfs/dbraw/zinc/70/20/86/1121702086.db2.gz UEMZLTCJJMKFJB-GFCCVEGCSA-N 1 2 271.326 3.878 20 0 CHADLO C[C@H]1CCC(F)(F)C[N@@H+]1CCCc1cccc(F)c1 ZINC001176898895 1121702318 /nfs/dbraw/zinc/70/23/18/1121702318.db2.gz UEMZLTCJJMKFJB-LBPRGKRZSA-N 1 2 271.326 3.878 20 0 CHADLO C[C@H]1CCC(F)(F)C[N@H+]1CCCc1cccc(F)c1 ZINC001176898895 1121702328 /nfs/dbraw/zinc/70/23/28/1121702328.db2.gz UEMZLTCJJMKFJB-LBPRGKRZSA-N 1 2 271.326 3.878 20 0 CHADLO CCc1ccc2c(c1)C[N@@H+](Cc1ncc(C(C)C)o1)CC2 ZINC001177027123 1121707732 /nfs/dbraw/zinc/70/77/32/1121707732.db2.gz FQBFKNQZAISUNX-UHFFFAOYSA-N 1 2 284.403 3.919 20 0 CHADLO CCc1ccc2c(c1)C[N@H+](Cc1ncc(C(C)C)o1)CC2 ZINC001177027123 1121707737 /nfs/dbraw/zinc/70/77/37/1121707737.db2.gz FQBFKNQZAISUNX-UHFFFAOYSA-N 1 2 284.403 3.919 20 0 CHADLO C[C@H](CNCc1ccc(Cl)s1)[N@@H+]1CCCC[C@H]1C ZINC001177278164 1121722644 /nfs/dbraw/zinc/72/26/44/1121722644.db2.gz TWTOCHVJKMYNCJ-VXGBXAGGSA-N 1 2 286.872 3.754 20 0 CHADLO C[C@H](CNCc1ccc(Cl)s1)[N@H+]1CCCC[C@H]1C ZINC001177278164 1121722650 /nfs/dbraw/zinc/72/26/50/1121722650.db2.gz TWTOCHVJKMYNCJ-VXGBXAGGSA-N 1 2 286.872 3.754 20 0 CHADLO CCC[N@H+](CC)Cn1nc(Cc2cccs2)oc1=S ZINC000727821248 1121750969 /nfs/dbraw/zinc/75/09/69/1121750969.db2.gz XAUPTIVKDVRRLX-UHFFFAOYSA-N 1 2 297.449 3.547 20 0 CHADLO CCC[N@@H+](CC)Cn1nc(Cc2cccs2)oc1=S ZINC000727821248 1121750973 /nfs/dbraw/zinc/75/09/73/1121750973.db2.gz XAUPTIVKDVRRLX-UHFFFAOYSA-N 1 2 297.449 3.547 20 0 CHADLO Cc1ccc(CN(C)c2cc(C(C)C)[nH+]c(C)n2)cc1 ZINC001166536329 1121756288 /nfs/dbraw/zinc/75/62/88/1121756288.db2.gz QHNIMMVMKGNBSY-UHFFFAOYSA-N 1 2 269.392 3.853 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1ncc[nH]1)c1ccc(F)cc1F ZINC000286328895 1121766760 /nfs/dbraw/zinc/76/67/60/1121766760.db2.gz XWZAQLJXDXVNFJ-HZMBPMFUSA-N 1 2 279.334 3.880 20 0 CHADLO CCC[C@@H]([NH2+]Cc1csc(C)n1)c1cc(C)ccn1 ZINC000631569450 1129007913 /nfs/dbraw/zinc/00/79/13/1129007913.db2.gz HKROKGMDEABTKU-CQSZACIVSA-N 1 2 275.421 3.786 20 0 CHADLO Cc1ccc(NCc2ccc3c(c2)CCCO3)c(C)[nH+]1 ZINC001178259482 1121777503 /nfs/dbraw/zinc/77/75/03/1121777503.db2.gz OVRIRPHBASQLRD-UHFFFAOYSA-N 1 2 268.360 3.636 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cc(-c3ccco3)on2)c1 ZINC000052893088 1121778758 /nfs/dbraw/zinc/77/87/58/1121778758.db2.gz MMZYRRXLODCJEO-UHFFFAOYSA-N 1 2 298.342 3.575 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cc(-c3ccco3)on2)c1 ZINC000052893088 1121778766 /nfs/dbraw/zinc/77/87/66/1121778766.db2.gz MMZYRRXLODCJEO-UHFFFAOYSA-N 1 2 298.342 3.575 20 0 CHADLO c1cc2c(s1)CN(c1cc3ccccc3c[nH+]1)CC2 ZINC001166682960 1121779836 /nfs/dbraw/zinc/77/98/36/1121779836.db2.gz RUWMZFQFJMRIAQ-UHFFFAOYSA-N 1 2 266.369 3.859 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cnc(C)s2)C2CC2)o1 ZINC000619724823 1129008741 /nfs/dbraw/zinc/00/87/41/1129008741.db2.gz AMLNFHZVAOEAPX-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cnc(C)s2)C2CC2)o1 ZINC000619724823 1129008746 /nfs/dbraw/zinc/00/87/46/1129008746.db2.gz AMLNFHZVAOEAPX-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1cccc(F)c1F ZINC000052916665 1121782468 /nfs/dbraw/zinc/78/24/68/1121782468.db2.gz ZVVFQCIEXOMUSV-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1cccc(F)c1F ZINC000052916665 1121782474 /nfs/dbraw/zinc/78/24/74/1121782474.db2.gz ZVVFQCIEXOMUSV-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO CC1CCC(=CC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000261484690 1121791941 /nfs/dbraw/zinc/79/19/41/1121791941.db2.gz PJHWQFQCWNEIED-UHFFFAOYSA-N 1 2 295.386 3.947 20 0 CHADLO Cc1ccccc1C1CC[NH+](Cn2cc(Cl)cn2)CC1 ZINC000730255078 1121799649 /nfs/dbraw/zinc/79/96/49/1121799649.db2.gz UKXZHMWXAFNIMZ-UHFFFAOYSA-N 1 2 289.810 3.682 20 0 CHADLO c1nc(N2CCCCC2)cc(NC2CCC3(CC3)CC2)[nH+]1 ZINC000433795089 1121809984 /nfs/dbraw/zinc/80/99/84/1121809984.db2.gz BRYGROOQJODSTI-UHFFFAOYSA-N 1 2 286.423 3.602 20 0 CHADLO c1nc(NC2CCC3(CC3)CC2)cc(N2CCCCC2)[nH+]1 ZINC000433795089 1121809994 /nfs/dbraw/zinc/80/99/94/1121809994.db2.gz BRYGROOQJODSTI-UHFFFAOYSA-N 1 2 286.423 3.602 20 0 CHADLO CCCCCCOc1cccc(C[NH2+]Cc2cocn2)c1 ZINC000730819107 1121829729 /nfs/dbraw/zinc/82/97/29/1121829729.db2.gz LORVVEWASWJNAD-UHFFFAOYSA-N 1 2 288.391 3.924 20 0 CHADLO CCCCCCOc1ccc(C[NH2+]Cc2cocn2)cc1 ZINC000730818793 1121830027 /nfs/dbraw/zinc/83/00/27/1121830027.db2.gz FQRJQZXEHVHNHF-UHFFFAOYSA-N 1 2 288.391 3.924 20 0 CHADLO CSc1ccc(CO[NH+]=C(N)Cc2cccs2)cc1 ZINC000731029335 1121842562 /nfs/dbraw/zinc/84/25/62/1121842562.db2.gz SEDOHIJRORXFBY-UHFFFAOYSA-N 1 2 292.429 3.711 20 0 CHADLO Fc1ccccc1CNc1c[nH+]cc2c1CCCC2 ZINC001179146641 1121853513 /nfs/dbraw/zinc/85/35/13/1121853513.db2.gz BACVCWKDTBJHFW-UHFFFAOYSA-N 1 2 256.324 3.712 20 0 CHADLO Cn1ccc(CNc2ccc(Nc3ccccc3)c[nH+]2)c1 ZINC001179205712 1121857631 /nfs/dbraw/zinc/85/76/31/1121857631.db2.gz VUVKPJRDNKEPJD-UHFFFAOYSA-N 1 2 278.359 3.776 20 0 CHADLO Oc1ccc(Cl)c(C[NH2+]Cc2cc(F)ccc2F)c1 ZINC001179458440 1121880791 /nfs/dbraw/zinc/88/07/91/1121880791.db2.gz UIMWRBZHMWLTDQ-UHFFFAOYSA-N 1 2 283.705 3.614 20 0 CHADLO c1nc(C[NH2+][C@@H](Cc2ccccc2)c2ccccn2)cs1 ZINC001179730634 1121904777 /nfs/dbraw/zinc/90/47/77/1121904777.db2.gz ZPJYKXBBNNCCCH-KRWDZBQOSA-N 1 2 295.411 3.612 20 0 CHADLO COCc1cc[nH+]c(NC[C@@H](C)c2ccc(C)cc2)c1 ZINC000628326873 1121917499 /nfs/dbraw/zinc/91/74/99/1121917499.db2.gz YSFBCUYKTQAUIA-CQSZACIVSA-N 1 2 270.376 3.752 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCc2cc(Br)cc(F)c2C1 ZINC000501009391 1121937825 /nfs/dbraw/zinc/93/78/25/1121937825.db2.gz ZVOJDCGWYKYFPY-SECBINFHSA-N 1 2 286.188 3.745 20 0 CHADLO CC[C@@H](C)[N@H+]1CCc2cc(Br)cc(F)c2C1 ZINC000501009391 1121937830 /nfs/dbraw/zinc/93/78/30/1121937830.db2.gz ZVOJDCGWYKYFPY-SECBINFHSA-N 1 2 286.188 3.745 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@@H](C)c1ccc(OC(C)C)cc1 ZINC000821971573 1121940551 /nfs/dbraw/zinc/94/05/51/1121940551.db2.gz RNXQNCSFKBRIKG-RYUDHWBXSA-N 1 2 289.379 3.577 20 0 CHADLO C[C@H](Oc1ccc(-c2c[nH+]cn2C)cc1)C1CCC1 ZINC001231082952 1121963732 /nfs/dbraw/zinc/96/37/32/1121963732.db2.gz YEYFTJWKDQYMHT-LBPRGKRZSA-N 1 2 256.349 3.655 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@@H+]1CC[C@](C)(F)C1 ZINC000501407703 1121976683 /nfs/dbraw/zinc/97/66/83/1121976683.db2.gz DUHFWJYCSLXUAW-MFKMUULPSA-N 1 2 286.188 3.944 20 0 CHADLO Cc1ccc(Nc2nc(C(F)(F)F)cs2)c(C)[nH+]1 ZINC001203363526 1122000912 /nfs/dbraw/zinc/00/09/12/1122000912.db2.gz VCWPLCHAZBQNCC-UHFFFAOYSA-N 1 2 273.283 3.917 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2cccc(F)c2F)c(C)s1 ZINC000631726224 1129024698 /nfs/dbraw/zinc/02/46/98/1129024698.db2.gz PJTOEEMIXWREPO-QMMMGPOBSA-N 1 2 282.359 3.889 20 0 CHADLO Cc1cc(CN2C[C@@H](C)Cc3ccccc32)cc(C)[nH+]1 ZINC001180732848 1122013987 /nfs/dbraw/zinc/01/39/87/1122013987.db2.gz UWAQEUQGRWFHEO-ZDUSSCGKSA-N 1 2 266.388 3.897 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2cc(-c3ccccc3)n(C)n2)o1 ZINC000631730517 1129025545 /nfs/dbraw/zinc/02/55/45/1129025545.db2.gz WJVQJZFCQKJDGE-CQSZACIVSA-N 1 2 295.386 3.839 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@@H]1C[C@H](OC(C)C)C1(C)C ZINC001180950540 1122025202 /nfs/dbraw/zinc/02/52/02/1122025202.db2.gz CCRKRCLLUKUIHM-CABCVRRESA-N 1 2 292.423 3.873 20 0 CHADLO Cn1cc(Cl)c(C[N@H+](C)CCCCc2ccccc2)n1 ZINC000420897072 1122040535 /nfs/dbraw/zinc/04/05/35/1122040535.db2.gz AEDYZBNOHJZPOP-UHFFFAOYSA-N 1 2 291.826 3.528 20 0 CHADLO Cn1cc(Cl)c(C[N@@H+](C)CCCCc2ccccc2)n1 ZINC000420897072 1122040541 /nfs/dbraw/zinc/04/05/41/1122040541.db2.gz AEDYZBNOHJZPOP-UHFFFAOYSA-N 1 2 291.826 3.528 20 0 CHADLO Cc1cc(F)cc2c1C[N@H+](Cc1cccn1C(F)F)CC2 ZINC001181184874 1122041643 /nfs/dbraw/zinc/04/16/43/1122041643.db2.gz KDDBPADBGQHORB-UHFFFAOYSA-N 1 2 294.320 3.889 20 0 CHADLO Cc1cc(F)cc2c1C[N@@H+](Cc1cccn1C(F)F)CC2 ZINC001181184874 1122041651 /nfs/dbraw/zinc/04/16/51/1122041651.db2.gz KDDBPADBGQHORB-UHFFFAOYSA-N 1 2 294.320 3.889 20 0 CHADLO Cn1cc(Cl)c(C[NH+]2CCC(c3ccsc3)CC2)n1 ZINC000420927309 1122044980 /nfs/dbraw/zinc/04/49/80/1122044980.db2.gz ZHINEPSQGUQLPC-UHFFFAOYSA-N 1 2 295.839 3.515 20 0 CHADLO Cc1ccnc(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)n1 ZINC000420998441 1122059083 /nfs/dbraw/zinc/05/90/83/1122059083.db2.gz BAXBBZOZBVDAHE-ZDUSSCGKSA-N 1 2 275.421 3.818 20 0 CHADLO Cc1ccnc(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)n1 ZINC000420998441 1122059088 /nfs/dbraw/zinc/05/90/88/1122059088.db2.gz BAXBBZOZBVDAHE-ZDUSSCGKSA-N 1 2 275.421 3.818 20 0 CHADLO CCc1ccccc1NC(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421038827 1122066076 /nfs/dbraw/zinc/06/60/76/1122066076.db2.gz JJFKSVNAVRRXQH-UHFFFAOYSA-N 1 2 283.375 3.583 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+][C@H](CF)c2ccc(F)cc2)c(C)n1 ZINC000631798547 1129029187 /nfs/dbraw/zinc/02/91/87/1129029187.db2.gz VBLHRIISHWJMHM-BDJLRTHQSA-N 1 2 291.345 3.594 20 0 CHADLO FC[C@@H]([NH2+][C@H]1CCCc2cccnc21)c1ccc(F)cc1 ZINC000631798064 1129029281 /nfs/dbraw/zinc/02/92/81/1129029281.db2.gz MHNXPCKJOIKLKR-JKSUJKDBSA-N 1 2 288.341 3.899 20 0 CHADLO CCc1nc(C[NH2+]Cc2cnccc2C(C)(C)C)cs1 ZINC000414499731 1122073705 /nfs/dbraw/zinc/07/37/05/1122073705.db2.gz OZXXXXLMLSYZJH-UHFFFAOYSA-N 1 2 289.448 3.688 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+][C@@H](CF)c2ccc(F)cc2)s1 ZINC000631798395 1129029711 /nfs/dbraw/zinc/02/97/11/1129029711.db2.gz RUFHFTRGKNYBSQ-RNCFNFMXSA-N 1 2 282.359 3.952 20 0 CHADLO CCC(CC)(c1nc(C[NH2+]C)no1)c1ccc(Cl)cc1 ZINC000414501996 1122076271 /nfs/dbraw/zinc/07/62/71/1122076271.db2.gz OLLCZGVXJCAXJB-UHFFFAOYSA-N 1 2 293.798 3.549 20 0 CHADLO FC[C@H]([NH2+][C@H]1CCCc2ncccc21)c1ccc(F)cc1 ZINC000631797686 1129029904 /nfs/dbraw/zinc/02/99/04/1129029904.db2.gz DRZAUGDBVQWJMA-IRXDYDNUSA-N 1 2 288.341 3.899 20 0 CHADLO CC[C@H]1C[C@]1([NH2+]Cc1ncc(C)o1)c1cccc(C)c1 ZINC000502483843 1122091249 /nfs/dbraw/zinc/09/12/49/1122091249.db2.gz VVJNPOXIMVHNEX-WMLDXEAASA-N 1 2 270.376 3.706 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(C)cc(OC)cc1C ZINC001250033750 1122108955 /nfs/dbraw/zinc/10/89/55/1122108955.db2.gz SYCONHNVRPBPEH-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CC[C@H]1C[C@]1([NH2+]Cc1nc(C(C)C)no1)c1cccc(C)c1 ZINC000502580970 1122115570 /nfs/dbraw/zinc/11/55/70/1122115570.db2.gz KOECNICGQHMLEC-KBXCAEBGSA-N 1 2 299.418 3.916 20 0 CHADLO COC(=O)c1c(C)scc1Nc1ccc(C)[nH+]c1C ZINC001203369860 1122116174 /nfs/dbraw/zinc/11/61/74/1122116174.db2.gz DBVJPLGQRWVZHH-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2ccc(Cl)s2)c(C)[nH+]1 ZINC000773984976 1122118472 /nfs/dbraw/zinc/11/84/72/1122118472.db2.gz XMEIJYOOQYPEPB-SFHVURJKSA-N 1 2 299.848 3.862 20 0 CHADLO Cc1ccc(Nc2ccnc(Cl)c2F)c(C)[nH+]1 ZINC001203370670 1122119293 /nfs/dbraw/zinc/11/92/93/1122119293.db2.gz SAHBUUCIGVPTAX-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO CC[NH+](CC)[C@@H](C(=O)N(C)C(C)C)c1ccccc1Cl ZINC001182218389 1122122849 /nfs/dbraw/zinc/12/28/49/1122122849.db2.gz ITLKAQMFOCJLMO-OAHLLOKOSA-N 1 2 296.842 3.590 20 0 CHADLO Cc1cc(CNC(=O)c2ccc(C(C)C)cc2)cc(C)[nH+]1 ZINC000421559989 1122132980 /nfs/dbraw/zinc/13/29/80/1122132980.db2.gz PMIXXQDTIJRHTL-UHFFFAOYSA-N 1 2 282.387 3.752 20 0 CHADLO CC(C)(C)OCCCC[N@@H+]1CCCC[C@H]1C(F)F ZINC000502784280 1122148698 /nfs/dbraw/zinc/14/86/98/1122148698.db2.gz MJKGWQCVUDSVAB-LBPRGKRZSA-N 1 2 263.372 3.701 20 0 CHADLO CC(C)(C)OCCCC[N@H+]1CCCC[C@H]1C(F)F ZINC000502784280 1122148704 /nfs/dbraw/zinc/14/87/04/1122148704.db2.gz MJKGWQCVUDSVAB-LBPRGKRZSA-N 1 2 263.372 3.701 20 0 CHADLO Cc1c(F)ccc(Nc2ccc3c(c2)[nH+]cn3C)c1F ZINC001250051664 1122149660 /nfs/dbraw/zinc/14/96/60/1122149660.db2.gz FIFCBZJQQQTTFW-UHFFFAOYSA-N 1 2 273.286 3.904 20 0 CHADLO Fc1cc(C[NH+]2Cc3ccccc3C2)ccc1OC(F)F ZINC000353400337 1122157141 /nfs/dbraw/zinc/15/71/41/1122157141.db2.gz FGQUAUJTDGUWHO-UHFFFAOYSA-N 1 2 293.288 3.943 20 0 CHADLO Cc1cc(Cl)cc(C[NH2+]Cc2nnc(C3CC3)s2)c1 ZINC000414558586 1122159213 /nfs/dbraw/zinc/15/92/13/1122159213.db2.gz SRVALSMZTIAWBM-UHFFFAOYSA-N 1 2 293.823 3.667 20 0 CHADLO CC[C@H]([NH2+]C[C@H](C)c1ccc(F)cc1)C(=O)OC(C)(C)C ZINC001182533334 1122173600 /nfs/dbraw/zinc/17/36/00/1122173600.db2.gz OAUUSUFDGGTIAU-WFASDCNBSA-N 1 2 295.398 3.639 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1ccc(C)c(C)c1)C2 ZINC001204355688 1122188311 /nfs/dbraw/zinc/18/83/11/1122188311.db2.gz SMRQBVILOOFPPE-UHFFFAOYSA-N 1 2 252.361 3.523 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1ccc(C)c(C)c1)C2 ZINC001204355688 1122188313 /nfs/dbraw/zinc/18/83/13/1122188313.db2.gz SMRQBVILOOFPPE-UHFFFAOYSA-N 1 2 252.361 3.523 20 0 CHADLO COCCCOc1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001203374130 1122195233 /nfs/dbraw/zinc/19/52/33/1122195233.db2.gz VBGVNYVHXLGMGE-UHFFFAOYSA-N 1 2 286.375 3.857 20 0 CHADLO CCc1cc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2F)on1 ZINC001203229425 1122212989 /nfs/dbraw/zinc/21/29/89/1122212989.db2.gz VLMBSRJNUWEMDR-MRXNPFEDSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1cc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2F)on1 ZINC001203229425 1122212994 /nfs/dbraw/zinc/21/29/94/1122212994.db2.gz VLMBSRJNUWEMDR-MRXNPFEDSA-N 1 2 292.329 3.852 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)c(C)o1 ZINC001183525873 1122225597 /nfs/dbraw/zinc/22/55/97/1122225597.db2.gz JCPFEQZDSYILNI-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(F)c(F)cc3C2)c(C)o1 ZINC001183525873 1122225603 /nfs/dbraw/zinc/22/56/03/1122225603.db2.gz JCPFEQZDSYILNI-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO CCSCc1ccc[nH+]c1N[C@@H](C)C1CCC1 ZINC001183491867 1122225782 /nfs/dbraw/zinc/22/57/82/1122225782.db2.gz SMUBNNRQAQCQBQ-NSHDSACASA-N 1 2 250.411 3.935 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](CCOC)c2ccco2)cs1 ZINC000273298013 1122226926 /nfs/dbraw/zinc/22/69/26/1122226926.db2.gz QWZNHNLRSQVOFZ-ZDUSSCGKSA-N 1 2 294.420 3.556 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C2CC2)[nH]1)c1ccc(Cl)s1 ZINC000273505309 1122236108 /nfs/dbraw/zinc/23/61/08/1122236108.db2.gz NJENTMYSNZMUHH-SECBINFHSA-N 1 2 296.827 3.638 20 0 CHADLO CC[C@H](C)c1ccc(NC(=O)CCc2ccc(N)[nH+]c2)cc1 ZINC001183931427 1122245622 /nfs/dbraw/zinc/24/56/22/1122245622.db2.gz BBCGANWERNNNRH-ZDUSSCGKSA-N 1 2 297.402 3.749 20 0 CHADLO FC(F)(F)CCc1ccc(N2CCCCC2)[nH+]c1 ZINC001250146097 1122271445 /nfs/dbraw/zinc/27/14/45/1122271445.db2.gz HSGQQTRFTGAQBO-UHFFFAOYSA-N 1 2 258.287 3.567 20 0 CHADLO CC1(C)[C@@H]([NH2+]C2(C(F)F)CC2)C[C@@H]1OCc1ccccc1 ZINC000503920631 1122278315 /nfs/dbraw/zinc/27/83/15/1122278315.db2.gz NZMRFXZJQAYTSB-KBPBESRZSA-N 1 2 295.373 3.758 20 0 CHADLO CC(C)(C)OCCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000664358974 1122291887 /nfs/dbraw/zinc/29/18/87/1122291887.db2.gz DWSUHEAXWVJXJS-UHFFFAOYSA-N 1 2 276.302 3.718 20 0 CHADLO CC(C)c1ccc(CC[NH2+]C2(C(F)F)CC2)cc1 ZINC000504568410 1122298486 /nfs/dbraw/zinc/29/84/86/1122298486.db2.gz NZTBVLQQZOQBIX-UHFFFAOYSA-N 1 2 253.336 3.740 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000774793363 1122303038 /nfs/dbraw/zinc/30/30/38/1122303038.db2.gz XTWUJXIXIRGKFQ-ZDUSSCGKSA-N 1 2 293.370 3.896 20 0 CHADLO Oc1ccc(Cl)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001184965043 1122303681 /nfs/dbraw/zinc/30/36/81/1122303681.db2.gz QWSUPEBQHJPLSY-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO COc1cc(Nc2coc3ccccc23)cc(C)[nH+]1 ZINC001203146793 1122303750 /nfs/dbraw/zinc/30/37/50/1122303750.db2.gz SLUHZYXJCZGZNK-UHFFFAOYSA-N 1 2 254.289 3.888 20 0 CHADLO CCc1cc(NCCOc2cc(C)cc(C)c2)nc(CC)[nH+]1 ZINC001185017963 1122306814 /nfs/dbraw/zinc/30/68/14/1122306814.db2.gz PQKUPKNHNMAJRD-UHFFFAOYSA-N 1 2 299.418 3.709 20 0 CHADLO Cc1nc(N2CCC[C@](C)(c3ccccc3)C2)c(C)c(C)[nH+]1 ZINC001185331679 1122334863 /nfs/dbraw/zinc/33/48/63/1122334863.db2.gz QSCWDFVZOJNTPJ-IBGZPJMESA-N 1 2 295.430 3.960 20 0 CHADLO Cc1cc(C(F)(F)F)ncc1NCc1c[nH+]cn1C(C)C ZINC000424729078 1122339257 /nfs/dbraw/zinc/33/92/57/1122339257.db2.gz XRLYGJZVMZSGEZ-UHFFFAOYSA-N 1 2 298.312 3.798 20 0 CHADLO COC1CCC(CNc2cc(C)[nH+]c(C3CCC3)n2)CC1 ZINC001185650234 1122352498 /nfs/dbraw/zinc/35/24/98/1122352498.db2.gz QAZFHOZIULYPOA-UHFFFAOYSA-N 1 2 289.423 3.670 20 0 CHADLO C[C@H](CCc1ccccc1)C(=O)Nc1cccc2[nH+]ccn21 ZINC001186012444 1122371356 /nfs/dbraw/zinc/37/13/56/1122371356.db2.gz CUXPSBSAWGXCTB-CQSZACIVSA-N 1 2 293.370 3.542 20 0 CHADLO FC(F)(F)CCCc1ccc(N2CCCC2)[nH+]c1 ZINC001250227693 1122373226 /nfs/dbraw/zinc/37/32/26/1122373226.db2.gz QHBZNVRZSJUMPC-UHFFFAOYSA-N 1 2 258.287 3.567 20 0 CHADLO Cc1[nH]c(CN2CC3(CCCC3)c3ccccc32)[nH+]c1C ZINC001205353094 1122392436 /nfs/dbraw/zinc/39/24/36/1122392436.db2.gz CJPKSOXANRHGGT-UHFFFAOYSA-N 1 2 281.403 3.859 20 0 CHADLO Cc1nc(NC[C@@H]2CCC[C@H]2c2ccccc2)cc[nH+]1 ZINC001186564838 1122397156 /nfs/dbraw/zinc/39/71/56/1122397156.db2.gz GHWALDYRAZJKDZ-HOTGVXAUSA-N 1 2 267.376 3.781 20 0 CHADLO CCc1cc(N(C)Cc2c(F)cccc2F)nc(CC)[nH+]1 ZINC001186571339 1122397541 /nfs/dbraw/zinc/39/75/41/1122397541.db2.gz HRRWOMGABQCZDN-UHFFFAOYSA-N 1 2 291.345 3.516 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](C)CC2CCCC2)no1 ZINC000434837038 1122416850 /nfs/dbraw/zinc/41/68/50/1122416850.db2.gz DGZZTGMEESYDKY-UHFFFAOYSA-N 1 2 299.418 3.591 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](C)CC2CCCC2)no1 ZINC000434837038 1122416853 /nfs/dbraw/zinc/41/68/53/1122416853.db2.gz DGZZTGMEESYDKY-UHFFFAOYSA-N 1 2 299.418 3.591 20 0 CHADLO C[C@@H](CC[NH2+][C@@H](C)C(=O)OC1CCCC1)c1ccccc1 ZINC000775099160 1122422565 /nfs/dbraw/zinc/42/25/65/1122422565.db2.gz CLUYMDVCEGSIJN-GJZGRUSLSA-N 1 2 289.419 3.644 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccnc2C(F)(F)F)c1 ZINC001203657234 1122424633 /nfs/dbraw/zinc/42/46/33/1122424633.db2.gz KQSYDHOSNXBQJJ-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1c[nH+]c(C)c(N2c3ccc(N)cc3CC[C@H]2C)c1 ZINC001203660365 1122426548 /nfs/dbraw/zinc/42/65/48/1122426548.db2.gz GRZJXDWYAUUIPI-GFCCVEGCSA-N 1 2 267.376 3.753 20 0 CHADLO CCc1cc(N[C@@H]2C[C@@H]3CCCC[C@H]23)nc(CC)[nH+]1 ZINC001187478505 1122429058 /nfs/dbraw/zinc/42/90/58/1122429058.db2.gz BTCLRGOGANXRCB-FPMFFAJLSA-N 1 2 259.397 3.592 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccccc2P(C)(C)=O)c1 ZINC001203664098 1122431758 /nfs/dbraw/zinc/43/17/58/1122431758.db2.gz XZRQBFCZMMUUFI-UHFFFAOYSA-N 1 2 274.304 3.690 20 0 CHADLO CCc1ccccc1NC(=O)Nc1cccc2[nH+]ccn21 ZINC001187603453 1122432822 /nfs/dbraw/zinc/43/28/22/1122432822.db2.gz KMWIXHXZKQIPMA-UHFFFAOYSA-N 1 2 280.331 3.541 20 0 CHADLO C[N@H+](Cc1cc(-c2ccccc2)n[nH]1)Cc1ccccc1F ZINC000505604350 1122433559 /nfs/dbraw/zinc/43/35/59/1122433559.db2.gz FAURUPWUWBZLOK-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO C[N@@H+](Cc1cc(-c2ccccc2)n[nH]1)Cc1ccccc1F ZINC000505604350 1122433562 /nfs/dbraw/zinc/43/35/62/1122433562.db2.gz FAURUPWUWBZLOK-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCC[C@@H]3CCC[C@H]32)s1 ZINC000435134211 1122437378 /nfs/dbraw/zinc/43/73/78/1122437378.db2.gz WHVHPKNKTXXTSR-VHSXEESVSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCC[C@@H]3CCC[C@H]32)s1 ZINC000435134211 1122437379 /nfs/dbraw/zinc/43/73/79/1122437379.db2.gz WHVHPKNKTXXTSR-VHSXEESVSA-N 1 2 290.354 3.926 20 0 CHADLO C[N@H+](Cc1cc(F)c(F)c(F)c1)Cc1ccccc1F ZINC000505615378 1122438052 /nfs/dbraw/zinc/43/80/52/1122438052.db2.gz ZDXMWRABDUQWRV-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1cc(F)c(F)c(F)c1)Cc1ccccc1F ZINC000505615378 1122438056 /nfs/dbraw/zinc/43/80/56/1122438056.db2.gz ZDXMWRABDUQWRV-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2ccc(C)c(F)c2)[nH+]1 ZINC001187950892 1122448587 /nfs/dbraw/zinc/44/85/87/1122448587.db2.gz VERCOXJIIGMZRE-UHFFFAOYSA-N 1 2 298.321 3.734 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1Cc1cnc(C2CC2)nc1 ZINC000514779418 1122452057 /nfs/dbraw/zinc/45/20/57/1122452057.db2.gz HABJORJAROKSSC-GFCCVEGCSA-N 1 2 297.377 3.612 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1Cc1cnc(C2CC2)nc1 ZINC000514779418 1122452058 /nfs/dbraw/zinc/45/20/58/1122452058.db2.gz HABJORJAROKSSC-GFCCVEGCSA-N 1 2 297.377 3.612 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)c(F)c1 ZINC000425329233 1122454963 /nfs/dbraw/zinc/45/49/63/1122454963.db2.gz VSSPBNCQRPPKQZ-MRXNPFEDSA-N 1 2 276.330 3.938 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)c(F)c1 ZINC000425329233 1122454965 /nfs/dbraw/zinc/45/49/65/1122454965.db2.gz VSSPBNCQRPPKQZ-MRXNPFEDSA-N 1 2 276.330 3.938 20 0 CHADLO Cc1ccc2c(c1)N(Cc1c[nH+]cn1C(C)C)C[C@@H](C)O2 ZINC000425331583 1122455116 /nfs/dbraw/zinc/45/51/16/1122455116.db2.gz BWGBDRHYZKKJDV-CQSZACIVSA-N 1 2 285.391 3.560 20 0 CHADLO Cc1cc(C)c(Nc2ccc(OCC(F)(F)F)nc2)c[nH+]1 ZINC001203682761 1122465892 /nfs/dbraw/zinc/46/58/92/1122465892.db2.gz QCHYOPBQYRSOFF-UHFFFAOYSA-N 1 2 297.280 3.778 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccccc1OCC1CC1 ZINC000505706836 1122474862 /nfs/dbraw/zinc/47/48/62/1122474862.db2.gz PVGYEKZVRYVPRX-OAHLLOKOSA-N 1 2 296.414 3.854 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccccc1OCC1CC1 ZINC000505706836 1122474864 /nfs/dbraw/zinc/47/48/64/1122474864.db2.gz PVGYEKZVRYVPRX-OAHLLOKOSA-N 1 2 296.414 3.854 20 0 CHADLO Cc1[nH+]cc(NC(=O)Nc2cccc(Cl)c2Cl)n1C ZINC001189201602 1122492204 /nfs/dbraw/zinc/49/22/04/1122492204.db2.gz ANARFNHTVSELKY-UHFFFAOYSA-N 1 2 299.161 3.679 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+]1CCc2ccsc2[C@H]1C ZINC000712299627 1122505497 /nfs/dbraw/zinc/50/54/97/1122505497.db2.gz PEWVDRKNFJQCPJ-SNVBAGLBSA-N 1 2 295.839 3.563 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+]1CCc2ccsc2[C@H]1C ZINC000712299627 1122505500 /nfs/dbraw/zinc/50/55/00/1122505500.db2.gz PEWVDRKNFJQCPJ-SNVBAGLBSA-N 1 2 295.839 3.563 20 0 CHADLO Clc1cccc2c(N3CC[C@@H]4C[C@@H]4C3)cc[nH+]c12 ZINC000664524676 1122512159 /nfs/dbraw/zinc/51/21/59/1122512159.db2.gz LXOAZPNGIPROMD-GHMZBOCLSA-N 1 2 258.752 3.734 20 0 CHADLO Cc1nonc1C[NH2+][C@H](C)c1ccc(SC(C)C)cc1 ZINC000775354089 1122525843 /nfs/dbraw/zinc/52/58/43/1122525843.db2.gz ZFCQIRAYKWGMBY-LLVKDONJSA-N 1 2 291.420 3.729 20 0 CHADLO CCCCc1noc(C[NH2+][C@](C)(CC)c2ccccc2)n1 ZINC000775387929 1122538704 /nfs/dbraw/zinc/53/87/04/1122538704.db2.gz PVICXYZGBMMING-QGZVFWFLSA-N 1 2 287.407 3.827 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000505809954 1122545270 /nfs/dbraw/zinc/54/52/70/1122545270.db2.gz UPEFODVBPXHNMN-SJKOYZFVSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000505809954 1122545275 /nfs/dbraw/zinc/54/52/75/1122545275.db2.gz UPEFODVBPXHNMN-SJKOYZFVSA-N 1 2 297.402 3.666 20 0 CHADLO CCCCC[C@@H]1CCCCN1C(=O)CCCn1cc[nH+]c1 ZINC000620563562 1129061658 /nfs/dbraw/zinc/06/16/58/1129061658.db2.gz NMCSQSIGJXMWBY-MRXNPFEDSA-N 1 2 291.439 3.625 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC(C(C)C)CC2)c(C)[nH+]1 ZINC000436494241 1122554422 /nfs/dbraw/zinc/55/44/22/1122554422.db2.gz NDJMGZXXXUSWNN-UHFFFAOYSA-N 1 2 289.423 3.907 20 0 CHADLO CC[C@@H](C)N(Cc1ccccc1)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000516071515 1122568287 /nfs/dbraw/zinc/56/82/87/1122568287.db2.gz KFTTUNCHSBXCFZ-CVEARBPZSA-N 1 2 299.418 3.662 20 0 CHADLO CC(C)c1ccc(-c2noc(C[C@H](C)n3cc[nH+]c3)n2)cc1 ZINC000516185558 1122577269 /nfs/dbraw/zinc/57/72/69/1122577269.db2.gz DQNISDLBHZXARJ-ZDUSSCGKSA-N 1 2 296.374 3.860 20 0 CHADLO Cc1[nH+]cc(NC(=O)Nc2ccc(C(C)(C)C)cc2)n1C ZINC001191131726 1122579110 /nfs/dbraw/zinc/57/91/10/1122579110.db2.gz KTAADQACBFISLE-UHFFFAOYSA-N 1 2 286.379 3.670 20 0 CHADLO Cc1nnc(Nc2ccc([NH+]3CCCCCC3)cc2)nc1C ZINC000775467311 1122607725 /nfs/dbraw/zinc/60/77/25/1122607725.db2.gz DSNNXLUVFYVIKT-UHFFFAOYSA-N 1 2 297.406 3.612 20 0 CHADLO CC[N@H+](CCC[C@@H]1CCOC1)c1cccc(C)c1C ZINC001191664549 1122609711 /nfs/dbraw/zinc/60/97/11/1122609711.db2.gz VWCZGZNNLLEQJC-MRXNPFEDSA-N 1 2 261.409 3.946 20 0 CHADLO CC[N@@H+](CCC[C@@H]1CCOC1)c1cccc(C)c1C ZINC001191664549 1122609714 /nfs/dbraw/zinc/60/97/14/1122609714.db2.gz VWCZGZNNLLEQJC-MRXNPFEDSA-N 1 2 261.409 3.946 20 0 CHADLO C[C@H]1CC[C@H](NC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001191790763 1122615070 /nfs/dbraw/zinc/61/50/70/1122615070.db2.gz VFLSFSNJVXKODF-HDJSIYSDSA-N 1 2 298.390 3.573 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001151975536 1122616710 /nfs/dbraw/zinc/61/67/10/1122616710.db2.gz BPIKIAUFPHDKTE-LBPRGKRZSA-N 1 2 273.380 3.508 20 0 CHADLO COC(=O)CCCC[N@@H+](c1ccc(C)cc1)C(C)C ZINC001191870503 1122619463 /nfs/dbraw/zinc/61/94/63/1122619463.db2.gz JIQCNTZMAXHSIY-UHFFFAOYSA-N 1 2 263.381 3.553 20 0 CHADLO COC(=O)CCCC[N@H+](c1ccc(C)cc1)C(C)C ZINC001191870503 1122619464 /nfs/dbraw/zinc/61/94/64/1122619464.db2.gz JIQCNTZMAXHSIY-UHFFFAOYSA-N 1 2 263.381 3.553 20 0 CHADLO CCC1(CC)CN(CCCn2cc[nH+]c2)c2ccccc21 ZINC001192412855 1122645827 /nfs/dbraw/zinc/64/58/27/1122645827.db2.gz LNONJBJBNDRTMH-UHFFFAOYSA-N 1 2 283.419 3.851 20 0 CHADLO CCc1csc(Cc2[nH+]ccn2Cc2ccccc2)n1 ZINC000437662692 1122648956 /nfs/dbraw/zinc/64/89/56/1122648956.db2.gz OEGVUZDZYUDUAU-UHFFFAOYSA-N 1 2 283.400 3.541 20 0 CHADLO CCCOc1cccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000437960561 1122666093 /nfs/dbraw/zinc/66/60/93/1122666093.db2.gz GHGQWRFYQRPYIZ-INIZCTEOSA-N 1 2 285.391 3.536 20 0 CHADLO CCCOc1cccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000437960561 1122666096 /nfs/dbraw/zinc/66/60/96/1122666096.db2.gz GHGQWRFYQRPYIZ-INIZCTEOSA-N 1 2 285.391 3.536 20 0 CHADLO CCC[N@H+](CC(=O)OC(C)(C)C)Cc1csc(C)c1 ZINC001193209372 1122681285 /nfs/dbraw/zinc/68/12/85/1122681285.db2.gz GCMNVMPAPUWLFQ-UHFFFAOYSA-N 1 2 283.437 3.610 20 0 CHADLO CCC[N@@H+](CC(=O)OC(C)(C)C)Cc1csc(C)c1 ZINC001193209372 1122681286 /nfs/dbraw/zinc/68/12/86/1122681286.db2.gz GCMNVMPAPUWLFQ-UHFFFAOYSA-N 1 2 283.437 3.610 20 0 CHADLO COC(=O)[C@@H]1CCCCC[N@@H+]1Cc1c(C)cccc1Cl ZINC001193272156 1122683430 /nfs/dbraw/zinc/68/34/30/1122683430.db2.gz GCYDZUDBCMROSD-HNNXBMFYSA-N 1 2 295.810 3.566 20 0 CHADLO COC(=O)[C@@H]1CCCCC[N@H+]1Cc1c(C)cccc1Cl ZINC001193272156 1122683433 /nfs/dbraw/zinc/68/34/33/1122683433.db2.gz GCYDZUDBCMROSD-HNNXBMFYSA-N 1 2 295.810 3.566 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1nccc(Cl)c1Cl ZINC001193311588 1122684288 /nfs/dbraw/zinc/68/42/88/1122684288.db2.gz RTOXMGDEKPUCQQ-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO Fc1ccccc1NCc1c[nH+]cn1Cc1ccccc1 ZINC000438312872 1122690923 /nfs/dbraw/zinc/69/09/23/1122690923.db2.gz REIJKSBUMJSXJQ-UHFFFAOYSA-N 1 2 281.334 3.683 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)[C@H](C)[C@@H](C)O1 ZINC000438363795 1122694608 /nfs/dbraw/zinc/69/46/08/1122694608.db2.gz HXARBUGXWFVHPP-IJLUTSLNSA-N 1 2 287.325 3.703 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)[C@H](C)[C@@H](C)O1 ZINC000438363795 1122694609 /nfs/dbraw/zinc/69/46/09/1122694609.db2.gz HXARBUGXWFVHPP-IJLUTSLNSA-N 1 2 287.325 3.703 20 0 CHADLO COC(=O)[C@@H](c1ccccc1Cl)[N@@H+]1CCCC[C@@H](C)C1 ZINC001193516799 1122695756 /nfs/dbraw/zinc/69/57/56/1122695756.db2.gz HQYHAOKZUHMBDM-IUODEOHRSA-N 1 2 295.810 3.676 20 0 CHADLO COC(=O)[C@@H](c1ccccc1Cl)[N@H+]1CCCC[C@@H](C)C1 ZINC001193516799 1122695759 /nfs/dbraw/zinc/69/57/59/1122695759.db2.gz HQYHAOKZUHMBDM-IUODEOHRSA-N 1 2 295.810 3.676 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)[C@H](C)[C@@H](C)O1 ZINC000438371707 1122697253 /nfs/dbraw/zinc/69/72/53/1122697253.db2.gz BLEGMWBBROOPFQ-JHJVBQTASA-N 1 2 294.464 3.798 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)[C@H](C)[C@@H](C)O1 ZINC000438371707 1122697254 /nfs/dbraw/zinc/69/72/54/1122697254.db2.gz BLEGMWBBROOPFQ-JHJVBQTASA-N 1 2 294.464 3.798 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CC2CC1(C)C2 ZINC000664695046 1122697977 /nfs/dbraw/zinc/69/79/77/1122697977.db2.gz ZEBJDCDOFXHHBD-UHFFFAOYSA-N 1 2 268.360 3.541 20 0 CHADLO CCCc1csc(C[N@@H+]2CCc3ccccc3C2)n1 ZINC000426036748 1122705031 /nfs/dbraw/zinc/70/50/31/1122705031.db2.gz POZKIDLCJSQWOE-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO CCCc1csc(C[N@H+]2CCc3ccccc3C2)n1 ZINC000426036748 1122705035 /nfs/dbraw/zinc/70/50/35/1122705035.db2.gz POZKIDLCJSQWOE-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO Cc1cc(C[NH2+][C@H](c2nc(C3CC3)no2)C(C)C)cs1 ZINC000294986758 1122740698 /nfs/dbraw/zinc/74/06/98/1122740698.db2.gz GTLBTNDYVSFBIV-ZDUSSCGKSA-N 1 2 291.420 3.804 20 0 CHADLO O=C(Nc1ccc(Cn2cc[nH+]c2)cc1)Oc1ccccc1 ZINC001194248161 1122761913 /nfs/dbraw/zinc/76/19/13/1122761913.db2.gz ZFDMDVONOJMPAL-UHFFFAOYSA-N 1 2 293.326 3.542 20 0 CHADLO CSc1cc(C(=O)Nc2cc[nH+]c(C)c2)ccc1F ZINC000153777048 1129077439 /nfs/dbraw/zinc/07/74/39/1129077439.db2.gz BFUVXGUOEDXHES-UHFFFAOYSA-N 1 2 276.336 3.503 20 0 CHADLO Cc1nsc(C)c1C[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000664817947 1122862083 /nfs/dbraw/zinc/86/20/83/1122862083.db2.gz FUWQMLFYYUOERR-HNNXBMFYSA-N 1 2 287.432 3.738 20 0 CHADLO Cc1nsc(C)c1C[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000664817947 1122862087 /nfs/dbraw/zinc/86/20/87/1122862087.db2.gz FUWQMLFYYUOERR-HNNXBMFYSA-N 1 2 287.432 3.738 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)o1 ZINC000664819309 1122862113 /nfs/dbraw/zinc/86/21/13/1122862113.db2.gz LKUHROVCZSAXNO-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)o1 ZINC000664819309 1122862115 /nfs/dbraw/zinc/86/21/15/1122862115.db2.gz LKUHROVCZSAXNO-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO COc1ccc(C)cc1C[NH2+]Cc1csc(C(C)C)n1 ZINC000079915831 1122867198 /nfs/dbraw/zinc/86/71/98/1122867198.db2.gz FKFPZKYIRICPRK-UHFFFAOYSA-N 1 2 290.432 3.873 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000664826740 1122868885 /nfs/dbraw/zinc/86/88/85/1122868885.db2.gz XZWXYOKXBPDQBA-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000664826740 1122868893 /nfs/dbraw/zinc/86/88/93/1122868893.db2.gz XZWXYOKXBPDQBA-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)o1 ZINC000664829137 1122870550 /nfs/dbraw/zinc/87/05/50/1122870550.db2.gz JZHKKTCBUHEEJS-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)o1 ZINC000664829137 1122870555 /nfs/dbraw/zinc/87/05/55/1122870555.db2.gz JZHKKTCBUHEEJS-INIZCTEOSA-N 1 2 285.391 3.524 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CC[C@H](C(F)(F)F)C2(C)C)n1 ZINC000894536883 1122872149 /nfs/dbraw/zinc/87/21/49/1122872149.db2.gz FUQATJVFRNZEKD-NSHDSACASA-N 1 2 289.345 3.696 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CC[C@H](C(F)(F)F)C2(C)C)n1 ZINC000894536883 1122872155 /nfs/dbraw/zinc/87/21/55/1122872155.db2.gz FUQATJVFRNZEKD-NSHDSACASA-N 1 2 289.345 3.696 20 0 CHADLO Cc1ncsc1CCC[NH+]1Cc2cc(F)c(F)cc2C1 ZINC001195558727 1122877427 /nfs/dbraw/zinc/87/74/27/1122877427.db2.gz PZUBQRFUICNQAS-UHFFFAOYSA-N 1 2 294.370 3.678 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(O)c(F)c2)[C@@H]1c1cccnc1 ZINC000894584257 1122878678 /nfs/dbraw/zinc/87/86/78/1122878678.db2.gz HRJUGPWEYMRHBD-MRXNPFEDSA-N 1 2 286.350 3.509 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(O)c(F)c2)[C@@H]1c1cccnc1 ZINC000894584257 1122878682 /nfs/dbraw/zinc/87/86/82/1122878682.db2.gz HRJUGPWEYMRHBD-MRXNPFEDSA-N 1 2 286.350 3.509 20 0 CHADLO Cc1cc(NCCC2SCCS2)nc(C2CCC2)[nH+]1 ZINC000894614229 1122880967 /nfs/dbraw/zinc/88/09/67/1122880967.db2.gz JKWFVXLTCXDJFK-UHFFFAOYSA-N 1 2 295.477 3.661 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1nc(C(C)C)c[nH]1 ZINC000894783408 1122905701 /nfs/dbraw/zinc/90/57/01/1122905701.db2.gz TXJODHJUPFZGDI-HNNXBMFYSA-N 1 2 272.396 3.511 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1nc(C(C)C)c[nH]1 ZINC000894783408 1122905704 /nfs/dbraw/zinc/90/57/04/1122905704.db2.gz TXJODHJUPFZGDI-HNNXBMFYSA-N 1 2 272.396 3.511 20 0 CHADLO CCC1(CC)C[NH+](Cc2nc(C(C)(C)C)co2)C1 ZINC000894819685 1122908528 /nfs/dbraw/zinc/90/85/28/1122908528.db2.gz OSWUVMHXKROSPF-UHFFFAOYSA-N 1 2 250.386 3.594 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccc2c(c1)CCCC2 ZINC000154346857 1129086443 /nfs/dbraw/zinc/08/64/43/1129086443.db2.gz VQTWPOVSJPIPAD-GFCCVEGCSA-N 1 2 297.402 3.677 20 0 CHADLO CC[N@H+](Cc1csc(COC)n1)Cc1ccccc1C ZINC000507119397 1122927150 /nfs/dbraw/zinc/92/71/50/1122927150.db2.gz XCZLKUFHYYORLE-UHFFFAOYSA-N 1 2 290.432 3.620 20 0 CHADLO CC[N@@H+](Cc1csc(COC)n1)Cc1ccccc1C ZINC000507119397 1122927155 /nfs/dbraw/zinc/92/71/55/1122927155.db2.gz XCZLKUFHYYORLE-UHFFFAOYSA-N 1 2 290.432 3.620 20 0 CHADLO Cc1nccc(C[N@@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)n1 ZINC000507163206 1122932318 /nfs/dbraw/zinc/93/23/18/1122932318.db2.gz YBTQPMNTTBDIIJ-SJCJKPOMSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1nccc(C[N@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)n1 ZINC000507163206 1122932321 /nfs/dbraw/zinc/93/23/21/1122932321.db2.gz YBTQPMNTTBDIIJ-SJCJKPOMSA-N 1 2 297.402 3.666 20 0 CHADLO CC[N@H+](Cc1ccc(OC)cc1)Cc1c(F)cccc1F ZINC000507243553 1122943732 /nfs/dbraw/zinc/94/37/32/1122943732.db2.gz QVFZNEXDYWOCHC-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1ccc(OC)cc1)Cc1c(F)cccc1F ZINC000507243553 1122943735 /nfs/dbraw/zinc/94/37/35/1122943735.db2.gz QVFZNEXDYWOCHC-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2ccc(C)cc2C)o1 ZINC000353685349 1122961339 /nfs/dbraw/zinc/96/13/39/1122961339.db2.gz VAEXRCPMSDXEGP-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2ccc(C)cc2C)o1 ZINC000353685349 1122961342 /nfs/dbraw/zinc/96/13/42/1122961342.db2.gz VAEXRCPMSDXEGP-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO CCCCC[C@H](CC)C(=O)Nc1cc(C)[nH+]cc1C ZINC000775781214 1122965819 /nfs/dbraw/zinc/96/58/19/1122965819.db2.gz CMHMATLVRMAMLY-AWEZNQCLSA-N 1 2 262.397 3.665 20 0 CHADLO Cc1cccc(NCCNc2cc[nH+]c3cc(F)ccc23)n1 ZINC000427411685 1122967239 /nfs/dbraw/zinc/96/72/39/1122967239.db2.gz CEVIDJRVCAQBEH-UHFFFAOYSA-N 1 2 296.349 3.601 20 0 CHADLO Clc1ccc2c(c1)OCCC[C@H]2[NH2+]Cc1nccs1 ZINC000334201868 1122970795 /nfs/dbraw/zinc/97/07/95/1122970795.db2.gz RMDVQMNVQAHLHP-GFCCVEGCSA-N 1 2 294.807 3.800 20 0 CHADLO Cc1cc(N[C@@H]2CCOC(C)(C)C2)[nH+]c2ccccc12 ZINC000160701366 1122990584 /nfs/dbraw/zinc/99/05/84/1122990584.db2.gz NNQDNKVBWZEPBR-CYBMUJFWSA-N 1 2 270.376 3.913 20 0 CHADLO Cc1sccc1C[N@@H+]1Cc2ccccc2C[C@@H]1C(N)=O ZINC000334341991 1122990986 /nfs/dbraw/zinc/99/09/86/1122990986.db2.gz PCWGFVQCHYMVDV-OAHLLOKOSA-N 1 2 286.400 3.519 20 0 CHADLO Cc1sccc1C[N@H+]1Cc2ccccc2C[C@@H]1C(N)=O ZINC000334341991 1122990990 /nfs/dbraw/zinc/99/09/90/1122990990.db2.gz PCWGFVQCHYMVDV-OAHLLOKOSA-N 1 2 286.400 3.519 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)[C@@H]1CC1(F)F ZINC000638888071 1123002450 /nfs/dbraw/zinc/00/24/50/1123002450.db2.gz GZPMNSOQJDGWAD-YPMHNXCESA-N 1 2 281.350 3.528 20 0 CHADLO CCNc1cc(CNc2nc3cc(C)ccc3o2)cc[nH+]1 ZINC000894870819 1123003595 /nfs/dbraw/zinc/00/35/95/1123003595.db2.gz MZOITPDQSVHUTH-UHFFFAOYSA-N 1 2 282.347 3.575 20 0 CHADLO CC[C@H](F)C[NH2+][C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000440523177 1123010606 /nfs/dbraw/zinc/01/06/06/1123010606.db2.gz HBTBTAYVGCGSSK-PWSUYJOCSA-N 1 2 295.333 3.583 20 0 CHADLO CC[C@@H](F)C[NH2+][C@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000440523178 1123011337 /nfs/dbraw/zinc/01/13/37/1123011337.db2.gz HBTBTAYVGCGSSK-ZYHUDNBSSA-N 1 2 295.333 3.583 20 0 CHADLO Fc1cc(F)c2c(c1)CC[N@@H+](CCOC1CCCCC1)C2 ZINC001198845301 1123012350 /nfs/dbraw/zinc/01/23/50/1123012350.db2.gz XWSPJOZNMQMKAY-UHFFFAOYSA-N 1 2 295.373 3.672 20 0 CHADLO Fc1cc(F)c2c(c1)CC[N@H+](CCOC1CCCCC1)C2 ZINC001198845301 1123012356 /nfs/dbraw/zinc/01/23/56/1123012356.db2.gz XWSPJOZNMQMKAY-UHFFFAOYSA-N 1 2 295.373 3.672 20 0 CHADLO Cc1ccc(CSCCC(=O)OC(C)(C)C)c(C)[nH+]1 ZINC000440594540 1123018249 /nfs/dbraw/zinc/01/82/49/1123018249.db2.gz ILWTZYJVDRCSOF-UHFFFAOYSA-N 1 2 281.421 3.663 20 0 CHADLO FC(F)(F)c1cc(CNc2cccc[nH+]2)cs1 ZINC001199491084 1123030695 /nfs/dbraw/zinc/03/06/95/1123030695.db2.gz PPNHXEJNHMTUSW-UHFFFAOYSA-N 1 2 258.268 3.774 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000440789324 1123040656 /nfs/dbraw/zinc/04/06/56/1123040656.db2.gz AIXFFYQGECYVGH-UWVGGRQHSA-N 1 2 257.299 3.632 20 0 CHADLO CC[C@H](F)C[N@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000440789324 1123040661 /nfs/dbraw/zinc/04/06/61/1123040661.db2.gz AIXFFYQGECYVGH-UWVGGRQHSA-N 1 2 257.299 3.632 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+]1CCOCC2(CCC2)C1 ZINC000334491206 1123057126 /nfs/dbraw/zinc/05/71/26/1123057126.db2.gz YLQUTDQHDVVHCH-CYBMUJFWSA-N 1 2 292.423 3.536 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+]1CCOCC2(CCC2)C1 ZINC000334491206 1123057128 /nfs/dbraw/zinc/05/71/28/1123057128.db2.gz YLQUTDQHDVVHCH-CYBMUJFWSA-N 1 2 292.423 3.536 20 0 CHADLO Nc1ccc(Nc2ccc(CC(F)(F)F)cc2)c[nH+]1 ZINC001201285457 1123080595 /nfs/dbraw/zinc/08/05/95/1123080595.db2.gz INSIDZFVEKQFES-UHFFFAOYSA-N 1 2 267.254 3.512 20 0 CHADLO Nc1ccc(Nc2ccccc2OC2CCCC2)c[nH+]1 ZINC001201287019 1123081901 /nfs/dbraw/zinc/08/19/01/1123081901.db2.gz OPLDKRBSUNOIGW-UHFFFAOYSA-N 1 2 269.348 3.729 20 0 CHADLO Nc1ccc(Nc2cc(-c3cccc(F)c3)ccn2)c[nH+]1 ZINC001201272401 1123084322 /nfs/dbraw/zinc/08/43/22/1123084322.db2.gz NCGOPYLIVJHVHZ-UHFFFAOYSA-N 1 2 280.306 3.609 20 0 CHADLO Nc1ccc(Nc2cc(Cl)cc3cccnc32)c[nH+]1 ZINC001201292043 1123084429 /nfs/dbraw/zinc/08/44/29/1123084429.db2.gz HQFLBNVNHUEKAO-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2ccc3cc(F)ccc3c2)c[nH+]1 ZINC001201292798 1123084440 /nfs/dbraw/zinc/08/44/40/1123084440.db2.gz SBORBSOMDCURFR-UHFFFAOYSA-N 1 2 253.280 3.700 20 0 CHADLO Nc1ccc(Nc2ccc3ncc(Cl)cc3c2)c[nH+]1 ZINC001201291944 1123084507 /nfs/dbraw/zinc/08/45/07/1123084507.db2.gz PTCCBURHIMHYTM-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2cc(F)ccc2OC2CCCC2)c[nH+]1 ZINC001201294528 1123084790 /nfs/dbraw/zinc/08/47/90/1123084790.db2.gz CXTLWGLWSHTVPS-UHFFFAOYSA-N 1 2 287.338 3.868 20 0 CHADLO Cc1c(Nc2cccc3[nH+]ccn32)cnn1-c1ccccc1 ZINC001201363489 1123087449 /nfs/dbraw/zinc/08/74/49/1123087449.db2.gz YOVHMMRMYAUOPG-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO Cc1cc(NCc2ccc(F)nc2C)ccc1-n1cc[nH+]c1 ZINC001201355977 1123090893 /nfs/dbraw/zinc/09/08/93/1123090893.db2.gz IWTZHOPGIZNPDY-UHFFFAOYSA-N 1 2 296.349 3.635 20 0 CHADLO Clc1ccc(C[NH2+][C@@H]2COc3ccc(Cl)cc32)nc1 ZINC000776169415 1123101024 /nfs/dbraw/zinc/10/10/24/1123101024.db2.gz GTTIHNDKVSDUPO-CYBMUJFWSA-N 1 2 295.169 3.612 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CCC[C@H](CC(F)(F)F)C2)n1 ZINC000895034361 1123103054 /nfs/dbraw/zinc/10/30/54/1123103054.db2.gz PVDIZFBSZSZVSE-LLVKDONJSA-N 1 2 289.345 3.698 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CCC[C@H](CC(F)(F)F)C2)n1 ZINC000895034361 1123103057 /nfs/dbraw/zinc/10/30/57/1123103057.db2.gz PVDIZFBSZSZVSE-LLVKDONJSA-N 1 2 289.345 3.698 20 0 CHADLO Clc1ccc2[nH]c3c(c2c1)CN(c1cccc[nH+]1)CC3 ZINC000048565573 1123107218 /nfs/dbraw/zinc/10/72/18/1123107218.db2.gz OGYFFARZHLZMKT-UHFFFAOYSA-N 1 2 283.762 3.779 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnc(Cl)cc2N)cc1 ZINC001201488442 1123107901 /nfs/dbraw/zinc/10/79/01/1123107901.db2.gz QRMIROAPTNHBDO-UHFFFAOYSA-N 1 2 276.771 3.881 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cccn3nccc23)cc1 ZINC001201498452 1123111347 /nfs/dbraw/zinc/11/13/47/1123111347.db2.gz ZYYVBAICEYPSKE-UHFFFAOYSA-N 1 2 266.348 3.898 20 0 CHADLO CCOc1ncc(Cl)cc1Nc1cccn2cc[nH+]c12 ZINC001201507131 1123113176 /nfs/dbraw/zinc/11/31/76/1123113176.db2.gz CASBUMLVJVRUGX-UHFFFAOYSA-N 1 2 288.738 3.525 20 0 CHADLO CC[C@H](C)n1nc(NCc2cc(C)[nH+]c(C)c2)cc1C ZINC001201674809 1123133676 /nfs/dbraw/zinc/13/36/76/1123133676.db2.gz DQOLIOCLRLSYKS-ZDUSSCGKSA-N 1 2 272.396 3.786 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(C2CC2)nc1 ZINC001201699156 1123137662 /nfs/dbraw/zinc/13/76/62/1123137662.db2.gz KOTCYUAQVYXUDS-UHFFFAOYSA-N 1 2 253.349 3.968 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(C3CC3)nc2)c[nH+]1 ZINC001201708599 1123138617 /nfs/dbraw/zinc/13/86/17/1123138617.db2.gz UPHNQFMWWGYSRP-UHFFFAOYSA-N 1 2 268.364 3.554 20 0 CHADLO Cc1cncc(C[N@@H+]2CCc3c(Cl)cccc3C2)c1 ZINC000189897540 1123144547 /nfs/dbraw/zinc/14/45/47/1123144547.db2.gz MXSBOWZMQPUACK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cncc(C[N@H+]2CCc3c(Cl)cccc3C2)c1 ZINC000189897540 1123144549 /nfs/dbraw/zinc/14/45/49/1123144549.db2.gz MXSBOWZMQPUACK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Clc1ccc(C[N@@H+]2CCc3nc[nH]c3C23CCC3)cc1 ZINC000895068080 1123144862 /nfs/dbraw/zinc/14/48/62/1123144862.db2.gz IETKPUVVIPKBJP-UHFFFAOYSA-N 1 2 287.794 3.501 20 0 CHADLO Clc1ccc(C[N@H+]2CCc3nc[nH]c3C23CCC3)cc1 ZINC000895068080 1123144865 /nfs/dbraw/zinc/14/48/65/1123144865.db2.gz IETKPUVVIPKBJP-UHFFFAOYSA-N 1 2 287.794 3.501 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCc3nc[nH]c3C23CCC3)o1 ZINC000895067951 1123145132 /nfs/dbraw/zinc/14/51/32/1123145132.db2.gz AYPLQIZWBINBCC-TZMCWYRMSA-N 1 2 297.402 3.564 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+]2CCc3nc[nH]c3C23CCC3)o1 ZINC000895067951 1123145135 /nfs/dbraw/zinc/14/51/35/1123145135.db2.gz AYPLQIZWBINBCC-TZMCWYRMSA-N 1 2 297.402 3.564 20 0 CHADLO Clc1cccc(C[N@@H+]2CCc3nc[nH]c3C23CCC3)c1 ZINC000895067939 1123145139 /nfs/dbraw/zinc/14/51/39/1123145139.db2.gz ATUJYHKQDOTETO-UHFFFAOYSA-N 1 2 287.794 3.501 20 0 CHADLO Clc1cccc(C[N@H+]2CCc3nc[nH]c3C23CCC3)c1 ZINC000895067939 1123145141 /nfs/dbraw/zinc/14/51/41/1123145141.db2.gz ATUJYHKQDOTETO-UHFFFAOYSA-N 1 2 287.794 3.501 20 0 CHADLO C[C@H](CCC(=O)Nc1cccc2[nH+]ccn21)c1ccccc1 ZINC000441483842 1123146493 /nfs/dbraw/zinc/14/64/93/1123146493.db2.gz WTIMRSSJHBZPBU-CQSZACIVSA-N 1 2 293.370 3.857 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@@H+]1Cc1cc(-c2cccs2)on1 ZINC000118413931 1123160223 /nfs/dbraw/zinc/16/02/23/1123160223.db2.gz PHDPJXVASYKCCD-MNOVXSKESA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@H+]1Cc1cc(-c2cccs2)on1 ZINC000118413931 1123160225 /nfs/dbraw/zinc/16/02/25/1123160225.db2.gz PHDPJXVASYKCCD-MNOVXSKESA-N 1 2 294.445 3.729 20 0 CHADLO FC1(F)C[N@H+](CC2CC2)CC[C@@H]1Cc1ccccc1 ZINC001201952270 1123162460 /nfs/dbraw/zinc/16/24/60/1123162460.db2.gz ISQZKXDHMKSUKT-OAHLLOKOSA-N 1 2 265.347 3.596 20 0 CHADLO FC1(F)C[N@@H+](CC2CC2)CC[C@@H]1Cc1ccccc1 ZINC001201952270 1123162462 /nfs/dbraw/zinc/16/24/62/1123162462.db2.gz ISQZKXDHMKSUKT-OAHLLOKOSA-N 1 2 265.347 3.596 20 0 CHADLO Cc1cc(C[N@@H+]2CC(C)(C)[C@H]2c2cccs2)on1 ZINC000287000867 1123166314 /nfs/dbraw/zinc/16/63/14/1123166314.db2.gz QBPVHDRURGMWJZ-CYBMUJFWSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1cc(C[N@H+]2CC(C)(C)[C@H]2c2cccs2)on1 ZINC000287000867 1123166317 /nfs/dbraw/zinc/16/63/17/1123166317.db2.gz QBPVHDRURGMWJZ-CYBMUJFWSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1-c1ccc[nH+]c1N ZINC000713288646 1123166904 /nfs/dbraw/zinc/16/69/04/1123166904.db2.gz KZYXRDIUPHXTND-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1ccc2c(c1)CCCO2 ZINC001202032134 1123172132 /nfs/dbraw/zinc/17/21/32/1123172132.db2.gz WISREBQXIZTVGP-UHFFFAOYSA-N 1 2 298.386 3.806 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+]Cc1ccc(Cl)cn1 ZINC000776254951 1123179876 /nfs/dbraw/zinc/17/98/76/1123179876.db2.gz QGPVFWVFBOAMPA-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO Cc1ccccc1NC(=O)Nc1cc[nH+]c(N2CCCC2)c1 ZINC001202177345 1123182117 /nfs/dbraw/zinc/18/21/17/1123182117.db2.gz LTZBISIPVMQONA-UHFFFAOYSA-N 1 2 296.374 3.634 20 0 CHADLO Clc1sc(C[NH+]2CCCC2)cc1Br ZINC000190714785 1123200018 /nfs/dbraw/zinc/20/00/18/1123200018.db2.gz OXIRSJXJVNEGCM-UHFFFAOYSA-N 1 2 280.618 3.760 20 0 CHADLO CCn1cncc1C[N@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000417740573 1123209381 /nfs/dbraw/zinc/20/93/81/1123209381.db2.gz ZXTQOAVAAQJNQO-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCn1cncc1C[N@@H+](C)Cc1cc(Cl)cc(Cl)c1 ZINC000417740573 1123209382 /nfs/dbraw/zinc/20/93/82/1123209382.db2.gz ZXTQOAVAAQJNQO-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1noc2c1CCCC2)C(C)C ZINC000120930861 1123213442 /nfs/dbraw/zinc/21/34/42/1123213442.db2.gz IGADFBMCEFBZHD-KRWDZBQOSA-N 1 2 299.418 3.744 20 0 CHADLO Cc1ccc(C2=CC[N@H+](Cc3nnc(C(C)C)o3)CC2)cc1 ZINC000727028706 1123214011 /nfs/dbraw/zinc/21/40/11/1123214011.db2.gz WCSFVFIFHXSGPM-UHFFFAOYSA-N 1 2 297.402 3.791 20 0 CHADLO Cc1ccc(C2=CC[N@@H+](Cc3nnc(C(C)C)o3)CC2)cc1 ZINC000727028706 1123214016 /nfs/dbraw/zinc/21/40/16/1123214016.db2.gz WCSFVFIFHXSGPM-UHFFFAOYSA-N 1 2 297.402 3.791 20 0 CHADLO CCCC[N@@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000507838529 1123237779 /nfs/dbraw/zinc/23/77/79/1123237779.db2.gz ZNJUBPKQHYTBMF-AWEZNQCLSA-N 1 2 253.773 3.513 20 0 CHADLO CCCC[N@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000507838529 1123237780 /nfs/dbraw/zinc/23/77/80/1123237780.db2.gz ZNJUBPKQHYTBMF-AWEZNQCLSA-N 1 2 253.773 3.513 20 0 CHADLO Cc1[nH]c(CNc2ccccc2OC2CCCC2)[nH+]c1C ZINC000850208071 1123247417 /nfs/dbraw/zinc/24/74/17/1123247417.db2.gz DPTWVMUJRLKZPV-UHFFFAOYSA-N 1 2 285.391 3.960 20 0 CHADLO COc1cc(Cl)c(NCc2[nH]c(C)c(C)[nH+]2)cc1C ZINC000850300695 1123251884 /nfs/dbraw/zinc/25/18/84/1123251884.db2.gz BINLMIBQNSXBNI-UHFFFAOYSA-N 1 2 279.771 3.609 20 0 CHADLO CCOC1CCC(Nc2ccc([NH+](C)C)c(C)c2)CC1 ZINC000850455650 1123270294 /nfs/dbraw/zinc/27/02/94/1123270294.db2.gz NEHUDDYVZOJDCK-UHFFFAOYSA-N 1 2 276.424 3.821 20 0 CHADLO CCOC1CCC([NH2+]c2ccc(N(C)C)c(C)c2)CC1 ZINC000850455650 1123270296 /nfs/dbraw/zinc/27/02/96/1123270296.db2.gz NEHUDDYVZOJDCK-UHFFFAOYSA-N 1 2 276.424 3.821 20 0 CHADLO C[C@H]1COCCN1c1ccc([NH2+][C@H]2CCCC23CC3)cc1 ZINC000850458604 1123270299 /nfs/dbraw/zinc/27/02/99/1123270299.db2.gz VROJDURWLDOXBT-YOEHRIQHSA-N 1 2 286.419 3.656 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+][C@H]3CCCC34CC4)cc2)CCO1 ZINC000850457152 1123270324 /nfs/dbraw/zinc/27/03/24/1123270324.db2.gz KMZJHHTVZLODMD-PBHICJAKSA-N 1 2 286.419 3.656 20 0 CHADLO Brc1cccc2c(Cn3cc[nH+]c3)cccc12 ZINC000428067407 1123270932 /nfs/dbraw/zinc/27/09/32/1123270932.db2.gz AEMXRHJRFJZPAE-UHFFFAOYSA-N 1 2 287.160 3.847 20 0 CHADLO CC[N@H+](Cc1oc(C(C)C)nc1C)Cc1ccccn1 ZINC000428231710 1123286047 /nfs/dbraw/zinc/28/60/47/1123286047.db2.gz SHVSQRXIPWFTRV-UHFFFAOYSA-N 1 2 273.380 3.524 20 0 CHADLO CC[N@@H+](Cc1oc(C(C)C)nc1C)Cc1ccccn1 ZINC000428231710 1123286049 /nfs/dbraw/zinc/28/60/49/1123286049.db2.gz SHVSQRXIPWFTRV-UHFFFAOYSA-N 1 2 273.380 3.524 20 0 CHADLO C[N@H+](C/C=C/Cl)Cc1csc(Br)c1 ZINC000067461229 1123286926 /nfs/dbraw/zinc/28/69/26/1123286926.db2.gz XIWXGWGSTVYJRM-NSCUHMNNSA-N 1 2 280.618 3.695 20 0 CHADLO C[N@@H+](C/C=C/Cl)Cc1csc(Br)c1 ZINC000067461229 1123286928 /nfs/dbraw/zinc/28/69/28/1123286928.db2.gz XIWXGWGSTVYJRM-NSCUHMNNSA-N 1 2 280.618 3.695 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335040425 1123289659 /nfs/dbraw/zinc/28/96/59/1123289659.db2.gz KYVSLUHQAZRKIF-BLVKFPJESA-N 1 2 299.867 3.634 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335040425 1123289656 /nfs/dbraw/zinc/28/96/56/1123289656.db2.gz KYVSLUHQAZRKIF-BLVKFPJESA-N 1 2 299.867 3.634 20 0 CHADLO Cc1nc(C)c([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)s1 ZINC000335055870 1123290345 /nfs/dbraw/zinc/29/03/45/1123290345.db2.gz RICUNWRCFFYNTH-JTQLQIEISA-N 1 2 273.405 3.901 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C)c2cccs2)c(C)[nH+]1 ZINC000335153657 1123310730 /nfs/dbraw/zinc/31/07/30/1123310730.db2.gz BMIWOXXQTJZABL-NSHDSACASA-N 1 2 289.404 3.951 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1cc(C(F)(F)F)n[nH]1)C1CC1 ZINC000442809497 1123341535 /nfs/dbraw/zinc/34/15/35/1123341535.db2.gz KQPGYITXSVATLQ-SECBINFHSA-N 1 2 299.296 3.747 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1cc(C(F)(F)F)n[nH]1)C1CC1 ZINC000442809497 1123341538 /nfs/dbraw/zinc/34/15/38/1123341538.db2.gz KQPGYITXSVATLQ-SECBINFHSA-N 1 2 299.296 3.747 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@@H]2c2cccc(C)n2)s1 ZINC000544351274 1123352840 /nfs/dbraw/zinc/35/28/40/1123352840.db2.gz SEXSCQKYAXNPRI-OAHLLOKOSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@@H]2c2cccc(C)n2)s1 ZINC000544351274 1123352846 /nfs/dbraw/zinc/35/28/46/1123352846.db2.gz SEXSCQKYAXNPRI-OAHLLOKOSA-N 1 2 287.432 3.746 20 0 CHADLO Cc1c2cc3c(cc2[nH+]c2c1C[C@@H](C(F)F)CC2)OCO3 ZINC000443032445 1123353005 /nfs/dbraw/zinc/35/30/05/1123353005.db2.gz JSBYFLBYDIDTBS-VIFPVBQESA-N 1 2 291.297 3.642 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1N1CCCCCC1 ZINC000417800079 1123357797 /nfs/dbraw/zinc/35/77/97/1123357797.db2.gz BWPPOVCSORXHFT-UHFFFAOYSA-N 1 2 298.434 3.896 20 0 CHADLO CC(C)COC[C@H]([NH2+]CC=C(Cl)Cl)c1ccco1 ZINC000851924451 1123388503 /nfs/dbraw/zinc/38/85/03/1123388503.db2.gz KWZFYMJKCFDKAM-NSHDSACASA-N 1 2 292.206 3.902 20 0 CHADLO C[C@]1([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC=CCC1 ZINC000639258196 1123366219 /nfs/dbraw/zinc/36/62/19/1123366219.db2.gz BETQWHCFWZVVCL-ZBFHGGJFSA-N 1 2 274.412 3.515 20 0 CHADLO Clc1nc(C[N@@H+]2CCCC3(CCCC3)C2)cs1 ZINC000829108166 1123366336 /nfs/dbraw/zinc/36/63/36/1123366336.db2.gz UQWVXBZTRZWXGR-UHFFFAOYSA-N 1 2 270.829 3.953 20 0 CHADLO Clc1nc(C[N@H+]2CCCC3(CCCC3)C2)cs1 ZINC000829108166 1123366337 /nfs/dbraw/zinc/36/63/37/1123366337.db2.gz UQWVXBZTRZWXGR-UHFFFAOYSA-N 1 2 270.829 3.953 20 0 CHADLO NC(=O)C[C@@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000335483009 1123377398 /nfs/dbraw/zinc/37/73/98/1123377398.db2.gz ZWEKVVZWIHTBDC-NSHDSACASA-N 1 2 287.338 3.516 20 0 CHADLO CCC1(CC)CN(C(=O)Nc2c(C)cc(C)[nH+]c2C)C1 ZINC000335590535 1123380688 /nfs/dbraw/zinc/38/06/88/1123380688.db2.gz PYJKBQCCJQYJBO-UHFFFAOYSA-N 1 2 275.396 3.661 20 0 CHADLO C(=C\[C@@H]1CCCCC[N@H+]1Cc1cnon1)\c1cccs1 ZINC000851922654 1123388637 /nfs/dbraw/zinc/38/86/37/1123388637.db2.gz PCKJFNYDOKGXQP-DANTVBBOSA-N 1 2 289.404 3.589 20 0 CHADLO C(=C\[C@@H]1CCCCC[N@@H+]1Cc1cnon1)\c1cccs1 ZINC000851922654 1123388641 /nfs/dbraw/zinc/38/86/41/1123388641.db2.gz PCKJFNYDOKGXQP-DANTVBBOSA-N 1 2 289.404 3.589 20 0 CHADLO CCc1nocc1C[NH2+][C@H](C)c1nc2ccccc2s1 ZINC000851928612 1123390124 /nfs/dbraw/zinc/39/01/24/1123390124.db2.gz OLMXVYNENOHAKF-SNVBAGLBSA-N 1 2 287.388 3.698 20 0 CHADLO FC(F)(F)CC1CC[NH+](CC=C(Cl)Cl)CC1 ZINC000852023907 1123394722 /nfs/dbraw/zinc/39/47/22/1123394722.db2.gz ZNIMQDBVJDFPAP-UHFFFAOYSA-N 1 2 276.129 3.970 20 0 CHADLO Cl/C=C(\Cl)C[NH+]1CCC(c2ccco2)CC1 ZINC000852324564 1123402307 /nfs/dbraw/zinc/40/23/07/1123402307.db2.gz VTFVMEPFNMZGLT-FLIBITNWSA-N 1 2 260.164 3.778 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc2c(s1)CCCC2)c1csnn1 ZINC000398374936 1123402614 /nfs/dbraw/zinc/40/26/14/1123402614.db2.gz QTWXYAGKIYSLKW-UWVGGRQHSA-N 1 2 293.461 3.890 20 0 CHADLO Cc1ccc(CCC[NH2+][C@@H](C)C(=O)OC(C)(C)C)c(C)c1 ZINC000738417648 1123403021 /nfs/dbraw/zinc/40/30/21/1123403021.db2.gz SGRAVJBKDGFPKN-HNNXBMFYSA-N 1 2 291.435 3.556 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2CCC[C@@H]2c2nccs2)c1 ZINC001143590587 1123405058 /nfs/dbraw/zinc/40/50/58/1123405058.db2.gz ZQDQHSOVQYSOIA-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2CCC[C@@H]2c2nccs2)c1 ZINC001143590587 1123405059 /nfs/dbraw/zinc/40/50/59/1123405059.db2.gz ZQDQHSOVQYSOIA-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CCCOc3c(Cl)cccc32)no1 ZINC000335712912 1123414383 /nfs/dbraw/zinc/41/43/83/1123414383.db2.gz DYNHVQUQCYPCHZ-AWEZNQCLSA-N 1 2 292.766 3.640 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCO3)o1 ZINC000852782848 1123415940 /nfs/dbraw/zinc/41/59/40/1123415940.db2.gz WOLOSKYVVMXNIX-QWHCGFSZSA-N 1 2 286.375 3.720 20 0 CHADLO C[C@H]([NH2+]Cc1coc(C2CC2)n1)c1ccc2c(c1)CCCO2 ZINC000853094309 1123423938 /nfs/dbraw/zinc/42/39/38/1123423938.db2.gz AYUKBRJFTPOPDC-LBPRGKRZSA-N 1 2 298.386 3.728 20 0 CHADLO Cc1cc([NH2+]CCSCc2ccccc2)ccc1N ZINC000853248764 1123429846 /nfs/dbraw/zinc/42/98/46/1123429846.db2.gz YQMSXYIPAYMSLY-UHFFFAOYSA-N 1 2 272.417 3.923 20 0 CHADLO CCc1cc(N2Cc3cccc(Cl)c3C2)nc(C)[nH+]1 ZINC000340955572 1123462252 /nfs/dbraw/zinc/46/22/52/1123462252.db2.gz JNQMALFEGOMNIR-UHFFFAOYSA-N 1 2 273.767 3.521 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+]Cc2coc(C3CC3)n2)cc1 ZINC000727466120 1123464731 /nfs/dbraw/zinc/46/47/31/1123464731.db2.gz YPJUWCHBZPFUHD-GFCCVEGCSA-N 1 2 286.375 3.802 20 0 CHADLO FC(F)[C@@H]1CC[N@@H+]1CC1CCC(C(F)(F)F)CC1 ZINC000895433046 1123477588 /nfs/dbraw/zinc/47/75/88/1123477588.db2.gz SALHLZGDLMCZOS-RTBKNWGFSA-N 1 2 271.273 3.695 20 0 CHADLO FC(F)[C@@H]1CC[N@H+]1CC1CCC(C(F)(F)F)CC1 ZINC000895433046 1123477590 /nfs/dbraw/zinc/47/75/90/1123477590.db2.gz SALHLZGDLMCZOS-RTBKNWGFSA-N 1 2 271.273 3.695 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCc2ccc(Cl)c(C)c2C1 ZINC000895463893 1123483263 /nfs/dbraw/zinc/48/32/63/1123483263.db2.gz HJYDKSAXISKIJE-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1ncoc1C[N@H+]1CCc2ccc(Cl)c(C)c2C1 ZINC000895463893 1123483265 /nfs/dbraw/zinc/48/32/65/1123483265.db2.gz HJYDKSAXISKIJE-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cn1c2ccccc2[nH+]c1N[C@@H](c1ccccn1)C1CC1 ZINC000895792589 1123514373 /nfs/dbraw/zinc/51/43/73/1123514373.db2.gz DJGQXWWAEVRFDH-MRXNPFEDSA-N 1 2 278.359 3.532 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC000428297470 1123537836 /nfs/dbraw/zinc/53/78/36/1123537836.db2.gz SZZNTXXAXOMOKW-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC000428297470 1123537839 /nfs/dbraw/zinc/53/78/39/1123537839.db2.gz SZZNTXXAXOMOKW-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO CCCCC[C@@H]1CCCCN1C(=O)c1cccc2[nH+]ccn21 ZINC000856270629 1123553806 /nfs/dbraw/zinc/55/38/06/1123553806.db2.gz QTNSLWYHTFFUMY-OAHLLOKOSA-N 1 2 299.418 3.909 20 0 CHADLO O=C(c1cccc2[nH+]ccn21)N1CCCC[C@H]1CC1CCC1 ZINC000856271735 1123554074 /nfs/dbraw/zinc/55/40/74/1123554074.db2.gz LSWQZJDRAPEZRP-HNNXBMFYSA-N 1 2 297.402 3.519 20 0 CHADLO CCCCC[C@H]1CCCCN1C(=O)c1cccc2[nH+]ccn21 ZINC000856270627 1123554129 /nfs/dbraw/zinc/55/41/29/1123554129.db2.gz QTNSLWYHTFFUMY-HNNXBMFYSA-N 1 2 299.418 3.909 20 0 CHADLO CC[C@H]([NH2+]Cc1cn(C)nc1Cl)c1cc(F)ccc1F ZINC000856770262 1123566688 /nfs/dbraw/zinc/56/66/88/1123566688.db2.gz NRQMEMDRZMRBTQ-ZDUSSCGKSA-N 1 2 299.752 3.593 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C)nc1Cl)c1ccccc1Cl ZINC000856763966 1123566836 /nfs/dbraw/zinc/56/68/36/1123566836.db2.gz LYHQPOJPHSXNES-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2ncc(C(C)C)o2)c1 ZINC000776906296 1123572590 /nfs/dbraw/zinc/57/25/90/1123572590.db2.gz MCNKBSFGXVZFRV-UHFFFAOYSA-N 1 2 292.404 3.943 20 0 CHADLO CCC[C@@H]([NH2+]CC[C@@H](C)c1ccccc1)C(=O)OCC ZINC000097988389 1123577171 /nfs/dbraw/zinc/57/71/71/1123577171.db2.gz NUEGSTLYZSWKAG-GDBMZVCRSA-N 1 2 277.408 3.502 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+](C)Cc1cn(C)nc1Cl ZINC000857012669 1123577880 /nfs/dbraw/zinc/57/78/80/1123577880.db2.gz PZYILJCWFVDKOZ-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cn(C)nc1Cl ZINC000857012669 1123577885 /nfs/dbraw/zinc/57/78/85/1123577885.db2.gz PZYILJCWFVDKOZ-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO Cc1nc(N[C@H]2CCCc3cccnc32)c2c([nH+]1)CCCC2 ZINC000896420192 1123582817 /nfs/dbraw/zinc/58/28/17/1123582817.db2.gz XNRBOVZLQXIGGO-INIZCTEOSA-N 1 2 294.402 3.548 20 0 CHADLO Clc1nccc(C[NH+]2CC(CC3CC3)C2)c1Cl ZINC000857066863 1123584287 /nfs/dbraw/zinc/58/42/87/1123584287.db2.gz YKABEAMJRJUWNV-UHFFFAOYSA-N 1 2 271.191 3.620 20 0 CHADLO Clc1ccc2c(n1)[C@H]([NH2+]Cc1noc3ccccc13)CC2 ZINC000857915239 1123627047 /nfs/dbraw/zinc/62/70/47/1123627047.db2.gz VIVURAPJNXIITP-GFCCVEGCSA-N 1 2 299.761 3.653 20 0 CHADLO C[N@H+](Cc1cocn1)C1c2ccccc2-c2ccccc21 ZINC000191946320 1123631458 /nfs/dbraw/zinc/63/14/58/1123631458.db2.gz WNKHXZLFQWMHHT-UHFFFAOYSA-N 1 2 276.339 3.876 20 0 CHADLO C[N@@H+](Cc1cocn1)C1c2ccccc2-c2ccccc21 ZINC000191946320 1123631460 /nfs/dbraw/zinc/63/14/60/1123631460.db2.gz WNKHXZLFQWMHHT-UHFFFAOYSA-N 1 2 276.339 3.876 20 0 CHADLO COc1cccc(CNc2cccc(-n3cc[nH+]c3)c2)c1F ZINC000192698814 1123631892 /nfs/dbraw/zinc/63/18/92/1123631892.db2.gz COJTVIBHWQKVCO-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO CS[C@H]1CC[C@@H](Nc2nc3ccccc3n3c[nH+]cc23)C1 ZINC000858425077 1123653116 /nfs/dbraw/zinc/65/31/16/1123653116.db2.gz DLOHBJIOGLMBBD-NEPJUHHUSA-N 1 2 298.415 3.579 20 0 CHADLO Fc1ccc(/C=C/C[NH2+][C@@H]2CCCC2(F)F)c(F)c1 ZINC000673617905 1123654233 /nfs/dbraw/zinc/65/42/33/1123654233.db2.gz MUNUONPKQPYFGO-YWVDXFKGSA-N 1 2 273.273 3.755 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2CCSc3ccc(Cl)cc32)o1 ZINC000231640316 1123658378 /nfs/dbraw/zinc/65/83/78/1123658378.db2.gz LGTVTSNPYXSNJS-LBPRGKRZSA-N 1 2 294.807 3.963 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccn1)c1nccn1-c1ccccc1 ZINC000346954706 1123736981 /nfs/dbraw/zinc/73/69/81/1123736981.db2.gz XYJAWGUTSRZQIL-LSDHHAIUSA-N 1 2 292.386 3.679 20 0 CHADLO COc1ccncc1C[N@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000346981964 1123739087 /nfs/dbraw/zinc/73/90/87/1123739087.db2.gz XSBQGFQIKSVUQW-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccncc1C[N@@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000346981964 1123739089 /nfs/dbraw/zinc/73/90/89/1123739089.db2.gz XSBQGFQIKSVUQW-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1ccc(OCC[N@@H+](C)Cc2cccnc2Cl)cc1 ZINC000195113074 1129142454 /nfs/dbraw/zinc/14/24/54/1129142454.db2.gz GGXIZKHVYUGBCT-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO Cc1ccc(OCC[N@H+](C)Cc2cccnc2Cl)cc1 ZINC000195113074 1129142457 /nfs/dbraw/zinc/14/24/57/1129142457.db2.gz GGXIZKHVYUGBCT-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@H](c3ccncc3)C2)s1 ZINC000336264962 1123753680 /nfs/dbraw/zinc/75/36/80/1123753680.db2.gz SLUHUPGTYCMNNW-GFCCVEGCSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@H](c3ccncc3)C2)s1 ZINC000336264962 1123753681 /nfs/dbraw/zinc/75/36/81/1123753681.db2.gz SLUHUPGTYCMNNW-GFCCVEGCSA-N 1 2 293.823 3.571 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2ccc(Cl)cc21)c1csnn1 ZINC000336281059 1123756530 /nfs/dbraw/zinc/75/65/30/1123756530.db2.gz RFXLQZJFPNEEBX-PELKAZGASA-N 1 2 279.796 3.530 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cc(C)c(C)o1)c1ccc(C)o1 ZINC000281965575 1123760479 /nfs/dbraw/zinc/76/04/79/1123760479.db2.gz BFXDJHUHNHNNDH-OCCSQVGLSA-N 1 2 277.364 3.836 20 0 CHADLO Cc1nocc1C[NH2+][C@@H]1COc2c1ccc(Cl)c2Cl ZINC000336359380 1123764915 /nfs/dbraw/zinc/76/49/15/1123764915.db2.gz VJUCMUQCHVHBQW-LLVKDONJSA-N 1 2 299.157 3.513 20 0 CHADLO Cc1csc(C[N@H+]2CCC[C@H](C(F)(F)F)[C@@H]2C)n1 ZINC000336477309 1123772668 /nfs/dbraw/zinc/77/26/68/1123772668.db2.gz MSTYQXADFKJGDW-UWVGGRQHSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1csc(C[N@@H+]2CCC[C@H](C(F)(F)F)[C@@H]2C)n1 ZINC000336477309 1123772670 /nfs/dbraw/zinc/77/26/70/1123772670.db2.gz MSTYQXADFKJGDW-UWVGGRQHSA-N 1 2 278.343 3.614 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2nc(C3CCC3)no2)C2CCC2)cc1 ZINC000639529754 1123773200 /nfs/dbraw/zinc/77/32/00/1123773200.db2.gz KXENLPKQNWALSO-QGZVFWFLSA-N 1 2 297.402 3.968 20 0 CHADLO CSCCCCCCC(=O)NCc1c[nH+]c(C)cc1C ZINC000861933559 1123787815 /nfs/dbraw/zinc/78/78/15/1123787815.db2.gz RTEDBPRTOWPFFL-UHFFFAOYSA-N 1 2 294.464 3.628 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2ccnc(F)c2C)c1 ZINC000862348394 1123801316 /nfs/dbraw/zinc/80/13/16/1123801316.db2.gz LWKLYBMSEWEQGV-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2ccnc(F)c2C)c1 ZINC000862348394 1123801321 /nfs/dbraw/zinc/80/13/21/1123801321.db2.gz LWKLYBMSEWEQGV-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO FC(F)(F)c1sccc1C[NH+]1CCC(F)(F)CC1 ZINC000862350921 1123801508 /nfs/dbraw/zinc/80/15/08/1123801508.db2.gz JKQDAAVKBROEKW-UHFFFAOYSA-N 1 2 285.281 3.998 20 0 CHADLO Cc1c(F)nccc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000862386940 1123805322 /nfs/dbraw/zinc/80/53/22/1123805322.db2.gz DZORPSKYUBTPPS-HNNXBMFYSA-N 1 2 286.350 3.849 20 0 CHADLO Cc1c(F)nccc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000862386940 1123805326 /nfs/dbraw/zinc/80/53/26/1123805326.db2.gz DZORPSKYUBTPPS-HNNXBMFYSA-N 1 2 286.350 3.849 20 0 CHADLO CC(C)C[C@@H](CCO)CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000339053595 1123858802 /nfs/dbraw/zinc/85/88/02/1123858802.db2.gz AUMBDEQTQOSAAI-LLVKDONJSA-N 1 2 290.329 3.557 20 0 CHADLO C[C@@H]1CCCC[C@H]1N(C)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000031386655 1123863161 /nfs/dbraw/zinc/86/31/61/1123863161.db2.gz JXKBJDMDZMHPMD-RHSMWYFYSA-N 1 2 297.402 3.523 20 0 CHADLO FC(F)(F)c1ccccc1OCCNc1cccc[nH+]1 ZINC000019777060 1123865466 /nfs/dbraw/zinc/86/54/66/1123865466.db2.gz DVBXYONUGJRSPX-UHFFFAOYSA-N 1 2 282.265 3.591 20 0 CHADLO Cc1cc(C[NH2+][C@@H](COCC(C)C)c2ccco2)c(C)o1 ZINC000119544473 1123877918 /nfs/dbraw/zinc/87/79/18/1123877918.db2.gz AHJUATRWZRLKDI-INIZCTEOSA-N 1 2 291.391 3.993 20 0 CHADLO Cc1cc(NC[C@@H]2CCOc3ccccc32)nc(C(C)C)[nH+]1 ZINC000301189708 1123879728 /nfs/dbraw/zinc/87/97/28/1123879728.db2.gz GSPPOBYNBXYNGX-AWEZNQCLSA-N 1 2 297.402 3.887 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(N3CCCCC3)nc[nH+]2)cc1 ZINC000301284894 1123887966 /nfs/dbraw/zinc/88/79/66/1123887966.db2.gz NVZFEFDIRBLBCD-HNNXBMFYSA-N 1 2 296.418 3.948 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(N3CCCCC3)[nH+]cn2)cc1 ZINC000301284894 1123887977 /nfs/dbraw/zinc/88/79/77/1123887977.db2.gz NVZFEFDIRBLBCD-HNNXBMFYSA-N 1 2 296.418 3.948 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)c1cccc2c1OCO2 ZINC000863988224 1123907493 /nfs/dbraw/zinc/90/74/93/1123907493.db2.gz GALITFHHPJSPQQ-ZDUSSCGKSA-N 1 2 298.386 3.963 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cccc2c1CCOC2 ZINC000864003850 1123908171 /nfs/dbraw/zinc/90/81/71/1123908171.db2.gz GTKUPYBGKWEHAL-UHFFFAOYSA-N 1 2 296.414 3.746 20 0 CHADLO Cc1ccccc1CNc1nc(C)[nH+]c2c1CCCC2 ZINC000301585284 1123915515 /nfs/dbraw/zinc/91/55/15/1123915515.db2.gz VBIXDBPMZMYBRQ-UHFFFAOYSA-N 1 2 267.376 3.584 20 0 CHADLO Cc1nc(N2CC[C@H](c3ccccc3)[C@H]2C)c(C)c(C)[nH+]1 ZINC000301669004 1123922089 /nfs/dbraw/zinc/92/20/89/1123922089.db2.gz NKHLTFQNAJVXJC-PBHICJAKSA-N 1 2 281.403 3.784 20 0 CHADLO Cc1cc(N2CCS[C@@H]3CCCC[C@@H]32)nc(C(C)C)[nH+]1 ZINC000301681303 1123923123 /nfs/dbraw/zinc/92/31/23/1123923123.db2.gz VDMGYQHXXMYPJC-UONOGXRCSA-N 1 2 291.464 3.773 20 0 CHADLO CC[C@@H](C)[C@](C)(O)CNc1[nH+]c2ccccc2cc1C ZINC000301744476 1123928989 /nfs/dbraw/zinc/92/89/89/1123928989.db2.gz ZWAVAPLNGCEYJA-CXAGYDPISA-N 1 2 272.392 3.752 20 0 CHADLO CC[C@H](C)[C@@](C)(O)CNc1[nH+]c2ccccc2cc1C ZINC000301744478 1123929217 /nfs/dbraw/zinc/92/92/17/1123929217.db2.gz ZWAVAPLNGCEYJA-GUYCJALGSA-N 1 2 272.392 3.752 20 0 CHADLO Cc1cc([C@@H](C)Nc2nc(N)c3ccccc3[nH+]2)c(C)o1 ZINC000301788470 1123933384 /nfs/dbraw/zinc/93/33/84/1123933384.db2.gz PSCLEUMASVAXJP-SNVBAGLBSA-N 1 2 282.347 3.595 20 0 CHADLO CC(C)c1cc(NCC(C)(C)C[C@H](C)O)nc(C(C)C)[nH+]1 ZINC000301871408 1123940077 /nfs/dbraw/zinc/94/00/77/1123940077.db2.gz PTWRNVUBYNLEEC-ZDUSSCGKSA-N 1 2 293.455 3.932 20 0 CHADLO Cc1cc(NCc2nc3c(cccc3C)[nH]2)nc(C(C)C)[nH+]1 ZINC000301883300 1123940752 /nfs/dbraw/zinc/94/07/52/1123940752.db2.gz LBVSHDWPCNTUAF-UHFFFAOYSA-N 1 2 295.390 3.705 20 0 CHADLO CC(C)c1cc(N[C@H]2CC[C@@H](CO)CC2)nc(C(C)C)[nH+]1 ZINC000301881800 1123940895 /nfs/dbraw/zinc/94/08/95/1123940895.db2.gz WFDGEIMUSHXGPP-OKILXGFUSA-N 1 2 291.439 3.686 20 0 CHADLO CCc1cc(N2CC[C@@H](C)C[C@H]2c2ccco2)nc(C)[nH+]1 ZINC000302061215 1123952693 /nfs/dbraw/zinc/95/26/93/1123952693.db2.gz BOGSPNOKDNYZNQ-DOMZBBRYSA-N 1 2 285.391 3.918 20 0 CHADLO CCc1cc(N2C[C@H](C)[C@H]2c2ccccc2)nc(C)[nH+]1 ZINC000302092088 1123955096 /nfs/dbraw/zinc/95/50/96/1123955096.db2.gz MMIRYEFDEJOWMB-SJCJKPOMSA-N 1 2 267.376 3.545 20 0 CHADLO Cc1cc(C)cc([C@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000302117446 1123956156 /nfs/dbraw/zinc/95/61/56/1123956156.db2.gz CMXOHLIMOOWPHG-HNNXBMFYSA-N 1 2 252.361 3.692 20 0 CHADLO COCc1cc[nH+]c(NCc2nc(C(C)(C)C)cs2)c1 ZINC000865293412 1123970642 /nfs/dbraw/zinc/97/06/42/1123970642.db2.gz JTSUVOVZEOLESW-UHFFFAOYSA-N 1 2 291.420 3.594 20 0 CHADLO Cc1ccc(-c2nnc(Cn3c[nH+]c(C(C)(C)C)c3)o2)cc1 ZINC000865374964 1123976582 /nfs/dbraw/zinc/97/65/82/1123976582.db2.gz CASFONUIIZIXJU-UHFFFAOYSA-N 1 2 296.374 3.587 20 0 CHADLO CO[C@H]1CC[C@H](Nc2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000302770241 1123982660 /nfs/dbraw/zinc/98/26/60/1123982660.db2.gz MPBQTBBXJZJYDG-STQMWFEESA-N 1 2 277.412 3.703 20 0 CHADLO Cc1[nH]c(CNc2ccc(F)cc2C(C)(F)F)[nH+]c1C ZINC000865827583 1124017508 /nfs/dbraw/zinc/01/75/08/1124017508.db2.gz PNZLUCMQFYONPP-UHFFFAOYSA-N 1 2 283.297 3.889 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cncc(Cl)c1)C2 ZINC000621285798 1129161112 /nfs/dbraw/zinc/16/11/12/1129161112.db2.gz LPPWCLATKXMLBK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cncc(Cl)c1)C2 ZINC000621285798 1129161115 /nfs/dbraw/zinc/16/11/15/1129161115.db2.gz LPPWCLATKXMLBK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCCO[C@H]1CCCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000108350690 1124025907 /nfs/dbraw/zinc/02/59/07/1124025907.db2.gz VAMIVWVKISUXDO-HNNXBMFYSA-N 1 2 291.439 3.694 20 0 CHADLO CC(C)C[C@H](C(=O)N(C)[C@@H]1CCC(C)(C)C1)n1cc[nH+]c1 ZINC001626773256 1124034223 /nfs/dbraw/zinc/03/42/23/1124034223.db2.gz QMABVCUJAAFBHC-HUUCEWRRSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1cccc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)c1 ZINC000866221862 1124039037 /nfs/dbraw/zinc/03/90/37/1124039037.db2.gz UMOAISSOFBVHKW-WBVHZDCISA-N 1 2 271.335 3.556 20 0 CHADLO Cc1cc(NCc2noc3cc(F)ccc23)nc(C2CC2)[nH+]1 ZINC000866248462 1124042017 /nfs/dbraw/zinc/04/20/17/1124042017.db2.gz OYMDWPSKKKXUHH-UHFFFAOYSA-N 1 2 298.321 3.555 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+]C1(c2ccccc2)CC1 ZINC000866318799 1124052277 /nfs/dbraw/zinc/05/22/77/1124052277.db2.gz FMPAESGPPZCCFE-NVXWUHKLSA-N 1 2 283.346 3.737 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(F)c(C)c2)sc1C ZINC000444740054 1124057990 /nfs/dbraw/zinc/05/79/90/1124057990.db2.gz SONVHMNJLQIQQX-UHFFFAOYSA-N 1 2 278.396 3.839 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(F)c(C)c2)sc1C ZINC000444740054 1124057994 /nfs/dbraw/zinc/05/79/94/1124057994.db2.gz SONVHMNJLQIQQX-UHFFFAOYSA-N 1 2 278.396 3.839 20 0 CHADLO Cc1nccnc1C[NH2+][C@@H](C)c1cc(Cl)ccc1Cl ZINC000621331585 1129163932 /nfs/dbraw/zinc/16/39/32/1129163932.db2.gz GIEWVYQSHKYXFW-VIFPVBQESA-N 1 2 296.201 3.943 20 0 CHADLO CN(Cc1cc(C(C)(C)C)[nH]n1)c1cc[nH+]c2ccccc21 ZINC000444883262 1124067926 /nfs/dbraw/zinc/06/79/26/1124067926.db2.gz ZCTBNTRZFIZFAB-UHFFFAOYSA-N 1 2 294.402 3.892 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CCCCC2CC2)C2CCCCC2)n1 ZINC000866540628 1124080492 /nfs/dbraw/zinc/08/04/92/1124080492.db2.gz APUZXSQYNHNAIQ-HNNXBMFYSA-N 1 2 276.428 3.596 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cncn1Cc1ccccc1 ZINC000445798311 1124100933 /nfs/dbraw/zinc/10/09/33/1124100933.db2.gz OMXRZFGZOFBXMA-HNNXBMFYSA-N 1 2 295.386 3.717 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cncn1Cc1ccccc1 ZINC000445798311 1124100934 /nfs/dbraw/zinc/10/09/34/1124100934.db2.gz OMXRZFGZOFBXMA-HNNXBMFYSA-N 1 2 295.386 3.717 20 0 CHADLO Cc1cccc(N(C)Cc2c[nH+]cn2Cc2ccccc2)c1 ZINC000445799708 1124101098 /nfs/dbraw/zinc/10/10/98/1124101098.db2.gz PMPISMXHTSYPHV-UHFFFAOYSA-N 1 2 291.398 3.876 20 0 CHADLO Cc1[nH]c2ccc(Cn3cnc4cc(F)c(F)cc43)cc2[nH+]1 ZINC000446068307 1124109035 /nfs/dbraw/zinc/10/90/35/1124109035.db2.gz HLVKWHOYKXGDMV-UHFFFAOYSA-N 1 2 298.296 3.548 20 0 CHADLO CCc1ccc(NC(=O)c2cccc3[nH+]ccn32)cc1Cl ZINC001271839491 1124112208 /nfs/dbraw/zinc/11/22/08/1124112208.db2.gz PNXUFAUEVVKHHS-UHFFFAOYSA-N 1 2 299.761 3.802 20 0 CHADLO CCC1(CC)CCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000446533253 1124115448 /nfs/dbraw/zinc/11/54/48/1124115448.db2.gz VDUHCWNAYLIIEU-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO CCCn1cc(C[N@H+](C)Cc2cc(Cl)ccc2F)cn1 ZINC000446976740 1124129856 /nfs/dbraw/zinc/12/98/56/1124129856.db2.gz JFAGHEYTXNAEJE-UHFFFAOYSA-N 1 2 295.789 3.718 20 0 CHADLO CCCn1cc(C[N@@H+](C)Cc2cc(Cl)ccc2F)cn1 ZINC000446976740 1124129857 /nfs/dbraw/zinc/12/98/57/1124129857.db2.gz JFAGHEYTXNAEJE-UHFFFAOYSA-N 1 2 295.789 3.718 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2c(C)noc2C)c(Cl)c1 ZINC000127341604 1124130388 /nfs/dbraw/zinc/13/03/88/1124130388.db2.gz NFWPFZZYYNYMPS-UHFFFAOYSA-N 1 2 294.782 3.585 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2c(C)noc2C)c(Cl)c1 ZINC000127341604 1124130389 /nfs/dbraw/zinc/13/03/89/1124130389.db2.gz NFWPFZZYYNYMPS-UHFFFAOYSA-N 1 2 294.782 3.585 20 0 CHADLO COc1cc2c(cc1OC1CCC(F)(F)CC1)C=[NH+]CC2 ZINC001228090720 1124134594 /nfs/dbraw/zinc/13/45/94/1124134594.db2.gz OAKWKMQSQUJZQK-UHFFFAOYSA-N 1 2 295.329 3.627 20 0 CHADLO Cc1ncsc1C[NH2+][C@H](C(F)F)C1CCCCC1 ZINC000621400549 1129172683 /nfs/dbraw/zinc/17/26/83/1129172683.db2.gz LABLHDCEMCXHEZ-LBPRGKRZSA-N 1 2 274.380 3.755 20 0 CHADLO Cc1ccncc1C[NH2+][C@@H](C(F)F)C1CCCCC1 ZINC000621402185 1129173820 /nfs/dbraw/zinc/17/38/20/1129173820.db2.gz WMNCXJWXBIUSTO-CQSZACIVSA-N 1 2 268.351 3.694 20 0 CHADLO CC(C)C[C@H](C(=O)N(C)[C@H](C)c1ccccc1)n1cc[nH+]c1 ZINC000635148766 1129173955 /nfs/dbraw/zinc/17/39/55/1129173955.db2.gz BSZBCFNGFLAZBO-NVXWUHKLSA-N 1 2 299.418 3.690 20 0 CHADLO CCc1ccccc1NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000635184269 1129175628 /nfs/dbraw/zinc/17/56/28/1129175628.db2.gz CSSMGYDQIVTLSY-INIZCTEOSA-N 1 2 285.391 3.671 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)C1CCC=CCC1 ZINC000635246868 1129177086 /nfs/dbraw/zinc/17/70/86/1129177086.db2.gz NEAAIIJPFXWZDZ-UHFFFAOYSA-N 1 2 281.359 3.557 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC[C@@H](O)[C@H](C)c1ccccc1 ZINC000819408439 1131245183 /nfs/dbraw/zinc/24/51/83/1131245183.db2.gz FYBOASLQPHGAMH-DNVCBOLYSA-N 1 2 298.430 3.638 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2scnc2Cl)C2CC2)s1 ZINC001119252338 1131249359 /nfs/dbraw/zinc/24/93/59/1131249359.db2.gz BJBMVRPCRASMBJ-JTQLQIEISA-N 1 2 299.852 3.802 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2oc(C(C)C)nc2C)o1 ZINC000428472389 1124455182 /nfs/dbraw/zinc/45/51/82/1124455182.db2.gz AATIWZAICSZOIU-UHFFFAOYSA-N 1 2 262.353 3.640 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2oc(C(C)C)nc2C)o1 ZINC000428472389 1124455183 /nfs/dbraw/zinc/45/51/83/1124455183.db2.gz AATIWZAICSZOIU-UHFFFAOYSA-N 1 2 262.353 3.640 20 0 CHADLO O=c1[nH]c2ccccc2cc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001238881162 1131263146 /nfs/dbraw/zinc/26/31/46/1131263146.db2.gz MXPLLQRCJVVMHJ-UHFFFAOYSA-N 1 2 287.322 3.793 20 0 CHADLO COC[C@H]([NH2+]Cc1cccc(F)c1Cl)c1ccc(C)o1 ZINC000339084349 1124491678 /nfs/dbraw/zinc/49/16/78/1124491678.db2.gz UGKONFSOOKLMKD-ZDUSSCGKSA-N 1 2 297.757 3.858 20 0 CHADLO COC(=O)[C@H]([NH3+])c1ccc(-c2csc3ccccc32)cc1 ZINC001238893551 1131267033 /nfs/dbraw/zinc/26/70/33/1131267033.db2.gz SBYJOALCXGBPLT-MRXNPFEDSA-N 1 2 297.379 3.741 20 0 CHADLO CC(C)(C)C[C@@H]1CC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000448553745 1124584776 /nfs/dbraw/zinc/58/47/76/1124584776.db2.gz DGJUJCSIHSSLFR-LBPRGKRZSA-N 1 2 279.428 3.625 20 0 CHADLO CC(C)(C)C[C@@H]1CC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000448553745 1124584778 /nfs/dbraw/zinc/58/47/78/1124584778.db2.gz DGJUJCSIHSSLFR-LBPRGKRZSA-N 1 2 279.428 3.625 20 0 CHADLO CC(C)CCCC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000448650011 1124602406 /nfs/dbraw/zinc/60/24/06/1124602406.db2.gz VGYZVYICUVKZMD-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncccc2Cl)[C@H]1c1ccccc1 ZINC000528392606 1124651622 /nfs/dbraw/zinc/65/16/22/1124651622.db2.gz CDSKMVKKKYUXGB-MLGOLLRUSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncccc2Cl)[C@H]1c1ccccc1 ZINC000528392606 1124651624 /nfs/dbraw/zinc/65/16/24/1124651624.db2.gz CDSKMVKKKYUXGB-MLGOLLRUSA-N 1 2 272.779 3.928 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ccncc3F)CCCC2)n1 ZINC000449149828 1124656500 /nfs/dbraw/zinc/65/65/00/1124656500.db2.gz ORMABLCXMQHUIZ-UHFFFAOYSA-N 1 2 291.395 3.545 20 0 CHADLO FC(F)(F)c1ncccc1C[N@@H+]1CCc2ccsc2C1 ZINC000528429108 1124658982 /nfs/dbraw/zinc/65/89/82/1124658982.db2.gz OXNMQZYAPOXKER-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1ncccc1C[N@H+]1CCc2ccsc2C1 ZINC000528429108 1124658986 /nfs/dbraw/zinc/65/89/86/1124658986.db2.gz OXNMQZYAPOXKER-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncccc1Cl)c1c(C)noc1C ZINC000528441872 1124666614 /nfs/dbraw/zinc/66/66/14/1124666614.db2.gz BMCBNJFSGHEXDE-GFCCVEGCSA-N 1 2 279.771 3.581 20 0 CHADLO CC[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@H]1CCCOC1 ZINC000872055004 1124667396 /nfs/dbraw/zinc/66/73/96/1124667396.db2.gz DMSYVAROMQYDFR-QAPCUYQASA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@@H]1CCCOC1 ZINC000872055004 1124667398 /nfs/dbraw/zinc/66/73/98/1124667398.db2.gz DMSYVAROMQYDFR-QAPCUYQASA-N 1 2 288.435 3.904 20 0 CHADLO C/C(Cl)=C\C[NH2+][C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000528452663 1124672020 /nfs/dbraw/zinc/67/20/20/1124672020.db2.gz PLACVSCOYSQXCL-OLKPEBQYSA-N 1 2 295.745 3.669 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCCc3occc32)cc1 ZINC000123939894 1124676352 /nfs/dbraw/zinc/67/63/52/1124676352.db2.gz BXMGDYQFBVUORC-OAHLLOKOSA-N 1 2 256.349 3.835 20 0 CHADLO C[C@@H]1CC[C@@H](C)[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000449319565 1124693445 /nfs/dbraw/zinc/69/34/45/1124693445.db2.gz KQQXRRMHFZBFPC-FMKPAKJESA-N 1 2 284.407 3.563 20 0 CHADLO FC(F)(F)c1cccc(C[NH2+]Cc2ccc(Cl)o2)n1 ZINC000449403993 1124727652 /nfs/dbraw/zinc/72/76/52/1124727652.db2.gz YIGWUYHKUFQYFP-UHFFFAOYSA-N 1 2 290.672 3.637 20 0 CHADLO Cc1csc([C@@H]2CCN(c3[nH+]ccc4ccccc43)C2)n1 ZINC000872737808 1124727930 /nfs/dbraw/zinc/72/79/30/1124727930.db2.gz USMBTXRRKXAZEJ-CQSZACIVSA-N 1 2 295.411 3.994 20 0 CHADLO Cc1cc2cc(C[NH2+]Cc3nnc(C(C)C)[nH]3)oc2cc1C ZINC000449406675 1124727891 /nfs/dbraw/zinc/72/78/91/1124727891.db2.gz ZAXDXBVHOHYROV-UHFFFAOYSA-N 1 2 298.390 3.581 20 0 CHADLO CCOC1CC2(C[C@@H]2Nc2cc[nH+]c3c(OC)cccc23)C1 ZINC000872775341 1124729212 /nfs/dbraw/zinc/72/92/12/1124729212.db2.gz CZYNSSTVBYCQNM-IBFVRDEOSA-N 1 2 298.386 3.613 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](c2ccccn2)C2CCC2)o1 ZINC000528659187 1124736054 /nfs/dbraw/zinc/73/60/54/1124736054.db2.gz OHUGGHYCWRZSGH-IUODEOHRSA-N 1 2 271.364 3.570 20 0 CHADLO CCOc1cccc(F)c1C[NH2+]Cc1ccc(Cl)cn1 ZINC000873100962 1124737780 /nfs/dbraw/zinc/73/77/80/1124737780.db2.gz KHPJLMKBGIAHHF-UHFFFAOYSA-N 1 2 294.757 3.563 20 0 CHADLO Fc1cc(Br)ccc1C[N@@H+]1CC[C@@H]1C1CC1 ZINC000449421406 1124738612 /nfs/dbraw/zinc/73/86/12/1124738612.db2.gz ABVITXDWBIMARP-CYBMUJFWSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1cc(Br)ccc1C[N@H+]1CC[C@@H]1C1CC1 ZINC000449421406 1124738620 /nfs/dbraw/zinc/73/86/20/1124738620.db2.gz ABVITXDWBIMARP-CYBMUJFWSA-N 1 2 284.172 3.573 20 0 CHADLO Clc1cnc(C[NH2+]Cc2cnc(C3CCC3)s2)s1 ZINC000873165251 1124745452 /nfs/dbraw/zinc/74/54/52/1124745452.db2.gz KBPNKNJLMPHHIP-UHFFFAOYSA-N 1 2 299.852 3.810 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@H](C(F)(F)F)C[C@@H]2C)s1 ZINC000449459435 1124747603 /nfs/dbraw/zinc/74/76/03/1124747603.db2.gz LBGMJWQHGTUSMI-UWVGGRQHSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@H](C(F)(F)F)C[C@@H]2C)s1 ZINC000449459435 1124747609 /nfs/dbraw/zinc/74/76/09/1124747609.db2.gz LBGMJWQHGTUSMI-UWVGGRQHSA-N 1 2 292.370 3.868 20 0 CHADLO COc1cccc(-c2cn3cc[nH+]c3cc2C)c1C ZINC001238982930 1131285887 /nfs/dbraw/zinc/28/58/87/1131285887.db2.gz JNFBPAYTXWTCNQ-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cc1cc(F)cc(N2C[C@@H](C(F)(F)F)CC[C@H]2C)[nH+]1 ZINC001120920946 1131286522 /nfs/dbraw/zinc/28/65/22/1131286522.db2.gz IXPZXDVLHCJHNM-ZJUUUORDSA-N 1 2 276.277 3.696 20 0 CHADLO Cc1cc(C)c(CNC(=O)C23CCC(CC2)C3(C)C)c[nH+]1 ZINC001121219715 1131292737 /nfs/dbraw/zinc/29/27/37/1131292737.db2.gz MAZAVCHWBGYHRN-UHFFFAOYSA-N 1 2 286.419 3.531 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)Cc1ccc(C2CCC2)cc1 ZINC001121230884 1131293873 /nfs/dbraw/zinc/29/38/73/1131293873.db2.gz DZRXOCCXKZFSQL-UHFFFAOYSA-N 1 2 294.398 3.569 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001123025813 1131306772 /nfs/dbraw/zinc/30/67/72/1131306772.db2.gz UWTLCMPEFCCUTJ-CHWSQXEVSA-N 1 2 290.338 3.586 20 0 CHADLO CCOc1nnc(C[N@H+](C)C[C@H](C)C2CCCCC2)s1 ZINC000528774862 1124800211 /nfs/dbraw/zinc/80/02/11/1124800211.db2.gz SXDITWQXAWHYGQ-LBPRGKRZSA-N 1 2 297.468 3.585 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1ccc(F)cc1Cl ZINC000449562894 1124802456 /nfs/dbraw/zinc/80/24/56/1124802456.db2.gz PBVVOTVYZBYWNC-SNVBAGLBSA-N 1 2 281.762 3.546 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2cc3cc(F)ccc3o2)c2nccn21 ZINC000449573710 1124808174 /nfs/dbraw/zinc/80/81/74/1124808174.db2.gz RZHRPFAXIWBXCP-QMTHXVAHSA-N 1 2 285.322 3.564 20 0 CHADLO CCOc1c(Cl)cccc1C[NH2+]Cc1cnc(CC)o1 ZINC000449608214 1124814643 /nfs/dbraw/zinc/81/46/43/1124814643.db2.gz FZGFLJZHPXTMRP-UHFFFAOYSA-N 1 2 294.782 3.579 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccc(F)cc2Cl)o1 ZINC000449609445 1124814939 /nfs/dbraw/zinc/81/49/39/1124814939.db2.gz BPXKEDXVXIVHAQ-UHFFFAOYSA-N 1 2 282.746 3.709 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccccc2OC(F)F)o1 ZINC000449612974 1124817577 /nfs/dbraw/zinc/81/75/77/1124817577.db2.gz PVBHBHOGTZUCIH-UHFFFAOYSA-N 1 2 296.317 3.518 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@@H](C)c1ncccc1F ZINC000528822265 1124819611 /nfs/dbraw/zinc/81/96/11/1124819611.db2.gz BVWPJGDAOHAJGG-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO COc1ccc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)cc1O ZINC000449627623 1124824554 /nfs/dbraw/zinc/82/45/54/1124824554.db2.gz ANDPYMKMZFWVQF-KRWDZBQOSA-N 1 2 299.370 3.721 20 0 CHADLO COc1ccc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)cc1O ZINC000449627623 1124824565 /nfs/dbraw/zinc/82/45/65/1124824565.db2.gz ANDPYMKMZFWVQF-KRWDZBQOSA-N 1 2 299.370 3.721 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000449644335 1124829550 /nfs/dbraw/zinc/82/95/50/1124829550.db2.gz ZZDSVFQBBSJZQB-MRXNPFEDSA-N 1 2 285.391 3.784 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000449644335 1124829554 /nfs/dbraw/zinc/82/95/54/1124829554.db2.gz ZZDSVFQBBSJZQB-MRXNPFEDSA-N 1 2 285.391 3.784 20 0 CHADLO CC[N@H+](Cc1cnn(C(C)C)c1)Cc1cccc(F)c1F ZINC001137081628 1124848107 /nfs/dbraw/zinc/84/81/07/1124848107.db2.gz OBZSFOGYVDVKNY-UHFFFAOYSA-N 1 2 293.361 3.764 20 0 CHADLO CC[N@@H+](Cc1cnn(C(C)C)c1)Cc1cccc(F)c1F ZINC001137081628 1124848122 /nfs/dbraw/zinc/84/81/22/1124848122.db2.gz OBZSFOGYVDVKNY-UHFFFAOYSA-N 1 2 293.361 3.764 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ncc(Cl)s2)CC1 ZINC001137103819 1124933805 /nfs/dbraw/zinc/93/38/05/1124933805.db2.gz LERMQUVAJUIMSB-NSHDSACASA-N 1 2 262.781 3.511 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(F)cc(F)c2F)cc1 ZINC001137868916 1124886764 /nfs/dbraw/zinc/88/67/64/1124886764.db2.gz WDRWQKKDZDVGIH-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(F)cc(F)c2F)cc1 ZINC001137868916 1124886775 /nfs/dbraw/zinc/88/67/75/1124886775.db2.gz WDRWQKKDZDVGIH-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ncc(Cl)s2)CC1 ZINC001137103819 1124933795 /nfs/dbraw/zinc/93/37/95/1124933795.db2.gz LERMQUVAJUIMSB-NSHDSACASA-N 1 2 262.781 3.511 20 0 CHADLO c1nc(C[N@@H+]2CCCCC[C@H]2c2ccncc2)cs1 ZINC000120437920 1124936748 /nfs/dbraw/zinc/93/67/48/1124936748.db2.gz NHDNOFROQUHFSW-HNNXBMFYSA-N 1 2 273.405 3.655 20 0 CHADLO c1nc(C[N@H+]2CCCCC[C@H]2c2ccncc2)cs1 ZINC000120437920 1124936756 /nfs/dbraw/zinc/93/67/56/1124936756.db2.gz NHDNOFROQUHFSW-HNNXBMFYSA-N 1 2 273.405 3.655 20 0 CHADLO CC(C)[N@H+](Cc1ccc(Cl)nn1)Cc1ccccc1F ZINC000876582694 1124962297 /nfs/dbraw/zinc/96/22/97/1124962297.db2.gz WRLDJMIURHELJH-UHFFFAOYSA-N 1 2 293.773 3.680 20 0 CHADLO CC(C)[N@@H+](Cc1ccc(Cl)nn1)Cc1ccccc1F ZINC000876582694 1124962303 /nfs/dbraw/zinc/96/23/03/1124962303.db2.gz WRLDJMIURHELJH-UHFFFAOYSA-N 1 2 293.773 3.680 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CCCCC23CC3)c1 ZINC001137873646 1124979059 /nfs/dbraw/zinc/97/90/59/1124979059.db2.gz NZDWTXPHIMFCQZ-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CCCCC23CC3)c1 ZINC001137873646 1124979065 /nfs/dbraw/zinc/97/90/65/1124979065.db2.gz NZDWTXPHIMFCQZ-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)[C@H]1CC1(F)F ZINC000876817958 1124979504 /nfs/dbraw/zinc/97/95/04/1124979504.db2.gz HVZNIYQMNJMFMC-ZYHUDNBSSA-N 1 2 254.324 3.517 20 0 CHADLO Cc1cc(NCc2nc(Cl)cs2)ccc1[NH+](C)C ZINC000876845289 1124985077 /nfs/dbraw/zinc/98/50/77/1124985077.db2.gz QKZOKWKRFIKWAQ-UHFFFAOYSA-N 1 2 281.812 3.783 20 0 CHADLO Cc1cccc2c1OCC[C@H]2[NH2+]Cc1nc(Cl)cs1 ZINC000876995046 1124994914 /nfs/dbraw/zinc/99/49/14/1124994914.db2.gz VAIIPKGIIXHOSN-LLVKDONJSA-N 1 2 294.807 3.718 20 0 CHADLO CCCC[C@@H](CC)COCCCNc1cc[nH+]c(C)n1 ZINC001168118522 1124997465 /nfs/dbraw/zinc/99/74/65/1124997465.db2.gz XYSVIVVSKLOWHT-OAHLLOKOSA-N 1 2 279.428 3.820 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(Cl)cncc2Cl)CCS1 ZINC000877475453 1125030690 /nfs/dbraw/zinc/03/06/90/1125030690.db2.gz HVYBHMUJSBIJKX-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(Cl)cncc2Cl)CCS1 ZINC000877475453 1125030699 /nfs/dbraw/zinc/03/06/99/1125030699.db2.gz HVYBHMUJSBIJKX-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO O=C(Cn1cc[nH+]c1)Nc1cccc(C2CCCCC2)c1 ZINC000529651592 1125032633 /nfs/dbraw/zinc/03/26/33/1125032633.db2.gz XKQVKTLQGIVWJG-UHFFFAOYSA-N 1 2 283.375 3.570 20 0 CHADLO CC(C)CCOCC[N@@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000121100832 1125047633 /nfs/dbraw/zinc/04/76/33/1125047633.db2.gz BYFSQIRGTVZBHN-GDBMZVCRSA-N 1 2 297.464 3.573 20 0 CHADLO CC(C)CCOCC[N@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000121100832 1125047640 /nfs/dbraw/zinc/04/76/40/1125047640.db2.gz BYFSQIRGTVZBHN-GDBMZVCRSA-N 1 2 297.464 3.573 20 0 CHADLO CC(C)CCOCC[N@@H+]1C[C@H](C)O[C@@H](c2ccsc2)C1 ZINC000121100947 1125048007 /nfs/dbraw/zinc/04/80/07/1125048007.db2.gz BYFSQIRGTVZBHN-GOEBONIOSA-N 1 2 297.464 3.573 20 0 CHADLO CC(C)CCOCC[N@H+]1C[C@H](C)O[C@@H](c2ccsc2)C1 ZINC000121100947 1125048013 /nfs/dbraw/zinc/04/80/13/1125048013.db2.gz BYFSQIRGTVZBHN-GOEBONIOSA-N 1 2 297.464 3.573 20 0 CHADLO Clc1csc(C[N@@H+]2CCC[C@]3(CCSC3)C2)n1 ZINC000877575524 1125049188 /nfs/dbraw/zinc/04/91/88/1125049188.db2.gz XDHXHFVZRDMEIF-LBPRGKRZSA-N 1 2 288.869 3.516 20 0 CHADLO Clc1csc(C[N@H+]2CCC[C@]3(CCSC3)C2)n1 ZINC000877575524 1125049196 /nfs/dbraw/zinc/04/91/96/1125049196.db2.gz XDHXHFVZRDMEIF-LBPRGKRZSA-N 1 2 288.869 3.516 20 0 CHADLO C[N@H+](Cc1nc(Cl)cs1)C1CC(OC(C)(C)C)C1 ZINC000877595903 1125051894 /nfs/dbraw/zinc/05/18/94/1125051894.db2.gz JGBKMRPWQZFCHN-UHFFFAOYSA-N 1 2 288.844 3.574 20 0 CHADLO C[N@@H+](Cc1nc(Cl)cs1)C1CC(OC(C)(C)C)C1 ZINC000877595903 1125051899 /nfs/dbraw/zinc/05/18/99/1125051899.db2.gz JGBKMRPWQZFCHN-UHFFFAOYSA-N 1 2 288.844 3.574 20 0 CHADLO FC1(F)C[C@@]12CC[N@H+](Cc1c(Cl)cncc1Cl)C2 ZINC000877616279 1125053619 /nfs/dbraw/zinc/05/36/19/1125053619.db2.gz HZNYUKKHJKIRLA-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@@]12CC[N@@H+](Cc1c(Cl)cncc1Cl)C2 ZINC000877616279 1125053629 /nfs/dbraw/zinc/05/36/29/1125053629.db2.gz HZNYUKKHJKIRLA-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO C[C@H](CCO)CCNc1cc[nH+]c2c(Cl)cccc12 ZINC000450562683 1125057782 /nfs/dbraw/zinc/05/77/82/1125057782.db2.gz KIUHGKZWJJTIGF-NSHDSACASA-N 1 2 278.783 3.709 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2c(C)cccc2Cl)n1 ZINC000450570515 1125061382 /nfs/dbraw/zinc/06/13/82/1125061382.db2.gz QIMCYCWZXIUUJH-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2c(C)cccc2Cl)n1 ZINC000450570515 1125061392 /nfs/dbraw/zinc/06/13/92/1125061392.db2.gz QIMCYCWZXIUUJH-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)c(C)n1 ZINC001239466900 1131329624 /nfs/dbraw/zinc/32/96/24/1131329624.db2.gz VQCCTNLFTJERON-UHFFFAOYSA-N 1 2 263.344 3.766 20 0 CHADLO CC(C)n1ccnc1C[N@H+](C)Cc1ccc2cc[nH]c2c1 ZINC000877769278 1125078845 /nfs/dbraw/zinc/07/88/45/1125078845.db2.gz DGOGPKTWTISJCX-UHFFFAOYSA-N 1 2 282.391 3.577 20 0 CHADLO CC(C)n1ccnc1C[N@@H+](C)Cc1ccc2cc[nH]c2c1 ZINC000877769278 1125078853 /nfs/dbraw/zinc/07/88/53/1125078853.db2.gz DGOGPKTWTISJCX-UHFFFAOYSA-N 1 2 282.391 3.577 20 0 CHADLO CC(C)c1cc(Cl)ccc1NC(=O)CCc1[nH]cc[nH+]1 ZINC000529851958 1125082074 /nfs/dbraw/zinc/08/20/74/1125082074.db2.gz LELSRJXKKAFVBL-UHFFFAOYSA-N 1 2 291.782 3.758 20 0 CHADLO Cc1cc([C@H]([NH2+][C@@H](C)c2csnn2)C2CC2)ccc1F ZINC000450698233 1125090090 /nfs/dbraw/zinc/09/00/90/1125090090.db2.gz VSPGHHWXLTXDHE-ZUZCIYMTSA-N 1 2 291.395 3.788 20 0 CHADLO CC[N@H+](Cc1scnc1Cl)Cc1ccccc1 ZINC000877902240 1125098666 /nfs/dbraw/zinc/09/86/66/1125098666.db2.gz JHSHNKAIEKQPKG-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1scnc1Cl)Cc1ccccc1 ZINC000877902240 1125098675 /nfs/dbraw/zinc/09/86/75/1125098675.db2.gz JHSHNKAIEKQPKG-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO c1cc([C@H]2CCC[N@H+]2Cc2nc3c(o2)CCCC3)cs1 ZINC000877961025 1125107593 /nfs/dbraw/zinc/10/75/93/1125107593.db2.gz HFHZYMHRQJDILU-CQSZACIVSA-N 1 2 288.416 3.952 20 0 CHADLO c1cc([C@H]2CCC[N@@H+]2Cc2nc3c(o2)CCCC3)cs1 ZINC000877961025 1125107595 /nfs/dbraw/zinc/10/75/95/1125107595.db2.gz HFHZYMHRQJDILU-CQSZACIVSA-N 1 2 288.416 3.952 20 0 CHADLO Fc1ccc([C@@H]2CCCN2c2cccc[nH+]2)c(F)c1 ZINC000450915485 1125119755 /nfs/dbraw/zinc/11/97/55/1125119755.db2.gz SCKBLEMUTSIDKV-AWEZNQCLSA-N 1 2 260.287 3.701 20 0 CHADLO Cc1conc1C[N@@H+]1CCSC[C@H]1c1ccc(C)cc1 ZINC000878110612 1125120529 /nfs/dbraw/zinc/12/05/29/1125120529.db2.gz LEUMOCANJJMPCH-INIZCTEOSA-N 1 2 288.416 3.582 20 0 CHADLO Cc1conc1C[N@H+]1CCSC[C@H]1c1ccc(C)cc1 ZINC000878110612 1125120531 /nfs/dbraw/zinc/12/05/31/1125120531.db2.gz LEUMOCANJJMPCH-INIZCTEOSA-N 1 2 288.416 3.582 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC000878132369 1125121852 /nfs/dbraw/zinc/12/18/52/1125121852.db2.gz SWGCADZZHLVQPJ-ZDUSSCGKSA-N 1 2 282.387 3.500 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1nc2c(o1)CCCC2 ZINC000878132369 1125121853 /nfs/dbraw/zinc/12/18/53/1125121853.db2.gz SWGCADZZHLVQPJ-ZDUSSCGKSA-N 1 2 282.387 3.500 20 0 CHADLO Cc1conc1C[N@H+]1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC000878153930 1125126066 /nfs/dbraw/zinc/12/60/66/1125126066.db2.gz ZBHOSDAQJGRVHB-BXUZGUMPSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1conc1C[N@@H+]1CC[C@@H](C)C[C@@H]1c1ccco1 ZINC000878153930 1125126070 /nfs/dbraw/zinc/12/60/70/1125126070.db2.gz ZBHOSDAQJGRVHB-BXUZGUMPSA-N 1 2 260.337 3.549 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc3c(o2)CCCC3)[C@@H]1c1ccccc1 ZINC000878166610 1125127453 /nfs/dbraw/zinc/12/74/53/1125127453.db2.gz ZKFWAPSNNWPEQX-UGSOOPFHSA-N 1 2 282.387 3.746 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc3c(o2)CCCC3)[C@@H]1c1ccccc1 ZINC000878166610 1125127457 /nfs/dbraw/zinc/12/74/57/1125127457.db2.gz ZKFWAPSNNWPEQX-UGSOOPFHSA-N 1 2 282.387 3.746 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccc(C)c(Cl)n1)c1nccs1 ZINC000878254697 1125136035 /nfs/dbraw/zinc/13/60/35/1125136035.db2.gz DRSRRUNWQJSNNM-CQSZACIVSA-N 1 2 295.839 3.915 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC000878317858 1125140063 /nfs/dbraw/zinc/14/00/63/1125140063.db2.gz SSQJCCOIZJSVOJ-HNNXBMFYSA-N 1 2 285.391 3.532 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC000878317858 1125140065 /nfs/dbraw/zinc/14/00/65/1125140065.db2.gz SSQJCCOIZJSVOJ-HNNXBMFYSA-N 1 2 285.391 3.532 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@H+](Cc2coc(C3CC3)n2)C1 ZINC000878427646 1125153706 /nfs/dbraw/zinc/15/37/06/1125153706.db2.gz FWWVOYKIXUAETL-WBMJQRKESA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2coc(C3CC3)n2)C1 ZINC000878427646 1125153711 /nfs/dbraw/zinc/15/37/11/1125153711.db2.gz FWWVOYKIXUAETL-WBMJQRKESA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@H+](Cc2nc3c(o2)CCCC3)C1 ZINC000878428506 1125153805 /nfs/dbraw/zinc/15/38/05/1125153805.db2.gz OUBLTEQAASTWPC-CJNGLKHVSA-N 1 2 297.402 3.532 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2nc3c(o2)CCCC3)C1 ZINC000878428506 1125153808 /nfs/dbraw/zinc/15/38/08/1125153808.db2.gz OUBLTEQAASTWPC-CJNGLKHVSA-N 1 2 297.402 3.532 20 0 CHADLO Cc1cccc(CNc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000121829603 1125157315 /nfs/dbraw/zinc/15/73/15/1125157315.db2.gz VFDJRKJRDOGRMT-UHFFFAOYSA-N 1 2 263.344 3.793 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@@H]1CC3(CCC3)CO1)C2 ZINC000878618570 1125166308 /nfs/dbraw/zinc/16/63/08/1125166308.db2.gz NRHHGNSRUZAJBI-ZDUSSCGKSA-N 1 2 277.795 3.615 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@@H]1CC3(CCC3)CO1)C2 ZINC000878618570 1125166313 /nfs/dbraw/zinc/16/63/13/1125166313.db2.gz NRHHGNSRUZAJBI-ZDUSSCGKSA-N 1 2 277.795 3.615 20 0 CHADLO C[C@@H]1C[N@H+](Cc2noc3c2CC(C)(C)CC3)CCC1(F)F ZINC000878625463 1125167569 /nfs/dbraw/zinc/16/75/69/1125167569.db2.gz VBTBFHMVTPTQDO-LLVKDONJSA-N 1 2 298.377 3.667 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2noc3c2CC(C)(C)CC3)CCC1(F)F ZINC000878625463 1125167570 /nfs/dbraw/zinc/16/75/70/1125167570.db2.gz VBTBFHMVTPTQDO-LLVKDONJSA-N 1 2 298.377 3.667 20 0 CHADLO Clc1ncsc1C[NH+]1CCC(c2ccco2)CC1 ZINC000878888064 1125183281 /nfs/dbraw/zinc/18/32/81/1125183281.db2.gz JHNBFOCEDVZGRI-UHFFFAOYSA-N 1 2 282.796 3.769 20 0 CHADLO Cc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1 ZINC001239482345 1131339338 /nfs/dbraw/zinc/33/93/38/1131339338.db2.gz PNXQDUXZSCCETO-UHFFFAOYSA-N 1 2 252.292 3.987 20 0 CHADLO Cc1cc(C[NH2+]Cc2cc3cc(C)c(C)cc3o2)no1 ZINC000531389781 1125215976 /nfs/dbraw/zinc/21/59/76/1125215976.db2.gz DXBVTESIKKFYAQ-UHFFFAOYSA-N 1 2 270.332 3.636 20 0 CHADLO C[C@H]([NH2+]C/C(Cl)=C\Cl)c1cc2cnccc2o1 ZINC000879713858 1125227177 /nfs/dbraw/zinc/22/71/77/1125227177.db2.gz CQWBVRVFSXZIBF-SMSHQHMSSA-N 1 2 271.147 3.797 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2CC[C@@](C)(C(F)(F)F)C2)n1 ZINC000880001632 1125242960 /nfs/dbraw/zinc/24/29/60/1125242960.db2.gz XMSWCNLTLVOKDJ-BXKDBHETSA-N 1 2 291.317 3.528 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2CC[C@@](C)(C(F)(F)F)C2)n1 ZINC000880001632 1125242964 /nfs/dbraw/zinc/24/29/64/1125242964.db2.gz XMSWCNLTLVOKDJ-BXKDBHETSA-N 1 2 291.317 3.528 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@H+](Cc2scnc2Cl)C1 ZINC000880004297 1125243980 /nfs/dbraw/zinc/24/39/80/1125243980.db2.gz SELBYXOXFUWOEE-VIFPVBQESA-N 1 2 284.734 3.571 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@@H+](Cc2scnc2Cl)C1 ZINC000880004297 1125243982 /nfs/dbraw/zinc/24/39/82/1125243982.db2.gz SELBYXOXFUWOEE-VIFPVBQESA-N 1 2 284.734 3.571 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@](C)(C(F)(F)F)C2)cs1 ZINC000879999230 1125244304 /nfs/dbraw/zinc/24/43/04/1125244304.db2.gz BJSBLXQCVXURJR-LBPRGKRZSA-N 1 2 292.370 3.870 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@](C)(C(F)(F)F)C2)cs1 ZINC000879999230 1125244310 /nfs/dbraw/zinc/24/43/10/1125244310.db2.gz BJSBLXQCVXURJR-LBPRGKRZSA-N 1 2 292.370 3.870 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCn2ccnc21)c1cc(F)ccc1F ZINC000655729603 1125251931 /nfs/dbraw/zinc/25/19/31/1125251931.db2.gz LPFKSOIBIGMVFP-HUUCEWRRSA-N 1 2 291.345 3.737 20 0 CHADLO FC(F)[C@H](NCCCn1cc[nH+]c1)c1cccc(Cl)c1 ZINC000880538610 1125278820 /nfs/dbraw/zinc/27/88/20/1125278820.db2.gz VKGDOJUUOHVPAG-CYBMUJFWSA-N 1 2 299.752 3.523 20 0 CHADLO F[C@@H]1C[NH+](Cc2ccccc2-c2ccccc2)C[C@H]1F ZINC001142331117 1125293855 /nfs/dbraw/zinc/29/38/55/1125293855.db2.gz JRAHJHGPTJKIFS-IAGOWNOFSA-N 1 2 273.326 3.845 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCCOC(F)(F)F)c1 ZINC001168172646 1125323177 /nfs/dbraw/zinc/32/31/77/1125323177.db2.gz RTLOAFATTPSGNG-UHFFFAOYSA-N 1 2 290.329 3.790 20 0 CHADLO CCCn1cc(C[N@@H+]2CCc3cc(Cl)ccc3C2)cn1 ZINC000191612318 1125325840 /nfs/dbraw/zinc/32/58/40/1125325840.db2.gz BQILLAWWROKUKU-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO CCCn1cc(C[N@H+]2CCc3cc(Cl)ccc3C2)cn1 ZINC000191612318 1125325845 /nfs/dbraw/zinc/32/58/45/1125325845.db2.gz BQILLAWWROKUKU-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO CC(C)([NH2+]Cc1ncccn1)c1ccc(Cl)c(Cl)c1 ZINC000192097199 1125330496 /nfs/dbraw/zinc/33/04/96/1125330496.db2.gz MDXBHUJOZWISHL-UHFFFAOYSA-N 1 2 296.201 3.808 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ncccn1)c1ccccc1Cl ZINC000192157569 1125332142 /nfs/dbraw/zinc/33/21/42/1125332142.db2.gz YSSXCIUIHMYKTO-HNNXBMFYSA-N 1 2 275.783 3.617 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1cccc3cccnc31)C2 ZINC001137176948 1125343010 /nfs/dbraw/zinc/34/30/10/1125343010.db2.gz YYJQBHWDJYUPAS-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1cccc3cccnc31)C2 ZINC001137176948 1125343014 /nfs/dbraw/zinc/34/30/14/1125343014.db2.gz YYJQBHWDJYUPAS-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO O=c1cccc(Nc2ccc([NH+]3CCCCC3)cc2)[nH]1 ZINC001212790268 1125356302 /nfs/dbraw/zinc/35/63/02/1125356302.db2.gz ATTHUAYCIAMGGF-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCO[C@@H](C)C1 ZINC000220085881 1125358864 /nfs/dbraw/zinc/35/88/64/1125358864.db2.gz QFMHHIMBVIYQGD-JSGCOSHPSA-N 1 2 256.349 3.523 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+][C@H](C)c2ccc(F)cc2F)n1 ZINC000882879291 1125360071 /nfs/dbraw/zinc/36/00/71/1125360071.db2.gz PUKXIJYLNNPUDH-SNVBAGLBSA-N 1 2 279.334 3.662 20 0 CHADLO C[C@H](CCc1ccco1)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000036982274 1125364224 /nfs/dbraw/zinc/36/42/24/1125364224.db2.gz FAACDHTXJXYWKJ-CQSZACIVSA-N 1 2 285.391 3.708 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cccc(Cl)c2N)cs1 ZINC000882993388 1125365964 /nfs/dbraw/zinc/36/59/64/1125365964.db2.gz RNQKQYBQKBJUPE-SECBINFHSA-N 1 2 295.839 3.792 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC(C)(C)CCCO ZINC000221193483 1125367073 /nfs/dbraw/zinc/36/70/73/1125367073.db2.gz JIJWOTUVCXHYPE-UHFFFAOYSA-N 1 2 272.392 3.754 20 0 CHADLO Cc1cnc(=S)n(C[N@@H+](C)Cc2cccc(Cl)c2)c1 ZINC000130749905 1125368267 /nfs/dbraw/zinc/36/82/67/1125368267.db2.gz JEHBBHGQWPVMOC-UHFFFAOYSA-N 1 2 293.823 3.664 20 0 CHADLO Cc1cnc(=S)n(C[N@H+](C)Cc2cccc(Cl)c2)c1 ZINC000130749905 1125368268 /nfs/dbraw/zinc/36/82/68/1125368268.db2.gz JEHBBHGQWPVMOC-UHFFFAOYSA-N 1 2 293.823 3.664 20 0 CHADLO CSc1ccc(C[NH2+][C@H]2COc3c2cccc3Cl)o1 ZINC000883039318 1125369034 /nfs/dbraw/zinc/36/90/34/1125369034.db2.gz KNIHTWUVOUSPMO-LBPRGKRZSA-N 1 2 295.791 3.878 20 0 CHADLO C[C@@H](O[NH+]=C(N)c1ccccc1)c1ncc(C(C)(C)C)o1 ZINC000883048827 1125370030 /nfs/dbraw/zinc/37/00/30/1125370030.db2.gz WQLBDMCFGQPTNT-LLVKDONJSA-N 1 2 287.363 3.580 20 0 CHADLO CC[C@@H]([NH2+]Cc1cocn1)c1sccc1Cl ZINC000883211157 1125378468 /nfs/dbraw/zinc/37/84/68/1125378468.db2.gz IDNVICRCFLSSGH-SNVBAGLBSA-N 1 2 256.758 3.630 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3ncccn3)CCC2)c(C)s1 ZINC000883313551 1125393879 /nfs/dbraw/zinc/39/38/79/1125393879.db2.gz HCQNBLDNESEMNL-LBPRGKRZSA-N 1 2 287.432 3.885 20 0 CHADLO C[C@@H]([NH2+]C1(c2ncccn2)CCC1)c1cc2ccccc2o1 ZINC000883314224 1125394437 /nfs/dbraw/zinc/39/44/37/1125394437.db2.gz YRAOSYSOOMEKJV-CYBMUJFWSA-N 1 2 293.370 3.953 20 0 CHADLO Cc1ccc(-c2nn(C)cc2CNc2c[nH+]c(C)c(C)c2)o1 ZINC000883341476 1125398482 /nfs/dbraw/zinc/39/84/82/1125398482.db2.gz QRUMYOQMYIMPEA-UHFFFAOYSA-N 1 2 296.374 3.612 20 0 CHADLO Cc1cc(N[C@@H](C)c2cnn(C(C)C)c2)c[nH+]c1C ZINC000883342450 1125398489 /nfs/dbraw/zinc/39/84/89/1125398489.db2.gz YLNGJZXFISLTSJ-ZDUSSCGKSA-N 1 2 258.369 3.649 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@H]1F)c1cncs1 ZINC000883375842 1125403942 /nfs/dbraw/zinc/40/39/42/1125403942.db2.gz ACUXEPLPFGHMFV-PSOPSSQASA-N 1 2 276.380 3.819 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cc(C)ns1 ZINC000883377413 1125404908 /nfs/dbraw/zinc/40/49/08/1125404908.db2.gz HKBGJIYNALNWOG-UHFFFAOYSA-N 1 2 279.434 3.712 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cccnc1C ZINC000883378632 1125405484 /nfs/dbraw/zinc/40/54/84/1125405484.db2.gz SWDPPSKTZVKWIY-UHFFFAOYSA-N 1 2 273.405 3.650 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+]Cc1cc2cnccc2o1 ZINC000883381219 1125406390 /nfs/dbraw/zinc/40/63/90/1125406390.db2.gz IXMVZDPUOCDYQD-SJLPKXTDSA-N 1 2 296.345 3.943 20 0 CHADLO Cc1ccc2c(Nc3ccnnc3Cl)cccc2[nH+]1 ZINC001212795955 1125418378 /nfs/dbraw/zinc/41/83/78/1125418378.db2.gz KVKFWPPXGHUCGF-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@]3(CC=CCC3)C2)no1 ZINC000114494635 1125420297 /nfs/dbraw/zinc/42/02/97/1125420297.db2.gz YWCMECMVANOZMY-INIZCTEOSA-N 1 2 275.396 3.515 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@]3(CC=CCC3)C2)no1 ZINC000114494635 1125420300 /nfs/dbraw/zinc/42/03/00/1125420300.db2.gz YWCMECMVANOZMY-INIZCTEOSA-N 1 2 275.396 3.515 20 0 CHADLO Cc1ccc2c(Nc3ncccc3N(C)C)cccc2[nH+]1 ZINC001212796778 1125425739 /nfs/dbraw/zinc/42/57/39/1125425739.db2.gz BYNDNRGXKCTUME-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCC[C@H](c3ccn[nH]3)C2)o1 ZINC000121237798 1125428868 /nfs/dbraw/zinc/42/88/68/1125428868.db2.gz XCOXPCQTGQFOEQ-VNHYZAJKSA-N 1 2 285.391 3.506 20 0 CHADLO Cc1ccc2c(cccc2N(C)c2ccccc2N)[nH+]1 ZINC001212797928 1125449882 /nfs/dbraw/zinc/44/98/82/1125449882.db2.gz SQSIGLOLDOQWPR-UHFFFAOYSA-N 1 2 263.344 3.893 20 0 CHADLO O=C(Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1)C1CCC1 ZINC000044567559 1125455456 /nfs/dbraw/zinc/45/54/56/1125455456.db2.gz NZIWMHPAEWGAQL-UHFFFAOYSA-N 1 2 295.386 3.625 20 0 CHADLO Fc1cccc(C[NH2+]Cc2ccc(Oc3ccccc3)o2)n1 ZINC000779980420 1129179452 /nfs/dbraw/zinc/17/94/52/1129179452.db2.gz JBZSVRYVTVILCC-UHFFFAOYSA-N 1 2 298.317 3.896 20 0 CHADLO CCc1cccc(C)c1NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000635396398 1129180547 /nfs/dbraw/zinc/18/05/47/1129180547.db2.gz JHPSHFRHGXQSKH-INIZCTEOSA-N 1 2 299.418 3.980 20 0 CHADLO CC(C)C[C@@H](C(=O)N([C@H](C)C(C)C)C1CC1)n1cc[nH+]c1 ZINC000635418153 1129181411 /nfs/dbraw/zinc/18/14/11/1129181411.db2.gz UVTDILQUWMVRNW-ZBFHGGJFSA-N 1 2 291.439 3.506 20 0 CHADLO Cc1nccnc1C[N@@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000621711037 1129183410 /nfs/dbraw/zinc/18/34/10/1129183410.db2.gz BFVAVWSTUTWTSC-WBMJQRKESA-N 1 2 297.402 3.666 20 0 CHADLO Cc1nccnc1C[N@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000621711037 1129183412 /nfs/dbraw/zinc/18/34/12/1129183412.db2.gz BFVAVWSTUTWTSC-WBMJQRKESA-N 1 2 297.402 3.666 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1cnc(Cl)s1 ZINC000689123275 1129187835 /nfs/dbraw/zinc/18/78/35/1129187835.db2.gz UGHMTYZKUAVZMA-UHFFFAOYSA-N 1 2 272.723 3.571 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1cnc(Cl)s1 ZINC000689123275 1129187838 /nfs/dbraw/zinc/18/78/38/1129187838.db2.gz UGHMTYZKUAVZMA-UHFFFAOYSA-N 1 2 272.723 3.571 20 0 CHADLO CCOC1CC(CO[NH+]=C(N)c2cccc3ccccc32)C1 ZINC000795205102 1129189921 /nfs/dbraw/zinc/18/99/21/1129189921.db2.gz NOFMLPGOLFGCHD-UHFFFAOYSA-N 1 2 298.386 3.501 20 0 CHADLO CCCC[C@H](C(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C(C)C ZINC000621807856 1129198394 /nfs/dbraw/zinc/19/83/94/1129198394.db2.gz PJIQUIXHOLTZOJ-HNNXBMFYSA-N 1 2 291.439 3.578 20 0 CHADLO COCc1ccc(CNc2ccc([NH+](C)C)cc2C)cc1 ZINC000088567296 1129206548 /nfs/dbraw/zinc/20/65/48/1129206548.db2.gz OJZMTQGGCYHABR-UHFFFAOYSA-N 1 2 284.403 3.820 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Cl)nc2)[C@H]1c1ccccc1 ZINC000245434633 1129207816 /nfs/dbraw/zinc/20/78/16/1129207816.db2.gz PVMZLIHXOQSBGI-BLLLJJGKSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)nc2)[C@H]1c1ccccc1 ZINC000245434633 1129207819 /nfs/dbraw/zinc/20/78/19/1129207819.db2.gz PVMZLIHXOQSBGI-BLLLJJGKSA-N 1 2 272.779 3.928 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CSc3ccccc32)cs1 ZINC000336887627 1129224891 /nfs/dbraw/zinc/22/48/91/1129224891.db2.gz IQAZSFYOVVKHHF-GFCCVEGCSA-N 1 2 276.430 3.642 20 0 CHADLO Fc1cc(NCC2CCSCC2)ccc1-n1cc[nH+]c1 ZINC000638232229 1129250328 /nfs/dbraw/zinc/25/03/28/1129250328.db2.gz FSBHPHPQJZXJNB-UHFFFAOYSA-N 1 2 291.395 3.567 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000638401728 1129257169 /nfs/dbraw/zinc/25/71/69/1129257169.db2.gz WPSYNOHOYXOJEV-UHFFFAOYSA-N 1 2 286.375 3.629 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2cc(C3CC3)no2)o1 ZINC000638385608 1129257202 /nfs/dbraw/zinc/25/72/02/1129257202.db2.gz LXGNHSBHIREXKW-YGRLFVJLSA-N 1 2 272.348 3.558 20 0 CHADLO C[C@H](CCC1CC1)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000639052089 1129278393 /nfs/dbraw/zinc/27/83/93/1129278393.db2.gz BJCIEJUPCXFANA-IUODEOHRSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2ccc(F)c3ccccc23)n1 ZINC000639147159 1129286316 /nfs/dbraw/zinc/28/63/16/1129286316.db2.gz PUBNFVFFYSTJGA-UHFFFAOYSA-N 1 2 299.349 3.695 20 0 CHADLO Cc1ncc([C@@H](C)[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)c(C)n1 ZINC000639200215 1129292453 /nfs/dbraw/zinc/29/24/53/1129292453.db2.gz WULJUHGXHCEAMR-DYVFJYSZSA-N 1 2 296.418 3.633 20 0 CHADLO Cc1ncc([C@@H](C)[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)c(C)n1 ZINC000639200215 1129292457 /nfs/dbraw/zinc/29/24/57/1129292457.db2.gz WULJUHGXHCEAMR-DYVFJYSZSA-N 1 2 296.418 3.633 20 0 CHADLO FC(F)C1C[NH+](Cc2oc3ccccc3c2Cl)C1 ZINC000639252684 1129297233 /nfs/dbraw/zinc/29/72/33/1129297233.db2.gz PNEOMBSONXGJDO-UHFFFAOYSA-N 1 2 271.694 3.783 20 0 CHADLO O=C(C[N@@H+]1CCC[C@@H]1c1cccs1)c1ccccc1F ZINC000639435258 1129314260 /nfs/dbraw/zinc/31/42/60/1129314260.db2.gz UXPKNTWDWJTFKN-CQSZACIVSA-N 1 2 289.375 3.907 20 0 CHADLO O=C(C[N@H+]1CCC[C@@H]1c1cccs1)c1ccccc1F ZINC000639435258 1129314265 /nfs/dbraw/zinc/31/42/65/1129314265.db2.gz UXPKNTWDWJTFKN-CQSZACIVSA-N 1 2 289.375 3.907 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1nc(C2CCC2)no1)C1CC1 ZINC000639462066 1129317277 /nfs/dbraw/zinc/31/72/77/1129317277.db2.gz MBKKKHHGXVBKHY-UHFFFAOYSA-N 1 2 297.402 3.810 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1nc(C2CCC2)no1)C1CC1 ZINC000639462066 1129317282 /nfs/dbraw/zinc/31/72/82/1129317282.db2.gz MBKKKHHGXVBKHY-UHFFFAOYSA-N 1 2 297.402 3.810 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1cccc(-n2ccnc2)c1 ZINC000639601691 1129325771 /nfs/dbraw/zinc/32/57/71/1129325771.db2.gz UITKDAWZUYXVNX-GFCCVEGCSA-N 1 2 279.334 3.568 20 0 CHADLO c1c2ccccc2oc1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC000639847009 1129336988 /nfs/dbraw/zinc/33/69/88/1129336988.db2.gz WSJSGYGXIIDRDW-OAHLLOKOSA-N 1 2 279.343 3.560 20 0 CHADLO c1c2ccccc2oc1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC000639847009 1129336992 /nfs/dbraw/zinc/33/69/92/1129336992.db2.gz WSJSGYGXIIDRDW-OAHLLOKOSA-N 1 2 279.343 3.560 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2nc(CC3CC3)no2)C(C)C)c1 ZINC000639893948 1129338825 /nfs/dbraw/zinc/33/88/25/1129338825.db2.gz KTVKZPLQPDRPKJ-SFHVURJKSA-N 1 2 299.418 3.817 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C2CC2)no1)c1ccc(F)cc1 ZINC000639929862 1129340634 /nfs/dbraw/zinc/34/06/34/1129340634.db2.gz REQDMAUQANETOB-INIZCTEOSA-N 1 2 289.354 3.501 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CC(C)(C)[C@@H]2c2ccco2)cn1 ZINC000639929906 1129340788 /nfs/dbraw/zinc/34/07/88/1129340788.db2.gz RVCIEANQYMIZOO-DOMZBBRYSA-N 1 2 271.364 3.522 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CC(C)(C)[C@@H]2c2ccco2)cn1 ZINC000639929906 1129340792 /nfs/dbraw/zinc/34/07/92/1129340792.db2.gz RVCIEANQYMIZOO-DOMZBBRYSA-N 1 2 271.364 3.522 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C(C)C)no1)c1ccc(F)cc1 ZINC000639927601 1129341177 /nfs/dbraw/zinc/34/11/77/1129341177.db2.gz GCYFNTJBYKRTEO-INIZCTEOSA-N 1 2 291.370 3.747 20 0 CHADLO O=C(/C=C/C1CCCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000735465351 1129347950 /nfs/dbraw/zinc/34/79/50/1129347950.db2.gz SSKJVRUUCVVWHB-ZHACJKMWSA-N 1 2 295.386 3.947 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)Nc1cc(CO)cc[nH+]1 ZINC000641299676 1129378517 /nfs/dbraw/zinc/37/85/17/1129378517.db2.gz VENTWDWOVFIMEB-ZDUSSCGKSA-N 1 2 286.375 3.534 20 0 CHADLO Cc1cc(OCc2cnc(C3CC3)o2)c2ccccc2[nH+]1 ZINC000641720973 1129388938 /nfs/dbraw/zinc/38/89/38/1129388938.db2.gz ZBCNNGXYBAMHQX-UHFFFAOYSA-N 1 2 280.327 3.988 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1ccc(SC)o1 ZINC000641749195 1129389482 /nfs/dbraw/zinc/38/94/82/1129389482.db2.gz FNLUKTMJGQJMOU-UHFFFAOYSA-N 1 2 297.807 3.953 20 0 CHADLO COc1ccc(CC(N)=[NH+]OCc2c(C)cccc2C)cc1 ZINC000738288655 1129392115 /nfs/dbraw/zinc/39/21/15/1129392115.db2.gz JALZHMOVQUGXKD-UHFFFAOYSA-N 1 2 298.386 3.553 20 0 CHADLO CCn1cc(Nc2c[nH+]c(CC(C)C)cc2C)ccc1=O ZINC001212911870 1129392142 /nfs/dbraw/zinc/39/21/42/1129392142.db2.gz UXTQLXBGYCBDAX-UHFFFAOYSA-N 1 2 285.391 3.514 20 0 CHADLO CCCCOC(=O)C[N@@H+]1CCCCC[C@@H]1c1ccc(C)o1 ZINC000738881317 1129398797 /nfs/dbraw/zinc/39/87/97/1129398797.db2.gz GQRBUHZSSQIKKK-OAHLLOKOSA-N 1 2 293.407 3.848 20 0 CHADLO CCCCOC(=O)C[N@H+]1CCCCC[C@@H]1c1ccc(C)o1 ZINC000738881317 1129398802 /nfs/dbraw/zinc/39/88/02/1129398802.db2.gz GQRBUHZSSQIKKK-OAHLLOKOSA-N 1 2 293.407 3.848 20 0 CHADLO CCCCCC[C@@H](C)NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000738949423 1129400677 /nfs/dbraw/zinc/40/06/77/1129400677.db2.gz NBGGJXPTZSQZBU-CYBMUJFWSA-N 1 2 288.395 3.815 20 0 CHADLO CCn1c2ccccc2nc1[C@@H]1CCC[N@@H+]1Cc1ccoc1 ZINC000092755859 1129406664 /nfs/dbraw/zinc/40/66/64/1129406664.db2.gz FFHCVBYHGCSWKC-KRWDZBQOSA-N 1 2 295.386 3.986 20 0 CHADLO CCn1c2ccccc2nc1[C@@H]1CCC[N@H+]1Cc1ccoc1 ZINC000092755859 1129406667 /nfs/dbraw/zinc/40/66/67/1129406667.db2.gz FFHCVBYHGCSWKC-KRWDZBQOSA-N 1 2 295.386 3.986 20 0 CHADLO C[C@@H]1CCCC[C@H]1CNc1ccc(Cl)c(N)[nH+]1 ZINC001155078417 1129419651 /nfs/dbraw/zinc/41/96/51/1129419651.db2.gz RYMMZSVBMAUJPO-ZJUUUORDSA-N 1 2 253.777 3.555 20 0 CHADLO C[C@@H]1[C@@H](C)CC[N@@H+]1Cn1nc(-c2ccccc2)oc1=S ZINC000751942617 1129422868 /nfs/dbraw/zinc/42/28/68/1129422868.db2.gz SJTFMZVAYMPBGL-NWDGAFQWSA-N 1 2 289.404 3.560 20 0 CHADLO C[C@@H]1[C@@H](C)CC[N@H+]1Cn1nc(-c2ccccc2)oc1=S ZINC000751942617 1129422871 /nfs/dbraw/zinc/42/28/71/1129422871.db2.gz SJTFMZVAYMPBGL-NWDGAFQWSA-N 1 2 289.404 3.560 20 0 CHADLO c1nc(C[NH2+]Cc2ccc(C3CCCC3)cc2)co1 ZINC000643328778 1129438532 /nfs/dbraw/zinc/43/85/32/1129438532.db2.gz YVLVGKHNEVUEQT-UHFFFAOYSA-N 1 2 256.349 3.622 20 0 CHADLO COc1ccccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001239540041 1131374625 /nfs/dbraw/zinc/37/46/25/1131374625.db2.gz QCRYGLXIPBYBOJ-UHFFFAOYSA-N 1 2 250.301 3.548 20 0 CHADLO COc1ccc([C@H]([NH2+][C@@H](C)c2cn[nH]c2C)C2CC2)cc1 ZINC000180027282 1129445821 /nfs/dbraw/zinc/44/58/21/1129445821.db2.gz ONVFNKWACOYLLH-APPDUMDISA-N 1 2 285.391 3.529 20 0 CHADLO C[N@H+](Cc1cnc[nH]1)Cc1ccc(-c2ccccc2)cc1 ZINC000180040093 1129447357 /nfs/dbraw/zinc/44/73/57/1129447357.db2.gz LCLIVTREMBGHCN-UHFFFAOYSA-N 1 2 277.371 3.709 20 0 CHADLO C[N@@H+](Cc1cnc[nH]1)Cc1ccc(-c2ccccc2)cc1 ZINC000180040093 1129447360 /nfs/dbraw/zinc/44/73/60/1129447360.db2.gz LCLIVTREMBGHCN-UHFFFAOYSA-N 1 2 277.371 3.709 20 0 CHADLO C[N@H+](Cc1c[nH]cn1)Cc1ccc(-c2ccccc2)cc1 ZINC000180040093 1129447361 /nfs/dbraw/zinc/44/73/61/1129447361.db2.gz LCLIVTREMBGHCN-UHFFFAOYSA-N 1 2 277.371 3.709 20 0 CHADLO C[N@@H+](Cc1c[nH]cn1)Cc1ccc(-c2ccccc2)cc1 ZINC000180040093 1129447364 /nfs/dbraw/zinc/44/73/64/1129447364.db2.gz LCLIVTREMBGHCN-UHFFFAOYSA-N 1 2 277.371 3.709 20 0 CHADLO COc1cccc(C[NH2+][C@@H]2C[C@@]2(F)c2ccccc2)c1F ZINC000643800657 1129450541 /nfs/dbraw/zinc/45/05/41/1129450541.db2.gz PSYQMGGQLYPBCU-NVXWUHKLSA-N 1 2 289.325 3.561 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@@H+]1CCC[C@H]1c1ccsc1 ZINC000741776980 1129451792 /nfs/dbraw/zinc/45/17/92/1129451792.db2.gz RNBDKBQIQYWYIS-JSGCOSHPSA-N 1 2 281.421 3.617 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@H+]1CCC[C@H]1c1ccsc1 ZINC000741776980 1129451795 /nfs/dbraw/zinc/45/17/95/1129451795.db2.gz RNBDKBQIQYWYIS-JSGCOSHPSA-N 1 2 281.421 3.617 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000742059544 1129466264 /nfs/dbraw/zinc/46/62/64/1129466264.db2.gz MBJPISFJYAVEQC-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2cscc2Cl)o1 ZINC000644447710 1129467144 /nfs/dbraw/zinc/46/71/44/1129467144.db2.gz GDQMNCBDVAKNHK-UHFFFAOYSA-N 1 2 270.785 3.550 20 0 CHADLO C/C(=C/C(=O)Nc1ccccc1-n1cc[nH+]c1)C(C)(C)C ZINC000742528371 1129485169 /nfs/dbraw/zinc/48/51/69/1129485169.db2.gz SZLXHYONMAKDRL-QBFSEMIESA-N 1 2 283.375 3.803 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1cc(C(F)(F)F)ccn1 ZINC001241228183 1129489038 /nfs/dbraw/zinc/48/90/38/1129489038.db2.gz JEXJWLBEAZUXCS-UHFFFAOYSA-N 1 2 281.281 3.537 20 0 CHADLO Cc1[nH]c2nc[nH+]c(N3Cc4ccccc4[C@H]3C)c2c1C ZINC000645183488 1129491013 /nfs/dbraw/zinc/49/10/13/1129491013.db2.gz ZMJLXGIOFUUIIJ-GFCCVEGCSA-N 1 2 278.359 3.656 20 0 CHADLO Cc1ccccc1C(C)(C)[NH2+]Cc1n[nH]c2ccccc21 ZINC000645199606 1129491927 /nfs/dbraw/zinc/49/19/27/1129491927.db2.gz RYMNNKRQNFJKLC-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO Nc1cn2ccc(-c3ccccc3C(F)(F)F)cc2[nH+]1 ZINC001239544906 1131377808 /nfs/dbraw/zinc/37/78/08/1131377808.db2.gz HHZLDEAZIWAJRU-UHFFFAOYSA-N 1 2 277.249 3.602 20 0 CHADLO CNc1cc(-c2ccccc2C(F)(F)F)cc[nH+]1 ZINC001239544647 1131377878 /nfs/dbraw/zinc/37/78/78/1131377878.db2.gz FGMYCYRIAWXJJW-UHFFFAOYSA-N 1 2 252.239 3.809 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(C)cc2C)CC(C)C)no1 ZINC000645971595 1129515596 /nfs/dbraw/zinc/51/55/96/1129515596.db2.gz BXVVISOPKCVORA-UHFFFAOYSA-N 1 2 287.407 3.653 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(C)cc2C)CC(C)C)no1 ZINC000645971595 1129515597 /nfs/dbraw/zinc/51/55/97/1129515597.db2.gz BXVVISOPKCVORA-UHFFFAOYSA-N 1 2 287.407 3.653 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc(C)nc2)CC2CC2)s1 ZINC000646020184 1129518489 /nfs/dbraw/zinc/51/84/89/1129518489.db2.gz NGEFIQNWCVQIJA-UHFFFAOYSA-N 1 2 287.432 3.567 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc(C)nc2)CC2CC2)s1 ZINC000646020184 1129518493 /nfs/dbraw/zinc/51/84/93/1129518493.db2.gz NGEFIQNWCVQIJA-UHFFFAOYSA-N 1 2 287.432 3.567 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3nnc(C4CC4)s3)CCC2)c1 ZINC000646022619 1129518514 /nfs/dbraw/zinc/51/85/14/1129518514.db2.gz WXEIECQDQWBPPY-UHFFFAOYSA-N 1 2 299.443 3.893 20 0 CHADLO CC[C@]1(C)CCC[N@H+](Cn2nc(C)n(C3CC3)c2=S)C1 ZINC000743386538 1129521787 /nfs/dbraw/zinc/52/17/87/1129521787.db2.gz KACSDYYGLCGKSZ-OAHLLOKOSA-N 1 2 294.468 3.527 20 0 CHADLO CC[C@]1(C)CCC[N@@H+](Cn2nc(C)n(C3CC3)c2=S)C1 ZINC000743386538 1129521788 /nfs/dbraw/zinc/52/17/88/1129521788.db2.gz KACSDYYGLCGKSZ-OAHLLOKOSA-N 1 2 294.468 3.527 20 0 CHADLO CCCCOc1cccc(C[NH+]2CC3(C2)CCCCO3)c1 ZINC001138952102 1129563332 /nfs/dbraw/zinc/56/33/32/1129563332.db2.gz JGJFFFRSXRYSOI-UHFFFAOYSA-N 1 2 289.419 3.620 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccoc1)c1nc(C(F)(F)F)cs1 ZINC000744447827 1129577935 /nfs/dbraw/zinc/57/79/35/1129577935.db2.gz CMMGJRABBMZHSU-SECBINFHSA-N 1 2 290.310 3.996 20 0 CHADLO Fc1ccc(C2CC[NH+](Cc3cscn3)CC2)c(F)c1 ZINC001137599353 1131383514 /nfs/dbraw/zinc/38/35/14/1131383514.db2.gz PCYSFRVRWHFPDR-UHFFFAOYSA-N 1 2 294.370 3.801 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)co1 ZINC000647959758 1129588748 /nfs/dbraw/zinc/58/87/48/1129588748.db2.gz KLFKFULKNBMVMQ-SNVBAGLBSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)co1 ZINC000647959758 1129588752 /nfs/dbraw/zinc/58/87/52/1129588752.db2.gz KLFKFULKNBMVMQ-SNVBAGLBSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc3ccccc3c2)co1 ZINC000647957428 1129588889 /nfs/dbraw/zinc/58/88/89/1129588889.db2.gz RREJNRQUVAPSTP-UHFFFAOYSA-N 1 2 266.344 3.768 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc3ccccc3c2)co1 ZINC000647957428 1129588894 /nfs/dbraw/zinc/58/88/94/1129588894.db2.gz RREJNRQUVAPSTP-UHFFFAOYSA-N 1 2 266.344 3.768 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@@H+]2CCn3cncc3C2)cc1 ZINC000647938124 1129589450 /nfs/dbraw/zinc/58/94/50/1129589450.db2.gz NUVRQKWUAOIPQF-AWEZNQCLSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@H+]2CCn3cncc3C2)cc1 ZINC000647938124 1129589451 /nfs/dbraw/zinc/58/94/51/1129589451.db2.gz NUVRQKWUAOIPQF-AWEZNQCLSA-N 1 2 269.392 3.583 20 0 CHADLO Clc1cncc(Cl)c1C[N@@H+]1CCC12CCCC2 ZINC001137604628 1131383991 /nfs/dbraw/zinc/38/39/91/1131383991.db2.gz ZLLZGBVVXZBEDL-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Clc1cncc(Cl)c1C[N@H+]1CCC12CCCC2 ZINC001137604628 1131383997 /nfs/dbraw/zinc/38/39/97/1131383997.db2.gz ZLLZGBVVXZBEDL-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Cc1ccoc1C[N@H+]1CCO[C@H](c2cccc(F)c2)[C@H]1C ZINC000648067793 1129595147 /nfs/dbraw/zinc/59/51/47/1129595147.db2.gz ZZPFLLRYKAHRSV-DYVFJYSZSA-N 1 2 289.350 3.689 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCO[C@H](c2cccc(F)c2)[C@H]1C ZINC000648067793 1129595149 /nfs/dbraw/zinc/59/51/49/1129595149.db2.gz ZZPFLLRYKAHRSV-DYVFJYSZSA-N 1 2 289.350 3.689 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1ccc(F)cn1 ZINC001241808392 1129621386 /nfs/dbraw/zinc/62/13/86/1129621386.db2.gz ZZNWUHLWAGSJBG-UHFFFAOYSA-N 1 2 271.339 3.581 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CCC[N@@H+]2C2CC2)c(Cl)c1C ZINC000648966822 1129630335 /nfs/dbraw/zinc/63/03/35/1129630335.db2.gz CPSSIEFTDVQPTQ-AWEZNQCLSA-N 1 2 292.810 3.522 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CCC[N@H+]2C2CC2)c(Cl)c1C ZINC000648966822 1129630337 /nfs/dbraw/zinc/63/03/37/1129630337.db2.gz CPSSIEFTDVQPTQ-AWEZNQCLSA-N 1 2 292.810 3.522 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2nc3ccccc3nc2C)s1 ZINC000185033608 1129631857 /nfs/dbraw/zinc/63/18/57/1129631857.db2.gz ZYMWCSFXCBHGGF-GFCCVEGCSA-N 1 2 298.415 3.554 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]C[C@@H](c1ccccc1)C(F)(F)F ZINC000186848762 1129635240 /nfs/dbraw/zinc/63/52/40/1129635240.db2.gz UNFFEIYIESGGHR-HZMBPMFUSA-N 1 2 297.324 3.715 20 0 CHADLO Cc1ccccc1[C@H](NC(=O)CCc1c[nH]c[nH+]1)C(C)(C)C ZINC000189493154 1129638355 /nfs/dbraw/zinc/63/83/55/1129638355.db2.gz IYJQUDDEQKJIKA-KRWDZBQOSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1ccccc1[C@H](NC(=O)CCc1c[nH+]c[nH]1)C(C)(C)C ZINC000189493154 1129638357 /nfs/dbraw/zinc/63/83/57/1129638357.db2.gz IYJQUDDEQKJIKA-KRWDZBQOSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1ccccc1OC(F)F ZINC001241952413 1129638856 /nfs/dbraw/zinc/63/88/56/1129638856.db2.gz WRFUIRDBSYTBKJ-UHFFFAOYSA-N 1 2 278.302 3.724 20 0 CHADLO CC(=O)Nc1cc(-c2cc(C)[nH+]c(C)c2)ccc1C ZINC001241994175 1129642213 /nfs/dbraw/zinc/64/22/13/1129642213.db2.gz QPRPMONMKBYIBM-UHFFFAOYSA-N 1 2 254.333 3.632 20 0 CHADLO Cc1nc(C[NH2+][C@H]2COc3c2cccc3Cl)sc1C ZINC000766353364 1129658329 /nfs/dbraw/zinc/65/83/29/1129658329.db2.gz NPDNCVYTZLROTQ-LBPRGKRZSA-N 1 2 294.807 3.637 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2C[C@@H]2C2CCCC2)c(C)[nH+]1 ZINC000649566536 1129658477 /nfs/dbraw/zinc/65/84/77/1129658477.db2.gz NUUYXDLHDHJQOJ-CABCVRRESA-N 1 2 272.392 3.772 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nn(C)cc2Cl)CCC1 ZINC000649644143 1129665701 /nfs/dbraw/zinc/66/57/01/1129665701.db2.gz UCWPKKGLYZTXKI-UHFFFAOYSA-N 1 2 289.810 3.551 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2cccc(C3CC3)c2)o1 ZINC000649687162 1129670110 /nfs/dbraw/zinc/67/01/10/1129670110.db2.gz CXXIDJQGNWMHDQ-NEPJUHHUSA-N 1 2 285.391 3.921 20 0 CHADLO C[C@@H](CC(=O)N(c1ccccc1)C1CCCC1)n1cc[nH+]c1 ZINC000649709013 1129672741 /nfs/dbraw/zinc/67/27/41/1129672741.db2.gz XJGAECXMLNVGNA-HNNXBMFYSA-N 1 2 297.402 3.810 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc(F)cc2O)c1 ZINC001242219421 1129690884 /nfs/dbraw/zinc/69/08/84/1129690884.db2.gz SLDSVWBSXAUDGI-UHFFFAOYSA-N 1 2 268.291 3.599 20 0 CHADLO C[C@H](CC(=O)Nc1ccccc1C(C)(F)F)n1cc[nH+]c1 ZINC000650068993 1129694238 /nfs/dbraw/zinc/69/42/38/1129694238.db2.gz JUNCCZDVUQDGBC-LLVKDONJSA-N 1 2 293.317 3.585 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)c1 ZINC000650193108 1129699471 /nfs/dbraw/zinc/69/94/71/1129699471.db2.gz VNPMWUYSTDKWBV-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1ccnc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)c1 ZINC000650193108 1129699474 /nfs/dbraw/zinc/69/94/74/1129699474.db2.gz VNPMWUYSTDKWBV-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO COCc1cc[nH+]c(NCc2cccc(OC(C)C)c2)c1 ZINC000650244944 1129702100 /nfs/dbraw/zinc/70/21/00/1129702100.db2.gz VZOITLWGZMTFGN-UHFFFAOYSA-N 1 2 286.375 3.627 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2nc3c(s2)CCCC3)c1 ZINC000650242675 1129702550 /nfs/dbraw/zinc/70/25/50/1129702550.db2.gz UXPOUMDPCVSXHJ-UHFFFAOYSA-N 1 2 273.405 3.646 20 0 CHADLO CC[C@H]([NH2+]C/C=C\c1ccc(Cl)cc1)c1noc(C)n1 ZINC001169112178 1129703218 /nfs/dbraw/zinc/70/32/18/1129703218.db2.gz NBSCLFYMTUUWCY-WSNITJDQSA-N 1 2 291.782 3.786 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(F)cn1)c1nc2ccccc2s1 ZINC000766608773 1129704341 /nfs/dbraw/zinc/70/43/41/1129704341.db2.gz QQPCJBUVKJXJGK-SNVBAGLBSA-N 1 2 287.363 3.681 20 0 CHADLO Cc1cc(OCc2cc3n(n2)CCCC3)c2ccccc2[nH+]1 ZINC000650320174 1129704363 /nfs/dbraw/zinc/70/43/63/1129704363.db2.gz LLSQHPUZZMTVAH-UHFFFAOYSA-N 1 2 293.370 3.655 20 0 CHADLO CC[C@H](OCCOc1cc[nH+]cc1)c1ccccc1 ZINC000650320338 1129704512 /nfs/dbraw/zinc/70/45/12/1129704512.db2.gz TUALCPWDZJOSFG-INIZCTEOSA-N 1 2 257.333 3.628 20 0 CHADLO Cc1cc(N[C@H]2CCCC(F)(F)C2)cc(OC(F)F)[nH+]1 ZINC001169216913 1129709100 /nfs/dbraw/zinc/70/91/00/1129709100.db2.gz BHZQZJWQNJRXNI-VIFPVBQESA-N 1 2 292.276 3.981 20 0 CHADLO COc1ccc2cc(NCCc3cc(C)ccn3)[nH+]cc2c1 ZINC001169315895 1129716666 /nfs/dbraw/zinc/71/66/66/1129716666.db2.gz OIWUYCGAAIWKFF-UHFFFAOYSA-N 1 2 293.370 3.601 20 0 CHADLO Cc1nc(NCCCc2ccc(C(F)(F)F)cc2)cc[nH+]1 ZINC001169357542 1129719302 /nfs/dbraw/zinc/71/93/02/1129719302.db2.gz XTNCNHVHYHSDSQ-UHFFFAOYSA-N 1 2 295.308 3.849 20 0 CHADLO C[C@H]1C[C@H](Nc2cc(N3CCCC3)nc[nH+]2)c2ccccc21 ZINC001169368326 1129720690 /nfs/dbraw/zinc/72/06/90/1129720690.db2.gz WXTRINPRFXVWAA-BBRMVZONSA-N 1 2 294.402 3.737 20 0 CHADLO C[C@H]1C[C@H](Nc2cc(N3CCCC3)[nH+]cn2)c2ccccc21 ZINC001169368326 1129720691 /nfs/dbraw/zinc/72/06/91/1129720691.db2.gz WXTRINPRFXVWAA-BBRMVZONSA-N 1 2 294.402 3.737 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc(N(C)C)c[nH+]2)c2ccccc21 ZINC001169377266 1129722678 /nfs/dbraw/zinc/72/26/78/1129722678.db2.gz IASKSUJRDNFNGT-WBMJQRKESA-N 1 2 267.376 3.808 20 0 CHADLO COc1cc[nH+]cc1N[C@H]1C[C@H](C)c2ccccc21 ZINC001169379237 1129722932 /nfs/dbraw/zinc/72/29/32/1129722932.db2.gz WNZMYBMJXXQUDL-FZMZJTMJSA-N 1 2 254.333 3.751 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(Cl)cc2F)cn1 ZINC001137661821 1131394540 /nfs/dbraw/zinc/39/45/40/1131394540.db2.gz BPXBACYEHBGXFI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(Cl)cc2F)cn1 ZINC001137661821 1131394542 /nfs/dbraw/zinc/39/45/42/1131394542.db2.gz BPXBACYEHBGXFI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1[nH+]c2ccc(NCc3ncccc3O)cc2n1C(C)C ZINC001169732820 1129753264 /nfs/dbraw/zinc/75/32/64/1129753264.db2.gz MJIZFVXQFGZYSV-UHFFFAOYSA-N 1 2 296.374 3.638 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)CCCC(C)C)n1 ZINC000822702382 1131395607 /nfs/dbraw/zinc/39/56/07/1131395607.db2.gz YYDKNPUYXSUZNM-UHFFFAOYSA-N 1 2 297.468 3.750 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCc3ccc(F)cc32)c1 ZINC000652330338 1129763225 /nfs/dbraw/zinc/76/32/25/1129763225.db2.gz CVFQFGRXOIDVBF-MRXNPFEDSA-N 1 2 286.350 3.857 20 0 CHADLO CCC[C@@H](Nc1cc(COC)cc[nH+]1)c1cccnc1 ZINC000652330571 1129763610 /nfs/dbraw/zinc/76/36/10/1129763610.db2.gz JQEQAPMEJAOGQZ-OAHLLOKOSA-N 1 2 271.364 3.576 20 0 CHADLO CCC[C@H]1CCCC[C@@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001136622369 1131396429 /nfs/dbraw/zinc/39/64/29/1131396429.db2.gz GZRUMMLJQJCMKF-JSGCOSHPSA-N 1 2 285.391 3.652 20 0 CHADLO Fc1ccc(-c2cnc(C[NH+]3CCC(F)(F)CC3)o2)cc1 ZINC000796539615 1129777083 /nfs/dbraw/zinc/77/70/83/1129777083.db2.gz BYJPTVCRIPMOPK-UHFFFAOYSA-N 1 2 296.292 3.712 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[NH+]1CCC(F)(F)CC1 ZINC000796541580 1129777269 /nfs/dbraw/zinc/77/72/69/1129777269.db2.gz UWYMYBZGAXUKHN-VIFPVBQESA-N 1 2 261.262 3.757 20 0 CHADLO CCN(Cc1ccoc1)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000653179193 1129778404 /nfs/dbraw/zinc/77/84/04/1129778404.db2.gz HYQOJBCLXJBKDG-UHFFFAOYSA-N 1 2 287.363 3.654 20 0 CHADLO CSc1nc(NC/C=C/c2ccccc2)cc(C)[nH+]1 ZINC001156462333 1129786462 /nfs/dbraw/zinc/78/64/62/1129786462.db2.gz FFEWTKIMMNKWEW-RMKNXTFCSA-N 1 2 271.389 3.632 20 0 CHADLO Cc1cccc(C[N@@H+]2CCO[C@@H](c3ccccc3)C2)c1F ZINC001137677453 1131398431 /nfs/dbraw/zinc/39/84/31/1131398431.db2.gz SKTPOEIVMROGNN-QGZVFWFLSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1noc(C[N@@H+](C)Cc2c(C)c(C)c(C)c(C)c2C)n1 ZINC000653889337 1129799976 /nfs/dbraw/zinc/79/99/76/1129799976.db2.gz ABOWUDLOPCWHJK-UHFFFAOYSA-N 1 2 287.407 3.552 20 0 CHADLO Cc1noc(C[N@H+](C)Cc2c(C)c(C)c(C)c(C)c2C)n1 ZINC000653889337 1129799978 /nfs/dbraw/zinc/79/99/78/1129799978.db2.gz ABOWUDLOPCWHJK-UHFFFAOYSA-N 1 2 287.407 3.552 20 0 CHADLO Cc1cccc(C[N@H+]2CCO[C@@H](c3ccccc3)C2)c1F ZINC001137677453 1131398434 /nfs/dbraw/zinc/39/84/34/1131398434.db2.gz SKTPOEIVMROGNN-QGZVFWFLSA-N 1 2 285.362 3.708 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(F)c(F)c1 ZINC001239585348 1131399618 /nfs/dbraw/zinc/39/96/18/1131399618.db2.gz BKPZQFSTJORFHG-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO CC(C)CC[C@@H]1CCC[N@@H+]1CC(F)(F)C(F)F ZINC000767906370 1129820974 /nfs/dbraw/zinc/82/09/74/1129820974.db2.gz NCBCIVVXMGOWQZ-JTQLQIEISA-N 1 2 255.299 3.787 20 0 CHADLO CC(C)CC[C@@H]1CCC[N@H+]1CC(F)(F)C(F)F ZINC000767906370 1129820978 /nfs/dbraw/zinc/82/09/78/1129820978.db2.gz NCBCIVVXMGOWQZ-JTQLQIEISA-N 1 2 255.299 3.787 20 0 CHADLO CCC(CC)CN(CC)C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000654504814 1129827936 /nfs/dbraw/zinc/82/79/36/1129827936.db2.gz AINVNQRXJPDZTF-UHFFFAOYSA-N 1 2 287.407 3.541 20 0 CHADLO C[C@@H]([NH2+]C/C(Cl)=C\Cl)c1cccc(F)c1F ZINC000381456171 1129839482 /nfs/dbraw/zinc/83/94/82/1129839482.db2.gz JNGXADRBGAPJDI-KBUNYLKBSA-N 1 2 266.118 3.934 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1ccccc1 ZINC000724393223 1129852943 /nfs/dbraw/zinc/85/29/43/1129852943.db2.gz APKRZALGKONGAL-LBPRGKRZSA-N 1 2 258.365 3.823 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCC[C@H](Nc2ccccc2)C1 ZINC000768556950 1129864068 /nfs/dbraw/zinc/86/40/68/1129864068.db2.gz QYWPKHLYSLUOAF-UQTJOTSZSA-N 1 2 285.218 3.882 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCC[C@H](Nc2ccccc2)C1 ZINC000768556950 1129864073 /nfs/dbraw/zinc/86/40/73/1129864073.db2.gz QYWPKHLYSLUOAF-UQTJOTSZSA-N 1 2 285.218 3.882 20 0 CHADLO C[C@H](OC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccc1)c1ccco1 ZINC000768815866 1129876157 /nfs/dbraw/zinc/87/61/57/1129876157.db2.gz LSHYIVVZXDJYRY-HOCLYGCPSA-N 1 2 299.370 3.548 20 0 CHADLO C[C@H](OC(=O)[C@@H]1CCC[N@H+]1Cc1ccccc1)c1ccco1 ZINC000768815866 1129876161 /nfs/dbraw/zinc/87/61/61/1129876161.db2.gz LSHYIVVZXDJYRY-HOCLYGCPSA-N 1 2 299.370 3.548 20 0 CHADLO COCC[C@@H]1CCC[C@@H]1[NH2+]c1ccc(N(C)C)c(C)c1 ZINC000800488492 1129878566 /nfs/dbraw/zinc/87/85/66/1129878566.db2.gz REGMTTNOYJZHBH-HOCLYGCPSA-N 1 2 276.424 3.678 20 0 CHADLO COCC[C@@H]1CCC[C@@H]1Nc1ccc([NH+](C)C)c(C)c1 ZINC000800488492 1129878570 /nfs/dbraw/zinc/87/85/70/1129878570.db2.gz REGMTTNOYJZHBH-HOCLYGCPSA-N 1 2 276.424 3.678 20 0 CHADLO CN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000822924591 1131405957 /nfs/dbraw/zinc/40/59/57/1131405957.db2.gz NQWNHOARCOAOKY-CZUORRHYSA-N 1 2 299.418 3.850 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@H](F)c1ccccc1 ZINC000769440981 1129909067 /nfs/dbraw/zinc/90/90/67/1129909067.db2.gz MTLOLQGTTWBXSZ-MRXNPFEDSA-N 1 2 295.317 3.522 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000769447611 1129911055 /nfs/dbraw/zinc/91/10/55/1129911055.db2.gz SWNPFLNRAOFHJC-MRVPVSSYSA-N 1 2 294.609 3.868 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000769447611 1129911057 /nfs/dbraw/zinc/91/10/57/1129911057.db2.gz SWNPFLNRAOFHJC-MRVPVSSYSA-N 1 2 294.609 3.868 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1c(C)cccc1C ZINC001239597425 1131407374 /nfs/dbraw/zinc/40/73/74/1131407374.db2.gz IMAQKGJBCXNAKZ-UHFFFAOYSA-N 1 2 252.317 3.855 20 0 CHADLO Cc1cccc2cc(Cl)nc(NCc3cc[nH+]c(N)c3)c12 ZINC001156763778 1129925681 /nfs/dbraw/zinc/92/56/81/1129925681.db2.gz BPQSOTDUEYRTQU-UHFFFAOYSA-N 1 2 298.777 3.786 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@@H+]2CCO[C@H](C(F)F)C2)cc1 ZINC000801563880 1129927315 /nfs/dbraw/zinc/92/73/15/1129927315.db2.gz IMSHBQOPKVNXFM-DOMZBBRYSA-N 1 2 283.362 3.837 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@H+]2CCO[C@H](C(F)F)C2)cc1 ZINC000801563880 1129927319 /nfs/dbraw/zinc/92/73/19/1129927319.db2.gz IMSHBQOPKVNXFM-DOMZBBRYSA-N 1 2 283.362 3.837 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2ccnc(Cl)c2)c1 ZINC000769909264 1129929766 /nfs/dbraw/zinc/92/97/66/1129929766.db2.gz QGQWWNJYACOXHD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2ccnc(Cl)c2)c1 ZINC000769909264 1129929768 /nfs/dbraw/zinc/92/97/68/1129929768.db2.gz QGQWWNJYACOXHD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Fc1cc(C[NH+]2CC3(CCC3)C2)cc(C(F)(F)F)c1 ZINC001137859947 1131424727 /nfs/dbraw/zinc/42/47/27/1131424727.db2.gz OEHCXHSVYGDSIS-UHFFFAOYSA-N 1 2 273.273 3.830 20 0 CHADLO Clc1cc2c(s1)CC[N@H+](C[C@@H]1CC1(Cl)Cl)C2 ZINC000828993503 1131408755 /nfs/dbraw/zinc/40/87/55/1131408755.db2.gz ISUIPECKZHXDSI-QMMMGPOBSA-N 1 2 296.650 3.953 20 0 CHADLO Clc1cc2c(s1)CC[N@@H+](C[C@@H]1CC1(Cl)Cl)C2 ZINC000828993503 1131408757 /nfs/dbraw/zinc/40/87/57/1131408757.db2.gz ISUIPECKZHXDSI-QMMMGPOBSA-N 1 2 296.650 3.953 20 0 CHADLO CC(C)(C)c1cn(C[C@H]2CCC[C@H](C(F)(F)F)O2)c[nH+]1 ZINC000901588750 1129938090 /nfs/dbraw/zinc/93/80/90/1129938090.db2.gz VWNFNMGIEOEZTH-ZYHUDNBSSA-N 1 2 290.329 3.681 20 0 CHADLO Clc1cc2c(s1)CC[N@H+](C[C@H]1CC1(Cl)Cl)C2 ZINC000828993502 1131409047 /nfs/dbraw/zinc/40/90/47/1131409047.db2.gz ISUIPECKZHXDSI-MRVPVSSYSA-N 1 2 296.650 3.953 20 0 CHADLO Clc1cc2c(s1)CC[N@@H+](C[C@H]1CC1(Cl)Cl)C2 ZINC000828993502 1131409049 /nfs/dbraw/zinc/40/90/49/1131409049.db2.gz ISUIPECKZHXDSI-MRVPVSSYSA-N 1 2 296.650 3.953 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccc(F)c(F)c3C2)c(C)o1 ZINC000770356393 1129945647 /nfs/dbraw/zinc/94/56/47/1129945647.db2.gz VHGXZDAWJHKIHI-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccc(F)c(F)c3C2)c(C)o1 ZINC000770356393 1129945650 /nfs/dbraw/zinc/94/56/50/1129945650.db2.gz VHGXZDAWJHKIHI-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO O=C(c1cccc2[nH+]ccn21)N1CCC[C@@H]1C1CCCCC1 ZINC000770411508 1129946773 /nfs/dbraw/zinc/94/67/73/1129946773.db2.gz HTVPMIQHPBRYMO-OAHLLOKOSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2ncccn2)c(C)s1 ZINC000930196036 1129953074 /nfs/dbraw/zinc/95/30/74/1129953074.db2.gz VNELKOUARZHHOC-QWRGUYRKSA-N 1 2 261.394 3.567 20 0 CHADLO CC(C)(C)SCC[N@@H+]1CCO[C@@H](c2cccc(F)c2)C1 ZINC000801917384 1129958447 /nfs/dbraw/zinc/95/84/47/1129958447.db2.gz AZPWBNZVBIAYMF-OAHLLOKOSA-N 1 2 297.439 3.731 20 0 CHADLO CC(C)(C)SCC[N@H+]1CCO[C@@H](c2cccc(F)c2)C1 ZINC000801917384 1129958449 /nfs/dbraw/zinc/95/84/49/1129958449.db2.gz AZPWBNZVBIAYMF-OAHLLOKOSA-N 1 2 297.439 3.731 20 0 CHADLO C[C@H](CC(=O)OCc1cccc2[nH+]ccn21)c1ccccc1 ZINC000770925784 1129963798 /nfs/dbraw/zinc/96/37/98/1129963798.db2.gz PGFTVOGHOPZMIO-CQSZACIVSA-N 1 2 294.354 3.571 20 0 CHADLO Cc1nn(C(C)C)c(C)c1CNc1ccc(C)[nH+]c1C ZINC000902067505 1129976791 /nfs/dbraw/zinc/97/67/91/1129976791.db2.gz ZYCPIFBLMVOSPI-UHFFFAOYSA-N 1 2 272.396 3.705 20 0 CHADLO CC[C@H](OC(=O)[C@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000780088063 1129994286 /nfs/dbraw/zinc/99/42/86/1129994286.db2.gz HRZBGRBYCFNUAH-ROUUACIJSA-N 1 2 297.398 3.984 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+]1CCc2cc(Cl)nnc2C1 ZINC000829148553 1131412855 /nfs/dbraw/zinc/41/28/55/1131412855.db2.gz LRTFRXIESDDVDQ-LBPRGKRZSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+]1CCc2cc(Cl)nnc2C1 ZINC000829148553 1131412857 /nfs/dbraw/zinc/41/28/57/1131412857.db2.gz LRTFRXIESDDVDQ-LBPRGKRZSA-N 1 2 287.794 3.558 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2ccc(OC)c(OC)c2)cc1 ZINC001239617400 1130014464 /nfs/dbraw/zinc/01/44/64/1130014464.db2.gz LXIGUTQFSXNHHM-UHFFFAOYSA-N 1 2 285.343 3.733 20 0 CHADLO CCn1cnc(C[NH2+]Cc2c(Cl)oc3ccccc32)c1 ZINC000902624190 1130031626 /nfs/dbraw/zinc/03/16/26/1130031626.db2.gz FQICNVZPRVHKRZ-UHFFFAOYSA-N 1 2 289.766 3.592 20 0 CHADLO CNc1ccccc1C[NH2+]Cc1noc2c1CC(C)(C)CC2 ZINC000902639499 1130033204 /nfs/dbraw/zinc/03/32/04/1130033204.db2.gz VRWYZVPQZXQDMD-UHFFFAOYSA-N 1 2 299.418 3.521 20 0 CHADLO Cn1cc[nH+]c1CCCOC(=O)C[C@@H]1CCCC(C)(C)C1 ZINC000802786080 1130039518 /nfs/dbraw/zinc/03/95/18/1130039518.db2.gz MEQUEGRCDXERIF-AWEZNQCLSA-N 1 2 292.423 3.502 20 0 CHADLO CCNc1ccccc1C[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000902690660 1130039652 /nfs/dbraw/zinc/03/96/52/1130039652.db2.gz TXXCCVSUAXFCLV-UHFFFAOYSA-N 1 2 287.407 3.694 20 0 CHADLO CCc1c2ccccc2oc1[C@H](C)[NH2+][C@H](C)c1cn(C)nn1 ZINC000902783237 1130057247 /nfs/dbraw/zinc/05/72/47/1130057247.db2.gz BLUKALCTGJSXGF-NEPJUHHUSA-N 1 2 298.390 3.536 20 0 CHADLO COc1ccc(F)cc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001239720730 1130073393 /nfs/dbraw/zinc/07/33/93/1130073393.db2.gz SOLZLGJOBZENQN-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO Cc1ccc(Cl)cc1CNc1nc(N)c2ccccc2[nH+]1 ZINC000786072520 1130073938 /nfs/dbraw/zinc/07/39/38/1130073938.db2.gz XYYKVERKKDOVJV-UHFFFAOYSA-N 1 2 298.777 3.786 20 0 CHADLO Cc1ccc(C)c(NC(=O)Nc2ccc(C)[nH+]c2N(C)C)c1 ZINC000786121271 1130081238 /nfs/dbraw/zinc/08/12/38/1130081238.db2.gz XFJJNNPFGOXDSL-UHFFFAOYSA-N 1 2 298.390 3.717 20 0 CHADLO Cc1c(-c2cnc3ccccc3c2)ccc2[nH+]ccn21 ZINC001239794714 1130110931 /nfs/dbraw/zinc/11/09/31/1130110931.db2.gz OKXUROCHGKSRNG-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1ccc2nc(C[NH2+][C@H](c3ccccn3)C3CC3)[nH]c2c1 ZINC000903104863 1130113146 /nfs/dbraw/zinc/11/31/46/1130113146.db2.gz MNUCWKDXKHLZGV-SFHVURJKSA-N 1 2 292.386 3.507 20 0 CHADLO NC(=[NH+]OCc1ccc(F)c(Cl)c1)c1ccccc1 ZINC000786731898 1130113746 /nfs/dbraw/zinc/11/37/46/1130113746.db2.gz HKHQFSZCNZCSIU-UHFFFAOYSA-N 1 2 278.714 3.526 20 0 CHADLO C[C@@H]1COCCN1c1ccc([NH2+][C@@H]2CCC[C@@H]3C[C@@H]32)cc1 ZINC000903146648 1130119520 /nfs/dbraw/zinc/11/95/20/1130119520.db2.gz JBRPOTPQSMARFA-KJWYOANISA-N 1 2 286.419 3.512 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2scnc2C2CC2)no1 ZINC000787094342 1130130964 /nfs/dbraw/zinc/13/09/64/1130130964.db2.gz VXPZQKNLGMZTSB-ZDUSSCGKSA-N 1 2 289.404 3.654 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2scnc2C2CC2)no1 ZINC000787094342 1130130965 /nfs/dbraw/zinc/13/09/65/1130130965.db2.gz VXPZQKNLGMZTSB-ZDUSSCGKSA-N 1 2 289.404 3.654 20 0 CHADLO CCCCCC[C@H](C)NC(=O)C[N@H+](C)[C@@H](C)c1ccco1 ZINC000746654323 1130135078 /nfs/dbraw/zinc/13/50/78/1130135078.db2.gz ZAQZUOLZOUTDPI-GJZGRUSLSA-N 1 2 294.439 3.748 20 0 CHADLO CCCCCC[C@H](C)NC(=O)C[N@@H+](C)[C@@H](C)c1ccco1 ZINC000746654323 1130135081 /nfs/dbraw/zinc/13/50/81/1130135081.db2.gz ZAQZUOLZOUTDPI-GJZGRUSLSA-N 1 2 294.439 3.748 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000787188820 1130138745 /nfs/dbraw/zinc/13/87/45/1130138745.db2.gz ITJQFTMLKVMOFG-HNNXBMFYSA-N 1 2 274.314 3.615 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000787188820 1130138748 /nfs/dbraw/zinc/13/87/48/1130138748.db2.gz ITJQFTMLKVMOFG-HNNXBMFYSA-N 1 2 274.314 3.615 20 0 CHADLO CCOc1ccc(F)c(-c2cc(C)c3[nH+]ccn3c2)c1 ZINC001242936222 1130146602 /nfs/dbraw/zinc/14/66/02/1130146602.db2.gz PPUIOTOCYXAASL-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO Cc1cc(-c2ccc(C(=O)N3CCCCC3)cc2)cc(C)[nH+]1 ZINC001239901812 1130149597 /nfs/dbraw/zinc/14/95/97/1130149597.db2.gz HCTVHMUYLVIFTE-UHFFFAOYSA-N 1 2 294.398 3.992 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000903330333 1130158012 /nfs/dbraw/zinc/15/80/12/1130158012.db2.gz HWKBDCMTQJBBQW-SUMWQHHRSA-N 1 2 297.402 3.596 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1ccccc1Cl ZINC000903333701 1130159852 /nfs/dbraw/zinc/15/98/52/1130159852.db2.gz SYCWOZGCIRGDTH-XHDPSFHLSA-N 1 2 287.794 3.932 20 0 CHADLO C[C@@H]1C[NH+](Cc2ccnc(Cl)c2Cl)C[C@@H](C)S1 ZINC000787773372 1130163370 /nfs/dbraw/zinc/16/33/70/1130163370.db2.gz NDJUPEHNHKRKRJ-RKDXNWHRSA-N 1 2 291.247 3.714 20 0 CHADLO Cc1cn2cc(-c3cncc4ccccc43)ccc2[nH+]1 ZINC001239947911 1130166198 /nfs/dbraw/zinc/16/61/98/1130166198.db2.gz YTZWQELUZMXWDS-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(C)on1)c1cc(F)ccc1OC ZINC000903372277 1130168373 /nfs/dbraw/zinc/16/83/73/1130168373.db2.gz YGZDLLDZPHUPGR-SMDDNHRTSA-N 1 2 292.354 3.933 20 0 CHADLO CO[C@]1(C)CCC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001462174534 1130214368 /nfs/dbraw/zinc/21/43/68/1130214368.db2.gz AGSCXDQBXDCDOV-CQSZACIVSA-N 1 2 289.753 3.619 20 0 CHADLO CO[C@]1(C)CCC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001462174534 1130214372 /nfs/dbraw/zinc/21/43/72/1130214372.db2.gz AGSCXDQBXDCDOV-CQSZACIVSA-N 1 2 289.753 3.619 20 0 CHADLO c1[nH]nc2ccc(-c3cc[nH+]c(N4CCCCC4)c3)cc12 ZINC001240210715 1130231107 /nfs/dbraw/zinc/23/11/07/1130231107.db2.gz UYSLUYIAOMKJKW-UHFFFAOYSA-N 1 2 278.359 3.615 20 0 CHADLO COc1ccc2cc(-c3ccc4[nH+]ccn4c3)ncc2c1 ZINC001240213776 1130232371 /nfs/dbraw/zinc/23/23/71/1130232371.db2.gz GYYUJHNSKNWJAW-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc3n[nH]cc3c2)c1 ZINC001240212776 1130232405 /nfs/dbraw/zinc/23/24/05/1130232405.db2.gz QGBDJCUNSBXXMP-UHFFFAOYSA-N 1 2 274.327 3.630 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)nc2ccccc12 ZINC001240213525 1130232523 /nfs/dbraw/zinc/23/25/23/1130232523.db2.gz CQDIMOYDNGSAPO-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1ccc(-c2ccc(-c3ccc4[nH+]ccn4c3)nn2)cc1 ZINC001240216078 1130234562 /nfs/dbraw/zinc/23/45/62/1130234562.db2.gz BPGXYXBJOCBYPK-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO CC(C)(C)c1ccnc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240215537 1130235156 /nfs/dbraw/zinc/23/51/56/1130235156.db2.gz YFDZATNJXQQMJW-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO Fc1cc2cc[nH]c2c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240217695 1130235498 /nfs/dbraw/zinc/23/54/98/1130235498.db2.gz MEAHQSIIVLGLCW-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO Cc1nc2ccc(-c3ccc4[nH+]ccn4c3)cc2s1 ZINC001240217506 1130236454 /nfs/dbraw/zinc/23/64/54/1130236454.db2.gz GYZUIJFWXYCNCY-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO Fc1ccc2nccc(-c3ccc4[nH+]ccn4c3)c2c1 ZINC001240218698 1130237574 /nfs/dbraw/zinc/23/75/74/1130237574.db2.gz ULQNTOZQKZIKIQ-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO COc1cc2cccnc2c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218481 1130237720 /nfs/dbraw/zinc/23/77/20/1130237720.db2.gz DZCLLTMALILCCS-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC1(C)CC(=O)Nc2c(-c3ccc4[nH+]ccn4c3)cccc21 ZINC001240220986 1130238257 /nfs/dbraw/zinc/23/82/57/1130238257.db2.gz PDHDHGCTOYWDNF-UHFFFAOYSA-N 1 2 291.354 3.621 20 0 CHADLO CCOc1ccc(C)c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240220246 1130239080 /nfs/dbraw/zinc/23/90/80/1130239080.db2.gz DZEGOANSRAQZAA-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO Clc1cc(C[N@@H+]2CC[C@@H]2c2ccccc2)ccn1 ZINC000748819788 1130249148 /nfs/dbraw/zinc/24/91/48/1130249148.db2.gz KYGBRICNARGAKT-CQSZACIVSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1cc(C[N@H+]2CC[C@@H]2c2ccccc2)ccn1 ZINC000748819788 1130249153 /nfs/dbraw/zinc/24/91/53/1130249153.db2.gz KYGBRICNARGAKT-CQSZACIVSA-N 1 2 258.752 3.682 20 0 CHADLO CC1(C)CCCC[C@H]1C(=O)OCc1cccc2[nH+]ccn21 ZINC000789453218 1130253024 /nfs/dbraw/zinc/25/30/24/1130253024.db2.gz OWHBNAUXWPCBNT-AWEZNQCLSA-N 1 2 286.375 3.594 20 0 CHADLO CC(C)COC(=O)[C@H](C)[NH2+][C@H]1CC[C@H](c2ccccc2)C1 ZINC000789577145 1130259681 /nfs/dbraw/zinc/25/96/81/1130259681.db2.gz KBBIVJUPJHZTAY-XIRDDKMYSA-N 1 2 289.419 3.500 20 0 CHADLO CC(C)COC(=O)[C@H](C)[NH2+][C@H]1CC[C@@H](c2ccccc2)C1 ZINC000789577143 1130260109 /nfs/dbraw/zinc/26/01/09/1130260109.db2.gz KBBIVJUPJHZTAY-UAGQMJEPSA-N 1 2 289.419 3.500 20 0 CHADLO CCCCC[C@H]([NH2+]C[C@H]1C[C@H]1c1ccccc1)C(=O)OC ZINC000789577788 1130260128 /nfs/dbraw/zinc/26/01/28/1130260128.db2.gz YRYBCBCTDGKUHN-IKGGRYGDSA-N 1 2 289.419 3.502 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1cccc2n[nH]cc21 ZINC001240313787 1130262869 /nfs/dbraw/zinc/26/28/69/1130262869.db2.gz VFUUUPZIFYQADX-UHFFFAOYSA-N 1 2 292.386 3.924 20 0 CHADLO Cc1cc(-c2ccnc(C(F)(F)F)c2)cc(C)[nH+]1 ZINC001240338303 1130268943 /nfs/dbraw/zinc/26/89/43/1130268943.db2.gz FCDPDYBZSPHLJT-UHFFFAOYSA-N 1 2 252.239 3.779 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(-c3cnn(C)c3)cc2)[nH+]1 ZINC001240362843 1130277751 /nfs/dbraw/zinc/27/77/51/1130277751.db2.gz BKHMDADSFZYXJM-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cnc3ccccc3c1)C2 ZINC001137282195 1130286671 /nfs/dbraw/zinc/28/66/71/1130286671.db2.gz KDBJPFAHTKDNNW-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cnc3ccccc3c1)C2 ZINC001137282195 1130286675 /nfs/dbraw/zinc/28/66/75/1130286675.db2.gz KDBJPFAHTKDNNW-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO CC(C)C[N@H+](CCC[C@H]1CCOC1)c1ccccc1 ZINC001170128265 1130292246 /nfs/dbraw/zinc/29/22/46/1130292246.db2.gz OHTUJEZZFZDKIA-INIZCTEOSA-N 1 2 261.409 3.966 20 0 CHADLO CC(C)C[N@@H+](CCC[C@H]1CCOC1)c1ccccc1 ZINC001170128265 1130292251 /nfs/dbraw/zinc/29/22/51/1130292251.db2.gz OHTUJEZZFZDKIA-INIZCTEOSA-N 1 2 261.409 3.966 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3ccc4occc4c3)nn2)c1C ZINC001240423592 1130303255 /nfs/dbraw/zinc/30/32/55/1130303255.db2.gz HMYHOELEDTYNKO-UHFFFAOYSA-N 1 2 290.326 3.692 20 0 CHADLO COc1ccc(F)c(F)c1-c1cn2cc[nH+]c2cc1C ZINC001240435131 1130311699 /nfs/dbraw/zinc/31/16/99/1130311699.db2.gz AQRKZYWAURSJOM-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO Cc1cc2cc(-c3ccc(-n4cc[nH+]c4)cc3)cnc2[nH]1 ZINC001240484586 1130324291 /nfs/dbraw/zinc/32/42/91/1130324291.db2.gz YJTYNZQIUAZVFB-UHFFFAOYSA-N 1 2 274.327 3.724 20 0 CHADLO Fc1cnc(-c2ccn3cc[nH+]c3c2)c2ccccc12 ZINC001240496018 1130328514 /nfs/dbraw/zinc/32/85/14/1130328514.db2.gz CRNVJJNOXKTJPM-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1cnc(-c2ccn3cc[nH+]c3c2)c2ccccc12 ZINC001240497561 1130329080 /nfs/dbraw/zinc/32/90/80/1130329080.db2.gz UCPXHQWHWFERIM-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC(C)c1cc(N2CCCOC(C)(C)C2)nc(C(C)C)[nH+]1 ZINC000677744811 1130329163 /nfs/dbraw/zinc/32/91/63/1130329163.db2.gz KYCANPFXQVKFLK-UHFFFAOYSA-N 1 2 291.439 3.729 20 0 CHADLO Fc1ccc2c(ccnc2-c2ccn3cc[nH+]c3c2)c1 ZINC001240497700 1130329129 /nfs/dbraw/zinc/32/91/29/1130329129.db2.gz WDSCIIVSUNUOLL-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO c1cn2ccc(-c3cc4ccsc4cn3)cc2[nH+]1 ZINC001240497542 1130330115 /nfs/dbraw/zinc/33/01/15/1130330115.db2.gz SLSWYXNNYDTTBP-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)nc(-c2ccsc2)n1 ZINC001240504457 1130332555 /nfs/dbraw/zinc/33/25/55/1130332555.db2.gz PICBMXLXKZMRIC-UHFFFAOYSA-N 1 2 292.367 3.828 20 0 CHADLO Fc1ccccc1-c1ccc(-c2ccn3cc[nH+]c3c2)nn1 ZINC001240503532 1130332632 /nfs/dbraw/zinc/33/26/32/1130332632.db2.gz DIPHFBWKORGJRC-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO Fc1ccc(-c2cncc(-c3ccn4cc[nH+]c4c3)n2)cc1 ZINC001240503346 1130332947 /nfs/dbraw/zinc/33/29/47/1130332947.db2.gz MFEBXKLMGSHJQO-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO c1cn2ccc(-c3cccc(OC4CC4)c3)cc2[nH+]1 ZINC001240503171 1130332977 /nfs/dbraw/zinc/33/29/77/1130332977.db2.gz IUPHEOKHZONRHZ-UHFFFAOYSA-N 1 2 250.301 3.543 20 0 CHADLO COc1cc2cnc(-c3ccn4cc[nH+]c4c3)cc2cc1F ZINC001240503153 1130332991 /nfs/dbraw/zinc/33/29/91/1130332991.db2.gz HZFDHOGJRMDPKY-UHFFFAOYSA-N 1 2 293.301 3.697 20 0 CHADLO FC(F)Oc1cccc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240508834 1130333915 /nfs/dbraw/zinc/33/39/15/1130333915.db2.gz LRGBGSUMZPYVPW-UHFFFAOYSA-N 1 2 260.243 3.603 20 0 CHADLO F[C@H]1C[N@H+](Cc2cc3ccccc3[nH]2)CCCC1(F)F ZINC001137313563 1130333958 /nfs/dbraw/zinc/33/39/58/1130333958.db2.gz FUCFSPASQFJMBH-AWEZNQCLSA-N 1 2 282.309 3.737 20 0 CHADLO F[C@H]1C[N@@H+](Cc2cc3ccccc3[nH]2)CCCC1(F)F ZINC001137313563 1130333962 /nfs/dbraw/zinc/33/39/62/1130333962.db2.gz FUCFSPASQFJMBH-AWEZNQCLSA-N 1 2 282.309 3.737 20 0 CHADLO COc1ccc2cccnc2c1-c1ccn2cc[nH+]c2c1 ZINC001240508668 1130334295 /nfs/dbraw/zinc/33/42/95/1130334295.db2.gz ICHDPZDUASMHDB-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1ccc(-c2ccn3cc[nH+]c3c2)c2ncccc12 ZINC001240510739 1130335546 /nfs/dbraw/zinc/33/55/46/1130335546.db2.gz QJPAJIGNFMIAGM-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ccc2ccc(-c3ccn4cc[nH+]c4c3)cc2n1 ZINC001240510498 1130335631 /nfs/dbraw/zinc/33/56/31/1130335631.db2.gz MHGGZSJTGFQARB-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1ccc(-c2ccn3cc[nH+]c3c2)c2ccncc12 ZINC001240510714 1130336251 /nfs/dbraw/zinc/33/62/51/1130336251.db2.gz PLXNZXCTRZINAX-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Fc1cc2cnccc2cc1-c1ccn2cc[nH+]c2c1 ZINC001240513488 1130336997 /nfs/dbraw/zinc/33/69/97/1130336997.db2.gz USDITTBXONWZGE-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CC(=O)Nc1ccc(-c2ccn3cc[nH+]c3c2)c(C)c1C ZINC001240512904 1130337887 /nfs/dbraw/zinc/33/78/87/1130337887.db2.gz BBBSIYWEOYILNX-UHFFFAOYSA-N 1 2 279.343 3.577 20 0 CHADLO Cc1cc2c(cccc2-c2ccn3cc[nH+]c3c2)n1C ZINC001240518238 1130340843 /nfs/dbraw/zinc/34/08/43/1130340843.db2.gz MIIUQOGEVZRVLX-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO CCOC(=O)c1ccc(-c2ccn3cc[nH+]c3c2)c(C)c1C ZINC001240517737 1130341261 /nfs/dbraw/zinc/34/12/61/1130341261.db2.gz DBMRRYUEPVEFJO-UHFFFAOYSA-N 1 2 294.354 3.795 20 0 CHADLO Fc1ccc(C(F)(F)F)c(-c2c[nH+]c3c(c2)CCCN3)c1 ZINC001240533740 1130346950 /nfs/dbraw/zinc/34/69/50/1130346950.db2.gz SLNGSCDEEXJEOO-UHFFFAOYSA-N 1 2 296.267 3.907 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1c(Cl)ccnc1Cl ZINC001139480666 1130349613 /nfs/dbraw/zinc/34/96/13/1130349613.db2.gz KLCGQDFEHHGZMU-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1c(Cl)ccnc1Cl ZINC001139480666 1130349619 /nfs/dbraw/zinc/34/96/19/1130349619.db2.gz KLCGQDFEHHGZMU-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cccc(N2CCCC2)c1 ZINC001240537371 1130349610 /nfs/dbraw/zinc/34/96/10/1130349610.db2.gz QPNNSPVHCJMMFY-UHFFFAOYSA-N 1 2 293.370 3.610 20 0 CHADLO Cc1n[nH]c(C)c1C[N@@H+](C)Cc1ccc(Cl)cc1Cl ZINC000678123577 1130351909 /nfs/dbraw/zinc/35/19/09/1130351909.db2.gz YBZCIZRWJYHFAU-UHFFFAOYSA-N 1 2 298.217 3.965 20 0 CHADLO Cc1n[nH]c(C)c1C[N@H+](C)Cc1ccc(Cl)cc1Cl ZINC000678123577 1130351912 /nfs/dbraw/zinc/35/19/12/1130351912.db2.gz YBZCIZRWJYHFAU-UHFFFAOYSA-N 1 2 298.217 3.965 20 0 CHADLO CCCC[C@H](CC)C(=O)N[C@@H](Cn1cc[nH+]c1)C(C)(C)C ZINC000791127927 1130352050 /nfs/dbraw/zinc/35/20/50/1130352050.db2.gz KIVFVOZYDKYJOF-GJZGRUSLSA-N 1 2 293.455 3.630 20 0 CHADLO CCC1(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2C)CCC1 ZINC000791405307 1130370832 /nfs/dbraw/zinc/37/08/32/1130370832.db2.gz NQSORZQZQSEYKC-UHFFFAOYSA-N 1 2 298.386 3.804 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)[C@H]1C[C@@H]1C(C)C ZINC000791444984 1130374856 /nfs/dbraw/zinc/37/48/56/1130374856.db2.gz KTXHEQKXBLLLKP-SJORKVTESA-N 1 2 298.386 3.516 20 0 CHADLO C[C@@H](O)CC[N@H+](C)Cc1c(Cl)ccc(Cl)c1Cl ZINC000678270587 1130383708 /nfs/dbraw/zinc/38/37/08/1130383708.db2.gz QZSIWBVBDXCXAV-MRVPVSSYSA-N 1 2 296.625 3.850 20 0 CHADLO C[C@@H](O)CC[N@@H+](C)Cc1c(Cl)ccc(Cl)c1Cl ZINC000678270587 1130383712 /nfs/dbraw/zinc/38/37/12/1130383712.db2.gz QZSIWBVBDXCXAV-MRVPVSSYSA-N 1 2 296.625 3.850 20 0 CHADLO Cn1nc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)c2ccccc21 ZINC000678283624 1130391362 /nfs/dbraw/zinc/39/13/62/1130391362.db2.gz PDETYKWBGUCUJK-QGZVFWFLSA-N 1 2 295.361 3.659 20 0 CHADLO Cn1nc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)c2ccccc21 ZINC000678283624 1130391365 /nfs/dbraw/zinc/39/13/65/1130391365.db2.gz PDETYKWBGUCUJK-QGZVFWFLSA-N 1 2 295.361 3.659 20 0 CHADLO C[C@H]1C[C@H](CC(=O)OCc2cc[nH+]c(N)c2)CC(C)(C)C1 ZINC000791837708 1130413500 /nfs/dbraw/zinc/41/35/00/1130413500.db2.gz DAOKBOKCSWNZMJ-GXTWGEPZSA-N 1 2 290.407 3.560 20 0 CHADLO Nc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cc1Cl ZINC001243373414 1130420460 /nfs/dbraw/zinc/42/04/60/1130420460.db2.gz BHEDGLQSFOEUAG-UHFFFAOYSA-N 1 2 283.762 3.834 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1c[nH]c3ccc(F)cc13)C2 ZINC001137340268 1130429945 /nfs/dbraw/zinc/42/99/45/1130429945.db2.gz XYRPCQKHZHJTNO-UHFFFAOYSA-N 1 2 284.309 3.962 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1c[nH]c3ccc(F)cc13)C2 ZINC001137340268 1130429947 /nfs/dbraw/zinc/42/99/47/1130429947.db2.gz XYRPCQKHZHJTNO-UHFFFAOYSA-N 1 2 284.309 3.962 20 0 CHADLO CCc1c(C)cc(-c2nc3c(ccn3C)c[nH+]2)cc1C ZINC001240738711 1130447977 /nfs/dbraw/zinc/44/79/77/1130447977.db2.gz LTOFGMKMCKZXOG-UHFFFAOYSA-N 1 2 265.360 3.815 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](CC2CC2)c2ccccc2)o1 ZINC000678776418 1130453036 /nfs/dbraw/zinc/45/30/36/1130453036.db2.gz LTLDQUOIQQDRDA-OAHLLOKOSA-N 1 2 285.391 3.824 20 0 CHADLO COCOc1cc(C)ccc1-c1ccc2[nH+]c(C)cn2c1 ZINC001240755213 1130456004 /nfs/dbraw/zinc/45/60/04/1130456004.db2.gz NYWQEHNHEOIANW-UHFFFAOYSA-N 1 2 282.343 3.601 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1ccccc1N ZINC000063106423 1130462141 /nfs/dbraw/zinc/46/21/41/1130462141.db2.gz ABBKVOGGWOWUGH-UHFFFAOYSA-N 1 2 263.344 3.738 20 0 CHADLO COc1ccc2nc(/C=C/c3[nH]cc[nH+]3)ccc2c1Cl ZINC000792627924 1130483448 /nfs/dbraw/zinc/48/34/48/1130483448.db2.gz KIAUYZPNFHNXCO-XVNBXDOJSA-N 1 2 285.734 3.790 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1cc(Cl)cc(Cl)c1 ZINC000679048724 1130487395 /nfs/dbraw/zinc/48/73/95/1130487395.db2.gz PHVOTHJXGJBIPI-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO Cc1cc(Cl)c2c(c1)[C@H]([NH2+]Cc1cocn1)CCCO2 ZINC000679048408 1130487628 /nfs/dbraw/zinc/48/76/28/1130487628.db2.gz OFQJZYGNQVXIME-CQSZACIVSA-N 1 2 292.766 3.640 20 0 CHADLO Cc1ccc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)c(C)n1 ZINC000679076334 1130491820 /nfs/dbraw/zinc/49/18/20/1130491820.db2.gz MMZXMIZIQSITJN-OAHLLOKOSA-N 1 2 296.418 3.867 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@@H](C)[C@@H](F)C2)cc1Cl ZINC000679225269 1130502376 /nfs/dbraw/zinc/50/23/76/1130502376.db2.gz PNRLKTFMPOWOLF-MFKMUULPSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@@H](C)[C@@H](F)C2)cc1Cl ZINC000679225269 1130502382 /nfs/dbraw/zinc/50/23/82/1130502382.db2.gz PNRLKTFMPOWOLF-MFKMUULPSA-N 1 2 271.763 3.529 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cccc(Br)c2)C[C@@H]1F ZINC000679218877 1130502751 /nfs/dbraw/zinc/50/27/51/1130502751.db2.gz AYMMZIBCOUPNDN-MFKMUULPSA-N 1 2 286.188 3.629 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cccc(Br)c2)C[C@@H]1F ZINC000679218877 1130502754 /nfs/dbraw/zinc/50/27/54/1130502754.db2.gz AYMMZIBCOUPNDN-MFKMUULPSA-N 1 2 286.188 3.629 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679227826 1130504940 /nfs/dbraw/zinc/50/49/40/1130504940.db2.gz TWJMLNHUDFPUQO-ISTVAULSSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679227826 1130504941 /nfs/dbraw/zinc/50/49/41/1130504941.db2.gz TWJMLNHUDFPUQO-ISTVAULSSA-N 1 2 257.299 3.706 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)o1 ZINC000792914551 1130506089 /nfs/dbraw/zinc/50/60/89/1130506089.db2.gz PORWLQSPYORWQH-JBLDHEPKSA-N 1 2 289.206 3.560 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC[C@]3(C)[C@H](C2)C3(Cl)Cl)o1 ZINC000792914551 1130506093 /nfs/dbraw/zinc/50/60/93/1130506093.db2.gz PORWLQSPYORWQH-JBLDHEPKSA-N 1 2 289.206 3.560 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2ccc(Cl)nc2)CC1 ZINC000792934510 1130508763 /nfs/dbraw/zinc/50/87/63/1130508763.db2.gz WWWNTALDMBRIJR-UHFFFAOYSA-N 1 2 292.732 3.899 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](c1ccc(F)cc1)C(C)C ZINC000679330231 1130516130 /nfs/dbraw/zinc/51/61/30/1130516130.db2.gz DXBQNYDWNHXMHZ-BDJLRTHQSA-N 1 2 275.371 3.905 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H]1CCCO[C@H]1c1ccc(C)cc1 ZINC000679345481 1130517788 /nfs/dbraw/zinc/51/77/88/1130517788.db2.gz SSZXDLQYSOZOGA-XWIAVFTESA-N 1 2 299.418 3.597 20 0 CHADLO CC(C)(C)c1ccc(C[NH+]2CC3(C2)CC(F)(F)C3)[nH]1 ZINC001137370470 1130519236 /nfs/dbraw/zinc/51/92/36/1130519236.db2.gz FEZADIJZLGYHQV-UHFFFAOYSA-N 1 2 268.351 3.543 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(C(C)(C)C)s2)C[C@@H]1F ZINC000679358674 1130520412 /nfs/dbraw/zinc/52/04/12/1130520412.db2.gz JBMRUGBUVMRRQK-JQWIXIFHSA-N 1 2 270.417 3.621 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(C(C)(C)C)s2)C[C@@H]1F ZINC000679358674 1130520409 /nfs/dbraw/zinc/52/04/09/1130520409.db2.gz JBMRUGBUVMRRQK-JQWIXIFHSA-N 1 2 270.417 3.621 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(OC(F)F)c(F)c2)C[C@H]1F ZINC000679358561 1130520598 /nfs/dbraw/zinc/52/05/98/1130520598.db2.gz KTDYTQYIRCFVRU-BXKDBHETSA-N 1 2 291.288 3.607 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(OC(F)F)c(F)c2)C[C@H]1F ZINC000679358561 1130520602 /nfs/dbraw/zinc/52/06/02/1130520602.db2.gz KTDYTQYIRCFVRU-BXKDBHETSA-N 1 2 291.288 3.607 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](c1ccc(F)c(C)c1)C1CC1 ZINC000679361970 1130522383 /nfs/dbraw/zinc/52/23/83/1130522383.db2.gz VZAADFLPRQLDQE-APPDUMDISA-N 1 2 287.382 3.968 20 0 CHADLO CCC[C@](C)(CC)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000793240063 1130535048 /nfs/dbraw/zinc/53/50/48/1130535048.db2.gz RTEVVAITROPREX-SFHVURJKSA-N 1 2 299.418 3.705 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2ccoc2)c2ccccn2)cc1 ZINC000793250821 1130536798 /nfs/dbraw/zinc/53/67/98/1130536798.db2.gz NHYKWYQZIHFYKV-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO COc1cc(F)c(-c2cc(C)cn3cc[nH+]c23)cc1F ZINC001240959103 1130536957 /nfs/dbraw/zinc/53/69/57/1130536957.db2.gz QIFHSGVKIUQGEA-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC000679542165 1130538125 /nfs/dbraw/zinc/53/81/25/1130538125.db2.gz NNIYEVRURCXBQS-NEPJUHHUSA-N 1 2 289.345 3.627 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1ccc(Cl)cn1 ZINC000679545626 1130540050 /nfs/dbraw/zinc/54/00/50/1130540050.db2.gz LHQIWVIMXPNWBA-UWVGGRQHSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1ccc(Cl)cn1 ZINC000679545626 1130540055 /nfs/dbraw/zinc/54/00/55/1130540055.db2.gz LHQIWVIMXPNWBA-UWVGGRQHSA-N 1 2 292.732 3.898 20 0 CHADLO Cc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)cc1N ZINC001240963712 1130540220 /nfs/dbraw/zinc/54/02/20/1130540220.db2.gz ISNQCPTULCYQEO-UHFFFAOYSA-N 1 2 263.344 3.645 20 0 CHADLO Cc1ccc(-c2nc(C[NH+]3CCC(F)(F)CC3)co2)cc1 ZINC000793362936 1130545912 /nfs/dbraw/zinc/54/59/12/1130545912.db2.gz XYFSKSVVKLNSNK-UHFFFAOYSA-N 1 2 292.329 3.881 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H](OC(F)F)C2)ccc1Cl ZINC000679740721 1130555062 /nfs/dbraw/zinc/55/50/62/1130555062.db2.gz PRYLWYJADDWORC-JTQLQIEISA-N 1 2 293.716 3.683 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H](OC(F)F)C2)ccc1Cl ZINC000679740721 1130555069 /nfs/dbraw/zinc/55/50/69/1130555069.db2.gz PRYLWYJADDWORC-JTQLQIEISA-N 1 2 293.716 3.683 20 0 CHADLO CCc1cc(OCCOC(C)C)c2ccccc2[nH+]1 ZINC000679847724 1130560231 /nfs/dbraw/zinc/56/02/31/1130560231.db2.gz LRGFBEYLCIOOSA-UHFFFAOYSA-N 1 2 259.349 3.601 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](c2ccco2)c2ccccc2)n(C)n1 ZINC000793515645 1130560675 /nfs/dbraw/zinc/56/06/75/1130560675.db2.gz IHUTVYWVJJRIPF-KSSFIOAISA-N 1 2 295.386 3.762 20 0 CHADLO C[C@@H](CC1CCOCC1)Nc1c[nH+]c2c(c1)CCCC2 ZINC000679904477 1130561453 /nfs/dbraw/zinc/56/14/53/1130561453.db2.gz DIWQBFANHOVBJG-ZDUSSCGKSA-N 1 2 274.408 3.578 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](C)c2cnccc2C)cs1 ZINC000679944121 1130563305 /nfs/dbraw/zinc/56/33/05/1130563305.db2.gz JUXIBGJZLKIXAK-LBPRGKRZSA-N 1 2 275.421 3.650 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2c(F)cccc2OC)cc1 ZINC001241012579 1130565361 /nfs/dbraw/zinc/56/53/61/1130565361.db2.gz JPKBKQRNCUAJHK-UHFFFAOYSA-N 1 2 273.307 3.863 20 0 CHADLO COc1cccc(F)c1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001241012450 1130565605 /nfs/dbraw/zinc/56/56/05/1130565605.db2.gz CQAWRDBZGWSSBW-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO COc1c(C)cc(-c2cccc3[nH+]ccn32)cc1C ZINC001241016737 1130569688 /nfs/dbraw/zinc/56/96/88/1130569688.db2.gz PPKOTXTZHDYEMU-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCOc1cc(F)cc(-c2cccc3[nH+]c(C)cn32)c1 ZINC001241024544 1130570630 /nfs/dbraw/zinc/57/06/30/1130570630.db2.gz DABGLQNVHNRBJX-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+]Cc2ccon2)cc1Cl ZINC000793531585 1130571789 /nfs/dbraw/zinc/57/17/89/1130571789.db2.gz DCXKRJFNFREBJV-NSHDSACASA-N 1 2 294.782 3.966 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccn2)C2CCCCC2)co1 ZINC001206074074 1130605550 /nfs/dbraw/zinc/60/55/50/1130605550.db2.gz JOFFHYKHUMHERB-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccn2)C2CCCCC2)co1 ZINC001206074074 1130605555 /nfs/dbraw/zinc/60/55/55/1130605555.db2.gz JOFFHYKHUMHERB-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO NC(=[NH+]OCC[C@H]1CCCCO1)c1cccc2ccccc21 ZINC000758762513 1130624172 /nfs/dbraw/zinc/62/41/72/1130624172.db2.gz RKLUKNIKTXQKSK-OAHLLOKOSA-N 1 2 298.386 3.646 20 0 CHADLO CCC(=CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)CC ZINC000759120194 1130637076 /nfs/dbraw/zinc/63/70/76/1130637076.db2.gz JPYYPRIRSPYIRZ-UHFFFAOYSA-N 1 2 257.337 3.556 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCc1ccccc1O ZINC000811773617 1130642420 /nfs/dbraw/zinc/64/24/20/1130642420.db2.gz CAGIAAMTMNHSSB-UHFFFAOYSA-N 1 2 278.355 3.903 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H]2CCOc3ccccc32)cc1 ZINC001234587603 1130659414 /nfs/dbraw/zinc/65/94/14/1130659414.db2.gz CFZFDBPXAVEAJI-QGZVFWFLSA-N 1 2 297.354 3.951 20 0 CHADLO c1csc([C@H](Cc2ccccc2)Nc2cccc[nH+]2)n1 ZINC001170658152 1130663245 /nfs/dbraw/zinc/66/32/45/1130663245.db2.gz YKCHJZHWZQWLOW-AWEZNQCLSA-N 1 2 281.384 3.934 20 0 CHADLO CC[N@H+](Cc1c(F)cc(F)cc1Br)C(C)C ZINC001234734596 1130676827 /nfs/dbraw/zinc/67/68/27/1130676827.db2.gz OTFLDAXNGKPKAQ-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO CC[N@@H+](Cc1c(F)cc(F)cc1Br)C(C)C ZINC001234734596 1130676831 /nfs/dbraw/zinc/67/68/31/1130676831.db2.gz OTFLDAXNGKPKAQ-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO Cc1cc(CC[NH2+][C@@H](C)C(=O)OC(C)(C)C)ccc1Cl ZINC000812308869 1130682182 /nfs/dbraw/zinc/68/21/82/1130682182.db2.gz USAWFUNOGVMATB-LBPRGKRZSA-N 1 2 297.826 3.511 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@H]1CCCOC1 ZINC000780737676 1130688658 /nfs/dbraw/zinc/68/86/58/1130688658.db2.gz AOBZBSFEJGMSGZ-GJZGRUSLSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@H]1CCCOC1 ZINC000780737676 1130688665 /nfs/dbraw/zinc/68/86/65/1130688665.db2.gz AOBZBSFEJGMSGZ-GJZGRUSLSA-N 1 2 274.408 3.514 20 0 CHADLO c1cc(C[NH2+][C@@H]2CCCc3cn(C4CCCC4)nc32)co1 ZINC000780765474 1130690961 /nfs/dbraw/zinc/69/09/61/1130690961.db2.gz DAAFLKNVLRRTSL-MRXNPFEDSA-N 1 2 285.391 3.758 20 0 CHADLO Fc1c(Br)cc(Cl)cc1C[NH+]1CCCC1 ZINC001234968026 1130698919 /nfs/dbraw/zinc/69/89/19/1130698919.db2.gz FUZANOSMOQLIPZ-UHFFFAOYSA-N 1 2 292.579 3.837 20 0 CHADLO CC1(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2)CCCCC1 ZINC000781269400 1130713427 /nfs/dbraw/zinc/71/34/27/1130713427.db2.gz UUDRWCLMDVOTLX-UHFFFAOYSA-N 1 2 298.386 3.886 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3CC[C@H]3C)cc2)c1C ZINC000812785247 1130713987 /nfs/dbraw/zinc/71/39/87/1130713987.db2.gz JSFFGNXJIJBJRW-BDJLRTHQSA-N 1 2 255.365 3.700 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3CC[C@@H]3C)cc2)c1C ZINC000812785251 1130714283 /nfs/dbraw/zinc/71/42/83/1130714283.db2.gz JSFFGNXJIJBJRW-MEDUHNTESA-N 1 2 255.365 3.700 20 0 CHADLO Cc1cc(Cl)c(C[NH+]2CCSCC2)c(Cl)c1 ZINC001235173412 1130717173 /nfs/dbraw/zinc/71/71/73/1130717173.db2.gz HFSFJNZZMBMKMB-UHFFFAOYSA-N 1 2 276.232 3.851 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)c1ccc(Cl)cc1Cl ZINC001133453132 1130719034 /nfs/dbraw/zinc/71/90/34/1130719034.db2.gz ONEWINRCFGOSHU-UHFFFAOYSA-N 1 2 295.169 3.679 20 0 CHADLO CC[C@H](CC(=O)Nc1c(C)c[nH+]cc1C)c1ccc(C)cc1 ZINC001133456506 1130719829 /nfs/dbraw/zinc/71/98/29/1130719829.db2.gz SPZIVKLAFPQNHP-MRXNPFEDSA-N 1 2 296.414 3.951 20 0 CHADLO c1cc(-c2csc(C[N@@H+]3CCOCC34CC4)c2)cs1 ZINC001235187809 1130721430 /nfs/dbraw/zinc/72/14/30/1130721430.db2.gz BXSFJXWMTUXFKC-UHFFFAOYSA-N 1 2 291.441 3.841 20 0 CHADLO c1cc(-c2csc(C[N@H+]3CCOCC34CC4)c2)cs1 ZINC001235187809 1130721435 /nfs/dbraw/zinc/72/14/35/1130721435.db2.gz BXSFJXWMTUXFKC-UHFFFAOYSA-N 1 2 291.441 3.841 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)Cc2cccs2)c(F)c1 ZINC001235200417 1130722450 /nfs/dbraw/zinc/72/24/50/1130722450.db2.gz FOIKXXCDYQOGEC-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)Cc2cccs2)c(F)c1 ZINC001235200417 1130722455 /nfs/dbraw/zinc/72/24/55/1130722455.db2.gz FOIKXXCDYQOGEC-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCc3ccsc3C2)c(F)c1 ZINC001235203758 1130724877 /nfs/dbraw/zinc/72/48/77/1130724877.db2.gz XBJVDMSEMKRMOB-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCc3ccsc3C2)c(F)c1 ZINC001235203758 1130724882 /nfs/dbraw/zinc/72/48/82/1130724882.db2.gz XBJVDMSEMKRMOB-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2nc(Cl)ccc2C(F)(F)F)C1 ZINC001235229688 1130724986 /nfs/dbraw/zinc/72/49/86/1130724986.db2.gz RDMNJECDTKINFX-VIFPVBQESA-N 1 2 292.732 3.986 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2nc(Cl)ccc2C(F)(F)F)C1 ZINC001235229688 1130724992 /nfs/dbraw/zinc/72/49/92/1130724992.db2.gz RDMNJECDTKINFX-VIFPVBQESA-N 1 2 292.732 3.986 20 0 CHADLO Fc1cccc2cc(C[NH+]3Cc4ccccc4C3)[nH]c21 ZINC001235233367 1130725986 /nfs/dbraw/zinc/72/59/86/1130725986.db2.gz DXGMORVLXMXXRK-UHFFFAOYSA-N 1 2 266.319 3.823 20 0 CHADLO CCc1cccc(C[N@@H+]2CCc3c(Cl)cccc3C2)n1 ZINC001235260837 1130729511 /nfs/dbraw/zinc/72/95/11/1130729511.db2.gz OMJHIVJWYCKQRP-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cccc(C[N@H+]2CCc3c(Cl)cccc3C2)n1 ZINC001235260837 1130729518 /nfs/dbraw/zinc/72/95/18/1130729518.db2.gz OMJHIVJWYCKQRP-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CO[C@@H]1CCN(c2[nH+]ccc3cc(Cl)ccc32)[C@H](C)C1 ZINC001158893671 1130732165 /nfs/dbraw/zinc/73/21/65/1130732165.db2.gz WRJJMUIFPRXVAA-BXUZGUMPSA-N 1 2 290.794 3.892 20 0 CHADLO COc1ccccc1[C@@H](C)[N@H+](C)Cc1cc(C)cnc1F ZINC001235338907 1130734486 /nfs/dbraw/zinc/73/44/86/1130734486.db2.gz LFJJQNDRDNHGFG-CYBMUJFWSA-N 1 2 288.366 3.731 20 0 CHADLO COc1ccccc1[C@@H](C)[N@@H+](C)Cc1cc(C)cnc1F ZINC001235338907 1130734490 /nfs/dbraw/zinc/73/44/90/1130734490.db2.gz LFJJQNDRDNHGFG-CYBMUJFWSA-N 1 2 288.366 3.731 20 0 CHADLO C[N@@H+](Cc1cccc2ccccc21)Cc1ncc(Cl)cn1 ZINC001235409597 1130740681 /nfs/dbraw/zinc/74/06/81/1130740681.db2.gz GPIOWUSGXQXKLD-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@H+](Cc1cccc2ccccc21)Cc1ncc(Cl)cn1 ZINC001235409597 1130740685 /nfs/dbraw/zinc/74/06/85/1130740685.db2.gz GPIOWUSGXQXKLD-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CCCSCC1 ZINC000692509771 1130741650 /nfs/dbraw/zinc/74/16/50/1130741650.db2.gz WBXIMFITESSYPA-CYBMUJFWSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@@H]1CCCSCC1 ZINC000692509771 1130741655 /nfs/dbraw/zinc/74/16/55/1130741655.db2.gz WBXIMFITESSYPA-CYBMUJFWSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCC[C@H]2c2ccccn2)c1Cl ZINC001235583081 1130755450 /nfs/dbraw/zinc/75/54/50/1130755450.db2.gz QVSMQZYASUKYQJ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccnc(C[N@H+]2CCC[C@H]2c2ccccn2)c1Cl ZINC001235583081 1130755456 /nfs/dbraw/zinc/75/54/56/1130755456.db2.gz QVSMQZYASUKYQJ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)cc1 ZINC000813327001 1130758820 /nfs/dbraw/zinc/75/88/20/1130758820.db2.gz BLDFTKFNDORMSP-ZDUSSCGKSA-N 1 2 255.365 3.701 20 0 CHADLO Cc1ccc(Br)c(C[NH+]2CC(C)(C)C2)c1F ZINC001235742492 1130775215 /nfs/dbraw/zinc/77/52/15/1130775215.db2.gz MZLMPGYWXHXCHV-UHFFFAOYSA-N 1 2 286.188 3.738 20 0 CHADLO Cc1nc2cc(C[N@@H+]3CCn4cccc4[C@@H]3C)ccc2s1 ZINC001235864338 1130789456 /nfs/dbraw/zinc/78/94/56/1130789456.db2.gz XHOIGUBQTULLBF-LBPRGKRZSA-N 1 2 297.427 3.983 20 0 CHADLO Cc1nc2cc(C[N@H+]3CCn4cccc4[C@@H]3C)ccc2s1 ZINC001235864338 1130789459 /nfs/dbraw/zinc/78/94/59/1130789459.db2.gz XHOIGUBQTULLBF-LBPRGKRZSA-N 1 2 297.427 3.983 20 0 CHADLO CC(C)N(C(=O)c1ccc(-c2ccc(N)[nH+]c2)cc1)C(C)C ZINC001235919868 1130804673 /nfs/dbraw/zinc/80/46/73/1130804673.db2.gz DYZUFFGYWLHWAS-UHFFFAOYSA-N 1 2 297.402 3.590 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+][C@H]1CC[C@H]1C ZINC000783278715 1130810022 /nfs/dbraw/zinc/81/00/22/1130810022.db2.gz CKXIAFXVNGLHLE-DOMZBBRYSA-N 1 2 276.449 3.759 20 0 CHADLO Cc1cc([NH2+][C@@H]2CC[C@H]2C)ccc1N1CCSCC1 ZINC000783281224 1130810932 /nfs/dbraw/zinc/81/09/32/1130810932.db2.gz OXAIBNMAJZTKJP-IUODEOHRSA-N 1 2 276.449 3.759 20 0 CHADLO C[C@@H]1CC[C@@H]1Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000783283278 1130811095 /nfs/dbraw/zinc/81/10/95/1130811095.db2.gz UTAXOPSHBHGQLS-CJNGLKHVSA-N 1 2 279.387 3.812 20 0 CHADLO C[C@@H]1C[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC(C)(C)C1 ZINC000784011022 1130866975 /nfs/dbraw/zinc/86/69/75/1130866975.db2.gz WYFWTRRRACHVLU-NSHDSACASA-N 1 2 296.484 3.564 20 0 CHADLO C[C@@H]1C[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC(C)(C)C1 ZINC000784011022 1130866982 /nfs/dbraw/zinc/86/69/82/1130866982.db2.gz WYFWTRRRACHVLU-NSHDSACASA-N 1 2 296.484 3.564 20 0 CHADLO CSCCCCCCNc1[nH+]c(C)nc2[nH]ccc21 ZINC000784176927 1130886972 /nfs/dbraw/zinc/88/69/72/1130886972.db2.gz MSMSOVOMWNLDCQ-UHFFFAOYSA-N 1 2 278.425 3.553 20 0 CHADLO COc1cc[nH+]cc1C1=CC[C@@H](C(F)(F)F)CC1 ZINC001236248817 1130905229 /nfs/dbraw/zinc/90/52/29/1130905229.db2.gz MADIKIMUUSIULI-SNVBAGLBSA-N 1 2 257.255 3.836 20 0 CHADLO Cc1ccccc1[C@H](C)OC(=O)[C@H](c1ccccc1)[NH+](C)C ZINC000784601154 1130911991 /nfs/dbraw/zinc/91/19/91/1130911991.db2.gz JNKBEULKYSPGBB-YJBOKZPZSA-N 1 2 297.398 3.902 20 0 CHADLO Cc1cc(C(=O)OC(C)(C)C)ccc1-c1ccc(N)[nH+]c1 ZINC001236317349 1130913111 /nfs/dbraw/zinc/91/31/11/1130913111.db2.gz UIRHUFDGXXQIFT-UHFFFAOYSA-N 1 2 284.359 3.595 20 0 CHADLO CC(C)COc1c(F)cc(-c2ccc(N)[nH+]c2)cc1F ZINC001236315027 1130913453 /nfs/dbraw/zinc/91/34/53/1130913453.db2.gz CLUAKQXRVFFXBO-UHFFFAOYSA-N 1 2 278.302 3.644 20 0 CHADLO Cc1ccc2ccc(OC(=O)CCCn3cc[nH+]c3)cc2c1 ZINC001134724704 1130926966 /nfs/dbraw/zinc/92/69/66/1130926966.db2.gz VWHUQAPTHSCYFM-UHFFFAOYSA-N 1 2 294.354 3.731 20 0 CHADLO CCC[C@@](C)(CC)C(=O)OCc1cccc2[nH+]ccn21 ZINC000796783131 1130931758 /nfs/dbraw/zinc/93/17/58/1130931758.db2.gz GQOSXLPPYUTEIK-MRXNPFEDSA-N 1 2 274.364 3.594 20 0 CHADLO CC(C)Oc1cc(-c2c[nH+]cn2C)ccc1Cl ZINC001236411544 1130932361 /nfs/dbraw/zinc/93/23/61/1130932361.db2.gz WROUYDHNZOMWRL-UHFFFAOYSA-N 1 2 250.729 3.528 20 0 CHADLO CC(C)[C@@H]1CC[C@@H]1[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000796925556 1130946728 /nfs/dbraw/zinc/94/67/28/1130946728.db2.gz KHFRUFWLRFNGMT-JLSDUUJJSA-N 1 2 288.435 3.758 20 0 CHADLO C[N@@H+](Cc1c(F)cccc1F)C[C@@H]1CC1(Cl)Cl ZINC000815168781 1130969515 /nfs/dbraw/zinc/96/95/15/1130969515.db2.gz XFZNBEZBDCOFAH-QMMMGPOBSA-N 1 2 280.145 3.590 20 0 CHADLO C[N@H+](Cc1c(F)cccc1F)C[C@@H]1CC1(Cl)Cl ZINC000815168781 1130969520 /nfs/dbraw/zinc/96/95/20/1130969520.db2.gz XFZNBEZBDCOFAH-QMMMGPOBSA-N 1 2 280.145 3.590 20 0 CHADLO CC(C)Oc1cc(-c2ccc(F)c(-n3cc[nH+]c3)c2)ccn1 ZINC001236570675 1130977254 /nfs/dbraw/zinc/97/72/54/1130977254.db2.gz RUHOOEVTFZEWOQ-UHFFFAOYSA-N 1 2 297.333 3.861 20 0 CHADLO Cc1cc(-c2cnc(OC(C)C)cc2C)c2[nH+]ccn2c1 ZINC001236580093 1130979977 /nfs/dbraw/zinc/97/99/77/1130979977.db2.gz KFTIBEZUSKOQGT-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO CCC[N@H+](Cc1ccccc1)Cc1nccnc1Cl ZINC001236610481 1130983093 /nfs/dbraw/zinc/98/30/93/1130983093.db2.gz HPVRUJZJHJVLDD-UHFFFAOYSA-N 1 2 275.783 3.542 20 0 CHADLO CCC[N@@H+](Cc1ccccc1)Cc1nccnc1Cl ZINC001236610481 1130983095 /nfs/dbraw/zinc/98/30/95/1130983095.db2.gz HPVRUJZJHJVLDD-UHFFFAOYSA-N 1 2 275.783 3.542 20 0 CHADLO CC(C)[N@H+](Cc1ccccc1)Cc1nccnc1Cl ZINC001236606956 1130983891 /nfs/dbraw/zinc/98/38/91/1130983891.db2.gz APCAUKRFQLNMGF-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO CC(C)[N@@H+](Cc1ccccc1)Cc1nccnc1Cl ZINC001236606956 1130983894 /nfs/dbraw/zinc/98/38/94/1130983894.db2.gz APCAUKRFQLNMGF-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2CCCC23CC3)cnc1Cl ZINC001236620267 1130984613 /nfs/dbraw/zinc/98/46/13/1130984613.db2.gz UOMYFALJKKLLFM-UHFFFAOYSA-N 1 2 290.716 3.882 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2CCCC23CC3)cnc1Cl ZINC001236620267 1130984614 /nfs/dbraw/zinc/98/46/14/1130984614.db2.gz UOMYFALJKKLLFM-UHFFFAOYSA-N 1 2 290.716 3.882 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1cnc(Cl)c(C(F)(F)F)c1 ZINC001236621202 1130984717 /nfs/dbraw/zinc/98/47/17/1130984717.db2.gz YPFKYIDLBRMVFR-MRVPVSSYSA-N 1 2 278.705 3.738 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1cnc(Cl)c(C(F)(F)F)c1 ZINC001236621202 1130984721 /nfs/dbraw/zinc/98/47/21/1130984721.db2.gz YPFKYIDLBRMVFR-MRVPVSSYSA-N 1 2 278.705 3.738 20 0 CHADLO C[C@H]1C[C@H](C(C)(C)C)CC[N@@H+]1Cc1noc(C2CCC2)n1 ZINC001170783475 1130985152 /nfs/dbraw/zinc/98/51/52/1130985152.db2.gz OELRXQUGFNPGSP-GXTWGEPZSA-N 1 2 291.439 3.984 20 0 CHADLO C[C@H]1C[C@H](C(C)(C)C)CC[N@H+]1Cc1noc(C2CCC2)n1 ZINC001170783475 1130985154 /nfs/dbraw/zinc/98/51/54/1130985154.db2.gz OELRXQUGFNPGSP-GXTWGEPZSA-N 1 2 291.439 3.984 20 0 CHADLO C[C@@H]1C[C@H](C(C)(C)C)CC[N@@H+]1Cc1noc(C2CCC2)n1 ZINC001170783482 1130985276 /nfs/dbraw/zinc/98/52/76/1130985276.db2.gz OELRXQUGFNPGSP-TZMCWYRMSA-N 1 2 291.439 3.984 20 0 CHADLO C[C@@H]1C[C@H](C(C)(C)C)CC[N@H+]1Cc1noc(C2CCC2)n1 ZINC001170783482 1130985278 /nfs/dbraw/zinc/98/52/78/1130985278.db2.gz OELRXQUGFNPGSP-TZMCWYRMSA-N 1 2 291.439 3.984 20 0 CHADLO COc1cc2ccccc2cc1C[NH+]1CC2(C1)CCCCO2 ZINC001236677675 1130991553 /nfs/dbraw/zinc/99/15/53/1130991553.db2.gz NRBDPJIWHYOXOW-UHFFFAOYSA-N 1 2 297.398 3.603 20 0 CHADLO Fc1cc(OC(F)F)ccc1C[N@@H+]1CCCC[C@H](F)C1 ZINC001236717897 1130999369 /nfs/dbraw/zinc/99/93/69/1130999369.db2.gz HUZZBQZJEOCLHV-NSHDSACASA-N 1 2 291.288 3.751 20 0 CHADLO Fc1cc(OC(F)F)ccc1C[N@H+]1CCCC[C@H](F)C1 ZINC001236717897 1130999373 /nfs/dbraw/zinc/99/93/73/1130999373.db2.gz HUZZBQZJEOCLHV-NSHDSACASA-N 1 2 291.288 3.751 20 0 CHADLO C/C(=C/Cl)CSCc1cc[nH+]c(N(C)C)c1 ZINC000797809951 1131004988 /nfs/dbraw/zinc/00/49/88/1131004988.db2.gz JUUYDCYWNZXXJC-YFHOEESVSA-N 1 2 256.802 3.523 20 0 CHADLO COc1cc(C[N@@H+]2CCc3ccccc3C2)c(F)cc1F ZINC001236816277 1131013373 /nfs/dbraw/zinc/01/33/73/1131013373.db2.gz XOJLHXARMPAYCM-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(C[N@H+]2CCc3ccccc3C2)c(F)cc1F ZINC001236816277 1131013379 /nfs/dbraw/zinc/01/33/79/1131013379.db2.gz XOJLHXARMPAYCM-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCOc1ccc(Nc2ccc([NH2+]C(C)C)cc2)c(N)n1 ZINC001159410561 1131018081 /nfs/dbraw/zinc/01/80/81/1131018081.db2.gz USXASAZFRBIQHG-UHFFFAOYSA-N 1 2 286.379 3.626 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(C)c2Cl)cn1 ZINC001236860602 1131025992 /nfs/dbraw/zinc/02/59/92/1131025992.db2.gz ZSOBQIRLLYUIGU-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(C)c2Cl)cn1 ZINC001236860602 1131025997 /nfs/dbraw/zinc/02/59/97/1131025997.db2.gz ZSOBQIRLLYUIGU-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO C[N@H+](Cn1nc(-c2ccccc2)oc1=S)C1CCCC1 ZINC000798192364 1131028185 /nfs/dbraw/zinc/02/81/85/1131028185.db2.gz SIAKQPYRYJSKKL-UHFFFAOYSA-N 1 2 289.404 3.704 20 0 CHADLO C[N@@H+](Cn1nc(-c2ccccc2)oc1=S)C1CCCC1 ZINC000798192364 1131028189 /nfs/dbraw/zinc/02/81/89/1131028189.db2.gz SIAKQPYRYJSKKL-UHFFFAOYSA-N 1 2 289.404 3.704 20 0 CHADLO Cc1cccc(-c2ccc(C[N@H+]3CCOC[C@@H]3C)cn2)c1C ZINC001236982969 1131033295 /nfs/dbraw/zinc/03/32/95/1131033295.db2.gz XQCHOHUEKVEZSD-HNNXBMFYSA-N 1 2 296.414 3.586 20 0 CHADLO Cc1cccc(-c2ccc(C[N@@H+]3CCOC[C@@H]3C)cn2)c1C ZINC001236982969 1131033299 /nfs/dbraw/zinc/03/32/99/1131033299.db2.gz XQCHOHUEKVEZSD-HNNXBMFYSA-N 1 2 296.414 3.586 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1cc(O)cc3ccccc31)C2 ZINC001237074214 1131044599 /nfs/dbraw/zinc/04/45/99/1131044599.db2.gz PLCKWTOVBCPTJR-UHFFFAOYSA-N 1 2 290.366 3.765 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1cc(O)cc3ccccc31)C2 ZINC001237074214 1131044603 /nfs/dbraw/zinc/04/46/03/1131044603.db2.gz PLCKWTOVBCPTJR-UHFFFAOYSA-N 1 2 290.366 3.765 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCC[C@@H]([C@@H]3CCOC3)C2)cc1 ZINC000798933183 1131062148 /nfs/dbraw/zinc/06/21/48/1131062148.db2.gz NPIQIEWIRIKREV-BFYDXBDKSA-N 1 2 288.435 3.760 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCC[C@@H]([C@@H]3CCOC3)C2)cc1 ZINC000798933183 1131062152 /nfs/dbraw/zinc/06/21/52/1131062152.db2.gz NPIQIEWIRIKREV-BFYDXBDKSA-N 1 2 288.435 3.760 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@@H+]1CCn2cccc2C1 ZINC001237351861 1131089516 /nfs/dbraw/zinc/08/95/16/1131089516.db2.gz GDWBRMNNVQTGLL-UHFFFAOYSA-N 1 2 274.795 3.774 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@H+]1CCn2cccc2C1 ZINC001237351861 1131089521 /nfs/dbraw/zinc/08/95/21/1131089521.db2.gz GDWBRMNNVQTGLL-UHFFFAOYSA-N 1 2 274.795 3.774 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1cnn(C)c1Cl)C1CC1 ZINC000816485242 1131098128 /nfs/dbraw/zinc/09/81/28/1131098128.db2.gz FORSZCLJSMVGOC-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1cnn(C)c1Cl)C1CC1 ZINC000816485242 1131098134 /nfs/dbraw/zinc/09/81/34/1131098134.db2.gz FORSZCLJSMVGOC-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(N[C@@H]2CCOC[C@@H]2C)c1 ZINC001170833440 1131107275 /nfs/dbraw/zinc/10/72/75/1131107275.db2.gz WBLLCHPMVZUWOX-GOEBONIOSA-N 1 2 276.424 3.678 20 0 CHADLO C[C@H]1CC(=O)CC[N@@H+]1Cc1cccc2c(F)cccc12 ZINC001237514079 1131107877 /nfs/dbraw/zinc/10/78/77/1131107877.db2.gz DFYODDIPAOHYGJ-LBPRGKRZSA-N 1 2 271.335 3.532 20 0 CHADLO C[C@H]1CC(=O)CC[N@H+]1Cc1cccc2c(F)cccc12 ZINC001237514079 1131107879 /nfs/dbraw/zinc/10/78/79/1131107879.db2.gz DFYODDIPAOHYGJ-LBPRGKRZSA-N 1 2 271.335 3.532 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1cnn(C)c1Cl ZINC000816591855 1131110295 /nfs/dbraw/zinc/11/02/95/1131110295.db2.gz PSLCOJKTMBSAKR-UKTHLTGXSA-N 1 2 289.810 3.609 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1cnn(C)c1Cl ZINC000816591855 1131110297 /nfs/dbraw/zinc/11/02/97/1131110297.db2.gz PSLCOJKTMBSAKR-UKTHLTGXSA-N 1 2 289.810 3.609 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1cccc3c(F)cccc13)C2 ZINC001237525937 1131110908 /nfs/dbraw/zinc/11/09/08/1131110908.db2.gz PJXJHILDLGJMHJ-UHFFFAOYSA-N 1 2 296.345 3.834 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1cccc3c(F)cccc13)C2 ZINC001237525937 1131110911 /nfs/dbraw/zinc/11/09/11/1131110911.db2.gz PJXJHILDLGJMHJ-UHFFFAOYSA-N 1 2 296.345 3.834 20 0 CHADLO c1ccc(-c2cccc(C[NH+]3CC4(C3)CCCCO4)n2)cc1 ZINC001237545109 1131111938 /nfs/dbraw/zinc/11/19/38/1131111938.db2.gz WWYBPEODNIHLHW-UHFFFAOYSA-N 1 2 294.398 3.504 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCC[C@](C)(F)C2)c(F)c1 ZINC001237638549 1131123552 /nfs/dbraw/zinc/12/35/52/1131123552.db2.gz PSARQBJBQSUUNX-HNNXBMFYSA-N 1 2 269.335 3.548 20 0 CHADLO CCOc1ccc(C[N@H+]2CCC[C@](C)(F)C2)c(F)c1 ZINC001237638549 1131123554 /nfs/dbraw/zinc/12/35/54/1131123554.db2.gz PSARQBJBQSUUNX-HNNXBMFYSA-N 1 2 269.335 3.548 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2CCC(=O)[C@@H](C)CC2)c1 ZINC001237698416 1131128519 /nfs/dbraw/zinc/12/85/19/1131128519.db2.gz OUBKENNFFOGFEK-LBPRGKRZSA-N 1 2 279.811 3.758 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2CCC(=O)[C@@H](C)CC2)c1 ZINC001237698416 1131128522 /nfs/dbraw/zinc/12/85/22/1131128522.db2.gz OUBKENNFFOGFEK-LBPRGKRZSA-N 1 2 279.811 3.758 20 0 CHADLO CCc1cc(C[N@@H+]2CCOCC2(C)C)ccc1Cl ZINC001237738203 1131134795 /nfs/dbraw/zinc/13/47/95/1131134795.db2.gz APFLXXWUYLICMT-UHFFFAOYSA-N 1 2 267.800 3.513 20 0 CHADLO CCc1cc(C[N@H+]2CCOCC2(C)C)ccc1Cl ZINC001237738203 1131134797 /nfs/dbraw/zinc/13/47/97/1131134797.db2.gz APFLXXWUYLICMT-UHFFFAOYSA-N 1 2 267.800 3.513 20 0 CHADLO CCc1cc(C[N@H+](C)Cc2nccs2)ccc1Cl ZINC001237740774 1131136258 /nfs/dbraw/zinc/13/62/58/1131136258.db2.gz WIKKACVWRIDMHP-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO CCc1cc(C[N@@H+](C)Cc2nccs2)ccc1Cl ZINC001237740774 1131136260 /nfs/dbraw/zinc/13/62/60/1131136260.db2.gz WIKKACVWRIDMHP-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237772725 1131139704 /nfs/dbraw/zinc/13/97/04/1131139704.db2.gz PSXVRQXFYYXGFC-ZDUSSCGKSA-N 1 2 294.757 3.929 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237772725 1131139708 /nfs/dbraw/zinc/13/97/08/1131139708.db2.gz PSXVRQXFYYXGFC-ZDUSSCGKSA-N 1 2 294.757 3.929 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ccc(OC)nc1Cl ZINC001237796893 1131141580 /nfs/dbraw/zinc/14/15/80/1131141580.db2.gz AKDSKHBGJABKPY-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ccc(OC)nc1Cl ZINC001237796893 1131141582 /nfs/dbraw/zinc/14/15/82/1131141582.db2.gz AKDSKHBGJABKPY-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCOC(=O)c1ccccc1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001237882169 1131148640 /nfs/dbraw/zinc/14/86/40/1131148640.db2.gz GBMDMTRJGAHSII-UHFFFAOYSA-N 1 2 295.382 3.688 20 0 CHADLO CCOC(=O)c1ccccc1C[N@H+]1Cc2cccc(C)c2C1 ZINC001237882169 1131148643 /nfs/dbraw/zinc/14/86/43/1131148643.db2.gz GBMDMTRJGAHSII-UHFFFAOYSA-N 1 2 295.382 3.688 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+](C)Cc1cncs1 ZINC001237911430 1131149556 /nfs/dbraw/zinc/14/95/56/1131149556.db2.gz VEZRIGXNYODJPV-UHFFFAOYSA-N 1 2 294.395 3.703 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+](C)Cc1cncs1 ZINC001237911430 1131149557 /nfs/dbraw/zinc/14/95/57/1131149557.db2.gz VEZRIGXNYODJPV-UHFFFAOYSA-N 1 2 294.395 3.703 20 0 CHADLO CCOc1cc(F)cc(C[N@@H+]2CCc3sccc3C2)c1 ZINC001237912128 1131151626 /nfs/dbraw/zinc/15/16/26/1131151626.db2.gz DSOPMIKNKYPBOW-UHFFFAOYSA-N 1 2 291.391 3.844 20 0 CHADLO CCOc1cc(F)cc(C[N@H+]2CCc3sccc3C2)c1 ZINC001237912128 1131151630 /nfs/dbraw/zinc/15/16/30/1131151630.db2.gz DSOPMIKNKYPBOW-UHFFFAOYSA-N 1 2 291.391 3.844 20 0 CHADLO CCc1cccnc1[C@H](C)Nc1ccc(C)[nH+]c1C ZINC001116515213 1131152239 /nfs/dbraw/zinc/15/22/39/1131152239.db2.gz HCSVZCMCTRVXOC-ZDUSSCGKSA-N 1 2 255.365 3.829 20 0 CHADLO C[C@@H](c1nc(-c2cccc3ccccc32)no1)n1cc[nH+]c1 ZINC001116582927 1131155895 /nfs/dbraw/zinc/15/58/95/1131155895.db2.gz JJWXQOOYDVIRIJ-LBPRGKRZSA-N 1 2 290.326 3.696 20 0 CHADLO Oc1c(F)cc(C[NH+]2C[C@@H]3CCCC[C@H]3C2)cc1Cl ZINC001237982948 1131158304 /nfs/dbraw/zinc/15/83/04/1131158304.db2.gz AYHCYWDYAPBNOP-RYUDHWBXSA-N 1 2 283.774 3.807 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237983992 1131158579 /nfs/dbraw/zinc/15/85/79/1131158579.db2.gz KMFMVMWZYDIWHS-AWEZNQCLSA-N 1 2 289.753 3.899 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237983992 1131158582 /nfs/dbraw/zinc/15/85/82/1131158582.db2.gz KMFMVMWZYDIWHS-AWEZNQCLSA-N 1 2 289.753 3.899 20 0 CHADLO Cc1c(Cl)c(C[NH2+]C(C)(C)c2ccc(F)cc2)nn1C ZINC000817407714 1131159120 /nfs/dbraw/zinc/15/91/20/1131159120.db2.gz ZEIRSAFAJUJTBD-UHFFFAOYSA-N 1 2 295.789 3.546 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nn(C)c(C)c2Cl)s1 ZINC000817407517 1131159449 /nfs/dbraw/zinc/15/94/49/1131159449.db2.gz RSQJVWPYLZLXPX-VIFPVBQESA-N 1 2 283.828 3.603 20 0 CHADLO Cc1cc(CNC(=O)[C@H](F)CC2CCCCC2)cc(C)[nH+]1 ZINC000817458920 1131162133 /nfs/dbraw/zinc/16/21/33/1131162133.db2.gz NGGHXSVRSFTPPV-MRXNPFEDSA-N 1 2 292.398 3.623 20 0 CHADLO CCOc1cc(C[N@@H+]2CCC[C@H]2c2ncccn2)ccc1C ZINC001238073427 1131167478 /nfs/dbraw/zinc/16/74/78/1131167478.db2.gz GLSSDEAZQMOIBB-INIZCTEOSA-N 1 2 297.402 3.521 20 0 CHADLO CCOc1cc(C[N@H+]2CCC[C@H]2c2ncccn2)ccc1C ZINC001238073427 1131167481 /nfs/dbraw/zinc/16/74/81/1131167481.db2.gz GLSSDEAZQMOIBB-INIZCTEOSA-N 1 2 297.402 3.521 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1[nH+]cccc1OC(C)C ZINC001116912040 1131169880 /nfs/dbraw/zinc/16/98/80/1131169880.db2.gz YNYWIXXBYYUCTD-UHFFFAOYSA-N 1 2 288.395 3.567 20 0 CHADLO Cc1cccc(C[N@H+](Cc2c(N)ccnc2F)C2CC2)c1C ZINC001238161158 1131176732 /nfs/dbraw/zinc/17/67/32/1131176732.db2.gz BVTZZZSMXLIANW-UHFFFAOYSA-N 1 2 299.393 3.584 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2c(N)ccnc2F)C2CC2)c1C ZINC001238161158 1131176734 /nfs/dbraw/zinc/17/67/34/1131176734.db2.gz BVTZZZSMXLIANW-UHFFFAOYSA-N 1 2 299.393 3.584 20 0 CHADLO COc1c(Cl)ccc(F)c1C[N@H+](C)C1CC(F)(F)C1 ZINC001238334036 1131191479 /nfs/dbraw/zinc/19/14/79/1131191479.db2.gz FIFFKEUBUXURJS-UHFFFAOYSA-N 1 2 293.716 3.717 20 0 CHADLO COc1c(Cl)ccc(F)c1C[N@@H+](C)C1CC(F)(F)C1 ZINC001238334036 1131191480 /nfs/dbraw/zinc/19/14/80/1131191480.db2.gz FIFFKEUBUXURJS-UHFFFAOYSA-N 1 2 293.716 3.717 20 0 CHADLO COc1c(Cl)ccc(F)c1C[NH+]1CC2(C1)CCCC2 ZINC001238341343 1131193472 /nfs/dbraw/zinc/19/34/72/1131193472.db2.gz UHHBZHSQMMCUDA-UHFFFAOYSA-N 1 2 283.774 3.864 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+]C/C=C\C1CC1 ZINC001117749926 1131196542 /nfs/dbraw/zinc/19/65/42/1131196542.db2.gz DGSTWLQGWCZGCG-IJVDHGTGSA-N 1 2 265.347 3.809 20 0 CHADLO COc1ccc(NCc2c[nH+]cn2C(C)C)cc1SC ZINC000818216804 1131205152 /nfs/dbraw/zinc/20/51/52/1131205152.db2.gz ZENNDYGVEOSPFW-UHFFFAOYSA-N 1 2 291.420 3.807 20 0 CHADLO COc1c(C[N@@H+]2CCCC[C@@](C)(F)C2)ccc(F)c1F ZINC001238467646 1131205507 /nfs/dbraw/zinc/20/55/07/1131205507.db2.gz VPHSXXWBKRVZFK-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO COc1c(C[N@H+]2CCCC[C@@](C)(F)C2)ccc(F)c1F ZINC001238467646 1131205511 /nfs/dbraw/zinc/20/55/11/1131205511.db2.gz VPHSXXWBKRVZFK-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO COc1cc(Cl)ccc1NCc1c[nH+]cn1C(C)C ZINC000818203784 1131205934 /nfs/dbraw/zinc/20/59/34/1131205934.db2.gz LEOTURCALBIKIQ-UHFFFAOYSA-N 1 2 279.771 3.738 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCc3cnccc3C2)c1 ZINC001238554901 1131215550 /nfs/dbraw/zinc/21/55/50/1131215550.db2.gz ARGOEFUSXPLYGB-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCc3cnccc3C2)c1 ZINC001238554901 1131215552 /nfs/dbraw/zinc/21/55/52/1131215552.db2.gz ARGOEFUSXPLYGB-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)c1 ZINC001238565993 1131216607 /nfs/dbraw/zinc/21/66/07/1131216607.db2.gz YBRAIJWIEAEEKL-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)c1 ZINC001238565993 1131216609 /nfs/dbraw/zinc/21/66/09/1131216609.db2.gz YBRAIJWIEAEEKL-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO CCCOC1C[NH+](Cc2cc(C)cnc2-c2ccccc2)C1 ZINC001238614950 1131221557 /nfs/dbraw/zinc/22/15/57/1131221557.db2.gz RFCUDRVUCNLZIW-UHFFFAOYSA-N 1 2 296.414 3.668 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccno2)cnc1-c1ccccc1 ZINC001238618778 1131222741 /nfs/dbraw/zinc/22/27/41/1131222741.db2.gz VAAIIPFDRFVSRF-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccno2)cnc1-c1ccccc1 ZINC001238618778 1131222744 /nfs/dbraw/zinc/22/27/44/1131222744.db2.gz VAAIIPFDRFVSRF-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1cc(-c2ccccc2)cnc1F ZINC001238657818 1131226373 /nfs/dbraw/zinc/22/63/73/1131226373.db2.gz LJUIJNJGKJRNDR-MLGOLLRUSA-N 1 2 288.341 3.820 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1cc(-c2ccccc2)cnc1F ZINC001238657818 1131226380 /nfs/dbraw/zinc/22/63/80/1131226380.db2.gz LJUIJNJGKJRNDR-MLGOLLRUSA-N 1 2 288.341 3.820 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1C[N@H+](C)Cc1ncccn1 ZINC001238670224 1131227953 /nfs/dbraw/zinc/22/79/53/1131227953.db2.gz WNWHKJXNFRRLHO-UHFFFAOYSA-N 1 2 299.418 3.513 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1C[N@@H+](C)Cc1ncccn1 ZINC001238670224 1131227956 /nfs/dbraw/zinc/22/79/56/1131227956.db2.gz WNWHKJXNFRRLHO-UHFFFAOYSA-N 1 2 299.418 3.513 20 0 CHADLO CCCCc1ccc([C@@H]([NH2+]Cc2nnc[nH]2)C(C)C)cc1 ZINC001118495772 1131229458 /nfs/dbraw/zinc/22/94/58/1131229458.db2.gz BZJAMQOQPXTWAR-KRWDZBQOSA-N 1 2 286.423 3.634 20 0 CHADLO CC[N@H+](Cc1scnc1Cl)Cc1ccc(F)cc1 ZINC001137823443 1131419115 /nfs/dbraw/zinc/41/91/15/1131419115.db2.gz HJYBMAIILXLRKT-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@@H+](Cc1scnc1Cl)Cc1ccc(F)cc1 ZINC001137823443 1131419116 /nfs/dbraw/zinc/41/91/16/1131419116.db2.gz HJYBMAIILXLRKT-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1CCC[C@@H](F)CC1 ZINC001137878376 1131428185 /nfs/dbraw/zinc/42/81/85/1131428185.db2.gz SNBGNQUNFFMXAR-SECBINFHSA-N 1 2 277.717 3.942 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1CCC[C@@H](F)CC1 ZINC001137878376 1131428186 /nfs/dbraw/zinc/42/81/86/1131428186.db2.gz SNBGNQUNFFMXAR-SECBINFHSA-N 1 2 277.717 3.942 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1C[C@H]2[C@H](CC2(F)F)C1 ZINC001137878496 1131428217 /nfs/dbraw/zinc/42/82/17/1131428217.db2.gz YBFNAUZAJAWQMH-APPZFPTMSA-N 1 2 293.691 3.705 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1C[C@H]2[C@H](CC2(F)F)C1 ZINC001137878496 1131428219 /nfs/dbraw/zinc/42/82/19/1131428219.db2.gz YBFNAUZAJAWQMH-APPZFPTMSA-N 1 2 293.691 3.705 20 0 CHADLO C[C@@H](Cc1ccoc1)Nc1ccc([NH+]2CCCC2)cc1 ZINC000823495780 1131430180 /nfs/dbraw/zinc/43/01/80/1131430180.db2.gz ZZTIUPXXLQFWHZ-AWEZNQCLSA-N 1 2 270.376 3.923 20 0 CHADLO Cc1ccc2nc(Cl)c(C[N@H+]3C[C@@H](C)[C@@H](F)C3)cc2c1 ZINC001137918547 1131435318 /nfs/dbraw/zinc/43/53/18/1131435318.db2.gz GYEPVLLMTVFGPA-RISCZKNCSA-N 1 2 292.785 3.986 20 0 CHADLO Cc1ccc2nc(Cl)c(C[N@@H+]3C[C@@H](C)[C@@H](F)C3)cc2c1 ZINC001137918547 1131435321 /nfs/dbraw/zinc/43/53/21/1131435321.db2.gz GYEPVLLMTVFGPA-RISCZKNCSA-N 1 2 292.785 3.986 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(F)ccc(F)c2F)CCC1(F)F ZINC001137925317 1131436214 /nfs/dbraw/zinc/43/62/14/1131436214.db2.gz GBBKAKHTIADLLM-VIFPVBQESA-N 1 2 293.279 3.971 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(F)ccc(F)c2F)CCC1(F)F ZINC001137925317 1131436220 /nfs/dbraw/zinc/43/62/20/1131436220.db2.gz GBBKAKHTIADLLM-VIFPVBQESA-N 1 2 293.279 3.971 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1cc2ccccc2nc1Cl ZINC001137972366 1131445747 /nfs/dbraw/zinc/44/57/47/1131445747.db2.gz NGOLZVMCNJAARB-ZWNOBZJWSA-N 1 2 278.758 3.821 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1cc2ccccc2nc1Cl ZINC001137972366 1131445749 /nfs/dbraw/zinc/44/57/49/1131445749.db2.gz NGOLZVMCNJAARB-ZWNOBZJWSA-N 1 2 278.758 3.821 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)C[C@@H]2CCCCO2)c1F ZINC001138034368 1131456341 /nfs/dbraw/zinc/45/63/41/1131456341.db2.gz BFBRSTDYSRDZNC-LBPRGKRZSA-N 1 2 285.790 3.788 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)C[C@@H]2CCCCO2)c1F ZINC001138034368 1131456342 /nfs/dbraw/zinc/45/63/42/1131456342.db2.gz BFBRSTDYSRDZNC-LBPRGKRZSA-N 1 2 285.790 3.788 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC001138048317 1131458410 /nfs/dbraw/zinc/45/84/10/1131458410.db2.gz YRTHLRIBBPZLMD-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC001138048317 1131458412 /nfs/dbraw/zinc/45/84/12/1131458412.db2.gz YRTHLRIBBPZLMD-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccc3ncccc3c1)C2 ZINC001138111541 1131466858 /nfs/dbraw/zinc/46/68/58/1131466858.db2.gz GLALMDFGAWQQJF-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccc3ncccc3c1)C2 ZINC001138111541 1131466860 /nfs/dbraw/zinc/46/68/60/1131466860.db2.gz GLALMDFGAWQQJF-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO CCCCC[N@H+](C)Cc1c(F)c(F)cc(F)c1F ZINC001138229724 1131479359 /nfs/dbraw/zinc/47/93/59/1131479359.db2.gz QWRPBIOKBQAUNI-UHFFFAOYSA-N 1 2 263.278 3.865 20 0 CHADLO CCCCC[N@@H+](C)Cc1c(F)c(F)cc(F)c1F ZINC001138229724 1131479360 /nfs/dbraw/zinc/47/93/60/1131479360.db2.gz QWRPBIOKBQAUNI-UHFFFAOYSA-N 1 2 263.278 3.865 20 0 CHADLO C[C@@H]1CCCC[N@H+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138229873 1131479835 /nfs/dbraw/zinc/47/98/35/1131479835.db2.gz XGFQWMMNZYWILS-SECBINFHSA-N 1 2 275.289 3.865 20 0 CHADLO C[C@@H]1CCCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138229873 1131479838 /nfs/dbraw/zinc/47/98/38/1131479838.db2.gz XGFQWMMNZYWILS-SECBINFHSA-N 1 2 275.289 3.865 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CC[C@H]3CCC[C@H]3C2)c1F ZINC001138230791 1131480102 /nfs/dbraw/zinc/48/01/02/1131480102.db2.gz GAQOESKZPINCEQ-ZJUUUORDSA-N 1 2 287.300 3.865 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CC[C@H]3CCC[C@H]3C2)c1F ZINC001138230791 1131480104 /nfs/dbraw/zinc/48/01/04/1131480104.db2.gz GAQOESKZPINCEQ-ZJUUUORDSA-N 1 2 287.300 3.865 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cccc3nccnc13)C2 ZINC001138307748 1131488260 /nfs/dbraw/zinc/48/82/60/1131488260.db2.gz JYYAIWGVPPFWDR-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cccc3nccnc13)C2 ZINC001138307748 1131488263 /nfs/dbraw/zinc/48/82/63/1131488263.db2.gz JYYAIWGVPPFWDR-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO Cc1ccc2c(C[N@@H+]3CCn4cccc4[C@@H]3C)c[nH]c2c1 ZINC001136974607 1131491118 /nfs/dbraw/zinc/49/11/18/1131491118.db2.gz FWLNDZKPAXLHTJ-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1ccc2c(C[N@H+]3CCn4cccc4[C@@H]3C)c[nH]c2c1 ZINC001136974607 1131491124 /nfs/dbraw/zinc/49/11/24/1131491124.db2.gz FWLNDZKPAXLHTJ-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO CCc1cccc2c(C[N@@H+]3CCC(F)(F)[C@H](F)C3)c[nH]c21 ZINC001138360363 1131495013 /nfs/dbraw/zinc/49/50/13/1131495013.db2.gz UQIVHIZWCAUDJU-CQSZACIVSA-N 1 2 296.336 3.909 20 0 CHADLO CCc1cccc2c(C[N@H+]3CCC(F)(F)[C@H](F)C3)c[nH]c21 ZINC001138360363 1131495015 /nfs/dbraw/zinc/49/50/15/1131495015.db2.gz UQIVHIZWCAUDJU-CQSZACIVSA-N 1 2 296.336 3.909 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CC[C@H](C2CCCCC2)C1 ZINC000825174417 1131500633 /nfs/dbraw/zinc/50/06/33/1131500633.db2.gz ITLSHZONWZMRPS-AWEZNQCLSA-N 1 2 297.402 3.605 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)c(C)c1 ZINC001138430622 1131504611 /nfs/dbraw/zinc/50/46/11/1131504611.db2.gz DBBLYBPUFDMHPW-AWEZNQCLSA-N 1 2 287.325 3.573 20 0 CHADLO CCOc1ccc(C[N@H+]2CCC(F)(F)[C@@H](F)C2)c(C)c1 ZINC001138430622 1131504615 /nfs/dbraw/zinc/50/46/15/1131504615.db2.gz DBBLYBPUFDMHPW-AWEZNQCLSA-N 1 2 287.325 3.573 20 0 CHADLO CC(C)[N@H+](Cc1nc(C(F)(F)F)c[nH]1)Cc1ccccc1 ZINC001137010250 1131504838 /nfs/dbraw/zinc/50/48/38/1131504838.db2.gz KEYMFUUHWCZJHO-UHFFFAOYSA-N 1 2 297.324 3.839 20 0 CHADLO CC(C)[N@@H+](Cc1nc(C(F)(F)F)c[nH]1)Cc1ccccc1 ZINC001137010250 1131504841 /nfs/dbraw/zinc/50/48/41/1131504841.db2.gz KEYMFUUHWCZJHO-UHFFFAOYSA-N 1 2 297.324 3.839 20 0 CHADLO COc1c(C)cc(C[NH+]2CC(Oc3ccccc3)C2)cc1C ZINC001138431537 1131504867 /nfs/dbraw/zinc/50/48/67/1131504867.db2.gz VASMVHNJXZTTAM-UHFFFAOYSA-N 1 2 297.398 3.575 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(F)ncc2F)cc1C ZINC000825299241 1131505676 /nfs/dbraw/zinc/50/56/76/1131505676.db2.gz GDHSWAQSWGONDY-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(F)ncc2F)cc1C ZINC000825299241 1131505678 /nfs/dbraw/zinc/50/56/78/1131505678.db2.gz GDHSWAQSWGONDY-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO CCOc1ccc2ccccc2c1C[N@@H+]1CCC(=O)C[C@@H]1C ZINC001138441328 1131506298 /nfs/dbraw/zinc/50/62/98/1131506298.db2.gz XKLNEPYSASHOKE-AWEZNQCLSA-N 1 2 297.398 3.792 20 0 CHADLO CCOc1ccc2ccccc2c1C[N@H+]1CCC(=O)C[C@@H]1C ZINC001138441328 1131506300 /nfs/dbraw/zinc/50/63/00/1131506300.db2.gz XKLNEPYSASHOKE-AWEZNQCLSA-N 1 2 297.398 3.792 20 0 CHADLO CC[C@@H](CC(C)C)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000825461600 1131512548 /nfs/dbraw/zinc/51/25/48/1131512548.db2.gz ZQBSWUJRURKHCN-INIZCTEOSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1cc(C)cc(NC(=O)Nc2cccc3[nH+]ccn32)c1 ZINC001202837414 1131515534 /nfs/dbraw/zinc/51/55/34/1131515534.db2.gz GFOUPWMYFPDXKF-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO O=C(/C=C\C1CCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000843524504 1131516392 /nfs/dbraw/zinc/51/63/92/1131516392.db2.gz FGLZSELPOQHXDQ-YHYXMXQVSA-N 1 2 281.359 3.557 20 0 CHADLO COc1ccc(F)c(C[NH+]2CCC(C)(F)CC2)c1Cl ZINC001143365733 1131516581 /nfs/dbraw/zinc/51/65/81/1131516581.db2.gz UEJDDKBXIAUCJP-UHFFFAOYSA-N 1 2 289.753 3.812 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3nc(Cl)ccc3C2)c(C)s1 ZINC001138567888 1131522334 /nfs/dbraw/zinc/52/23/34/1131522334.db2.gz OEBGGUUDQMSBLJ-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(C[N@H+]2CCc3nc(Cl)ccc3C2)c(C)s1 ZINC001138567888 1131522338 /nfs/dbraw/zinc/52/23/38/1131522338.db2.gz OEBGGUUDQMSBLJ-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1c(F)cc(F)cc1C[NH+]1CC2(C1)CC(F)(F)C2 ZINC001143758158 1131532418 /nfs/dbraw/zinc/53/24/18/1131532418.db2.gz YNRLKECOLNKTGW-UHFFFAOYSA-N 1 2 273.273 3.504 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(OC(F)(F)F)cc2F)C[C@@H]1F ZINC001143781007 1131533446 /nfs/dbraw/zinc/53/34/46/1131533446.db2.gz HODRTVPCGCOCCU-PELKAZGASA-N 1 2 295.251 3.514 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(OC(F)(F)F)cc2F)C[C@@H]1F ZINC001143781007 1131533448 /nfs/dbraw/zinc/53/34/48/1131533448.db2.gz HODRTVPCGCOCCU-PELKAZGASA-N 1 2 295.251 3.514 20 0 CHADLO COCC[N@H+](Cc1c(F)ccc(Cl)c1Cl)C(C)C ZINC001143861037 1131539246 /nfs/dbraw/zinc/53/92/46/1131539246.db2.gz YPGPZABNZWWWDI-UHFFFAOYSA-N 1 2 294.197 3.989 20 0 CHADLO COCC[N@@H+](Cc1c(F)ccc(Cl)c1Cl)C(C)C ZINC001143861037 1131539248 /nfs/dbraw/zinc/53/92/48/1131539248.db2.gz YPGPZABNZWWWDI-UHFFFAOYSA-N 1 2 294.197 3.989 20 0 CHADLO CC(C)c1ccccc1OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000844287977 1131547370 /nfs/dbraw/zinc/54/73/70/1131547370.db2.gz PDAJIPBZYFGARX-ZDUSSCGKSA-N 1 2 272.348 3.563 20 0 CHADLO Cc1cc(OC(=O)C[C@H](C)n2cc[nH+]c2)cc(C)c1Cl ZINC000844332015 1131549261 /nfs/dbraw/zinc/54/92/61/1131549261.db2.gz OVSULKLOKXZQKJ-LBPRGKRZSA-N 1 2 292.766 3.710 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(F)cc2)c(F)c1F ZINC001143902783 1131550078 /nfs/dbraw/zinc/55/00/78/1131550078.db2.gz MGYUYUMHEWRCDE-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(F)cc2)c(F)c1F ZINC001143902783 1131550080 /nfs/dbraw/zinc/55/00/80/1131550080.db2.gz MGYUYUMHEWRCDE-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ccnc(Cl)c2)cn1 ZINC001138819943 1131552027 /nfs/dbraw/zinc/55/20/27/1131552027.db2.gz PVHZEXQIHDUVOR-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ccnc(Cl)c2)cn1 ZINC001138819943 1131552031 /nfs/dbraw/zinc/55/20/31/1131552031.db2.gz PVHZEXQIHDUVOR-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1c(C[N@@H+]2CCOC[C@@H]2C2CC2)cccc1C(F)(F)F ZINC001143929560 1131553234 /nfs/dbraw/zinc/55/32/34/1131553234.db2.gz RBGWRVDDTHJOKN-OAHLLOKOSA-N 1 2 299.336 3.625 20 0 CHADLO Cc1c(C[N@H+]2CCOC[C@@H]2C2CC2)cccc1C(F)(F)F ZINC001143929560 1131553238 /nfs/dbraw/zinc/55/32/38/1131553238.db2.gz RBGWRVDDTHJOKN-OAHLLOKOSA-N 1 2 299.336 3.625 20 0 CHADLO Clc1cc(C[N@@H+]2CCC23CCCC3)cc(Cl)n1 ZINC000844360156 1131554722 /nfs/dbraw/zinc/55/47/22/1131554722.db2.gz PUJHDGQPFDYOID-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Clc1cc(C[N@H+]2CCC23CCCC3)cc(Cl)n1 ZINC000844360156 1131554727 /nfs/dbraw/zinc/55/47/27/1131554727.db2.gz PUJHDGQPFDYOID-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Cc1oncc1C[N@@H+]1CCc2oc(-c3ccccc3)cc2C1 ZINC000844364509 1131554750 /nfs/dbraw/zinc/55/47/50/1131554750.db2.gz SZVRXLPBZHZVHJ-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1oncc1C[N@H+]1CCc2oc(-c3ccccc3)cc2C1 ZINC000844364509 1131554754 /nfs/dbraw/zinc/55/47/54/1131554754.db2.gz SZVRXLPBZHZVHJ-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1cc(NC(=O)/C=C\C2CCCC2)ccc1-n1cc[nH+]c1 ZINC001143940138 1131556378 /nfs/dbraw/zinc/55/63/78/1131556378.db2.gz URTSNPFOVFHNCK-TWGQIWQCSA-N 1 2 295.386 3.866 20 0 CHADLO CCSc1ccccc1OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000844394222 1131556439 /nfs/dbraw/zinc/55/64/39/1131556439.db2.gz QCVKCHHNGOMSRU-GFCCVEGCSA-N 1 2 290.388 3.552 20 0 CHADLO C[N@H+](Cc1cnc2ccccc2n1)Cc1ccc(F)cc1F ZINC001138867519 1131556465 /nfs/dbraw/zinc/55/64/65/1131556465.db2.gz LAAOSEKMUWIOMQ-UHFFFAOYSA-N 1 2 299.324 3.540 20 0 CHADLO C[N@@H+](Cc1cnc2ccccc2n1)Cc1ccc(F)cc1F ZINC001138867519 1131556466 /nfs/dbraw/zinc/55/64/66/1131556466.db2.gz LAAOSEKMUWIOMQ-UHFFFAOYSA-N 1 2 299.324 3.540 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957168 1131558887 /nfs/dbraw/zinc/55/88/87/1131558887.db2.gz LDNRLEKPGWVAJO-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957168 1131558890 /nfs/dbraw/zinc/55/88/90/1131558890.db2.gz LDNRLEKPGWVAJO-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO Clc1nc(C[N@@H+]2CCC[C@@]3(CCSC3)C2)cs1 ZINC000832510689 1131562380 /nfs/dbraw/zinc/56/23/80/1131562380.db2.gz BRKPVSSWOVDJKY-GFCCVEGCSA-N 1 2 288.869 3.516 20 0 CHADLO Clc1nc(C[N@H+]2CCC[C@@]3(CCSC3)C2)cs1 ZINC000832510689 1131562381 /nfs/dbraw/zinc/56/23/81/1131562381.db2.gz BRKPVSSWOVDJKY-GFCCVEGCSA-N 1 2 288.869 3.516 20 0 CHADLO CCCOc1ccccc1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC001138999005 1131569629 /nfs/dbraw/zinc/56/96/29/1131569629.db2.gz UQNMNIJDZADPIU-HNNXBMFYSA-N 1 2 284.403 3.854 20 0 CHADLO CCCOc1ccccc1C[N@H+]1CCn2cccc2[C@@H]1C ZINC001138999005 1131569635 /nfs/dbraw/zinc/56/96/35/1131569635.db2.gz UQNMNIJDZADPIU-HNNXBMFYSA-N 1 2 284.403 3.854 20 0 CHADLO Cc1ccc(COC(=O)C[C@H](C)n2cc[nH+]c2)c(Cl)c1 ZINC000844500183 1131573504 /nfs/dbraw/zinc/57/35/04/1131573504.db2.gz PTMQWXYCVZJISD-LBPRGKRZSA-N 1 2 292.766 3.539 20 0 CHADLO CC1(C)CC[N@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139050777 1131575714 /nfs/dbraw/zinc/57/57/14/1131575714.db2.gz CHMVBPINBWTAJK-UHFFFAOYSA-N 1 2 259.727 3.850 20 0 CHADLO CC1(C)CC[N@@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139050777 1131575715 /nfs/dbraw/zinc/57/57/15/1131575715.db2.gz CHMVBPINBWTAJK-UHFFFAOYSA-N 1 2 259.727 3.850 20 0 CHADLO CSC1CC[NH+](Cc2c(F)cc(Cl)cc2F)CC1 ZINC001139051673 1131576285 /nfs/dbraw/zinc/57/62/85/1131576285.db2.gz USERDRSLTMQTIR-UHFFFAOYSA-N 1 2 291.794 3.946 20 0 CHADLO C[N@H+](Cc1ccccc1Oc1ccccc1)C1(C)COC1 ZINC001139056258 1131576562 /nfs/dbraw/zinc/57/65/62/1131576562.db2.gz QYZNZEWAZXRHBO-UHFFFAOYSA-N 1 2 283.371 3.700 20 0 CHADLO C[N@@H+](Cc1ccccc1Oc1ccccc1)C1(C)COC1 ZINC001139056258 1131576566 /nfs/dbraw/zinc/57/65/66/1131576566.db2.gz QYZNZEWAZXRHBO-UHFFFAOYSA-N 1 2 283.371 3.700 20 0 CHADLO c1ccc(Oc2ccccc2C[N@@H+]2CCOC3(CC3)C2)cc1 ZINC001139055278 1131577517 /nfs/dbraw/zinc/57/75/17/1131577517.db2.gz LEQGPYPHAVRSNY-UHFFFAOYSA-N 1 2 295.382 3.844 20 0 CHADLO c1ccc(Oc2ccccc2C[N@H+]2CCOC3(CC3)C2)cc1 ZINC001139055278 1131577518 /nfs/dbraw/zinc/57/75/18/1131577518.db2.gz LEQGPYPHAVRSNY-UHFFFAOYSA-N 1 2 295.382 3.844 20 0 CHADLO C[C@@H](CC(=O)O[C@@H](C)c1ccc(Cl)cc1)n1cc[nH+]c1 ZINC000844571847 1131581771 /nfs/dbraw/zinc/58/17/71/1131581771.db2.gz VBWMAXKQTBRMKS-RYUDHWBXSA-N 1 2 292.766 3.792 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1c(C)n[nH]c1C ZINC001139103026 1131582087 /nfs/dbraw/zinc/58/20/87/1131582087.db2.gz RWLRZDLWUKMRKX-QGZVFWFLSA-N 1 2 299.418 3.510 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1c(C)n[nH]c1C ZINC001139103026 1131582090 /nfs/dbraw/zinc/58/20/90/1131582090.db2.gz RWLRZDLWUKMRKX-QGZVFWFLSA-N 1 2 299.418 3.510 20 0 CHADLO CCOc1ccc(C)cc1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC001139606848 1131620357 /nfs/dbraw/zinc/62/03/57/1131620357.db2.gz DNWSICVVVISQLB-HNNXBMFYSA-N 1 2 284.403 3.772 20 0 CHADLO CCOc1ccc(C)cc1C[N@H+]1CCn2cccc2[C@@H]1C ZINC001139606848 1131620360 /nfs/dbraw/zinc/62/03/60/1131620360.db2.gz DNWSICVVVISQLB-HNNXBMFYSA-N 1 2 284.403 3.772 20 0 CHADLO Cc1nc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)sc1C ZINC001144375636 1131622111 /nfs/dbraw/zinc/62/21/11/1131622111.db2.gz UFXQGSCHGSGEPZ-OAHLLOKOSA-N 1 2 294.464 3.541 20 0 CHADLO Cc1nc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)sc1C ZINC001144375636 1131622113 /nfs/dbraw/zinc/62/21/13/1131622113.db2.gz UFXQGSCHGSGEPZ-OAHLLOKOSA-N 1 2 294.464 3.541 20 0 CHADLO CCCC[C@@H](CCC)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845282972 1131624094 /nfs/dbraw/zinc/62/40/94/1131624094.db2.gz WLIBKBWFIGJSHB-UONOGXRCSA-N 1 2 266.385 3.736 20 0 CHADLO c1ccc2c(c1)oc1ccc(C[NH+]3CC4(C3)CCCO4)cc12 ZINC001139752003 1131634123 /nfs/dbraw/zinc/63/41/23/1131634123.db2.gz LKNHKCJTCRTCBJ-UHFFFAOYSA-N 1 2 293.366 3.951 20 0 CHADLO Cc1ccc(C(C)C)c(OC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000845441476 1131635465 /nfs/dbraw/zinc/63/54/65/1131635465.db2.gz SHZHQLQCRQWILD-CQSZACIVSA-N 1 2 286.375 3.872 20 0 CHADLO CC[C@H](COC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000845460909 1131636237 /nfs/dbraw/zinc/63/62/37/1131636237.db2.gz HJFUQFDAKJBGRS-HUUCEWRRSA-N 1 2 286.375 3.571 20 0 CHADLO FC(F)c1ccccc1C[NH+]1CC(Oc2ccccc2)C1 ZINC001139801394 1131637814 /nfs/dbraw/zinc/63/78/14/1131637814.db2.gz SAECPHVMBAGHTM-UHFFFAOYSA-N 1 2 289.325 3.887 20 0 CHADLO COCc1ccc(C[N@H+](C)Cc2ccc(F)cc2F)s1 ZINC001139831319 1131640213 /nfs/dbraw/zinc/64/02/13/1131640213.db2.gz LPTQWLZMIKSBEM-UHFFFAOYSA-N 1 2 297.370 3.805 20 0 CHADLO COCc1ccc(C[N@@H+](C)Cc2ccc(F)cc2F)s1 ZINC001139831319 1131640216 /nfs/dbraw/zinc/64/02/16/1131640216.db2.gz LPTQWLZMIKSBEM-UHFFFAOYSA-N 1 2 297.370 3.805 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ccncc2Cl)cn1 ZINC001139847723 1131641458 /nfs/dbraw/zinc/64/14/58/1131641458.db2.gz MTPWOLIPMBLPOY-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ccncc2Cl)cn1 ZINC001139847723 1131641459 /nfs/dbraw/zinc/64/14/59/1131641459.db2.gz MTPWOLIPMBLPOY-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO COc1cc(Cl)cc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c1 ZINC001144478071 1131641686 /nfs/dbraw/zinc/64/16/86/1131641686.db2.gz AKUVBUSASOZVNQ-QMTHXVAHSA-N 1 2 271.763 3.529 20 0 CHADLO COc1cc(Cl)cc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c1 ZINC001144478071 1131641688 /nfs/dbraw/zinc/64/16/88/1131641688.db2.gz AKUVBUSASOZVNQ-QMTHXVAHSA-N 1 2 271.763 3.529 20 0 CHADLO CC[C@H]1COCC[N@@H+]1Cc1cc(C(F)(F)F)ccc1C ZINC001139887650 1131646825 /nfs/dbraw/zinc/64/68/25/1131646825.db2.gz WLHLCLFRBPMJPG-AWEZNQCLSA-N 1 2 287.325 3.625 20 0 CHADLO CC[C@H]1COCC[N@H+]1Cc1cc(C(F)(F)F)ccc1C ZINC001139887650 1131646828 /nfs/dbraw/zinc/64/68/28/1131646828.db2.gz WLHLCLFRBPMJPG-AWEZNQCLSA-N 1 2 287.325 3.625 20 0 CHADLO Fc1ccc(C[N@@H+]2Cc3ccncc3C2)c2ccccc12 ZINC001139891439 1131647798 /nfs/dbraw/zinc/64/77/98/1131647798.db2.gz YVUUFWDJBZVWRH-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1ccc(C[N@H+]2Cc3ccncc3C2)c2ccccc12 ZINC001139891439 1131647800 /nfs/dbraw/zinc/64/78/00/1131647800.db2.gz YVUUFWDJBZVWRH-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Cn1ncc2ccc(C[N@@H+]3Cc4ccc(Cl)cc4C3)cc21 ZINC001139907824 1131650138 /nfs/dbraw/zinc/65/01/38/1131650138.db2.gz OMJZANGIWVPELA-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1ncc2ccc(C[N@H+]3Cc4ccc(Cl)cc4C3)cc21 ZINC001139907824 1131650140 /nfs/dbraw/zinc/65/01/40/1131650140.db2.gz OMJZANGIWVPELA-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCCc3ccccc3C2)c(F)c1 ZINC001144555133 1131656855 /nfs/dbraw/zinc/65/68/55/1131656855.db2.gz WQMIOLDTDIXJAT-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCCc3ccccc3C2)c(F)c1 ZINC001144555133 1131656856 /nfs/dbraw/zinc/65/68/56/1131656856.db2.gz WQMIOLDTDIXJAT-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO COc1ccccc1[C@@H](C)[N@H+](C)Cc1cc(O)ccc1F ZINC001140051610 1131658568 /nfs/dbraw/zinc/65/85/68/1131658568.db2.gz PBRPMFNWHIIPNI-GFCCVEGCSA-N 1 2 289.350 3.733 20 0 CHADLO COc1ccccc1[C@@H](C)[N@@H+](C)Cc1cc(O)ccc1F ZINC001140051610 1131658570 /nfs/dbraw/zinc/65/85/70/1131658570.db2.gz PBRPMFNWHIIPNI-GFCCVEGCSA-N 1 2 289.350 3.733 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1)Cc1cc(O)ccc1F ZINC001140047586 1131659084 /nfs/dbraw/zinc/65/90/84/1131659084.db2.gz KBIJZKQTWDKFQA-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1)Cc1cc(O)ccc1F ZINC001140047586 1131659088 /nfs/dbraw/zinc/65/90/88/1131659088.db2.gz KBIJZKQTWDKFQA-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO CC[C@@H](Nc1ccn2cc(N)[nH+]c2c1)c1ccccc1C ZINC001171199510 1131660981 /nfs/dbraw/zinc/66/09/81/1131660981.db2.gz FKRXOIXRHPGCCA-OAHLLOKOSA-N 1 2 280.375 3.788 20 0 CHADLO Oc1cc(F)c(C[NH+]2CCC3(CC[C@@H](F)C3)CC2)cc1F ZINC001144657833 1131676042 /nfs/dbraw/zinc/67/60/42/1131676042.db2.gz KEWUJQJCABRVFR-GFCCVEGCSA-N 1 2 299.336 3.775 20 0 CHADLO COc1ccc(Nc2ccc(C)c[nH+]2)cc1OC(C)C ZINC001203012439 1131677364 /nfs/dbraw/zinc/67/73/64/1131677364.db2.gz HMOGQFPSKGVERL-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+]Cc1c(F)ccc(O)c1F)CC2 ZINC000846055503 1131685741 /nfs/dbraw/zinc/68/57/41/1131685741.db2.gz MWCAMSJGDZJBRE-HNNXBMFYSA-N 1 2 289.325 3.756 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CCC[C@H](F)C2)c1 ZINC000846120488 1131688429 /nfs/dbraw/zinc/68/84/29/1131688429.db2.gz QAMOJXTYYNFXGQ-LBPRGKRZSA-N 1 2 273.298 3.530 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CCC[C@H](F)C2)c1 ZINC000846120488 1131688434 /nfs/dbraw/zinc/68/84/34/1131688434.db2.gz QAMOJXTYYNFXGQ-LBPRGKRZSA-N 1 2 273.298 3.530 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+][C@H](C1CC1)C1CCC1 ZINC000834706362 1131696136 /nfs/dbraw/zinc/69/61/36/1131696136.db2.gz VMUZJADDYADEIE-SFHVURJKSA-N 1 2 286.419 3.514 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc3scnc3c2)C[C@H]1F ZINC001144733043 1131697027 /nfs/dbraw/zinc/69/70/27/1131697027.db2.gz UXHQFYGGDVKUEL-ZIAGYGMSSA-N 1 2 282.359 3.568 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc3scnc3c2)C[C@H]1F ZINC001144733043 1131697030 /nfs/dbraw/zinc/69/70/30/1131697030.db2.gz UXHQFYGGDVKUEL-ZIAGYGMSSA-N 1 2 282.359 3.568 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H]1CCn2ccnc21)c1cc(F)ccc1F ZINC000834829987 1131708532 /nfs/dbraw/zinc/70/85/32/1131708532.db2.gz ATSFFHGPEDAWIN-CABCVRRESA-N 1 2 291.345 3.593 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+]Cc1cc(F)cnc1Cl ZINC000834841666 1131710617 /nfs/dbraw/zinc/71/06/17/1131710617.db2.gz YDCFLOSEXSGMBN-QMMMGPOBSA-N 1 2 297.761 3.584 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(Cl)c(F)c2)co1 ZINC000834897363 1131721846 /nfs/dbraw/zinc/72/18/46/1131721846.db2.gz FSOUPZBJWKNNKM-VIFPVBQESA-N 1 2 282.746 3.880 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CC3(CCC3)Oc3ccccc32)co1 ZINC000834899516 1131723175 /nfs/dbraw/zinc/72/31/75/1131723175.db2.gz ICFQKPPEMDPWMX-HNNXBMFYSA-N 1 2 298.386 3.773 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2cccc(OC(F)F)c2)co1 ZINC000834901373 1131726014 /nfs/dbraw/zinc/72/60/14/1131726014.db2.gz SYYYUBYUDNOGQX-SNVBAGLBSA-N 1 2 296.317 3.689 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@H+]1C/C(Cl)=C/Cl ZINC000794433585 1131726789 /nfs/dbraw/zinc/72/67/89/1131726789.db2.gz BODZZLCKRHIIGB-HWPCKVLBSA-N 1 2 279.598 3.837 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@@H+]1C/C(Cl)=C/Cl ZINC000794433585 1131726793 /nfs/dbraw/zinc/72/67/93/1131726793.db2.gz BODZZLCKRHIIGB-HWPCKVLBSA-N 1 2 279.598 3.837 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1cnsn1)c1ccc(Cl)cc1 ZINC000846772005 1131737551 /nfs/dbraw/zinc/73/75/51/1131737551.db2.gz XVQZACSLUOEWEG-ZDUSSCGKSA-N 1 2 281.812 3.678 20 0 CHADLO CCCc1ccc([C@H]([NH2+]Cc2cnsn2)C(C)C)cc1 ZINC000846818459 1131746197 /nfs/dbraw/zinc/74/61/97/1131746197.db2.gz UBVBOSGNTCXFJC-MRXNPFEDSA-N 1 2 289.448 3.978 20 0 CHADLO CCCc1ccc([C@@H]([NH2+]Cc2cnsn2)C(C)C)cc1 ZINC000846818457 1131746786 /nfs/dbraw/zinc/74/67/86/1131746786.db2.gz UBVBOSGNTCXFJC-INIZCTEOSA-N 1 2 289.448 3.978 20 0 CHADLO CC1(C)C[C@H](c2ccccc2)[N@H+](Cc2cnsn2)C1 ZINC000846998610 1131768760 /nfs/dbraw/zinc/76/87/60/1131768760.db2.gz MJBWFPCIHGUAAX-CQSZACIVSA-N 1 2 273.405 3.511 20 0 CHADLO CC1(C)C[C@H](c2ccccc2)[N@@H+](Cc2cnsn2)C1 ZINC000846998610 1131768765 /nfs/dbraw/zinc/76/87/65/1131768765.db2.gz MJBWFPCIHGUAAX-CQSZACIVSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(F)cc(F)cc2F)CCC1(F)F ZINC001140530146 1131781144 /nfs/dbraw/zinc/78/11/44/1131781144.db2.gz RDAKSTRXNARWAP-VIFPVBQESA-N 1 2 293.279 3.971 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@@H](C(F)F)C2)c(F)c1 ZINC001140532883 1131782147 /nfs/dbraw/zinc/78/21/47/1131782147.db2.gz PZMGKOGUHXRMTE-MRVPVSSYSA-N 1 2 279.252 3.581 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@@H](C(F)F)C2)c(F)c1 ZINC001140532883 1131782155 /nfs/dbraw/zinc/78/21/55/1131782155.db2.gz PZMGKOGUHXRMTE-MRVPVSSYSA-N 1 2 279.252 3.581 20 0 CHADLO Clc1cc(C[N@@H+]2CC[C@]3(CCCO3)C2)c(Cl)s1 ZINC001140584686 1131810811 /nfs/dbraw/zinc/81/08/11/1131810811.db2.gz BYBUDLSCZIXZKA-GFCCVEGCSA-N 1 2 292.231 3.810 20 0 CHADLO Clc1cc(C[N@H+]2CC[C@]3(CCCO3)C2)c(Cl)s1 ZINC001140584686 1131810822 /nfs/dbraw/zinc/81/08/22/1131810822.db2.gz BYBUDLSCZIXZKA-GFCCVEGCSA-N 1 2 292.231 3.810 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@@H+]1Cc1ccccn1 ZINC001204062774 1131827698 /nfs/dbraw/zinc/82/76/98/1131827698.db2.gz QTOCMYBPGFMIGM-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@H+]1Cc1ccccn1 ZINC001204062774 1131827700 /nfs/dbraw/zinc/82/77/00/1131827700.db2.gz QTOCMYBPGFMIGM-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2cc(F)c(Cl)cc2F)[C@@H](C)C1 ZINC001140704390 1131885299 /nfs/dbraw/zinc/88/52/99/1131885299.db2.gz OHFAXMQSHHFCPU-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2cc(F)c(Cl)cc2F)[C@@H](C)C1 ZINC001140704390 1131885305 /nfs/dbraw/zinc/88/53/05/1131885305.db2.gz OHFAXMQSHHFCPU-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO C[N@H+](Cc1ccccn1)Cc1c(Cl)ncc2ccccc21 ZINC001140720597 1131894550 /nfs/dbraw/zinc/89/45/50/1131894550.db2.gz TXALZFBJFTUZIH-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@@H+](Cc1ccccn1)Cc1c(Cl)ncc2ccccc21 ZINC001140720597 1131894559 /nfs/dbraw/zinc/89/45/59/1131894559.db2.gz TXALZFBJFTUZIH-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@@H](C)c1cc(C)on1 ZINC000282780628 1131897500 /nfs/dbraw/zinc/89/75/00/1131897500.db2.gz POBMIBPSHSMYEJ-OLZOCXBDSA-N 1 2 274.364 3.712 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@H](C)c1cc(C)on1 ZINC000282780630 1131898011 /nfs/dbraw/zinc/89/80/11/1131898011.db2.gz POBMIBPSHSMYEJ-QWHCGFSZSA-N 1 2 274.364 3.712 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1ccc(F)cc1C)CC2 ZINC001140781325 1131914634 /nfs/dbraw/zinc/91/46/34/1131914634.db2.gz STEORYWCOBYFGS-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1ccc(F)cc1C)CC2 ZINC001140781325 1131914638 /nfs/dbraw/zinc/91/46/38/1131914638.db2.gz STEORYWCOBYFGS-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1coc3ccccc13)C2 ZINC001140786635 1131917220 /nfs/dbraw/zinc/91/72/20/1131917220.db2.gz JJSFCIOEWKFDAV-UHFFFAOYSA-N 1 2 264.328 3.652 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1coc3ccccc13)C2 ZINC001140786635 1131917227 /nfs/dbraw/zinc/91/72/27/1131917227.db2.gz JJSFCIOEWKFDAV-UHFFFAOYSA-N 1 2 264.328 3.652 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(C)nc2)c(Cl)c1 ZINC001140800506 1131923351 /nfs/dbraw/zinc/92/33/51/1131923351.db2.gz IEGRRSSUFZUYDG-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(C)nc2)c(Cl)c1 ZINC001140800506 1131923357 /nfs/dbraw/zinc/92/33/57/1131923357.db2.gz IEGRRSSUFZUYDG-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO CC1(C)C[NH+](Cc2cc(F)c(C(F)(F)F)c(F)c2)C1 ZINC001140878059 1131957213 /nfs/dbraw/zinc/95/72/13/1131957213.db2.gz NLHWCWFBFYDIBN-UHFFFAOYSA-N 1 2 279.252 3.825 20 0 CHADLO FC(F)(F)c1ccncc1C[N@@H+]1CCc2ccccc2C1 ZINC001140914663 1131961183 /nfs/dbraw/zinc/96/11/83/1131961183.db2.gz RYKAUKSXQSWOFH-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1ccncc1C[N@H+]1CCc2ccccc2C1 ZINC001140914663 1131961185 /nfs/dbraw/zinc/96/11/85/1131961185.db2.gz RYKAUKSXQSWOFH-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1ccccn1 ZINC001204065139 1131978245 /nfs/dbraw/zinc/97/82/45/1131978245.db2.gz KRUOPSINVFKRNF-KRWDZBQOSA-N 1 2 282.387 3.565 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ccccn1 ZINC001204065139 1131978247 /nfs/dbraw/zinc/97/82/47/1131978247.db2.gz KRUOPSINVFKRNF-KRWDZBQOSA-N 1 2 282.387 3.565 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)cc1Cl)c1cn(C)nn1 ZINC000715866625 1131993906 /nfs/dbraw/zinc/99/39/06/1131993906.db2.gz DOLJIUBWWRBRSF-RKDXNWHRSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCC[C@H]1c1c(F)cccc1F ZINC001141055166 1131998956 /nfs/dbraw/zinc/99/89/56/1131998956.db2.gz QSZDODURWRVIAJ-ZDUSSCGKSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncoc1C[N@H+]1CCC[C@H]1c1c(F)cccc1F ZINC001141055166 1131998963 /nfs/dbraw/zinc/99/89/63/1131998963.db2.gz QSZDODURWRVIAJ-ZDUSSCGKSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001141057379 1131999687 /nfs/dbraw/zinc/99/96/87/1131999687.db2.gz KCGRPURKJNMNNM-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncoc1C[N@H+]1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001141057379 1131999695 /nfs/dbraw/zinc/99/96/95/1131999695.db2.gz KCGRPURKJNMNNM-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC[C@H](F)C2)c1Br ZINC001141091727 1132011047 /nfs/dbraw/zinc/01/10/47/1132011047.db2.gz RFJAOQPFKWYIHT-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cccc(C[N@H+]2CCC[C@H](F)C2)c1Br ZINC001141091727 1132011052 /nfs/dbraw/zinc/01/10/52/1132011052.db2.gz RFJAOQPFKWYIHT-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC[C@@H]2CF)c1Br ZINC001141093259 1132012609 /nfs/dbraw/zinc/01/26/09/1132012609.db2.gz SJALEMUSSWHENM-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cccc(C[N@H+]2CCC[C@@H]2CF)c1Br ZINC001141093259 1132012616 /nfs/dbraw/zinc/01/26/16/1132012616.db2.gz SJALEMUSSWHENM-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO CC[N@H+](C)Cc1c(Cl)nc(Cl)cc1C(F)(F)F ZINC001141097560 1132015132 /nfs/dbraw/zinc/01/51/32/1132015132.db2.gz PMNMPAIGLIGBFD-UHFFFAOYSA-N 1 2 287.112 3.859 20 0 CHADLO CC[N@@H+](C)Cc1c(Cl)nc(Cl)cc1C(F)(F)F ZINC001141097560 1132015140 /nfs/dbraw/zinc/01/51/40/1132015140.db2.gz PMNMPAIGLIGBFD-UHFFFAOYSA-N 1 2 287.112 3.859 20 0 CHADLO COC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccc(C(C)(C)C)c1 ZINC001141102950 1132017302 /nfs/dbraw/zinc/01/73/02/1132017302.db2.gz WKXOTEVWRQLGAR-INIZCTEOSA-N 1 2 289.419 3.512 20 0 CHADLO COC(=O)[C@@H]1CCCC[N@H+]1Cc1cccc(C(C)(C)C)c1 ZINC001141102950 1132017311 /nfs/dbraw/zinc/01/73/11/1132017311.db2.gz WKXOTEVWRQLGAR-INIZCTEOSA-N 1 2 289.419 3.512 20 0 CHADLO CCCC[C@@H](C)[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000037232723 1132023645 /nfs/dbraw/zinc/02/36/45/1132023645.db2.gz AHFNONWVGDBBNF-CQSZACIVSA-N 1 2 262.397 3.514 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2CCCCCCC2)c[nH+]1 ZINC000837105672 1132038523 /nfs/dbraw/zinc/03/85/23/1132038523.db2.gz WHHSHWAPNNJVBT-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO c1ccn(-c2ccc[nH+]c2NCCOC2CCCCC2)c1 ZINC001171474065 1132042882 /nfs/dbraw/zinc/04/28/82/1132042882.db2.gz WEBGQMFYSGSHFM-UHFFFAOYSA-N 1 2 285.391 3.634 20 0 CHADLO COc1cccc2c(NC(C)(C)c3ccncc3)cc[nH+]c12 ZINC001171517010 1132048167 /nfs/dbraw/zinc/04/81/67/1132048167.db2.gz MRJJWRWYVACWGJ-UHFFFAOYSA-N 1 2 293.370 3.986 20 0 CHADLO CN(C)c1cccc(C[N@@H+]2Cc3ccc(Cl)cc3C2)c1 ZINC001141268638 1132064168 /nfs/dbraw/zinc/06/41/68/1132064168.db2.gz WXPNZIPPIDUGNL-UHFFFAOYSA-N 1 2 286.806 3.922 20 0 CHADLO CN(C)c1cccc(C[N@H+]2Cc3ccc(Cl)cc3C2)c1 ZINC001141268638 1132064177 /nfs/dbraw/zinc/06/41/77/1132064177.db2.gz WXPNZIPPIDUGNL-UHFFFAOYSA-N 1 2 286.806 3.922 20 0 CHADLO CCOC(=O)[C@@H]([NH2+][C@H]1C=CCCC1)C1CCCCCC1 ZINC001171612810 1132065385 /nfs/dbraw/zinc/06/53/85/1132065385.db2.gz GEXWDGYIARNCKS-HOTGVXAUSA-N 1 2 279.424 3.587 20 0 CHADLO CCCCCOC(=O)C[NH2+][C@H](C)CCc1ccc(C)cc1 ZINC001171666412 1132071806 /nfs/dbraw/zinc/07/18/06/1132071806.db2.gz WFYQTMIRDNXEHU-MRXNPFEDSA-N 1 2 291.435 3.639 20 0 CHADLO Fc1ccc(Oc2ccc(C[N@@H+]3CCC34COC4)cc2)cc1 ZINC001141317230 1132080750 /nfs/dbraw/zinc/08/07/50/1132080750.db2.gz PBBUYQYPLQYFPS-UHFFFAOYSA-N 1 2 299.345 3.593 20 0 CHADLO Fc1ccc(Oc2ccc(C[N@H+]3CCC34COC4)cc2)cc1 ZINC001141317230 1132080756 /nfs/dbraw/zinc/08/07/56/1132080756.db2.gz PBBUYQYPLQYFPS-UHFFFAOYSA-N 1 2 299.345 3.593 20 0 CHADLO C[C@@H](Cc1ccc(C(F)(F)F)cc1)[NH2+][C@@H]1CC1(F)F ZINC001171958211 1132111149 /nfs/dbraw/zinc/11/11/49/1132111149.db2.gz QWBIIJAHAVQZOQ-GZMMTYOYSA-N 1 2 279.252 3.634 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1ccncc1 ZINC001204081013 1132118896 /nfs/dbraw/zinc/11/88/96/1132118896.db2.gz GWFBVVIMHFGPEV-DLBZAZTESA-N 1 2 276.424 3.845 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1ccncc1 ZINC001204081013 1132118902 /nfs/dbraw/zinc/11/89/02/1132118902.db2.gz GWFBVVIMHFGPEV-DLBZAZTESA-N 1 2 276.424 3.845 20 0 CHADLO C[C@@H](Cc1ccccc1)Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229954700 1132137023 /nfs/dbraw/zinc/13/70/23/1132137023.db2.gz UXHVRJWEAGXNRK-HNNXBMFYSA-N 1 2 278.355 3.882 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@H]2c2ccccc2)c(Cl)n1 ZINC000838862594 1132153149 /nfs/dbraw/zinc/15/31/49/1132153149.db2.gz DWHZRCMNASSTQU-HNNXBMFYSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@H]2c2ccccc2)c(Cl)n1 ZINC000838862594 1132153153 /nfs/dbraw/zinc/15/31/53/1132153153.db2.gz DWHZRCMNASSTQU-HNNXBMFYSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2cccc(O)c2)c(Cl)n1 ZINC000838894283 1132156346 /nfs/dbraw/zinc/15/63/46/1132156346.db2.gz GXFNVONTYPRMGZ-GFCCVEGCSA-N 1 2 290.794 3.942 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2cccc(O)c2)c(Cl)n1 ZINC000838894283 1132156349 /nfs/dbraw/zinc/15/63/49/1132156349.db2.gz GXFNVONTYPRMGZ-GFCCVEGCSA-N 1 2 290.794 3.942 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@@H+]1CC[C@H](C)C(F)(F)C1 ZINC001172335658 1132173773 /nfs/dbraw/zinc/17/37/73/1132173773.db2.gz FQAWOFRZERPXJP-XQQFMLRXSA-N 1 2 263.372 3.557 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@H+]1CC[C@H](C)C(F)(F)C1 ZINC001172335658 1132173779 /nfs/dbraw/zinc/17/37/79/1132173779.db2.gz FQAWOFRZERPXJP-XQQFMLRXSA-N 1 2 263.372 3.557 20 0 CHADLO COc1cc(C(F)(F)F)ccc1C[N@@H+]1CCC[C@H](F)C1 ZINC001141608632 1132205658 /nfs/dbraw/zinc/20/56/58/1132205658.db2.gz GIMPLESRNZCSQM-LBPRGKRZSA-N 1 2 291.288 3.648 20 0 CHADLO COc1cc(C(F)(F)F)ccc1C[N@H+]1CCC[C@H](F)C1 ZINC001141608632 1132205665 /nfs/dbraw/zinc/20/56/65/1132205665.db2.gz GIMPLESRNZCSQM-LBPRGKRZSA-N 1 2 291.288 3.648 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cc3cccnc3cc2F)C[C@H]1F ZINC001141623389 1132213955 /nfs/dbraw/zinc/21/39/55/1132213955.db2.gz FPXKPSNLJCWOKW-HZPDHXFCSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cc3cccnc3cc2F)C[C@H]1F ZINC001141623389 1132213958 /nfs/dbraw/zinc/21/39/58/1132213958.db2.gz FPXKPSNLJCWOKW-HZPDHXFCSA-N 1 2 294.320 3.646 20 0 CHADLO Oc1cc2c(cc1OC1CCCCCCC1)CC[NH+]=C2 ZINC001230921230 1132227944 /nfs/dbraw/zinc/22/79/44/1132227944.db2.gz HMTXFWQAIPPXKC-UHFFFAOYSA-N 1 2 273.376 3.859 20 0 CHADLO CCCCC[C@@H](C)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230919621 1132227987 /nfs/dbraw/zinc/22/79/87/1132227987.db2.gz FVRIWZRZCAECFA-GFCCVEGCSA-N 1 2 261.365 3.715 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Oc1cc3c(cc1O)C=[NH+]CC3)CC2 ZINC001230916494 1132228082 /nfs/dbraw/zinc/22/80/82/1132228082.db2.gz ZVGTZLWAGKPWJY-SFHVURJKSA-N 1 2 293.366 3.742 20 0 CHADLO C[C@@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1ccncc1 ZINC001231078270 1132252021 /nfs/dbraw/zinc/25/20/21/1132252021.db2.gz AGJOFQINESDHED-CYBMUJFWSA-N 1 2 279.343 3.622 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@H]2CCC(F)(F)C2)cc1 ZINC001231083722 1132253119 /nfs/dbraw/zinc/25/31/19/1132253119.db2.gz OFNOJCRFSHNSIA-ZDUSSCGKSA-N 1 2 278.302 3.654 20 0 CHADLO C[C@@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ncccc1F ZINC001231080127 1132253367 /nfs/dbraw/zinc/25/33/67/1132253367.db2.gz AASPXXTXZCCSPG-LLVKDONJSA-N 1 2 283.306 3.751 20 0 CHADLO C[C@@H](COc1ccccc1)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231081829 1132253785 /nfs/dbraw/zinc/25/37/85/1132253785.db2.gz OENDJPAMNIMYMG-AWEZNQCLSA-N 1 2 294.354 3.923 20 0 CHADLO c1c[nH+]c(-c2ccc(O[C@@H]3CCOc4ccccc43)cc2)[nH]1 ZINC001231080816 1132253944 /nfs/dbraw/zinc/25/39/44/1132253944.db2.gz IEGSXJDHSZOMLJ-QGZVFWFLSA-N 1 2 292.338 3.979 20 0 CHADLO C[C@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1ccncc1F ZINC001231083631 1132254072 /nfs/dbraw/zinc/25/40/72/1132254072.db2.gz MBMVPTUMKBZRED-LBPRGKRZSA-N 1 2 297.333 3.761 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2coc3ccc(F)cc23)C[C@@H]1F ZINC001141847066 1132256114 /nfs/dbraw/zinc/25/61/14/1132256114.db2.gz VTOBISGJWVLSSL-LSDHHAIUSA-N 1 2 283.293 3.844 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2coc3ccc(F)cc23)C[C@@H]1F ZINC001141847066 1132256124 /nfs/dbraw/zinc/25/61/24/1132256124.db2.gz VTOBISGJWVLSSL-LSDHHAIUSA-N 1 2 283.293 3.844 20 0 CHADLO C[N@H+](Cc1sccc1Oc1ccccc1)C1(C)COC1 ZINC001142001180 1132290551 /nfs/dbraw/zinc/29/05/51/1132290551.db2.gz JABFGZVKVAKYBX-UHFFFAOYSA-N 1 2 289.400 3.761 20 0 CHADLO C[N@@H+](Cc1sccc1Oc1ccccc1)C1(C)COC1 ZINC001142001180 1132290560 /nfs/dbraw/zinc/29/05/60/1132290560.db2.gz JABFGZVKVAKYBX-UHFFFAOYSA-N 1 2 289.400 3.761 20 0 CHADLO COc1cc(C)c([C@@H](C)[NH2+][C@@H](C)c2csnn2)cc1C ZINC000840885912 1132301711 /nfs/dbraw/zinc/30/17/11/1132301711.db2.gz IKTKKYVUYHOQHJ-NEPJUHHUSA-N 1 2 291.420 3.575 20 0 CHADLO COc1cc([NH2+]C[C@@H](C)Cc2ccccc2)ccc1N ZINC000841043568 1132324503 /nfs/dbraw/zinc/32/45/03/1132324503.db2.gz XKKMBWQHSRGYBQ-ZDUSSCGKSA-N 1 2 270.376 3.568 20 0 CHADLO COc1cc(C)c(C[N@@H+]2CCn3cccc3C2)cc1C(C)C ZINC001231626170 1132329795 /nfs/dbraw/zinc/32/97/95/1132329795.db2.gz JECAFZXDXICJCM-UHFFFAOYSA-N 1 2 298.430 3.944 20 0 CHADLO COc1cc(C)c(C[N@H+]2CCn3cccc3C2)cc1C(C)C ZINC001231626170 1132329802 /nfs/dbraw/zinc/32/98/02/1132329802.db2.gz JECAFZXDXICJCM-UHFFFAOYSA-N 1 2 298.430 3.944 20 0 CHADLO CC(C)Oc1cc(-c2cccn3cc[nH+]c23)ccc1F ZINC001206178793 1132332129 /nfs/dbraw/zinc/33/21/29/1132332129.db2.gz BQNJLEUOTNSVCR-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ccccc2Cl)cn1 ZINC001231653561 1132336850 /nfs/dbraw/zinc/33/68/50/1132336850.db2.gz SSQKWFCFBXBNJK-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ccccc2Cl)cn1 ZINC001231653561 1132336857 /nfs/dbraw/zinc/33/68/57/1132336857.db2.gz SSQKWFCFBXBNJK-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1[C@@H](C)Cc1c(F)cccc1Cl ZINC001172855506 1132342275 /nfs/dbraw/zinc/34/22/75/1132342275.db2.gz FNXHEXHAUGUUAL-MEDUHNTESA-N 1 2 297.801 3.854 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1[C@@H](C)Cc1c(F)cccc1Cl ZINC001172855506 1132342283 /nfs/dbraw/zinc/34/22/83/1132342283.db2.gz FNXHEXHAUGUUAL-MEDUHNTESA-N 1 2 297.801 3.854 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)c(O)c2)CCC1(F)F ZINC001231730550 1132346805 /nfs/dbraw/zinc/34/68/05/1132346805.db2.gz CEBCWKDITHZZOY-SECBINFHSA-N 1 2 275.726 3.523 20 0 CHADLO Oc1cc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)ccc1Cl ZINC001231738640 1132349979 /nfs/dbraw/zinc/34/99/79/1132349979.db2.gz RXYBHNOUQFWYNA-JTQLQIEISA-N 1 2 293.716 3.820 20 0 CHADLO Oc1cc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)ccc1Cl ZINC001231738640 1132349970 /nfs/dbraw/zinc/34/99/70/1132349970.db2.gz RXYBHNOUQFWYNA-JTQLQIEISA-N 1 2 293.716 3.820 20 0 CHADLO Cc1ccc(-c2ccc(C[NH+]3CCC(=O)CC3)cc2)cc1 ZINC001142300233 1132363870 /nfs/dbraw/zinc/36/38/70/1132363870.db2.gz GYUMQVASDYWGSU-UHFFFAOYSA-N 1 2 279.383 3.827 20 0 CHADLO CC(C)(C)Oc1ccc(C[NH+]2CC3(C2)CCCCO3)cc1 ZINC001231806906 1132369591 /nfs/dbraw/zinc/36/95/91/1132369591.db2.gz KVQOTYDVWWEYJH-UHFFFAOYSA-N 1 2 289.419 3.619 20 0 CHADLO c1cn(Cc2ccc(Nc3cccnc3C3CC3)cc2)c[nH+]1 ZINC001212693954 1132373707 /nfs/dbraw/zinc/37/37/07/1132373707.db2.gz BDKXLWLKUIOPLZ-UHFFFAOYSA-N 1 2 290.370 3.947 20 0 CHADLO CC(=O)c1ccsc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212693939 1132374204 /nfs/dbraw/zinc/37/42/04/1132374204.db2.gz ALMGNOFGIGLDCX-UHFFFAOYSA-N 1 2 297.383 3.939 20 0 CHADLO Cc1ccc2ccccc2c1C[N@@H+]1CCCC(=O)[C@@H](F)C1 ZINC001142372180 1132376864 /nfs/dbraw/zinc/37/68/64/1132376864.db2.gz TZKMTXMOMCSOLO-KRWDZBQOSA-N 1 2 285.362 3.651 20 0 CHADLO Cc1ccc2ccccc2c1C[N@H+]1CCCC(=O)[C@@H](F)C1 ZINC001142372180 1132376871 /nfs/dbraw/zinc/37/68/71/1132376871.db2.gz TZKMTXMOMCSOLO-KRWDZBQOSA-N 1 2 285.362 3.651 20 0 CHADLO O[C@H]1CC[N@@H+](C2CCC3(CCCCC3)CC2)CC1(F)F ZINC001173119046 1132399338 /nfs/dbraw/zinc/39/93/38/1132399338.db2.gz HHVKJCHYULVQBR-AWEZNQCLSA-N 1 2 287.394 3.581 20 0 CHADLO O[C@H]1CC[N@H+](C2CCC3(CCCCC3)CC2)CC1(F)F ZINC001173119046 1132399346 /nfs/dbraw/zinc/39/93/46/1132399346.db2.gz HHVKJCHYULVQBR-AWEZNQCLSA-N 1 2 287.394 3.581 20 0 CHADLO F[C@H]1C[N@H+](Cc2ccc3ccnc(Cl)c3c2)C[C@@H]2C[C@@H]21 ZINC001231980176 1132405991 /nfs/dbraw/zinc/40/59/91/1132405991.db2.gz PGCINQRBKWKEFQ-YDHLFZDLSA-N 1 2 290.769 3.678 20 0 CHADLO F[C@H]1C[N@@H+](Cc2ccc3ccnc(Cl)c3c2)C[C@@H]2C[C@@H]21 ZINC001231980176 1132406004 /nfs/dbraw/zinc/40/60/04/1132406004.db2.gz PGCINQRBKWKEFQ-YDHLFZDLSA-N 1 2 290.769 3.678 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)cc(C)n1 ZINC001232002347 1132409694 /nfs/dbraw/zinc/40/96/94/1132409694.db2.gz BYUSDGCVKDOQMF-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(F)c(F)cc3C2)cc(C)n1 ZINC001232002347 1132409697 /nfs/dbraw/zinc/40/96/97/1132409697.db2.gz BYUSDGCVKDOQMF-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH2+][C@@H](C)Cc1ccc(CC)cc1 ZINC001173236560 1132415246 /nfs/dbraw/zinc/41/52/46/1132415246.db2.gz ZFDUCVBQLWKIMS-GJZGRUSLSA-N 1 2 291.435 3.501 20 0 CHADLO Cc1scnc1C[N@H+](Cc1ccccc1)C1CC1 ZINC001232211491 1132437887 /nfs/dbraw/zinc/43/78/87/1132437887.db2.gz FHFULPDCAKEVCI-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO Cc1scnc1C[N@@H+](Cc1ccccc1)C1CC1 ZINC001232211491 1132437889 /nfs/dbraw/zinc/43/78/89/1132437889.db2.gz FHFULPDCAKEVCI-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccnc(C(F)(F)F)c2)CCC1(F)F ZINC001232237390 1132442353 /nfs/dbraw/zinc/44/23/53/1132442353.db2.gz PCHHODWJPHLZTL-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO CCSC[C@H](C)N1CC[NH+]([C@@H](C)c2ccccc2)CC1 ZINC001173304000 1132448703 /nfs/dbraw/zinc/44/87/03/1132448703.db2.gz CCZXRYANKUTKNN-HOTGVXAUSA-N 1 2 292.492 3.507 20 0 CHADLO CCOc1ncc(C[N@H+](C)Cc2ccccc2F)cc1C ZINC001232305353 1132450881 /nfs/dbraw/zinc/45/08/81/1132450881.db2.gz FHBBYIQETWAYSJ-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CCOc1ncc(C[N@@H+](C)Cc2ccccc2F)cc1C ZINC001232305353 1132450886 /nfs/dbraw/zinc/45/08/86/1132450886.db2.gz FHBBYIQETWAYSJ-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO Cc1cc(N[C@@H](C)c2cc3n(n2)CCCC3)ccc1[NH+](C)C ZINC000842860165 1132472451 /nfs/dbraw/zinc/47/24/51/1132472451.db2.gz DTNKZOMIDZYZNR-AWEZNQCLSA-N 1 2 298.434 3.767 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@@H](C)c2ccc(Cl)cc2)[nH]1 ZINC001232464166 1132474068 /nfs/dbraw/zinc/47/40/68/1132474068.db2.gz UKFVSVHSYONRHK-JTQLQIEISA-N 1 2 263.772 3.565 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@@H](C)c2ccc(Cl)cc2)[nH]1 ZINC001232464166 1132474073 /nfs/dbraw/zinc/47/40/73/1132474073.db2.gz UKFVSVHSYONRHK-JTQLQIEISA-N 1 2 263.772 3.565 20 0 CHADLO Cc1cccc(C2([NH2+][C@@H]3CCCc4[nH]ncc43)CCC2)c1 ZINC000842902462 1132475111 /nfs/dbraw/zinc/47/51/11/1132475111.db2.gz VXOUNOWLGWCWRN-MRXNPFEDSA-N 1 2 281.403 3.765 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCN(C)C[C@H]1c1ccccc1 ZINC001232482443 1132477096 /nfs/dbraw/zinc/47/70/96/1132477096.db2.gz SJYAXNKPOZEYJK-IBGZPJMESA-N 1 2 298.405 3.623 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCN(C)C[C@H]1c1ccccc1 ZINC001232482443 1132477099 /nfs/dbraw/zinc/47/70/99/1132477099.db2.gz SJYAXNKPOZEYJK-IBGZPJMESA-N 1 2 298.405 3.623 20 0 CHADLO COc1cc(Cl)ccc1C[NH+]1CC(C)(C)OC(C)(C)C1 ZINC001232502618 1132481640 /nfs/dbraw/zinc/48/16/40/1132481640.db2.gz WFNIPYMZYVSVGL-UHFFFAOYSA-N 1 2 297.826 3.738 20 0 CHADLO COc1cc(C)c(C[N@@H+]2Cc3cccc(F)c3C2)c(C)c1 ZINC001142934968 1132484569 /nfs/dbraw/zinc/48/45/69/1132484569.db2.gz JMHOAEGBENALDJ-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1cc(C)c(C[N@H+]2Cc3cccc(F)c3C2)c(C)c1 ZINC001142934968 1132484573 /nfs/dbraw/zinc/48/45/73/1132484573.db2.gz JMHOAEGBENALDJ-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO FCc1cccc(C[N@@H+]2CCc3cc(Cl)ccc3C2)n1 ZINC001232540134 1132485337 /nfs/dbraw/zinc/48/53/37/1132485337.db2.gz YPDAQWCQZTUOMV-UHFFFAOYSA-N 1 2 290.769 3.763 20 0 CHADLO FCc1cccc(C[N@H+]2CCc3cc(Cl)ccc3C2)n1 ZINC001232540134 1132485341 /nfs/dbraw/zinc/48/53/41/1132485341.db2.gz YPDAQWCQZTUOMV-UHFFFAOYSA-N 1 2 290.769 3.763 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2ncc(Cl)cc2Cl)CC1 ZINC001232571301 1132487773 /nfs/dbraw/zinc/48/77/73/1132487773.db2.gz PRQNVBGYXBKSQI-SNVBAGLBSA-N 1 2 277.170 3.712 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2ncc(Cl)cc2Cl)CC1 ZINC001232571301 1132487777 /nfs/dbraw/zinc/48/77/77/1132487777.db2.gz PRQNVBGYXBKSQI-SNVBAGLBSA-N 1 2 277.170 3.712 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1ccc3nccn3c1)CC2 ZINC001143033829 1132494443 /nfs/dbraw/zinc/49/44/43/1132494443.db2.gz KEFJQRQDCJFPEJ-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1ccc3nccn3c1)CC2 ZINC001143033829 1132494448 /nfs/dbraw/zinc/49/44/48/1132494448.db2.gz KEFJQRQDCJFPEJ-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cc(F)cc(CO)c1 ZINC001212745660 1132502696 /nfs/dbraw/zinc/50/26/96/1132502696.db2.gz QGUJRRSHJZLSCG-UHFFFAOYSA-N 1 2 274.339 3.888 20 0 CHADLO COc1cc(C)cc(C[N@@H+]2Cc3cccc(OC)c3C2)c1 ZINC001143160533 1132510813 /nfs/dbraw/zinc/51/08/13/1132510813.db2.gz PGPFUBQOQXVFBO-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cc(C)cc(C[N@H+]2Cc3cccc(OC)c3C2)c1 ZINC001143160533 1132510818 /nfs/dbraw/zinc/51/08/18/1132510818.db2.gz PGPFUBQOQXVFBO-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO Cc1cc(C[NH+]2CC3(C2)CC(F)(F)C3)c(F)cc1F ZINC001232780637 1132519809 /nfs/dbraw/zinc/51/98/09/1132519809.db2.gz RAVLZUGTEHYQDB-UHFFFAOYSA-N 1 2 273.273 3.504 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(C)c(F)cc2F)cn1 ZINC001232784574 1132520985 /nfs/dbraw/zinc/52/09/85/1132520985.db2.gz OSPZMMVJACNUII-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(C)c(F)cc2F)cn1 ZINC001232784574 1132520991 /nfs/dbraw/zinc/52/09/91/1132520991.db2.gz OSPZMMVJACNUII-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(Cl)nc2)c(F)cc1F ZINC001232786517 1132522356 /nfs/dbraw/zinc/52/23/56/1132522356.db2.gz NCUGEAWRFJQTHE-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(Cl)nc2)c(F)cc1F ZINC001232786517 1132522363 /nfs/dbraw/zinc/52/23/63/1132522363.db2.gz NCUGEAWRFJQTHE-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO CCOc1ccc(C[N@@H+]2C[C@@H](C)C[C@H]2CF)c(F)c1F ZINC001143268869 1132526734 /nfs/dbraw/zinc/52/67/34/1132526734.db2.gz HZLKMTCLEVEKJZ-JQWIXIFHSA-N 1 2 287.325 3.543 20 0 CHADLO CCOc1ccc(C[N@H+]2C[C@@H](C)C[C@H]2CF)c(F)c1F ZINC001143268869 1132526739 /nfs/dbraw/zinc/52/67/39/1132526739.db2.gz HZLKMTCLEVEKJZ-JQWIXIFHSA-N 1 2 287.325 3.543 20 0 CHADLO Fc1cc(C[NH2+]C2(c3ccccc3F)CCC2)c(F)cn1 ZINC000843424652 1132531686 /nfs/dbraw/zinc/53/16/86/1132531686.db2.gz FJWDHHSWUJKTMO-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]3C[C@H]32)c(Cl)c1F ZINC001232878910 1132536005 /nfs/dbraw/zinc/53/60/05/1132536005.db2.gz LDMCTSTZWSKQQF-GZMMTYOYSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]3C[C@H]32)c(Cl)c1F ZINC001232878910 1132536010 /nfs/dbraw/zinc/53/60/10/1132536010.db2.gz LDMCTSTZWSKQQF-GZMMTYOYSA-N 1 2 257.711 3.603 20 0 CHADLO Cc1ccc(Nc2ccc(N3C[C@@H](C)O[C@@H](C)C3)[nH+]c2)cc1 ZINC001173802776 1132536195 /nfs/dbraw/zinc/53/61/95/1132536195.db2.gz ZUTYRGNDADRXQJ-GASCZTMLSA-N 1 2 297.402 3.747 20 0 CHADLO Fc1ccc(Br)c(C[NH+]2CCCCC2)c1F ZINC001143328054 1132536464 /nfs/dbraw/zinc/53/64/64/1132536464.db2.gz DCBCULPMSOFJLJ-UHFFFAOYSA-N 1 2 290.151 3.713 20 0 CHADLO COc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001173804077 1132537941 /nfs/dbraw/zinc/53/79/41/1132537941.db2.gz ZDLQIRDLEOJXPY-UHFFFAOYSA-N 1 2 279.343 3.684 20 0 CHADLO C[N@H+](Cc1ccno1)Cc1ccc(-c2cccc(F)c2)nc1 ZINC001232887995 1132538039 /nfs/dbraw/zinc/53/80/39/1132538039.db2.gz ULCMOXUQLBUTCT-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1ccno1)Cc1ccc(-c2cccc(F)c2)nc1 ZINC001232887995 1132538046 /nfs/dbraw/zinc/53/80/46/1132538046.db2.gz ULCMOXUQLBUTCT-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO Oc1c(F)ccc(C[N@@H+]2CCC3(C2)CCCCC3)c1F ZINC001232939951 1132543763 /nfs/dbraw/zinc/54/37/63/1132543763.db2.gz VSXKRWYHJAVWRL-UHFFFAOYSA-N 1 2 281.346 3.827 20 0 CHADLO Oc1c(F)ccc(C[N@H+]2CCC3(C2)CCCCC3)c1F ZINC001232939951 1132543770 /nfs/dbraw/zinc/54/37/70/1132543770.db2.gz VSXKRWYHJAVWRL-UHFFFAOYSA-N 1 2 281.346 3.827 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccccc1C(F)(F)F ZINC001173843824 1132546788 /nfs/dbraw/zinc/54/67/88/1132546788.db2.gz JJBZMOQDXJPFMY-UHFFFAOYSA-N 1 2 291.276 3.935 20 0 CHADLO Fc1ccccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001173845145 1132548120 /nfs/dbraw/zinc/54/81/20/1132548120.db2.gz JRHOUOTVGBOUST-UHFFFAOYSA-N 1 2 257.312 3.565 20 0 CHADLO C[N@H+](C/C=C/c1ccccc1)Cc1cc(O)c(F)c(F)c1 ZINC001232976061 1132555912 /nfs/dbraw/zinc/55/59/12/1132555912.db2.gz UZJIBHLBOJSWFN-VMPITWQZSA-N 1 2 289.325 3.816 20 0 CHADLO C[N@@H+](C/C=C/c1ccccc1)Cc1cc(O)c(F)c(F)c1 ZINC001232976061 1132555919 /nfs/dbraw/zinc/55/59/19/1132555919.db2.gz UZJIBHLBOJSWFN-VMPITWQZSA-N 1 2 289.325 3.816 20 0 CHADLO CCOC(=O)c1ccsc1C[N@H+](C)Cc1ccccc1 ZINC001233018378 1132562684 /nfs/dbraw/zinc/56/26/84/1132562684.db2.gz VLZOWWSFBVNZEA-UHFFFAOYSA-N 1 2 289.400 3.557 20 0 CHADLO CCOC(=O)c1ccsc1C[N@@H+](C)Cc1ccccc1 ZINC001233018378 1132562692 /nfs/dbraw/zinc/56/26/92/1132562692.db2.gz VLZOWWSFBVNZEA-UHFFFAOYSA-N 1 2 289.400 3.557 20 0 CHADLO CCc1ccc(Nc2[nH+]cccc2N2CCCC2)cc1 ZINC001173868938 1132572118 /nfs/dbraw/zinc/57/21/18/1132572118.db2.gz ULYREJUJSGXTPK-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(F)cc2F)cnc1C ZINC001233160924 1132574118 /nfs/dbraw/zinc/57/41/18/1132574118.db2.gz LAJZZHFOZSYZGS-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(F)cc2F)cnc1C ZINC001233160924 1132574124 /nfs/dbraw/zinc/57/41/24/1132574124.db2.gz LAJZZHFOZSYZGS-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO C(=Cc1ccccc1)Nc1ccc[nH+]c1N1CCCC1 ZINC001173870566 1132574255 /nfs/dbraw/zinc/57/42/55/1132574255.db2.gz QSPIMEUYYRUTJA-ZRDIBKRKSA-N 1 2 265.360 3.765 20 0 CHADLO Cc1ccc(Nc2c(C)cc(C)c(N)c2C)c(C)[nH+]1 ZINC001203375420 1132577862 /nfs/dbraw/zinc/57/78/62/1132577862.db2.gz ZDCFJTPYDIJKPK-UHFFFAOYSA-N 1 2 255.365 3.950 20 0 CHADLO Cc1ccccc1C(C)(C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001151979899 1132577909 /nfs/dbraw/zinc/57/79/09/1132577909.db2.gz VIDAXHOBKQONEW-UHFFFAOYSA-N 1 2 293.370 3.536 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1ccc(Cl)c(F)c1F ZINC001233208167 1132581183 /nfs/dbraw/zinc/58/11/83/1132581183.db2.gz WQDIGGHQFGHCOF-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1ccc(Cl)c(F)c1F ZINC001233208167 1132581188 /nfs/dbraw/zinc/58/11/88/1132581188.db2.gz WQDIGGHQFGHCOF-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Cc1ccc(Cl)cc1C[N@@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001233248421 1132589474 /nfs/dbraw/zinc/58/94/74/1132589474.db2.gz KQHZCPYRVLPMPM-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(Cl)cc1C[N@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001233248421 1132589480 /nfs/dbraw/zinc/58/94/80/1132589480.db2.gz KQHZCPYRVLPMPM-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(Nc2cccc(Cl)c2CO)c(C)[nH+]1 ZINC001203376117 1132589771 /nfs/dbraw/zinc/58/97/71/1132589771.db2.gz KQXJFNUURCHUJR-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Fc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001173835742 1132590695 /nfs/dbraw/zinc/59/06/95/1132590695.db2.gz SRHSVLOLJGRRIJ-UHFFFAOYSA-N 1 2 253.280 3.755 20 0 CHADLO Clc1ccc(C[N@H+](Cc2ccco2)C2CC2)o1 ZINC001233316772 1132600297 /nfs/dbraw/zinc/60/02/97/1132600297.db2.gz BIXXDWTZXKSYFG-UHFFFAOYSA-N 1 2 251.713 3.691 20 0 CHADLO Clc1ccc(C[N@@H+](Cc2ccco2)C2CC2)o1 ZINC001233316772 1132600300 /nfs/dbraw/zinc/60/03/00/1132600300.db2.gz BIXXDWTZXKSYFG-UHFFFAOYSA-N 1 2 251.713 3.691 20 0 CHADLO CC(=O)c1cccc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001173900381 1132603748 /nfs/dbraw/zinc/60/37/48/1132603748.db2.gz IUDNCNINYPOXBP-UHFFFAOYSA-N 1 2 285.734 3.934 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2cccc(F)c2)cc1F ZINC001233340630 1132605233 /nfs/dbraw/zinc/60/52/33/1132605233.db2.gz LHWHLNBDSMNBHO-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2cccc(F)c2)cc1F ZINC001233340630 1132605237 /nfs/dbraw/zinc/60/52/37/1132605237.db2.gz LHWHLNBDSMNBHO-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO CC(=O)c1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001173901553 1132605629 /nfs/dbraw/zinc/60/56/29/1132605629.db2.gz XESLYFHWRWYHSO-UHFFFAOYSA-N 1 2 291.354 3.878 20 0 CHADLO Fc1cc(F)cc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001173913826 1132609297 /nfs/dbraw/zinc/60/92/97/1132609297.db2.gz DSNYMEPGIBPWSD-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cnc3cccc(Cl)n23)C1 ZINC001233356120 1132610084 /nfs/dbraw/zinc/61/00/84/1132610084.db2.gz ANQVFUDHJWDHPP-HNNXBMFYSA-N 1 2 295.789 3.702 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cnc3cccc(Cl)n23)C1 ZINC001233356120 1132610087 /nfs/dbraw/zinc/61/00/87/1132610087.db2.gz ANQVFUDHJWDHPP-HNNXBMFYSA-N 1 2 295.789 3.702 20 0 CHADLO CCCC[N@H+](CCC)Cc1c(Cl)ncnc1Cl ZINC001233410072 1132619433 /nfs/dbraw/zinc/61/94/33/1132619433.db2.gz YFANXIZDKXVPIA-UHFFFAOYSA-N 1 2 276.211 3.796 20 0 CHADLO CCCC[N@@H+](CCC)Cc1c(Cl)ncnc1Cl ZINC001233410072 1132619437 /nfs/dbraw/zinc/61/94/37/1132619437.db2.gz YFANXIZDKXVPIA-UHFFFAOYSA-N 1 2 276.211 3.796 20 0 CHADLO c1cc(Nc2ccc3c(c2)OCCO3)[nH+]c(C2CCC2)c1 ZINC001173877035 1132620832 /nfs/dbraw/zinc/62/08/32/1132620832.db2.gz HBWHGCBKQQPTPG-UHFFFAOYSA-N 1 2 282.343 3.864 20 0 CHADLO CCc1coc(CNc2cc(C)c3ccccc3[nH+]2)n1 ZINC001154778723 1132623936 /nfs/dbraw/zinc/62/39/36/1132623936.db2.gz RVCNFBFSAPAVBC-UHFFFAOYSA-N 1 2 267.332 3.706 20 0 CHADLO O[C@@H](CNc1[nH+]ccc2cc(Cl)ccc21)c1ccco1 ZINC001154874932 1132629584 /nfs/dbraw/zinc/62/95/84/1132629584.db2.gz BJRWRFZUMBAVOZ-ZDUSSCGKSA-N 1 2 288.734 3.627 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1cnccc1C(F)(F)F ZINC001154900675 1132631244 /nfs/dbraw/zinc/63/12/44/1132631244.db2.gz VFEMLDJQNLJQGQ-UHFFFAOYSA-N 1 2 285.244 3.555 20 0 CHADLO Cc1nc(N[C@@H](CO)CC(C)C)cc(C2CCCCC2)[nH+]1 ZINC001154960037 1132632688 /nfs/dbraw/zinc/63/26/88/1132632688.db2.gz IFDOZMVNYRANKH-OAHLLOKOSA-N 1 2 291.439 3.652 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1ccc(C(C)(C)C)c[nH+]1)C(=O)N2 ZINC001155110686 1132642667 /nfs/dbraw/zinc/64/26/67/1132642667.db2.gz KNSRDVLCSUEUMH-MRXNPFEDSA-N 1 2 295.386 3.793 20 0 CHADLO CCC(CC)[C@H](C)C(=O)N1CCC[C@H]1c1[nH]c(C)c(C)[nH+]1 ZINC001155174194 1132645354 /nfs/dbraw/zinc/64/53/54/1132645354.db2.gz LOVBDMNWIXLSHS-NHYWBVRUSA-N 1 2 291.439 3.762 20 0 CHADLO Cn1cccc1CNc1cc(-c2ccnc(Cl)c2)cc[nH+]1 ZINC001155211307 1132649289 /nfs/dbraw/zinc/64/92/89/1132649289.db2.gz YCHPNNZBSPYFKW-UHFFFAOYSA-N 1 2 298.777 3.748 20 0 CHADLO Cc1cc(Cl)c(C)c(NCc2ccncc2C)[nH+]1 ZINC001155220752 1132649470 /nfs/dbraw/zinc/64/94/70/1132649470.db2.gz UZHIKCBQIWERQG-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO COc1ccc2ccc(NCCc3cccc(O)c3)[nH+]c2c1 ZINC001155866084 1132668183 /nfs/dbraw/zinc/66/81/83/1132668183.db2.gz GKGGWWQFRYBYBT-UHFFFAOYSA-N 1 2 294.354 3.604 20 0 CHADLO c1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cc2c1OCC2 ZINC001174009022 1132676244 /nfs/dbraw/zinc/67/62/44/1132676244.db2.gz QCGCTNCCTITNJP-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO C[C@@H](c1ccccc1)c1ccccc1OC1C[NH+](C)C1 ZINC001233787395 1132678913 /nfs/dbraw/zinc/67/89/13/1132678913.db2.gz WRZAZQLOJVQHLX-AWEZNQCLSA-N 1 2 267.372 3.531 20 0 CHADLO COc1cc2ccc(NC3(C)CCC3)[nH+]c2cc1OC ZINC001156073604 1132680889 /nfs/dbraw/zinc/68/08/89/1132680889.db2.gz IOHCHNVEYPOGAD-UHFFFAOYSA-N 1 2 272.348 3.607 20 0 CHADLO CNc1ccc(CNc2ccc(CSC)c[nH+]2)cc1 ZINC001156141894 1132683621 /nfs/dbraw/zinc/68/36/21/1132683621.db2.gz COLXNGMOIKYNNR-UHFFFAOYSA-N 1 2 273.405 3.598 20 0 CHADLO CSc1cc[nH+]c(N[C@H](c2cccnc2)C(F)(F)F)c1 ZINC001156162104 1132685069 /nfs/dbraw/zinc/68/50/69/1132685069.db2.gz BXISMBDKWNNGDF-GFCCVEGCSA-N 1 2 299.321 3.914 20 0 CHADLO COc1ccc(CCCNc2cc(C(C)C)[nH+]c(C)n2)cc1 ZINC001156166935 1132685076 /nfs/dbraw/zinc/68/50/76/1132685076.db2.gz NUYJWWOGQSJGMX-UHFFFAOYSA-N 1 2 299.418 3.962 20 0 CHADLO CC(=O)Nc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001173978103 1132685929 /nfs/dbraw/zinc/68/59/29/1132685929.db2.gz YUIFRKNXNANUOJ-UHFFFAOYSA-N 1 2 292.342 3.574 20 0 CHADLO CCc1cccc(Nc2ccc(C(=O)N(CC)CC)cc2)[nH+]1 ZINC001174063104 1132689620 /nfs/dbraw/zinc/68/96/20/1132689620.db2.gz GTDHIIBDVPQLKD-UHFFFAOYSA-N 1 2 297.402 3.870 20 0 CHADLO CCN(CC)C(=O)c1ccc(Nc2cc(C)cc[nH+]2)cc1 ZINC001174063223 1132689810 /nfs/dbraw/zinc/68/98/10/1132689810.db2.gz OFXXTXKLYLDBOE-UHFFFAOYSA-N 1 2 283.375 3.616 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(OC)c1OC ZINC001174016039 1132690385 /nfs/dbraw/zinc/69/03/85/1132690385.db2.gz GNORINSXIFBOSZ-UHFFFAOYSA-N 1 2 272.348 3.713 20 0 CHADLO Cc1nc(NC[C@H]2CCCCO2)cc(C2CCCCC2)[nH+]1 ZINC001156378019 1132691190 /nfs/dbraw/zinc/69/11/90/1132691190.db2.gz SXEINNMIUBHUPV-OAHLLOKOSA-N 1 2 289.423 3.814 20 0 CHADLO O=C1CC[N@@H+](Cc2ccccc2Cl)[C@H]2CCCC[C@H]12 ZINC001203377262 1132692145 /nfs/dbraw/zinc/69/21/45/1132692145.db2.gz NARVIMJQQUHXPE-ZFWWWQNUSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2ccccc2Cl)[C@H]2CCCC[C@H]12 ZINC001203377262 1132692148 /nfs/dbraw/zinc/69/21/48/1132692148.db2.gz NARVIMJQQUHXPE-ZFWWWQNUSA-N 1 2 277.795 3.674 20 0 CHADLO COc1cc(C)c[nH+]c1NC1CCC(C(F)(F)F)CC1 ZINC001156413510 1132693834 /nfs/dbraw/zinc/69/38/34/1132693834.db2.gz BHBRRQKYHAUDRL-UHFFFAOYSA-N 1 2 288.313 3.932 20 0 CHADLO COc1cc2[nH+]c(N3C[C@@H](C)CC34CC4)ccc2c(OC)c1 ZINC001156423772 1132695205 /nfs/dbraw/zinc/69/52/05/1132695205.db2.gz ASAQIDBYWZUCPT-LBPRGKRZSA-N 1 2 298.386 3.631 20 0 CHADLO CSc1cc[nH+]c(NCCc2ccsc2)c1 ZINC001156521748 1132701628 /nfs/dbraw/zinc/70/16/28/1132701628.db2.gz KWYNAONLWYMPLT-UHFFFAOYSA-N 1 2 250.392 3.520 20 0 CHADLO c1cc(CCNc2cc3ccccc3c[nH+]2)cs1 ZINC001156520785 1132702477 /nfs/dbraw/zinc/70/24/77/1132702477.db2.gz DMLJCQCMIFVUQG-UHFFFAOYSA-N 1 2 254.358 3.951 20 0 CHADLO c1ccc(C2(CNc3cc4ccccc4c[nH+]3)COC2)cc1 ZINC001156586392 1132704219 /nfs/dbraw/zinc/70/42/19/1132704219.db2.gz PJEFBXWMOZVYAM-UHFFFAOYSA-N 1 2 290.366 3.615 20 0 CHADLO CC(C)c1ccccc1Nc1[nH+]cccc1N1CCOCC1 ZINC001174046593 1132709155 /nfs/dbraw/zinc/70/91/55/1132709155.db2.gz WDDUEAQEURPDTO-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO COCc1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001174094562 1132711462 /nfs/dbraw/zinc/71/14/62/1132711462.db2.gz RNAGHNKQAVQEPH-UHFFFAOYSA-N 1 2 283.375 3.572 20 0 CHADLO c1ccc2cc(NCc3ccc4c(c3)CCO4)[nH+]cc2c1 ZINC001156968590 1132717570 /nfs/dbraw/zinc/71/75/70/1132717570.db2.gz RICUKCCGGPSALP-UHFFFAOYSA-N 1 2 276.339 3.782 20 0 CHADLO COCc1ccccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174101854 1132718668 /nfs/dbraw/zinc/71/86/68/1132718668.db2.gz AOGGQWHDSOAICQ-UHFFFAOYSA-N 1 2 297.402 3.962 20 0 CHADLO Cc1cccc2c(C)cc(N3CCC[C@@H]4C[C@@H]43)[nH+]c12 ZINC001157131386 1132722720 /nfs/dbraw/zinc/72/27/20/1132722720.db2.gz CHGVBJOGTBPXHY-HIFRSBDPSA-N 1 2 252.361 3.840 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)ccc2F)c[nH+]1 ZINC001174111345 1132726560 /nfs/dbraw/zinc/72/65/60/1132726560.db2.gz IOWFBYYRFGDOHN-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CCN(Cc1cccc(F)c1F)c1ccc(Cl)c(N)[nH+]1 ZINC001157366652 1132729406 /nfs/dbraw/zinc/72/94/06/1132729406.db2.gz QQSLBIVLXMLVTQ-UHFFFAOYSA-N 1 2 297.736 3.622 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OC)cc1F ZINC001174144761 1132746233 /nfs/dbraw/zinc/74/62/33/1132746233.db2.gz CEKGMUKUABWMPY-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO COC(=O)c1cccc(Nc2ccc(C)[nH+]c2C)c1Cl ZINC001203380093 1132749910 /nfs/dbraw/zinc/74/99/10/1132749910.db2.gz HMGREAKNCOADMF-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO COc1ccc(Nc2ccn3cc[nH+]c3c2)cc1Cl ZINC001174152762 1132750176 /nfs/dbraw/zinc/75/01/76/1132750176.db2.gz JKBUOZXYXLGXCW-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO COc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)cc1Cl ZINC001174154592 1132751457 /nfs/dbraw/zinc/75/14/57/1132751457.db2.gz GHTYAVFSRKAJHT-UHFFFAOYSA-N 1 2 287.750 3.578 20 0 CHADLO CCN(CC)C(=O)c1cccc(Nc2c[nH+]c(C)cc2C)c1 ZINC001174163696 1132751682 /nfs/dbraw/zinc/75/16/82/1132751682.db2.gz IJNWSXOSWQQPEY-UHFFFAOYSA-N 1 2 297.402 3.924 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1cc(N2CCCCC2)nc[nH+]1 ZINC001157885964 1132752401 /nfs/dbraw/zinc/75/24/01/1132752401.db2.gz FZUGDGSXMDAVJK-KGLIPLIRSA-N 1 2 276.428 3.704 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1cc(N2CCCCC2)[nH+]cn1 ZINC001157885964 1132752407 /nfs/dbraw/zinc/75/24/07/1132752407.db2.gz FZUGDGSXMDAVJK-KGLIPLIRSA-N 1 2 276.428 3.704 20 0 CHADLO Cc1ccc(Nc2cccc(C(=O)NC(C)C)c2)c(C)[nH+]1 ZINC001174183528 1132754147 /nfs/dbraw/zinc/75/41/47/1132754147.db2.gz DALKEJXSZIHNNF-UHFFFAOYSA-N 1 2 283.375 3.580 20 0 CHADLO Cc1cccc(Nc2cccc(C(=O)NC3CCCC3)c2)[nH+]1 ZINC001174194322 1132756187 /nfs/dbraw/zinc/75/61/87/1132756187.db2.gz BZBAJODSGLEZPK-UHFFFAOYSA-N 1 2 295.386 3.806 20 0 CHADLO COc1ccc(F)c(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001174208381 1132756791 /nfs/dbraw/zinc/75/67/91/1132756791.db2.gz QSHHDWCLVWFSAD-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1cc(C)c(Nc2cccc(-n3cccn3)c2)c[nH+]1 ZINC001174299520 1132778415 /nfs/dbraw/zinc/77/84/15/1132778415.db2.gz OSLYUXXNUUQMEF-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1nc(N[C@@H]2C[C@H](C)c3ccccc32)c2c([nH+]1)CCC2 ZINC001158472868 1132779211 /nfs/dbraw/zinc/77/92/11/1132779211.db2.gz NRJJSSZGAPHJFN-APPDUMDISA-N 1 2 279.387 3.934 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(-n4cccn4)c3)ccc21 ZINC001174300518 1132780183 /nfs/dbraw/zinc/78/01/83/1132780183.db2.gz DFXOTGAIKSLZAQ-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1ccc(Nc2ccc(C(F)(F)F)nc2)c(C)[nH+]1 ZINC001174309146 1132788470 /nfs/dbraw/zinc/78/84/70/1132788470.db2.gz KKJYCSBRJNPYOA-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(C(=O)N(C)CC)cc2)c1 ZINC001174320900 1132792360 /nfs/dbraw/zinc/79/23/60/1132792360.db2.gz QRKCECAUVAPQIP-UHFFFAOYSA-N 1 2 297.402 3.870 20 0 CHADLO c1ncc(CCNc2cc(-c3ccccc3)cc[nH+]2)s1 ZINC001158883889 1132796492 /nfs/dbraw/zinc/79/64/92/1132796492.db2.gz WEBUDHNJDKZEBD-UHFFFAOYSA-N 1 2 281.384 3.860 20 0 CHADLO Cc1cccc2c(C)cc(N3C[C@@H]4CCCC(=O)[C@H]4C3)[nH+]c12 ZINC001159001915 1132802833 /nfs/dbraw/zinc/80/28/33/1132802833.db2.gz USCQQMPVTUKZNM-HOCLYGCPSA-N 1 2 294.398 3.657 20 0 CHADLO CC(C)c1ccc(NCCC(=O)c2ccccc2)[nH+]c1 ZINC001159020803 1132803812 /nfs/dbraw/zinc/80/38/12/1132803812.db2.gz HLQGBCGGGRCBFY-UHFFFAOYSA-N 1 2 268.360 3.890 20 0 CHADLO Cn1cnc2ccc(Nc3cccc(-n4cc[nH+]c4)c3)cc21 ZINC001174348014 1132806159 /nfs/dbraw/zinc/80/61/59/1132806159.db2.gz XSLUOAWSOQQYFL-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2ncn(C)c2c1 ZINC001174348709 1132807142 /nfs/dbraw/zinc/80/71/42/1132807142.db2.gz HBVJGDUCZPMUHF-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3ncn(C)c3c2)c1 ZINC001174348747 1132807665 /nfs/dbraw/zinc/80/76/65/1132807665.db2.gz HVTYHPLGYFGUTF-UHFFFAOYSA-N 1 2 266.348 3.664 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)CCCN3)c(C)[nH+]1 ZINC001159107598 1132807715 /nfs/dbraw/zinc/80/77/15/1132807715.db2.gz RJFXYDCYHURDGH-UHFFFAOYSA-N 1 2 253.349 3.800 20 0 CHADLO Nc1ccc(Nc2cc(Cl)cc(Cl)c2F)c[nH+]1 ZINC001159282388 1132824669 /nfs/dbraw/zinc/82/46/69/1132824669.db2.gz WBWSDJNRKVOFRN-UHFFFAOYSA-N 1 2 272.110 3.853 20 0 CHADLO Cc1ccc(Nc2ccc(N)[nH+]c2)c(C(F)(F)F)c1 ZINC001159282156 1132823649 /nfs/dbraw/zinc/82/36/49/1132823649.db2.gz PMLVEWAJKXJDDL-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1cccc(Nc2ccc(-c3cnn(C)c3)cc2)[nH+]1 ZINC001174375838 1132826113 /nfs/dbraw/zinc/82/61/13/1132826113.db2.gz DWAAHOAHOAQPJT-UHFFFAOYSA-N 1 2 264.332 3.534 20 0 CHADLO CSc1cc(Nc2ccc(-c3cnn(C)c3)cc2)cc[nH+]1 ZINC001174378571 1132827087 /nfs/dbraw/zinc/82/70/87/1132827087.db2.gz DOAGPHPVCIAQEE-UHFFFAOYSA-N 1 2 296.399 3.948 20 0 CHADLO Cc1ccc(O)c(Nc2ccc3c(c2)[nH+]cn3C(C)C)n1 ZINC001174381067 1132829006 /nfs/dbraw/zinc/82/90/06/1132829006.db2.gz SMNVVACZIAATKB-UHFFFAOYSA-N 1 2 282.347 3.770 20 0 CHADLO c1c[nH+]c(Nc2cccc3c2CCN3)c(N2CCCCC2)c1 ZINC001159363997 1132833428 /nfs/dbraw/zinc/83/34/28/1132833428.db2.gz HWUVJVNAZCPJQY-UHFFFAOYSA-N 1 2 294.402 3.784 20 0 CHADLO Cn1cc(-c2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2)cn1 ZINC001174411226 1132848962 /nfs/dbraw/zinc/84/89/62/1132848962.db2.gz PPNFUUWLGVTRGV-UHFFFAOYSA-N 1 2 289.342 3.659 20 0 CHADLO c1cc2c([nH]1)[nH+]ccc2Nc1cccc2c1CCCO2 ZINC001174412181 1132850782 /nfs/dbraw/zinc/85/07/82/1132850782.db2.gz IOPBGAGBOPXEDR-UHFFFAOYSA-N 1 2 265.316 3.583 20 0 CHADLO c1cc2c(nccc2Nc2cccc3cc[nH+]cc32)[nH]1 ZINC001174412327 1132851738 /nfs/dbraw/zinc/85/17/38/1132851738.db2.gz QXITYTRDPKRCJN-UHFFFAOYSA-N 1 2 260.300 3.806 20 0 CHADLO CC(C)CCn1cc(Nc2cccc(-n3cc[nH+]c3)c2)cn1 ZINC001174413296 1132852832 /nfs/dbraw/zinc/85/28/32/1132852832.db2.gz IIWYTKUYBAXNDF-UHFFFAOYSA-N 1 2 295.390 3.859 20 0 CHADLO CCOC(=O)c1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1F ZINC001174415658 1132855262 /nfs/dbraw/zinc/85/52/62/1132855262.db2.gz PDOJFQYMIJQCTB-UHFFFAOYSA-N 1 2 299.305 3.574 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(N3CCCC3)c2)[nH+]1 ZINC001174485318 1132858262 /nfs/dbraw/zinc/85/82/62/1132858262.db2.gz DKJZKHCSIGCTGJ-UHFFFAOYSA-N 1 2 292.386 3.987 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)CCN2 ZINC001159522256 1132858547 /nfs/dbraw/zinc/85/85/47/1132858547.db2.gz FOKDCBSUWVTWEG-UHFFFAOYSA-N 1 2 265.360 3.979 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc4cn[nH]c4c3)cc2)c[nH+]1 ZINC001174499890 1132876615 /nfs/dbraw/zinc/87/66/15/1132876615.db2.gz DWHRWJWSYOQJHM-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](C)c2cccc(N)c2)c1 ZINC001159639408 1132880519 /nfs/dbraw/zinc/88/05/19/1132880519.db2.gz PYNOGSLILUWXTO-JTQLQIEISA-N 1 2 259.378 3.559 20 0 CHADLO Nc1cccc2c1ccn2-c1ccc([NH+]2CCCC2)cc1 ZINC001174527985 1132888356 /nfs/dbraw/zinc/88/83/56/1132888356.db2.gz WIADHVCMQSPORC-UHFFFAOYSA-N 1 2 277.371 3.813 20 0 CHADLO Nc1cccc2ccn(-c3ccc([NH+]4CCCC4)cc3)c21 ZINC001174529098 1132890799 /nfs/dbraw/zinc/89/07/99/1132890799.db2.gz DHEBAQKQCOORAH-UHFFFAOYSA-N 1 2 277.371 3.813 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3nc(NC)ncc3c2)c1 ZINC001174532481 1132894998 /nfs/dbraw/zinc/89/49/98/1132894998.db2.gz OMWOUTUADLIORP-UHFFFAOYSA-N 1 2 293.374 3.763 20 0 CHADLO c1ccc(OCNc2[nH+]ccc3c4c([nH]c32)CCC4)cc1 ZINC001159706295 1132896381 /nfs/dbraw/zinc/89/63/81/1132896381.db2.gz SEWZKTGHCOSVAZ-UHFFFAOYSA-N 1 2 279.343 3.500 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3cn[nH]c3c2)cc1 ZINC001174502700 1132904315 /nfs/dbraw/zinc/90/43/15/1132904315.db2.gz YISLYCXKUFXHTR-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3cn[nH]c3c2)cc1 ZINC001174502700 1132904317 /nfs/dbraw/zinc/90/43/17/1132904317.db2.gz YISLYCXKUFXHTR-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(-c4cc[nH]n4)c3)ccc21 ZINC001174508878 1132906936 /nfs/dbraw/zinc/90/69/36/1132906936.db2.gz RTGRYQLUDMQFJD-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO Cc1cc(Cl)c(C)c(NCC(F)(F)c2ccccn2)[nH+]1 ZINC001159795099 1132910667 /nfs/dbraw/zinc/91/06/67/1132910667.db2.gz FKEPYWDDYUHVGW-UHFFFAOYSA-N 1 2 297.736 3.951 20 0 CHADLO CCOc1cncc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174521817 1132911306 /nfs/dbraw/zinc/91/13/06/1132911306.db2.gz AGCFZKRVOCESGP-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO Oc1cc(F)ccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174521790 1132911762 /nfs/dbraw/zinc/91/17/62/1132911762.db2.gz DZGSRGQVTRAWLS-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Cc1ccnc(F)c1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174523446 1132912513 /nfs/dbraw/zinc/91/25/13/1132912513.db2.gz OABYMFVXSYFWEA-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO C[C@@H](O)c1ccccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174522952 1132912568 /nfs/dbraw/zinc/91/25/68/1132912568.db2.gz FIJFXEUWQYHTRC-CQSZACIVSA-N 1 2 297.402 3.869 20 0 CHADLO CC(C)(C)n1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cn1 ZINC001174523119 1132912775 /nfs/dbraw/zinc/91/27/75/1132912775.db2.gz GTUWARQQZYXBQW-UHFFFAOYSA-N 1 2 299.422 3.767 20 0 CHADLO Cc1ncc(Nc2ccc(N3CCCCC3)[nH+]c2)s1 ZINC001174523068 1132913585 /nfs/dbraw/zinc/91/35/85/1132913585.db2.gz VDJRVIPYVOBDGP-UHFFFAOYSA-N 1 2 274.393 3.580 20 0 CHADLO Cc1cc(N[C@H]2CCc3ccc(O)cc3C2)nc(C(C)C)[nH+]1 ZINC001159895815 1132920558 /nfs/dbraw/zinc/92/05/58/1132920558.db2.gz LWKBIVDHUAHUJH-HNNXBMFYSA-N 1 2 297.402 3.583 20 0 CHADLO COc1ccc[nH+]c1NCCc1ccccc1C(F)(F)F ZINC001159897719 1132921193 /nfs/dbraw/zinc/92/11/93/1132921193.db2.gz BPIOQEBUHJCLCY-UHFFFAOYSA-N 1 2 296.292 3.764 20 0 CHADLO CCOc1cc(Nc2ccc(O)cc2C)cc(C)[nH+]1 ZINC001174560104 1132923860 /nfs/dbraw/zinc/92/38/60/1132923860.db2.gz CQOMUZYHFCOHOR-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO Cc1cc(C)c(Nc2ccc3sc(N)nc3c2)c[nH+]1 ZINC001160006227 1132934434 /nfs/dbraw/zinc/93/44/34/1132934434.db2.gz RQASEPUEMQYPJJ-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO COc1cc(F)cc(CNc2cc(SC)cc[nH+]2)c1 ZINC001160217950 1132948343 /nfs/dbraw/zinc/94/83/43/1132948343.db2.gz IDSRGPISDBAKBI-UHFFFAOYSA-N 1 2 278.352 3.563 20 0 CHADLO CSc1nc(NCC2(c3ccccc3)CC2)cc(C)[nH+]1 ZINC001160261550 1132951956 /nfs/dbraw/zinc/95/19/56/1132951956.db2.gz XKQZAQUYRBJITN-UHFFFAOYSA-N 1 2 285.416 3.651 20 0 CHADLO Cc1cccc2c(C)cc(NCCc3cnc(N)s3)[nH+]c12 ZINC001160376716 1132967023 /nfs/dbraw/zinc/96/70/23/1132967023.db2.gz RRNOIHZEKLYPPC-UHFFFAOYSA-N 1 2 298.415 3.545 20 0 CHADLO Cc1nc(NCc2noc3ccccc23)cc(C(C)C)[nH+]1 ZINC001160582411 1132975382 /nfs/dbraw/zinc/97/53/82/1132975382.db2.gz WRNBQANQRPPQNV-UHFFFAOYSA-N 1 2 282.347 3.662 20 0 CHADLO c1[nH]c2c(cccc2Nc2cnc3ccccc3c2)[nH+]1 ZINC001213026335 1132980772 /nfs/dbraw/zinc/98/07/72/1132980772.db2.gz UIBJTPLVIKXMKT-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO Cc1cc(NC2(C(F)(F)F)CC2)nc(C(C)(C)C)[nH+]1 ZINC001160825463 1132984361 /nfs/dbraw/zinc/98/43/61/1132984361.db2.gz YYYOMJGEWRMSCT-UHFFFAOYSA-N 1 2 273.302 3.589 20 0 CHADLO CSc1cc[nH+]c(NCc2ccc3onc(C)c3c2)c1 ZINC001160867331 1132985080 /nfs/dbraw/zinc/98/50/80/1132985080.db2.gz JXBRHPRFTYNHMU-UHFFFAOYSA-N 1 2 285.372 3.865 20 0 CHADLO c1[nH]c2c(cccc2Nc2cnc3c(c2)CCCC3)[nH+]1 ZINC001213028438 1132990008 /nfs/dbraw/zinc/99/00/08/1132990008.db2.gz PWZQQGSNJIWQJH-UHFFFAOYSA-N 1 2 264.332 3.580 20 0 CHADLO C[C@@H]1CCc2cc(N)ccc2N1c1cccc2[nH+]c[nH]c21 ZINC001213030536 1132994185 /nfs/dbraw/zinc/99/41/85/1132994185.db2.gz DNURBVWPYKVRSE-LLVKDONJSA-N 1 2 278.359 3.618 20 0 CHADLO Oc1cc(Nc2cccc3[nH+]c[nH]c32)c(F)cc1Cl ZINC001213031671 1132996934 /nfs/dbraw/zinc/99/69/34/1132996934.db2.gz LYCZQANCTAMLDM-UHFFFAOYSA-N 1 2 277.686 3.805 20 0 CHADLO CC(=O)c1cccc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213031547 1132997112 /nfs/dbraw/zinc/99/71/12/1132997112.db2.gz FPLMWTNFCSOJRL-UHFFFAOYSA-N 1 2 251.289 3.509 20 0 CHADLO CC[C@@H](Nc1cc(SC)cc[nH+]1)C(F)(F)F ZINC001161382421 1132999304 /nfs/dbraw/zinc/99/93/04/1132999304.db2.gz FILPVAJEWNTKBK-MRVPVSSYSA-N 1 2 250.289 3.556 20 0 CHADLO Cc1noc(-c2ccc(Nc3cccc4[nH+]c[nH]c43)cc2)n1 ZINC001213032612 1133000225 /nfs/dbraw/zinc/00/02/25/1133000225.db2.gz OSTHXQNRNIMCGX-UHFFFAOYSA-N 1 2 291.314 3.665 20 0 CHADLO Cc1ccc(Nc2cccc3[nH+]c[nH]c32)c(F)c1F ZINC001213032510 1133000431 /nfs/dbraw/zinc/00/04/31/1133000431.db2.gz JLWOGHLWHXTOTN-UHFFFAOYSA-N 1 2 259.259 3.893 20 0 CHADLO O=C1CCCc2cccc(Nc3cccc4[nH+]c[nH]c43)c21 ZINC001213033385 1133004039 /nfs/dbraw/zinc/00/40/39/1133004039.db2.gz DGFPUXNJBXEFGT-UHFFFAOYSA-N 1 2 277.327 3.826 20 0 CHADLO CCc1ccc(OC)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213033467 1133004112 /nfs/dbraw/zinc/00/41/12/1133004112.db2.gz IIFMYVPYNJRVOY-UHFFFAOYSA-N 1 2 267.332 3.878 20 0 CHADLO O[C@@H]1CCCc2ccc(Nc3cccc4[nH+]c[nH]c43)cc21 ZINC001213033511 1133004919 /nfs/dbraw/zinc/00/49/19/1133004919.db2.gz KJTVZOMNMIHZDD-MRXNPFEDSA-N 1 2 279.343 3.676 20 0 CHADLO Cc1ccc(Cl)c(NCc2c[nH+]cn2CC2CCC2)n1 ZINC001161531983 1133004974 /nfs/dbraw/zinc/00/49/74/1133004974.db2.gz XNIZJMLUGKSWHO-UHFFFAOYSA-N 1 2 290.798 3.652 20 0 CHADLO CC(C)(C)c1ccc(NCc2cncn2CC2CCC2)[nH+]c1 ZINC001161535706 1133005265 /nfs/dbraw/zinc/00/52/65/1133005265.db2.gz INPOCHQHMGBCHF-UHFFFAOYSA-N 1 2 298.434 3.988 20 0 CHADLO CC(C)c1c(Cl)ncnc1NCc1c[nH+]cn1C(C)C ZINC001161570071 1133006762 /nfs/dbraw/zinc/00/67/62/1133006762.db2.gz VAUNITSFZUTJJP-UHFFFAOYSA-N 1 2 293.802 3.643 20 0 CHADLO COCc1cc[nH+]c(NCC2(Cc3ccccc3C)CC2)c1 ZINC001161608695 1133007955 /nfs/dbraw/zinc/00/79/55/1133007955.db2.gz KYCBGDZLFVNBFI-UHFFFAOYSA-N 1 2 296.414 3.971 20 0 CHADLO Cc1cc(O)cc(C)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213033791 1133008147 /nfs/dbraw/zinc/00/81/47/1133008147.db2.gz XOSDILJOZWUHRR-UHFFFAOYSA-N 1 2 253.305 3.629 20 0 CHADLO C[C@H](CCc1ccccc1)Nc1[nH+]cnc2[nH]cc(F)c21 ZINC001161612112 1133008421 /nfs/dbraw/zinc/00/84/21/1133008421.db2.gz UEADRVWUDBKHCN-LLVKDONJSA-N 1 2 284.338 3.530 20 0 CHADLO C[C@@H](CCc1ccccc1)Nc1ccc(N(C)C)c[nH+]1 ZINC001161616043 1133009867 /nfs/dbraw/zinc/00/98/67/1133009867.db2.gz MDAIIFLOQDCDEZ-AWEZNQCLSA-N 1 2 269.392 3.581 20 0 CHADLO COc1cc(Nc2cccc3[nH+]c[nH]c32)cc2cccnc21 ZINC001213033758 1133010889 /nfs/dbraw/zinc/01/08/89/1133010889.db2.gz VTUBJYXRYYQROU-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO Nc1cc(Cl)cc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213034564 1133015241 /nfs/dbraw/zinc/01/52/41/1133015241.db2.gz QVTCKBBWCVRMPH-UHFFFAOYSA-N 1 2 258.712 3.542 20 0 CHADLO CSc1ccc(F)c(C)c1NCCCn1cc[nH+]c1 ZINC001161688865 1133015878 /nfs/dbraw/zinc/01/58/78/1133015878.db2.gz AGNWKWZXCBPLEV-UHFFFAOYSA-N 1 2 279.384 3.555 20 0 CHADLO Cc1cccc2c(C)cc(N[C@H]3CCO[C@H](C)C3)[nH+]c12 ZINC001161687487 1133016131 /nfs/dbraw/zinc/01/61/31/1133016131.db2.gz WABHVPXYSURNLK-KGLIPLIRSA-N 1 2 270.376 3.831 20 0 CHADLO Cc1csc2ccc(NCCCn3cc[nH+]c3)cc12 ZINC001161684453 1133016624 /nfs/dbraw/zinc/01/66/24/1133016624.db2.gz BESCIZZRRQKWSK-UHFFFAOYSA-N 1 2 271.389 3.908 20 0 CHADLO COc1cc(NCCCn2cc[nH+]c2)cc(C(C)C)c1 ZINC001161689409 1133016767 /nfs/dbraw/zinc/01/67/67/1133016767.db2.gz SCOWUXJULZOTAX-UHFFFAOYSA-N 1 2 273.380 3.517 20 0 CHADLO CCC[C@H](C)Nc1ccc2cc(OC)c(OC)cc2[nH+]1 ZINC001161716939 1133018407 /nfs/dbraw/zinc/01/84/07/1133018407.db2.gz TUVAQLUPJXJTJK-NSHDSACASA-N 1 2 274.364 3.853 20 0 CHADLO COC[C@@H](Nc1[nH+]ccc2cc(Cl)ccc21)C1CC1 ZINC001161720949 1133020546 /nfs/dbraw/zinc/02/05/46/1133020546.db2.gz RFNBOJVBOMPUQV-CQSZACIVSA-N 1 2 276.767 3.725 20 0 CHADLO FC(F)CNc1[nH+]ccc2cccc(C(F)(F)F)c21 ZINC001161809847 1133030326 /nfs/dbraw/zinc/03/03/26/1133030326.db2.gz PKPVBTZFEIARIW-UHFFFAOYSA-N 1 2 276.208 3.931 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H](c1ccnc(F)c1)C1CC1 ZINC001161844374 1133035669 /nfs/dbraw/zinc/03/56/69/1133035669.db2.gz CGFWAWSIFKJXHZ-CQSZACIVSA-N 1 2 275.302 3.626 20 0 CHADLO CC(C)(CC1CCC1)Nc1cc(N2CCCCC2)nc[nH+]1 ZINC001161846437 1133035804 /nfs/dbraw/zinc/03/58/04/1133035804.db2.gz HASKHAVDZDWOPE-UHFFFAOYSA-N 1 2 288.439 3.848 20 0 CHADLO CC(C)(CC1CCC1)Nc1cc(N2CCCCC2)[nH+]cn1 ZINC001161846437 1133035810 /nfs/dbraw/zinc/03/58/10/1133035810.db2.gz HASKHAVDZDWOPE-UHFFFAOYSA-N 1 2 288.439 3.848 20 0 CHADLO c1ccc([C@H](Nc2ccc3c([nH+]2)CCOC3)C2CC2)cc1 ZINC001161954172 1133040120 /nfs/dbraw/zinc/04/01/20/1133040120.db2.gz AHGKLVSQWCTLNX-SFHVURJKSA-N 1 2 280.371 3.718 20 0 CHADLO Cc1cc(NCc2nc3ccccc3o2)[nH+]c2cc[nH]c21 ZINC001161973216 1133045664 /nfs/dbraw/zinc/04/56/64/1133045664.db2.gz FJDPTGXKKOUFRJ-UHFFFAOYSA-N 1 2 278.315 3.625 20 0 CHADLO Cc1cccc2c(C)cc(NCc3ccnn3CCF)[nH+]c12 ZINC001162016762 1133047661 /nfs/dbraw/zinc/04/76/61/1133047661.db2.gz BRGOZLSGHFBFOB-UHFFFAOYSA-N 1 2 298.365 3.630 20 0 CHADLO Cc1cc[nH+]cc1NCCCc1cncc(C(F)(F)F)c1 ZINC001162044263 1133050731 /nfs/dbraw/zinc/05/07/31/1133050731.db2.gz MAIQYTAVWDDCIG-UHFFFAOYSA-N 1 2 295.308 3.849 20 0 CHADLO CCc1coc(CNc2cc3cc(F)ccc3c[nH+]2)n1 ZINC001162135692 1133053161 /nfs/dbraw/zinc/05/31/61/1133053161.db2.gz IIJGDDZINXPZDP-UHFFFAOYSA-N 1 2 271.295 3.536 20 0 CHADLO Fc1cccnc1C1(CNc2cc3ccccc3c[nH+]2)CC1 ZINC001162159040 1133055974 /nfs/dbraw/zinc/05/59/74/1133055974.db2.gz NVOGDPDBNKZWOO-UHFFFAOYSA-N 1 2 293.345 3.913 20 0 CHADLO Cc1cccc2sc(NC[C@@H](C)Cn3cc[nH+]c3)nc21 ZINC001162184982 1133057805 /nfs/dbraw/zinc/05/78/05/1133057805.db2.gz SGMIGVHIJDSTQJ-LLVKDONJSA-N 1 2 286.404 3.549 20 0 CHADLO Cc1c(Cl)cnc(Cl)c1NC1C[NH+](C(C)(C)C)C1 ZINC001162225865 1133061277 /nfs/dbraw/zinc/06/12/77/1133061277.db2.gz LBVKTBXWOLVTIA-UHFFFAOYSA-N 1 2 288.222 3.591 20 0 CHADLO CSc1nc(NCC(C)(C)CC(F)(F)F)cc(C)[nH+]1 ZINC001162248042 1133064300 /nfs/dbraw/zinc/06/43/00/1133064300.db2.gz PUDJJLARYKMFGM-UHFFFAOYSA-N 1 2 293.358 3.897 20 0 CHADLO Cc1cc(Cl)c(C)c(NCc2cc3ccccn3n2)[nH+]1 ZINC001162283545 1133066156 /nfs/dbraw/zinc/06/61/56/1133066156.db2.gz FIYMDTFMBMDXQX-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CCc1cc(NC[C@@H]2CCOc3ccccc32)nc(CC)[nH+]1 ZINC001162482531 1133081683 /nfs/dbraw/zinc/08/16/83/1133081683.db2.gz IXKFEBVOSXMOON-ZDUSSCGKSA-N 1 2 297.402 3.580 20 0 CHADLO CC[C@H]1CCCN(c2nc3ccccc3n3c[nH+]cc23)CC1 ZINC001162489320 1133082129 /nfs/dbraw/zinc/08/21/29/1133082129.db2.gz BBQDQWRYIPRUQC-AWEZNQCLSA-N 1 2 294.402 3.899 20 0 CHADLO C[C@H]1CCCC[C@H]1C[NH2+]c1ccc2c(c1)NCCO2 ZINC001162523424 1133087616 /nfs/dbraw/zinc/08/76/16/1133087616.db2.gz AMLGZFCNHHETJX-STQMWFEESA-N 1 2 260.381 3.729 20 0 CHADLO C[C@H]1CCCC[C@@H]1C[NH2+]c1ccc2c(c1)NCCO2 ZINC001162523423 1133087839 /nfs/dbraw/zinc/08/78/39/1133087839.db2.gz AMLGZFCNHHETJX-QWHCGFSZSA-N 1 2 260.381 3.729 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(C)(C)C(=O)c1ccccc1 ZINC001162637642 1133096943 /nfs/dbraw/zinc/09/69/43/1133096943.db2.gz NWDZQLBGPJGAJI-UHFFFAOYSA-N 1 2 268.360 3.772 20 0 CHADLO FC1(F)CC[C@@H](CNc2ccc(-n3cccc3)c[nH+]2)C1 ZINC001162647620 1133099104 /nfs/dbraw/zinc/09/91/04/1133099104.db2.gz QXECOPUJPNNYNT-GFCCVEGCSA-N 1 2 277.318 3.720 20 0 CHADLO Cc1nc(Cl)c(NC(=[NH2+])C(C)(C)C)c(Cl)n1 ZINC001162661601 1133102271 /nfs/dbraw/zinc/10/22/71/1133102271.db2.gz FBOAUUFGQUOFOC-UHFFFAOYSA-N 1 2 261.156 3.527 20 0 CHADLO Cc1cnccc1CNc1nc(C(C)(C)C)[nH+]c(C)c1C ZINC001162716173 1133105569 /nfs/dbraw/zinc/10/55/69/1133105569.db2.gz XLHSQRGUUQJYOA-UHFFFAOYSA-N 1 2 284.407 3.706 20 0 CHADLO CCOc1ccc[nH+]c1NCc1cncc2ccccc21 ZINC001162749570 1133108827 /nfs/dbraw/zinc/10/88/27/1133108827.db2.gz LDJAXCFTVDAYJN-UHFFFAOYSA-N 1 2 279.343 3.641 20 0 CHADLO CCSc1cc[nH+]c(N[C@H](CO)c2ccc(C)cc2)c1 ZINC001162948741 1133123752 /nfs/dbraw/zinc/12/37/52/1133123752.db2.gz FSEGIFVKGBGDRC-OAHLLOKOSA-N 1 2 288.416 3.648 20 0 CHADLO CCc1cc(NCCCCCCSC)nc(CC)[nH+]1 ZINC001163031664 1133126621 /nfs/dbraw/zinc/12/66/21/1133126621.db2.gz RITOAJUAOMJKED-UHFFFAOYSA-N 1 2 281.469 3.937 20 0 CHADLO CC[C@H](CO)Nc1cc(SCc2ccccc2)cc[nH+]1 ZINC001163045561 1133128014 /nfs/dbraw/zinc/12/80/14/1133128014.db2.gz UGGFPKTXRQYIKI-CQSZACIVSA-N 1 2 288.416 3.557 20 0 CHADLO CC(C)(Nc1ccc(Cl)c(N)[nH+]1)c1cc(Cl)ccn1 ZINC001163145265 1133131311 /nfs/dbraw/zinc/13/13/11/1133131311.db2.gz VSVCTGISIOGMBE-UHFFFAOYSA-N 1 2 297.189 3.713 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H](C)c1ccc(NC(C)=O)cc1 ZINC001163150420 1133131474 /nfs/dbraw/zinc/13/14/74/1133131474.db2.gz GGRYXEOSJMDUOH-LBPRGKRZSA-N 1 2 299.374 3.530 20 0 CHADLO COCc1cc[nH+]c(NC(C)(C)c2cc(Cl)ccn2)c1 ZINC001163143682 1133131502 /nfs/dbraw/zinc/13/15/02/1133131502.db2.gz CLQUJWZLHRGWGQ-UHFFFAOYSA-N 1 2 291.782 3.624 20 0 CHADLO CSc1cc[nH+]c(N[C@@H]2CCCc3ccncc32)c1 ZINC001163172397 1133133229 /nfs/dbraw/zinc/13/32/29/1133133229.db2.gz LURAPVLRWKGPQR-CQSZACIVSA-N 1 2 271.389 3.688 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H]2CCCc3ccncc32)c1 ZINC001163173971 1133134027 /nfs/dbraw/zinc/13/40/27/1133134027.db2.gz UDCHXSRDVFHMRM-OAHLLOKOSA-N 1 2 285.416 3.829 20 0 CHADLO Cc1nc(N[C@@H]2CCc3c2cccc3O)cc(C(C)C)[nH+]1 ZINC001163192579 1133135127 /nfs/dbraw/zinc/13/51/27/1133135127.db2.gz NDZGMKNCMCSIQW-CQSZACIVSA-N 1 2 283.375 3.713 20 0 CHADLO CCSc1cc[nH+]c(N[C@H]2CCc3c2cccc3O)c1 ZINC001163195551 1133136156 /nfs/dbraw/zinc/13/61/56/1133136156.db2.gz RRBUHZUEONWOBH-AWEZNQCLSA-N 1 2 286.400 3.999 20 0 CHADLO Cc1nc(N2CCc3ccc(F)cc3[C@H](C)C2)c(C)c(C)[nH+]1 ZINC001163629991 1133163591 /nfs/dbraw/zinc/16/35/91/1133163591.db2.gz WJGXZMQDTXORMS-LLVKDONJSA-N 1 2 299.393 3.707 20 0 CHADLO CCOc1cccc(CCNc2c[nH+]c3c(c2)CCC3)c1 ZINC001163714650 1133167734 /nfs/dbraw/zinc/16/77/34/1133167734.db2.gz WWSVSRJVFUYHFD-UHFFFAOYSA-N 1 2 282.387 3.624 20 0 CHADLO Cc1nc(N2CC(C)(C)C2)cc(C2CCCCC2)[nH+]1 ZINC001163727528 1133168123 /nfs/dbraw/zinc/16/81/23/1133168123.db2.gz XFHQTAFAOZIYEH-UHFFFAOYSA-N 1 2 259.397 3.679 20 0 CHADLO Cc1cc(C)cc(CNc2ccn3cc[nH+]c3c2)c1 ZINC001163751191 1133171977 /nfs/dbraw/zinc/17/19/77/1133171977.db2.gz NYEAAHMNMWJOIF-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1nc(N2CCC[C@H](C3CCC3)C2)c2c([nH+]1)CCCC2 ZINC001163754412 1133172213 /nfs/dbraw/zinc/17/22/13/1133172213.db2.gz OJCNLMPIHUUKGB-HNNXBMFYSA-N 1 2 285.435 3.680 20 0 CHADLO CSCc1cc[nH+]c(N2CC[C@@H](F)C(F)(F)CC2)c1 ZINC001163784402 1133174527 /nfs/dbraw/zinc/17/45/27/1133174527.db2.gz HPUSZBKPMMQIOH-LLVKDONJSA-N 1 2 290.354 3.518 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC[C@@H](F)C(F)(F)CC2)[nH+]1 ZINC001163779740 1133174921 /nfs/dbraw/zinc/17/49/21/1133174921.db2.gz HLSMINSUWZHZPM-LLVKDONJSA-N 1 2 292.732 3.925 20 0 CHADLO CC(C)(C)c1ccc(N2CCC(=O)[C@H]3CCCC[C@@H]32)[nH+]c1 ZINC001163796331 1133175489 /nfs/dbraw/zinc/17/54/89/1133175489.db2.gz XZODKFMRXMKRJO-GJZGRUSLSA-N 1 2 286.419 3.717 20 0 CHADLO Cc1cc(NC(=[NH2+])C23CC4CC(CC(C4)C2)C3)nnc1C ZINC001163841794 1133178312 /nfs/dbraw/zinc/17/83/12/1133178312.db2.gz WDKURLXJYZDEBB-UHFFFAOYSA-N 1 2 284.407 3.699 20 0 CHADLO CCC(O)(CC)CN(C)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001163857868 1133179954 /nfs/dbraw/zinc/17/99/54/1133179954.db2.gz YHZWCIIHQWQZEI-UHFFFAOYSA-N 1 2 293.455 3.711 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@@H](F)C(F)(F)C2)c1 ZINC001164064326 1133196031 /nfs/dbraw/zinc/19/60/31/1133196031.db2.gz WYBXTKODVZSFNM-LLVKDONJSA-N 1 2 290.354 3.767 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCC[C@H](F)C(F)(F)C2)[nH+]1 ZINC001164063466 1133196104 /nfs/dbraw/zinc/19/61/04/1133196104.db2.gz MCQSYYVDYQUUAJ-NSHDSACASA-N 1 2 292.732 3.925 20 0 CHADLO COc1cc2cc[nH+]c(N(C)C3CC(C)C3)c2cc1F ZINC001164198498 1133206254 /nfs/dbraw/zinc/20/62/54/1133206254.db2.gz DSZYTUGZNHQFQG-UHFFFAOYSA-N 1 2 274.339 3.617 20 0 CHADLO Cc1cc[nH+]c(NC2=CN(C(=O)OC(C)(C)C)CCC2)c1 ZINC001213050651 1133209359 /nfs/dbraw/zinc/20/93/59/1133209359.db2.gz MEUVPCLBNUEUIQ-UHFFFAOYSA-N 1 2 289.379 3.674 20 0 CHADLO C[C@@]1(F)CCN(c2cc3ccccc3c[nH+]2)C[C@@H]1F ZINC001164325215 1133213113 /nfs/dbraw/zinc/21/31/13/1133213113.db2.gz BHZGLFSSZQRWDW-DZGCQCFKSA-N 1 2 262.303 3.511 20 0 CHADLO CC(C)CCCSCc1cc[nH+]c(N(C)C)c1 ZINC001165917749 1133254740 /nfs/dbraw/zinc/25/47/40/1133254740.db2.gz OMSSIZLMTQPLNS-UHFFFAOYSA-N 1 2 252.427 3.817 20 0 CHADLO CC(C)(C)c1ccc(N2CC[C@](C)(F)[C@H](F)C2)[nH+]c1 ZINC001164340838 1133215021 /nfs/dbraw/zinc/21/50/21/1133215021.db2.gz SQMOWIONGUHVDW-DOMZBBRYSA-N 1 2 268.351 3.656 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NCCn3cccc3)c2)cc1 ZINC001164443076 1133218151 /nfs/dbraw/zinc/21/81/51/1133218151.db2.gz MIGAFLLZASQISU-UHFFFAOYSA-N 1 2 277.371 3.971 20 0 CHADLO Cc1ccc(Nc2ccc(CO)cc2Cl)c(C)[nH+]1 ZINC001203381353 1133225837 /nfs/dbraw/zinc/22/58/37/1133225837.db2.gz UWNFGAUQWNPKSU-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1c[nH+]c(N2Cc3ccccc3[C@@H](C)C2)nc1NC(C)C ZINC001165218762 1133231688 /nfs/dbraw/zinc/23/16/88/1133231688.db2.gz ISHVDRBJJVBTGF-AWEZNQCLSA-N 1 2 296.418 3.729 20 0 CHADLO CC(C)c1cnc(SCc2cc[nH+]c(N)c2)n1C1CC1 ZINC001165702833 1133249746 /nfs/dbraw/zinc/24/97/46/1133249746.db2.gz UBZIWQZJTVSATA-UHFFFAOYSA-N 1 2 288.420 3.611 20 0 CHADLO CC(C)c1ccc(N2CC[C@H](c3ccncc3)C2)[nH+]c1 ZINC001166567974 1133271130 /nfs/dbraw/zinc/27/11/30/1133271130.db2.gz DBDUNDKZCRAFKA-INIZCTEOSA-N 1 2 267.376 3.594 20 0 CHADLO Cc1cc(N2CC[C@H](c3ccncc3)C2)[nH+]c2ccccc12 ZINC001166569864 1133271252 /nfs/dbraw/zinc/27/12/52/1133271252.db2.gz YYZXGRYSEHFQJV-INIZCTEOSA-N 1 2 289.382 3.932 20 0 CHADLO CC(C)(C)c1cn(CCSc2ccccc2)c[nH+]1 ZINC001166618957 1133278050 /nfs/dbraw/zinc/27/80/50/1133278050.db2.gz NSIHXTJRLCTEAD-UHFFFAOYSA-N 1 2 260.406 3.973 20 0 CHADLO Cc1cc(N2CCc3ccsc3C2)nc(C(C)(C)C)[nH+]1 ZINC001166685012 1133282560 /nfs/dbraw/zinc/28/25/60/1133282560.db2.gz MBBWBJXGDVVCHW-UHFFFAOYSA-N 1 2 287.432 3.707 20 0 CHADLO CN(Cc1cccc2cccnc21)c1ccc(Cl)c(N)[nH+]1 ZINC001166689788 1133282951 /nfs/dbraw/zinc/28/29/51/1133282951.db2.gz RSFUYWYUEKYYGI-UHFFFAOYSA-N 1 2 298.777 3.502 20 0 CHADLO FC(F)[C@@H]1CCCN(c2cc3ccccc3c[nH+]2)C1 ZINC001166771810 1133285065 /nfs/dbraw/zinc/28/50/65/1133285065.db2.gz MJCLVCBZXYHONV-CYBMUJFWSA-N 1 2 262.303 3.716 20 0 CHADLO COC(C)(C)[C@@H]1CCCN1c1ccc(C(C)(C)C)c[nH+]1 ZINC001166792329 1133287127 /nfs/dbraw/zinc/28/71/27/1133287127.db2.gz MKZYXZDQWIBJCF-AWEZNQCLSA-N 1 2 276.424 3.773 20 0 CHADLO COc1ccccc1Cc1cn(CCCCCF)c[nH+]1 ZINC001166963774 1133295546 /nfs/dbraw/zinc/29/55/46/1133295546.db2.gz JADJEHRMVDAYMS-UHFFFAOYSA-N 1 2 276.355 3.622 20 0 CHADLO Cc1cccc2c(C)cc(N3CCOC[C@H]3C(C)C)[nH+]c12 ZINC001166999877 1133297267 /nfs/dbraw/zinc/29/72/67/1133297267.db2.gz HBBHGDNDWJLXAE-INIZCTEOSA-N 1 2 284.403 3.713 20 0 CHADLO Cc1c(F)cc[nH+]c1N(C)Cc1csc(C(C)C)n1 ZINC001167004051 1133297421 /nfs/dbraw/zinc/29/74/21/1133297421.db2.gz XKIAGPQFOKTIFO-UHFFFAOYSA-N 1 2 279.384 3.745 20 0 CHADLO Cc1ccc(N(c2ccc(N)cn2)C2CCCC2)c(C)[nH+]1 ZINC001203368891 1133318534 /nfs/dbraw/zinc/31/85/34/1133318534.db2.gz GLFIYGMZRMJLCX-UHFFFAOYSA-N 1 2 282.391 3.756 20 0 CHADLO Cc1ccc(Nc2c[nH]c(=O)c(C(F)(F)F)c2)c(C)[nH+]1 ZINC001203368725 1133318733 /nfs/dbraw/zinc/31/87/33/1133318733.db2.gz FXUAPAOYBGTSME-UHFFFAOYSA-N 1 2 283.253 3.561 20 0 CHADLO Cc1ccc(Nc2c[nH]c3cc(=O)ccc-3c2)c(C)[nH+]1 ZINC001203368687 1133318819 /nfs/dbraw/zinc/31/88/19/1133318819.db2.gz DNYLVGLMAGPMFV-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO C=CC(=O)Nc1cccc(Nc2ccc(C)[nH+]c2C)c1 ZINC001203380530 1133319318 /nfs/dbraw/zinc/31/93/18/1133319318.db2.gz KREZUHAJBXJAOC-UHFFFAOYSA-N 1 2 267.332 3.567 20 0 CHADLO COc1ccc2ncc(Nc3ccc(C)[nH+]c3C)cc2c1 ZINC001203369838 1133319339 /nfs/dbraw/zinc/31/93/39/1133319339.db2.gz CSZRPJXCTFQTDK-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cc(F)c(F)cc1Nc1ccc(C)[nH+]c1C ZINC001203374131 1133319402 /nfs/dbraw/zinc/31/94/02/1133319402.db2.gz FTZZWJTVLWLNKD-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1ccc(N2c3ccc(N)cc3CC[C@@H]2C)c(C)[nH+]1 ZINC001203373987 1133320132 /nfs/dbraw/zinc/32/01/32/1133320132.db2.gz QTXCXGUXAIYROD-LBPRGKRZSA-N 1 2 267.376 3.753 20 0 CHADLO Cc1ccc(Nc2cnc(Cl)c(F)c2)c(C)[nH+]1 ZINC001203370117 1133320216 /nfs/dbraw/zinc/32/02/16/1133320216.db2.gz GNLWARORTZLZAS-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO CCOC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001203374549 1133320346 /nfs/dbraw/zinc/32/03/46/1133320346.db2.gz KWZGXBRTYMZPFW-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO COc1c(F)ccc(Nc2ccc(C)[nH+]c2C)c1F ZINC001203373692 1133320383 /nfs/dbraw/zinc/32/03/83/1133320383.db2.gz BJKBFBAPPYLSBZ-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1ccc(Nc2ccc(CO)c(Cl)c2)c(C)[nH+]1 ZINC001203376087 1133320481 /nfs/dbraw/zinc/32/04/81/1133320481.db2.gz JWMAPBROVPCAKI-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO CNc1ccc(Nc2ccccc2OC(C)C)c[nH+]1 ZINC001203456659 1133329637 /nfs/dbraw/zinc/32/96/37/1133329637.db2.gz PFSMCKFTZWDEKK-UHFFFAOYSA-N 1 2 257.337 3.654 20 0 CHADLO CNc1ccc(Nc2ccc(F)c(Br)c2)c[nH+]1 ZINC001203454722 1133329709 /nfs/dbraw/zinc/32/97/09/1133329709.db2.gz KPXSCNQUWPNIDK-UHFFFAOYSA-N 1 2 296.143 3.769 20 0 CHADLO CNc1ccc(Nc2cc(C(F)(F)F)ccc2O)c[nH+]1 ZINC001203458866 1133330467 /nfs/dbraw/zinc/33/04/67/1133330467.db2.gz SCFBSSWHPKLFOF-UHFFFAOYSA-N 1 2 283.253 3.591 20 0 CHADLO CNc1ccc(Nc2cccc3ncc(F)cc32)c[nH+]1 ZINC001203462077 1133330685 /nfs/dbraw/zinc/33/06/85/1133330685.db2.gz IWIPXNUJANMRLZ-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+]Cc1cccc2c1OCC2 ZINC001203463878 1133330799 /nfs/dbraw/zinc/33/07/99/1133330799.db2.gz HZVPEXQCXXXVSP-QZTJIDSGSA-N 1 2 297.373 3.737 20 0 CHADLO CNc1ccc(Nc2ccc(C)c(OC(F)F)c2)c[nH+]1 ZINC001203460311 1133331624 /nfs/dbraw/zinc/33/16/24/1133331624.db2.gz TYCNLEVIBURRJB-UHFFFAOYSA-N 1 2 279.290 3.777 20 0 CHADLO COc1cc(CNc2[nH]c3cc(C)ccc3[nH+]2)ccc1F ZINC001203478970 1133333060 /nfs/dbraw/zinc/33/30/60/1133333060.db2.gz MGOYDZUEZSAELD-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO CN(Cc1ccccc1)c1ccc(Nc2cccnc2)c[nH+]1 ZINC001203512531 1133333742 /nfs/dbraw/zinc/33/37/42/1133333742.db2.gz CEUITNANJKXYOD-UHFFFAOYSA-N 1 2 290.370 3.857 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(-c3cnn(C)c3)cc2)c1 ZINC001203661221 1133349448 /nfs/dbraw/zinc/34/94/48/1133349448.db2.gz SOHDDPIZLGTBMI-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnnc(Cl)c2Cl)c1 ZINC001203657107 1133349474 /nfs/dbraw/zinc/34/94/74/1133349474.db2.gz JJJWOHYTEMUIMC-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(O)ccc2Br)c1 ZINC001203660072 1133349632 /nfs/dbraw/zinc/34/96/32/1133349632.db2.gz AOQZRQRVRVOPDE-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)C(C)(C)NC(=O)N3)c1 ZINC001203667152 1133351210 /nfs/dbraw/zinc/35/12/10/1133351210.db2.gz JEVOCFUBGKJCRV-UHFFFAOYSA-N 1 2 296.374 3.812 20 0 CHADLO COC(=O)[C@H](C)c1ccc(Nc2cc(C)c[nH+]c2C)cc1 ZINC001203667577 1133352314 /nfs/dbraw/zinc/35/23/14/1133352314.db2.gz PCIGNDACHZOVEK-GFCCVEGCSA-N 1 2 284.359 3.719 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(Oc2ccccc2F)cc1 ZINC001203692271 1133353825 /nfs/dbraw/zinc/35/38/25/1133353825.db2.gz XLFQLGJJGIMLAS-UHFFFAOYSA-N 1 2 297.333 3.964 20 0 CHADLO CC(C)[C@@H]1COCC[N@@H+]1Cc1ccccc1C(F)(F)F ZINC001203693085 1133355225 /nfs/dbraw/zinc/35/52/25/1133355225.db2.gz KGMOMICGEXXRRE-AWEZNQCLSA-N 1 2 287.325 3.562 20 0 CHADLO CC(C)[C@@H]1COCC[N@H+]1Cc1ccccc1C(F)(F)F ZINC001203693085 1133355232 /nfs/dbraw/zinc/35/52/32/1133355232.db2.gz KGMOMICGEXXRRE-AWEZNQCLSA-N 1 2 287.325 3.562 20 0 CHADLO Cc1cc(Nc2ccc([NH+]3CCCCC3)cc2)on1 ZINC001203712949 1133357057 /nfs/dbraw/zinc/35/70/57/1133357057.db2.gz RQXBVHNPKMYNPS-UHFFFAOYSA-N 1 2 257.337 3.717 20 0 CHADLO Cc1cc(Nc2ccc([NH+]3CCCCC3)cc2)no1 ZINC001203712033 1133357086 /nfs/dbraw/zinc/35/70/86/1133357086.db2.gz AENQDPZACMCJMU-UHFFFAOYSA-N 1 2 257.337 3.717 20 0 CHADLO Fc1ncccc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203713325 1133357196 /nfs/dbraw/zinc/35/71/96/1133357196.db2.gz XQARZOZLGRWDQS-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO Nc1ccc(O)c(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001203719223 1133358263 /nfs/dbraw/zinc/35/82/63/1133358263.db2.gz KBNPYCNGMKBDER-UHFFFAOYSA-N 1 2 283.375 3.708 20 0 CHADLO Cc1cncnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203718381 1133358606 /nfs/dbraw/zinc/35/86/06/1133358606.db2.gz FKEWICXRQVHZGG-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO O=C1CC[N@@H+](Cc2cccc(Cl)c2)[C@@H]2CCCC[C@H]12 ZINC001203795401 1133369772 /nfs/dbraw/zinc/36/97/72/1133369772.db2.gz DWWIKLHAEAHOJQ-LSDHHAIUSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2cccc(Cl)c2)[C@@H]2CCCC[C@H]12 ZINC001203795401 1133369777 /nfs/dbraw/zinc/36/97/77/1133369777.db2.gz DWWIKLHAEAHOJQ-LSDHHAIUSA-N 1 2 277.795 3.674 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3ncc(Cl)cc3C2)c1 ZINC001203893128 1133381421 /nfs/dbraw/zinc/38/14/21/1133381421.db2.gz WXQMLDJKQCHJAV-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3ncc(Cl)cc3C2)c1 ZINC001203893128 1133381424 /nfs/dbraw/zinc/38/14/24/1133381424.db2.gz WXQMLDJKQCHJAV-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3ccncc3C2)c2ccccc12 ZINC001203995254 1133396314 /nfs/dbraw/zinc/39/63/14/1133396314.db2.gz JMSCFBFECIHIMK-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1ccc(C[N@H+]2Cc3ccncc3C2)c2ccccc12 ZINC001203995254 1133396319 /nfs/dbraw/zinc/39/63/19/1133396319.db2.gz JMSCFBFECIHIMK-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO c1csc(C[NH+](Cc2cccnc2)Cc2cccnc2)c1 ZINC001204015494 1133398937 /nfs/dbraw/zinc/39/89/37/1133398937.db2.gz UQLDFQBNUZTITA-UHFFFAOYSA-N 1 2 295.411 3.741 20 0 CHADLO c1csc(C[NH+](Cc2ccccn2)Cc2ccccn2)c1 ZINC001204013409 1133399491 /nfs/dbraw/zinc/39/94/91/1133399491.db2.gz QAASNOXSRVQQTI-UHFFFAOYSA-N 1 2 295.411 3.741 20 0 CHADLO CC(C)c1ccc(C[N@@H+]2Cc3ccncc3C2)cc1 ZINC001204166257 1133413264 /nfs/dbraw/zinc/41/32/64/1133413264.db2.gz RFGVZTSWRBTZTM-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO CC(C)c1ccc(C[N@H+]2Cc3ccncc3C2)cc1 ZINC001204166257 1133413267 /nfs/dbraw/zinc/41/32/67/1133413267.db2.gz RFGVZTSWRBTZTM-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO FC[C@@]1(C(F)(F)F)CC[N@H+](Cc2c(F)cccc2F)C1 ZINC001204202708 1133417438 /nfs/dbraw/zinc/41/74/38/1133417438.db2.gz LROPXTZVEPGKBJ-LBPRGKRZSA-N 1 2 297.242 3.689 20 0 CHADLO FC[C@@]1(C(F)(F)F)CC[N@@H+](Cc2c(F)cccc2F)C1 ZINC001204202708 1133417439 /nfs/dbraw/zinc/41/74/39/1133417439.db2.gz LROPXTZVEPGKBJ-LBPRGKRZSA-N 1 2 297.242 3.689 20 0 CHADLO C[N@H+](CCC(=O)c1cccs1)Cc1ccc(F)cc1F ZINC001204213025 1133419123 /nfs/dbraw/zinc/41/91/23/1133419123.db2.gz YAPWYFXKDWMEQV-UHFFFAOYSA-N 1 2 295.354 3.731 20 0 CHADLO C[N@@H+](CCC(=O)c1cccs1)Cc1ccc(F)cc1F ZINC001204213025 1133419128 /nfs/dbraw/zinc/41/91/28/1133419128.db2.gz YAPWYFXKDWMEQV-UHFFFAOYSA-N 1 2 295.354 3.731 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)Cc1ncccc1F ZINC001204269972 1133427740 /nfs/dbraw/zinc/42/77/40/1133427740.db2.gz HSBWQZUTMVDZBN-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)Cc1ncccc1F ZINC001204269972 1133427741 /nfs/dbraw/zinc/42/77/41/1133427741.db2.gz HSBWQZUTMVDZBN-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CCn1ccc(C[N@@H+](Cc2ccccc2F)CC(C)C)n1 ZINC001204433276 1133445998 /nfs/dbraw/zinc/44/59/98/1133445998.db2.gz ZMYDZWPJADNKPY-UHFFFAOYSA-N 1 2 289.398 3.700 20 0 CHADLO CCn1ccc(C[N@H+](Cc2ccccc2F)CC(C)C)n1 ZINC001204433276 1133445999 /nfs/dbraw/zinc/44/59/99/1133445999.db2.gz ZMYDZWPJADNKPY-UHFFFAOYSA-N 1 2 289.398 3.700 20 0 CHADLO Fc1ccc(Br)cc1C[N@@H+]1CCC[C@@H]2C[C@@H]21 ZINC001204498477 1133453573 /nfs/dbraw/zinc/45/35/73/1133453573.db2.gz CVBCQCDGYNPKJY-RNCFNFMXSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1ccc(Br)cc1C[N@H+]1CCC[C@@H]2C[C@@H]21 ZINC001204498477 1133453577 /nfs/dbraw/zinc/45/35/77/1133453577.db2.gz CVBCQCDGYNPKJY-RNCFNFMXSA-N 1 2 284.172 3.573 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc3ccccc3n2)C[C@@H]1F ZINC001204500048 1133455299 /nfs/dbraw/zinc/45/52/99/1133455299.db2.gz SIGOHVDJSSGEMC-HOTGVXAUSA-N 1 2 276.330 3.507 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc3ccccc3n2)C[C@@H]1F ZINC001204500048 1133455301 /nfs/dbraw/zinc/45/53/01/1133455301.db2.gz SIGOHVDJSSGEMC-HOTGVXAUSA-N 1 2 276.330 3.507 20 0 CHADLO Cc1c(F)cccc1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC001204508839 1133455538 /nfs/dbraw/zinc/45/55/38/1133455538.db2.gz TWOJNSYOTUFBHZ-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1c(F)cccc1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC001204508839 1133455541 /nfs/dbraw/zinc/45/55/41/1133455541.db2.gz TWOJNSYOTUFBHZ-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO COCOc1cc(C)c(-c2ccc3[nH+]ccn3c2C)c(C)c1 ZINC001204622239 1133467830 /nfs/dbraw/zinc/46/78/30/1133467830.db2.gz BRXRYRHFXRRTOB-UHFFFAOYSA-N 1 2 296.370 3.909 20 0 CHADLO CCc1cccc(Nc2ccc3c(c2)c(C)nn3C)[nH+]1 ZINC001204859562 1133492669 /nfs/dbraw/zinc/49/26/69/1133492669.db2.gz XWBJYJWBGDFFAL-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(C)c(Cl)c2)C[C@H](C)O1 ZINC001204899164 1133497115 /nfs/dbraw/zinc/49/71/15/1133497115.db2.gz OHJDAKLEPDCPMM-GXTWGEPZSA-N 1 2 267.800 3.648 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(C)c(Cl)c2)C[C@H](C)O1 ZINC001204899164 1133497117 /nfs/dbraw/zinc/49/71/17/1133497117.db2.gz OHJDAKLEPDCPMM-GXTWGEPZSA-N 1 2 267.800 3.648 20 0 CHADLO CC[N@H+](Cc1ccccc1F)Cc1ccc(OC)cc1F ZINC001204934154 1133503095 /nfs/dbraw/zinc/50/30/95/1133503095.db2.gz SHUMOKLUIGUWMN-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1ccccc1F)Cc1ccc(OC)cc1F ZINC001204934154 1133503099 /nfs/dbraw/zinc/50/30/99/1133503099.db2.gz SHUMOKLUIGUWMN-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO Cc1cc(C(F)(F)F)ncc1Nc1ccn2cc[nH+]c2c1 ZINC001204951723 1133506721 /nfs/dbraw/zinc/50/67/21/1133506721.db2.gz XWHADLWNUAJQGL-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO CC(C)c1cccc(Nc2ccn3cc[nH+]c3c2)n1 ZINC001204959440 1133507852 /nfs/dbraw/zinc/50/78/52/1133507852.db2.gz NPBOSSAAZAYMDY-UHFFFAOYSA-N 1 2 252.321 3.596 20 0 CHADLO c1cn2ccc(Nc3cnc4ccsc4c3)cc2[nH+]1 ZINC001204966108 1133509188 /nfs/dbraw/zinc/50/91/88/1133509188.db2.gz LKYDVZDPFBPHEV-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO c1cn2ccc(Nc3cncc(C4CCC4)c3)cc2[nH+]1 ZINC001204971650 1133510125 /nfs/dbraw/zinc/51/01/25/1133510125.db2.gz LVLHSYWPTGRSKT-UHFFFAOYSA-N 1 2 264.332 3.740 20 0 CHADLO Cc1cc(OC(F)F)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001204977617 1133511084 /nfs/dbraw/zinc/51/10/84/1133511084.db2.gz HRVDYJOKAGLZHQ-UHFFFAOYSA-N 1 2 289.285 3.988 20 0 CHADLO CCCOC(=O)c1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001204990648 1133513753 /nfs/dbraw/zinc/51/37/53/1133513753.db2.gz DBMYYJFFEZVFNZ-UHFFFAOYSA-N 1 2 295.342 3.645 20 0 CHADLO Cc1ccc2c(Nc3ccn4ccnc4c3)cccc2[nH+]1 ZINC001204991396 1133514314 /nfs/dbraw/zinc/51/43/14/1133514314.db2.gz PVNDRUGESVBLOC-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO COc1ccc2cccnc2c1Nc1ccn2cc[nH+]c2c1 ZINC001205006110 1133515843 /nfs/dbraw/zinc/51/58/43/1133515843.db2.gz SIPJTVDKZIEZMC-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cccc(C(F)(F)F)c1Cl ZINC001205037246 1133519579 /nfs/dbraw/zinc/51/95/79/1133519579.db2.gz PPGOHMZYIOMGBP-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cccc(C(F)(F)F)c1Cl ZINC001205037246 1133519584 /nfs/dbraw/zinc/51/95/84/1133519584.db2.gz PPGOHMZYIOMGBP-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO Cc1c(-c2c(F)cc(F)c(F)c2F)ccc2[nH+]ccn21 ZINC001205191445 1133544131 /nfs/dbraw/zinc/54/41/31/1133544131.db2.gz MXIRKJNAOIHRGV-UHFFFAOYSA-N 1 2 280.224 3.866 20 0 CHADLO C[N@H+](Cc1sccc1Cl)Cc1cccc2c1OCO2 ZINC001205354255 1133567438 /nfs/dbraw/zinc/56/74/38/1133567438.db2.gz VXIBVAFGUGCQMC-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO C[N@@H+](Cc1sccc1Cl)Cc1cccc2c1OCO2 ZINC001205354255 1133567442 /nfs/dbraw/zinc/56/74/42/1133567442.db2.gz VXIBVAFGUGCQMC-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO CCOc1c(F)c(F)ccc1-c1ccc2[nH+]c(C)cn2c1 ZINC001205804217 1133616004 /nfs/dbraw/zinc/61/60/04/1133616004.db2.gz DKGRBWMRYWCZOB-UHFFFAOYSA-N 1 2 288.297 3.987 20 0 CHADLO CCc1cccc(Nc2cnc3[nH]cc(C)c3c2)[nH+]1 ZINC001206033566 1133645014 /nfs/dbraw/zinc/64/50/14/1133645014.db2.gz UGAHWKXHWFPOHG-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CNc1cc(-c2ccc(F)c(OC(C)C)c2)cc[nH+]1 ZINC001206191866 1133669590 /nfs/dbraw/zinc/66/95/90/1133669590.db2.gz VJJRPTCJDMPLQC-UHFFFAOYSA-N 1 2 260.312 3.717 20 0 CHADLO Cc1ccc(Nc2ccccc2-n2cc[nH+]c2)c(O)c1 ZINC001206275296 1133680923 /nfs/dbraw/zinc/68/09/23/1133680923.db2.gz YGDDGBUCVZVBOE-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO Cc1c(Cl)ccc(-c2ccn3cc(N)[nH+]c3c2)c1F ZINC001206277945 1133681786 /nfs/dbraw/zinc/68/17/86/1133681786.db2.gz JLZGABXLZFZCIJ-UHFFFAOYSA-N 1 2 275.714 3.684 20 0 CHADLO CCc1[nH]nc(Cl)c1C[N@H+](C)Cc1ccc(C)c(C)c1 ZINC001206285988 1133682652 /nfs/dbraw/zinc/68/26/52/1133682652.db2.gz KAKBCORUBKJDBA-UHFFFAOYSA-N 1 2 291.826 3.874 20 0 CHADLO CCc1[nH]nc(Cl)c1C[N@@H+](C)Cc1ccc(C)c(C)c1 ZINC001206285988 1133682659 /nfs/dbraw/zinc/68/26/59/1133682659.db2.gz KAKBCORUBKJDBA-UHFFFAOYSA-N 1 2 291.826 3.874 20 0 CHADLO COCc1cc(C)c(-c2cc[nH+]c(N(C)C)c2)c(C)c1 ZINC001206323481 1133689921 /nfs/dbraw/zinc/68/99/21/1133689921.db2.gz HYZZGOWCAXVXIL-UHFFFAOYSA-N 1 2 270.376 3.578 20 0 CHADLO COCc1cc(C)c(-c2cccc3[nH+]ccn32)c(C)c1 ZINC001206324316 1133690177 /nfs/dbraw/zinc/69/01/77/1133690177.db2.gz SAGMQKRXHQUCKO-UHFFFAOYSA-N 1 2 266.344 3.765 20 0 CHADLO CCCOc1ccc(F)c(-c2cc(N)c(C)c[nH+]2)c1F ZINC001206733639 1133736345 /nfs/dbraw/zinc/73/63/45/1133736345.db2.gz WFCJVJVUHOPGIV-UHFFFAOYSA-N 1 2 278.302 3.706 20 0 CHADLO CO[C@@H](CNc1ccc(Nc2ccccc2)c[nH+]1)C1CC1 ZINC001206728672 1133736803 /nfs/dbraw/zinc/73/68/03/1133736803.db2.gz UHMOUKKUBCEKIO-INIZCTEOSA-N 1 2 283.375 3.662 20 0 CHADLO COc1cc(C)ccc1C[N@@H+]1CCCC(F)(F)[C@H](F)C1 ZINC001206740397 1133739495 /nfs/dbraw/zinc/73/94/95/1133739495.db2.gz FBUBBTVYVSKXLK-CQSZACIVSA-N 1 2 287.325 3.573 20 0 CHADLO COc1cc(C)ccc1C[N@H+]1CCCC(F)(F)[C@H](F)C1 ZINC001206740397 1133739501 /nfs/dbraw/zinc/73/95/01/1133739501.db2.gz FBUBBTVYVSKXLK-CQSZACIVSA-N 1 2 287.325 3.573 20 0 CHADLO COc1ccccc1[C@H](C)[N@H+](C)Cc1ccnn1C(C)C ZINC001207174172 1133773535 /nfs/dbraw/zinc/77/35/35/1133773535.db2.gz ISILXWUGOMVSGI-AWEZNQCLSA-N 1 2 287.407 3.666 20 0 CHADLO COc1ccccc1[C@H](C)[N@@H+](C)Cc1ccnn1C(C)C ZINC001207174172 1133773539 /nfs/dbraw/zinc/77/35/39/1133773539.db2.gz ISILXWUGOMVSGI-AWEZNQCLSA-N 1 2 287.407 3.666 20 0 CHADLO Cc1cccc(CC[N@@H+]2CCC[C@@H](F)C(F)(F)C2)c1 ZINC001207532801 1133816600 /nfs/dbraw/zinc/81/66/00/1133816600.db2.gz GWQPUFCAILTUSJ-CQSZACIVSA-N 1 2 271.326 3.607 20 0 CHADLO Cc1cccc(CC[N@H+]2CCC[C@@H](F)C(F)(F)C2)c1 ZINC001207532801 1133816607 /nfs/dbraw/zinc/81/66/07/1133816607.db2.gz GWQPUFCAILTUSJ-CQSZACIVSA-N 1 2 271.326 3.607 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)ccc(O)c1F)c1ccc(F)cc1 ZINC001207723358 1133842562 /nfs/dbraw/zinc/84/25/62/1133842562.db2.gz HVURVWZOLASXGI-SECBINFHSA-N 1 2 281.277 3.660 20 0 CHADLO Cc1cccnc1[C@H](C)[NH2+]Cc1cncc(Cl)c1Cl ZINC001207783058 1133847667 /nfs/dbraw/zinc/84/76/67/1133847667.db2.gz ASYTWJGSCMMIEA-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO C[C@@H]1C[C@H]([NH2+][C@@H](c2ccccc2)C(F)F)C[C@H](C)O1 ZINC001207873283 1133857012 /nfs/dbraw/zinc/85/70/12/1133857012.db2.gz PWUFUAGIMDCKCJ-UNJBNNCHSA-N 1 2 269.335 3.538 20 0 CHADLO C[C@H](C[NH+]1C[C@@H](F)[C@H](F)C1)c1cccc2ccccc21 ZINC001208706628 1133932455 /nfs/dbraw/zinc/93/24/55/1133932455.db2.gz QHVMHEDQUBPFQK-CSMYWGQOSA-N 1 2 275.342 3.935 20 0 CHADLO C[C@@H](C[NH+]1C[C@@H](F)[C@H](F)C1)c1cccc2ccccc21 ZINC001208706632 1133932976 /nfs/dbraw/zinc/93/29/76/1133932976.db2.gz QHVMHEDQUBPFQK-JCURWCKSSA-N 1 2 275.342 3.935 20 0 CHADLO CCOC(C)(C)C[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001209077038 1133973093 /nfs/dbraw/zinc/97/30/93/1133973093.db2.gz WVYKGDIGPMFCEP-HNNXBMFYSA-N 1 2 297.826 3.528 20 0 CHADLO CCOC(C)(C)C[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001209077038 1133973100 /nfs/dbraw/zinc/97/31/00/1133973100.db2.gz WVYKGDIGPMFCEP-HNNXBMFYSA-N 1 2 297.826 3.528 20 0 CHADLO F[C@@H]1CC[N@H+](CCc2c(Cl)cccc2Cl)C1 ZINC001209104743 1133975546 /nfs/dbraw/zinc/97/55/46/1133975546.db2.gz FNUMEFXYCMPJQY-SECBINFHSA-N 1 2 262.155 3.580 20 0 CHADLO F[C@@H]1CC[N@@H+](CCc2c(Cl)cccc2Cl)C1 ZINC001209104743 1133975548 /nfs/dbraw/zinc/97/55/48/1133975548.db2.gz FNUMEFXYCMPJQY-SECBINFHSA-N 1 2 262.155 3.580 20 0 CHADLO CCCOc1ccc(NCc2c[nH+]cn2CCC)cc1 ZINC000090205445 1133987843 /nfs/dbraw/zinc/98/78/43/1133987843.db2.gz TVQDPUVCYAEYCU-UHFFFAOYSA-N 1 2 273.380 3.694 20 0 CHADLO CC/C=C\CCCCCCN1CC[NH+](C2CC2)CC1 ZINC001209287710 1134003822 /nfs/dbraw/zinc/00/38/22/1134003822.db2.gz YSXZYFGLOHUVQR-ARJAWSKDSA-N 1 2 264.457 3.683 20 0 CHADLO Clc1ccc2c(n1)CC[N@@H+](Cc1ccc3cc[nH]c3c1)C2 ZINC001209513044 1134032983 /nfs/dbraw/zinc/03/29/83/1134032983.db2.gz WOCKDFSODMFUHH-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2c(n1)CC[N@H+](Cc1ccc3cc[nH]c3c1)C2 ZINC001209513044 1134032988 /nfs/dbraw/zinc/03/29/88/1134032988.db2.gz WOCKDFSODMFUHH-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Cc1cc(Cl)ncc1C[N@@H+](C)Cc1cccc(F)c1F ZINC001209633018 1134045291 /nfs/dbraw/zinc/04/52/91/1134045291.db2.gz FARCGQDCCCBTJR-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cc(Cl)ncc1C[N@H+](C)Cc1cccc(F)c1F ZINC001209633018 1134045297 /nfs/dbraw/zinc/04/52/97/1134045297.db2.gz FARCGQDCCCBTJR-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Oc1c(Cl)cccc1Nc1ccc2[nH]c[nH+]c2c1 ZINC001209847037 1134080598 /nfs/dbraw/zinc/08/05/98/1134080598.db2.gz NDWZHJUVWLZQMW-UHFFFAOYSA-N 1 2 259.696 3.666 20 0 CHADLO c1[nH]c2ccc(Nc3cccc(C4CC4)n3)cc2[nH+]1 ZINC001209848503 1134084796 /nfs/dbraw/zinc/08/47/96/1134084796.db2.gz PAKJKVOSEPHFCI-UHFFFAOYSA-N 1 2 250.305 3.579 20 0 CHADLO Nc1cc(Cl)c(Cl)nc1Nc1ccc2[nH]c[nH+]c2c1 ZINC001209848750 1134084919 /nfs/dbraw/zinc/08/49/19/1134084919.db2.gz RBFCWUQJODEFGT-UHFFFAOYSA-N 1 2 294.145 3.591 20 0 CHADLO CCCOc1ccc(Nc2cccn3cc[nH+]c23)cc1 ZINC001209867667 1134090065 /nfs/dbraw/zinc/09/00/65/1134090065.db2.gz OXPYESJHQSGZSI-UHFFFAOYSA-N 1 2 267.332 3.867 20 0 CHADLO COc1cc(Cl)ccc1Nc1[nH+]c2ccccc2n1C ZINC001209904835 1134099215 /nfs/dbraw/zinc/09/92/15/1134099215.db2.gz GDRVASSWXCEMNG-UHFFFAOYSA-N 1 2 287.750 3.979 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC)c2ccccc12 ZINC001209924817 1134103612 /nfs/dbraw/zinc/10/36/12/1134103612.db2.gz ZLAJFZJFZZNYEA-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO Oc1ccc(C(F)(F)F)cc1Nc1cccc2[nH+]ccn21 ZINC001209979458 1134114994 /nfs/dbraw/zinc/11/49/94/1134114994.db2.gz WKHMPCRSZRTREQ-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccccc2N(C)C)cc1 ZINC001209995578 1134119231 /nfs/dbraw/zinc/11/92/31/1134119231.db2.gz YXIUSXFQGYGSHT-UHFFFAOYSA-N 1 2 269.392 3.952 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccccc2N(C)C)cc1 ZINC001209995578 1134119234 /nfs/dbraw/zinc/11/92/34/1134119234.db2.gz YXIUSXFQGYGSHT-UHFFFAOYSA-N 1 2 269.392 3.952 20 0 CHADLO CSc1cc(Nc2ccc3c(c2)OCCCO3)cc[nH+]1 ZINC001210004202 1134120933 /nfs/dbraw/zinc/12/09/33/1134120933.db2.gz VXVRPFUZLGWFKU-UHFFFAOYSA-N 1 2 288.372 3.708 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(OCC4CC4)nc3)ccc21 ZINC001210015311 1134123177 /nfs/dbraw/zinc/12/31/77/1134123177.db2.gz XBOGNDJKZNPGAO-UHFFFAOYSA-N 1 2 294.358 3.501 20 0 CHADLO Cc1cc(Nc2ccc([NH+](C)C)c(C)c2)cnc1F ZINC001210040001 1134130845 /nfs/dbraw/zinc/13/08/45/1134130845.db2.gz KQKQTMWIMUEEGJ-UHFFFAOYSA-N 1 2 259.328 3.647 20 0 CHADLO CC(=O)Nc1ccccc1Nc1ccc([NH+](C)C)c(C)c1 ZINC001210040876 1134131144 /nfs/dbraw/zinc/13/11/44/1134131144.db2.gz DJVRXYJHPCERSP-UHFFFAOYSA-N 1 2 283.375 3.763 20 0 CHADLO COc1ncc(Nc2ccc([NH+](C)C)c(C)c2)cc1C ZINC001210039968 1134131231 /nfs/dbraw/zinc/13/12/31/1134131231.db2.gz JDXKTLXVHBEKFZ-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO Cc1cc(Nc2ccc3ncoc3c2)ccc1[NH+](C)C ZINC001210041358 1134131527 /nfs/dbraw/zinc/13/15/27/1134131527.db2.gz MWJDWCBHHASEIW-UHFFFAOYSA-N 1 2 267.332 3.946 20 0 CHADLO Cc1cc(N)cc2c1ccn2-c1ccc([NH+](C)C)c(C)c1 ZINC001210042900 1134132162 /nfs/dbraw/zinc/13/21/62/1134132162.db2.gz FPVRNMYPSFHFPB-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO Cc1cc(Nc2ccc(CO)cc2Cl)ccc1[NH+](C)C ZINC001210045201 1134132949 /nfs/dbraw/zinc/13/29/49/1134132949.db2.gz WGRRGZQOSJXEKM-UHFFFAOYSA-N 1 2 290.794 3.950 20 0 CHADLO Cc1cc(-n2ccc3cc(N)c(F)cc32)ccc1[NH+](C)C ZINC001210044954 1134132973 /nfs/dbraw/zinc/13/29/73/1134132973.db2.gz RSNSRHOQENXITN-UHFFFAOYSA-N 1 2 283.350 3.726 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1ccc([NH+](C)C)c(C)c1 ZINC001210043497 1134133070 /nfs/dbraw/zinc/13/30/70/1134133070.db2.gz GJWMIFYIPRUDCY-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N2CCCC2)ccc1N(C)C ZINC001210043800 1134133254 /nfs/dbraw/zinc/13/32/54/1134133254.db2.gz ZQOIGUIHDZPHCI-UHFFFAOYSA-N 1 2 296.418 3.800 20 0 CHADLO Fc1cnc(Nc2ccc3c[nH+]ccc3c2)c(F)c1 ZINC001210044441 1134133276 /nfs/dbraw/zinc/13/32/76/1134133276.db2.gz PUQMTIRYUWEVQJ-UHFFFAOYSA-N 1 2 257.243 3.652 20 0 CHADLO Nc1ccc(Cl)nc1Nc1ccc2c[nH+]ccc2c1 ZINC001210045280 1134133578 /nfs/dbraw/zinc/13/35/78/1134133578.db2.gz HAUJXENATWXENN-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Oc1cc(Cl)cnc1Nc1ccc2c[nH+]ccc2c1 ZINC001210046114 1134134103 /nfs/dbraw/zinc/13/41/03/1134134103.db2.gz SZLBPCSIBZNOSH-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO CCOc1cccnc1Nc1ccc2c[nH+]ccc2c1 ZINC001210045741 1134135025 /nfs/dbraw/zinc/13/50/25/1134135025.db2.gz ORJVYFQCJYKKHH-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(C(C)=O)ccc1OC ZINC001210078912 1134142131 /nfs/dbraw/zinc/14/21/31/1134142131.db2.gz VQRHINQQANDTSE-UHFFFAOYSA-N 1 2 284.359 3.907 20 0 CHADLO Cc1cc(C)c(Nc2cc3c[nH]nc3cc2C)c[nH+]1 ZINC001210100631 1134146035 /nfs/dbraw/zinc/14/60/35/1134146035.db2.gz VQCKCMHWRKRGJU-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO COc1cc[nH+]cc1Nc1c(OC)ccc2ccccc21 ZINC001210168815 1134159683 /nfs/dbraw/zinc/15/96/83/1134159683.db2.gz QFZZKBYGWDFFNM-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO CC(C)(C)c1nc(Nc2cccc3cc[nH+]cc32)no1 ZINC001210222386 1134169853 /nfs/dbraw/zinc/16/98/53/1134169853.db2.gz FMHMWLHTBPNXTH-UHFFFAOYSA-N 1 2 268.320 3.659 20 0 CHADLO COC(=O)c1ccc(Nc2cccc3cc[nH+]cc32)s1 ZINC001210222570 1134170511 /nfs/dbraw/zinc/17/05/11/1134170511.db2.gz OUPAFIDOTCSBNY-UHFFFAOYSA-N 1 2 284.340 3.827 20 0 CHADLO CC(C)n1cc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001210223726 1134171502 /nfs/dbraw/zinc/17/15/02/1134171502.db2.gz DUNWMWDRBXVBQN-UHFFFAOYSA-N 1 2 252.321 3.756 20 0 CHADLO COC(=O)c1sccc1Nc1cccc2cc[nH+]cc21 ZINC001210224119 1134171573 /nfs/dbraw/zinc/17/15/73/1134171573.db2.gz QEPGQYSLIADWOX-UHFFFAOYSA-N 1 2 284.340 3.827 20 0 CHADLO Nc1ccc2c(c1)N(c1cccc3cc[nH+]cc31)CCC2 ZINC001210230871 1134172728 /nfs/dbraw/zinc/17/27/28/1134172728.db2.gz GIJXBYINJMXLLB-UHFFFAOYSA-N 1 2 275.355 3.901 20 0 CHADLO c1cc2cc[nH+]cc2c(Nc2noc3cnccc23)c1 ZINC001210224788 1134172950 /nfs/dbraw/zinc/17/29/50/1134172950.db2.gz VHNDHPWMWMGBPG-UHFFFAOYSA-N 1 2 262.272 3.515 20 0 CHADLO COc1cc(N)ccc1Nc1cccc2cc[nH+]cc21 ZINC001210232073 1134173405 /nfs/dbraw/zinc/17/34/05/1134173405.db2.gz YPNLNKBJFGBUJJ-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO Nc1ccc2c(c1)CCCN2c1cccc2cc[nH+]cc21 ZINC001210231733 1134173608 /nfs/dbraw/zinc/17/36/08/1134173608.db2.gz CROSCFAHLFBJEC-UHFFFAOYSA-N 1 2 275.355 3.901 20 0 CHADLO COC(=O)c1cccc(F)c1Nc1cccc2cc[nH+]cc21 ZINC001210231951 1134174224 /nfs/dbraw/zinc/17/42/24/1134174224.db2.gz VYUCPHYIMDYUEQ-UHFFFAOYSA-N 1 2 296.301 3.904 20 0 CHADLO Nc1cccc2c1CCN2c1cccc2cc[nH+]cc21 ZINC001210232694 1134174845 /nfs/dbraw/zinc/17/48/45/1134174845.db2.gz NUUZQJHAKCBCSV-UHFFFAOYSA-N 1 2 261.328 3.511 20 0 CHADLO CCC[C@@H](C)c1cc(Nc2c[nH+]c(C)c(N)c2)on1 ZINC001210285199 1134185338 /nfs/dbraw/zinc/18/53/38/1134185338.db2.gz LZUBKIIUKMRESU-SECBINFHSA-N 1 2 260.341 3.607 20 0 CHADLO Cc1[nH+]cc(Nc2ccnn2-c2ccccc2Cl)cc1N ZINC001210288460 1134186727 /nfs/dbraw/zinc/18/67/27/1134186727.db2.gz JJHVFVMAZZAWGH-UHFFFAOYSA-N 1 2 299.765 3.555 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)cc(F)c2Cl)cc1N ZINC001210290848 1134186801 /nfs/dbraw/zinc/18/68/01/1134186801.db2.gz RCAOTPUVMJADKS-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO Cc1[nH+]cc(Nc2cc(C(C)(C)C)ccc2N)cc1N ZINC001210290519 1134186882 /nfs/dbraw/zinc/18/68/82/1134186882.db2.gz MSDKVGXEKRHQEG-UHFFFAOYSA-N 1 2 270.380 3.596 20 0 CHADLO Cc1[nH+]cc(Nc2cccc3sccc32)cc1N ZINC001210289516 1134186960 /nfs/dbraw/zinc/18/69/60/1134186960.db2.gz PCCIBRUKHARMQR-UHFFFAOYSA-N 1 2 255.346 3.931 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)c(N)c2)c(F)cc1Cl ZINC001210291019 1134187379 /nfs/dbraw/zinc/18/73/79/1134187379.db2.gz IYAHAOUTMIXIMJ-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)ccc2OC(C)C)cc1N ZINC001210291464 1134187979 /nfs/dbraw/zinc/18/79/79/1134187979.db2.gz MHLNUGHUYVVHLV-UHFFFAOYSA-N 1 2 275.327 3.642 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(C(=O)OCC(C)C)cc2)cc1N ZINC001210290908 1134188026 /nfs/dbraw/zinc/18/80/26/1134188026.db2.gz UQZGMZWVUCQBOX-UHFFFAOYSA-N 1 2 299.374 3.529 20 0 CHADLO CCOc1cc(Nc2cccc(F)c2OC)cc(C)[nH+]1 ZINC001210404000 1134208743 /nfs/dbraw/zinc/20/87/43/1134208743.db2.gz KSFCSJYIIBGOFR-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc(CO)c(F)c1 ZINC001210485976 1134227389 /nfs/dbraw/zinc/22/73/89/1134227389.db2.gz HPGNXHZHHHPDQM-UHFFFAOYSA-N 1 2 274.339 3.888 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cc(F)c(F)cc1OC ZINC001210544825 1134244172 /nfs/dbraw/zinc/24/41/72/1134244172.db2.gz PMRXGAHMOVDHFC-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(NC(=O)N(C)C)cc2)c1 ZINC001210632861 1134263749 /nfs/dbraw/zinc/26/37/49/1134263749.db2.gz ONMVZIJYOQSKNR-UHFFFAOYSA-N 1 2 298.390 3.871 20 0 CHADLO CN(C)C(=O)Nc1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001210632869 1134263933 /nfs/dbraw/zinc/26/39/33/1134263933.db2.gz OXJYCGRZIINQLI-UHFFFAOYSA-N 1 2 296.374 3.796 20 0 CHADLO C[C@@H](O)c1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1 ZINC001210643805 1134266182 /nfs/dbraw/zinc/26/61/82/1134266182.db2.gz GNKBESXFQSTCRZ-SNVBAGLBSA-N 1 2 287.750 3.785 20 0 CHADLO Cc1ccc(CO)cc1Nc1[nH+]cccc1C(C)C ZINC001210677530 1134272023 /nfs/dbraw/zinc/27/20/23/1134272023.db2.gz OGLMYSKIISVPMU-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO CCOc1cncc(Nc2ccc([N@H+](C)CC)cc2)c1 ZINC001210668612 1134272099 /nfs/dbraw/zinc/27/20/99/1134272099.db2.gz JZBUEJDBROITFI-UHFFFAOYSA-N 1 2 271.364 3.680 20 0 CHADLO CCOc1cncc(Nc2ccc([N@@H+](C)CC)cc2)c1 ZINC001210668612 1134272106 /nfs/dbraw/zinc/27/21/06/1134272106.db2.gz JZBUEJDBROITFI-UHFFFAOYSA-N 1 2 271.364 3.680 20 0 CHADLO Sc1ccc(NC2=CC[C@H]([NH+]3CCCC3)CC2)cc1 ZINC001210714900 1134281201 /nfs/dbraw/zinc/28/12/01/1134281201.db2.gz RCGHJIPYRUVRRR-HNNXBMFYSA-N 1 2 274.433 3.919 20 0 CHADLO Cc1cn2cccc(Nc3ccccc3-n3cccn3)c2[nH+]1 ZINC001210729939 1134283824 /nfs/dbraw/zinc/28/38/24/1134283824.db2.gz ZXDJKYRYJWUXEN-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO COc1cc(Nc2cc(Cl)ncc2Cl)cc(C)[nH+]1 ZINC001210775689 1134293132 /nfs/dbraw/zinc/29/31/32/1134293132.db2.gz ZJRMOWKBERXQQW-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc(Nc2ccc3ccccc3n2)cc(C)[nH+]1 ZINC001210777438 1134294020 /nfs/dbraw/zinc/29/40/20/1134294020.db2.gz YDQQZLHGLVAZFD-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1cc(Nc2cc(C(F)(F)F)ccc2N)cc(C)[nH+]1 ZINC001210780665 1134295204 /nfs/dbraw/zinc/29/52/04/1134295204.db2.gz ZLHQNQPIAGMYBK-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO COc1cc(Nc2ccc(-n3cnc(C)c3)cc2)cc(C)[nH+]1 ZINC001210780625 1134295304 /nfs/dbraw/zinc/29/53/04/1134295304.db2.gz WNKKXRNLNNUGKR-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COc1cc(Nc2cncc(-c3ccccn3)c2)cc(C)[nH+]1 ZINC001210780025 1134295425 /nfs/dbraw/zinc/29/54/25/1134295425.db2.gz CBOGQOXRIVDKAT-UHFFFAOYSA-N 1 2 292.342 3.599 20 0 CHADLO COc1cc(Nc2cc(F)cc(F)c2C(C)=O)cc(C)[nH+]1 ZINC001210780107 1134295567 /nfs/dbraw/zinc/29/55/67/1134295567.db2.gz AVVKOVLJNNNKKW-UHFFFAOYSA-N 1 2 292.285 3.623 20 0 CHADLO COc1cc(Nc2cncc(C3CCC3)c2)cc(C)[nH+]1 ZINC001210779605 1134296037 /nfs/dbraw/zinc/29/60/37/1134296037.db2.gz VDNLLBYLSOLYNJ-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3ccccc3n2)c[nH+]1 ZINC001210814436 1134303155 /nfs/dbraw/zinc/30/31/55/1134303155.db2.gz WZMVVLNRSCUEIN-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO CCc1[nH]nc2ncc(Nc3[nH+]c(C)ccc3CC)cc21 ZINC001210882123 1134323309 /nfs/dbraw/zinc/32/33/09/1134323309.db2.gz VFSQHPHUANUTQV-UHFFFAOYSA-N 1 2 281.363 3.530 20 0 CHADLO Cc1ccc(Nc2c(N)ccc(Br)c2C)[nH+]c1 ZINC001211011956 1134349345 /nfs/dbraw/zinc/34/93/45/1134349345.db2.gz LQWKLDTUFWOANF-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)N(C)CCC3)[nH+]c1 ZINC001211014241 1134350840 /nfs/dbraw/zinc/35/08/40/1134350840.db2.gz VULWVIZZLYNRJV-UHFFFAOYSA-N 1 2 253.349 3.516 20 0 CHADLO CCCOc1ccccc1Nc1cccc2[nH+]ccn21 ZINC001211376375 1134413633 /nfs/dbraw/zinc/41/36/33/1134413633.db2.gz YPZDEEFLMZYSNT-UHFFFAOYSA-N 1 2 267.332 3.867 20 0 CHADLO COC(=O)c1cncc(Nc2c[nH+]c(CC(C)C)cc2C)c1 ZINC001211455425 1134417273 /nfs/dbraw/zinc/41/72/73/1134417273.db2.gz PYXGQUILBDIQEK-UHFFFAOYSA-N 1 2 299.374 3.514 20 0 CHADLO COc1ccc(O)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213088830 1134424258 /nfs/dbraw/zinc/42/42/58/1134424258.db2.gz GKDNRWPGSNKQRV-UHFFFAOYSA-N 1 2 281.315 3.535 20 0 CHADLO Cc1ccc(F)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n1 ZINC001213087737 1134424272 /nfs/dbraw/zinc/42/42/72/1134424272.db2.gz YGIWNKOTJDWYLG-UHFFFAOYSA-N 1 2 268.295 3.663 20 0 CHADLO S=c1[nH]cccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086038 1134424302 /nfs/dbraw/zinc/42/43/02/1134424302.db2.gz DXLVKOMHELWYPK-UHFFFAOYSA-N 1 2 268.345 3.504 20 0 CHADLO COc1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213088112 1134424337 /nfs/dbraw/zinc/42/43/37/1134424337.db2.gz PUQAGZUXGMPHNE-UHFFFAOYSA-N 1 2 265.316 3.829 20 0 CHADLO Cc1cc(O)ccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088838 1134424450 /nfs/dbraw/zinc/42/44/50/1134424450.db2.gz GSJHIDCWNFSQOP-UHFFFAOYSA-N 1 2 265.316 3.834 20 0 CHADLO Cc1c(F)ccc(N)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090749 1134425356 /nfs/dbraw/zinc/42/53/56/1134425356.db2.gz DPOIJZNSXXVXSS-UHFFFAOYSA-N 1 2 282.322 3.850 20 0 CHADLO Nc1cc(F)c(F)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089169 1134425689 /nfs/dbraw/zinc/42/56/89/1134425689.db2.gz XICNYRPMYBAKRR-UHFFFAOYSA-N 1 2 286.285 3.681 20 0 CHADLO Nc1cc(F)cc(F)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089021 1134425922 /nfs/dbraw/zinc/42/59/22/1134425922.db2.gz PIPNEQIPMIKJSW-UHFFFAOYSA-N 1 2 286.285 3.681 20 0 CHADLO c1cn(Cc2cccc(Nc3ccc4c(c3)NCC4)c2)c[nH+]1 ZINC001213153528 1134431935 /nfs/dbraw/zinc/43/19/35/1134431935.db2.gz HARJCKFFDDJDGM-UHFFFAOYSA-N 1 2 290.370 3.643 20 0 CHADLO Cc1cc(C)c(Nc2c(N)ccc(Cl)c2C)c[nH+]1 ZINC001213518271 1134458735 /nfs/dbraw/zinc/45/87/35/1134458735.db2.gz FGNHOBCALOBFGA-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO COc1cc[nH+]cc1Nc1c(OC)cccc1C(F)(F)F ZINC001213341398 1134443008 /nfs/dbraw/zinc/44/30/08/1134443008.db2.gz UHEXYPMPHOPRIR-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO COC(=O)c1sccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491925 1134453765 /nfs/dbraw/zinc/45/37/65/1134453765.db2.gz UTSKQQHFQAKJEQ-UHFFFAOYSA-N 1 2 288.372 3.859 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnc(C(F)(F)F)nc1 ZINC001213492166 1134453801 /nfs/dbraw/zinc/45/38/01/1134453801.db2.gz YEKUEILXBHWWLI-UHFFFAOYSA-N 1 2 294.280 3.820 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccnn1C1CCOCC1 ZINC001213497258 1134454869 /nfs/dbraw/zinc/45/48/69/1134454869.db2.gz LCRWTGMHRHGBBY-UHFFFAOYSA-N 1 2 298.390 3.559 20 0 CHADLO COc1cc(Nc2cc(C3CC3)c[nH+]c2C)ccc1O ZINC001213499473 1134455270 /nfs/dbraw/zinc/45/52/70/1134455270.db2.gz KUKHQYIEHDZBKC-UHFFFAOYSA-N 1 2 270.332 3.725 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(CO)ccc(F)c1F ZINC001213500888 1134456472 /nfs/dbraw/zinc/45/64/72/1134456472.db2.gz BHOYDFFYLAWKDC-UHFFFAOYSA-N 1 2 290.313 3.782 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1-n1ccc2cccc(N)c21 ZINC001213501424 1134456567 /nfs/dbraw/zinc/45/65/67/1134456567.db2.gz XWGXGFFONZPHAP-UHFFFAOYSA-N 1 2 263.344 3.794 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)ccc1CO ZINC001213501404 1134456797 /nfs/dbraw/zinc/45/67/97/1134456797.db2.gz WWJIEQVBNWNWDR-UHFFFAOYSA-N 1 2 272.323 3.642 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(C2=NCCO2)cc1 ZINC001213501114 1134456809 /nfs/dbraw/zinc/45/68/09/1134456809.db2.gz ISVTXHYHJVRNCA-UHFFFAOYSA-N 1 2 293.370 3.788 20 0 CHADLO Cc1cc(Nc2cc(C3CC3)c[nH+]c2C)ccc1CO ZINC001213501347 1134456848 /nfs/dbraw/zinc/45/68/48/1134456848.db2.gz UGMLVWKQUJQVGQ-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO Cc1cc(C)c(Nc2ncccc2Br)c[nH+]1 ZINC001213503769 1134457827 /nfs/dbraw/zinc/45/78/27/1134457827.db2.gz XCOJAKLHYISRHH-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1cc(C)c(Nc2cnc3cccc(O)c3c2)c[nH+]1 ZINC001213510898 1134457980 /nfs/dbraw/zinc/45/79/80/1134457980.db2.gz LFZAQDYPEWVMFM-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO COC(=O)[C@@H]1CCc2cc(Nc3c[nH+]c(C)cc3C)ccc21 ZINC001213521078 1134458758 /nfs/dbraw/zinc/45/87/58/1134458758.db2.gz SAWKSHIGXRCKIL-MRXNPFEDSA-N 1 2 296.370 3.645 20 0 CHADLO CC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)c(F)c1 ZINC001213518596 1134458792 /nfs/dbraw/zinc/45/87/92/1134458792.db2.gz XKZZRMAWVJIQEY-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1cc(C)c(Nc2cc(Cl)cc(CO)c2)c[nH+]1 ZINC001213518291 1134459018 /nfs/dbraw/zinc/45/90/18/1134459018.db2.gz GHNXACCMKLUHMV-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1cc(C)c(Nc2cccc3c2C(=O)N(C2CC2)C3)c[nH+]1 ZINC001213520945 1134459074 /nfs/dbraw/zinc/45/90/74/1134459074.db2.gz LQCBEORVVSSNAG-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO Cc1ccc(CCCO)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213518221 1134459241 /nfs/dbraw/zinc/45/92/41/1134459241.db2.gz BMKMYJGBICMICE-UHFFFAOYSA-N 1 2 270.376 3.675 20 0 CHADLO COC(=O)c1c(F)cc(Nc2c[nH+]c(C)cc2C)cc1F ZINC001213518218 1134459308 /nfs/dbraw/zinc/45/93/08/1134459308.db2.gz BJJITJVDVKNOBH-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1cc(C)c(Nc2c(O)cccc2Br)c[nH+]1 ZINC001213515685 1134459343 /nfs/dbraw/zinc/45/93/43/1134459343.db2.gz DMDWTGIXIRTMMR-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1nc2c(cccc2Nc2c[nH+]c(C)cc2C)o1 ZINC001213515797 1134459543 /nfs/dbraw/zinc/45/95/43/1134459543.db2.gz LUZULTAESAURLF-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO COc1ccccc1-n1nccc1Nc1c[nH+]cc(C)c1C ZINC001213527170 1134460275 /nfs/dbraw/zinc/46/02/75/1134460275.db2.gz BIEWTHNFQLMHEU-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO CC(=O)c1ccc(F)cc1Nc1c[nH+]cc(C)c1C ZINC001213534094 1134462557 /nfs/dbraw/zinc/46/25/57/1134462557.db2.gz MDIZZCMLNHMRQL-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)CC(C)(C)NC3=O)c1C ZINC001213536384 1134463273 /nfs/dbraw/zinc/46/32/73/1134463273.db2.gz GISDSVPGVPBQKL-UHFFFAOYSA-N 1 2 295.386 3.507 20 0 CHADLO COCc1ccc(C)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001213570536 1134464260 /nfs/dbraw/zinc/46/42/60/1134464260.db2.gz BHFOWEZCFYZKHL-UHFFFAOYSA-N 1 2 297.402 3.880 20 0 CHADLO COc1cccc(C2CC2)c1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001213816078 1134488126 /nfs/dbraw/zinc/48/81/26/1134488126.db2.gz DTPVGKVXYUHVNE-UHFFFAOYSA-N 1 2 293.370 3.802 20 0 CHADLO FC(F)(F)Cc1ccc(Nc2ccn3cc[nH+]c3c2)cn1 ZINC001213913354 1134496573 /nfs/dbraw/zinc/49/65/73/1134496573.db2.gz BFOITGXHZRXTAK-UHFFFAOYSA-N 1 2 292.264 3.578 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cc(C)ccc1OCOC ZINC001214234056 1134524432 /nfs/dbraw/zinc/52/44/32/1134524432.db2.gz VQNCYITUXBMPEU-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)ccc1OCOC ZINC001214237778 1134524936 /nfs/dbraw/zinc/52/49/36/1134524936.db2.gz WWYSNQHBTGDUJK-UHFFFAOYSA-N 1 2 288.347 3.515 20 0 CHADLO Cc1ccc(N(C)c2[nH+]cccc2N)cc1OC(C)C ZINC001214329799 1134529645 /nfs/dbraw/zinc/52/96/45/1134529645.db2.gz QLECTABUJOGIPP-UHFFFAOYSA-N 1 2 271.364 3.527 20 0 CHADLO COCOc1ccc(C)c(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001214359309 1134533236 /nfs/dbraw/zinc/53/32/36/1134533236.db2.gz CARDTCSKZDHYRD-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)cccc1OCOC ZINC001214438670 1134537818 /nfs/dbraw/zinc/53/78/18/1134537818.db2.gz ZNULRMDZJOMLBX-UHFFFAOYSA-N 1 2 290.338 3.818 20 0 CHADLO Cc1cc[nH+]c(C)c1Nc1cc(F)c(O)c(Cl)c1 ZINC001214482849 1134542213 /nfs/dbraw/zinc/54/22/13/1134542213.db2.gz RJHLKKPJEFTPGA-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO COc1ccc(C)c(F)c1Nc1cccc2[nH+]ccn21 ZINC001214622201 1134558828 /nfs/dbraw/zinc/55/88/28/1134558828.db2.gz BYFLDNVMLBLFLF-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(Cl)c2CO)[nH+]1 ZINC001214641499 1134560406 /nfs/dbraw/zinc/56/04/06/1134560406.db2.gz PKBMVJABPKZHDZ-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO CC(=O)c1cc(Nc2[nH+]c3ccccc3n2C)cs1 ZINC001214771351 1134570544 /nfs/dbraw/zinc/57/05/44/1134570544.db2.gz KLRPLAGVWVDHID-UHFFFAOYSA-N 1 2 271.345 3.581 20 0 CHADLO Oc1c(Cl)ccc(Nc2c[nH+]c3ccccn23)c1F ZINC001214793558 1134572968 /nfs/dbraw/zinc/57/29/68/1134572968.db2.gz GGXGBNJDWXDNTF-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(C)c1OCOC ZINC001214846510 1134577010 /nfs/dbraw/zinc/57/70/10/1134577010.db2.gz GLEZPJWRIZXWBQ-UHFFFAOYSA-N 1 2 288.347 3.515 20 0 CHADLO CSc1ccc(Nc2[nH+]cccc2N)cc1Cl ZINC001215065703 1134595407 /nfs/dbraw/zinc/59/54/07/1134595407.db2.gz PQYFPIDOEHORAA-UHFFFAOYSA-N 1 2 265.769 3.783 20 0 CHADLO COCOc1cc(C)c(Nc2cccn3cc[nH+]c23)c(C)c1 ZINC001215159934 1134602834 /nfs/dbraw/zinc/60/28/34/1134602834.db2.gz JRTFFKJWOPLASD-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO Oc1cc(F)c(Nc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC001215571590 1134644793 /nfs/dbraw/zinc/64/47/93/1134644793.db2.gz ILSCBRUTFHSIQQ-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO Cc1cc(C)c(Nc2ccc(Cl)c(CO)c2F)c[nH+]1 ZINC001215605605 1134652876 /nfs/dbraw/zinc/65/28/76/1134652876.db2.gz LRRJNVPGUUDOGD-UHFFFAOYSA-N 1 2 280.730 3.727 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(OC)c(OC)cc1F ZINC001215720492 1134665788 /nfs/dbraw/zinc/66/57/88/1134665788.db2.gz BLTLPWCQHZGVAS-UHFFFAOYSA-N 1 2 290.338 3.852 20 0 CHADLO Cc1ccc(Nc2ccc(C)c3n[nH]cc32)c(C)[nH+]1 ZINC001215754580 1134673740 /nfs/dbraw/zinc/67/37/40/1134673740.db2.gz XVBDDOPKUDLRTL-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO CSc1cc(-n2c(C)[nH+]c3ccc(N)cc32)ccc1F ZINC001215795153 1134689375 /nfs/dbraw/zinc/68/93/75/1134689375.db2.gz GJFZEDJNSFQGFT-UHFFFAOYSA-N 1 2 287.363 3.777 20 0 CHADLO COc1cccc(Nc2ccc3c(c2)[nH+]cn3C)c1C ZINC001215799145 1134689771 /nfs/dbraw/zinc/68/97/71/1134689771.db2.gz XYNQJEQJOFAJPW-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(C(C)C)ccc1N ZINC001216020901 1134751619 /nfs/dbraw/zinc/75/16/19/1134751619.db2.gz HYTXURVMWZUHFC-UHFFFAOYSA-N 1 2 280.375 3.622 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(N)c(C(C)C)c1 ZINC001216042073 1134758458 /nfs/dbraw/zinc/75/84/58/1134758458.db2.gz HGBMWZDYMUNHCK-UHFFFAOYSA-N 1 2 257.337 3.539 20 0 CHADLO COc1cc(Nc2c(C)cc[nH+]c2C(C)C)ccc1CO ZINC001216112997 1134781875 /nfs/dbraw/zinc/78/18/75/1134781875.db2.gz NICNFZWQQXWURF-UHFFFAOYSA-N 1 2 286.375 3.758 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1cccc(-c2ccncc2)c1 ZINC001216146635 1134790544 /nfs/dbraw/zinc/79/05/44/1134790544.db2.gz AEPXVKSYZXIRMH-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CNc1cc(F)cc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001216186228 1134799413 /nfs/dbraw/zinc/79/94/13/1134799413.db2.gz AQECVQNGBIIBQW-UHFFFAOYSA-N 1 2 282.322 3.797 20 0 CHADLO Cc1c(F)cncc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001216191434 1134802372 /nfs/dbraw/zinc/80/23/72/1134802372.db2.gz UEVWYPLCWRZZIF-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO Cc1c(F)cncc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001216191830 1134803236 /nfs/dbraw/zinc/80/32/36/1134803236.db2.gz HUOQJSDZFLLSMJ-UHFFFAOYSA-N 1 2 276.702 3.574 20 0 CHADLO COc1cc(Nc2ccc(C)c[nH+]2)ccc1OC(C)C ZINC001216245306 1134824202 /nfs/dbraw/zinc/82/42/02/1134824202.db2.gz ZVNVCGOMIAZALG-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO COc1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)cc1N ZINC001216254587 1134828106 /nfs/dbraw/zinc/82/81/06/1134828106.db2.gz UNKPIBTWDWGCGS-UHFFFAOYSA-N 1 2 299.761 3.645 20 0 CHADLO Cc1cc(C)c(Nc2ccc(CO)c(C)c2Cl)c[nH+]1 ZINC001216326381 1134856500 /nfs/dbraw/zinc/85/65/00/1134856500.db2.gz DGUXIPZNALLXFL-UHFFFAOYSA-N 1 2 276.767 3.896 20 0 CHADLO Cc1cn2c(cccc2Nc2c(F)ccc(F)c2C)[nH+]1 ZINC001216342585 1134863646 /nfs/dbraw/zinc/86/36/46/1134863646.db2.gz DZHPIAWHQZHOEL-UHFFFAOYSA-N 1 2 273.286 3.973 20 0 CHADLO COc1cc(Nc2cc[nH+]c(SC)c2)c(Cl)cn1 ZINC001216384802 1134877264 /nfs/dbraw/zinc/87/72/64/1134877264.db2.gz BWCPWOCJMWLAOP-UHFFFAOYSA-N 1 2 281.768 3.604 20 0 CHADLO Oc1ccc(Cl)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001216398961 1134882703 /nfs/dbraw/zinc/88/27/03/1134882703.db2.gz OTJXFQWSFDVEPT-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO Oc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(Cl)c1 ZINC001216427807 1134892717 /nfs/dbraw/zinc/89/27/17/1134892717.db2.gz FGYJBDXFGQSSGM-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(Cl)cc(CO)c2)c1 ZINC001216438385 1134897384 /nfs/dbraw/zinc/89/73/84/1134897384.db2.gz SMMGYQJQIXSMTC-UHFFFAOYSA-N 1 2 276.767 3.923 20 0 CHADLO CCOc1ccc(Cl)cc1Nc1[nH+]cc(C)cc1N ZINC001216446882 1134899995 /nfs/dbraw/zinc/89/99/95/1134899995.db2.gz HCZJNZRKVXHKCM-UHFFFAOYSA-N 1 2 277.755 3.768 20 0 CHADLO CCOC(=O)/C=C/c1ccc(Nc2ccc(C)c[nH+]2)cc1 ZINC001216473027 1134901338 /nfs/dbraw/zinc/90/13/38/1134901338.db2.gz MSCMXDQZFOCLHL-YRNVUSSQSA-N 1 2 282.343 3.710 20 0 CHADLO CCCOc1ccc(Nc2ccccc2-n2cc[nH+]c2)cn1 ZINC001216586070 1134923865 /nfs/dbraw/zinc/92/38/65/1134923865.db2.gz ZJFBAMVJUKVYNG-UHFFFAOYSA-N 1 2 294.358 3.800 20 0 CHADLO Cc1cn2cccc(Nc3cncc(OCC4CC4)c3)c2[nH+]1 ZINC001216597139 1134928547 /nfs/dbraw/zinc/92/85/47/1134928547.db2.gz TUUWREGHEHQSPL-UHFFFAOYSA-N 1 2 294.358 3.570 20 0 CHADLO CCC[C@H](NC(=O)Nc1ccn2cc[nH+]c2c1)C(C)(C)C ZINC001217319737 1134971870 /nfs/dbraw/zinc/97/18/70/1134971870.db2.gz ZXSGMSHXQMILGX-ZDUSSCGKSA-N 1 2 288.395 3.671 20 0 CHADLO Cc1ccc(Cl)cc1[C@H](C)Oc1cc(N)cc[nH+]1 ZINC001218299722 1135082774 /nfs/dbraw/zinc/08/27/74/1135082774.db2.gz GSRJGRKBYBWXIR-JTQLQIEISA-N 1 2 262.740 3.766 20 0 CHADLO Clc1nc(C[N@@H+]2CC[C@H]3CCCC[C@H]3C2)cs1 ZINC000310094449 1135119832 /nfs/dbraw/zinc/11/98/32/1135119832.db2.gz UGQYUTWFTCCMSQ-MNOVXSKESA-N 1 2 270.829 3.809 20 0 CHADLO Clc1nc(C[N@H+]2CC[C@H]3CCCC[C@H]3C2)cs1 ZINC000310094449 1135119838 /nfs/dbraw/zinc/11/98/38/1135119838.db2.gz UGQYUTWFTCCMSQ-MNOVXSKESA-N 1 2 270.829 3.809 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2cc(F)c(O)cc2F)cc1F ZINC001222082424 1135190525 /nfs/dbraw/zinc/19/05/25/1135190525.db2.gz DGCORIBZSXZBHZ-UHFFFAOYSA-N 1 2 299.267 3.677 20 0 CHADLO COc1ccc2cc([C@H](C)OCCn3cc[nH+]c3)ccc2c1 ZINC001222135499 1135198965 /nfs/dbraw/zinc/19/89/65/1135198965.db2.gz YZLBNNJPAWDXPB-AWEZNQCLSA-N 1 2 296.370 3.823 20 0 CHADLO COCc1ccc(Cl)c(-c2cc(-n3ccnc3)cc[nH+]2)c1 ZINC001222251542 1135214287 /nfs/dbraw/zinc/21/42/87/1135214287.db2.gz XBESEPJJGDVASI-UHFFFAOYSA-N 1 2 299.761 3.734 20 0 CHADLO Clc1ccccc1-c1ccc(Cn2cc[nH+]c2)cn1 ZINC001222423196 1135240412 /nfs/dbraw/zinc/24/04/12/1135240412.db2.gz MKGSHFCHZCWTNI-UHFFFAOYSA-N 1 2 269.735 3.647 20 0 CHADLO C[C@@H](OCc1c[nH+]cn1C)c1cccc(C(F)(F)F)c1 ZINC001222594999 1135253645 /nfs/dbraw/zinc/25/36/45/1135253645.db2.gz LGKAGJXKYUNIRM-SNVBAGLBSA-N 1 2 284.281 3.717 20 0 CHADLO CCCC(=O)[C@@H](CCC)OCc1cc(C)[nH+]c(C)c1 ZINC001223420116 1135342291 /nfs/dbraw/zinc/34/22/91/1135342291.db2.gz IAWASUFKMWQDBU-MRXNPFEDSA-N 1 2 263.381 3.753 20 0 CHADLO COc1cccc([C@H](C)OCc2cc(C)[nH+]c(C)c2)c1 ZINC001223421901 1135343730 /nfs/dbraw/zinc/34/37/30/1135343730.db2.gz RQTXBTHLFSFQEA-AWEZNQCLSA-N 1 2 271.360 3.985 20 0 CHADLO c1ccc(C[NH+]2CC(OCCSc3ccccc3)C2)cc1 ZINC001224906573 1135492308 /nfs/dbraw/zinc/49/23/08/1135492308.db2.gz QASAOVHJUKVYJD-UHFFFAOYSA-N 1 2 299.439 3.680 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](c1cccnc1)C1CC1 ZINC001224964629 1135496923 /nfs/dbraw/zinc/49/69/23/1135496923.db2.gz BAHRZHVWNIVISQ-MRXNPFEDSA-N 1 2 276.380 3.733 20 0 CHADLO CCOC(=[NH2+])CCCCOC[C@H]1CCc2ccccc2O1 ZINC001224963883 1135497320 /nfs/dbraw/zinc/49/73/20/1135497320.db2.gz RFXQSIWKZGQRTF-OAHLLOKOSA-N 1 2 291.391 3.581 20 0 CHADLO CC[NH+]1CC(Oc2cccc(Oc3ccccc3)c2)C1 ZINC001226880403 1135754906 /nfs/dbraw/zinc/75/49/06/1135754906.db2.gz QWVGNTDPDUUCJX-UHFFFAOYSA-N 1 2 269.344 3.562 20 0 CHADLO COc1ccc([C@@H](C)Oc2c(C)cc[nH+]c2C)cc1 ZINC001227266131 1135812391 /nfs/dbraw/zinc/81/23/91/1135812391.db2.gz WXGQNNYSXCERCH-CYBMUJFWSA-N 1 2 257.333 3.847 20 0 CHADLO Cc1cc[nH+]c(C)c1OC1CCN(c2ccccc2)CC1 ZINC001227264314 1135812429 /nfs/dbraw/zinc/81/24/29/1135812429.db2.gz LKVNDDVNNSEXMB-UHFFFAOYSA-N 1 2 282.387 3.746 20 0 CHADLO COc1ccc(OC)c([C@@H](C)Oc2c(C)cc[nH+]c2C)c1 ZINC001227266144 1135813021 /nfs/dbraw/zinc/81/30/21/1135813021.db2.gz XCRZQZDRIPNCDS-CYBMUJFWSA-N 1 2 287.359 3.856 20 0 CHADLO Cc1ccc(NCc2ccc(Br)s2)c[nH+]1 ZINC000096033320 185068380 /nfs/dbraw/zinc/06/83/80/185068380.db2.gz SCIYPAWFYAOVQR-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO CC[C@]1(C)CCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000351996233 529395291 /nfs/dbraw/zinc/39/52/91/529395291.db2.gz NPQLQIQLUFEYIH-GFCCVEGCSA-N 1 2 258.818 3.809 20 0 CHADLO CC[C@]1(C)CCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000351996233 529395294 /nfs/dbraw/zinc/39/52/94/529395294.db2.gz NPQLQIQLUFEYIH-GFCCVEGCSA-N 1 2 258.818 3.809 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCCC[C@@H]1c1nnc(C2CCC2)o1 ZINC000347311294 529437097 /nfs/dbraw/zinc/43/70/97/529437097.db2.gz PINZZMINVXAQAY-QWHCGFSZSA-N 1 2 281.375 3.612 20 0 CHADLO CC[C@H](F)C[N@H+]1CCCC[C@@H]1c1nnc(C2CCC2)o1 ZINC000347311294 529437098 /nfs/dbraw/zinc/43/70/98/529437098.db2.gz PINZZMINVXAQAY-QWHCGFSZSA-N 1 2 281.375 3.612 20 0 CHADLO CC[C@H](NC(=O)c1cc2cc(C)c(C)cc2o1)c1[nH]cc[nH+]1 ZINC000347978610 529562606 /nfs/dbraw/zinc/56/26/06/529562606.db2.gz ZFMHHRSWOAJSJS-ZDUSSCGKSA-N 1 2 297.358 3.654 20 0 CHADLO CCc1ccc(C[NH2+]Cc2csc(-c3ccco3)n2)cn1 ZINC000352771621 529653877 /nfs/dbraw/zinc/65/38/77/529653877.db2.gz OTGPORFSKFTXRN-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO CCc1[nH+]c(C)ccc1OCCOc1ccccc1C(C)=O ZINC000352554329 529730562 /nfs/dbraw/zinc/73/05/62/529730562.db2.gz RIEWUSIKUYUXFB-UHFFFAOYSA-N 1 2 299.370 3.613 20 0 CHADLO Cc1cccc2c1CN(c1cc(C(F)(F)F)cc[nH+]1)CC2 ZINC000573588322 334949755 /nfs/dbraw/zinc/94/97/55/334949755.db2.gz ZLTMBUSUXPLKTE-UHFFFAOYSA-N 1 2 292.304 3.972 20 0 CHADLO CN(Cc1cccc(OC(F)(F)F)c1)c1cccc[nH+]1 ZINC000301623364 529995397 /nfs/dbraw/zinc/99/53/97/529995397.db2.gz GGAGQTNBKOGQTG-UHFFFAOYSA-N 1 2 282.265 3.617 20 0 CHADLO C[N@H+](Cc1ccn(C(F)F)n1)Cc1cccc(Cl)c1 ZINC000347656495 530009860 /nfs/dbraw/zinc/00/98/60/530009860.db2.gz BECKLAADBSWOCG-UHFFFAOYSA-N 1 2 285.725 3.564 20 0 CHADLO C[N@@H+](Cc1ccn(C(F)F)n1)Cc1cccc(Cl)c1 ZINC000347656495 530009862 /nfs/dbraw/zinc/00/98/62/530009862.db2.gz BECKLAADBSWOCG-UHFFFAOYSA-N 1 2 285.725 3.564 20 0 CHADLO CN(Cc1c[nH+]cn1C)c1ccc(Oc2ccccc2)cc1 ZINC000355359451 530016946 /nfs/dbraw/zinc/01/69/46/530016946.db2.gz BQJCKXZHYSSBJZ-UHFFFAOYSA-N 1 2 293.370 3.849 20 0 CHADLO Cc1ccc(Cl)c(NC(=O)C[C@H](C)n2cc[nH+]c2)c1C ZINC000574162943 334997146 /nfs/dbraw/zinc/99/71/46/334997146.db2.gz TZNHNBCAOJAEQG-NSHDSACASA-N 1 2 291.782 3.743 20 0 CHADLO CC(C)n1ccc(C[N@H+](CCC(F)(F)F)CC2CC2)n1 ZINC000177168400 261335349 /nfs/dbraw/zinc/33/53/49/261335349.db2.gz BZNKOJVAAZJYJB-UHFFFAOYSA-N 1 2 289.345 3.628 20 0 CHADLO CC(C)n1ccc(C[N@@H+](CCC(F)(F)F)CC2CC2)n1 ZINC000177168400 261335351 /nfs/dbraw/zinc/33/53/51/261335351.db2.gz BZNKOJVAAZJYJB-UHFFFAOYSA-N 1 2 289.345 3.628 20 0 CHADLO CC(C)(c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1)C1CC1 ZINC000574556442 335029218 /nfs/dbraw/zinc/02/92/18/335029218.db2.gz RVHQKAOYLGKYID-UHFFFAOYSA-N 1 2 294.358 3.610 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1CCCCCC1 ZINC000101867966 227368564 /nfs/dbraw/zinc/36/85/64/227368564.db2.gz VXZAARFOIVEPCP-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)CCCCC(C)(C)C)[nH+]1 ZINC000574884387 335055133 /nfs/dbraw/zinc/05/51/33/335055133.db2.gz QNROKVIEKNPIHG-UHFFFAOYSA-N 1 2 279.428 3.676 20 0 CHADLO O=C(Nc1cccc(C(F)F)c1)c1ccc2[nH+]ccn2c1 ZINC000171172752 335058059 /nfs/dbraw/zinc/05/80/59/335058059.db2.gz VRFOVFBUBDUJJS-UHFFFAOYSA-N 1 2 287.269 3.524 20 0 CHADLO Fc1ccc(CNc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC000036939825 260054129 /nfs/dbraw/zinc/05/41/29/260054129.db2.gz IKHYPXCXTIBBAF-UHFFFAOYSA-N 1 2 267.307 3.624 20 0 CHADLO CCn1nccc1C[N@H+](C)Cc1cccc(Cl)c1Cl ZINC000179610923 260058488 /nfs/dbraw/zinc/05/84/88/260058488.db2.gz LDPAQJWBGXMQHG-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCn1nccc1C[N@@H+](C)Cc1cccc(Cl)c1Cl ZINC000179610923 260058489 /nfs/dbraw/zinc/05/84/89/260058489.db2.gz LDPAQJWBGXMQHG-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CN(C)c1ccc(NCc2cc3ccccc3o2)c[nH+]1 ZINC000036988743 260061749 /nfs/dbraw/zinc/06/17/49/260061749.db2.gz AVKAPLREPNGAHT-UHFFFAOYSA-N 1 2 267.332 3.506 20 0 CHADLO CO[C@@H](C)C[N@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000353225224 533330067 /nfs/dbraw/zinc/33/00/67/533330067.db2.gz DCILBWNJSYFYQE-LBPRGKRZSA-N 1 2 282.453 3.658 20 0 CHADLO CO[C@@H](C)C[N@@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000353225224 533330071 /nfs/dbraw/zinc/33/00/71/533330071.db2.gz DCILBWNJSYFYQE-LBPRGKRZSA-N 1 2 282.453 3.658 20 0 CHADLO C[C@@H]([NH2+]Cc1nccs1)c1nccc2ccccc21 ZINC000353385834 533472135 /nfs/dbraw/zinc/47/21/35/533472135.db2.gz MTWPSZGPAYSCEW-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO COc1cccc(C[N@@H+]2CC(C)(C)[C@H]2c2cccs2)n1 ZINC000347574781 533474642 /nfs/dbraw/zinc/47/46/42/533474642.db2.gz CJSJWOBIKQUXFN-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO COc1cccc(C[N@H+]2CC(C)(C)[C@H]2c2cccs2)n1 ZINC000347574781 533474648 /nfs/dbraw/zinc/47/46/48/533474648.db2.gz CJSJWOBIKQUXFN-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO C[C@@H](CCC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1)c1ccccc1 ZINC000347938353 533514029 /nfs/dbraw/zinc/51/40/29/533514029.db2.gz PPYSOCHOFVWTIA-GOEBONIOSA-N 1 2 297.402 3.657 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nccn1C)C1CC1)c1ccc(F)cc1F ZINC000353840192 533515292 /nfs/dbraw/zinc/51/52/92/533515292.db2.gz BJVNAYISQNUKRJ-MEBBXXQBSA-N 1 2 291.345 3.500 20 0 CHADLO Cc1cccc2[nH+]c(CN(CC(F)F)CC3CCC3)cn21 ZINC000341758202 130025259 /nfs/dbraw/zinc/02/52/59/130025259.db2.gz ZCNQYUQAJVJWEN-UHFFFAOYSA-N 1 2 293.361 3.510 20 0 CHADLO Cc1cn2cc(NC(=O)CC3(C)CCCC3)ccc2[nH+]1 ZINC000341953933 130170681 /nfs/dbraw/zinc/17/06/81/130170681.db2.gz VMGCNZAOVJLCAG-UHFFFAOYSA-N 1 2 271.364 3.552 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2ncc(C3CC3)o2)CC1 ZINC000341990096 130212189 /nfs/dbraw/zinc/21/21/89/130212189.db2.gz LSXHRSQKSQWJSI-UHFFFAOYSA-N 1 2 288.313 3.716 20 0 CHADLO Cc1cc2[nH+]cn(CC(=O)c3ccc(F)cc3)c2cc1C ZINC000005587801 170116795 /nfs/dbraw/zinc/11/67/95/170116795.db2.gz DDUNOKKBSPXOOG-UHFFFAOYSA-N 1 2 282.318 3.675 20 0 CHADLO Cc1cc(N)nc(S[C@H](C)c2ccccc2Cl)[nH+]1 ZINC000007049110 170264360 /nfs/dbraw/zinc/26/43/60/170264360.db2.gz XPIJKTFNTUMVJK-SECBINFHSA-N 1 2 279.796 3.874 20 0 CHADLO FC(F)(F)c1ccccc1NCc1cn2ccccc2[nH+]1 ZINC000008435766 170336122 /nfs/dbraw/zinc/33/61/22/170336122.db2.gz RVUODSFCBVUMJK-UHFFFAOYSA-N 1 2 291.276 3.965 20 0 CHADLO CCC[C@H](C)[NH2+]c1ccc(C)cc1OCCOC ZINC000037779026 173709546 /nfs/dbraw/zinc/70/95/46/173709546.db2.gz JGIIRXNSKJNDMY-ZDUSSCGKSA-N 1 2 251.370 3.621 20 0 CHADLO C[C@@H](Nc1ccc2ccccc2[nH+]1)c1c(F)cncc1F ZINC000343780439 224872901 /nfs/dbraw/zinc/87/29/01/224872901.db2.gz MHGCGJZUDQBUFF-SNVBAGLBSA-N 1 2 285.297 3.503 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3csc(Cl)c3)cc2[nH+]1 ZINC000344517122 225090594 /nfs/dbraw/zinc/09/05/94/225090594.db2.gz MSGNHFXDKALBRP-UHFFFAOYSA-N 1 2 291.763 3.839 20 0 CHADLO Cc1[nH]c2cc(NC(=O)c3csc(Cl)c3)ccc2[nH+]1 ZINC000344517122 225090598 /nfs/dbraw/zinc/09/05/98/225090598.db2.gz MSGNHFXDKALBRP-UHFFFAOYSA-N 1 2 291.763 3.839 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2ccc(F)cc21)c1ccc(Cl)cn1 ZINC000334639946 225187201 /nfs/dbraw/zinc/18/72/01/225187201.db2.gz RFGWZUFMHBRMNS-LKFCYVNXSA-N 1 2 292.741 3.658 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H](C(F)(F)F)[C@H]2C)s1 ZINC000334692084 225275004 /nfs/dbraw/zinc/27/50/04/225275004.db2.gz LMVLIBSSWNGTDI-NXEZZACHSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H](C(F)(F)F)[C@H]2C)s1 ZINC000334692084 225275008 /nfs/dbraw/zinc/27/50/08/225275008.db2.gz LMVLIBSSWNGTDI-NXEZZACHSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000334700083 225279550 /nfs/dbraw/zinc/27/95/50/225279550.db2.gz UKZBJYHOJJHSHU-CZUORRHYSA-N 1 2 283.375 3.666 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000334700083 225279555 /nfs/dbraw/zinc/27/95/55/225279555.db2.gz UKZBJYHOJJHSHU-CZUORRHYSA-N 1 2 283.375 3.666 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](C(C)C)C2)c(C)[nH+]1 ZINC000334714017 225284556 /nfs/dbraw/zinc/28/45/56/225284556.db2.gz WFJFIYNOAFMJTM-CQSZACIVSA-N 1 2 275.396 3.517 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CCC[C@H]1CC(C)C ZINC000334815002 225306689 /nfs/dbraw/zinc/30/66/89/225306689.db2.gz OJXVSOYSAXICBP-AWEZNQCLSA-N 1 2 275.396 3.741 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H]2CCCCC2(F)F)n1 ZINC000334972765 225379609 /nfs/dbraw/zinc/37/96/09/225379609.db2.gz GIIWVHXRQFTNOM-LBPRGKRZSA-N 1 2 293.317 3.657 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1c(Cl)cc(F)cc1Cl ZINC000334999579 225391765 /nfs/dbraw/zinc/39/17/65/225391765.db2.gz GILVRACVQUGMET-UHFFFAOYSA-N 1 2 299.132 3.510 20 0 CHADLO Clc1cnc(CNc2ccc3c(c2)CCC[NH2+]3)s1 ZINC000334865625 225341077 /nfs/dbraw/zinc/34/10/77/225341077.db2.gz VRBWDLNZQLTIFV-UHFFFAOYSA-N 1 2 279.796 3.767 20 0 CHADLO Cc1ccc(N[C@H](Cc2ccccn2)c2cccnc2)c[nH+]1 ZINC000347154265 226061659 /nfs/dbraw/zinc/06/16/59/226061659.db2.gz PWWKHORNXAXZBB-GOSISDBHSA-N 1 2 290.370 3.576 20 0 CHADLO Cc1cccc(C[N@H+](Cc2ccccc2F)C2CC2)n1 ZINC000347360390 226133579 /nfs/dbraw/zinc/13/35/79/226133579.db2.gz JXAZIQHJBKYGPZ-UHFFFAOYSA-N 1 2 270.351 3.694 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2ccccc2F)C2CC2)n1 ZINC000347360390 226133582 /nfs/dbraw/zinc/13/35/82/226133582.db2.gz JXAZIQHJBKYGPZ-UHFFFAOYSA-N 1 2 270.351 3.694 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2csc(-c3cccs3)n2)C1 ZINC000347369270 226136645 /nfs/dbraw/zinc/13/66/45/226136645.db2.gz JHUTYTVEWOHHNJ-CYBMUJFWSA-N 1 2 282.409 3.806 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2csc(-c3cccs3)n2)C1 ZINC000347369270 226136649 /nfs/dbraw/zinc/13/66/49/226136649.db2.gz JHUTYTVEWOHHNJ-CYBMUJFWSA-N 1 2 282.409 3.806 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cccc(C(F)(F)F)c2)C1 ZINC000347387743 226142746 /nfs/dbraw/zinc/14/27/46/226142746.db2.gz RCCVEBVQNCZGRV-GFCCVEGCSA-N 1 2 261.262 3.639 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cccc(C(F)(F)F)c2)C1 ZINC000347387743 226142750 /nfs/dbraw/zinc/14/27/50/226142750.db2.gz RCCVEBVQNCZGRV-GFCCVEGCSA-N 1 2 261.262 3.639 20 0 CHADLO CCOc1nnc(C[N@@H+]2CC[C@H](C(C)(C)C)C[C@@H]2C)s1 ZINC000347608001 226199947 /nfs/dbraw/zinc/19/99/47/226199947.db2.gz WUOABTQLBCMILE-RYUDHWBXSA-N 1 2 297.468 3.583 20 0 CHADLO CCOc1nnc(C[N@H+]2CC[C@H](C(C)(C)C)C[C@@H]2C)s1 ZINC000347608001 226199951 /nfs/dbraw/zinc/19/99/51/226199951.db2.gz WUOABTQLBCMILE-RYUDHWBXSA-N 1 2 297.468 3.583 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)n1 ZINC000347672615 226217657 /nfs/dbraw/zinc/21/76/57/226217657.db2.gz CVGSOZQLVQKYNR-CYBMUJFWSA-N 1 2 295.333 3.989 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCCCC[C@@H]2c2ccco2)n1 ZINC000347672615 226217660 /nfs/dbraw/zinc/21/76/60/226217660.db2.gz CVGSOZQLVQKYNR-CYBMUJFWSA-N 1 2 295.333 3.989 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCCC[C@H]2c2ccco2)n1 ZINC000347717074 226230788 /nfs/dbraw/zinc/23/07/88/226230788.db2.gz JBSSBDUVUZSOQC-LBPRGKRZSA-N 1 2 281.306 3.598 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCCC[C@H]2c2ccco2)n1 ZINC000347717074 226230792 /nfs/dbraw/zinc/23/07/92/226230792.db2.gz JBSSBDUVUZSOQC-LBPRGKRZSA-N 1 2 281.306 3.598 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2nc(C)sc2C)C2CC2)n1 ZINC000347783146 226375337 /nfs/dbraw/zinc/37/53/37/226375337.db2.gz KHBWWRPJKSUTIH-ZDUSSCGKSA-N 1 2 293.461 3.766 20 0 CHADLO Cc1csc([C@@H]([NH2+][C@H](C)c2ncc(C)o2)C2CC2)n1 ZINC000347795215 226376163 /nfs/dbraw/zinc/37/61/63/226376163.db2.gz GVOMKOMTRBRNDM-PWSUYJOCSA-N 1 2 277.393 3.550 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@@H](C)c1cccc(F)c1 ZINC000335527807 226483291 /nfs/dbraw/zinc/48/32/91/226483291.db2.gz KHMQJHHIZZOCNB-NSHDSACASA-N 1 2 272.323 3.580 20 0 CHADLO FC(F)(F)c1cc[nH+]c(N2CCC[C@H]2c2cccnc2)c1 ZINC000336433366 227007478 /nfs/dbraw/zinc/00/74/78/227007478.db2.gz FNAYCXYBEFRDAO-ZDUSSCGKSA-N 1 2 293.292 3.837 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2c(C)cccc2C)n1 ZINC000354537090 227025895 /nfs/dbraw/zinc/02/58/95/227025895.db2.gz CCIXJSQDLUHVAC-OLZOCXBDSA-N 1 2 273.380 3.661 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2c(C)cccc2C)o1 ZINC000354543528 227027549 /nfs/dbraw/zinc/02/75/49/227027549.db2.gz XJQUTRHKCHPBJQ-CHWSQXEVSA-N 1 2 273.380 3.661 20 0 CHADLO CO[C@H](CNc1[nH+]ccc2ccc(F)cc21)C(C)(C)C ZINC000354549919 227029389 /nfs/dbraw/zinc/02/93/89/227029389.db2.gz XRRQJXJPMKOFTL-CQSZACIVSA-N 1 2 276.355 3.847 20 0 CHADLO Cc1ccc(CCCNc2cccc3ncccc32)c[nH+]1 ZINC000354578084 227039327 /nfs/dbraw/zinc/03/93/27/227039327.db2.gz YHIZOHTWWLXZRD-UHFFFAOYSA-N 1 2 277.371 3.983 20 0 CHADLO c1ccc(COc2ccc[nH+]c2N[C@H]2CCCOCC2)cc1 ZINC000354585214 227044067 /nfs/dbraw/zinc/04/40/67/227044067.db2.gz HXZRJNVPMIWPKL-INIZCTEOSA-N 1 2 298.386 3.642 20 0 CHADLO Cc1c[nH+]ccc1CCNc1nc(-c2ccccc2)ns1 ZINC000166681357 227076605 /nfs/dbraw/zinc/07/66/05/227076605.db2.gz WYBMJIJDBOAEDP-UHFFFAOYSA-N 1 2 296.399 3.563 20 0 CHADLO COc1c(O)cccc1C[NH2+][C@H](C)c1ccc(F)cc1F ZINC000352805754 227243534 /nfs/dbraw/zinc/24/35/34/227243534.db2.gz MUUSAZSRTYWTOX-SNVBAGLBSA-N 1 2 293.313 3.530 20 0 CHADLO Cc1cc(NC[C@](C)(O)CCC(C)C)nc(C(C)C)[nH+]1 ZINC000301345949 227517147 /nfs/dbraw/zinc/51/71/47/227517147.db2.gz JSWKOLNAOUJPHC-MRXNPFEDSA-N 1 2 279.428 3.508 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@](C)(O)c1ccccc1 ZINC000301701652 227647174 /nfs/dbraw/zinc/64/71/74/227647174.db2.gz DTRNFGVMMWXMPU-LJQANCHMSA-N 1 2 292.382 3.863 20 0 CHADLO Cc1cc(NCCC[C@H]2CCCC[C@@H]2O)nc(C(C)C)[nH+]1 ZINC000301754630 227664071 /nfs/dbraw/zinc/66/40/71/227664071.db2.gz KBMRIBWTYNJCHP-CABCVRRESA-N 1 2 291.439 3.652 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C)cc1SC ZINC000418090662 228027059 /nfs/dbraw/zinc/02/70/59/228027059.db2.gz OGDWGYPWTFJBNH-UHFFFAOYSA-N 1 2 261.394 3.545 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(C(C)C)c(C)s2)no1 ZINC000353413070 228098142 /nfs/dbraw/zinc/09/81/42/228098142.db2.gz OEYCODXCNAKUDX-SNVBAGLBSA-N 1 2 279.409 3.722 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1ccc(F)c(C(F)(F)F)c1 ZINC000353471317 228107862 /nfs/dbraw/zinc/10/78/62/228107862.db2.gz NIROKDIMIPLQIS-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1ccc(F)c(C(F)(F)F)c1 ZINC000353471317 228107865 /nfs/dbraw/zinc/10/78/65/228107865.db2.gz NIROKDIMIPLQIS-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO FC(F)(C[NH2+]Cc1cccnc1)c1ccc(Cl)cc1 ZINC000353087650 228038795 /nfs/dbraw/zinc/03/87/95/228038795.db2.gz OUPWABNRHPYAOR-UHFFFAOYSA-N 1 2 282.721 3.617 20 0 CHADLO Cc1ccc(Cc2nc(-c3cccc4[nH]ccc43)no2)c[nH+]1 ZINC000355928937 228054645 /nfs/dbraw/zinc/05/46/45/228054645.db2.gz ATNUYLMIWTYFNA-UHFFFAOYSA-N 1 2 290.326 3.512 20 0 CHADLO Fc1cccc(F)c1[C@H]1C[C@H]1Nc1cc[nH+]c(C2CC2)n1 ZINC000116264288 228058273 /nfs/dbraw/zinc/05/82/73/228058273.db2.gz PAAVIQQALDNUHZ-GXFFZTMASA-N 1 2 287.313 3.600 20 0 CHADLO Cc1ccc(NCc2cnc3ccc(Cl)cn23)c(C)[nH+]1 ZINC000353230106 228072424 /nfs/dbraw/zinc/07/24/24/228072424.db2.gz SDPHTSDDHYCCKG-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO c1cc(C[N@@H+](Cc2ccsc2)Cc2ccccc2)n[nH]1 ZINC000353285350 228079084 /nfs/dbraw/zinc/07/90/84/228079084.db2.gz IDXAZJYBLCTMLB-UHFFFAOYSA-N 1 2 283.400 3.674 20 0 CHADLO c1cc(C[N@H+](Cc2ccsc2)Cc2ccccc2)n[nH]1 ZINC000353285350 228079087 /nfs/dbraw/zinc/07/90/87/228079087.db2.gz IDXAZJYBLCTMLB-UHFFFAOYSA-N 1 2 283.400 3.674 20 0 CHADLO Cc1ccc(NC(=O)N2CCC(C)(C3CC3)CC2)c(C)[nH+]1 ZINC000353297114 228081992 /nfs/dbraw/zinc/08/19/92/228081992.db2.gz HJGLWAFITVLHIC-UHFFFAOYSA-N 1 2 287.407 3.742 20 0 CHADLO C[C@@H]1CCC[C@@H]([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000330273548 228088686 /nfs/dbraw/zinc/08/86/86/228088686.db2.gz ZJKORKHKQDVETI-YUELXQCFSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1ccc(Cc2noc(-c3cccc(C(C)C)n3)n2)c[nH+]1 ZINC000356108955 228123669 /nfs/dbraw/zinc/12/36/69/228123669.db2.gz KAYIZNKASZWESN-UHFFFAOYSA-N 1 2 294.358 3.549 20 0 CHADLO Cc1ccc(Cc2noc(C3(CC(C)C)CCC3)n2)c[nH+]1 ZINC000356118145 228127930 /nfs/dbraw/zinc/12/79/30/228127930.db2.gz XSSXZAUNPJBNFE-UHFFFAOYSA-N 1 2 285.391 3.832 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cscc2C(F)(F)F)C1 ZINC000356132728 228134447 /nfs/dbraw/zinc/13/44/47/228134447.db2.gz WBGDTJSUCBYSPD-SNVBAGLBSA-N 1 2 267.291 3.701 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cscc2C(F)(F)F)C1 ZINC000356132728 228134448 /nfs/dbraw/zinc/13/44/48/228134448.db2.gz WBGDTJSUCBYSPD-SNVBAGLBSA-N 1 2 267.291 3.701 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cnc(CC(C)C)s2)n1 ZINC000353744781 228149401 /nfs/dbraw/zinc/14/94/01/228149401.db2.gz HMIPCJROQAJCPR-UHFFFAOYSA-N 1 2 289.448 3.677 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cnc(CC(C)C)s2)n1 ZINC000353744781 228149402 /nfs/dbraw/zinc/14/94/02/228149402.db2.gz HMIPCJROQAJCPR-UHFFFAOYSA-N 1 2 289.448 3.677 20 0 CHADLO Cc1ccc(F)c(NCCCc2ccc(C)[nH+]c2)c1 ZINC000353832889 228157275 /nfs/dbraw/zinc/15/72/75/228157275.db2.gz RFRJZPFYXLAGAX-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC/C=C\c1ccccc1 ZINC000351930789 228166932 /nfs/dbraw/zinc/16/69/32/228166932.db2.gz JNBNSEADRWQINZ-TWGQIWQCSA-N 1 2 281.359 3.533 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1CCC(C)(C)CC1 ZINC000351945187 228168277 /nfs/dbraw/zinc/16/82/77/228168277.db2.gz YQOSWHAQNYOEPK-UHFFFAOYSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(C(C)C)cn2)c(C)[nH+]1 ZINC000336030564 228179624 /nfs/dbraw/zinc/17/96/24/228179624.db2.gz XPPZORVDULNTFT-UHFFFAOYSA-N 1 2 283.375 3.778 20 0 CHADLO COc1cccc(C2([NH2+]Cc3nc(C)sc3C)CC2)c1 ZINC000352246647 228206416 /nfs/dbraw/zinc/20/64/16/228206416.db2.gz RACVIAJRLZJVRY-UHFFFAOYSA-N 1 2 288.416 3.547 20 0 CHADLO CCc1sc(-c2nc(Cc3ccc(C)[nH+]c3)no2)cc1C ZINC000356105122 228122465 /nfs/dbraw/zinc/12/24/65/228122465.db2.gz BMRDHEYNPLHJJT-UHFFFAOYSA-N 1 2 299.399 3.963 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cnc(C(C)(C)C)s1 ZINC000179567971 260056063 /nfs/dbraw/zinc/05/60/63/260056063.db2.gz YJZKHVCPHXXRHV-LBPRGKRZSA-N 1 2 289.448 3.819 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cnc(C(C)(C)C)s1 ZINC000179567971 260056064 /nfs/dbraw/zinc/05/60/64/260056064.db2.gz YJZKHVCPHXXRHV-LBPRGKRZSA-N 1 2 289.448 3.819 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1ccc(F)cc1F ZINC000037212244 260074451 /nfs/dbraw/zinc/07/44/51/260074451.db2.gz MDZDUWQGIZRMBZ-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO Cc1ccc(NC2CCN(c3cccc[nH+]3)CC2)c(F)c1 ZINC000037243829 260077695 /nfs/dbraw/zinc/07/76/95/260077695.db2.gz FAIXFRPWPGQGJY-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO CCc1cccc2c1OCC[C@H]2Nc1cc[nH+]c(C(C)C)n1 ZINC000521364029 260086686 /nfs/dbraw/zinc/08/66/86/260086686.db2.gz BVIBYIFXQUFRJA-OAHLLOKOSA-N 1 2 297.402 3.520 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2F)cc1O ZINC000037771725 260116270 /nfs/dbraw/zinc/11/62/70/260116270.db2.gz RDKCHCLQGZVSJY-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO Cc1cccn2cc(CSc3ccc(O)cc3)[nH+]c12 ZINC000037995847 260123073 /nfs/dbraw/zinc/12/30/73/260123073.db2.gz SIDYXGWHECZLCQ-UHFFFAOYSA-N 1 2 270.357 3.641 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)CCC(C)(C)C)c1ccccc1 ZINC000312500362 260132184 /nfs/dbraw/zinc/13/21/84/260132184.db2.gz KIMKHPHDISIRGN-UKRRQHHQSA-N 1 2 277.408 3.705 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)CCC(C)(C)C)c1ccccc1 ZINC000312500364 260132265 /nfs/dbraw/zinc/13/22/65/260132265.db2.gz KIMKHPHDISIRGN-ZFWWWQNUSA-N 1 2 277.408 3.705 20 0 CHADLO CSC1(CNc2ccc(N3CCCCC3)[nH+]c2)CCC1 ZINC000312507338 260134067 /nfs/dbraw/zinc/13/40/67/260134067.db2.gz LFECINQEOZZOEY-UHFFFAOYSA-N 1 2 291.464 3.770 20 0 CHADLO Cc1csc([C@@H](C)Nc2cc(C)[nH+]c(C(C)C)n2)n1 ZINC000070939581 260158782 /nfs/dbraw/zinc/15/87/82/260158782.db2.gz YXPPXEDNCVKZKV-LLVKDONJSA-N 1 2 276.409 3.846 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc2ccccc2n1)c1nccs1 ZINC000078004625 260167761 /nfs/dbraw/zinc/16/77/61/260167761.db2.gz DXRAASDTFPEXLO-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO Cc1cc[nH+]cc1NCc1cccc2c1OC(C)(C)C2 ZINC000080509240 260180886 /nfs/dbraw/zinc/18/08/86/260180886.db2.gz GRBSOYSAFOAIKN-UHFFFAOYSA-N 1 2 268.360 3.716 20 0 CHADLO CCC(CC)CNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000317517271 260244332 /nfs/dbraw/zinc/24/43/32/260244332.db2.gz XNLRQFGJRHZLQO-UHFFFAOYSA-N 1 2 295.390 3.664 20 0 CHADLO Cc1cccn2cc(CNc3cc(F)c(F)c(F)c3)[nH+]c12 ZINC000083142910 260346752 /nfs/dbraw/zinc/34/67/52/260346752.db2.gz ROGFEZYLXNYTLM-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO CC1(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)CCCC1 ZINC000518280821 260367249 /nfs/dbraw/zinc/36/72/49/260367249.db2.gz PKAVMQHVCOLNRJ-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO COc1cc([C@@H](C)Nc2ccc(C)[nH+]c2)ccc1F ZINC000128610368 260376308 /nfs/dbraw/zinc/37/63/08/260376308.db2.gz WATBKHLEDRZEFF-LLVKDONJSA-N 1 2 260.312 3.711 20 0 CHADLO CC[C@H]1CCC[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448840661 260970399 /nfs/dbraw/zinc/97/03/99/260970399.db2.gz MNWQKWGUUYNBSY-SWLSCSKDSA-N 1 2 283.375 3.842 20 0 CHADLO CC[C@@H](C)CN(CC)C(=O)Nc1ccc(C)[nH+]c1C ZINC000152195975 261061241 /nfs/dbraw/zinc/06/12/41/261061241.db2.gz JTBIGJCPUNNJCF-LLVKDONJSA-N 1 2 263.385 3.598 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2nc(-c3ccccc3)cs2)no1 ZINC000153530123 261080082 /nfs/dbraw/zinc/08/00/82/261080082.db2.gz OGIWQWAKDVWNQL-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO COC[C@H](C)Nc1cccc([NH+]2CCCCCC2)c1 ZINC000154222484 261089334 /nfs/dbraw/zinc/08/93/34/261089334.db2.gz SQXJXXMBDYEUGB-AWEZNQCLSA-N 1 2 262.397 3.514 20 0 CHADLO C[N@H+](CCOc1ccccc1)Cc1csc(C2CC2)n1 ZINC000154740426 261094628 /nfs/dbraw/zinc/09/46/28/261094628.db2.gz OAHKSWDYBMRIBT-UHFFFAOYSA-N 1 2 288.416 3.531 20 0 CHADLO C[N@@H+](CCOc1ccccc1)Cc1csc(C2CC2)n1 ZINC000154740426 261094630 /nfs/dbraw/zinc/09/46/30/261094630.db2.gz OAHKSWDYBMRIBT-UHFFFAOYSA-N 1 2 288.416 3.531 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nccn2C(F)F)c1C ZINC000154992155 261096633 /nfs/dbraw/zinc/09/66/33/261096633.db2.gz KFUOTFQQLOSDDY-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nccn2C(F)F)c1C ZINC000154992155 261096634 /nfs/dbraw/zinc/09/66/34/261096634.db2.gz KFUOTFQQLOSDDY-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](c3ccccc3F)C2)cs1 ZINC000449020902 261102530 /nfs/dbraw/zinc/10/25/30/261102530.db2.gz XMJWKTHUAWQGIR-INIZCTEOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](c3ccccc3F)C2)cs1 ZINC000449020902 261102532 /nfs/dbraw/zinc/10/25/32/261102532.db2.gz XMJWKTHUAWQGIR-INIZCTEOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)[NH+]2Cc3ccccc3C2)c(C)c1 ZINC000155492888 261102558 /nfs/dbraw/zinc/10/25/58/261102558.db2.gz YYBZOYBYRXHLJU-OAHLLOKOSA-N 1 2 294.398 3.646 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCC3(CCC3)C2)n1 ZINC000155519925 261102924 /nfs/dbraw/zinc/10/29/24/261102924.db2.gz AOIFUAZMURTUKF-UHFFFAOYSA-N 1 2 276.327 3.538 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCC3(CCC3)C2)n1 ZINC000155519925 261102925 /nfs/dbraw/zinc/10/29/25/261102925.db2.gz AOIFUAZMURTUKF-UHFFFAOYSA-N 1 2 276.327 3.538 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1ccc(C)o1 ZINC000156407016 261122315 /nfs/dbraw/zinc/12/23/15/261122315.db2.gz UVBUOOJBTKOZKN-XHDPSFHLSA-N 1 2 295.329 3.905 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000448357478 261124430 /nfs/dbraw/zinc/12/44/30/261124430.db2.gz WYYNRKPOVQOVDQ-AWEZNQCLSA-N 1 2 296.480 3.589 20 0 CHADLO CC(C)Cc1ncc(C[N@H+]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000448357478 261124432 /nfs/dbraw/zinc/12/44/32/261124432.db2.gz WYYNRKPOVQOVDQ-AWEZNQCLSA-N 1 2 296.480 3.589 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cc(F)ccc1F)C2 ZINC000361115391 261163426 /nfs/dbraw/zinc/16/34/26/261163426.db2.gz XFHXIXNRHRFXLC-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cc(F)ccc1F)C2 ZINC000361115391 261163428 /nfs/dbraw/zinc/16/34/28/261163428.db2.gz XFHXIXNRHRFXLC-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO CCn1cc(C(=O)Nc2ccc(C)[nH+]c2C)c2ccccc21 ZINC000176200441 261269718 /nfs/dbraw/zinc/26/97/18/261269718.db2.gz OAPGFUWADRGYEN-UHFFFAOYSA-N 1 2 293.370 3.925 20 0 CHADLO Cc1ccc(NC(=O)C(C)(C)c2ccccc2C)c(C)[nH+]1 ZINC000176204867 261270277 /nfs/dbraw/zinc/27/02/77/261270277.db2.gz BXTZGZSURWWLSA-UHFFFAOYSA-N 1 2 282.387 3.923 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@H](C)c1cscn1 ZINC000162318114 261277282 /nfs/dbraw/zinc/27/72/82/261277282.db2.gz DPVARJVWHFXDIE-GHMZBOCLSA-N 1 2 262.378 3.564 20 0 CHADLO COCC[N@@H+](Cc1cccc2ccccc21)CC(F)F ZINC000103486888 261284370 /nfs/dbraw/zinc/28/43/70/261284370.db2.gz YWSHQHAJUHBRER-UHFFFAOYSA-N 1 2 279.330 3.553 20 0 CHADLO COCC[N@H+](Cc1cccc2ccccc21)CC(F)F ZINC000103486888 261284373 /nfs/dbraw/zinc/28/43/73/261284373.db2.gz YWSHQHAJUHBRER-UHFFFAOYSA-N 1 2 279.330 3.553 20 0 CHADLO CCC1(C(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)CCC1 ZINC000176802034 261311701 /nfs/dbraw/zinc/31/17/01/261311701.db2.gz UHNCTWIGZYBCAA-UHFFFAOYSA-N 1 2 287.338 3.530 20 0 CHADLO COc1cccc(NCc2cn3cc(Cl)ccc3[nH+]2)c1 ZINC000105853428 261362240 /nfs/dbraw/zinc/36/22/40/261362240.db2.gz KAWUZLRDNKNLJI-UHFFFAOYSA-N 1 2 287.750 3.608 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)CCCc1c[nH]c2ccccc12 ZINC000107038912 261375587 /nfs/dbraw/zinc/37/55/87/261375587.db2.gz BIGBLAUFNAPLNI-UHFFFAOYSA-N 1 2 293.370 3.833 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1c(Cl)c2ccccc2n1C ZINC000107288142 261884448 /nfs/dbraw/zinc/88/44/48/261884448.db2.gz YTGQGAWBRYLWDS-UHFFFAOYSA-N 1 2 299.761 3.787 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@H+](C)Cc1ccncc1 ZINC000184278369 262014891 /nfs/dbraw/zinc/01/48/91/262014891.db2.gz MNTAHQZPIIVJMT-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@@H+](C)Cc1ccncc1 ZINC000184278369 262014893 /nfs/dbraw/zinc/01/48/93/262014893.db2.gz MNTAHQZPIIVJMT-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cnc(Cl)s2)cs1 ZINC000184729090 262028103 /nfs/dbraw/zinc/02/81/03/262028103.db2.gz MUQYTSVXMLOYBO-SSDOTTSWSA-N 1 2 287.841 3.666 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+](C)Cc1cscn1 ZINC000185504782 262056977 /nfs/dbraw/zinc/05/69/77/262056977.db2.gz LKYMPRPBEMZOSH-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+](C)Cc1cscn1 ZINC000185504782 262056978 /nfs/dbraw/zinc/05/69/78/262056978.db2.gz LKYMPRPBEMZOSH-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(O)cc(F)c1)c1nc(C)cs1 ZINC000185522554 262058602 /nfs/dbraw/zinc/05/86/02/262058602.db2.gz ANRYOPURBCXBOC-CYBMUJFWSA-N 1 2 280.368 3.537 20 0 CHADLO Cc1cccc(C[N@@H+]2CCSC[C@@H]2c2nccs2)c1 ZINC000186631406 262102223 /nfs/dbraw/zinc/10/22/23/262102223.db2.gz BRALKXKREYQDRQ-CQSZACIVSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1cccc(C[N@H+]2CCSC[C@@H]2c2nccs2)c1 ZINC000186631406 262102225 /nfs/dbraw/zinc/10/22/25/262102225.db2.gz BRALKXKREYQDRQ-CQSZACIVSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N(C)CC1(c2ccccc2)CC1 ZINC000186739270 262108039 /nfs/dbraw/zinc/10/80/39/262108039.db2.gz WENJSDYDYWWJIN-UHFFFAOYSA-N 1 2 295.386 3.586 20 0 CHADLO Cc1cnc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)o1 ZINC000186887605 262113977 /nfs/dbraw/zinc/11/39/77/262113977.db2.gz WQSDHKOZKKEGML-UHFFFAOYSA-N 1 2 282.746 3.800 20 0 CHADLO CC[C@@H]([NH2+]C/C(Cl)=C/Cl)c1nc(C)cs1 ZINC000184347071 262282129 /nfs/dbraw/zinc/28/21/29/262282129.db2.gz KFEYEIVDHBAXMC-USYJTPIQSA-N 1 2 265.209 3.811 20 0 CHADLO COc1cccc([C@H](C)[N@@H+]2CC[C@H](C)C(F)(F)C2)c1 ZINC000494279722 262272534 /nfs/dbraw/zinc/27/25/34/262272534.db2.gz NMRFBVAHUJTREY-RYUDHWBXSA-N 1 2 269.335 3.733 20 0 CHADLO COc1cccc([C@H](C)[N@H+]2CC[C@H](C)C(F)(F)C2)c1 ZINC000494279722 262272536 /nfs/dbraw/zinc/27/25/36/262272536.db2.gz NMRFBVAHUJTREY-RYUDHWBXSA-N 1 2 269.335 3.733 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)[C@H](C)c1nc(C(C)C)no1 ZINC000488036520 262274050 /nfs/dbraw/zinc/27/40/50/262274050.db2.gz RPGMPWITSVIUGW-GFCCVEGCSA-N 1 2 291.370 3.834 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)[C@H](C)c1nc(C(C)C)no1 ZINC000488036520 262274052 /nfs/dbraw/zinc/27/40/52/262274052.db2.gz RPGMPWITSVIUGW-GFCCVEGCSA-N 1 2 291.370 3.834 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(Cl)cc1F ZINC000160854883 262301893 /nfs/dbraw/zinc/30/18/93/262301893.db2.gz AYEGGWOFTXXZNH-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CCC1(c2ccccc2)CN(c2cc[nH+]c(C3CC3)n2)C1 ZINC000413456762 262763989 /nfs/dbraw/zinc/76/39/89/262763989.db2.gz PDSUIJKNTMHFAK-UHFFFAOYSA-N 1 2 279.387 3.522 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cnccc2C(C)(C)C)no1 ZINC000414234554 262830059 /nfs/dbraw/zinc/83/00/59/262830059.db2.gz SPCCPEWYELFAMA-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO C[C@H]1[C@@H](CO)CCC[N@@H+]1Cc1csc(Cl)c1Cl ZINC000414589507 263001977 /nfs/dbraw/zinc/00/19/77/263001977.db2.gz WZGLDTHJURAABZ-DTWKUNHWSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1[C@@H](CO)CCC[N@H+]1Cc1csc(Cl)c1Cl ZINC000414589507 263001978 /nfs/dbraw/zinc/00/19/78/263001978.db2.gz WZGLDTHJURAABZ-DTWKUNHWSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1CCC(C)(C)[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000452010465 263023049 /nfs/dbraw/zinc/02/30/49/263023049.db2.gz QLNKXPRWQAYWDH-QMMMGPOBSA-N 1 2 278.343 3.925 20 0 CHADLO C[C@H]1CCC(C)(C)[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000452010465 263023050 /nfs/dbraw/zinc/02/30/50/263023050.db2.gz QLNKXPRWQAYWDH-QMMMGPOBSA-N 1 2 278.343 3.925 20 0 CHADLO CCc1nn(C)c(CC)c1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414849277 263065675 /nfs/dbraw/zinc/06/56/75/263065675.db2.gz LLBBNNYXZMPMTD-UHFFFAOYSA-N 1 2 298.434 3.515 20 0 CHADLO Clc1cccc(Cl)c1[C@@H]([NH2+]Cc1cc[nH]n1)C1CC1 ZINC000289725612 263111644 /nfs/dbraw/zinc/11/16/44/263111644.db2.gz FWOMRPIBPBQCLS-AWEZNQCLSA-N 1 2 296.201 3.957 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1cccc(C)c1C)C(=O)OCC ZINC000439597234 263135167 /nfs/dbraw/zinc/13/51/67/263135167.db2.gz QMJKWLBQOXTZBO-ZBFHGGJFSA-N 1 2 277.408 3.686 20 0 CHADLO C[C@@H]1C[C@H]1[C@@H]([NH2+]Cc1ccn[nH]1)c1ccc(Cl)cc1 ZINC000295067580 263171778 /nfs/dbraw/zinc/17/17/78/263171778.db2.gz WAHLUGDJNAKENV-KMUNFCNLSA-N 1 2 275.783 3.550 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnn(C2CCCC2)c1)C(C)(F)F ZINC000453281777 263217255 /nfs/dbraw/zinc/21/72/55/263217255.db2.gz HRPNDPNBFOFVIR-WDEREUQCSA-N 1 2 271.355 3.693 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1cnn(C2CCCC2)c1 ZINC000453281765 263217275 /nfs/dbraw/zinc/21/72/75/263217275.db2.gz HRPNDPNBFOFVIR-MNOVXSKESA-N 1 2 271.355 3.693 20 0 CHADLO Cc1cccc2[nH+]c(CSc3nccn3C(C)C)cn21 ZINC000298317679 263217760 /nfs/dbraw/zinc/21/77/60/263217760.db2.gz QOFNXXJVFIZLKO-UHFFFAOYSA-N 1 2 286.404 3.712 20 0 CHADLO Fc1ccc([C@H](NCc2ccccc2F)c2[nH]cc[nH+]2)cc1 ZINC000187686922 263265070 /nfs/dbraw/zinc/26/50/70/263265070.db2.gz RRSAXKIBWHSAOT-INIZCTEOSA-N 1 2 299.324 3.567 20 0 CHADLO Cc1noc(C[NH2+]C(C)(C)c2ccc(C(C)(C)C)cc2)n1 ZINC000330694508 264004707 /nfs/dbraw/zinc/00/47/07/264004707.db2.gz CIYILBRGARXJRA-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO CC[C@H](OC1CCCC1)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000330695603 264004859 /nfs/dbraw/zinc/00/48/59/264004859.db2.gz DHVLTJJHHIQNPF-HNNXBMFYSA-N 1 2 290.407 3.683 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CC(C)(C)Oc2ccccc21 ZINC000425343241 264015833 /nfs/dbraw/zinc/01/58/33/264015833.db2.gz RIVMHFCQMFFISU-UHFFFAOYSA-N 1 2 285.391 3.642 20 0 CHADLO C[C@H]([NH2+]C1CCC(c2ccc(O)cc2)CC1)C(F)(F)F ZINC000425350395 264025168 /nfs/dbraw/zinc/02/51/68/264025168.db2.gz HQWPXSRDTCZBLR-ZBOXLXRLSA-N 1 2 287.325 3.959 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)sc1C)c1c(C)noc1C ZINC000330811702 264044985 /nfs/dbraw/zinc/04/49/85/264044985.db2.gz BAGZGPIWNNGYCR-LBPRGKRZSA-N 1 2 279.409 3.606 20 0 CHADLO Cc1ccc(Cc2noc([C@H]3CCC[C@H](C)C3)n2)c[nH+]1 ZINC000330902787 264073047 /nfs/dbraw/zinc/07/30/47/264073047.db2.gz LHOSKIZOLOMKEI-FZMZJTMJSA-N 1 2 271.364 3.658 20 0 CHADLO CC(C)[C@@H](NC(=O)C[C@H](C)C1CCCCC1)c1[nH]cc[nH+]1 ZINC000330967059 264091026 /nfs/dbraw/zinc/09/10/26/264091026.db2.gz ADFPYXICNGTHJY-XJKSGUPXSA-N 1 2 291.439 3.830 20 0 CHADLO Cc1[nH+]c[nH]c1CNC(=O)CC1CCC(C(C)(C)C)CC1 ZINC000331105122 264125203 /nfs/dbraw/zinc/12/52/03/264125203.db2.gz FPOOUXYALHGSIQ-UHFFFAOYSA-N 1 2 291.439 3.577 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CC[C@H]2SC)c1 ZINC000425359694 264141909 /nfs/dbraw/zinc/14/19/09/264141909.db2.gz SCZTVOLBSTXTQI-NWDGAFQWSA-N 1 2 268.451 3.641 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ccn(-c2ccccc2F)n1 ZINC000188879846 264253953 /nfs/dbraw/zinc/25/39/53/264253953.db2.gz FFITVTJCGPFMJT-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ccn(-c2ccccc2F)n1 ZINC000188879846 264253955 /nfs/dbraw/zinc/25/39/55/264253955.db2.gz FFITVTJCGPFMJT-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1ccc(Cl)cc1F ZINC000189079372 264267960 /nfs/dbraw/zinc/26/79/60/264267960.db2.gz UKFYNCAUMJZXAF-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1ccc(Cl)cc1F ZINC000189079372 264267961 /nfs/dbraw/zinc/26/79/61/264267961.db2.gz UKFYNCAUMJZXAF-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO COC1CC(N(C)c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000343266838 264270727 /nfs/dbraw/zinc/27/07/27/264270727.db2.gz UGSYZMFKZMWLLM-UHFFFAOYSA-N 1 2 276.767 3.502 20 0 CHADLO CCCCc1nc(C[N@H+](C)CC2(c3ccccc3)CC2)no1 ZINC000189312358 264287222 /nfs/dbraw/zinc/28/72/22/264287222.db2.gz AIGYEYBKHYWOIK-UHFFFAOYSA-N 1 2 299.418 3.576 20 0 CHADLO CCCCc1nc(C[N@@H+](C)CC2(c3ccccc3)CC2)no1 ZINC000189312358 264287223 /nfs/dbraw/zinc/28/72/23/264287223.db2.gz AIGYEYBKHYWOIK-UHFFFAOYSA-N 1 2 299.418 3.576 20 0 CHADLO COc1ccccc1[C@H]1CC[C@H](C)CN1c1cc(C)[nH+]cn1 ZINC000343275300 264312026 /nfs/dbraw/zinc/31/20/26/264312026.db2.gz SXMRHPYDMNQMAY-XJKSGUPXSA-N 1 2 297.402 3.771 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1nc(C(F)F)no1)C1CC1 ZINC000425427305 264314437 /nfs/dbraw/zinc/31/44/37/264314437.db2.gz SEBVIKFCMBOCOK-JTQLQIEISA-N 1 2 293.317 3.733 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1nc(C(F)F)no1)C1CC1 ZINC000425427305 264314439 /nfs/dbraw/zinc/31/44/39/264314439.db2.gz SEBVIKFCMBOCOK-JTQLQIEISA-N 1 2 293.317 3.733 20 0 CHADLO COc1ccccc1[C@@H]1CC[C@@H](C)CN1c1cc[nH+]c(C)n1 ZINC000343280318 264328235 /nfs/dbraw/zinc/32/82/35/264328235.db2.gz FJEIJZWQQJJISX-CJNGLKHVSA-N 1 2 297.402 3.771 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@H+](Cc2nc(C(F)F)no2)C1 ZINC000425461639 264333775 /nfs/dbraw/zinc/33/37/75/264333775.db2.gz VDSVODYXJPEXPY-PWSUYJOCSA-N 1 2 293.317 3.590 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2nc(C(F)F)no2)C1 ZINC000425461639 264333777 /nfs/dbraw/zinc/33/37/77/264333777.db2.gz VDSVODYXJPEXPY-PWSUYJOCSA-N 1 2 293.317 3.590 20 0 CHADLO CCCC[C@H](C)[NH2+][C@@H](C(=O)OC)c1ccc(Cl)cc1 ZINC000428091502 264364863 /nfs/dbraw/zinc/36/48/63/264364863.db2.gz MEUORIQAKDHILP-SMDDNHRTSA-N 1 2 283.799 3.722 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H](C)CCC1CC1)c1ccc(Cl)cc1 ZINC000428097007 264366719 /nfs/dbraw/zinc/36/67/19/264366719.db2.gz CFYADYLNUVHVFO-ABAIWWIYSA-N 1 2 295.810 3.722 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H]2C[C@H](C)n3ccnc32)c(Cl)c1 ZINC000334519924 264802340 /nfs/dbraw/zinc/80/23/40/264802340.db2.gz UGGMBVWPGCRGCQ-IINYFYTJSA-N 1 2 293.773 3.780 20 0 CHADLO Cc1cc(C)c([C@H](C)Sc2nc(N)cc(C)[nH+]2)c(C)c1 ZINC000518844563 265179425 /nfs/dbraw/zinc/17/94/25/265179425.db2.gz XSBVUTWRMVTGMV-ZDUSSCGKSA-N 1 2 287.432 3.976 20 0 CHADLO CCCCc1nc(C[N@H+](C(CC)CC)C2CC2)no1 ZINC000519194703 265363432 /nfs/dbraw/zinc/36/34/32/265363432.db2.gz JCUQRUYETUMSKH-UHFFFAOYSA-N 1 2 265.401 3.565 20 0 CHADLO CCCCc1nc(C[N@@H+](C(CC)CC)C2CC2)no1 ZINC000519194703 265363434 /nfs/dbraw/zinc/36/34/34/265363434.db2.gz JCUQRUYETUMSKH-UHFFFAOYSA-N 1 2 265.401 3.565 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1ccc(Cl)cc1)C(=O)OCC ZINC000096867248 265392396 /nfs/dbraw/zinc/39/23/96/265392396.db2.gz BEZNCBBBQYTQOK-RISCZKNCSA-N 1 2 283.799 3.722 20 0 CHADLO Brc1cccc([C@@H]2C[C@@H]2Nc2cccc[nH+]2)c1 ZINC000520756544 266034252 /nfs/dbraw/zinc/03/42/52/266034252.db2.gz MYOCXZRUZRGNAE-STQMWFEESA-N 1 2 289.176 3.812 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2cc(C)cc(Cl)c2)n1 ZINC000353504665 266039441 /nfs/dbraw/zinc/03/94/41/266039441.db2.gz JQTQBXJWQXEJCP-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2cc(C)cc(Cl)c2)n1 ZINC000353504665 266039444 /nfs/dbraw/zinc/03/94/44/266039444.db2.gz JQTQBXJWQXEJCP-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N[C@H](C)C3CCCCC3)cc2n1C ZINC000356199392 266070814 /nfs/dbraw/zinc/07/08/14/266070814.db2.gz FRRJAGUUWCBDPQ-GFCCVEGCSA-N 1 2 299.418 3.580 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)c(C)c2)o1 ZINC000356281661 266087239 /nfs/dbraw/zinc/08/72/39/266087239.db2.gz MPGBIUZPJXFTMQ-RYUDHWBXSA-N 1 2 262.328 3.842 20 0 CHADLO c1cn2c(cccc2CN2CCCSc3ccccc32)[nH+]1 ZINC000356299965 266092159 /nfs/dbraw/zinc/09/21/59/266092159.db2.gz IHYLJCBMVQTRGX-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2ccccc2-n2cccn2)o1 ZINC000356314455 266094201 /nfs/dbraw/zinc/09/42/01/266094201.db2.gz SHZHNJHFDLEIOQ-KGLIPLIRSA-N 1 2 296.374 3.581 20 0 CHADLO CCC[C@@H]1CCCN(C(=O)c2ccc3[nH+]c(C)n(C)c3c2)C1 ZINC000356371289 266104071 /nfs/dbraw/zinc/10/40/71/266104071.db2.gz ARHWPBORBIPPNW-CQSZACIVSA-N 1 2 299.418 3.534 20 0 CHADLO C[C@H]([NH2+]Cc1cscc1C(F)(F)F)c1ccon1 ZINC000356435998 266113711 /nfs/dbraw/zinc/11/37/11/266113711.db2.gz UCUTXUQEUCEHPF-ZETCQYMHSA-N 1 2 276.283 3.606 20 0 CHADLO O=C(C/C=C\c1ccc(F)cc1)Nc1cccc2[nH+]ccn21 ZINC000356692722 266160746 /nfs/dbraw/zinc/16/07/46/266160746.db2.gz HTOCTAMHOTWYIG-IWQZZHSRSA-N 1 2 295.317 3.515 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+][C@H](COC)c1ccc(C)o1 ZINC000356867097 266198851 /nfs/dbraw/zinc/19/88/51/266198851.db2.gz MMCQKRJBTFGPKN-DZGCQCFKSA-N 1 2 288.391 3.584 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ncccc2CC)s1 ZINC000356905203 266210277 /nfs/dbraw/zinc/21/02/77/266210277.db2.gz UQYQGURPEMPSKR-NSHDSACASA-N 1 2 275.421 3.514 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2cc3ccccc3o2)o1 ZINC000356986442 266225646 /nfs/dbraw/zinc/22/56/46/266225646.db2.gz OSYIASQAWNNLKB-GFCCVEGCSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2cc3ccccc3o2)o1 ZINC000356986442 266225648 /nfs/dbraw/zinc/22/56/48/266225648.db2.gz OSYIASQAWNNLKB-GFCCVEGCSA-N 1 2 270.332 3.922 20 0 CHADLO CC(C)C[C@@H]1CCC[N@H+](Cc2ncn(-c3ccccc3)n2)C1 ZINC000357000213 266229105 /nfs/dbraw/zinc/22/91/05/266229105.db2.gz LLVJIKVFEZVMLO-INIZCTEOSA-N 1 2 298.434 3.525 20 0 CHADLO CC(C)C[C@@H]1CCC[N@@H+](Cc2ncn(-c3ccccc3)n2)C1 ZINC000357000213 266229107 /nfs/dbraw/zinc/22/91/07/266229107.db2.gz LLVJIKVFEZVMLO-INIZCTEOSA-N 1 2 298.434 3.525 20 0 CHADLO CC(C)(C)Cc1noc(-c2cccc(-n3cc[nH+]c3)c2)n1 ZINC000357029488 266235883 /nfs/dbraw/zinc/23/58/83/266235883.db2.gz RIILMQOITGGAFL-UHFFFAOYSA-N 1 2 282.347 3.511 20 0 CHADLO CCc1ccc(CC(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)cc1 ZINC000357035216 266236856 /nfs/dbraw/zinc/23/68/56/266236856.db2.gz WCRFWNRSLKYJEB-UHFFFAOYSA-N 1 2 293.370 3.615 20 0 CHADLO C[C@H](Cn1cc[nH+]c1)Nc1ccnc2c(Cl)cccc12 ZINC000357326659 266276300 /nfs/dbraw/zinc/27/63/00/266276300.db2.gz QEBBGRHXMLNFJT-LLVKDONJSA-N 1 2 286.766 3.585 20 0 CHADLO C[C@@H](Cn1cc[nH+]c1)Nc1ccnc2c(Cl)cccc12 ZINC000357326661 266276479 /nfs/dbraw/zinc/27/64/79/266276479.db2.gz QEBBGRHXMLNFJT-NSHDSACASA-N 1 2 286.766 3.585 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1csc2ccccc12 ZINC000357441723 266296894 /nfs/dbraw/zinc/29/68/94/266296894.db2.gz RSJCVNFUQYDEHP-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@@]3(CC=CCC3)C2)no1 ZINC000114495236 266309015 /nfs/dbraw/zinc/30/90/15/266309015.db2.gz APXOKHSKRNFZJA-KRWDZBQOSA-N 1 2 289.423 3.735 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@@]3(CC=CCC3)C2)no1 ZINC000114495236 266309019 /nfs/dbraw/zinc/30/90/19/266309019.db2.gz APXOKHSKRNFZJA-KRWDZBQOSA-N 1 2 289.423 3.735 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2c(F)cccc2Br)C1 ZINC000357611087 266335016 /nfs/dbraw/zinc/33/50/16/266335016.db2.gz PHRORUJOAYYEBX-GFCCVEGCSA-N 1 2 290.151 3.522 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2c(F)cccc2Br)C1 ZINC000357611087 266335019 /nfs/dbraw/zinc/33/50/19/266335019.db2.gz PHRORUJOAYYEBX-GFCCVEGCSA-N 1 2 290.151 3.522 20 0 CHADLO Cc1ccc(C[S@](=O)[C@@H](C)c2ccc(F)cc2)c(C)[nH+]1 ZINC000357785169 266361804 /nfs/dbraw/zinc/36/18/04/266361804.db2.gz GNEYTWBBKHTXKU-RBZFPXEDSA-N 1 2 291.391 3.847 20 0 CHADLO CCCCn1ncc(N[C@H](C)c2[nH+]ccn2C)c1C(C)C ZINC000357882779 266381206 /nfs/dbraw/zinc/38/12/06/266381206.db2.gz SVTTYJIXLIGICP-CYBMUJFWSA-N 1 2 289.427 3.713 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccn(C3CCCC3)n2)cs1 ZINC000358302677 266451084 /nfs/dbraw/zinc/45/10/84/266451084.db2.gz QJHAHADQUZRFRM-NSHDSACASA-N 1 2 290.436 3.614 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(F)ccc1F)c1ccc(Cl)cn1 ZINC000359660471 266617839 /nfs/dbraw/zinc/61/78/39/266617839.db2.gz KXZXVDSGHWMHFE-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO Cc1nc2cc(Cl)c(NCc3c[nH+]cn3C)cc2s1 ZINC000359676754 266621672 /nfs/dbraw/zinc/62/16/72/266621672.db2.gz RXIGMJFMXIORPD-UHFFFAOYSA-N 1 2 292.795 3.604 20 0 CHADLO CSc1ccccc1CN(C)c1[nH+]c(C)nc2[nH]ccc21 ZINC000359870301 266649209 /nfs/dbraw/zinc/64/92/09/266649209.db2.gz FDUWGIPPHMNQQK-UHFFFAOYSA-N 1 2 298.415 3.625 20 0 CHADLO CCn1c(C)cc(C(=O)C[N@@H+]2Cc3ccccc3[C@H]2C)c1C ZINC000361047412 266773894 /nfs/dbraw/zinc/77/38/94/266773894.db2.gz XNYVZPKMBCRFCT-CQSZACIVSA-N 1 2 296.414 3.884 20 0 CHADLO CCn1c(C)cc(C(=O)C[N@H+]2Cc3ccccc3[C@H]2C)c1C ZINC000361047412 266773897 /nfs/dbraw/zinc/77/38/97/266773897.db2.gz XNYVZPKMBCRFCT-CQSZACIVSA-N 1 2 296.414 3.884 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2cc(F)cc(Cl)c2)s1 ZINC000362526952 267001339 /nfs/dbraw/zinc/00/13/39/267001339.db2.gz WXWGJTNLIZKYKD-UHFFFAOYSA-N 1 2 299.802 3.744 20 0 CHADLO CCC(C)(C)CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000362552965 267006889 /nfs/dbraw/zinc/00/68/89/267006889.db2.gz RDYXFGYQTGTTKL-UHFFFAOYSA-N 1 2 259.353 3.636 20 0 CHADLO Cc1cc(C)c2c(c1)CN(c1cc(C)[nH+]c(C3CC3)n1)CC2 ZINC000362678443 267034029 /nfs/dbraw/zinc/03/40/29/267034029.db2.gz BGWFACLIPKWZSK-UHFFFAOYSA-N 1 2 293.414 3.842 20 0 CHADLO CN(Cc1ccc(F)cc1F)c1cc[nH+]c2ccncc21 ZINC000362857139 267055007 /nfs/dbraw/zinc/05/50/07/267055007.db2.gz VGFHAINMFZZJOX-UHFFFAOYSA-N 1 2 285.297 3.544 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@@H]3CC=CCC3)c2)[nH+]c1C ZINC000363114438 267075545 /nfs/dbraw/zinc/07/55/45/267075545.db2.gz AWXDBDBHKZPLHF-CQSZACIVSA-N 1 2 295.386 3.988 20 0 CHADLO CSc1cc(C)ccc1C[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367601531 267103510 /nfs/dbraw/zinc/10/35/10/267103510.db2.gz OEMFWCNCIDXPBS-CQSZACIVSA-N 1 2 287.432 3.607 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCC[C@H](C3CC3)C2)n1 ZINC000368567665 267187522 /nfs/dbraw/zinc/18/75/22/267187522.db2.gz OAPWLURIUFMIOH-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCC[C@H](C3CC3)C2)n1 ZINC000368567665 267187524 /nfs/dbraw/zinc/18/75/24/267187524.db2.gz OAPWLURIUFMIOH-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO Cc1csc(C[NH2+]Cc2ccccc2OCC(C)C)n1 ZINC000117383581 267211982 /nfs/dbraw/zinc/21/19/82/267211982.db2.gz KDCRAAGNRGRFGN-UHFFFAOYSA-N 1 2 290.432 3.776 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCOC3(CCCC3)C2)s1 ZINC000369135493 267222468 /nfs/dbraw/zinc/22/24/68/267222468.db2.gz WPSPSIBZNJTCJF-UHFFFAOYSA-N 1 2 294.464 3.586 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCOC3(CCCC3)C2)s1 ZINC000369135493 267222470 /nfs/dbraw/zinc/22/24/70/267222470.db2.gz WPSPSIBZNJTCJF-UHFFFAOYSA-N 1 2 294.464 3.586 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)Nc1cccc(F)c1 ZINC000118751608 267267812 /nfs/dbraw/zinc/26/78/12/267267812.db2.gz SUXUSZLERWOIOG-UHFFFAOYSA-N 1 2 296.305 3.655 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)c2ccsc2)c(C)[nH+]1 ZINC000119224665 267311134 /nfs/dbraw/zinc/31/11/34/267311134.db2.gz GOVPHQHWCKENTM-SNVBAGLBSA-N 1 2 260.362 3.502 20 0 CHADLO CC[C@@H](Nc1cnn(C2CCCC2)c1C)c1[nH+]ccn1C ZINC000193387107 267311473 /nfs/dbraw/zinc/31/14/73/267311473.db2.gz FNSQBHZWLJIESO-CQSZACIVSA-N 1 2 287.411 3.603 20 0 CHADLO Cc1cc(NC(=O)NCc2ccc(F)c(Cl)c2)cc[nH+]1 ZINC000119253955 267313472 /nfs/dbraw/zinc/31/34/72/267313472.db2.gz FPQQQGCOASGAKQ-UHFFFAOYSA-N 1 2 293.729 3.504 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2nc3ccccc3o2)on1 ZINC000370515091 267325949 /nfs/dbraw/zinc/32/59/49/267325949.db2.gz RBFWTZZMRHVMIT-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2nc3ccccc3o2)on1 ZINC000370515091 267325952 /nfs/dbraw/zinc/32/59/52/267325952.db2.gz RBFWTZZMRHVMIT-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO C[C@@H](N[C@@H](c1[nH+]ccn1C)c1ccccc1F)c1ccoc1 ZINC000370669298 267336605 /nfs/dbraw/zinc/33/66/05/267336605.db2.gz IOBODYLAUWJZNL-MLGOLLRUSA-N 1 2 299.349 3.592 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2C/C=C/c2ccccc2)no1 ZINC000370744271 267343948 /nfs/dbraw/zinc/34/39/48/267343948.db2.gz CQOBFXRQEXLHCY-OJXHRBAXSA-N 1 2 283.375 3.618 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2C/C=C/c2ccccc2)no1 ZINC000370744271 267343949 /nfs/dbraw/zinc/34/39/49/267343949.db2.gz CQOBFXRQEXLHCY-OJXHRBAXSA-N 1 2 283.375 3.618 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2ccc(C)o2)[nH+]1 ZINC000371248852 267377388 /nfs/dbraw/zinc/37/73/88/267377388.db2.gz XQYANCHKVPRXKU-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Cc1cc(NC(=O)[C@H]2C[C@H]2c2cccc(Cl)c2)cc[nH+]1 ZINC000120120756 267383139 /nfs/dbraw/zinc/38/31/39/267383139.db2.gz VULQFOZXLIQDMW-GJZGRUSLSA-N 1 2 286.762 3.786 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2C[C@H]2c2cccc(Cl)c2)cc[nH+]1 ZINC000120120602 267383712 /nfs/dbraw/zinc/38/37/12/267383712.db2.gz VULQFOZXLIQDMW-LSDHHAIUSA-N 1 2 286.762 3.786 20 0 CHADLO CC[N@H+](Cc1cccs1)[C@@H](C)c1nc(C2CC2)no1 ZINC000120184485 267392432 /nfs/dbraw/zinc/39/24/32/267392432.db2.gz LJMZQFNNLIOSBS-JTQLQIEISA-N 1 2 277.393 3.592 20 0 CHADLO CC[N@@H+](Cc1cccs1)[C@@H](C)c1nc(C2CC2)no1 ZINC000120184485 267392434 /nfs/dbraw/zinc/39/24/34/267392434.db2.gz LJMZQFNNLIOSBS-JTQLQIEISA-N 1 2 277.393 3.592 20 0 CHADLO c1cn(CCSc2ccc3ccccc3c2)c[nH+]1 ZINC000121819907 267746582 /nfs/dbraw/zinc/74/65/82/267746582.db2.gz DSXHOMRVDWOLDZ-UHFFFAOYSA-N 1 2 254.358 3.829 20 0 CHADLO c1csc(C[N@@H+]2Cc3ccccc3C3(CCC3)C2)n1 ZINC000375684294 267887150 /nfs/dbraw/zinc/88/71/50/267887150.db2.gz DTNVBZQTHMIYLR-UHFFFAOYSA-N 1 2 270.401 3.581 20 0 CHADLO c1csc(C[N@H+]2Cc3ccccc3C3(CCC3)C2)n1 ZINC000375684294 267887153 /nfs/dbraw/zinc/88/71/53/267887153.db2.gz DTNVBZQTHMIYLR-UHFFFAOYSA-N 1 2 270.401 3.581 20 0 CHADLO Cc1cnc(C[NH2+][C@@H]2CCCOc3ccc(F)cc32)s1 ZINC000122886250 267941629 /nfs/dbraw/zinc/94/16/29/267941629.db2.gz KXXINLZPSWFLMR-CYBMUJFWSA-N 1 2 292.379 3.594 20 0 CHADLO Cc1ccc(CNc2ccc(N3CCCCC3)c[nH+]2)o1 ZINC000123263174 268019530 /nfs/dbraw/zinc/01/95/30/268019530.db2.gz ZBLJLORPKXRIQS-UHFFFAOYSA-N 1 2 271.364 3.585 20 0 CHADLO Fc1ccccc1C[N@@H+]1CCC[C@H]1c1nccs1 ZINC000377196303 268054476 /nfs/dbraw/zinc/05/44/76/268054476.db2.gz NFFVMZLTTMRRQU-ZDUSSCGKSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1ccccc1C[N@H+]1CCC[C@H]1c1nccs1 ZINC000377196303 268054479 /nfs/dbraw/zinc/05/44/79/268054479.db2.gz NFFVMZLTTMRRQU-ZDUSSCGKSA-N 1 2 262.353 3.619 20 0 CHADLO COc1ccc(C[NH2+][C@@H](c2ccco2)c2ccccc2)cn1 ZINC000192945472 533689643 /nfs/dbraw/zinc/68/96/43/533689643.db2.gz QPKOBRQDFFNIFN-GOSISDBHSA-N 1 2 294.354 3.562 20 0 CHADLO CC[C@H](NC(=O)[C@@H]1CCC[C@H]2CCCC[C@@H]21)c1[nH]cc[nH+]1 ZINC000332786566 327655709 /nfs/dbraw/zinc/65/57/09/327655709.db2.gz LBLHMSGUPYPBDN-BARDWOONSA-N 1 2 289.423 3.584 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+][C@@H](C)C(C)(F)F ZINC000389466988 328848858 /nfs/dbraw/zinc/84/88/58/328848858.db2.gz LVKOBGCELXNDLX-QWRGUYRKSA-N 1 2 257.324 3.698 20 0 CHADLO COC[C@@H](C)CNc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000187562654 327717911 /nfs/dbraw/zinc/71/79/11/327717911.db2.gz OPWLIKTWZURZJN-AWEZNQCLSA-N 1 2 299.418 3.581 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1cccc(F)c1F ZINC000188282289 327748747 /nfs/dbraw/zinc/74/87/47/327748747.db2.gz XGJPQRFNLHXAGP-UHFFFAOYSA-N 1 2 297.732 3.917 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@H](C)OC2(CCC2)C1 ZINC000580307919 327777259 /nfs/dbraw/zinc/77/72/59/327777259.db2.gz IMWRUYOZMYFDEF-AWEZNQCLSA-N 1 2 282.387 3.691 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@@H](C)OC2(CCC2)C1 ZINC000580307920 327777323 /nfs/dbraw/zinc/77/73/23/327777323.db2.gz IMWRUYOZMYFDEF-CQSZACIVSA-N 1 2 282.387 3.691 20 0 CHADLO Cc1ccc(NC(=O)Cc2coc3ccccc23)c(C)[nH+]1 ZINC000580849822 327778650 /nfs/dbraw/zinc/77/86/50/327778650.db2.gz WWMPUDQZNTVXAL-UHFFFAOYSA-N 1 2 280.327 3.626 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH2+][C@@H](c2ccccc2)C2CC2)n1 ZINC000179102262 327799467 /nfs/dbraw/zinc/79/94/67/327799467.db2.gz WGYDMVYXSABSRQ-DOMZBBRYSA-N 1 2 285.391 3.995 20 0 CHADLO CCCCc1nc(C[NH2+]C(C)(C)c2cccc(C)c2)no1 ZINC000179591379 327802561 /nfs/dbraw/zinc/80/25/61/327802561.db2.gz VLRDCPXIGPSXJU-UHFFFAOYSA-N 1 2 287.407 3.746 20 0 CHADLO Cc1[nH+]c(-c2ccncc2)nc(NCC2(C)CCCC2)c1C ZINC000500396778 327861258 /nfs/dbraw/zinc/86/12/58/327861258.db2.gz JYQMBMKPVAVTIM-UHFFFAOYSA-N 1 2 296.418 3.570 20 0 CHADLO CCS[C@H](C)c1noc(C[NH+]2CCC(C)(C)CC2)n1 ZINC000336801348 327945830 /nfs/dbraw/zinc/94/58/30/327945830.db2.gz PUDZOMIERVKAFP-LLVKDONJSA-N 1 2 283.441 3.506 20 0 CHADLO CCOc1ccc([NH2+][C@@H]2CCCC23CCOCC3)cc1 ZINC000282807848 327995201 /nfs/dbraw/zinc/99/52/01/327995201.db2.gz RCYKETGUJZVVTP-MRXNPFEDSA-N 1 2 275.392 3.847 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@@H](C)c1ccccc1C ZINC000531547261 328014860 /nfs/dbraw/zinc/01/48/60/328014860.db2.gz LRNIDOSBPRELAR-CQSZACIVSA-N 1 2 282.387 3.507 20 0 CHADLO CC(C)CCc1ccccc1NCc1c[nH+]cn1C ZINC000531587656 328016902 /nfs/dbraw/zinc/01/69/02/328016902.db2.gz YOSJOSWYCZOLFS-UHFFFAOYSA-N 1 2 257.381 3.621 20 0 CHADLO Cn1c2ccc(NC(=O)C3(C)CCC3)cc2[nH+]c1C(C)(C)C ZINC000534080596 328034486 /nfs/dbraw/zinc/03/44/86/328034486.db2.gz QQSSOUHEAGZCAJ-UHFFFAOYSA-N 1 2 299.418 4.000 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000534134706 328035460 /nfs/dbraw/zinc/03/54/60/328035460.db2.gz QTFWHCBYCKRTHQ-GFCCVEGCSA-N 1 2 273.380 3.654 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@@H](CC)c1ccc(C)cc1 ZINC000531802473 328037170 /nfs/dbraw/zinc/03/71/70/328037170.db2.gz HINXBORQRZMHKK-OAHLLOKOSA-N 1 2 296.414 3.897 20 0 CHADLO CCCC[C@H]([NH2+][C@H]1CCc2c1cccc2Cl)C(=O)OC ZINC000534243048 328041664 /nfs/dbraw/zinc/04/16/64/328041664.db2.gz JWNGFSVMQFHGLM-GJZGRUSLSA-N 1 2 295.810 3.649 20 0 CHADLO Cc1cc(NC(=O)N(CC(C)C)CC(C)(C)C)c(C)c[nH+]1 ZINC000534324599 328045759 /nfs/dbraw/zinc/04/57/59/328045759.db2.gz AKAHIBYDCKJHSM-UHFFFAOYSA-N 1 2 291.439 3.656 20 0 CHADLO CCc1nc(C[N@H+](C)C/C=C/c2ccc(F)cc2)cs1 ZINC000534349678 328047611 /nfs/dbraw/zinc/04/76/11/328047611.db2.gz VLLQHGZAXUTHDH-SNAWJCMRSA-N 1 2 290.407 3.990 20 0 CHADLO CCc1nc(C[N@@H+](C)C/C=C/c2ccc(F)cc2)cs1 ZINC000534349678 328047612 /nfs/dbraw/zinc/04/76/12/328047612.db2.gz VLLQHGZAXUTHDH-SNAWJCMRSA-N 1 2 290.407 3.990 20 0 CHADLO C[C@H]([NH2+]Cc1ccn[nH]1)c1ccccc1C(C)(C)C ZINC000534350978 328048064 /nfs/dbraw/zinc/04/80/64/328048064.db2.gz CNPXERXABMHEEM-LBPRGKRZSA-N 1 2 257.381 3.558 20 0 CHADLO CCCN(C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1)C(C)C ZINC000563412183 328064604 /nfs/dbraw/zinc/06/46/04/328064604.db2.gz CEENRSOWYGVGSJ-INIZCTEOSA-N 1 2 299.418 3.579 20 0 CHADLO COc1ccnc2c(N[C@@H]3C[C@@H](C)n4cc[nH+]c43)cccc12 ZINC000563510512 328070763 /nfs/dbraw/zinc/07/07/63/328070763.db2.gz JHLCOGUMJHCMFL-BXUZGUMPSA-N 1 2 294.358 3.558 20 0 CHADLO Cc1ccc(NC(=O)N2CCC3(C2)CCCCC3)c(C)[nH+]1 ZINC000152166764 328075971 /nfs/dbraw/zinc/07/59/71/328075971.db2.gz QFCNDSFDSWCQSC-UHFFFAOYSA-N 1 2 287.407 3.887 20 0 CHADLO Fc1ccc([C@H]([NH2+][C@H]2CCC[C@H]2F)c2ccccc2)nc1 ZINC000571171471 328090549 /nfs/dbraw/zinc/09/05/49/328090549.db2.gz LCQKXKWIRCRBTD-HLLBOEOZSA-N 1 2 288.341 3.790 20 0 CHADLO FCC1([NH2+][C@H](CCc2ccccc2)C(F)F)CCC1 ZINC000571210216 328093373 /nfs/dbraw/zinc/09/33/73/328093373.db2.gz IZTSEHVITMCEED-CYBMUJFWSA-N 1 2 271.326 3.735 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)c2cc3ccccc3s2)[nH+]1 ZINC000571336778 328111440 /nfs/dbraw/zinc/11/14/40/328111440.db2.gz JWIHMKJHLGZIDD-UHFFFAOYSA-N 1 2 299.399 3.598 20 0 CHADLO C[C@H](c1ccccc1Cl)[NH+]1CC(C(F)(F)F)C1 ZINC000571630696 328150436 /nfs/dbraw/zinc/15/04/36/328150436.db2.gz KPSVTASZDPXMOR-MRVPVSSYSA-N 1 2 263.690 3.895 20 0 CHADLO COCC1CCC(Nc2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000413530073 328148641 /nfs/dbraw/zinc/14/86/41/328148641.db2.gz IPRFTPMLRRUJRL-UHFFFAOYSA-N 1 2 288.313 3.718 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccon2)ccc1C(F)(F)F ZINC000414428738 328168747 /nfs/dbraw/zinc/16/87/47/328168747.db2.gz GZZVXRQRYRWCOE-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](C)c1nc(C(F)(F)F)cs1 ZINC000278688834 328229425 /nfs/dbraw/zinc/22/94/25/328229425.db2.gz IRAKPHAUVLTIFX-MRVPVSSYSA-N 1 2 290.310 3.914 20 0 CHADLO CCCOc1ccc([NH2+]CC2CC(OCC)C2)cc1 ZINC000285131918 328247247 /nfs/dbraw/zinc/24/72/47/328247247.db2.gz KSDDJDVWOFWELB-UHFFFAOYSA-N 1 2 263.381 3.702 20 0 CHADLO Fc1ccc2cc[nH+]c(N3CCc4sccc4C3)c2c1 ZINC000340255005 328251534 /nfs/dbraw/zinc/25/15/34/328251534.db2.gz FQRMYBDWHHDVGZ-UHFFFAOYSA-N 1 2 284.359 3.998 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CCCCC[C@H]2c2ccco2)nn1 ZINC000289516533 328254815 /nfs/dbraw/zinc/25/48/15/328254815.db2.gz FRJDEPILGRCKEG-HNNXBMFYSA-N 1 2 288.395 3.569 20 0 CHADLO CC(C)n1cc(C[N@H+]2CCCCC[C@H]2c2ccco2)nn1 ZINC000289516533 328254816 /nfs/dbraw/zinc/25/48/16/328254816.db2.gz FRJDEPILGRCKEG-HNNXBMFYSA-N 1 2 288.395 3.569 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nccn1C)c1ccccc1)c1ccccc1 ZINC000531942707 328325710 /nfs/dbraw/zinc/32/57/10/328325710.db2.gz FHZOBTDYFQVAMO-CRAIPNDOSA-N 1 2 291.398 3.860 20 0 CHADLO CCC[C@@H]1C[N@@H+]([C@H](C)c2cc(F)ccc2F)CCO1 ZINC000534667469 328345758 /nfs/dbraw/zinc/34/57/58/328345758.db2.gz PKZQIEKRKICZKA-DGCLKSJQSA-N 1 2 269.335 3.527 20 0 CHADLO CCC[C@@H]1C[N@H+]([C@H](C)c2cc(F)ccc2F)CCO1 ZINC000534667469 328345759 /nfs/dbraw/zinc/34/57/59/328345759.db2.gz PKZQIEKRKICZKA-DGCLKSJQSA-N 1 2 269.335 3.527 20 0 CHADLO COc1ccc2c(c1)[nH+]ccc2OCc1ncc(C2CC2)o1 ZINC000534768443 328361171 /nfs/dbraw/zinc/36/11/71/328361171.db2.gz LHTJPRSJKRWYDN-UHFFFAOYSA-N 1 2 296.326 3.688 20 0 CHADLO CCCc1ncc(CNc2[nH+]c3ccccc3cc2C)o1 ZINC000563679014 328366914 /nfs/dbraw/zinc/36/69/14/328366914.db2.gz SMQUFPGQXVJMIU-UHFFFAOYSA-N 1 2 281.359 3.518 20 0 CHADLO C[C@H]1[C@H](c2ccccc2)CCN1c1[nH+]c2ccccc2n1C ZINC000534980192 328372935 /nfs/dbraw/zinc/37/29/35/328372935.db2.gz WKCOZCIWNDCRKM-GOEBONIOSA-N 1 2 291.398 3.956 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCCC[N@@H+]1Cc1ccco1 ZINC000535078188 328382979 /nfs/dbraw/zinc/38/29/79/328382979.db2.gz PMUIXXWUUGIWNN-QGZVFWFLSA-N 1 2 295.386 3.894 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCCC[N@H+]1Cc1ccco1 ZINC000535078188 328382981 /nfs/dbraw/zinc/38/29/81/328382981.db2.gz PMUIXXWUUGIWNN-QGZVFWFLSA-N 1 2 295.386 3.894 20 0 CHADLO Cc1cc(CNc2ccc(N3CCCC3)[nH+]c2)ccc1F ZINC000050545272 328442871 /nfs/dbraw/zinc/44/28/71/328442871.db2.gz ROLZGMCWALZCNB-UHFFFAOYSA-N 1 2 285.366 3.741 20 0 CHADLO CC[C@H](NC(=O)C[C@@H](C)C1CCCCC1)c1[nH]cc[nH+]1 ZINC000331000498 328472502 /nfs/dbraw/zinc/47/25/02/328472502.db2.gz QCHOLKMWQSLJCH-OCCSQVGLSA-N 1 2 277.412 3.584 20 0 CHADLO C[C@H](CC(=O)N1CCCC[C@H]1c1ccccc1)n1cc[nH+]c1 ZINC000563814851 328520771 /nfs/dbraw/zinc/52/07/71/328520771.db2.gz JTWXGJFUGZGLOG-WBVHZDCISA-N 1 2 297.402 3.588 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1csc(Cl)c1 ZINC000398453899 328592540 /nfs/dbraw/zinc/59/25/40/328592540.db2.gz GFBKBDOVNFSJJB-RQJHMYQMSA-N 1 2 273.814 3.665 20 0 CHADLO CC[C@H]([NH2+]Cc1ncccc1F)c1ccccc1F ZINC000530471567 326814369 /nfs/dbraw/zinc/81/43/69/326814369.db2.gz JSQXPRAFNWQNMA-AWEZNQCLSA-N 1 2 262.303 3.601 20 0 CHADLO COc1ccc2cc(C[NH2+][C@H](C)c3csnn3)ccc2c1 ZINC000398261321 326876737 /nfs/dbraw/zinc/87/67/37/326876737.db2.gz AMFIMTXSJZFKGY-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO Cc1cccc2c1CC[C@@H]2Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000530717722 326887393 /nfs/dbraw/zinc/88/73/93/326887393.db2.gz ODEKAEUQIHIQGD-HNNXBMFYSA-N 1 2 278.359 3.674 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ccc(N)cc2Cl)c1 ZINC000214044141 327010458 /nfs/dbraw/zinc/01/04/58/327010458.db2.gz LDJYCXOJFITNCT-UHFFFAOYSA-N 1 2 294.807 3.927 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)C1CCCCC1)c1ccc(F)cc1 ZINC000557600820 327015891 /nfs/dbraw/zinc/01/58/91/327015891.db2.gz QWKRGOYRUSCAHJ-BLLLJJGKSA-N 1 2 293.382 3.598 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1cscn1 ZINC000255870577 327023149 /nfs/dbraw/zinc/02/31/49/327023149.db2.gz LJIXMNJMJVEERJ-JYRVWZFOSA-N 1 2 258.390 3.678 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1cscn1 ZINC000255870577 327023151 /nfs/dbraw/zinc/02/31/51/327023151.db2.gz LJIXMNJMJVEERJ-JYRVWZFOSA-N 1 2 258.390 3.678 20 0 CHADLO Cc1cc(N[C@H]2C[C@@H]2C(F)F)c2cccc(F)c2[nH+]1 ZINC000557752470 327024818 /nfs/dbraw/zinc/02/48/18/327024818.db2.gz XOFQUKUTAUZUIS-CABZTGNLSA-N 1 2 266.266 3.748 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc2oc(C3CC3)nc2c1 ZINC000090170637 327031683 /nfs/dbraw/zinc/03/16/83/327031683.db2.gz BNQYRUGPRZFJBJ-UHFFFAOYSA-N 1 2 282.347 3.534 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@H](CC)c2ccccc2OC)o1 ZINC000558177973 327077985 /nfs/dbraw/zinc/07/79/85/327077985.db2.gz RYKQVRQHPGKLMU-OAHLLOKOSA-N 1 2 288.391 3.829 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@H](CC)c2ccccc2OC)o1 ZINC000558177973 327077987 /nfs/dbraw/zinc/07/79/87/327077987.db2.gz RYKQVRQHPGKLMU-OAHLLOKOSA-N 1 2 288.391 3.829 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccc(Cl)cn1)c1nc(C)cs1 ZINC000558406374 327090874 /nfs/dbraw/zinc/09/08/74/327090874.db2.gz DGPMEAJXCYTXPT-CQSZACIVSA-N 1 2 295.839 3.915 20 0 CHADLO Clc1ccccc1[C@@H]1CCC[C@H]1Nc1c[nH]c[nH+]1 ZINC000558575209 327106592 /nfs/dbraw/zinc/10/65/92/327106592.db2.gz HVPWPQBJEJYGNM-WCQYABFASA-N 1 2 261.756 3.811 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@H](C)[NH2+][C@H](C)c1cscn1 ZINC000558681164 327114121 /nfs/dbraw/zinc/11/41/21/327114121.db2.gz FESJOUNMJWKRSH-CMPLNLGQSA-N 1 2 292.452 3.815 20 0 CHADLO COc1ccc(CCCNc2ccc3ccccc3[nH+]2)cc1 ZINC000584246699 327126854 /nfs/dbraw/zinc/12/68/54/327126854.db2.gz CZEYLCUWTNIPHH-UHFFFAOYSA-N 1 2 292.382 3.710 20 0 CHADLO CC(C)Oc1cccc([C@@H](C)Nc2cc[nH+]c(C(C)C)n2)c1 ZINC000518374228 327156453 /nfs/dbraw/zinc/15/64/53/327156453.db2.gz AJKYEHLALFAKJB-CQSZACIVSA-N 1 2 299.418 3.982 20 0 CHADLO c1ccc(C[C@H]2CCCN(c3cccc[nH+]3)C2)cc1 ZINC000518507525 327170619 /nfs/dbraw/zinc/17/06/19/327170619.db2.gz YZVFRFHFKNHOQY-MRXNPFEDSA-N 1 2 252.361 3.541 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@H](C)c1nccs1 ZINC000091729359 327176845 /nfs/dbraw/zinc/17/68/45/327176845.db2.gz MUAQAVWHMHVYMQ-VHSXEESVSA-N 1 2 280.368 3.703 20 0 CHADLO C[C@@H]([NH2+]Cc1ccco1)c1nc2ccc(Cl)cc2n1C ZINC000091262563 327185288 /nfs/dbraw/zinc/18/52/88/327185288.db2.gz HUUXBYTUODBOJC-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2ccc(C3CCC3)cc2)n1 ZINC000569495635 327185914 /nfs/dbraw/zinc/18/59/14/327185914.db2.gz IROTULXMGYARDY-LBPRGKRZSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2ccc(C3CCC3)cc2)n1 ZINC000569495635 327185915 /nfs/dbraw/zinc/18/59/15/327185915.db2.gz IROTULXMGYARDY-LBPRGKRZSA-N 1 2 285.391 3.839 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+][C@H](C)c1cscn1 ZINC000573507130 327191968 /nfs/dbraw/zinc/19/19/68/327191968.db2.gz QGEPNZZNGCPAGL-VXGBXAGGSA-N 1 2 276.405 3.872 20 0 CHADLO F[C@H]1CC[N@H+](Cc2c(Cl)oc3ccccc32)C1 ZINC000449397726 327192018 /nfs/dbraw/zinc/19/20/18/327192018.db2.gz VXEMULZHADRISA-VIFPVBQESA-N 1 2 253.704 3.630 20 0 CHADLO F[C@H]1CC[N@@H+](Cc2c(Cl)oc3ccccc32)C1 ZINC000449397726 327192019 /nfs/dbraw/zinc/19/20/19/327192019.db2.gz VXEMULZHADRISA-VIFPVBQESA-N 1 2 253.704 3.630 20 0 CHADLO COc1ccc(-c2ccccc2)cc1CNc1c[nH]c[nH+]1 ZINC000569536464 327195179 /nfs/dbraw/zinc/19/51/79/327195179.db2.gz CBMPFAAZWWRXCN-UHFFFAOYSA-N 1 2 279.343 3.697 20 0 CHADLO Cc1ncsc1CCSCc1ccc(C)[nH+]c1C ZINC000507119584 332788624 /nfs/dbraw/zinc/78/86/24/332788624.db2.gz YMMBBDPLDZQTIA-UHFFFAOYSA-N 1 2 278.446 3.939 20 0 CHADLO CCc1ccc([C@@H]2COCC[N@@H+]2C[C@@H]2CCC(F)(F)C2)o1 ZINC000559791616 327261760 /nfs/dbraw/zinc/26/17/60/327261760.db2.gz VZVMRYOWBYGLTR-OCCSQVGLSA-N 1 2 299.361 3.651 20 0 CHADLO CCc1ccc([C@@H]2COCC[N@H+]2C[C@@H]2CCC(F)(F)C2)o1 ZINC000559791616 327261761 /nfs/dbraw/zinc/26/17/61/327261761.db2.gz VZVMRYOWBYGLTR-OCCSQVGLSA-N 1 2 299.361 3.651 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+][C@H]2CCC[C@H]2F)cs1 ZINC000559917134 327270975 /nfs/dbraw/zinc/27/09/75/327270975.db2.gz VIAXHWDCSWAXFF-MXWKQRLJSA-N 1 2 256.390 3.808 20 0 CHADLO C[N@H+](CC(=O)c1c[nH]c2ccccc21)Cc1cccs1 ZINC000048014263 327276345 /nfs/dbraw/zinc/27/63/45/327276345.db2.gz RLBPPECPCCNOMC-UHFFFAOYSA-N 1 2 284.384 3.544 20 0 CHADLO C[N@@H+](CC(=O)c1c[nH]c2ccccc21)Cc1cccs1 ZINC000048014263 327276346 /nfs/dbraw/zinc/27/63/46/327276346.db2.gz RLBPPECPCCNOMC-UHFFFAOYSA-N 1 2 284.384 3.544 20 0 CHADLO C[N@H+](Cc1ccc(Br)o1)Cc1ccccc1F ZINC000048052936 327277442 /nfs/dbraw/zinc/27/74/42/327277442.db2.gz GFSGNQUXONNZTE-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C[N@@H+](Cc1ccc(Br)o1)Cc1ccccc1F ZINC000048052936 327277444 /nfs/dbraw/zinc/27/74/44/327277444.db2.gz GFSGNQUXONNZTE-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)ccc2Cl)CCS1 ZINC000525624049 327282933 /nfs/dbraw/zinc/28/29/33/327282933.db2.gz JCTIILOVUMNODS-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)ccc2Cl)CCS1 ZINC000525624049 327282935 /nfs/dbraw/zinc/28/29/35/327282935.db2.gz JCTIILOVUMNODS-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO CCC1CC(Nc2cccc(-c3[nH+]ccn3C)c2)C1 ZINC000560258480 327294270 /nfs/dbraw/zinc/29/42/70/327294270.db2.gz ZIPMIWHYCIGASG-UHFFFAOYSA-N 1 2 255.365 3.688 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H]2CCC[C@H](C)C2)n1 ZINC000560354416 327302264 /nfs/dbraw/zinc/30/22/64/327302264.db2.gz UDSLNGNFBOBHBL-GXTWGEPZSA-N 1 2 285.391 3.852 20 0 CHADLO CC[N@H+](Cc1csnn1)[C@@H](C)c1cc2ccccc2o1 ZINC000119373835 327331739 /nfs/dbraw/zinc/33/17/39/327331739.db2.gz SCHSDEZJLAXHKO-NSHDSACASA-N 1 2 287.388 3.867 20 0 CHADLO CC[N@@H+](Cc1csnn1)[C@@H](C)c1cc2ccccc2o1 ZINC000119373835 327331741 /nfs/dbraw/zinc/33/17/41/327331741.db2.gz SCHSDEZJLAXHKO-NSHDSACASA-N 1 2 287.388 3.867 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)CC[C@H](C)c2ccccc2)[nH+]1 ZINC000566364296 327338328 /nfs/dbraw/zinc/33/83/28/327338328.db2.gz LMSLKLHHCYFUMU-ZDUSSCGKSA-N 1 2 299.418 3.653 20 0 CHADLO Cc1sccc1C[N@@H+]1CCOC[C@@H]1c1ccc(F)cc1 ZINC000449582733 327378825 /nfs/dbraw/zinc/37/88/25/327378825.db2.gz ALPONODQODALHR-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1sccc1C[N@H+]1CCOC[C@@H]1c1ccc(F)cc1 ZINC000449582733 327378827 /nfs/dbraw/zinc/37/88/27/327378827.db2.gz ALPONODQODALHR-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO Clc1cc2c(c(Cl)c1)CC[C@H]2[NH2+]Cc1ncccn1 ZINC000579496508 327379970 /nfs/dbraw/zinc/37/99/70/327379970.db2.gz JGEOMFHTUUUOKI-CYBMUJFWSA-N 1 2 294.185 3.561 20 0 CHADLO CCc1nc(N2CCCC23CCCCC3)cc(C)[nH+]1 ZINC000561278999 327382166 /nfs/dbraw/zinc/38/21/66/327382166.db2.gz GUSPJPUEGNKIGF-UHFFFAOYSA-N 1 2 259.397 3.651 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2OC)o1 ZINC000150848763 327389310 /nfs/dbraw/zinc/38/93/10/327389310.db2.gz GDKWOIIFNZATRA-NSHDSACASA-N 1 2 277.339 3.841 20 0 CHADLO CCc1ccc([C@H]2CCCN(c3cc[nH+]c(C)n3)C2)cc1 ZINC000561448260 327395377 /nfs/dbraw/zinc/39/53/77/327395377.db2.gz FPXUOWCWRNHINZ-KRWDZBQOSA-N 1 2 281.403 3.731 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H]1CSc2ccccc21 ZINC000562288313 327463926 /nfs/dbraw/zinc/46/39/26/327463926.db2.gz JHRJKXDKCRAJKK-ZDUSSCGKSA-N 1 2 299.399 3.667 20 0 CHADLO CC(C)CC1(C(=O)NCc2cn3ccccc3[nH+]2)CCCC1 ZINC000151303107 327465626 /nfs/dbraw/zinc/46/56/26/327465626.db2.gz UEGYZYWTPNVPCZ-UHFFFAOYSA-N 1 2 299.418 3.557 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2C[C@@H](C)Oc3ccccc32)[nH+]1 ZINC000562539355 327490999 /nfs/dbraw/zinc/49/09/99/327490999.db2.gz SXBRKTFITBIWNX-QMTHXVAHSA-N 1 2 294.358 3.591 20 0 CHADLO CCc1ccc(C[NH2+]Cc2nnc(-c3ccccc3)s2)o1 ZINC000151359332 327549033 /nfs/dbraw/zinc/54/90/33/327549033.db2.gz NWRKDJUSIUVXHF-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO Cc1coc2ccc(NC(=O)C[C@H](C)n3cc[nH+]c3)cc12 ZINC000570336630 327584719 /nfs/dbraw/zinc/58/47/19/327584719.db2.gz UHYVQFJQQAEFSA-LBPRGKRZSA-N 1 2 283.331 3.528 20 0 CHADLO Cc1cc(N2CC=C(C)CC2)c2cccc(F)c2[nH+]1 ZINC000535887744 328646155 /nfs/dbraw/zinc/64/61/55/328646155.db2.gz KMVCAOWVLIPNBP-UHFFFAOYSA-N 1 2 256.324 3.839 20 0 CHADLO Fc1ccc(Cl)c(C[NH+]2Cc3ccccc3C2)c1 ZINC000527324829 328651521 /nfs/dbraw/zinc/65/15/21/328651521.db2.gz ZOTYZLQAZFWDNX-UHFFFAOYSA-N 1 2 261.727 3.995 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2CCOc3ccc(F)cc32)cs1 ZINC000336471093 328755598 /nfs/dbraw/zinc/75/55/98/328755598.db2.gz ZOOYEWYLSXRIOL-ZANVPECISA-N 1 2 292.379 3.765 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1cccc2c1OCCC2 ZINC000532374558 328773936 /nfs/dbraw/zinc/77/39/36/328773936.db2.gz MJWZHZWQCNXQRK-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO CC[C@H](CC(=O)NCc1ccccc1-n1cc[nH+]c1)C(C)C ZINC000104863931 328810727 /nfs/dbraw/zinc/81/07/27/328810727.db2.gz MRVHFYTZNYKDKH-OAHLLOKOSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1ccsc1CNc1cc(C)[nH+]c(-c2cccnc2)n1 ZINC000301117711 328826566 /nfs/dbraw/zinc/82/65/66/328826566.db2.gz QIPQCYUYPRWTKX-UHFFFAOYSA-N 1 2 296.399 3.829 20 0 CHADLO Cc1nc(NC2CC(F)(c3cccc(Cl)c3)C2)cc[nH+]1 ZINC000360275800 328905957 /nfs/dbraw/zinc/90/59/57/328905957.db2.gz SVPFYBDGJLXASH-UHFFFAOYSA-N 1 2 291.757 3.878 20 0 CHADLO Cc1ccc(Sc2[nH+]cnc3[nH]ccc32)cc1C ZINC000507958108 332830488 /nfs/dbraw/zinc/83/04/88/332830488.db2.gz VAUNBYDUNGRFIO-UHFFFAOYSA-N 1 2 255.346 3.726 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+]2Cc3ccccc3C3(CCC3)C2)n1 ZINC000375639704 329004962 /nfs/dbraw/zinc/00/49/62/329004962.db2.gz GDMPWXMGRIFXHP-CYBMUJFWSA-N 1 2 297.402 3.631 20 0 CHADLO CCc1noc([C@@H](C)[N@H+]2Cc3ccccc3C3(CCC3)C2)n1 ZINC000375639704 329004964 /nfs/dbraw/zinc/00/49/64/329004964.db2.gz GDMPWXMGRIFXHP-CYBMUJFWSA-N 1 2 297.402 3.631 20 0 CHADLO CCCCC[C@H](CC)C(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000378515460 329053913 /nfs/dbraw/zinc/05/39/13/329053913.db2.gz DBESNCSMIKTYLI-UONOGXRCSA-N 1 2 277.412 3.680 20 0 CHADLO C=Cn1cc(C[N@H+](Cc2ccco2)Cc2cccs2)cn1 ZINC000193610764 329059758 /nfs/dbraw/zinc/05/97/58/329059758.db2.gz LINNDQLIKSMKQN-UHFFFAOYSA-N 1 2 299.399 3.841 20 0 CHADLO C=Cn1cc(C[N@@H+](Cc2ccco2)Cc2cccs2)cn1 ZINC000193610764 329059760 /nfs/dbraw/zinc/05/97/60/329059760.db2.gz LINNDQLIKSMKQN-UHFFFAOYSA-N 1 2 299.399 3.841 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCOc3ccccc32)c(C)[nH+]1 ZINC000170840901 329068281 /nfs/dbraw/zinc/06/82/81/329068281.db2.gz VZIPQBWUFHYTFL-HNNXBMFYSA-N 1 2 296.370 3.512 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000171137109 329074679 /nfs/dbraw/zinc/07/46/79/329074679.db2.gz HCANBHFNIXHAFO-OAHLLOKOSA-N 1 2 289.810 3.894 20 0 CHADLO CCn1ccnc1C[N@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000171137109 329074680 /nfs/dbraw/zinc/07/46/80/329074680.db2.gz HCANBHFNIXHAFO-OAHLLOKOSA-N 1 2 289.810 3.894 20 0 CHADLO COc1cccc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)n1 ZINC000171859546 329080575 /nfs/dbraw/zinc/08/05/75/329080575.db2.gz IFKDSRHBMZHWGZ-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)n1 ZINC000171859546 329080576 /nfs/dbraw/zinc/08/05/76/329080576.db2.gz IFKDSRHBMZHWGZ-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N[C@@H](c1[nH+]ccn1C)C1CC1 ZINC000582683458 329127784 /nfs/dbraw/zinc/12/77/84/329127784.db2.gz ULTLGRUECBNIRG-CZUORRHYSA-N 1 2 291.439 3.594 20 0 CHADLO CC[C@@H]1CCCCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000172438008 329135603 /nfs/dbraw/zinc/13/56/03/329135603.db2.gz SFJIVCANHJYQHV-MRXNPFEDSA-N 1 2 297.402 3.667 20 0 CHADLO CC(C)c1nc(N(C[C@H]2CCCO2)C2CCCC2)cc[nH+]1 ZINC000172774423 329138794 /nfs/dbraw/zinc/13/87/94/329138794.db2.gz MHUZZIMDQGOLSX-OAHLLOKOSA-N 1 2 289.423 3.528 20 0 CHADLO O=C(CCCC1CCCC1)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000172931128 329140863 /nfs/dbraw/zinc/14/08/63/329140863.db2.gz FUESPQKZKBRPTE-OAHLLOKOSA-N 1 2 289.423 3.824 20 0 CHADLO CC[C@H](C(=O)Nc1c(C)cc[nH+]c1C)c1ccc(OC)cc1 ZINC000425597186 329147210 /nfs/dbraw/zinc/14/72/10/329147210.db2.gz RKDDTTNHGFHFBO-INIZCTEOSA-N 1 2 298.386 3.839 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1ccncc1Cl ZINC000173539031 329148834 /nfs/dbraw/zinc/14/88/34/329148834.db2.gz RTLYXWGIHGAAET-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1ccncc1Cl ZINC000173539031 329148835 /nfs/dbraw/zinc/14/88/35/329148835.db2.gz RTLYXWGIHGAAET-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO CCc1nc(C[N@@H+]2CCCC[C@@H]2c2cccn2C)cs1 ZINC000175120221 329163324 /nfs/dbraw/zinc/16/33/24/329163324.db2.gz HUMGYTAIWGVADR-OAHLLOKOSA-N 1 2 289.448 3.771 20 0 CHADLO CCc1nc(C[N@H+]2CCCC[C@@H]2c2cccn2C)cs1 ZINC000175120221 329163325 /nfs/dbraw/zinc/16/33/25/329163325.db2.gz HUMGYTAIWGVADR-OAHLLOKOSA-N 1 2 289.448 3.771 20 0 CHADLO COc1cccc(SCc2ccc(-n3cc[nH+]c3)nc2)c1 ZINC000175249767 329166917 /nfs/dbraw/zinc/16/69/17/329166917.db2.gz RNDQCJKAYXPFQI-UHFFFAOYSA-N 1 2 297.383 3.568 20 0 CHADLO CC(C)N(Cc1ccc(-n2cc[nH+]c2)nc1)c1ccccc1 ZINC000177043015 329178382 /nfs/dbraw/zinc/17/83/82/329178382.db2.gz DMRJVQCORRUPNM-UHFFFAOYSA-N 1 2 292.386 3.682 20 0 CHADLO Cc1cc(N(C)Cc2cscn2)c2cccc(F)c2[nH+]1 ZINC000178185345 329194309 /nfs/dbraw/zinc/19/43/09/329194309.db2.gz WFTOJWCVKDASEF-UHFFFAOYSA-N 1 2 287.363 3.775 20 0 CHADLO Cc1ccc(Cl)c(NC(=O)c2ccc3[nH+]ccn3c2)c1 ZINC000178324656 329198841 /nfs/dbraw/zinc/19/88/41/329198841.db2.gz FZNASKIGGHIMCM-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO c1[nH]c(-c2ccccc2)nc1C[NH+]1Cc2ccccc2C1 ZINC000179487023 329208992 /nfs/dbraw/zinc/20/89/92/329208992.db2.gz ZLFBEQKFHYIPLR-UHFFFAOYSA-N 1 2 275.355 3.593 20 0 CHADLO CCCCC[C@H](CC)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000180119924 329211927 /nfs/dbraw/zinc/21/19/27/329211927.db2.gz IHPRNZSRAIIVQR-HNNXBMFYSA-N 1 2 291.439 3.653 20 0 CHADLO c1cn(CCCSc2ccc3ccccc3n2)c[nH+]1 ZINC000180428076 329216795 /nfs/dbraw/zinc/21/67/95/329216795.db2.gz GTWCQVQJQQDNCV-UHFFFAOYSA-N 1 2 269.373 3.614 20 0 CHADLO COc1c(C)cc(C[N@H+](C)Cc2ncc(C)s2)cc1C ZINC000180463805 329217545 /nfs/dbraw/zinc/21/75/45/329217545.db2.gz QQPYRASPHPYUJP-UHFFFAOYSA-N 1 2 290.432 3.709 20 0 CHADLO COc1c(C)cc(C[N@@H+](C)Cc2ncc(C)s2)cc1C ZINC000180463805 329217546 /nfs/dbraw/zinc/21/75/46/329217546.db2.gz QQPYRASPHPYUJP-UHFFFAOYSA-N 1 2 290.432 3.709 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1CCO[C@@H](c2ccsc2)C1 ZINC000180223122 329218456 /nfs/dbraw/zinc/21/84/56/329218456.db2.gz RDMRHBYMSGFZCE-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1CCO[C@@H](c2ccsc2)C1 ZINC000180223122 329218458 /nfs/dbraw/zinc/21/84/58/329218458.db2.gz RDMRHBYMSGFZCE-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccc2[nH]c([C@H]3CCCN(CC(F)(F)F)C3)[nH+]c2c1 ZINC000181149819 329232911 /nfs/dbraw/zinc/23/29/11/329232911.db2.gz AHPBZLRJPOURJD-NSHDSACASA-N 1 2 297.324 3.613 20 0 CHADLO Cc1cc[nH+]c(NCC[C@@H]2CCCCO2)c1Br ZINC000223837661 329240901 /nfs/dbraw/zinc/24/09/01/329240901.db2.gz PSMINOMIVAHISS-NSHDSACASA-N 1 2 299.212 3.524 20 0 CHADLO Cc1oncc1C[N@@H+]1CCC[C@H]1c1nc2ccccc2s1 ZINC000185165637 329267061 /nfs/dbraw/zinc/26/70/61/329267061.db2.gz DPQFUWNXIYFWKG-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1oncc1C[N@H+]1CCC[C@H]1c1nc2ccccc2s1 ZINC000185165637 329267062 /nfs/dbraw/zinc/26/70/62/329267062.db2.gz DPQFUWNXIYFWKG-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1ccc(COc2cccc3ncccc32)c(C)[nH+]1 ZINC000185334595 329270677 /nfs/dbraw/zinc/27/06/77/329270677.db2.gz GSPAEJQSTDERFX-UHFFFAOYSA-N 1 2 264.328 3.826 20 0 CHADLO Cc1ccc(COc2cccc(NC(=O)C3CC3)c2)c(C)[nH+]1 ZINC000185371423 329271446 /nfs/dbraw/zinc/27/14/46/329271446.db2.gz VNOFODJKGSKETJ-UHFFFAOYSA-N 1 2 296.370 3.626 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CC12CCOCC2 ZINC000395650581 329293820 /nfs/dbraw/zinc/29/38/20/329293820.db2.gz RAPCAOKQCWEGMK-HNNXBMFYSA-N 1 2 268.360 3.524 20 0 CHADLO Cc1nnsc1C[N@H+]1CCc2c(cccc2C(C)C)C1 ZINC000583028796 329304690 /nfs/dbraw/zinc/30/46/90/329304690.db2.gz VTKGPRUEWHYYIT-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO Cc1nnsc1C[N@@H+]1CCc2c(cccc2C(C)C)C1 ZINC000583028796 329304691 /nfs/dbraw/zinc/30/46/91/329304691.db2.gz VTKGPRUEWHYYIT-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO Cc1ccc(COc2ccc(CNc3c[nH]c[nH+]3)cc2)cc1 ZINC000584382774 329307559 /nfs/dbraw/zinc/30/75/59/329307559.db2.gz LVZHBBPNJQTSJJ-UHFFFAOYSA-N 1 2 293.370 3.909 20 0 CHADLO CCCCN(C(=O)C[C@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000583087477 329308361 /nfs/dbraw/zinc/30/83/61/329308361.db2.gz WVTLWVRVSIVTCW-HNNXBMFYSA-N 1 2 291.439 3.796 20 0 CHADLO CC[C@@H](CC1CCCCC1)C(=O)NC(C)(C)c1[nH]cc[nH+]1 ZINC000583149167 329322791 /nfs/dbraw/zinc/32/27/91/329322791.db2.gz DGUPKGQAYKWHLD-AWEZNQCLSA-N 1 2 291.439 3.758 20 0 CHADLO Cc1ccc(CSCCCn2cc[nH+]c2)cc1C ZINC000185565054 329326670 /nfs/dbraw/zinc/32/66/70/329326670.db2.gz PLKNVTIIMUNODS-UHFFFAOYSA-N 1 2 260.406 3.823 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(Cl)cc2Cl)[nH]1 ZINC000392457602 329341605 /nfs/dbraw/zinc/34/16/05/329341605.db2.gz LDBYKHNJVISITL-SFYZADRCSA-N 1 2 299.205 3.832 20 0 CHADLO C[C@H]([NH2+][C@@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccco1 ZINC000186655955 329347000 /nfs/dbraw/zinc/34/70/00/329347000.db2.gz MXVYZKXUIVHVCN-YVEFUNNKSA-N 1 2 299.349 3.592 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2ccc(Cl)cc2)c(C)[nH+]1 ZINC000187058254 329352040 /nfs/dbraw/zinc/35/20/40/329352040.db2.gz GXXFMFWRHJLGDM-IBGZPJMESA-N 1 2 293.819 3.801 20 0 CHADLO Cc1ccc(C[S@](=O)[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000187159025 329353996 /nfs/dbraw/zinc/35/39/96/329353996.db2.gz HFIRKWNVSYYQTG-LIRRHRJNSA-N 1 2 273.401 3.708 20 0 CHADLO Cc1ccc(N[C@H](C)C2CCSCC2)c(C)[nH+]1 ZINC000187475883 329358010 /nfs/dbraw/zinc/35/80/10/329358010.db2.gz BQFMEFLIUZYBEX-LLVKDONJSA-N 1 2 250.411 3.642 20 0 CHADLO CCOc1cc(F)ccc1NCc1ccc(C)[nH+]c1C ZINC000187721163 329362635 /nfs/dbraw/zinc/36/26/35/329362635.db2.gz ANTRBRIODLNDTA-UHFFFAOYSA-N 1 2 274.339 3.848 20 0 CHADLO CC(=O)c1ccc(NCc2ccc(C)[nH+]c2C)cc1 ZINC000187703084 329362661 /nfs/dbraw/zinc/36/26/61/329362661.db2.gz QMXAJWLCSZILRC-UHFFFAOYSA-N 1 2 254.333 3.513 20 0 CHADLO COc1ccc(Cl)c(NCc2ccc(C)[nH+]c2C)c1 ZINC000187726763 329362940 /nfs/dbraw/zinc/36/29/40/329362940.db2.gz VPXUNLSEBWFPSW-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CSC1(C)C ZINC000393762882 329364735 /nfs/dbraw/zinc/36/47/35/329364735.db2.gz NPZQYQGGVABGGV-ZDUSSCGKSA-N 1 2 258.390 3.849 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@@H](c1ccccc1C)C1CC1 ZINC000509008223 332855649 /nfs/dbraw/zinc/85/56/49/332855649.db2.gz XFYUSPJHPZZEAQ-IAGOWNOFSA-N 1 2 289.419 3.623 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2c1c(F)ccc2F)c1cscn1 ZINC000583215052 329370464 /nfs/dbraw/zinc/37/04/64/329370464.db2.gz LWUUBJKEFUJXPE-PELKAZGASA-N 1 2 280.343 3.759 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2nc3ccccc3o2)cs1 ZINC000189770737 329386936 /nfs/dbraw/zinc/38/69/36/329386936.db2.gz YXELXRZCTPINJH-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2nc3ccccc3o2)cs1 ZINC000189770737 329386937 /nfs/dbraw/zinc/38/69/37/329386937.db2.gz YXELXRZCTPINJH-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1ccncc1CN(C)c1[nH+]c2ccccc2cc1C ZINC000530430216 329390666 /nfs/dbraw/zinc/39/06/66/329390666.db2.gz QEFIGOYBSKRYGC-UHFFFAOYSA-N 1 2 277.371 3.883 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccnc1)c1nc(-c2ccccc2)no1 ZINC000193119350 329437581 /nfs/dbraw/zinc/43/75/81/329437581.db2.gz VMRLEMHPIDYMSW-CHWSQXEVSA-N 1 2 294.358 3.543 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1CC2(CCC2)C1 ZINC000583813962 329482273 /nfs/dbraw/zinc/48/22/73/329482273.db2.gz LJVYWUMVPDSHSX-UHFFFAOYSA-N 1 2 281.359 3.596 20 0 CHADLO Cc1cc(NC(=O)N2CC[C@H](c3ccccc3)[C@@H]2C)cc[nH+]1 ZINC000119691342 329548555 /nfs/dbraw/zinc/54/85/55/329548555.db2.gz SCLSJOAJISHQAC-YOEHRIQHSA-N 1 2 295.386 3.800 20 0 CHADLO C[C@H]([NH2+]Cc1cc[nH]n1)c1cc(F)c(Cl)cc1Cl ZINC000041015450 329560502 /nfs/dbraw/zinc/56/05/02/329560502.db2.gz YUXZLNVQJGWVCN-ZETCQYMHSA-N 1 2 288.153 3.706 20 0 CHADLO Fc1ccc2c(c1)[C@@H](Nc1cccc[nH+]1)CCCO2 ZINC000048894252 329631103 /nfs/dbraw/zinc/63/11/03/329631103.db2.gz UOJZMNIZDKGCIE-ZDUSSCGKSA-N 1 2 258.296 3.547 20 0 CHADLO O=C(N[C@H]1CC=CCC1)c1ccccc1Oc1cc[nH+]cc1 ZINC000509426620 332869270 /nfs/dbraw/zinc/86/92/70/332869270.db2.gz TXLIZNMLBOEPME-AWEZNQCLSA-N 1 2 294.354 3.712 20 0 CHADLO CC[C@H](C)c1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)on1 ZINC000132896420 329649469 /nfs/dbraw/zinc/64/94/69/329649469.db2.gz GHBLAXUMYHFATD-VIFPVBQESA-N 1 2 287.363 3.761 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@H](C)c1c(C)cccc1C ZINC000509610440 332875269 /nfs/dbraw/zinc/87/52/69/332875269.db2.gz WBISRHQNKMFPKN-HUUCEWRRSA-N 1 2 277.408 3.542 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(-c3ccccc3)c[nH]2)o1 ZINC000237521189 329694563 /nfs/dbraw/zinc/69/45/63/329694563.db2.gz RURUQWAYFAXKBZ-CYBMUJFWSA-N 1 2 281.359 3.829 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COc1ccc(CCO)cc1 ZINC000418937702 329699915 /nfs/dbraw/zinc/69/99/15/329699915.db2.gz OYCQHJZLNVUHQU-UHFFFAOYSA-N 1 2 285.387 3.627 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(C3CC3)cc2)nc[nH+]1 ZINC000418996273 329705092 /nfs/dbraw/zinc/70/50/92/329705092.db2.gz FEFWZDWMCCCYAB-LBPRGKRZSA-N 1 2 253.349 3.836 20 0 CHADLO Cn1c(CCSCC2CC(F)(F)C2)[nH+]c2ccccc21 ZINC000419500577 329732485 /nfs/dbraw/zinc/73/24/85/329732485.db2.gz CYSFSYUPQOYNPP-UHFFFAOYSA-N 1 2 296.386 3.894 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C2(CC(C)C)CCC2)n1 ZINC000420509196 329772687 /nfs/dbraw/zinc/77/26/87/329772687.db2.gz CMMZBJALHBNSDZ-UHFFFAOYSA-N 1 2 285.391 3.832 20 0 CHADLO Cc1ccc(C)c(Cc2nc(Cc3ccc[nH+]c3C)no2)c1 ZINC000420513426 329773525 /nfs/dbraw/zinc/77/35/25/329773525.db2.gz VZMWDOLWQTZSQG-UHFFFAOYSA-N 1 2 293.370 3.571 20 0 CHADLO Cn1cc(Cl)c(C[NH2+][C@@H](CC(F)F)c2ccccc2)n1 ZINC000420990989 329796351 /nfs/dbraw/zinc/79/63/51/329796351.db2.gz HNIHVUNYJFKAPM-LBPRGKRZSA-N 1 2 299.752 3.560 20 0 CHADLO CC(C)n1cc(C(C)(C)[NH2+]Cc2ccccc2Cl)nn1 ZINC000421401011 329821579 /nfs/dbraw/zinc/82/15/79/329821579.db2.gz KTQVZKNYCSGNSR-UHFFFAOYSA-N 1 2 292.814 3.537 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421576073 329843087 /nfs/dbraw/zinc/84/30/87/329843087.db2.gz LSGBLKPXOLTKIB-QGZVFWFLSA-N 1 2 288.435 3.921 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421577010 329843286 /nfs/dbraw/zinc/84/32/86/329843286.db2.gz DNMNPCXVZLHSLL-MRXNPFEDSA-N 1 2 276.424 3.777 20 0 CHADLO CC[C@@H]1CCCC[C@H]1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421578935 329843433 /nfs/dbraw/zinc/84/34/33/329843433.db2.gz SLLYMJIWBDHOTG-HZPDHXFCSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(CNC(=O)[C@@H](c2ccccc2)C(C)C)cc(C)[nH+]1 ZINC000421579610 329843607 /nfs/dbraw/zinc/84/36/07/329843607.db2.gz UQEYPNJMCODIQM-GOSISDBHSA-N 1 2 296.414 3.754 20 0 CHADLO C[C@H](Nc1cc(Cl)cc(Cl)c1)c1[nH+]ccn1C ZINC000070443346 329855472 /nfs/dbraw/zinc/85/54/72/329855472.db2.gz KTJBKYKIGUJAGU-QMMMGPOBSA-N 1 2 270.163 3.900 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(Br)cc1F ZINC000071413626 329856681 /nfs/dbraw/zinc/85/66/81/329856681.db2.gz IYSUIPOHRZEUGZ-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](C)c2ccc(Cl)cc2)n1 ZINC000074037639 329863257 /nfs/dbraw/zinc/86/32/57/329863257.db2.gz SEKSUGLHNDWBSK-NXEZZACHSA-N 1 2 279.771 3.697 20 0 CHADLO Cc1cc[nH+]cc1CCCN1CC2(CC2)c2ccccc21 ZINC000564129061 329865418 /nfs/dbraw/zinc/86/54/18/329865418.db2.gz HFOYXRIGGFKUSD-UHFFFAOYSA-N 1 2 278.399 3.874 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2C[C@@H]2c2ccccc2)c(C)[nH+]1 ZINC000571735675 329916859 /nfs/dbraw/zinc/91/68/59/329916859.db2.gz MSLVRCJIXPUSEG-HZPDHXFCSA-N 1 2 295.386 3.684 20 0 CHADLO CC[C@@](C)([NH2+]CCCC1CCOCC1)C(F)(F)F ZINC000571985552 329935003 /nfs/dbraw/zinc/93/50/03/329935003.db2.gz RABJJIPLTOQBIJ-GFCCVEGCSA-N 1 2 267.335 3.514 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)[C@H]2CC[C@H](C(C)C)CC2)[nH+]1 ZINC000571929203 329930038 /nfs/dbraw/zinc/93/00/38/329930038.db2.gz HDVOGLREOJTLIE-HDJSIYSDSA-N 1 2 291.439 3.532 20 0 CHADLO Cc1cc(N2CCC[C@@H]3CCC[C@@H]32)nc(-c2ccncc2)[nH+]1 ZINC000078413334 329958844 /nfs/dbraw/zinc/95/88/44/329958844.db2.gz IISKOMMVJFDCAP-HOCLYGCPSA-N 1 2 294.402 3.616 20 0 CHADLO Cc1ccc(CNc2[nH+]c3ccccc3n2C)s1 ZINC000079046597 329992279 /nfs/dbraw/zinc/99/22/79/329992279.db2.gz XWCRYZJMLUFOSN-UHFFFAOYSA-N 1 2 257.362 3.555 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2cscn2)c(OC)c1 ZINC000162359243 330003607 /nfs/dbraw/zinc/00/36/07/330003607.db2.gz VUZSWOZUPOWWQV-QWRGUYRKSA-N 1 2 292.404 3.572 20 0 CHADLO C[C@H]1CCCC[C@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000572393606 330058849 /nfs/dbraw/zinc/05/88/49/330058849.db2.gz PYEPSRFZKYPWDT-SWLSCSKDSA-N 1 2 283.375 3.842 20 0 CHADLO CSc1ccc(N[C@@H]2CCc3ccc[nH+]c3CC2)nc1 ZINC000421830257 330084639 /nfs/dbraw/zinc/08/46/39/330084639.db2.gz BJZCTWNNSILQIA-CYBMUJFWSA-N 1 2 285.416 3.558 20 0 CHADLO COc1cc(Cl)ccc1[C@H](C)[NH2+]Cc1nccs1 ZINC000421821398 330086973 /nfs/dbraw/zinc/08/69/73/330086973.db2.gz REHNRKBWDXWRGY-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO COc1cc(Cl)ccc1[C@H](C)[NH2+]Cc1nc(C)cs1 ZINC000421821288 330086991 /nfs/dbraw/zinc/08/69/91/330086991.db2.gz QOPQWBZDKCOVSC-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO COc1cc(N[C@@H]2CCc3ccc[nH+]c3CC2)ccc1F ZINC000421845563 330094842 /nfs/dbraw/zinc/09/48/42/330094842.db2.gz XNVBKDYYQNDLRE-CYBMUJFWSA-N 1 2 286.350 3.589 20 0 CHADLO C[C@@H](C1CC1)n1cc(N[C@H]2CCc3ccc[nH+]c3CC2)cn1 ZINC000421846097 330095123 /nfs/dbraw/zinc/09/51/23/330095123.db2.gz YROVUFRIVNYDHA-BBRMVZONSA-N 1 2 296.418 3.609 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc2c(c1)OCO2 ZINC000423214296 330178253 /nfs/dbraw/zinc/17/82/53/330178253.db2.gz LBXYCOIQSGYVCL-NSHDSACASA-N 1 2 282.343 3.556 20 0 CHADLO CC(C)O[C@@H]1C[C@@H]([NH2+]c2ccc3c(c2)CCN3)C1(C)C ZINC000423215699 330178594 /nfs/dbraw/zinc/17/85/94/330178594.db2.gz NLOOOJIPNZZQSH-HZPDHXFCSA-N 1 2 274.408 3.659 20 0 CHADLO CC(C)O[C@@H]1C[C@@H](Nc2ccc3c(c2)CC[NH2+]3)C1(C)C ZINC000423215699 330178595 /nfs/dbraw/zinc/17/85/95/330178595.db2.gz NLOOOJIPNZZQSH-HZPDHXFCSA-N 1 2 274.408 3.659 20 0 CHADLO Oc1cccc2c1CCC[C@@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423217803 330179059 /nfs/dbraw/zinc/17/90/59/330179059.db2.gz QLZDPQNTCYDTBQ-KRWDZBQOSA-N 1 2 280.371 3.850 20 0 CHADLO Fc1cncc([C@H](Nc2ccc3c(c2)CC[NH2+]3)C2CC2)c1 ZINC000423222153 330179600 /nfs/dbraw/zinc/17/96/00/330179600.db2.gz ZXCPNVOZGUMLEN-QGZVFWFLSA-N 1 2 283.350 3.752 20 0 CHADLO FC1(C[N@@H+]2CCc3c(Cl)cc(Cl)cc3C2)CC1 ZINC000527220194 330237724 /nfs/dbraw/zinc/23/77/24/330237724.db2.gz LCIWISWXNZSZAG-UHFFFAOYSA-N 1 2 274.166 3.854 20 0 CHADLO FC1(C[N@H+]2CCc3c(Cl)cc(Cl)cc3C2)CC1 ZINC000527220194 330237725 /nfs/dbraw/zinc/23/77/25/330237725.db2.gz LCIWISWXNZSZAG-UHFFFAOYSA-N 1 2 274.166 3.854 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(Cl)ccc2F)ccn1 ZINC000527540810 330261192 /nfs/dbraw/zinc/26/11/92/330261192.db2.gz UVWJNVYGDSXVGI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(Cl)ccc2F)ccn1 ZINC000527540810 330261193 /nfs/dbraw/zinc/26/11/93/330261193.db2.gz UVWJNVYGDSXVGI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1csc(C[NH2+]Cc2c(F)ccc(F)c2Cl)n1 ZINC000527635543 330267534 /nfs/dbraw/zinc/26/75/34/330267534.db2.gz QSXAMBDVHOBGRR-UHFFFAOYSA-N 1 2 288.750 3.673 20 0 CHADLO CCC(F)(F)C(C)(C)CNc1ccc(N(C)C)c[nH+]1 ZINC000527674261 330270091 /nfs/dbraw/zinc/27/00/91/330270091.db2.gz KOQOXRYRJLBCHT-UHFFFAOYSA-N 1 2 271.355 3.631 20 0 CHADLO CCOc1cc(CNc2ccc(C)[nH+]c2)c(F)cc1OC ZINC000527673492 330270093 /nfs/dbraw/zinc/27/00/93/330270093.db2.gz DEOQQCDIDSECAL-UHFFFAOYSA-N 1 2 290.338 3.549 20 0 CHADLO Cc1sc(C[NH2+]Cc2cncn2C(C)C)nc1C(C)C ZINC000424138630 330276493 /nfs/dbraw/zinc/27/64/93/330276493.db2.gz DJNVVTBLZJJESF-UHFFFAOYSA-N 1 2 292.452 3.642 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(F)ccc(F)c2Cl)nc1C ZINC000527720677 330277059 /nfs/dbraw/zinc/27/70/59/330277059.db2.gz OVPKPXTVKGEQGK-UHFFFAOYSA-N 1 2 296.748 3.920 20 0 CHADLO CC1(C)COc2cc(NCc3c[nH+]c4ccccn34)ccc21 ZINC000527748864 330280757 /nfs/dbraw/zinc/28/07/57/330280757.db2.gz ZACPDAILAFYDMY-UHFFFAOYSA-N 1 2 293.370 3.616 20 0 CHADLO Cc1ncccc1C[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000527777674 330281083 /nfs/dbraw/zinc/28/10/83/330281083.db2.gz RFVNBHAJMPBOTC-MRXNPFEDSA-N 1 2 290.357 3.746 20 0 CHADLO CC(C)c1cc(Cl)ccc1NCc1cn(C)c[nH+]1 ZINC000527782829 330283183 /nfs/dbraw/zinc/28/31/83/330283183.db2.gz JTUVVPALKMGLFG-UHFFFAOYSA-N 1 2 263.772 3.809 20 0 CHADLO CC(C)(C)c1cc2cccnc2c(NCc2[nH]cc[nH+]2)c1 ZINC000527798240 330284052 /nfs/dbraw/zinc/28/40/52/330284052.db2.gz GLORARMPIGPACE-UHFFFAOYSA-N 1 2 280.375 3.868 20 0 CHADLO COc1ccc[nH+]c1NC[C@H]1CCCO[C@H]1c1ccccc1 ZINC000527859037 330289190 /nfs/dbraw/zinc/28/91/90/330289190.db2.gz BRPOFXSBOYAKQT-WBVHZDCISA-N 1 2 298.386 3.670 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCCC2(CCOCC2)C1 ZINC000527906334 330290244 /nfs/dbraw/zinc/29/02/44/330290244.db2.gz MVRHQDUTYRAUGL-UHFFFAOYSA-N 1 2 282.387 3.632 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@@H](C)[C@@H]1CC12CC2 ZINC000527875273 330291047 /nfs/dbraw/zinc/29/10/47/330291047.db2.gz CLMIPBMGYMXWRT-KBPBESRZSA-N 1 2 275.392 3.621 20 0 CHADLO COc1ccc[nH+]c1NC[C@@H](OC)c1cccc(Cl)c1 ZINC000527914034 330295058 /nfs/dbraw/zinc/29/50/58/330295058.db2.gz ZUQNPFOLXMEVMF-CQSZACIVSA-N 1 2 292.766 3.543 20 0 CHADLO C[C@@H]1CCN(c2nccc3ccccc32)C[C@@H]1n1cc[nH+]c1 ZINC000527923981 330296145 /nfs/dbraw/zinc/29/61/45/330296145.db2.gz DGXKLBCBIBJADM-PBHICJAKSA-N 1 2 292.386 3.519 20 0 CHADLO C[C@H]1CCN(c2nccc3ccccc32)C[C@@H]1n1cc[nH+]c1 ZINC000527923987 330296222 /nfs/dbraw/zinc/29/62/22/330296222.db2.gz DGXKLBCBIBJADM-YOEHRIQHSA-N 1 2 292.386 3.519 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CC[C@@H]2CC=CCC2)C2CCCCC2)n1 ZINC000527983911 330298509 /nfs/dbraw/zinc/29/85/09/330298509.db2.gz XMQCXWKOTOXJAF-GDBMZVCRSA-N 1 2 288.439 3.762 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@@H](C)c2ncccc2F)cnn1C(C)C ZINC000528035009 330302424 /nfs/dbraw/zinc/30/24/24/330302424.db2.gz CWKSOJRLTSPIDC-NEPJUHHUSA-N 1 2 290.386 3.718 20 0 CHADLO CC1(C)CCc2cccc(N[C@@H]3CCn4cc[nH+]c43)c2O1 ZINC000528008828 330303728 /nfs/dbraw/zinc/30/37/28/330303728.db2.gz JCDJGTZADRNSLG-CQSZACIVSA-N 1 2 283.375 3.544 20 0 CHADLO COCCC1CCN(c2[nH+]ccc3ccc(F)cc32)CC1 ZINC000528089831 330307524 /nfs/dbraw/zinc/30/75/24/330307524.db2.gz QOHNBOLZQRNILO-UHFFFAOYSA-N 1 2 288.366 3.627 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H](c2ccccn2)C2CCC2)[nH+]1 ZINC000528143332 330309602 /nfs/dbraw/zinc/30/96/02/330309602.db2.gz ZLQLLYSBNBXMEK-HNNXBMFYSA-N 1 2 293.374 3.615 20 0 CHADLO FC(F)(F)c1cccc2c1CCN(c1cccc[nH+]1)C2 ZINC000528186643 330312166 /nfs/dbraw/zinc/31/21/66/330312166.db2.gz JKZMBGVPGVFQDH-UHFFFAOYSA-N 1 2 278.277 3.663 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H]2CCCC23CC3)n1 ZINC000528207363 330313162 /nfs/dbraw/zinc/31/31/62/330313162.db2.gz NFTABIMAJULMKB-ZDUSSCGKSA-N 1 2 283.375 3.606 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2ncccc2Cl)cc1 ZINC000528336792 330319432 /nfs/dbraw/zinc/31/94/32/330319432.db2.gz IMBQSTRSSITFKO-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2ncccc2Cl)cc1 ZINC000528336792 330319433 /nfs/dbraw/zinc/31/94/33/330319433.db2.gz IMBQSTRSSITFKO-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO FC(F)(F)c1ncccc1C[N@@H+]1CCc2ccccc2C1 ZINC000528342138 330319572 /nfs/dbraw/zinc/31/95/72/330319572.db2.gz XYUOIEQQKKVICW-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1ncccc1C[N@H+]1CCc2ccccc2C1 ZINC000528342138 330319573 /nfs/dbraw/zinc/31/95/73/330319573.db2.gz XYUOIEQQKKVICW-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO C[C@H]([NH2+]CC1CCC(C(F)(F)F)CC1)C(F)(F)F ZINC000424182824 330319973 /nfs/dbraw/zinc/31/99/73/330319973.db2.gz HUEUGXUKAXNIDT-UEJVZZJDSA-N 1 2 277.252 3.896 20 0 CHADLO F[C@@]1(c2ccccc2)C[C@@H]1[NH2+]Cc1ccc(Cl)o1 ZINC000424187243 330320062 /nfs/dbraw/zinc/32/00/62/330320062.db2.gz APBCPLRBUVHPLC-GXTWGEPZSA-N 1 2 265.715 3.660 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1ncccc1Cl ZINC000528350780 330321562 /nfs/dbraw/zinc/32/15/62/330321562.db2.gz AGCFNUIXRWSQQI-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1ncccc1Cl ZINC000528350780 330321563 /nfs/dbraw/zinc/32/15/63/330321563.db2.gz AGCFNUIXRWSQQI-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO F[C@]1(c2ccccc2)C[C@@H]1[NH2+]Cc1csc(C2CC2)n1 ZINC000424201405 330326941 /nfs/dbraw/zinc/32/69/41/330326941.db2.gz RXEXJZARDOJRQD-HOCLYGCPSA-N 1 2 288.391 3.747 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2cscn2)c(Cl)c1 ZINC000424273904 330333371 /nfs/dbraw/zinc/33/33/71/330333371.db2.gz UUGYLAJULRZEAW-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)c2ccon2)c(Cl)c1 ZINC000424287576 330334234 /nfs/dbraw/zinc/33/42/34/330334234.db2.gz XUMCKYKZBUYGJB-NXEZZACHSA-N 1 2 280.755 3.748 20 0 CHADLO COc1ccnc(C[NH2+][C@@H](C)c2ccccc2C(C)(C)C)n1 ZINC000424305948 330338091 /nfs/dbraw/zinc/33/80/91/330338091.db2.gz GFPACTRPJYGVLC-ZDUSSCGKSA-N 1 2 299.418 3.634 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(F)cccc1F)c1nccs1 ZINC000080260174 330345265 /nfs/dbraw/zinc/34/52/65/330345265.db2.gz AJRRLBRCJFMMLU-GFCCVEGCSA-N 1 2 268.332 3.662 20 0 CHADLO CC[C@H]([NH2+]Cc1c(F)cccc1F)c1nccs1 ZINC000080260171 330345276 /nfs/dbraw/zinc/34/52/76/330345276.db2.gz AJRRLBRCJFMMLU-LBPRGKRZSA-N 1 2 268.332 3.662 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H]2CCCC[C@@H]2C)n1 ZINC000424360475 330346878 /nfs/dbraw/zinc/34/68/78/330346878.db2.gz JTMLXLAURMXRFC-FZMZJTMJSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cc2c(s1)CCCCC2 ZINC000107289826 330348765 /nfs/dbraw/zinc/34/87/65/330348765.db2.gz IISOSMZMVYHHPM-UHFFFAOYSA-N 1 2 286.400 3.973 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)COc1ccc(C(C)(C)C)cc1 ZINC000107294815 330348767 /nfs/dbraw/zinc/34/87/67/330348767.db2.gz WDJNIFGZNPJFMQ-UHFFFAOYSA-N 1 2 298.386 3.705 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1cnc(-c2ccccc2)nc1 ZINC000533355381 330359367 /nfs/dbraw/zinc/35/93/67/330359367.db2.gz YYNGBCXRRNEVLF-UHFFFAOYSA-N 1 2 295.308 3.528 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1cnc(-c2ccccc2)nc1 ZINC000533355381 330359368 /nfs/dbraw/zinc/35/93/68/330359368.db2.gz YYNGBCXRRNEVLF-UHFFFAOYSA-N 1 2 295.308 3.528 20 0 CHADLO CCCC[C@H](CC)CC(=O)Nc1c[nH+]ccc1OC ZINC000117137933 330363974 /nfs/dbraw/zinc/36/39/74/330363974.db2.gz FXKOOUSOYHGYAG-LBPRGKRZSA-N 1 2 264.369 3.635 20 0 CHADLO Cc1ccc(NC(=O)COc2ccc(C(C)C)cc2)c(C)[nH+]1 ZINC000119255026 330371454 /nfs/dbraw/zinc/37/14/54/330371454.db2.gz IDHRVCILWUIHNU-UHFFFAOYSA-N 1 2 298.386 3.839 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@H](C(C)C)CC2)cc[nH+]1 ZINC000119508509 330375233 /nfs/dbraw/zinc/37/52/33/330375233.db2.gz ZKINGWTWWDRPOL-AWEZNQCLSA-N 1 2 275.396 3.680 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@@H](C(C)C)CC2)cc[nH+]1 ZINC000119508636 330375272 /nfs/dbraw/zinc/37/52/72/330375272.db2.gz ZKINGWTWWDRPOL-CQSZACIVSA-N 1 2 275.396 3.680 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC[C@@H]1C1CCCC1 ZINC000536988291 330375841 /nfs/dbraw/zinc/37/58/41/330375841.db2.gz QUTLYSCTOZWJEA-OAHLLOKOSA-N 1 2 269.392 3.732 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cc2ccccc2s1 ZINC000120213791 330386369 /nfs/dbraw/zinc/38/63/69/330386369.db2.gz WVSMBCNCLFRKIG-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cc2ccccc2s1 ZINC000120213791 330386370 /nfs/dbraw/zinc/38/63/70/330386370.db2.gz WVSMBCNCLFRKIG-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(F)c1F)c1nccs1 ZINC000090963445 330397280 /nfs/dbraw/zinc/39/72/80/330397280.db2.gz PRUWMIJBRNAEHD-RKDXNWHRSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@@H]1CCCC[C@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000424643053 330439989 /nfs/dbraw/zinc/43/99/89/330439989.db2.gz LAHVIQPWWKWQNS-IUODEOHRSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@H]1CC[C@H](C(=O)Nc2ccccc2-n2cc[nH+]c2)CC1 ZINC000514792070 330465475 /nfs/dbraw/zinc/46/54/75/330465475.db2.gz DSKSRSFHLWJVCX-HDJSIYSDSA-N 1 2 283.375 3.637 20 0 CHADLO CC[C@@](C)([NH2+]Cc1oc(C(C)C)nc1C)c1nccs1 ZINC000428365854 330521551 /nfs/dbraw/zinc/52/15/51/330521551.db2.gz DXTAHSOWHBOTNG-OAHLLOKOSA-N 1 2 293.436 3.978 20 0 CHADLO Fc1cccc(C[NH2+]Cc2c(F)cc(F)c(F)c2F)c1 ZINC000430023005 330557505 /nfs/dbraw/zinc/55/75/05/330557505.db2.gz MQCAMJJCDVPWMG-UHFFFAOYSA-N 1 2 287.231 3.672 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2C[C@H](c3ccc(F)cc3)C2)[nH+]1 ZINC000431486915 330585418 /nfs/dbraw/zinc/58/54/18/330585418.db2.gz WQPXYPLQKGVFPL-MQMHXKEQSA-N 1 2 296.349 3.764 20 0 CHADLO Brc1ccccc1CCCOc1cc[nH+]cc1 ZINC000431508687 330585905 /nfs/dbraw/zinc/58/59/05/330585905.db2.gz AGXSZYSZWQSFPM-UHFFFAOYSA-N 1 2 292.176 3.856 20 0 CHADLO Cc1cc(N2CC3(CCC3)[C@H]2c2ccccc2)nc[nH+]1 ZINC000432143110 330593845 /nfs/dbraw/zinc/59/38/45/330593845.db2.gz IDPPNMNVAWENDD-MRXNPFEDSA-N 1 2 265.360 3.517 20 0 CHADLO Cc1ccc(NC(=O)N2CCC[C@H](C(C)(C)C)C2)c(C)[nH+]1 ZINC000432219544 330595058 /nfs/dbraw/zinc/59/50/58/330595058.db2.gz QWHWZHNLTCYYFW-AWEZNQCLSA-N 1 2 289.423 3.988 20 0 CHADLO O[C@@H](C[NH2+][C@@H](c1ccccc1)C(F)F)C1CCCCC1 ZINC000433048071 330614337 /nfs/dbraw/zinc/61/43/37/330614337.db2.gz ZFQDARAVFMBKIR-GJZGRUSLSA-N 1 2 283.362 3.524 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@H](c3ccccc3)[C@@H]2C)[nH+]1 ZINC000433629688 330629557 /nfs/dbraw/zinc/62/95/57/330629557.db2.gz YPVBDKNZGUFDDF-WFASDCNBSA-N 1 2 292.386 3.649 20 0 CHADLO Cc1cc(Br)ccc1CN(C)c1cccc[nH+]1 ZINC000433794944 330631371 /nfs/dbraw/zinc/63/13/71/330631371.db2.gz VOTGMHIGXHCZSW-UHFFFAOYSA-N 1 2 291.192 3.789 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@@H](C)c2nccs2)o1 ZINC000153439751 330643968 /nfs/dbraw/zinc/64/39/68/330643968.db2.gz KYCUKMNSLHOQGF-ZJUUUORDSA-N 1 2 250.367 3.710 20 0 CHADLO CC[C@H](C)CN(C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000436491155 330678678 /nfs/dbraw/zinc/67/86/78/330678678.db2.gz HSDNTGJCSPJEPL-JTQLQIEISA-N 1 2 263.385 3.517 20 0 CHADLO Cc1ccc(-c2ccc(OCc3c[nH+]cn3C)cc2)cc1 ZINC000439558966 330740451 /nfs/dbraw/zinc/74/04/51/330740451.db2.gz ZSLJOSGZRQFJMB-UHFFFAOYSA-N 1 2 278.355 3.975 20 0 CHADLO CO[C@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)[C@H](C)C1 ZINC000440651516 330753518 /nfs/dbraw/zinc/75/35/18/330753518.db2.gz PZRXQDCZUFFBCN-KGLIPLIRSA-N 1 2 291.439 3.727 20 0 CHADLO CC[C@@H](NC(=O)CC[C@@H](C)c1ccccc1)c1[nH]cc[nH+]1 ZINC000442803680 330784795 /nfs/dbraw/zinc/78/47/95/330784795.db2.gz UJCSXQFYQBTFSM-UKRRQHHQSA-N 1 2 285.391 3.561 20 0 CHADLO C[C@H](c1ccccc1F)N(C)c1cc[nH+]c2ccncc21 ZINC000444110078 330810245 /nfs/dbraw/zinc/81/02/45/330810245.db2.gz IGWHVIDHQWNXEY-GFCCVEGCSA-N 1 2 281.334 3.966 20 0 CHADLO Cn1c[nH+]cc1CN1C[C@@H](C(C)(C)C)c2ccccc21 ZINC000444785009 330825425 /nfs/dbraw/zinc/82/54/25/330825425.db2.gz PIRBMCIKQWAAEA-OAHLLOKOSA-N 1 2 269.392 3.570 20 0 CHADLO Cc1cc(N2C[C@H](C)O[C@@H](C)[C@@H]2C)c2cccc(F)c2[nH+]1 ZINC000445660650 330834145 /nfs/dbraw/zinc/83/41/45/330834145.db2.gz UWLIAONWZITAPO-AVGNSLFASA-N 1 2 288.366 3.684 20 0 CHADLO Cc1ccc(NC(=O)C[C@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC000446439036 330849001 /nfs/dbraw/zinc/84/90/01/330849001.db2.gz UPOONMOURFCKQG-RISCZKNCSA-N 1 2 260.381 3.853 20 0 CHADLO CCc1[nH+]c(C)ccc1OCCCC1CCOCC1 ZINC000447786892 330876715 /nfs/dbraw/zinc/87/67/15/330876715.db2.gz OCRWRZPFWSQRBR-UHFFFAOYSA-N 1 2 263.381 3.538 20 0 CHADLO C[C@H]1CCC[C@@]1(C)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000448900100 330887392 /nfs/dbraw/zinc/88/73/92/330887392.db2.gz PYUUTQYKOWMGQB-YVEFUNNKSA-N 1 2 283.375 3.842 20 0 CHADLO CC1(CO)CC[NH+](Cc2cc(Cl)sc2Cl)CC1 ZINC000449243684 330909145 /nfs/dbraw/zinc/90/91/45/330909145.db2.gz MLHFWUMQPYAGJQ-UHFFFAOYSA-N 1 2 294.247 3.649 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[NH2+]Cc1noc(C2CC2)n1 ZINC000449300663 330913138 /nfs/dbraw/zinc/91/31/38/330913138.db2.gz PULKUMXCJBTXJK-UHFFFAOYSA-N 1 2 291.782 3.507 20 0 CHADLO CSCC1CCC([NH2+][C@@H](C2CC2)C(F)(F)F)CC1 ZINC000449329843 330915108 /nfs/dbraw/zinc/91/51/08/330915108.db2.gz QBXIPEPCJLXLFN-NHNAUAITSA-N 1 2 281.387 3.839 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(Cl)c(F)c1)C(F)F ZINC000449362952 330918873 /nfs/dbraw/zinc/91/88/73/330918873.db2.gz OKMJUDKNTNHETA-SNVBAGLBSA-N 1 2 251.679 3.612 20 0 CHADLO FCC(CF)[NH2+][C@H](Cc1ccccc1)c1ccccc1 ZINC000449381170 330920921 /nfs/dbraw/zinc/92/09/21/330920921.db2.gz QMUGLRIMWCPCBN-QGZVFWFLSA-N 1 2 275.342 3.868 20 0 CHADLO CCc1ccc(NCc2c[nH+]c3cccc(C)n23)cc1OC ZINC000449653900 330942091 /nfs/dbraw/zinc/94/20/91/330942091.db2.gz UHCVABMFGLURRX-UHFFFAOYSA-N 1 2 295.386 3.826 20 0 CHADLO Fc1ccc(C[NH2+]Cc2cnc(C3CC3)o2)c(Cl)c1 ZINC000449696381 330944376 /nfs/dbraw/zinc/94/43/76/330944376.db2.gz IDZRTNGBWVMXJA-UHFFFAOYSA-N 1 2 280.730 3.634 20 0 CHADLO CC[C@@H](CC(C)C)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000449818432 330951254 /nfs/dbraw/zinc/95/12/54/330951254.db2.gz LKMIOGYZZSFTSZ-HNNXBMFYSA-N 1 2 299.418 3.561 20 0 CHADLO C[C@@H](CNc1[nH+]cnc2[nH]c3ccccc3c21)C(F)(F)F ZINC000450452808 330980615 /nfs/dbraw/zinc/98/06/15/330980615.db2.gz IGPDHURNIPUALP-QMMMGPOBSA-N 1 2 294.280 3.721 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCC1(C(F)(F)F)CC1 ZINC000450523484 330984924 /nfs/dbraw/zinc/98/49/24/330984924.db2.gz HILUDRDRYUPSMV-UHFFFAOYSA-N 1 2 296.292 3.998 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@H](C)c2csnn2)c1C ZINC000450673853 330992664 /nfs/dbraw/zinc/99/26/64/330992664.db2.gz QWNLUPYYTDAAIL-NWDGAFQWSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1cc(N2C[C@H](C3CC3)[C@@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC000450796828 331000153 /nfs/dbraw/zinc/00/01/53/331000153.db2.gz PVQSSDVVDIRJOX-ZBFHGGJFSA-N 1 2 271.408 3.533 20 0 CHADLO O=C(CCC[N@H+]1CCCC[C@@H]1C(F)F)c1ccccc1 ZINC000450926713 331007560 /nfs/dbraw/zinc/00/75/60/331007560.db2.gz NHAVIYGUVDWGSY-CQSZACIVSA-N 1 2 281.346 3.769 20 0 CHADLO O=C(CCC[N@@H+]1CCCC[C@@H]1C(F)F)c1ccccc1 ZINC000450926713 331007561 /nfs/dbraw/zinc/00/75/61/331007561.db2.gz NHAVIYGUVDWGSY-CQSZACIVSA-N 1 2 281.346 3.769 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC=C(c3cccnc3)C2)cs1 ZINC000451022056 331012191 /nfs/dbraw/zinc/01/21/91/331012191.db2.gz GULZMJNUFJLXIW-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC=C(c3cccnc3)C2)cs1 ZINC000451022056 331012192 /nfs/dbraw/zinc/01/21/92/331012192.db2.gz GULZMJNUFJLXIW-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO C[C@H](c1ccccc1Br)[N@@H+]1CC[C@H](F)C1 ZINC000451188638 331019561 /nfs/dbraw/zinc/01/95/61/331019561.db2.gz OFSZTSUYBFUNLK-ZJUUUORDSA-N 1 2 272.161 3.554 20 0 CHADLO C[C@H](c1ccccc1Br)[N@H+]1CC[C@H](F)C1 ZINC000451188638 331019562 /nfs/dbraw/zinc/01/95/62/331019562.db2.gz OFSZTSUYBFUNLK-ZJUUUORDSA-N 1 2 272.161 3.554 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)o1 ZINC000451840037 331044369 /nfs/dbraw/zinc/04/43/69/331044369.db2.gz CVLZILILKTXNHK-INIZCTEOSA-N 1 2 286.375 3.866 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)o1 ZINC000451840037 331044370 /nfs/dbraw/zinc/04/43/70/331044370.db2.gz CVLZILILKTXNHK-INIZCTEOSA-N 1 2 286.375 3.866 20 0 CHADLO Cc1ccc2ccccc2c1C[N@@H+](CC(F)F)C[C@@H](C)O ZINC000451856818 331044892 /nfs/dbraw/zinc/04/48/92/331044892.db2.gz UTQFSODYYGKWKH-CYBMUJFWSA-N 1 2 293.357 3.596 20 0 CHADLO Cc1ccc2ccccc2c1C[N@H+](CC(F)F)C[C@@H](C)O ZINC000451856818 331044893 /nfs/dbraw/zinc/04/48/93/331044893.db2.gz UTQFSODYYGKWKH-CYBMUJFWSA-N 1 2 293.357 3.596 20 0 CHADLO CC(C)[N@H+](Cc1noc(C(C)(C)C)n1)C1CC(C)(C)C1 ZINC000452104353 331054399 /nfs/dbraw/zinc/05/43/99/331054399.db2.gz LUPPDFDECDCFRN-UHFFFAOYSA-N 1 2 279.428 3.766 20 0 CHADLO CC(C)[N@@H+](Cc1noc(C(C)(C)C)n1)C1CC(C)(C)C1 ZINC000452104353 331054400 /nfs/dbraw/zinc/05/44/00/331054400.db2.gz LUPPDFDECDCFRN-UHFFFAOYSA-N 1 2 279.428 3.766 20 0 CHADLO CC[C@H](CC(=O)Nc1c[nH+]c2n1CCCC2)c1ccccc1 ZINC000452336377 331062731 /nfs/dbraw/zinc/06/27/31/331062731.db2.gz VJOABHNVELEXPE-CQSZACIVSA-N 1 2 297.402 3.742 20 0 CHADLO CCn1cc[nH+]c1CNC(=O)CC(C)(C)C1CCCCC1 ZINC000452568457 331069390 /nfs/dbraw/zinc/06/93/90/331069390.db2.gz BFPJXMJUKUTAOS-UHFFFAOYSA-N 1 2 291.439 3.516 20 0 CHADLO CCn1cc[nH+]c1CNC(=O)c1ccc(C(C)(C)CC)cc1 ZINC000452569690 331069418 /nfs/dbraw/zinc/06/94/18/331069418.db2.gz HNGXKTHUKBLKQW-UHFFFAOYSA-N 1 2 299.418 3.521 20 0 CHADLO CCCC[C@@H](CC)C(=O)N1CC(C)(C)[C@@H]1c1[nH+]ccn1C ZINC000452842727 331079178 /nfs/dbraw/zinc/07/91/78/331079178.db2.gz ITRNBCWPXBVIOL-KGLIPLIRSA-N 1 2 291.439 3.546 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CNc1cccc2c1OCCO2 ZINC000453067156 331086164 /nfs/dbraw/zinc/08/61/64/331086164.db2.gz BSVVTLSHWDMNBT-UHFFFAOYSA-N 1 2 298.386 3.897 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1nc(C(C)C)c(C)s1 ZINC000453125359 331091739 /nfs/dbraw/zinc/09/17/39/331091739.db2.gz QVUQSYZPCLCHPP-LLVKDONJSA-N 1 2 292.452 3.642 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nccn1CC)c1cc(F)ccc1F ZINC000453136686 331093056 /nfs/dbraw/zinc/09/30/56/331093056.db2.gz GCTSBTDFEFVTES-XHDPSFHLSA-N 1 2 293.361 3.983 20 0 CHADLO CC(C)c1nc([C@H](C)N[C@H]2C[C@@H](C)n3cc[nH+]c32)cs1 ZINC000453143688 331093840 /nfs/dbraw/zinc/09/38/40/331093840.db2.gz LNVQCJQKVGOPDC-WOPDTQHZSA-N 1 2 290.436 3.820 20 0 CHADLO c1cn2c([nH+]1)[C@@H](Nc1ccc(COC3CCCC3)cc1)CC2 ZINC000453160180 331095546 /nfs/dbraw/zinc/09/55/46/331095546.db2.gz AGLASXSXDBJBEC-KRWDZBQOSA-N 1 2 297.402 3.899 20 0 CHADLO CCCOc1cccc(N[C@@H](C)c2[nH+]ccn2CC)c1 ZINC000453167295 331096375 /nfs/dbraw/zinc/09/63/75/331096375.db2.gz AKWSCIMTDXBQJR-ZDUSSCGKSA-N 1 2 273.380 3.865 20 0 CHADLO Cc1csc(-c2cccc(N[C@H]3CCn4cc[nH+]c43)c2)n1 ZINC000453181493 331098099 /nfs/dbraw/zinc/09/80/99/331098099.db2.gz DDRSKDQQWAGUEX-AWEZNQCLSA-N 1 2 296.399 3.872 20 0 CHADLO CCSCc1cccc(N[C@H]2CCn3cc[nH+]c32)c1 ZINC000453187149 331098854 /nfs/dbraw/zinc/09/88/54/331098854.db2.gz CBRYOMMVVYSHGJ-AWEZNQCLSA-N 1 2 273.405 3.693 20 0 CHADLO CC[C@@H]([NH2+][C@H](CC)C(F)F)c1ccc(OC)c(OC)c1 ZINC000453227781 331104000 /nfs/dbraw/zinc/10/40/00/331104000.db2.gz BISPNJYOTORWHA-VXGBXAGGSA-N 1 2 287.350 3.788 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cnn(C(C)(C)C)c1C)C(F)F ZINC000453228549 331104137 /nfs/dbraw/zinc/10/41/37/331104137.db2.gz BWFXSUVXZBSIKW-JOYOIKCWSA-N 1 2 273.371 3.641 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCSc2ccc(F)cc21)C(F)F ZINC000453248331 331107308 /nfs/dbraw/zinc/10/73/08/331107308.db2.gz PJAVFDXMXBZRES-GHMZBOCLSA-N 1 2 275.339 3.996 20 0 CHADLO CCc1nc2c(s1)[C@@H]([NH2+][C@H](CC)C(F)F)CCC2 ZINC000453249380 331107360 /nfs/dbraw/zinc/10/73/60/331107360.db2.gz QJHACNDLTFUMCE-BDAKNGLRSA-N 1 2 274.380 3.716 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCSc2ccc(OC)cc21)C(F)F ZINC000453253454 331107943 /nfs/dbraw/zinc/10/79/43/331107943.db2.gz XFTOTQGSMNPPTL-RYUDHWBXSA-N 1 2 287.375 3.865 20 0 CHADLO COc1cc(C)c([C@H](C)[NH2+][C@@H](C)C(C)(F)F)cc1OC ZINC000453293678 331112183 /nfs/dbraw/zinc/11/21/83/331112183.db2.gz OXNPPTWBYMVDQT-QWRGUYRKSA-N 1 2 287.350 3.707 20 0 CHADLO CCC[C@H]([NH2+]Cc1nn(C)cc1Cl)c1ccsc1 ZINC000453314827 331115311 /nfs/dbraw/zinc/11/53/11/331115311.db2.gz IYTDFGXMNARBTF-LBPRGKRZSA-N 1 2 283.828 3.766 20 0 CHADLO CC/C=C/C[NH2+][C@@H](c1nccn1C)c1ccc(Cl)cc1 ZINC000453320699 331116331 /nfs/dbraw/zinc/11/63/31/331116331.db2.gz NMCJPBRZQWHEIG-MBVDDHJVSA-N 1 2 289.810 3.719 20 0 CHADLO Fc1ccc(F)c2c1CC[C@@H]2[NH2+]Cc1cnc(C2CC2)o1 ZINC000453352020 331120936 /nfs/dbraw/zinc/12/09/36/331120936.db2.gz KNZXAIZMLNTULV-AWEZNQCLSA-N 1 2 290.313 3.607 20 0 CHADLO CC(C)N(C)c1ccc([NH2+][C@H]2CCOC[C@@H]2C)cc1F ZINC000195057175 331134119 /nfs/dbraw/zinc/13/41/19/331134119.db2.gz SRMCZNMVXQEKBQ-WFASDCNBSA-N 1 2 280.387 3.507 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H](C)CC(C)(C)C)c(C)[nH+]1 ZINC000455038381 331159432 /nfs/dbraw/zinc/15/94/32/331159432.db2.gz FPXBKBKKPKDFII-NSHDSACASA-N 1 2 263.385 3.645 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@H](C(C)C)[C@H]2C(C)C)c(C)[nH+]1 ZINC000455058448 331159846 /nfs/dbraw/zinc/15/98/46/331159846.db2.gz YCNCRGNDAHZYDF-GDBMZVCRSA-N 1 2 289.423 3.843 20 0 CHADLO C[C@@H]1CC=C(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CC1 ZINC000455237409 331163084 /nfs/dbraw/zinc/16/30/84/331163084.db2.gz YGYXAWMEXAJPPD-GFCCVEGCSA-N 1 2 281.359 3.762 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)Nc1c(C)cc[nH+]c1C ZINC000455436241 331169906 /nfs/dbraw/zinc/16/99/06/331169906.db2.gz JFXYVGXDCOCJLQ-ZDUSSCGKSA-N 1 2 275.396 3.955 20 0 CHADLO C/C=C\c1ccc(NC(=O)N[C@H](C)c2[nH+]ccn2CC)cc1 ZINC000455446592 331170058 /nfs/dbraw/zinc/17/00/58/331170058.db2.gz LQLWFNNTGWAQNJ-RYWCDNDXSA-N 1 2 298.390 3.819 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@H](CC(C)(C)C)C1 ZINC000455449049 331170154 /nfs/dbraw/zinc/17/01/54/331170154.db2.gz XZNGRINRTSPEKM-CQSZACIVSA-N 1 2 289.423 3.988 20 0 CHADLO Cc1cc(C)c(CNC(=O)N[C@H](C)c2ccccc2C)c[nH+]1 ZINC000455537815 331172576 /nfs/dbraw/zinc/17/25/76/331172576.db2.gz CUQWNUMFADEBOW-OAHLLOKOSA-N 1 2 297.402 3.567 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C[C@@H]1CCCC(C)(C)C1 ZINC000456373548 331191598 /nfs/dbraw/zinc/19/15/98/331191598.db2.gz ZWKMVSWFOAJLHN-KBPBESRZSA-N 1 2 291.439 3.687 20 0 CHADLO CC[C@H](CC(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456378268 331191721 /nfs/dbraw/zinc/19/17/21/331191721.db2.gz LGPXXNNBFACEOJ-IIAWOOMASA-N 1 2 297.402 3.589 20 0 CHADLO CC[C@H](CC(=O)N[C@H](C)c1[nH+]ccn1CC)c1ccccc1 ZINC000456380294 331191819 /nfs/dbraw/zinc/19/18/19/331191819.db2.gz JRODQIORIAYGFK-HUUCEWRRSA-N 1 2 299.418 3.664 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)C[C@H]2CCCC(C)(C)C2)c2[nH+]ccn21 ZINC000456414586 331192263 /nfs/dbraw/zinc/19/22/63/331192263.db2.gz ISFMTLLJELMLOT-MGPQQGTHSA-N 1 2 289.423 3.612 20 0 CHADLO CC[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CCCC1 ZINC000456655214 331198329 /nfs/dbraw/zinc/19/83/29/331198329.db2.gz HVYKKJTZJMCEIJ-KRWDZBQOSA-N 1 2 297.402 3.571 20 0 CHADLO CC[C@@H]1CC[C@H](C)N(C(=O)c2ccc3[nH+]c(C)n(C)c3c2)C1 ZINC000456820168 331205733 /nfs/dbraw/zinc/20/57/33/331205733.db2.gz NXAMPOLKSHNODP-GXTWGEPZSA-N 1 2 299.418 3.532 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H](c2ccccc2)C2CC2)c[nH+]1 ZINC000456833944 331206958 /nfs/dbraw/zinc/20/69/58/331206958.db2.gz GZCYJDXHJLZZKU-GOSISDBHSA-N 1 2 294.398 3.508 20 0 CHADLO CCCc1ccc(C(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000456836096 331207071 /nfs/dbraw/zinc/20/70/71/331207071.db2.gz AJFNHUKYWFDGTL-UHFFFAOYSA-N 1 2 282.387 3.581 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cc(Cl)ccc2C)c[nH+]1 ZINC000456842512 331207270 /nfs/dbraw/zinc/20/72/70/331207270.db2.gz PNIFHOUCNOVNJN-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H](C)CCC(C)(C)C)c[nH+]1 ZINC000456855247 331207520 /nfs/dbraw/zinc/20/75/20/331207520.db2.gz ZLRRNKFMXUWLBL-GFCCVEGCSA-N 1 2 276.424 3.777 20 0 CHADLO CCc1cc(C(=O)NCc2c[nH+]c(C)cc2C)sc1C ZINC000456856197 331207629 /nfs/dbraw/zinc/20/76/29/331207629.db2.gz JCAQEONCKBDQEH-UHFFFAOYSA-N 1 2 288.416 3.561 20 0 CHADLO CC(C)CCCCC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000457719618 331232287 /nfs/dbraw/zinc/23/22/87/331232287.db2.gz WHCXORHVESQCKV-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@@H+]1Cc1ccncc1F ZINC000459378180 331279119 /nfs/dbraw/zinc/27/91/19/331279119.db2.gz VLHDMQSUEWCVOD-VXGBXAGGSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@H+]1Cc1ccncc1F ZINC000459378180 331279120 /nfs/dbraw/zinc/27/91/20/331279120.db2.gz VLHDMQSUEWCVOD-VXGBXAGGSA-N 1 2 288.341 3.868 20 0 CHADLO FC(F)C[NH2+]CC1=Cc2cc(Cl)cc(Cl)c2OC1 ZINC000459546043 331287067 /nfs/dbraw/zinc/28/70/67/331287067.db2.gz MINPJEDIIDMBJY-UHFFFAOYSA-N 1 2 294.128 3.624 20 0 CHADLO Cc1ncc(C[NH2+]Cc2csc(Cl)c2Cl)o1 ZINC000459680068 331293972 /nfs/dbraw/zinc/29/39/72/331293972.db2.gz URSCLMOKZQATND-UHFFFAOYSA-N 1 2 277.176 3.641 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cccc(Cl)c3C2)nc1 ZINC000459711918 331295314 /nfs/dbraw/zinc/29/53/14/331295314.db2.gz RCYOSBUOHLELJY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cccc(Cl)c3C2)nc1 ZINC000459711918 331295315 /nfs/dbraw/zinc/29/53/15/331295315.db2.gz RCYOSBUOHLELJY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)ccc1Cl)c1ccn(C)n1 ZINC000459738454 331297236 /nfs/dbraw/zinc/29/72/36/331297236.db2.gz CXXSPBLCFWOMIC-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)sc1Cl)c1ccn(C)n1 ZINC000459748086 331298050 /nfs/dbraw/zinc/29/80/50/331298050.db2.gz GIBSQHQNLJOWLJ-ZETCQYMHSA-N 1 2 290.219 3.639 20 0 CHADLO CCCc1nc(C)c(C(=O)Nc2c(C)cc(C)[nH+]c2C)o1 ZINC000459935190 331303579 /nfs/dbraw/zinc/30/35/79/331303579.db2.gz SWFCXRJAPSQJBU-UHFFFAOYSA-N 1 2 287.363 3.508 20 0 CHADLO CCCc1ccc(C(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000460327008 331309218 /nfs/dbraw/zinc/30/92/18/331309218.db2.gz VPWIVTUJFGPIMT-UHFFFAOYSA-N 1 2 279.343 3.539 20 0 CHADLO CN(CCc1cccc(Br)c1)c1cccc[nH+]1 ZINC000462090605 331317118 /nfs/dbraw/zinc/31/71/18/331317118.db2.gz VUOWEKDEGMWDHU-UHFFFAOYSA-N 1 2 291.192 3.523 20 0 CHADLO Cc1nc(N[C@H]2CCCC[C@@H]2Cc2ccccc2)cc[nH+]1 ZINC000462825428 331331506 /nfs/dbraw/zinc/33/15/06/331331506.db2.gz JFGLRNSNAIDNKM-SJORKVTESA-N 1 2 281.403 3.998 20 0 CHADLO CC[C@@H](Cc1ccc(C)cc1)N(C)c1cc(C)[nH+]cn1 ZINC000463077017 331339574 /nfs/dbraw/zinc/33/95/74/331339574.db2.gz LEYGFBBKRMDRAZ-INIZCTEOSA-N 1 2 269.392 3.551 20 0 CHADLO CCc1ccc(CNc2[nH+]cccc2OC(F)F)cc1 ZINC000463251201 331344238 /nfs/dbraw/zinc/34/42/38/331344238.db2.gz RYBPVICLKRHIQE-UHFFFAOYSA-N 1 2 278.302 3.858 20 0 CHADLO CCC1(CC)CN(c2cc[nH+]c(C(C)C)n2)CCS1 ZINC000463574072 331349370 /nfs/dbraw/zinc/34/93/70/331349370.db2.gz MFQCEKSKOJCSGM-UHFFFAOYSA-N 1 2 279.453 3.712 20 0 CHADLO CC(C)c1cc(N[C@H](C)CC(F)(F)F)nc(C(C)C)[nH+]1 ZINC000463748234 331351577 /nfs/dbraw/zinc/35/15/77/331351577.db2.gz XMODXHCIXWTPNM-SNVBAGLBSA-N 1 2 289.345 3.898 20 0 CHADLO Fc1ccccc1C=C1CCN(c2cccc[nH+]2)CC1 ZINC000465060941 331377318 /nfs/dbraw/zinc/37/73/18/331377318.db2.gz UTUCJROCXCQIRN-UHFFFAOYSA-N 1 2 268.335 3.905 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2ccc(F)cc21)c1ccc(F)cc1F ZINC000467004425 331410259 /nfs/dbraw/zinc/41/02/59/331410259.db2.gz CNGKGYJKZXSVAF-PSLIRLAXSA-N 1 2 293.288 3.888 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)CO)c1ccc(-c2cccs2)cc1 ZINC000468027982 331427022 /nfs/dbraw/zinc/42/70/22/331427022.db2.gz GXJOJKSFAUZKMH-NSHDSACASA-N 1 2 297.370 3.693 20 0 CHADLO Cc1cc(C[NH+]2CCC(=Cc3cccc(F)c3)CC2)no1 ZINC000471173873 331503191 /nfs/dbraw/zinc/50/31/91/331503191.db2.gz VICFUAMJGCFAFA-UHFFFAOYSA-N 1 2 286.350 3.802 20 0 CHADLO COC(=O)[C@H]([NH2+]C[C@H]1C(C)=CCC[C@@H]1C)c1cccs1 ZINC000475142315 331616183 /nfs/dbraw/zinc/61/61/83/331616183.db2.gz WXKCVBIYIFSFNN-KCQAQPDRSA-N 1 2 293.432 3.544 20 0 CHADLO CC(C)[C@@H]1C[C@H]1C(=O)Nc1ccc2[nH+]c(N(C)C)ccc2c1 ZINC000475343505 331628022 /nfs/dbraw/zinc/62/80/22/331628022.db2.gz QGZTVTTWDBCYBQ-LSDHHAIUSA-N 1 2 297.402 3.531 20 0 CHADLO CC1(C)CCC[C@@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000475913176 331648708 /nfs/dbraw/zinc/64/87/08/331648708.db2.gz VFZKZODFHDRBAZ-CQSZACIVSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1cc(N)nc(SCCc2ccc3ccccc3c2)[nH+]1 ZINC000510971388 332922515 /nfs/dbraw/zinc/92/25/15/332922515.db2.gz QRNIXQIHCOWNNO-UHFFFAOYSA-N 1 2 295.411 3.686 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCC(=O)Nc1ccccc1C ZINC000479435446 331729602 /nfs/dbraw/zinc/72/96/02/331729602.db2.gz CDNXLLYCONOYOT-UHFFFAOYSA-N 1 2 293.370 3.682 20 0 CHADLO CC(C)c1nc(N(C)[C@H]2CCc3ccccc3C2)cc[nH+]1 ZINC000511669568 332939275 /nfs/dbraw/zinc/93/92/75/332939275.db2.gz ZYAFHOSWPFFIPR-INIZCTEOSA-N 1 2 281.403 3.594 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000488338321 332000755 /nfs/dbraw/zinc/00/07/55/332000755.db2.gz KYMHYRSFIBETTL-IXPVHAAZSA-N 1 2 283.774 3.508 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000488338321 332000757 /nfs/dbraw/zinc/00/07/57/332000757.db2.gz KYMHYRSFIBETTL-IXPVHAAZSA-N 1 2 283.774 3.508 20 0 CHADLO CC(C)c1nc(N2CC3(CCC3)[C@H]2C(C)C)cc[nH+]1 ZINC000488506523 332014356 /nfs/dbraw/zinc/01/43/56/332014356.db2.gz UGVRVPUXZUXSJY-CQSZACIVSA-N 1 2 259.397 3.615 20 0 CHADLO Cc1nc(N[C@@H]2CCCc3sc(Cl)cc32)cc[nH+]1 ZINC000488715330 332022321 /nfs/dbraw/zinc/02/23/21/332022321.db2.gz HKSWWDVRKIVCTK-SNVBAGLBSA-N 1 2 279.796 3.989 20 0 CHADLO Cc1cc(NC[C@H]2CCC[C@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000489210001 332043392 /nfs/dbraw/zinc/04/33/92/332043392.db2.gz ZVXSKQPCDVRFBT-ZFWWWQNUSA-N 1 2 296.418 3.507 20 0 CHADLO CC(C)([NH2+]Cc1ncc(Cl)s1)c1ccccc1F ZINC000491998259 332378596 /nfs/dbraw/zinc/37/85/96/332378596.db2.gz JPUHAOLJUYVRPS-UHFFFAOYSA-N 1 2 284.787 3.961 20 0 CHADLO CCCOc1cccc2c(NC[C@](C)(O)CC)cc[nH+]c12 ZINC000492212291 332387524 /nfs/dbraw/zinc/38/75/24/332387524.db2.gz YZDXVJKVSUEZGI-QGZVFWFLSA-N 1 2 288.391 3.597 20 0 CHADLO CC(C)([NH2+]Cc1cccs1)c1cc(C(F)(F)F)on1 ZINC000492262942 332389687 /nfs/dbraw/zinc/38/96/87/332389687.db2.gz YLVXJJOERVIUOR-UHFFFAOYSA-N 1 2 290.310 3.780 20 0 CHADLO CCc1ccc(/C=C/C(=O)Nc2c(C)cc[nH+]c2C)o1 ZINC000493168871 332429123 /nfs/dbraw/zinc/42/91/23/332429123.db2.gz UBEHXDJEQFSJQX-BQYQJAHWSA-N 1 2 270.332 3.506 20 0 CHADLO CCCN(C(=O)/C=C\c1[nH]cc[nH+]1)[C@@H](CC)c1ccccc1 ZINC000493373366 332437631 /nfs/dbraw/zinc/43/76/31/332437631.db2.gz UORJPIUQAGDWKD-SNGWPZLWSA-N 1 2 297.402 3.813 20 0 CHADLO CC[C@H](NC(=O)/C=C/c1ccc(C2CC2)cc1)c1[nH]cc[nH+]1 ZINC000493784460 332453904 /nfs/dbraw/zinc/45/39/04/332453904.db2.gz GTZSCMXAYZAHEQ-YKXBDCQTSA-N 1 2 295.386 3.568 20 0 CHADLO CCCc1csc(C[NH2+]Cc2ccccc2OCC)n1 ZINC000494137510 332464215 /nfs/dbraw/zinc/46/42/15/332464215.db2.gz RNOHBNLSFFMZQF-UHFFFAOYSA-N 1 2 290.432 3.784 20 0 CHADLO CO[C@H](c1ccccc1)[C@H](C)Nc1ccc(C)[nH+]c1 ZINC000494602304 332479556 /nfs/dbraw/zinc/47/95/56/332479556.db2.gz ZXNDLDTVSHSMFM-BBRMVZONSA-N 1 2 256.349 3.578 20 0 CHADLO CC(C)Oc1ccc(C[NH2+][C@@H](C)c2ccon2)cc1Cl ZINC000494635689 332481105 /nfs/dbraw/zinc/48/11/05/332481105.db2.gz GGHOKTBZDDPNHH-NSHDSACASA-N 1 2 294.782 3.966 20 0 CHADLO COCC[C@H]1CCC[C@H]1[NH2+]c1ccc(C)cc1OC ZINC000502467833 332661923 /nfs/dbraw/zinc/66/19/23/332661923.db2.gz YRNZNFPJKUMJRL-ZIAGYGMSSA-N 1 2 263.381 3.621 20 0 CHADLO Cc1cc(Nc2c[nH+]c(N3CCCC[C@@H]3C)c(C)c2)ncn1 ZINC000503276125 332685446 /nfs/dbraw/zinc/68/54/46/332685446.db2.gz PTPHDKXGTAYVLU-AWEZNQCLSA-N 1 2 297.406 3.611 20 0 CHADLO Cc1cc(NC(=O)c2cc(F)cc(C(F)(F)F)c2)cc[nH+]1 ZINC000154368405 334693627 /nfs/dbraw/zinc/69/36/27/334693627.db2.gz NTGZAFKUNVTUKW-UHFFFAOYSA-N 1 2 298.239 3.800 20 0 CHADLO CCCOc1cccc2c(N[C@H](C)C[C@H](C)O)cc[nH+]c12 ZINC000503611425 332694284 /nfs/dbraw/zinc/69/42/84/332694284.db2.gz ILROIFUDSODERR-OLZOCXBDSA-N 1 2 288.391 3.595 20 0 CHADLO CCSCC[C@H](C)N(C)c1cc[nH+]c(C(C)C)n1 ZINC000173917840 332986051 /nfs/dbraw/zinc/98/60/51/332986051.db2.gz MFDXYQQTTAELFA-LBPRGKRZSA-N 1 2 267.442 3.568 20 0 CHADLO COc1ccsc1C[NH2+]C1(C(F)F)CCCCC1 ZINC000512898752 332992882 /nfs/dbraw/zinc/99/28/82/332992882.db2.gz JBUBDRKPQDNXAP-UHFFFAOYSA-N 1 2 275.364 3.814 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@@H+](CC1=CCCOC1)C2 ZINC000513112046 333004856 /nfs/dbraw/zinc/00/48/56/333004856.db2.gz RMFYDDQFEUOVRE-UHFFFAOYSA-N 1 2 298.213 3.698 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@H+](CC1=CCCOC1)C2 ZINC000513112046 333004857 /nfs/dbraw/zinc/00/48/57/333004857.db2.gz RMFYDDQFEUOVRE-UHFFFAOYSA-N 1 2 298.213 3.698 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1Cc1ccc(OC(F)(F)F)cc1 ZINC000513222830 333009712 /nfs/dbraw/zinc/00/97/12/333009712.db2.gz JSNHJFREOCYNQE-LLVKDONJSA-N 1 2 295.251 3.815 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1Cc1ccc(OC(F)(F)F)cc1 ZINC000513222830 333009713 /nfs/dbraw/zinc/00/97/13/333009713.db2.gz JSNHJFREOCYNQE-LLVKDONJSA-N 1 2 295.251 3.815 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000175129555 333015302 /nfs/dbraw/zinc/01/53/02/333015302.db2.gz PIRTYTFIOGXXSU-NEPJUHHUSA-N 1 2 280.412 3.532 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000175129555 333015304 /nfs/dbraw/zinc/01/53/04/333015304.db2.gz PIRTYTFIOGXXSU-NEPJUHHUSA-N 1 2 280.412 3.532 20 0 CHADLO Clc1ccc(CNc2ccc(N3CCCCC3)c[nH+]2)o1 ZINC000513604669 333026445 /nfs/dbraw/zinc/02/64/45/333026445.db2.gz KNBBMWAHIXQASQ-UHFFFAOYSA-N 1 2 291.782 3.930 20 0 CHADLO Cc1cc(NC(=O)CCC(C)(C)c2ccccc2)cc[nH+]1 ZINC000513747483 333037310 /nfs/dbraw/zinc/03/73/10/333037310.db2.gz PWOXSLIPVKWCGS-UHFFFAOYSA-N 1 2 282.387 3.508 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC[C@@](CO)(C(C)C)C1 ZINC000516632544 333045976 /nfs/dbraw/zinc/04/59/76/333045976.db2.gz CBQSUHZYWROMMM-LJQANCHMSA-N 1 2 298.430 3.778 20 0 CHADLO CCC[N@H+](CCOc1cccc(CC)c1)CC(F)F ZINC000514009077 333059942 /nfs/dbraw/zinc/05/99/42/333059942.db2.gz YEEHJOCJSJYEHH-UHFFFAOYSA-N 1 2 271.351 3.605 20 0 CHADLO CCC[N@@H+](CCOc1cccc(CC)c1)CC(F)F ZINC000514009077 333059943 /nfs/dbraw/zinc/05/99/43/333059943.db2.gz YEEHJOCJSJYEHH-UHFFFAOYSA-N 1 2 271.351 3.605 20 0 CHADLO Cc1cccn2cc(Cc3noc(/C=C/C(C)(C)C)n3)[nH+]c12 ZINC000516822073 333083457 /nfs/dbraw/zinc/08/34/57/333083457.db2.gz GWRDOEBXSQQPMB-BQYQJAHWSA-N 1 2 296.374 3.676 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)c2cccc(F)c2)c(C)[nH+]1 ZINC000172724772 335119950 /nfs/dbraw/zinc/11/99/50/335119950.db2.gz QDKGDXZSDZVIPV-GFCCVEGCSA-N 1 2 286.350 3.888 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000516968067 333088415 /nfs/dbraw/zinc/08/84/15/333088415.db2.gz BWYOYZGIFCCMQD-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+]1CCc2sc(Cl)cc2C1 ZINC000516968067 333088416 /nfs/dbraw/zinc/08/84/16/333088416.db2.gz BWYOYZGIFCCMQD-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1[nH]c(CNc2cc(Br)ccc2C)[nH+]c1C ZINC000517042591 333090609 /nfs/dbraw/zinc/09/06/09/333090609.db2.gz ZEXQFSAERRTFSW-UHFFFAOYSA-N 1 2 294.196 3.710 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c(F)c1 ZINC000336854977 335121565 /nfs/dbraw/zinc/12/15/65/335121565.db2.gz STAZXPZDQNBVNM-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cn1c(NCc2cccs2)[nH+]c2cccc(Cl)c21 ZINC000184310675 333126726 /nfs/dbraw/zinc/12/67/26/333126726.db2.gz HMUIDOXYQYDODR-UHFFFAOYSA-N 1 2 277.780 3.900 20 0 CHADLO Cc1cncc([C@@H](C)[NH2+]Cc2coc(-c3ccccc3)n2)c1 ZINC000184369477 333127547 /nfs/dbraw/zinc/12/75/47/333127547.db2.gz UMZIGGWUMHPRQO-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO COCC1=CC[N@H+](Cc2cc(Cl)ccc2Cl)CC1 ZINC000185828915 333149930 /nfs/dbraw/zinc/14/99/30/333149930.db2.gz GOQANRJVGFMAPR-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO COCC1=CC[N@@H+](Cc2cc(Cl)ccc2Cl)CC1 ZINC000185828915 333149931 /nfs/dbraw/zinc/14/99/31/333149931.db2.gz GOQANRJVGFMAPR-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cc(C)ccc2Cl)c1 ZINC000219839782 333158013 /nfs/dbraw/zinc/15/80/13/333158013.db2.gz DOOZNWMTIACVLK-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO C[C@H]1CC[C@H]([NH2+]Cc2nn(C)cc2Cl)c2ccccc21 ZINC000393349750 333178384 /nfs/dbraw/zinc/17/83/84/333178384.db2.gz OYTFJNZWNLLACX-NHYWBVRUSA-N 1 2 289.810 3.802 20 0 CHADLO CC(C)(C)c1csc(C[NH2+]Cc2ccc(Cl)o2)n1 ZINC000521829585 333191695 /nfs/dbraw/zinc/19/16/95/333191695.db2.gz LZZXADJHNIXWEL-UHFFFAOYSA-N 1 2 284.812 3.977 20 0 CHADLO CC[C@@H](C)[C@@H](O)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000521924209 333223528 /nfs/dbraw/zinc/22/35/28/333223528.db2.gz JANMOVDWOXUYKF-YGRLFVJLSA-N 1 2 278.783 3.707 20 0 CHADLO CC[C@H](C)[C@@H](O)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000521924206 333223620 /nfs/dbraw/zinc/22/36/20/333223620.db2.gz JANMOVDWOXUYKF-HZMBPMFUSA-N 1 2 278.783 3.707 20 0 CHADLO COC(=O)c1ccc(COc2cc(C)[nH+]c3ccccc32)o1 ZINC000192192746 333232070 /nfs/dbraw/zinc/23/20/70/333232070.db2.gz BUVSDBFNAXCLON-UHFFFAOYSA-N 1 2 297.310 3.502 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCCCCOC(C)C ZINC000225388467 333235791 /nfs/dbraw/zinc/23/57/91/333235791.db2.gz FKRLQHDXNWYVDD-UHFFFAOYSA-N 1 2 288.391 3.861 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+](C)Cc2ccc(C)cc2)n1 ZINC000193016704 333241644 /nfs/dbraw/zinc/24/16/44/333241644.db2.gz GWVGXUHXXLEHBI-AWEZNQCLSA-N 1 2 287.407 3.914 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+](C)Cc2ccc(C)cc2)n1 ZINC000193016704 333241645 /nfs/dbraw/zinc/24/16/45/333241645.db2.gz GWVGXUHXXLEHBI-AWEZNQCLSA-N 1 2 287.407 3.914 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1nc2ccccc2[nH]1 ZINC000193100046 333242453 /nfs/dbraw/zinc/24/24/53/333242453.db2.gz DOGQHIZPEYTDNC-NSHDSACASA-N 1 2 271.389 3.817 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1nc2ccccc2[nH]1 ZINC000193100046 333242454 /nfs/dbraw/zinc/24/24/54/333242454.db2.gz DOGQHIZPEYTDNC-NSHDSACASA-N 1 2 271.389 3.817 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccc([C@@H]3C[C@@H]3C)o2)s1 ZINC000193840022 333258850 /nfs/dbraw/zinc/25/88/50/333258850.db2.gz GSCYYQGBUZGXGI-IINYFYTJSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccc([C@@H]3C[C@@H]3C)o2)s1 ZINC000193840022 333258853 /nfs/dbraw/zinc/25/88/53/333258853.db2.gz GSCYYQGBUZGXGI-IINYFYTJSA-N 1 2 276.405 3.800 20 0 CHADLO CC(C)c1ccc(-c2csc([C@@H]3COCC[NH2+]3)n2)cc1 ZINC000226469102 333261642 /nfs/dbraw/zinc/26/16/42/333261642.db2.gz JDQRTXTZELUVAP-AWEZNQCLSA-N 1 2 288.416 3.594 20 0 CHADLO CCC[C@@H](C)C(=O)Nc1ccc2[nH+]c(N(C)C)ccc2c1 ZINC000195742956 333263308 /nfs/dbraw/zinc/26/33/08/333263308.db2.gz QNBYWQZBSUTSFK-GFCCVEGCSA-N 1 2 285.391 3.676 20 0 CHADLO CCc1ccc([C@H](CC)[NH2+]Cc2nn(C)cc2Cl)cc1 ZINC000393353735 333267364 /nfs/dbraw/zinc/26/73/64/333267364.db2.gz DSAMGAQALHSMGC-HNNXBMFYSA-N 1 2 291.826 3.877 20 0 CHADLO Clc1csc(C[N@@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000194426702 333271036 /nfs/dbraw/zinc/27/10/36/333271036.db2.gz NPSXUNQUXGGYIS-ZDUSSCGKSA-N 1 2 283.780 3.568 20 0 CHADLO Clc1csc(C[N@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000194426702 333271038 /nfs/dbraw/zinc/27/10/38/333271038.db2.gz NPSXUNQUXGGYIS-ZDUSSCGKSA-N 1 2 283.780 3.568 20 0 CHADLO CCCc1ccc([C@H](C)[NH2+]Cc2nn(C)cc2Cl)cc1 ZINC000393356252 333277283 /nfs/dbraw/zinc/27/72/83/333277283.db2.gz UJPZVBXFTZEIAY-LBPRGKRZSA-N 1 2 291.826 3.877 20 0 CHADLO COC1(CNc2[nH+]c3ccccc3cc2C)CCC1 ZINC000227303958 333280087 /nfs/dbraw/zinc/28/00/87/333280087.db2.gz PSFVPFMBZRGJJW-UHFFFAOYSA-N 1 2 256.349 3.524 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc3c(c2)CCC3)cs1 ZINC000522444215 333287304 /nfs/dbraw/zinc/28/73/04/333287304.db2.gz JQJVDLNZVUDPBK-UHFFFAOYSA-N 1 2 286.444 3.826 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc3c(c2)CCC3)cs1 ZINC000522444215 333287305 /nfs/dbraw/zinc/28/73/05/333287305.db2.gz JQJVDLNZVUDPBK-UHFFFAOYSA-N 1 2 286.444 3.826 20 0 CHADLO Cc1ccc(C(=O)OC(C)C)cc1Oc1cc[nH+]cc1 ZINC000522480832 333288116 /nfs/dbraw/zinc/28/81/16/333288116.db2.gz NCSJYQMQRYXEFQ-UHFFFAOYSA-N 1 2 271.316 3.748 20 0 CHADLO CC[C@@H](Cc1ccccc1)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC000522566038 333290270 /nfs/dbraw/zinc/29/02/70/333290270.db2.gz ZNUCDRKZGMGLTC-INIZCTEOSA-N 1 2 281.403 3.518 20 0 CHADLO Cc1ccc(C[NH2+]CC(F)(F)c2ccccc2)s1 ZINC000227901020 333290475 /nfs/dbraw/zinc/29/04/75/333290475.db2.gz LLQAVGXKYKRBDM-UHFFFAOYSA-N 1 2 267.344 3.938 20 0 CHADLO COc1cc(C)[nH+]c(COc2cnc3ccccc3c2)c1 ZINC000228035099 333293198 /nfs/dbraw/zinc/29/31/98/333293198.db2.gz BZHVSKZQUXWDBS-UHFFFAOYSA-N 1 2 280.327 3.526 20 0 CHADLO Cc1c[nH+]cc(NC2CC(c3ccccc3F)C2)c1 ZINC000228829758 333297546 /nfs/dbraw/zinc/29/75/46/333297546.db2.gz MZCVYUOGYTYBDZ-UHFFFAOYSA-N 1 2 256.324 3.887 20 0 CHADLO Cc1ccc(C[C@@H](C)N(C)c2cc[nH+]c(C(C)C)n2)cc1 ZINC000523100528 333308813 /nfs/dbraw/zinc/30/88/13/333308813.db2.gz VMJLEEJADXUGEM-OAHLLOKOSA-N 1 2 283.419 3.976 20 0 CHADLO CCS[C@@H]1CCC[C@@H]1Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000230186070 333315727 /nfs/dbraw/zinc/31/57/27/333315727.db2.gz KIIRLOOMRARCLG-QWHCGFSZSA-N 1 2 279.453 3.995 20 0 CHADLO CCOc1cc(C)ccc1[NH2+]CCC(C)(C)OC ZINC000230404083 333317349 /nfs/dbraw/zinc/31/73/49/333317349.db2.gz NLRWGHDXLVPRAW-UHFFFAOYSA-N 1 2 251.370 3.621 20 0 CHADLO CCCC[C@H]([NH2+]CC[C@@H](C)c1ccccc1)C(=O)OC ZINC000230495611 333318692 /nfs/dbraw/zinc/31/86/92/333318692.db2.gz XBQFHTXWZQXHJO-ZBFHGGJFSA-N 1 2 277.408 3.502 20 0 CHADLO COCCC(C)(C)CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000230839784 333321831 /nfs/dbraw/zinc/32/18/31/333321831.db2.gz AOYSXFMWICPLEF-UHFFFAOYSA-N 1 2 276.302 3.575 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)CCn1cc[nH+]c1 ZINC000523452767 333328154 /nfs/dbraw/zinc/32/81/54/333328154.db2.gz IIMPFRGGYLBEGC-UHFFFAOYSA-N 1 2 285.391 3.501 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2cc(F)ccc2F)C[C@@H](C)O1 ZINC000523607578 333334144 /nfs/dbraw/zinc/33/41/44/333334144.db2.gz KCYYWCUQAAYZPX-NTZNESFSSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2cc(F)ccc2F)C[C@@H](C)O1 ZINC000523607578 333334146 /nfs/dbraw/zinc/33/41/46/333334146.db2.gz KCYYWCUQAAYZPX-NTZNESFSSA-N 1 2 269.335 3.525 20 0 CHADLO C[C@@H]1CCCC[C@H]1CC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000523725704 333342266 /nfs/dbraw/zinc/34/22/66/333342266.db2.gz FDUJGAHAIXWMOO-QLFBSQMISA-N 1 2 289.423 3.680 20 0 CHADLO CC(C)[C@H]1CCC[N@H+](Cc2noc(C(C)(C)C)n2)CC1 ZINC000524483232 333363030 /nfs/dbraw/zinc/36/30/30/333363030.db2.gz KEYZHRCYKFDNMZ-ZDUSSCGKSA-N 1 2 279.428 3.625 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+](Cc2noc(C(C)(C)C)n2)CC1 ZINC000524483232 333363031 /nfs/dbraw/zinc/36/30/31/333363031.db2.gz KEYZHRCYKFDNMZ-ZDUSSCGKSA-N 1 2 279.428 3.625 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1)C(C)(C)C ZINC000524520984 333364537 /nfs/dbraw/zinc/36/45/37/333364537.db2.gz MHBCHKBELABVAH-NSHDSACASA-N 1 2 271.364 3.697 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)CCc1ccccc1)c1ccccc1 ZINC000237096903 333377722 /nfs/dbraw/zinc/37/77/22/333377722.db2.gz VSJCMHAGFLROBR-YJBOKZPZSA-N 1 2 297.398 3.512 20 0 CHADLO Cc1ccc(C[N@H+](CC(=O)OC(C)(C)C)C2CC2)cc1C ZINC000524894493 333392656 /nfs/dbraw/zinc/39/26/56/333392656.db2.gz MMSKXHRNPCBWNG-UHFFFAOYSA-N 1 2 289.419 3.610 20 0 CHADLO Cc1ccc(C[N@@H+](CC(=O)OC(C)(C)C)C2CC2)cc1C ZINC000524894493 333392657 /nfs/dbraw/zinc/39/26/57/333392657.db2.gz MMSKXHRNPCBWNG-UHFFFAOYSA-N 1 2 289.419 3.610 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nc(C)no1)c1cc(C)ccc1C ZINC000528800705 333458849 /nfs/dbraw/zinc/45/88/49/333458849.db2.gz LOBOVCOHCXPUAO-SWLSCSKDSA-N 1 2 273.380 3.797 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1noc(C)n1)c1cc(C)ccc1C ZINC000528801856 333459036 /nfs/dbraw/zinc/45/90/36/333459036.db2.gz XOQXIQPWXNLYFN-SWLSCSKDSA-N 1 2 273.380 3.797 20 0 CHADLO Fc1ccc(F)c(C[NH+]2C3CCC2CC3)c1Cl ZINC000529520811 333492807 /nfs/dbraw/zinc/49/28/07/333492807.db2.gz MTKBNSWWKPTLIU-UHFFFAOYSA-N 1 2 257.711 3.745 20 0 CHADLO CCc1cccc(NCc2c(C)[nH+]c3ccccn32)c1 ZINC000037214361 333515687 /nfs/dbraw/zinc/51/56/87/333515687.db2.gz MPKRIDWSHUMWFO-UHFFFAOYSA-N 1 2 265.360 3.817 20 0 CHADLO CCOC(=O)[C@H]([NH2+]C1CCCCCC1)c1ccccc1 ZINC000037853325 333541103 /nfs/dbraw/zinc/54/11/03/333541103.db2.gz ZZZOTGSIRHVPQI-MRXNPFEDSA-N 1 2 275.392 3.603 20 0 CHADLO C[C@H]1CC[C@H](CC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000126622713 333601086 /nfs/dbraw/zinc/60/10/86/333601086.db2.gz UPGGGNWSESCYLG-STQMWFEESA-N 1 2 283.375 3.842 20 0 CHADLO Cc1ccsc1C[NH2+][C@H](C(=O)OC(C)(C)C)C(C)C ZINC000539524150 333676426 /nfs/dbraw/zinc/67/64/26/333676426.db2.gz YWPQWFVJRWOETE-ZDUSSCGKSA-N 1 2 283.437 3.512 20 0 CHADLO CCn1ccnc1C[NH2+]C1c2ccccc2-c2ccccc21 ZINC000340408224 335154830 /nfs/dbraw/zinc/15/48/30/335154830.db2.gz HOOVUWBLDWRVTI-UHFFFAOYSA-N 1 2 289.382 3.763 20 0 CHADLO Cc1cc(C(=O)Nc2cc[nH+]cc2C)c(Cl)cc1F ZINC000128891114 333723620 /nfs/dbraw/zinc/72/36/20/333723620.db2.gz SEUUHCDAJKPBSE-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+]Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000174455744 335156070 /nfs/dbraw/zinc/15/60/70/335156070.db2.gz MTBXBSXZRYFXLO-UKRRQHHQSA-N 1 2 287.407 3.545 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+]Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000174455753 335156137 /nfs/dbraw/zinc/15/61/37/335156137.db2.gz MTBXBSXZRYFXLO-DZGCQCFKSA-N 1 2 287.407 3.545 20 0 CHADLO CC(C)(C)c1noc(C[NH2+][C@]2(C)CCCc3ccccc32)n1 ZINC000540906898 333752611 /nfs/dbraw/zinc/75/26/11/333752611.db2.gz ZFIZNTNZAMIVRD-GOSISDBHSA-N 1 2 299.418 3.708 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@@H](C)c2cncnc2C)on1 ZINC000576121886 335157795 /nfs/dbraw/zinc/15/77/95/335157795.db2.gz PRGGEPNHJDQHND-NSHDSACASA-N 1 2 288.395 3.527 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cc3ccccc3o2)o1 ZINC000230630625 333838169 /nfs/dbraw/zinc/83/81/69/333838169.db2.gz CSHUMDVLOHZVDE-NSHDSACASA-N 1 2 270.332 3.834 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)C1=CCCCCC1 ZINC000130690179 333867757 /nfs/dbraw/zinc/86/77/57/333867757.db2.gz YMOPRJQBLIAQKZ-UHFFFAOYSA-N 1 2 281.359 3.906 20 0 CHADLO CCc1cc(C[NH2+][C@@H](c2ccco2)c2ccccc2)on1 ZINC000543403076 333871863 /nfs/dbraw/zinc/87/18/63/333871863.db2.gz RJCKIGAMVLDLNO-QGZVFWFLSA-N 1 2 282.343 3.709 20 0 CHADLO c1nc(C[NH2+]Cc2ccc(-c3ccccc3)cc2)co1 ZINC000341232621 335166863 /nfs/dbraw/zinc/16/68/63/335166863.db2.gz XTXSUZPJYORDCB-UHFFFAOYSA-N 1 2 264.328 3.631 20 0 CHADLO COc1ccc(SCc2cn3c(cccc3C)[nH+]2)cc1 ZINC000074268070 334000597 /nfs/dbraw/zinc/00/05/97/334000597.db2.gz JBBOAGCCOINGKX-UHFFFAOYSA-N 1 2 284.384 3.944 20 0 CHADLO CSCCCOc1cc(C)[nH+]c2c(C)cccc12 ZINC000546180159 334018768 /nfs/dbraw/zinc/01/87/68/334018768.db2.gz MWQWXVSHUKPFOW-UHFFFAOYSA-N 1 2 261.390 3.984 20 0 CHADLO COC[C@H]([NH2+]Cc1cnc(C(C)C)s1)c1ccc(C)o1 ZINC000133216943 334028122 /nfs/dbraw/zinc/02/81/22/334028122.db2.gz OGEMUZGIWZGQQU-ZDUSSCGKSA-N 1 2 294.420 3.645 20 0 CHADLO CC1CCC(c2noc(Cc3cn4ccccc4[nH+]3)n2)CC1 ZINC000546350111 334028861 /nfs/dbraw/zinc/02/88/61/334028861.db2.gz ILBDUDDFJKHLLB-UHFFFAOYSA-N 1 2 296.374 3.602 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1nc(-c2ccccc2)c[nH]1 ZINC000133614257 334047696 /nfs/dbraw/zinc/04/76/96/334047696.db2.gz IEVJOKYTLMYUDD-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1nc(-c2ccccc2)c[nH]1 ZINC000133614257 334047697 /nfs/dbraw/zinc/04/76/97/334047697.db2.gz IEVJOKYTLMYUDD-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@@H]1CCC[C@H](c2noc(Cc3cn4ccccc4[nH+]3)n2)C1 ZINC000547056397 334064199 /nfs/dbraw/zinc/06/41/99/334064199.db2.gz IQKRYXHDBKRSIM-OLZOCXBDSA-N 1 2 296.374 3.602 20 0 CHADLO c1cn(-c2cccc(-c3noc(CCC4CCC4)n3)c2)c[nH+]1 ZINC000547111386 334067262 /nfs/dbraw/zinc/06/72/62/334067262.db2.gz PRIHYQHEDKSIJV-UHFFFAOYSA-N 1 2 294.358 3.655 20 0 CHADLO C[C@@H]1C[C@@H]1c1noc(/C=C\c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000547182693 334071260 /nfs/dbraw/zinc/07/12/60/334071260.db2.gz ZVUOOVLGMDEYNI-PLCSAJQBSA-N 1 2 292.342 3.549 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]CCCCCn1cccn1)C(F)(F)F ZINC000547336480 334080644 /nfs/dbraw/zinc/08/06/44/334080644.db2.gz ZZQXLCYAYQTDAV-GFCCVEGCSA-N 1 2 291.361 3.620 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2ccc(F)cc21)c1cccc(F)c1F ZINC000547356972 334083188 /nfs/dbraw/zinc/08/31/88/334083188.db2.gz RDOWWUSXNHIQSS-XPTSAGLGSA-N 1 2 293.288 3.888 20 0 CHADLO C[C@H]1CCC[N@H+](CC[C@@H]2CCOC2)c2ccccc21 ZINC000564325208 334101564 /nfs/dbraw/zinc/10/15/64/334101564.db2.gz LAWAWQXFKXWUEW-LSDHHAIUSA-N 1 2 259.393 3.817 20 0 CHADLO C[C@H]1CCC[N@@H+](CC[C@@H]2CCOC2)c2ccccc21 ZINC000564325208 334101565 /nfs/dbraw/zinc/10/15/65/334101565.db2.gz LAWAWQXFKXWUEW-LSDHHAIUSA-N 1 2 259.393 3.817 20 0 CHADLO c1cc([C@H]2CCCN2c2[nH]c3ccccc3[nH+]2)cs1 ZINC000134884748 334107179 /nfs/dbraw/zinc/10/71/79/334107179.db2.gz ZBRRRNKZFXXUIB-CQSZACIVSA-N 1 2 269.373 3.966 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1Cc1nc2ccccc2[nH]1 ZINC000547830035 334124456 /nfs/dbraw/zinc/12/44/56/334124456.db2.gz ZYNBAKGASCERHC-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1Cc1nc2ccccc2[nH]1 ZINC000547830035 334124458 /nfs/dbraw/zinc/12/44/58/334124458.db2.gz ZYNBAKGASCERHC-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO CCc1ccccc1NCc1cn2cccc(C)c2[nH+]1 ZINC000078515055 334135087 /nfs/dbraw/zinc/13/50/87/334135087.db2.gz SUZHTVCTNNNCEA-UHFFFAOYSA-N 1 2 265.360 3.817 20 0 CHADLO CC(C)[C@@]1(C)C[C@@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000548161927 334144162 /nfs/dbraw/zinc/14/41/62/334144162.db2.gz SNOSDDDBWMILHD-RHSMWYFYSA-N 1 2 283.375 3.697 20 0 CHADLO CCC1(CC)CCN(C(=O)Nc2cc(C)[nH+]cc2C)CC1 ZINC000548271932 334148067 /nfs/dbraw/zinc/14/80/67/334148067.db2.gz PNXJECZYDAHFBU-UHFFFAOYSA-N 1 2 289.423 3.554 20 0 CHADLO CCCCC[N@@H+]1CCO[C@H](c2ccc(F)cc2F)C1 ZINC000548628179 334164255 /nfs/dbraw/zinc/16/42/55/334164255.db2.gz OVYNGARULXPILE-HNNXBMFYSA-N 1 2 269.335 3.528 20 0 CHADLO CCCCC[N@H+]1CCO[C@H](c2ccc(F)cc2F)C1 ZINC000548628179 334164256 /nfs/dbraw/zinc/16/42/56/334164256.db2.gz OVYNGARULXPILE-HNNXBMFYSA-N 1 2 269.335 3.528 20 0 CHADLO COC(=O)c1ccc(C[N@@H+]2Cc3ccccc3[C@@H]2C)c(F)c1 ZINC000548752198 334169697 /nfs/dbraw/zinc/16/96/97/334169697.db2.gz NVMYEWMMZNWBOI-LBPRGKRZSA-N 1 2 299.345 3.689 20 0 CHADLO COC(=O)c1ccc(C[N@H+]2Cc3ccccc3[C@@H]2C)c(F)c1 ZINC000548752198 334169698 /nfs/dbraw/zinc/16/96/98/334169698.db2.gz NVMYEWMMZNWBOI-LBPRGKRZSA-N 1 2 299.345 3.689 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2ccc3ccccc3c2)n1 ZINC000548770373 334173474 /nfs/dbraw/zinc/17/34/74/334173474.db2.gz KAYRGDGSFJMIOB-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)n1 ZINC000548770373 334173476 /nfs/dbraw/zinc/17/34/76/334173476.db2.gz KAYRGDGSFJMIOB-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1ccc2[nH+]c(CN3C[C@H](C)c4ccccc43)cn2c1 ZINC000548961483 334181415 /nfs/dbraw/zinc/18/14/15/334181415.db2.gz ZXLSWFIWWVQYGI-AWEZNQCLSA-N 1 2 277.371 3.766 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1c2ccccc2sc1=O ZINC000549057009 334184178 /nfs/dbraw/zinc/18/41/78/334184178.db2.gz OFWNLBVVLJRQJQ-UHFFFAOYSA-N 1 2 298.411 3.938 20 0 CHADLO FC(F)O[C@H]1CC[N@H+](Cc2c(Cl)cccc2Cl)C1 ZINC000549080704 334184935 /nfs/dbraw/zinc/18/49/35/334184935.db2.gz CTCAHFCINBQNKL-QMMMGPOBSA-N 1 2 296.144 3.807 20 0 CHADLO FC(F)O[C@H]1CC[N@@H+](Cc2c(Cl)cccc2Cl)C1 ZINC000549080704 334184936 /nfs/dbraw/zinc/18/49/36/334184936.db2.gz CTCAHFCINBQNKL-QMMMGPOBSA-N 1 2 296.144 3.807 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1ccncc1Cl ZINC000081671596 334203123 /nfs/dbraw/zinc/20/31/23/334203123.db2.gz NWQQEJADCCXKQI-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1ccncc1Cl ZINC000081671596 334203124 /nfs/dbraw/zinc/20/31/24/334203124.db2.gz NWQQEJADCCXKQI-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@H]1CC2CCC1CC2 ZINC000550326416 334220240 /nfs/dbraw/zinc/22/02/40/334220240.db2.gz HLEZIEBAVMBQOH-SEEARECTSA-N 1 2 295.386 3.842 20 0 CHADLO CCCc1noc(C[N@@H+]2Cc3cc(C)ccc3CC2(C)C)n1 ZINC000550382688 334221505 /nfs/dbraw/zinc/22/15/05/334221505.db2.gz RWXRPARNFMZYLB-UHFFFAOYSA-N 1 2 299.418 3.667 20 0 CHADLO CCCc1noc(C[N@H+]2Cc3cc(C)ccc3CC2(C)C)n1 ZINC000550382688 334221506 /nfs/dbraw/zinc/22/15/06/334221506.db2.gz RWXRPARNFMZYLB-UHFFFAOYSA-N 1 2 299.418 3.667 20 0 CHADLO C[C@@H]1CCc2c(F)cccc2[C@@H]1[NH2+]CC(C)(F)F ZINC000550857873 334230455 /nfs/dbraw/zinc/23/04/55/334230455.db2.gz DVSUMPKKKGCBSY-NOZJJQNGSA-N 1 2 257.299 3.694 20 0 CHADLO Fc1ccc(C2=CC[C@@H](Nc3c[nH]c[nH+]3)CC2)cc1 ZINC000551329667 334247109 /nfs/dbraw/zinc/24/71/09/334247109.db2.gz QMVOGHATVDEUTC-CQSZACIVSA-N 1 2 257.312 3.597 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2ccc(Cl)cn2)CC1 ZINC000551405545 334249557 /nfs/dbraw/zinc/24/95/57/334249557.db2.gz SKRMEMNKRYMICW-UHFFFAOYSA-N 1 2 292.732 3.899 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000246505130 334260628 /nfs/dbraw/zinc/26/06/28/334260628.db2.gz HUOJVPKRLGHXPI-JYAVWHMHSA-N 1 2 288.391 3.634 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCC[C@H]3CCC[C@H]32)n1 ZINC000246581051 334264613 /nfs/dbraw/zinc/26/46/13/334264613.db2.gz GBYIYNDMHWQBCO-NXEZZACHSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCC[C@H]3CCC[C@H]32)n1 ZINC000246581051 334264614 /nfs/dbraw/zinc/26/46/14/334264614.db2.gz GBYIYNDMHWQBCO-NXEZZACHSA-N 1 2 290.354 3.926 20 0 CHADLO CC[C@H](NC(=O)c1cc2sccc2s1)c1[nH]cc[nH+]1 ZINC000140585373 334281140 /nfs/dbraw/zinc/28/11/40/334281140.db2.gz LQOVYYCDCRZOOJ-QMMMGPOBSA-N 1 2 291.401 3.567 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cc2F)[C@@H]1c1ccncc1 ZINC000552068861 334285255 /nfs/dbraw/zinc/28/52/55/334285255.db2.gz QIRATPPPXNQKPR-MRXNPFEDSA-N 1 2 288.341 3.943 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cc2F)[C@@H]1c1ccncc1 ZINC000552068861 334285256 /nfs/dbraw/zinc/28/52/56/334285256.db2.gz QIRATPPPXNQKPR-MRXNPFEDSA-N 1 2 288.341 3.943 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cc2c(ccc(C)c2C)[nH]1 ZINC000552120188 334291341 /nfs/dbraw/zinc/29/13/41/334291341.db2.gz ZEFMQIRBDKWFPQ-UHFFFAOYSA-N 1 2 297.402 3.967 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cc2c(ccc(C)c2C)[nH]1 ZINC000552120188 334291342 /nfs/dbraw/zinc/29/13/42/334291342.db2.gz ZEFMQIRBDKWFPQ-UHFFFAOYSA-N 1 2 297.402 3.967 20 0 CHADLO CCC1(CC)C[N@@H+]([C@H](C)c2ccc(F)cc2)CC[S@]1=O ZINC000249001186 334324462 /nfs/dbraw/zinc/32/44/62/334324462.db2.gz NBEZVIZRQIPPOQ-ZUOKHONESA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@H](C)c2ccc(F)cc2)CC[S@]1=O ZINC000249001186 334324463 /nfs/dbraw/zinc/32/44/63/334324463.db2.gz NBEZVIZRQIPPOQ-ZUOKHONESA-N 1 2 297.439 3.510 20 0 CHADLO CC(C)(C)CCCCC(=O)NCCCNc1cccc[nH+]1 ZINC000553028062 334357682 /nfs/dbraw/zinc/35/76/82/334357682.db2.gz ZIRQIIVKKMEYAK-UHFFFAOYSA-N 1 2 291.439 3.606 20 0 CHADLO CCc1cc(OCc2c(C)noc2C)c2ccccc2[nH+]1 ZINC000090368527 334359972 /nfs/dbraw/zinc/35/99/72/334359972.db2.gz KVSCUFDHFNFQLF-UHFFFAOYSA-N 1 2 282.343 3.981 20 0 CHADLO FC1(F)CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000553182621 334367325 /nfs/dbraw/zinc/36/73/25/334367325.db2.gz MUXLOVHQRLBIKD-UHFFFAOYSA-N 1 2 268.694 3.734 20 0 CHADLO CC(C)[C@@](C)(O)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000553388866 334381944 /nfs/dbraw/zinc/38/19/44/334381944.db2.gz JUYISSKUTAZIMB-HNNXBMFYSA-N 1 2 278.783 3.707 20 0 CHADLO COc1cc[nH+]cc1CSCCCc1ccccc1 ZINC000553767682 334398204 /nfs/dbraw/zinc/39/82/04/334398204.db2.gz HVTUHVAIUIHALC-UHFFFAOYSA-N 1 2 273.401 3.956 20 0 CHADLO CCCC[C@H](COC)[NH2+]CC(F)(F)c1ccccc1 ZINC000564433275 334508216 /nfs/dbraw/zinc/50/82/16/334508216.db2.gz AZAUXNMMGQOWNT-CQSZACIVSA-N 1 2 271.351 3.573 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@@H](C)c2cc(F)c(F)c(F)c2)n1 ZINC000564746788 334518953 /nfs/dbraw/zinc/51/89/53/334518953.db2.gz UDYIDMIPJLCOJC-JTQLQIEISA-N 1 2 297.324 3.732 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2nnsc2Cl)cc1 ZINC000566599703 334657039 /nfs/dbraw/zinc/65/70/39/334657039.db2.gz WNVMYOVBMFSNMV-MRVPVSSYSA-N 1 2 299.852 3.764 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2c(C)nsc2C)cs1 ZINC000566716874 334661400 /nfs/dbraw/zinc/66/14/00/334661400.db2.gz NBOLWRZNSYVQPT-VIFPVBQESA-N 1 2 281.450 3.630 20 0 CHADLO CCC[NH+](CCC)Cc1noc(C2CCCCC2)n1 ZINC000156108173 334734812 /nfs/dbraw/zinc/73/48/12/334734812.db2.gz IPANFYBTKVDKLP-UHFFFAOYSA-N 1 2 265.401 3.739 20 0 CHADLO COc1ccsc1[C@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000567628105 334742189 /nfs/dbraw/zinc/74/21/89/334742189.db2.gz JTUVQLDTIVBXBF-IUCAKERBSA-N 1 2 268.407 3.625 20 0 CHADLO CCCN(CC1CCCCC1)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000568014068 334784253 /nfs/dbraw/zinc/78/42/53/334784253.db2.gz UBTINFLHSJSCLE-HNNXBMFYSA-N 1 2 291.439 3.653 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000568273942 334811474 /nfs/dbraw/zinc/81/14/74/334811474.db2.gz OLQCLIDDBVLBIW-HNNXBMFYSA-N 1 2 299.418 3.723 20 0 CHADLO CC1(CNc2ccc(-c3ccccc3)c[nH+]2)CCOCC1 ZINC000158756483 334813502 /nfs/dbraw/zinc/81/35/02/334813502.db2.gz MCYJLMZGDHPOOT-UHFFFAOYSA-N 1 2 282.387 3.977 20 0 CHADLO CC(C)[C@H]1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)c2ccccc21 ZINC000568396506 334822624 /nfs/dbraw/zinc/82/26/24/334822624.db2.gz KUBPAIPNCAXQSJ-GDBMZVCRSA-N 1 2 297.402 3.621 20 0 CHADLO CCC[C@H]([NH2+]Cc1nccn1CC(C)C)c1ccccn1 ZINC000159213670 334854681 /nfs/dbraw/zinc/85/46/81/334854681.db2.gz VSTVAIULYQZTRR-HNNXBMFYSA-N 1 2 286.423 3.565 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)Cc2cccc(O)c2)c(F)c1 ZINC000576340843 335191422 /nfs/dbraw/zinc/19/14/22/335191422.db2.gz NYRNENPYTSRKAK-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)Cc2cccc(O)c2)c(F)c1 ZINC000576340843 335191423 /nfs/dbraw/zinc/19/14/23/335191423.db2.gz NYRNENPYTSRKAK-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(Cl)ccc2F)[C@H]1[C@@H]1CCCO1 ZINC000576387791 335197135 /nfs/dbraw/zinc/19/71/35/335197135.db2.gz UIQWAZHXKQVFRP-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(Cl)ccc2F)[C@H]1[C@@H]1CCCO1 ZINC000576387791 335197137 /nfs/dbraw/zinc/19/71/37/335197137.db2.gz UIQWAZHXKQVFRP-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CC3CCC2CC3)c(C)[nH+]1 ZINC000576592984 335223842 /nfs/dbraw/zinc/22/38/42/335223842.db2.gz BKNBKMHJLWWLAS-NRXISQOPSA-N 1 2 287.407 3.707 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@@H](C)c2cscn2)o1 ZINC000580572075 335285905 /nfs/dbraw/zinc/28/59/05/335285905.db2.gz MMVCBHBWALTYGS-UWVGGRQHSA-N 1 2 250.367 3.710 20 0 CHADLO COc1ccccc1[C@H]([NH2+]Cc1ncccn1)C1CCCC1 ZINC000580582807 335290991 /nfs/dbraw/zinc/29/09/91/335290991.db2.gz QLGPSWXIYZVHCB-GOSISDBHSA-N 1 2 297.402 3.506 20 0 CHADLO Cc1ccc(NC(=O)c2ccc3c(c2)CC(C)(C)O3)c(C)[nH+]1 ZINC000577112695 335302137 /nfs/dbraw/zinc/30/21/37/335302137.db2.gz ZTFCHPUVQXVESJ-UHFFFAOYSA-N 1 2 296.370 3.664 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCC[C@H]2c2ccccc2)c(C)c[nH+]1 ZINC000577147855 335308864 /nfs/dbraw/zinc/30/88/64/335308864.db2.gz DWLKVQOYWKGRIE-DLBZAZTESA-N 1 2 294.398 3.643 20 0 CHADLO CC(C)[N@H+](Cc1nnc(C2CC2)o1)[C@H](C)c1ccsc1 ZINC000577169759 335313790 /nfs/dbraw/zinc/31/37/90/335313790.db2.gz LTVXJGGSTWPUPX-LLVKDONJSA-N 1 2 291.420 3.980 20 0 CHADLO CC(C)[N@@H+](Cc1nnc(C2CC2)o1)[C@H](C)c1ccsc1 ZINC000577169759 335313791 /nfs/dbraw/zinc/31/37/91/335313791.db2.gz LTVXJGGSTWPUPX-LLVKDONJSA-N 1 2 291.420 3.980 20 0 CHADLO Cc1ccccc1C[C@H](C)[N@@H+](C)Cc1noc(C(C)C)n1 ZINC000577180622 335318132 /nfs/dbraw/zinc/31/81/32/335318132.db2.gz RLFCMTMULFRBBX-AWEZNQCLSA-N 1 2 287.407 3.564 20 0 CHADLO Cc1ccccc1C[C@H](C)[N@H+](C)Cc1noc(C(C)C)n1 ZINC000577180622 335318133 /nfs/dbraw/zinc/31/81/33/335318133.db2.gz RLFCMTMULFRBBX-AWEZNQCLSA-N 1 2 287.407 3.564 20 0 CHADLO CCc1oc(C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1C ZINC000177811141 335403057 /nfs/dbraw/zinc/40/30/57/335403057.db2.gz MLQPRCZSFUNBGI-UHFFFAOYSA-N 1 2 272.348 3.723 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)c1ccsc1)C(=O)OC(C)(C)C ZINC000179924803 335532506 /nfs/dbraw/zinc/53/25/06/335532506.db2.gz JQXFUGHSVVEVOE-WCQYABFASA-N 1 2 283.437 3.765 20 0 CHADLO COc1cc[nH+]cc1NC(=O)[C@H](C)CCCc1ccccc1 ZINC000180127030 335553080 /nfs/dbraw/zinc/55/30/80/335553080.db2.gz NVYAXPMDKWEISH-CQSZACIVSA-N 1 2 298.386 3.688 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C(F)=C2CCCC2)n1 ZINC000351236894 335585981 /nfs/dbraw/zinc/58/59/81/335585981.db2.gz QWRGQATXWQQANF-UHFFFAOYSA-N 1 2 273.311 3.618 20 0 CHADLO CC(C)[C@@H]1CC[C@@H]1Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000578400978 335627490 /nfs/dbraw/zinc/62/74/90/335627490.db2.gz UWGIRKFDDWSJDO-HOTGVXAUSA-N 1 2 269.392 3.934 20 0 CHADLO CCCS(=O)(=O)Nc1ccc([NH2+][C@H](C)[C@@H](C)CC)cc1 ZINC000181147921 335752303 /nfs/dbraw/zinc/75/23/03/335752303.db2.gz YMABJMNMADTUDK-QWHCGFSZSA-N 1 2 298.452 3.685 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+][C@H](C)C1CC1 ZINC000183017531 335856323 /nfs/dbraw/zinc/85/63/23/335856323.db2.gz GEJRDTXPQTYKHG-CYBMUJFWSA-N 1 2 276.449 3.759 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2cccc(CO)c2)c[nH+]1 ZINC000183163193 335867485 /nfs/dbraw/zinc/86/74/85/335867485.db2.gz QFSAAGHMHQCESY-CQSZACIVSA-N 1 2 299.418 3.593 20 0 CHADLO C[C@H]([NH2+]CCOC(C)(C)C)c1nc(C2CCCCC2)no1 ZINC000185226921 335948875 /nfs/dbraw/zinc/94/88/75/335948875.db2.gz GTFVYXJEWDDDGW-LBPRGKRZSA-N 1 2 295.427 3.583 20 0 CHADLO Cc1ccc(C)c([C@@H]([NH2+]Cc2cc[nH]n2)c2ccccc2)c1 ZINC000194294598 336014357 /nfs/dbraw/zinc/01/43/57/336014357.db2.gz WKYZHQUKJPIDEV-IBGZPJMESA-N 1 2 291.398 3.906 20 0 CHADLO CC(C)[C@@H]1CC[C@H]1Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000581317767 336047473 /nfs/dbraw/zinc/04/74/73/336047473.db2.gz DGNWPVRHVXDOBN-DZGCQCFKSA-N 1 2 273.355 3.858 20 0 CHADLO COC(=O)C[NH2+][C@H]1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000581384805 336066308 /nfs/dbraw/zinc/06/63/08/336066308.db2.gz NRFODNVLWJTQGY-GJZGRUSLSA-N 1 2 295.810 3.519 20 0 CHADLO CCOc1cc(C)ccc1[NH2+][C@@H](CC)[C@@H]1CCOC1 ZINC000381686722 336070742 /nfs/dbraw/zinc/07/07/42/336070742.db2.gz VHPBDUBUQZLVGA-KGLIPLIRSA-N 1 2 263.381 3.621 20 0 CHADLO CCOc1cc(C)ccc1[NH2+][C@H](CC)[C@H]1CCOC1 ZINC000381686723 336070875 /nfs/dbraw/zinc/07/08/75/336070875.db2.gz VHPBDUBUQZLVGA-UONOGXRCSA-N 1 2 263.381 3.621 20 0 CHADLO Cc1c[nH+]c(CCSC[C@H]2CCCCO2)c(C)c1 ZINC000581429616 336076077 /nfs/dbraw/zinc/07/60/77/336076077.db2.gz TUMORAFSVAEPDK-CQSZACIVSA-N 1 2 265.422 3.543 20 0 CHADLO COc1cc(C)ccc1[NH2+]CCCOc1ccccc1 ZINC000383734803 336117272 /nfs/dbraw/zinc/11/72/72/336117272.db2.gz MRFLYFXZSCSSHI-UHFFFAOYSA-N 1 2 271.360 3.885 20 0 CHADLO CCc1ccc([C@H]2CCCN(c3cc(C)[nH+]cn3)C2)cc1 ZINC000581684028 336128101 /nfs/dbraw/zinc/12/81/01/336128101.db2.gz RXYJIEBVJRFIFM-KRWDZBQOSA-N 1 2 281.403 3.731 20 0 CHADLO CC[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCCO1 ZINC000581683659 336128130 /nfs/dbraw/zinc/12/81/30/336128130.db2.gz ATNGJDQYPQVHMF-LBPRGKRZSA-N 1 2 290.794 3.894 20 0 CHADLO CCc1ccc([C@@H]2CCCN(c3cc(C)[nH+]cn3)C2)cc1 ZINC000581684029 336128187 /nfs/dbraw/zinc/12/81/87/336128187.db2.gz RXYJIEBVJRFIFM-QGZVFWFLSA-N 1 2 281.403 3.731 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000581833190 336149640 /nfs/dbraw/zinc/14/96/40/336149640.db2.gz FXGWYDGQWQALST-CYBMUJFWSA-N 1 2 285.391 3.659 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000581833190 336149642 /nfs/dbraw/zinc/14/96/42/336149642.db2.gz FXGWYDGQWQALST-CYBMUJFWSA-N 1 2 285.391 3.659 20 0 CHADLO c1csc([C@H]([NH2+]CC2CCCCCC2)c2nnc[nH]2)c1 ZINC000581926404 336169794 /nfs/dbraw/zinc/16/97/94/336169794.db2.gz XPGRZIBIKZOHEQ-AWEZNQCLSA-N 1 2 290.436 3.516 20 0 CHADLO CCC[C@H](CC)[S@](=O)Cc1cn2cc(Cl)ccc2[nH+]1 ZINC000280109871 521088028 /nfs/dbraw/zinc/08/80/28/521088028.db2.gz YLZKQFHPEXNKJT-ORAYPTAESA-N 1 2 298.839 3.815 20 0 CHADLO C[C@@H]1C[C@H](C)CN1C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000336183568 533805824 /nfs/dbraw/zinc/80/58/24/533805824.db2.gz KYQCGQLQVMELFL-UONOGXRCSA-N 1 2 296.370 3.744 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1nccs1)c1cccc(Cl)c1 ZINC000289834719 522373566 /nfs/dbraw/zinc/37/35/66/522373566.db2.gz APESWHVSZGTNMD-GXFFZTMASA-N 1 2 296.823 3.835 20 0 CHADLO Cc1cc(N2CCc3cccc(F)c3C2)nc(C(C)C)[nH+]1 ZINC000301969586 533911138 /nfs/dbraw/zinc/91/11/38/533911138.db2.gz QPXOXFQTSZGKJF-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO COc1ccc(F)c(NCCCc2ccc(C)[nH+]c2)c1 ZINC000353811193 533916584 /nfs/dbraw/zinc/91/65/84/533916584.db2.gz CMVCSXFNOUVAKJ-UHFFFAOYSA-N 1 2 274.339 3.582 20 0 CHADLO Cc1ccc(CN2CC[C@H](C(N)=O)c3ccccc32)c(C)[nH+]1 ZINC000334708910 533949156 /nfs/dbraw/zinc/94/91/56/533949156.db2.gz NOCWQBKKEHPNFK-INIZCTEOSA-N 1 2 295.386 3.728 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nccn1C)C1CC1)c1cc(F)ccc1F ZINC000353844328 533980945 /nfs/dbraw/zinc/98/09/45/533980945.db2.gz KBRZCCPXIBOVFM-ZUZCIYMTSA-N 1 2 291.345 3.500 20 0 CHADLO C[C@@H]1CCN(c2[nH+]ccc3ccc(F)cc32)C[C@@H]1C ZINC000354872360 534061742 /nfs/dbraw/zinc/06/17/42/534061742.db2.gz AALWIAXOCXQNIU-NEPJUHHUSA-N 1 2 258.340 3.856 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@@H](C)[C@@H]2C)c(C)[nH+]1 ZINC000334717567 534074687 /nfs/dbraw/zinc/07/46/87/534074687.db2.gz LRLHEVYKFVQRNB-YGRLFVJLSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cc2cc(C[N@@H+](C)Cc3ccon3)oc2cc1C ZINC000353830668 534086096 /nfs/dbraw/zinc/08/60/96/534086096.db2.gz USCDZVXQGOKQNF-UHFFFAOYSA-N 1 2 270.332 3.670 20 0 CHADLO Cc1cc2cc(C[N@H+](C)Cc3ccon3)oc2cc1C ZINC000353830668 534086103 /nfs/dbraw/zinc/08/61/03/534086103.db2.gz USCDZVXQGOKQNF-UHFFFAOYSA-N 1 2 270.332 3.670 20 0 CHADLO FC(F)(F)C1=CC[N@H+](Cc2nc3ccccc3o2)CC1 ZINC000335932135 534377632 /nfs/dbraw/zinc/37/76/32/534377632.db2.gz ILDKHYPELJUSSE-UHFFFAOYSA-N 1 2 282.265 3.522 20 0 CHADLO FC(F)(F)C1=CC[N@@H+](Cc2nc3ccccc3o2)CC1 ZINC000335932135 534377641 /nfs/dbraw/zinc/37/76/41/534377641.db2.gz ILDKHYPELJUSSE-UHFFFAOYSA-N 1 2 282.265 3.522 20 0 CHADLO CC(C)(C)SCCSCCCn1cc[nH+]c1 ZINC000278392382 518912435 /nfs/dbraw/zinc/91/24/35/518912435.db2.gz RZNHWGDUCSWKHR-UHFFFAOYSA-N 1 2 258.456 3.538 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@H](c2ccccc2)C2CCC2)n1 ZINC000104698208 519477079 /nfs/dbraw/zinc/47/70/79/519477079.db2.gz ZTEKQEDUBCIDQN-GOSISDBHSA-N 1 2 299.418 3.899 20 0 CHADLO CC(C)CCC[S@@](=O)Cc1[nH+]ccn1-c1ccccc1 ZINC000277582978 519648449 /nfs/dbraw/zinc/64/84/49/519648449.db2.gz UVDSCWIZGJINAM-HXUWFJFHSA-N 1 2 290.432 3.557 20 0 CHADLO CC(C)[N@@H+](Cc1cc(C(C)(C)C)on1)Cc1ccco1 ZINC000290063641 519653050 /nfs/dbraw/zinc/65/30/50/519653050.db2.gz STDJBOXCWMZQFR-UHFFFAOYSA-N 1 2 276.380 3.976 20 0 CHADLO CC(C)[N@H+](Cc1cc(C(C)(C)C)on1)Cc1ccco1 ZINC000290063641 519653053 /nfs/dbraw/zinc/65/30/53/519653053.db2.gz STDJBOXCWMZQFR-UHFFFAOYSA-N 1 2 276.380 3.976 20 0 CHADLO CC(C)CN(C(=O)[C@@H]1CCCc2[nH+]c[nH]c21)c1ccccc1 ZINC000367874487 519706686 /nfs/dbraw/zinc/70/66/86/519706686.db2.gz OABFEVQOIGLPTA-OAHLLOKOSA-N 1 2 297.402 3.519 20 0 CHADLO CC(C)O[C@H](CSCCn1cc[nH+]c1)c1ccccc1 ZINC000292117302 519777249 /nfs/dbraw/zinc/77/72/49/519777249.db2.gz RAITVOICDHBWDJ-MRXNPFEDSA-N 1 2 290.432 3.783 20 0 CHADLO CC(C)[C@H](Nc1cc[nH+]c(C2CC2)n1)c1ccc(F)cc1 ZINC000336899289 519817879 /nfs/dbraw/zinc/81/78/79/519817879.db2.gz ASQQTOMMOSVUAZ-INIZCTEOSA-N 1 2 285.366 3.724 20 0 CHADLO CC(C)c1ccc(C(=O)N[C@@H](c2[nH]cc[nH+]2)C(C)C)cc1 ZINC000276650061 519835187 /nfs/dbraw/zinc/83/51/87/519835187.db2.gz RWPPMFLZVKANGV-OAHLLOKOSA-N 1 2 285.391 3.660 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+]Cc2cccc(O)c2)cs1 ZINC000076900170 519867596 /nfs/dbraw/zinc/86/75/96/519867596.db2.gz FBRRKGSAPWAOBO-NSHDSACASA-N 1 2 276.405 3.823 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccc(F)cc1Cl)CC2 ZINC000351963567 534453514 /nfs/dbraw/zinc/45/35/14/534453514.db2.gz FTSSNBGJFAORMS-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccc(F)cc1Cl)CC2 ZINC000351963567 534453522 /nfs/dbraw/zinc/45/35/22/534453522.db2.gz FTSSNBGJFAORMS-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)OCC[N@@H+](Cc1ccc(Cl)s1)C2 ZINC000353154436 534454575 /nfs/dbraw/zinc/45/45/75/534454575.db2.gz MGYWRFOUMLRIPL-UHFFFAOYSA-N 1 2 295.791 3.502 20 0 CHADLO Oc1ccc2c(c1)OCC[N@H+](Cc1ccc(Cl)s1)C2 ZINC000353154436 534454584 /nfs/dbraw/zinc/45/45/84/534454584.db2.gz MGYWRFOUMLRIPL-UHFFFAOYSA-N 1 2 295.791 3.502 20 0 CHADLO CC(C)n1cnnc1C[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000292320718 520057218 /nfs/dbraw/zinc/05/72/18/520057218.db2.gz FIGLXDZZUJEYSH-GFCCVEGCSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1cnnc1C[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000292320718 520057229 /nfs/dbraw/zinc/05/72/29/520057229.db2.gz FIGLXDZZUJEYSH-GFCCVEGCSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1ncnc1C[N@H+](Cc1ccccc1)C(C)(C)C ZINC000280072120 520127553 /nfs/dbraw/zinc/12/75/53/520127553.db2.gz QOBAODDOGMHCDZ-UHFFFAOYSA-N 1 2 286.423 3.660 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](Cc1ccccc1)C(C)(C)C ZINC000280072120 520127558 /nfs/dbraw/zinc/12/75/58/520127558.db2.gz QOBAODDOGMHCDZ-UHFFFAOYSA-N 1 2 286.423 3.660 20 0 CHADLO CC(C)n1ncnc1C[NH2+][C@@H](C)c1ccc(F)c(Cl)c1 ZINC000279820850 520135568 /nfs/dbraw/zinc/13/55/68/520135568.db2.gz YOXASJHCIPWNAJ-JTQLQIEISA-N 1 2 296.777 3.502 20 0 CHADLO CC1(C)CCC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000279467824 520336983 /nfs/dbraw/zinc/33/69/83/520336983.db2.gz VBHNXVWRLXJRFY-UHFFFAOYSA-N 1 2 264.316 3.536 20 0 CHADLO CC1(C)CCC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000279467824 520336990 /nfs/dbraw/zinc/33/69/90/520336990.db2.gz VBHNXVWRLXJRFY-UHFFFAOYSA-N 1 2 264.316 3.536 20 0 CHADLO CCCCN(C)C(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000337911772 520395622 /nfs/dbraw/zinc/39/56/22/520395622.db2.gz YTGQLQKULFASBA-UHFFFAOYSA-N 1 2 284.359 3.746 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@H]2CC[C@@H](C)C2)[nH+]1 ZINC000336557450 534520637 /nfs/dbraw/zinc/52/06/37/534520637.db2.gz BQDFNPVMVPZWEK-YPMHNXCESA-N 1 2 283.375 3.760 20 0 CHADLO CCCCOc1ccc(NCc2[nH]cc[nH+]2)c(C)c1 ZINC000080195184 520890096 /nfs/dbraw/zinc/89/00/96/520890096.db2.gz GHPLEDRZLNTWSW-UHFFFAOYSA-N 1 2 259.353 3.509 20 0 CHADLO CCOc1ccc(CNc2cc[nH+]c(C(C)C)n2)cc1F ZINC000110586319 521011432 /nfs/dbraw/zinc/01/14/32/521011432.db2.gz DYTIAHNDLZVJMY-UHFFFAOYSA-N 1 2 289.354 3.750 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2nc3c(s2)CCC3)cs1 ZINC000355129427 534544964 /nfs/dbraw/zinc/54/49/64/534544964.db2.gz XSLIFWZACOULIC-BDAKNGLRSA-N 1 2 293.461 3.809 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](C)c2ccc(CC)cc2)no1 ZINC000097078812 521302309 /nfs/dbraw/zinc/30/23/09/521302309.db2.gz MDCLBGVCMUPEQZ-ZDUSSCGKSA-N 1 2 287.407 3.825 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1noc(-c2ccccc2)n1 ZINC000073020740 521410499 /nfs/dbraw/zinc/41/04/99/521410499.db2.gz JDIQDGXGKYPLOU-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1noc(-c2ccccc2)n1 ZINC000073020740 521410505 /nfs/dbraw/zinc/41/05/05/521410505.db2.gz JDIQDGXGKYPLOU-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CCCc1occc1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000336941470 521611163 /nfs/dbraw/zinc/61/11/63/521611163.db2.gz JSBVWQHSINNBGQ-UHFFFAOYSA-N 1 2 295.342 3.670 20 0 CHADLO CCC[N@H+](Cc1cnn(C)n1)[C@H](C)c1cc2ccccc2o1 ZINC000289715503 521691631 /nfs/dbraw/zinc/69/16/31/521691631.db2.gz GMURMYHSNADHIH-CYBMUJFWSA-N 1 2 298.390 3.535 20 0 CHADLO CCC[N@@H+](Cc1cnn(C)n1)[C@H](C)c1cc2ccccc2o1 ZINC000289715503 521691635 /nfs/dbraw/zinc/69/16/35/521691635.db2.gz GMURMYHSNADHIH-CYBMUJFWSA-N 1 2 298.390 3.535 20 0 CHADLO CCOCCCCNc1cc(C)[nH+]c2c(F)cccc12 ZINC000121654242 521703806 /nfs/dbraw/zinc/70/38/06/521703806.db2.gz UMHACFLACZRZPB-UHFFFAOYSA-N 1 2 276.355 3.911 20 0 CHADLO CCS[C@@H]1CCCC[C@H]1NC(=O)Nc1cc[nH+]c(C)c1 ZINC000119927828 521723919 /nfs/dbraw/zinc/72/39/19/521723919.db2.gz GKSOTOIALFJVDR-ZIAGYGMSSA-N 1 2 293.436 3.576 20 0 CHADLO CCS[C@H]1CCCC[C@H]1NC(=O)Nc1cc[nH+]c(C)c1 ZINC000119927923 521730956 /nfs/dbraw/zinc/73/09/56/521730956.db2.gz GKSOTOIALFJVDR-KGLIPLIRSA-N 1 2 293.436 3.576 20 0 CHADLO CCc1cccc2c1OCC[C@@H]2[NH2+][C@H](C)c1cc(C)on1 ZINC000290751089 521800434 /nfs/dbraw/zinc/80/04/34/521800434.db2.gz QSLDUBOAKJYWGU-DOMZBBRYSA-N 1 2 286.375 3.720 20 0 CHADLO CCc1ccccc1C[NH2+][C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000291995661 521841644 /nfs/dbraw/zinc/84/16/44/521841644.db2.gz AJTGJEHJLKTQKS-QGZVFWFLSA-N 1 2 298.434 3.778 20 0 CHADLO COCC[C@@H]([NH2+]Cc1cccc(O)c1Cl)c1ccco1 ZINC000293235558 521860146 /nfs/dbraw/zinc/86/01/46/521860146.db2.gz KZJWCFIDGNCISU-GFCCVEGCSA-N 1 2 295.766 3.506 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2cc(C)ccc2F)[nH]1 ZINC000277392973 522054343 /nfs/dbraw/zinc/05/43/43/522054343.db2.gz JSZWICLOULLDNZ-NWDGAFQWSA-N 1 2 290.386 3.617 20 0 CHADLO CCc1c[nH+]ccc1[C@@H](C)[C@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000279819487 522087167 /nfs/dbraw/zinc/08/71/67/522087167.db2.gz XZPIQULIDPYEEJ-VDNDLQMASA-N 1 2 271.404 3.953 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2ncc(C(C)(C)C)s2)o1 ZINC000291745235 522205012 /nfs/dbraw/zinc/20/50/12/522205012.db2.gz PMPDUQCERHGPBG-UHFFFAOYSA-N 1 2 293.436 3.589 20 0 CHADLO CN(C)c1ccc(NCc2cnc(C(C)(C)C)s2)c[nH+]1 ZINC000062838883 522236314 /nfs/dbraw/zinc/23/63/14/522236314.db2.gz LLXZGNOTJUIOOJ-UHFFFAOYSA-N 1 2 290.436 3.514 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2ccccc2)cc(C)[nH+]1 ZINC000339972093 522238785 /nfs/dbraw/zinc/23/87/85/522238785.db2.gz LHTQCKFGUIDJIK-HNNXBMFYSA-N 1 2 267.376 3.689 20 0 CHADLO COc1cc(C)cc(NC(=O)Nc2cc[nH+]c(C)c2)c1C ZINC000278346958 522247658 /nfs/dbraw/zinc/24/76/58/522247658.db2.gz CSHKRKSGWKUWOP-UHFFFAOYSA-N 1 2 285.347 3.659 20 0 CHADLO COC[C@@H]([NH2+]Cc1cc2ccccc2o1)c1ccc(C)o1 ZINC000080237703 522360106 /nfs/dbraw/zinc/36/01/06/522360106.db2.gz WJTSSZATBSORDC-OAHLLOKOSA-N 1 2 285.343 3.812 20 0 CHADLO CC[C@H](Nc1cc(C)[nH+]c(C2CC2)n1)c1nc(C)cs1 ZINC000265320315 522764890 /nfs/dbraw/zinc/76/48/90/522764890.db2.gz DRSJNBXZRWRJNP-LBPRGKRZSA-N 1 2 288.420 3.991 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccccc2SC)o1 ZINC000336972406 522787806 /nfs/dbraw/zinc/78/78/06/522787806.db2.gz QJGCRJKGMJAKNF-WDEREUQCSA-N 1 2 291.420 3.766 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000293501149 522930075 /nfs/dbraw/zinc/93/00/75/522930075.db2.gz UJXGFRYPRHQUQD-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000293501149 522930084 /nfs/dbraw/zinc/93/00/84/522930084.db2.gz UJXGFRYPRHQUQD-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cnc(C2CCC2)s1 ZINC000354360647 522931201 /nfs/dbraw/zinc/93/12/01/522931201.db2.gz XTPVZQBVHAOLGD-UHFFFAOYSA-N 1 2 291.420 3.593 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cnc(C2CCC2)s1 ZINC000354360647 522931206 /nfs/dbraw/zinc/93/12/06/522931206.db2.gz XTPVZQBVHAOLGD-UHFFFAOYSA-N 1 2 291.420 3.593 20 0 CHADLO CCc1nocc1C[N@H+](C)[C@H](C)c1ccccc1F ZINC000293440906 522935342 /nfs/dbraw/zinc/93/53/42/522935342.db2.gz OPVHRIHKPACARA-LLVKDONJSA-N 1 2 262.328 3.569 20 0 CHADLO CCc1nocc1C[N@@H+](C)[C@H](C)c1ccccc1F ZINC000293440906 522935349 /nfs/dbraw/zinc/93/53/49/522935349.db2.gz OPVHRIHKPACARA-LLVKDONJSA-N 1 2 262.328 3.569 20 0 CHADLO CC[C@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000117156263 523081110 /nfs/dbraw/zinc/08/11/10/523081110.db2.gz YIZPJQPEEVLANH-HNNXBMFYSA-N 1 2 297.402 3.525 20 0 CHADLO CO[C@@H](C)[C@@H](C)Nc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000367965444 523429854 /nfs/dbraw/zinc/42/98/54/523429854.db2.gz XJMLDOPVLOBLOE-KGLIPLIRSA-N 1 2 299.418 3.722 20 0 CHADLO C[N@H+](Cc1ncc(Br)s1)Cc1ccccc1 ZINC000340845308 523573665 /nfs/dbraw/zinc/57/36/65/523573665.db2.gz DHSPARHHJIUULH-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO C[N@@H+](Cc1ncc(Br)s1)Cc1ccccc1 ZINC000340845308 523573678 /nfs/dbraw/zinc/57/36/78/523573678.db2.gz DHSPARHHJIUULH-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1cccc(C(F)F)c1 ZINC000279694796 523601931 /nfs/dbraw/zinc/60/19/31/523601931.db2.gz IMHBUXIIAHBPQS-UHFFFAOYSA-N 1 2 268.332 3.713 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1cccc(C(F)F)c1 ZINC000279694796 523601940 /nfs/dbraw/zinc/60/19/40/523601940.db2.gz IMHBUXIIAHBPQS-UHFFFAOYSA-N 1 2 268.332 3.713 20 0 CHADLO CC[C@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000299480624 523837814 /nfs/dbraw/zinc/83/78/14/523837814.db2.gz GXLHDUDUHTXYGG-JTQLQIEISA-N 1 2 277.755 3.510 20 0 CHADLO CC[C@H](C)C(=O)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000277684397 523839145 /nfs/dbraw/zinc/83/91/45/523839145.db2.gz LVYVVYXNULHRID-ZDUSSCGKSA-N 1 2 297.402 3.871 20 0 CHADLO CCc1ccc(-c2noc(C[N@H+](C)Cc3ccco3)n2)cc1 ZINC000263773822 523863674 /nfs/dbraw/zinc/86/36/74/523863674.db2.gz FVAQGEWMVYVFCS-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO CCc1ccc(-c2noc(C[N@@H+](C)Cc3ccco3)n2)cc1 ZINC000263773822 523863681 /nfs/dbraw/zinc/86/36/81/523863681.db2.gz FVAQGEWMVYVFCS-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2F)o1 ZINC000051724339 523943930 /nfs/dbraw/zinc/94/39/30/523943930.db2.gz LWGHUWDBHPGMSV-JTQLQIEISA-N 1 2 265.303 3.971 20 0 CHADLO COc1ccc(C[N@H+](Cc2cn[nH]c2C)C(C)(C)C)cc1 ZINC000289986013 524013136 /nfs/dbraw/zinc/01/31/36/524013136.db2.gz BXSOVYZRPMUVKL-UHFFFAOYSA-N 1 2 287.407 3.527 20 0 CHADLO COc1ccc(C[N@@H+](Cc2cn[nH]c2C)C(C)(C)C)cc1 ZINC000289986013 524013139 /nfs/dbraw/zinc/01/31/39/524013139.db2.gz BXSOVYZRPMUVKL-UHFFFAOYSA-N 1 2 287.407 3.527 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2oc(CC)nc2C)o1 ZINC000291788711 524026020 /nfs/dbraw/zinc/02/60/20/524026020.db2.gz AVZALFZDMJOVMT-SNVBAGLBSA-N 1 2 262.353 3.552 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@@H+]2[C@@H](C)c2nc(CC)no2)o1 ZINC000265384636 524037781 /nfs/dbraw/zinc/03/77/81/524037781.db2.gz DKUGWKWVCOWFLQ-AAEUAGOBSA-N 1 2 289.379 3.686 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@H+]2[C@@H](C)c2nc(CC)no2)o1 ZINC000265384636 524037785 /nfs/dbraw/zinc/03/77/85/524037785.db2.gz DKUGWKWVCOWFLQ-AAEUAGOBSA-N 1 2 289.379 3.686 20 0 CHADLO CCc1ccc([C@@H]2C[N@H+](CCC(F)(F)F)CCO2)cc1 ZINC000276856793 524039330 /nfs/dbraw/zinc/03/93/30/524039330.db2.gz TVROLSVTBCLRFZ-AWEZNQCLSA-N 1 2 287.325 3.575 20 0 CHADLO CCc1ccc([C@@H]2C[N@@H+](CCC(F)(F)F)CCO2)cc1 ZINC000276856793 524039332 /nfs/dbraw/zinc/03/93/32/524039332.db2.gz TVROLSVTBCLRFZ-AWEZNQCLSA-N 1 2 287.325 3.575 20 0 CHADLO COc1cccc([C@H](C)Nc2ccc([NH+](C)C)cc2)c1 ZINC000036335434 524155814 /nfs/dbraw/zinc/15/58/14/524155814.db2.gz SDPLYQXFXBNTLC-ZDUSSCGKSA-N 1 2 270.376 3.934 20 0 CHADLO C[C@@H]1CCCC[C@H]1CNc1ccc(Cn2cc[nH+]c2)cn1 ZINC000353145659 524158083 /nfs/dbraw/zinc/15/80/83/524158083.db2.gz WVYPEKSYGCBCMT-ZBFHGGJFSA-N 1 2 284.407 3.565 20 0 CHADLO C[C@H]1CCC[C@H](C)N(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000150788497 524183106 /nfs/dbraw/zinc/18/31/06/524183106.db2.gz RAADKBJOLDLPFG-GJZGRUSLSA-N 1 2 297.402 3.523 20 0 CHADLO COc1cccc2c(NCCc3c(C)noc3C)cc[nH+]c12 ZINC000275486239 524199817 /nfs/dbraw/zinc/19/98/17/524199817.db2.gz KPJCSSAOUYBTEZ-UHFFFAOYSA-N 1 2 297.358 3.503 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1ccc(F)cn1 ZINC000276107639 524206655 /nfs/dbraw/zinc/20/66/55/524206655.db2.gz AHZZNWZHVJWJCC-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1ccc(F)cn1 ZINC000276107639 524206662 /nfs/dbraw/zinc/20/66/62/524206662.db2.gz AHZZNWZHVJWJCC-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc(C)c2F)c(C)[nH+]1 ZINC000336481999 524304361 /nfs/dbraw/zinc/30/43/61/524304361.db2.gz QAIKTMMGNQKDBF-UHFFFAOYSA-N 1 2 272.323 3.707 20 0 CHADLO C[C@@H]1CCC[C@H](CC(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)C1 ZINC000338430677 524367572 /nfs/dbraw/zinc/36/75/72/524367572.db2.gz LZQDVPJHCKQKAD-ILXRZTDVSA-N 1 2 289.423 3.680 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccnc(Cl)c2Cl)o1 ZINC000290501282 524394391 /nfs/dbraw/zinc/39/43/91/524394391.db2.gz SNWNKUJYGLSXJA-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccnc(Cl)c2Cl)o1 ZINC000290501282 524394401 /nfs/dbraw/zinc/39/44/01/524394401.db2.gz SNWNKUJYGLSXJA-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(Br)s2)[C@H](C)C1 ZINC000295091002 524513577 /nfs/dbraw/zinc/51/35/77/524513577.db2.gz SDYLVIFKPFOPLH-RKDXNWHRSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(Br)s2)[C@H](C)C1 ZINC000295091002 524513586 /nfs/dbraw/zinc/51/35/86/524513586.db2.gz SDYLVIFKPFOPLH-RKDXNWHRSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Cl)nc2Cl)CCC1(F)F ZINC000289824703 524618606 /nfs/dbraw/zinc/61/86/06/524618606.db2.gz OIKBFFRADCKLJN-QMMMGPOBSA-N 1 2 295.160 3.866 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)nc2Cl)CCC1(F)F ZINC000289824703 524618614 /nfs/dbraw/zinc/61/86/14/524618614.db2.gz OIKBFFRADCKLJN-QMMMGPOBSA-N 1 2 295.160 3.866 20 0 CHADLO Cc1cccc(N[C@H]2CCn3cc[nH+]c32)c1Br ZINC000293894908 524701339 /nfs/dbraw/zinc/70/13/39/524701339.db2.gz GUHYETFDDBXZNH-NSHDSACASA-N 1 2 292.180 3.511 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCC[C@@H]3C[C@@]32C)cc1NC(C)=O ZINC000282791962 524706343 /nfs/dbraw/zinc/70/63/43/524706343.db2.gz QHIREWLIAWNXFY-JLZZUVOBSA-N 1 2 288.391 3.644 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(-c3ccccc3)o2)no1 ZINC000340413284 524759647 /nfs/dbraw/zinc/75/96/47/524759647.db2.gz BYZUPRJGUNDNFJ-UHFFFAOYSA-N 1 2 268.316 3.533 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000080267312 524783759 /nfs/dbraw/zinc/78/37/59/524783759.db2.gz AUZOVMMBMHQMQB-QWRGUYRKSA-N 1 2 262.378 3.564 20 0 CHADLO Cc1ccc(F)cc1NCc1cn2c(cccc2C)[nH+]1 ZINC000157255705 524869889 /nfs/dbraw/zinc/86/98/89/524869889.db2.gz FPQLMIPXYBMWSZ-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO COc1ccsc1C[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000292740930 524879405 /nfs/dbraw/zinc/87/94/05/524879405.db2.gz HKPYDTRBXCRRQY-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO COc1ccsc1C[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000292740930 524879411 /nfs/dbraw/zinc/87/94/11/524879411.db2.gz HKPYDTRBXCRRQY-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO Cc1cccc([C@H]2CCCN2c2cc[nH+]c(C(C)C)n2)n1 ZINC000150942832 524897710 /nfs/dbraw/zinc/89/77/10/524897710.db2.gz NZEWOUVIKUMJRQ-OAHLLOKOSA-N 1 2 282.391 3.645 20 0 CHADLO COc1cncc(C[NH2+][C@@H](C)c2c(F)cccc2Cl)c1 ZINC000289622384 524922128 /nfs/dbraw/zinc/92/21/28/524922128.db2.gz BETKNZGEPDOJBS-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO Cc1cc(N(C)[C@H]2CCC[C@@H](C)C2)nc(C2CC2)[nH+]1 ZINC000341498012 525032117 /nfs/dbraw/zinc/03/21/17/525032117.db2.gz KIHYTEYJCVTWEM-RISCZKNCSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc(N2Cc3ccccc3[C@H]2C)nc(C2CC2)[nH+]1 ZINC000340783482 525099060 /nfs/dbraw/zinc/09/90/60/525099060.db2.gz SUXMDSRFBQIFQN-GFCCVEGCSA-N 1 2 265.360 3.744 20 0 CHADLO Cc1ccccc1CC[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000293405061 525114682 /nfs/dbraw/zinc/11/46/82/525114682.db2.gz MTUBALRZODTUBL-QGZVFWFLSA-N 1 2 298.434 3.567 20 0 CHADLO Cc1cc(NCc2ccc3c(n2)CCCC3)nc(C2CC2)[nH+]1 ZINC000277775863 525278852 /nfs/dbraw/zinc/27/88/52/525278852.db2.gz FAXPFGMDCIPYFE-UHFFFAOYSA-N 1 2 294.402 3.548 20 0 CHADLO Cc1ccc(OC(F)F)c([C@@H](C)[NH2+]Cc2nccs2)c1 ZINC000128145122 525370758 /nfs/dbraw/zinc/37/07/58/525370758.db2.gz PWJDOABHXMQXQP-SNVBAGLBSA-N 1 2 298.358 3.904 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1nnc(C(C)C)o1 ZINC000263801132 525405707 /nfs/dbraw/zinc/40/57/07/525405707.db2.gz SQWDJZQPACCXJV-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1nnc(C(C)C)o1 ZINC000263801132 525405714 /nfs/dbraw/zinc/40/57/14/525405714.db2.gz SQWDJZQPACCXJV-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc([C@H]3CCOC3)cc2)c2[nH+]ccn21 ZINC000297441299 525450598 /nfs/dbraw/zinc/45/05/98/525450598.db2.gz WELVKPCYWLXBTB-INWMFGNUSA-N 1 2 283.375 3.505 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2ccccc2Cl)nn1C ZINC000282408969 525530015 /nfs/dbraw/zinc/53/00/15/525530015.db2.gz YDOIYPWCSYKWRI-NEPJUHHUSA-N 1 2 277.799 3.794 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C(C)(C)C)[nH]1)c1cc2ccccc2o1 ZINC000292801231 525556554 /nfs/dbraw/zinc/55/65/54/525556554.db2.gz BNHZGANLWXLRKP-LLVKDONJSA-N 1 2 298.390 3.699 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccon1)c1csc(Cl)c1 ZINC000309543284 525664443 /nfs/dbraw/zinc/66/44/43/525664443.db2.gz GHLFTTNWYKXYNX-HTQZYQBOSA-N 1 2 256.758 3.801 20 0 CHADLO Cc1ccc(-c2cnc(C[NH2+][C@@H](C)c3ccccn3)o2)cc1 ZINC000340780034 525773397 /nfs/dbraw/zinc/77/33/97/525773397.db2.gz RSJLGPUKWQLMDT-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1ccc(-c2cnc(C[NH2+][C@H](C)c3ccccn3)o2)cc1 ZINC000340780058 525773627 /nfs/dbraw/zinc/77/36/27/525773627.db2.gz RSJLGPUKWQLMDT-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1ccc(-c2cnc(COc3cc[nH+]cc3)o2)cc1 ZINC000356091167 525773835 /nfs/dbraw/zinc/77/38/35/525773835.db2.gz HYBONIILLCBZTA-UHFFFAOYSA-N 1 2 266.300 3.624 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000292432480 525796504 /nfs/dbraw/zinc/79/65/04/525796504.db2.gz WFCZYYNYZSXJRW-UHFFFAOYSA-N 1 2 262.353 3.506 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000292432480 525796514 /nfs/dbraw/zinc/79/65/14/525796514.db2.gz WFCZYYNYZSXJRW-UHFFFAOYSA-N 1 2 262.353 3.506 20 0 CHADLO Cc1ccoc1C[NH2+]C1(c2nccs2)CCCC1 ZINC000266179503 525805286 /nfs/dbraw/zinc/80/52/86/525805286.db2.gz UPSZIBSZPCEFHN-UHFFFAOYSA-N 1 2 262.378 3.604 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1CC=CCC1 ZINC000279992696 525832703 /nfs/dbraw/zinc/83/27/03/525832703.db2.gz KTTGLOUFRKWECS-ZDUSSCGKSA-N 1 2 281.359 3.680 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2cc(C)ccc2F)s1 ZINC000080346176 525982004 /nfs/dbraw/zinc/98/20/04/525982004.db2.gz LGCLBFTWCDIBOA-UHFFFAOYSA-N 1 2 278.396 3.924 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)o1 ZINC000075597227 526007524 /nfs/dbraw/zinc/00/75/24/526007524.db2.gz DLAYCAOUXDRLFT-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)o1 ZINC000075597227 526007534 /nfs/dbraw/zinc/00/75/34/526007534.db2.gz DLAYCAOUXDRLFT-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@H](C)c1cccc(Cl)c1Cl ZINC000289433196 526033863 /nfs/dbraw/zinc/03/38/63/526033863.db2.gz DZYKCQPYNZGUEU-SECBINFHSA-N 1 2 284.190 3.876 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@H](C)c1cccc(Cl)c1Cl ZINC000289433196 526033873 /nfs/dbraw/zinc/03/38/73/526033873.db2.gz DZYKCQPYNZGUEU-SECBINFHSA-N 1 2 284.190 3.876 20 0 CHADLO C[C@@H](c1ccc(C(F)(F)F)cc1)[N@H+](C)Cc1cocn1 ZINC000278206924 526039606 /nfs/dbraw/zinc/03/96/06/526039606.db2.gz QXYWOJSCOXCQNV-JTQLQIEISA-N 1 2 284.281 3.886 20 0 CHADLO C[C@@H](c1ccc(C(F)(F)F)cc1)[N@@H+](C)Cc1cocn1 ZINC000278206924 526039610 /nfs/dbraw/zinc/03/96/10/526039610.db2.gz QXYWOJSCOXCQNV-JTQLQIEISA-N 1 2 284.281 3.886 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1nccn1C ZINC000102514811 526045405 /nfs/dbraw/zinc/04/54/05/526045405.db2.gz ZBXIMKFBBPJGAL-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1nccn1C ZINC000102514811 526045409 /nfs/dbraw/zinc/04/54/09/526045409.db2.gz ZBXIMKFBBPJGAL-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1cc(-c2ccco2)on1 ZINC000111240026 526078938 /nfs/dbraw/zinc/07/89/38/526078938.db2.gz FZUBHPRTADLHIW-LBPRGKRZSA-N 1 2 298.342 3.833 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1cc(-c2ccco2)on1 ZINC000111240026 526078949 /nfs/dbraw/zinc/07/89/49/526078949.db2.gz FZUBHPRTADLHIW-LBPRGKRZSA-N 1 2 298.342 3.833 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](c2ncccc2C)C(C)C)o1 ZINC000356983916 526129038 /nfs/dbraw/zinc/12/90/38/526129038.db2.gz MPEJQQZUSSSSOC-KGLIPLIRSA-N 1 2 273.380 3.734 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1cccnc1Cl ZINC000052709621 526152588 /nfs/dbraw/zinc/15/25/88/526152588.db2.gz YNFFHVNRPSYVSK-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1cccnc1Cl ZINC000052709621 526152596 /nfs/dbraw/zinc/15/25/96/526152596.db2.gz YNFFHVNRPSYVSK-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127471676 526154444 /nfs/dbraw/zinc/15/44/44/526154444.db2.gz AABYUYIDLAWIIM-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127471676 526154449 /nfs/dbraw/zinc/15/44/49/526154449.db2.gz AABYUYIDLAWIIM-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1noc(C3CC3)n1)C(C)(C)C2 ZINC000339638292 526162884 /nfs/dbraw/zinc/16/28/84/526162884.db2.gz ZKDZQFGFOUOZFK-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1noc(C3CC3)n1)C(C)(C)C2 ZINC000339638292 526162895 /nfs/dbraw/zinc/16/28/95/526162895.db2.gz ZKDZQFGFOUOZFK-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO Cc1c[nH+]cc(CCc2nc([C@H](C)c3cccs3)no2)c1 ZINC000291861739 526190447 /nfs/dbraw/zinc/19/04/47/526190447.db2.gz HPYXARNJWRMPLR-GFCCVEGCSA-N 1 2 299.399 3.772 20 0 CHADLO Cc1c[nH+]cc(CCc2nc([C@H]3CCCCS3)no2)c1 ZINC000291896706 526191489 /nfs/dbraw/zinc/19/14/89/526191489.db2.gz LCSSQFYDEXLIOU-CYBMUJFWSA-N 1 2 289.404 3.516 20 0 CHADLO Cc1c[nH+]cc(N[C@H]2CCC[C@H](c3cccnc3)C2)c1 ZINC000337418978 526209618 /nfs/dbraw/zinc/20/96/18/526209618.db2.gz FAACDZHQLHHSCY-HOCLYGCPSA-N 1 2 267.376 3.923 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)cc1F ZINC000127446471 526303277 /nfs/dbraw/zinc/30/32/77/526303277.db2.gz XRWWJHZUZIMZIL-HNNXBMFYSA-N 1 2 280.293 3.769 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@H]2c2ccc[nH]2)cc1F ZINC000127446471 526303285 /nfs/dbraw/zinc/30/32/85/526303285.db2.gz XRWWJHZUZIMZIL-HNNXBMFYSA-N 1 2 280.293 3.769 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ccc(F)cn3)CCCC2)n1 ZINC000276152232 526327188 /nfs/dbraw/zinc/32/71/88/526327188.db2.gz CFHNEXHKWZYNFS-UHFFFAOYSA-N 1 2 291.395 3.545 20 0 CHADLO Cc1nnc(C[N@@H+](C(C)C)[C@H](C)c2ccccc2)s1 ZINC000292097403 526331938 /nfs/dbraw/zinc/33/19/38/526331938.db2.gz GAOJDPNJPJAVLV-GFCCVEGCSA-N 1 2 275.421 3.818 20 0 CHADLO Cc1nnc(C[N@H+](C(C)C)[C@H](C)c2ccccc2)s1 ZINC000292097403 526331945 /nfs/dbraw/zinc/33/19/45/526331945.db2.gz GAOJDPNJPJAVLV-GFCCVEGCSA-N 1 2 275.421 3.818 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](C)c2ccc(C)cc2C)n1C1CC1 ZINC000340939486 526333675 /nfs/dbraw/zinc/33/36/75/526333675.db2.gz LPDYWGIYWORBSQ-AWEZNQCLSA-N 1 2 298.434 3.731 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](C)c2ccc(C)cc2C)n1C1CC1 ZINC000340939486 526333680 /nfs/dbraw/zinc/33/36/80/526333680.db2.gz LPDYWGIYWORBSQ-AWEZNQCLSA-N 1 2 298.434 3.731 20 0 CHADLO Fc1ccc(CN2CCC[C@@H]2c2[nH]cc[nH+]2)c(Cl)c1 ZINC000364724742 526334407 /nfs/dbraw/zinc/33/44/07/526334407.db2.gz RYFXBMZKUZDVAZ-CYBMUJFWSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1csc(C[N@@H+]2CCSC[C@@H]2c2ccccc2)n1 ZINC000081672423 526342937 /nfs/dbraw/zinc/34/29/37/526342937.db2.gz UZTLIMQHLWXADU-CQSZACIVSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1csc(C[N@H+]2CCSC[C@@H]2c2ccccc2)n1 ZINC000081672423 526342939 /nfs/dbraw/zinc/34/29/39/526342939.db2.gz UZTLIMQHLWXADU-CQSZACIVSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1csc(C[NH2+]C(C)(C)c2ccccc2F)n1 ZINC000291722640 526357085 /nfs/dbraw/zinc/35/70/85/526357085.db2.gz MSDSQOFTHNWVDT-UHFFFAOYSA-N 1 2 264.369 3.616 20 0 CHADLO Fc1cccc2c1OCCC[C@H]2Nc1cccc[nH+]1 ZINC000272191228 526402028 /nfs/dbraw/zinc/40/20/28/526402028.db2.gz KYFLEIJCRLEIAY-CYBMUJFWSA-N 1 2 258.296 3.547 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@@H]1CCOC2(CCC2)C1 ZINC000094372524 526431491 /nfs/dbraw/zinc/43/14/91/526431491.db2.gz XABWFJUBVFTAKM-MRXNPFEDSA-N 1 2 286.419 3.800 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+][C@@H]1CCOC2(CCC2)C1 ZINC000094372524 526431495 /nfs/dbraw/zinc/43/14/95/526431495.db2.gz XABWFJUBVFTAKM-MRXNPFEDSA-N 1 2 286.419 3.800 20 0 CHADLO Cc1noc(C)c1CNc1cc(C)[nH+]c2c(F)cccc12 ZINC000122183499 526519004 /nfs/dbraw/zinc/51/90/04/526519004.db2.gz IEXGQWKPBNTVRW-UHFFFAOYSA-N 1 2 285.322 3.899 20 0 CHADLO c1coc(C[NH2+][C@@H]2CCCc3cn(C4CCCC4)nc32)c1 ZINC000365009653 526568251 /nfs/dbraw/zinc/56/82/51/526568251.db2.gz HQQBKVXOFUXZLV-MRXNPFEDSA-N 1 2 285.391 3.758 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2ccc(F)c(C)c2)n1 ZINC000289847314 526650888 /nfs/dbraw/zinc/65/08/88/526650888.db2.gz PUMZNLFHMFDHMS-HNNXBMFYSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2ccc(F)c(C)c2)n1 ZINC000289847314 526650896 /nfs/dbraw/zinc/65/08/96/526650896.db2.gz PUMZNLFHMFDHMS-HNNXBMFYSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COc1nc(C(C)C)no1 ZINC000292554089 526668384 /nfs/dbraw/zinc/66/83/84/526668384.db2.gz CIGMGLYRAYKQGY-UHFFFAOYSA-N 1 2 275.352 3.599 20 0 CHADLO Cc1nonc1C[N@@H+]1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000265135126 526703621 /nfs/dbraw/zinc/70/36/21/526703621.db2.gz OFVGMQUZKSGVTA-QGZVFWFLSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1nonc1C[N@H+]1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000265135126 526703626 /nfs/dbraw/zinc/70/36/26/526703626.db2.gz OFVGMQUZKSGVTA-QGZVFWFLSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1oc2ccccc2c1C[N@@H+](C)Cc1nncn1C(C)C ZINC000292790060 526786589 /nfs/dbraw/zinc/78/65/89/526786589.db2.gz MFOMVXYLXOAPNZ-UHFFFAOYSA-N 1 2 298.390 3.546 20 0 CHADLO Cc1oc2ccccc2c1C[N@H+](C)Cc1nncn1C(C)C ZINC000292790060 526786594 /nfs/dbraw/zinc/78/65/94/526786594.db2.gz MFOMVXYLXOAPNZ-UHFFFAOYSA-N 1 2 298.390 3.546 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccccc2F)sc1C ZINC000081666574 526842506 /nfs/dbraw/zinc/84/25/06/526842506.db2.gz HWUKADCCSSMZSN-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccccc2F)sc1C ZINC000081666574 526842513 /nfs/dbraw/zinc/84/25/13/526842513.db2.gz HWUKADCCSSMZSN-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccs2)C(C)C)cs1 ZINC000265588618 526848716 /nfs/dbraw/zinc/84/87/16/526848716.db2.gz HKXZGPRTYPSJLX-UHFFFAOYSA-N 1 2 266.435 3.924 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccs2)C(C)C)cs1 ZINC000265588618 526848724 /nfs/dbraw/zinc/84/87/24/526848724.db2.gz HKXZGPRTYPSJLX-UHFFFAOYSA-N 1 2 266.435 3.924 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2cccc(F)c2)cs1 ZINC000076721161 526860321 /nfs/dbraw/zinc/86/03/21/526860321.db2.gz CGUMKLWRVXLXID-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2cccc(F)c2)cs1 ZINC000076721161 526860324 /nfs/dbraw/zinc/86/03/24/526860324.db2.gz CGUMKLWRVXLXID-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1nc(CSCc2cn3ccccc3[nH+]2)sc1C ZINC000088307011 526914047 /nfs/dbraw/zinc/91/40/47/526914047.db2.gz HCRQEWFSOHLOIX-UHFFFAOYSA-N 1 2 289.429 3.841 20 0 CHADLO Clc1cc(C[NH2+]Cc2ccccn2)c(Cl)s1 ZINC000309470628 526925823 /nfs/dbraw/zinc/92/58/23/526925823.db2.gz ALVKJSMWHWOTGK-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000292854834 526945328 /nfs/dbraw/zinc/94/53/28/526945328.db2.gz UOYVPCWQXHZQPN-MRXNPFEDSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000292854834 526945336 /nfs/dbraw/zinc/94/53/36/526945336.db2.gz UOYVPCWQXHZQPN-MRXNPFEDSA-N 1 2 285.366 3.507 20 0 CHADLO CC(=O)Nc1ccc(C)c(NCCCc2ccc(C)[nH+]c2)c1 ZINC000353835819 526960557 /nfs/dbraw/zinc/96/05/57/526960557.db2.gz INGRRCRRAISDLS-UHFFFAOYSA-N 1 2 297.402 3.702 20 0 CHADLO Clc1cccc([C@@H]2CCC[N@@H+]2Cc2ccon2)c1 ZINC000157598020 526982439 /nfs/dbraw/zinc/98/24/39/526982439.db2.gz QIRVLXVOCUJIMG-AWEZNQCLSA-N 1 2 262.740 3.665 20 0 CHADLO Clc1cccc([C@@H]2CCC[N@H+]2Cc2ccon2)c1 ZINC000157598020 526982444 /nfs/dbraw/zinc/98/24/44/526982444.db2.gz QIRVLXVOCUJIMG-AWEZNQCLSA-N 1 2 262.740 3.665 20 0 CHADLO Clc1sccc1C[N@@H+]1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000356526215 527014470 /nfs/dbraw/zinc/01/44/70/527014470.db2.gz MRBBUBAURDMSEH-OLZOCXBDSA-N 1 2 297.851 3.935 20 0 CHADLO Clc1sccc1C[N@H+]1CC2(CCC2)[C@@H]1[C@H]1CCCO1 ZINC000356526215 527014477 /nfs/dbraw/zinc/01/44/77/527014477.db2.gz MRBBUBAURDMSEH-OLZOCXBDSA-N 1 2 297.851 3.935 20 0 CHADLO Cc1nc([C@@H](C)[N@H+](C)CC(C)(C)c2ccc(C)cc2)no1 ZINC000280944901 527024066 /nfs/dbraw/zinc/02/40/66/527024066.db2.gz IGJIAOWCLOZXKG-CYBMUJFWSA-N 1 2 287.407 3.657 20 0 CHADLO Cc1nc([C@@H](C)[N@@H+](C)CC(C)(C)c2ccc(C)cc2)no1 ZINC000280944901 527024070 /nfs/dbraw/zinc/02/40/70/527024070.db2.gz IGJIAOWCLOZXKG-CYBMUJFWSA-N 1 2 287.407 3.657 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2CCCc3occc32)cs1 ZINC000036915497 527034156 /nfs/dbraw/zinc/03/41/56/527034156.db2.gz JFIJHBHFLWQLFY-SKDRFNHKSA-N 1 2 262.378 3.773 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](c2ccccc2)C2CCC2)no1 ZINC000289365564 527034319 /nfs/dbraw/zinc/03/43/19/527034319.db2.gz NOELUSHFMZVKHL-IAQYHMDHSA-N 1 2 271.364 3.570 20 0 CHADLO Cc1nc([C@H](C)[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)no1 ZINC000289421307 527057322 /nfs/dbraw/zinc/05/73/22/527057322.db2.gz WIZNCGPNONXRPZ-AWEZNQCLSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1nc([C@H](C)[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)no1 ZINC000289421307 527057327 /nfs/dbraw/zinc/05/73/27/527057327.db2.gz WIZNCGPNONXRPZ-AWEZNQCLSA-N 1 2 297.402 3.845 20 0 CHADLO CC(=O)Nc1cccc(C[NH2+]C2(C(F)F)CCCCC2)c1 ZINC000352203058 527058166 /nfs/dbraw/zinc/05/81/66/527058166.db2.gz OQJREMLOQQCPCM-UHFFFAOYSA-N 1 2 296.361 3.703 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](c2ccc(F)cc2)C2CCC2)no1 ZINC000278743554 527067686 /nfs/dbraw/zinc/06/76/86/527067686.db2.gz WOWGDZWTGOJIRU-BONVTDFDSA-N 1 2 289.354 3.709 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2CCC(F)(F)F)cs1 ZINC000275074853 527080143 /nfs/dbraw/zinc/08/01/43/527080143.db2.gz YXZCORZJSZXJLT-SNVBAGLBSA-N 1 2 264.316 3.541 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2CCC(F)(F)F)cs1 ZINC000275074853 527080144 /nfs/dbraw/zinc/08/01/44/527080144.db2.gz YXZCORZJSZXJLT-SNVBAGLBSA-N 1 2 264.316 3.541 20 0 CHADLO Cc1nc(N2CCC[C@H]2c2nc3ccccc3s2)cc[nH+]1 ZINC000266076430 527345842 /nfs/dbraw/zinc/34/58/42/527345842.db2.gz AOCHGONKESEHGC-ZDUSSCGKSA-N 1 2 296.399 3.736 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1ncc(-c2ccccc2)o1 ZINC000066564164 527500764 /nfs/dbraw/zinc/50/07/64/527500764.db2.gz CWYJFEFQSMDFPY-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1ncc(-c2ccccc2)o1 ZINC000066564164 527500769 /nfs/dbraw/zinc/50/07/69/527500769.db2.gz CWYJFEFQSMDFPY-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CC(C)c1ccc(C(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)s1 ZINC000336014653 528061825 /nfs/dbraw/zinc/06/18/25/528061825.db2.gz DSYFSXVNKTYLQG-LLVKDONJSA-N 1 2 289.404 3.572 20 0 CHADLO CCC[C@H](CCO)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354549890 528127378 /nfs/dbraw/zinc/12/73/78/528127378.db2.gz XLIUNVAQLVVVCL-GFCCVEGCSA-N 1 2 276.355 3.585 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCOC[C@H]2CC2CCC2)s1 ZINC000354731614 528214517 /nfs/dbraw/zinc/21/45/17/528214517.db2.gz MBBQKHFVNCYGCR-CQSZACIVSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCOC[C@H]2CC2CCC2)s1 ZINC000354731614 528214526 /nfs/dbraw/zinc/21/45/26/528214526.db2.gz MBBQKHFVNCYGCR-CQSZACIVSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)c1nc(NC[C@@H](C)C[C@H](C)O)cc(C(C)(C)C)[nH+]1 ZINC000302877080 528293992 /nfs/dbraw/zinc/29/39/92/528293992.db2.gz FWJYKZAWHNTESY-STQMWFEESA-N 1 2 293.455 3.716 20 0 CHADLO CCC[C@H]1CCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000352011370 528375657 /nfs/dbraw/zinc/37/56/57/528375657.db2.gz QZEQFUXKGTWPKE-JTQLQIEISA-N 1 2 258.818 3.809 20 0 CHADLO CCC[C@H]1CCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000352011370 528375664 /nfs/dbraw/zinc/37/56/64/528375664.db2.gz QZEQFUXKGTWPKE-JTQLQIEISA-N 1 2 258.818 3.809 20 0 CHADLO CCC(C)(C)CC(=O)N(C)[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000352774388 528491597 /nfs/dbraw/zinc/49/15/97/528491597.db2.gz VQQUBGWIFUSPRG-MRXNPFEDSA-N 1 2 299.418 3.784 20 0 CHADLO CC(C)[C@@H](O)C(C)(C)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354874012 528609374 /nfs/dbraw/zinc/60/93/74/528609374.db2.gz GFWPIZCLSPVBFY-OAHLLOKOSA-N 1 2 290.382 3.829 20 0 CHADLO CCCO[C@H]1CCC[N@H+](Cc2ncc(Cl)s2)CC1 ZINC000351988822 528610970 /nfs/dbraw/zinc/61/09/70/528610970.db2.gz MKKNJEFZLBNWBA-NSHDSACASA-N 1 2 288.844 3.578 20 0 CHADLO CCCO[C@H]1CCC[N@@H+](Cc2ncc(Cl)s2)CC1 ZINC000351988822 528610976 /nfs/dbraw/zinc/61/09/76/528610976.db2.gz MKKNJEFZLBNWBA-NSHDSACASA-N 1 2 288.844 3.578 20 0 CHADLO CCCc1csc(C[NH2+][C@@H](C)c2c(F)cncc2F)n1 ZINC000353123456 528669943 /nfs/dbraw/zinc/66/99/43/528669943.db2.gz IILDMEIEZFLHBM-VIFPVBQESA-N 1 2 297.374 3.620 20 0 CHADLO CC1(C)CCCC[C@@H]1CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000335956672 528915021 /nfs/dbraw/zinc/91/50/21/528915021.db2.gz QLPWUVBSLUZSOF-ZIAGYGMSSA-N 1 2 289.423 3.680 20 0 CHADLO CCOC[C@@H]1CCC[C@H]1Nc1cc(CSCC)cc[nH+]1 ZINC000354561768 528928121 /nfs/dbraw/zinc/92/81/21/528928121.db2.gz FAHCJZZHJTVGDD-LSDHHAIUSA-N 1 2 294.464 3.952 20 0 CHADLO CCC(O)(CC)CCNc1cc[nH+]c2c(OC)cccc12 ZINC000350669879 528966234 /nfs/dbraw/zinc/96/62/34/528966234.db2.gz NVAAZFOCMYHYKG-UHFFFAOYSA-N 1 2 288.391 3.597 20 0 CHADLO CCSc1cccc(C[NH2+][C@@H](C)c2ccon2)c1 ZINC000349663924 529252703 /nfs/dbraw/zinc/25/27/03/529252703.db2.gz FOWIMQTZPNSKHC-NSHDSACASA-N 1 2 262.378 3.637 20 0 CHADLO CC[C@H](C)[C@H]([NH2+]Cc1nc(C)no1)c1ccc(Cl)cc1 ZINC000341845451 535979013 /nfs/dbraw/zinc/97/90/13/535979013.db2.gz HXDOCQYFWJWHTN-BONVTDFDSA-N 1 2 293.798 3.908 20 0 CHADLO COCC[C@H]1CCCCN(c2cc(C)[nH+]c(C(C)C)n2)C1 ZINC000341953064 536823676 /nfs/dbraw/zinc/82/36/76/536823676.db2.gz BVZIBCODJBOTNQ-OAHLLOKOSA-N 1 2 291.439 3.551 20 0 CHADLO COc1cc[nH+]cc1CSCCc1ccccc1 ZINC000342008899 537120544 /nfs/dbraw/zinc/12/05/44/537120544.db2.gz YUUVXMTVQJJVLQ-UHFFFAOYSA-N 1 2 259.374 3.566 20 0 CHADLO COc1cc[nH+]cc1CSCCCCC1CCOCC1 ZINC000342023667 537120028 /nfs/dbraw/zinc/12/00/28/537120028.db2.gz QWZUJIXAFLQBMD-UHFFFAOYSA-N 1 2 295.448 3.920 20 0 CHADLO CSc1ccc(CNc2ccc(N(C)C)c[nH+]2)s1 ZINC000352845156 728639898 /nfs/dbraw/zinc/63/98/98/728639898.db2.gz ZGCSBPSDQRXPLM-UHFFFAOYSA-N 1 2 279.434 3.543 20 0 CHADLO Clc1cc(NCc2ccco2)ccc1-n1cc[nH+]c1 ZINC000127033776 1125458324 /nfs/dbraw/zinc/45/83/24/1125458324.db2.gz FIUMUJFYAAWFEN-UHFFFAOYSA-N 1 2 273.723 3.731 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCc3sc(Cl)cc32)cs1 ZINC000129604375 1125477169 /nfs/dbraw/zinc/47/71/69/1125477169.db2.gz VCBRSOILAYXPEY-SNVBAGLBSA-N 1 2 284.837 3.944 20 0 CHADLO COc1c(Cl)cccc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC001140056803 1118077733 /nfs/dbraw/zinc/07/77/33/1118077733.db2.gz KAYWGOKVDGHMAQ-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO COc1c(Cl)cccc1C[N@H+]1CCC[C@](C)(F)C1 ZINC001140056803 1118077738 /nfs/dbraw/zinc/07/77/38/1118077738.db2.gz KAYWGOKVDGHMAQ-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@H](C)c1cscn1 ZINC000162330363 1118160397 /nfs/dbraw/zinc/16/03/97/1118160397.db2.gz VPEVVWWJPROVQB-NWDGAFQWSA-N 1 2 276.405 3.872 20 0 CHADLO Cc1ccsc1C[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000237526866 1119211530 /nfs/dbraw/zinc/21/15/30/1119211530.db2.gz KDGALVIHAVPWIY-JTQLQIEISA-N 1 2 279.409 3.588 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@@H+]2Cc2csc(C)n2)o1 ZINC000131331756 1125490483 /nfs/dbraw/zinc/49/04/83/1125490483.db2.gz UKDGVRRDEVYMJD-AWEZNQCLSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@H+]2Cc2csc(C)n2)o1 ZINC000131331756 1125490484 /nfs/dbraw/zinc/49/04/84/1125490484.db2.gz UKDGVRRDEVYMJD-AWEZNQCLSA-N 1 2 292.404 3.528 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001137999507 1131448699 /nfs/dbraw/zinc/44/86/99/1131448699.db2.gz LCARNQFJTQJAFO-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001137999507 1131448697 /nfs/dbraw/zinc/44/86/97/1131448697.db2.gz LCARNQFJTQJAFO-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+](C)Cc1ccon1 ZINC000122854920 1119287566 /nfs/dbraw/zinc/28/75/66/1119287566.db2.gz MBYXUHIHFPIBJK-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1nc2ccccc2[nH]1 ZINC000131921918 1125497316 /nfs/dbraw/zinc/49/73/16/1125497316.db2.gz UDQUZRMMGYCYPF-KRWDZBQOSA-N 1 2 294.402 3.629 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1nc2ccccc2[nH]1 ZINC000131921918 1125497328 /nfs/dbraw/zinc/49/73/28/1125497328.db2.gz UDQUZRMMGYCYPF-KRWDZBQOSA-N 1 2 294.402 3.629 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+](C)Cc1ccco1 ZINC000338735633 1120229627 /nfs/dbraw/zinc/22/96/27/1120229627.db2.gz FQAPRRSLEYHQGJ-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO CSCc1cnc(C[NH2+]Cc2ccccc2Cl)s1 ZINC000339172218 1120324532 /nfs/dbraw/zinc/32/45/32/1120324532.db2.gz PBRHNPWSJVECGH-UHFFFAOYSA-N 1 2 298.864 3.949 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccc2c(c1)COC2 ZINC000672622497 1120335055 /nfs/dbraw/zinc/33/50/55/1120335055.db2.gz ZKJNTMHBAIDLIS-HZMBPMFUSA-N 1 2 267.319 3.555 20 0 CHADLO CCC[C@@H]([NH2+][C@H]1CCCC1(F)F)c1cccnc1 ZINC000672622510 1120335288 /nfs/dbraw/zinc/33/52/88/1120335288.db2.gz ZWLVGGOJULLKKC-OLZOCXBDSA-N 1 2 254.324 3.700 20 0 CHADLO Cc1cc(Cl)cc(Cl)c1CNc1cc[nH+]cc1F ZINC001167796287 1120918303 /nfs/dbraw/zinc/91/83/03/1120918303.db2.gz QQJWCYVYHIGXTQ-UHFFFAOYSA-N 1 2 285.149 3.870 20 0 CHADLO Cc1cc(Nc2ccc(N(C)C)[nH+]c2)cc(C)c1F ZINC001175344193 1121273971 /nfs/dbraw/zinc/27/39/71/1121273971.db2.gz ANRFYKYHNLYUIX-UHFFFAOYSA-N 1 2 259.328 3.647 20 0 CHADLO F[C@@]1(c2ccccc2)CCC[N@H+](C/C=C\Cl)C1 ZINC001175503494 1121349980 /nfs/dbraw/zinc/34/99/80/1121349980.db2.gz NEXLYRJFXGCVOL-UQYHUFHVSA-N 1 2 253.748 3.700 20 0 CHADLO F[C@@]1(c2ccccc2)CCC[N@@H+](C/C=C\Cl)C1 ZINC001175503494 1121349987 /nfs/dbraw/zinc/34/99/87/1121349987.db2.gz NEXLYRJFXGCVOL-UQYHUFHVSA-N 1 2 253.748 3.700 20 0 CHADLO Cc1ccc(Nc2ccc(Br)cc2O)c(C)[nH+]1 ZINC001203374366 1122196357 /nfs/dbraw/zinc/19/63/57/1122196357.db2.gz YCVRAAJPTMGMLQ-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1[nH+]cc(NC(=O)Nc2cc(Cl)ccc2Cl)n1C ZINC001188972758 1122483965 /nfs/dbraw/zinc/48/39/65/1122483965.db2.gz LSNRSUKICCALPH-UHFFFAOYSA-N 1 2 299.161 3.679 20 0 CHADLO CCC[C@@H](C)C[N@@H+](CC(=O)OCC)Cc1ccsc1 ZINC001201552742 1123118899 /nfs/dbraw/zinc/11/88/99/1123118899.db2.gz SFQKLMLVJDDVTJ-CYBMUJFWSA-N 1 2 283.437 3.549 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@H+]1Cc2cnn(C)c2C1 ZINC001201983255 1123160240 /nfs/dbraw/zinc/16/02/40/1123160240.db2.gz ZUVPUJWJHWUVFK-AWEZNQCLSA-N 1 2 261.413 3.508 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(Cl)c(Cl)c1 ZINC000093326674 1123184390 /nfs/dbraw/zinc/18/43/90/1123184390.db2.gz XPHYMTPUZJEEIT-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO CC[C@]1(C)C[N@@H+]([C@@H](C)c2cc(F)ccc2F)CCO1 ZINC000442884268 1123346445 /nfs/dbraw/zinc/34/64/45/1123346445.db2.gz RWOQNLMFFAOAAZ-XHDPSFHLSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@]1(C)C[N@H+]([C@@H](C)c2cc(F)ccc2F)CCO1 ZINC000442884268 1123346447 /nfs/dbraw/zinc/34/64/47/1123346447.db2.gz RWOQNLMFFAOAAZ-XHDPSFHLSA-N 1 2 269.335 3.527 20 0 CHADLO Cc1ccc(C[N@@H+](CC=C(Cl)Cl)CC2CC2)cn1 ZINC000852206407 1123399906 /nfs/dbraw/zinc/39/99/06/1123399906.db2.gz JJVRTOILIBUFKN-UHFFFAOYSA-N 1 2 285.218 3.921 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CC2CC1(c1ccccc1)C2 ZINC000852761903 1123414934 /nfs/dbraw/zinc/41/49/34/1123414934.db2.gz VAECRRCTSCSBKX-MDWZMJQESA-N 1 2 268.187 3.927 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CC2CC1(c1ccccc1)C2 ZINC000852761903 1123414936 /nfs/dbraw/zinc/41/49/36/1123414936.db2.gz VAECRRCTSCSBKX-MDWZMJQESA-N 1 2 268.187 3.927 20 0 CHADLO CC[C@@H](CO[NH+]=C(N)c1ccccc1C)CC(F)F ZINC000853636534 1123444709 /nfs/dbraw/zinc/44/47/09/1123444709.db2.gz DVLNMUCKUCJEOE-LLVKDONJSA-N 1 2 270.323 3.523 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc(C(C)(C)C)o2)sc1C ZINC000895838903 1123518978 /nfs/dbraw/zinc/51/89/78/1123518978.db2.gz IDSDFWVPRDBNQI-UHFFFAOYSA-N 1 2 278.421 3.940 20 0 CHADLO Cc1cc(NCC[C@@H]2CC2(Cl)Cl)nc(C2CC2)[nH+]1 ZINC000856786120 1123569180 /nfs/dbraw/zinc/56/91/80/1123569180.db2.gz WJWHNLMGAXRDBK-SNVBAGLBSA-N 1 2 286.206 3.658 20 0 CHADLO COC1(CNc2cc(C)[nH+]c(C(C)C)n2)CCCCC1 ZINC000302380141 1123967842 /nfs/dbraw/zinc/96/78/42/1123967842.db2.gz HWTZDDJRHNAKLO-UHFFFAOYSA-N 1 2 277.412 3.670 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(C(C)(C)C)n[nH]2)cs1 ZINC000449290825 1124688323 /nfs/dbraw/zinc/68/83/23/1124688323.db2.gz YZULXRWOYOSKGH-UHFFFAOYSA-N 1 2 277.437 3.709 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1ccncc1F ZINC000449294281 1124689623 /nfs/dbraw/zinc/68/96/23/1124689623.db2.gz LAZXJGLWYCYZER-UHFFFAOYSA-N 1 2 276.380 3.575 20 0 CHADLO C/C(Cl)=C\Cn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000530163027 1125128672 /nfs/dbraw/zinc/12/86/72/1125128672.db2.gz USEREEKOJCPQPY-XVNBXDOJSA-N 1 2 275.661 3.593 20 0 CHADLO Clc1ncsc1C[N@@H+]1CCC[C@@H]1c1ccncc1 ZINC000878310090 1125137988 /nfs/dbraw/zinc/13/79/88/1125137988.db2.gz JLMKWGAQGBMJHX-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ncsc1C[N@H+]1CCC[C@@H]1c1ccncc1 ZINC000878310090 1125137992 /nfs/dbraw/zinc/13/79/92/1125137992.db2.gz JLMKWGAQGBMJHX-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)c(C)c1 ZINC000666137150 1125297134 /nfs/dbraw/zinc/29/71/34/1125297134.db2.gz BQCGSOMJTBCYFG-SMDDNHRTSA-N 1 2 269.335 3.842 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCn2ccnc21)c1sccc1Cl ZINC000883225810 1125379793 /nfs/dbraw/zinc/37/97/93/1125379793.db2.gz NJNPIAOOBRYSNX-MNOVXSKESA-N 1 2 281.812 3.784 20 0 CHADLO CSc1ccc(CNc2ccc([NH+](C)C)cc2)o1 ZINC000883051570 1125369662 /nfs/dbraw/zinc/36/96/62/1125369662.db2.gz GZRGGSIQJUIYKH-UHFFFAOYSA-N 1 2 262.378 3.680 20 0 CHADLO Fc1ccc(C[NH+]2C3CCC2CC3)c(F)c1Cl ZINC001143626560 1131521668 /nfs/dbraw/zinc/52/16/68/1131521668.db2.gz ZSDQIKXTMCIVOR-UHFFFAOYSA-N 1 2 257.711 3.745 20 0 CHADLO FC1(F)C[C@]12CC[N@H+](Cc1ccc(Cl)nc1Cl)C2 ZINC000844467379 1131568891 /nfs/dbraw/zinc/56/88/91/1131568891.db2.gz DOVQOGWTGFNQIW-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@]12CC[N@@H+](Cc1ccc(Cl)nc1Cl)C2 ZINC000844467379 1131568894 /nfs/dbraw/zinc/56/88/94/1131568894.db2.gz DOVQOGWTGFNQIW-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(-c3cccs3)s2)CCO1 ZINC001139016796 1131572747 /nfs/dbraw/zinc/57/27/47/1131572747.db2.gz CGEWIGWBMXCONZ-LLVKDONJSA-N 1 2 279.430 3.697 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(-c3cccs3)s2)CCO1 ZINC001139016796 1131572748 /nfs/dbraw/zinc/57/27/48/1131572748.db2.gz CGEWIGWBMXCONZ-LLVKDONJSA-N 1 2 279.430 3.697 20 0 CHADLO Cc1cccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c1Br ZINC001141089516 1132010269 /nfs/dbraw/zinc/01/02/69/1132010269.db2.gz WBXXGWSXHWIHIZ-AVGNSLFASA-N 1 2 298.199 3.547 20 0 CHADLO Cc1cccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c1Br ZINC001141089516 1132010273 /nfs/dbraw/zinc/01/02/73/1132010273.db2.gz WBXXGWSXHWIHIZ-AVGNSLFASA-N 1 2 298.199 3.547 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2ccc(C)nc2Cl)s1 ZINC000838928182 1132159958 /nfs/dbraw/zinc/15/99/58/1132159958.db2.gz MUINMXKFUHYBQD-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO Brc1cc(Nc2ccsc2)cn2cc[nH+]c12 ZINC001173818213 1132522207 /nfs/dbraw/zinc/52/22/07/1132522207.db2.gz DRXXJYOUMKVXDQ-UHFFFAOYSA-N 1 2 294.177 3.902 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccc(Cl)o1)CC2 ZINC001233314373 1132599940 /nfs/dbraw/zinc/59/99/40/1132599940.db2.gz UPGVFYCLJRRFNV-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccc(Cl)o1)CC2 ZINC001233314373 1132599943 /nfs/dbraw/zinc/59/99/43/1132599943.db2.gz UPGVFYCLJRRFNV-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO C[C@H]1CC[C@H]([N@H+](C)Cc2c(Cl)ncnc2Cl)CC1 ZINC001233412017 1132619006 /nfs/dbraw/zinc/61/90/06/1132619006.db2.gz CUFDKBIYUAWZQN-MGCOHNPYSA-N 1 2 288.222 3.794 20 0 CHADLO C[C@H]1CC[C@H]([N@@H+](C)Cc2c(Cl)ncnc2Cl)CC1 ZINC001233412017 1132619009 /nfs/dbraw/zinc/61/90/09/1132619009.db2.gz CUFDKBIYUAWZQN-MGCOHNPYSA-N 1 2 288.222 3.794 20 0 CHADLO COc1ccc(F)c(Nc2cc(C)c[nH+]c2C)c1F ZINC001203663182 1133350243 /nfs/dbraw/zinc/35/02/43/1133350243.db2.gz BLBBABBRXFWMQT-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[N@@H+]1CCC[C@@H]2C[C@@H]21 ZINC001204478249 1133452519 /nfs/dbraw/zinc/45/25/19/1133452519.db2.gz XFPUEHJIVGFVNS-RNCFNFMXSA-N 1 2 273.273 3.829 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[N@H+]1CCC[C@@H]2C[C@@H]21 ZINC001204478249 1133452522 /nfs/dbraw/zinc/45/25/22/1133452522.db2.gz XFPUEHJIVGFVNS-RNCFNFMXSA-N 1 2 273.273 3.829 20 0 CHADLO Cc1ccc(O[C@@H]2C[NH2+]CC(F)(F)C2)c(C(C)(C)C)c1 ZINC001218272987 1135078297 /nfs/dbraw/zinc/07/82/97/1135078297.db2.gz GQHYUDJQVHXDOG-LBPRGKRZSA-N 1 2 283.362 3.669 20 0 CHADLO C[C@@H]1SCC[N@H+](Cc2coc(-c3cccs3)n2)[C@H]1C ZINC000118418012 1125522368 /nfs/dbraw/zinc/52/23/68/1125522368.db2.gz HGAPEDGWGMOFIM-QWRGUYRKSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1SCC[N@@H+](Cc2coc(-c3cccs3)n2)[C@H]1C ZINC000118418012 1125522380 /nfs/dbraw/zinc/52/23/80/1125522380.db2.gz HGAPEDGWGMOFIM-QWRGUYRKSA-N 1 2 294.445 3.729 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ccc(F)c(C[NH+](C)C)c2)o1 ZINC000120974382 1125554087 /nfs/dbraw/zinc/55/40/87/1125554087.db2.gz JWNHREQSORMAAP-CYBMUJFWSA-N 1 2 290.382 3.640 20 0 CHADLO CC(C)(C)OC(=O)c1cccc(COc2cc[nH+]cc2)c1 ZINC000431494391 1125580538 /nfs/dbraw/zinc/58/05/38/1125580538.db2.gz LKOUDTNCIDFQKJ-UHFFFAOYSA-N 1 2 285.343 3.616 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1c[nH]cc1C1CC1 ZINC001625982070 1125622659 /nfs/dbraw/zinc/62/26/59/1125622659.db2.gz XZKSLHSEFGMPSZ-UHFFFAOYSA-N 1 2 292.342 3.535 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000069069537 1125628915 /nfs/dbraw/zinc/62/89/15/1125628915.db2.gz VNYKCDYAXHQOMD-LLVKDONJSA-N 1 2 292.766 3.565 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000069069537 1125628918 /nfs/dbraw/zinc/62/89/18/1125628918.db2.gz VNYKCDYAXHQOMD-LLVKDONJSA-N 1 2 292.766 3.565 20 0 CHADLO Cc1coc(C[NH2+][C@@H](c2nc(C)cs2)C2CC2)c1 ZINC000885999113 1125634602 /nfs/dbraw/zinc/63/46/02/1125634602.db2.gz FEQJZOCTFIFIQJ-CYBMUJFWSA-N 1 2 262.378 3.594 20 0 CHADLO Cc1ccc(NC(=O)Nc2cccc3[nH+]ccn32)c(C)c1 ZINC001202734582 1125635558 /nfs/dbraw/zinc/63/55/58/1125635558.db2.gz BEBRDRAZWDYAFG-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Cc1ccc(C)c(C(=O)CCC(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000073069577 1125637172 /nfs/dbraw/zinc/63/71/72/1125637172.db2.gz GZYXWPAQZYUJJR-UHFFFAOYSA-N 1 2 296.370 3.608 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@@H+]2CCC[C@@](C)(CC)C2)n1 ZINC000247993071 1125661428 /nfs/dbraw/zinc/66/14/28/1125661428.db2.gz JPUZKLBUKWVVHV-SWLSCSKDSA-N 1 2 297.468 3.896 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@H+]2CCC[C@@](C)(CC)C2)n1 ZINC000247993071 1125661436 /nfs/dbraw/zinc/66/14/36/1125661436.db2.gz JPUZKLBUKWVVHV-SWLSCSKDSA-N 1 2 297.468 3.896 20 0 CHADLO Cc1coc(C[NH2+][C@@H]2c3ccccc3CC[C@H]2F)c1 ZINC000886187760 1125659979 /nfs/dbraw/zinc/65/99/79/1125659979.db2.gz BEPQKWQMRJAJKB-HZPDHXFCSA-N 1 2 259.324 3.703 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccc(Cl)cn1 ZINC000886188541 1125660435 /nfs/dbraw/zinc/66/04/35/1125660435.db2.gz UVEGPUJYASLSAG-UHFFFAOYSA-N 1 2 293.823 3.995 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@@H]1CC[C@@H](C3CC3)O1)C2 ZINC000886243320 1125662926 /nfs/dbraw/zinc/66/29/26/1125662926.db2.gz VFYTXPCGHXBHAW-HOTGVXAUSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@@H]1CC[C@@H](C3CC3)O1)C2 ZINC000886243320 1125662930 /nfs/dbraw/zinc/66/29/30/1125662930.db2.gz VFYTXPCGHXBHAW-HOTGVXAUSA-N 1 2 277.795 3.613 20 0 CHADLO COc1ccc(C[NH2+]Cc2cc(C3CC3)no2)c(Cl)c1 ZINC000886272543 1125665433 /nfs/dbraw/zinc/66/54/33/1125665433.db2.gz SZRVWYYPNUZVBK-UHFFFAOYSA-N 1 2 292.766 3.504 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+][C@H](C)c1ncco1 ZINC000886274320 1125665634 /nfs/dbraw/zinc/66/56/34/1125665634.db2.gz QIJMRNYGXGXOIV-SNVBAGLBSA-N 1 2 256.305 3.580 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC[C@H](C)C[C@@H]1c1ccc(C)o1 ZINC000076120468 1125668579 /nfs/dbraw/zinc/66/85/79/1125668579.db2.gz MIADQPBLMYMGOC-DZGCQCFKSA-N 1 2 287.407 3.778 20 0 CHADLO CCn1ccnc1C[N@H+]1CC[C@H](C)C[C@@H]1c1ccc(C)o1 ZINC000076120468 1125668583 /nfs/dbraw/zinc/66/85/83/1125668583.db2.gz MIADQPBLMYMGOC-DZGCQCFKSA-N 1 2 287.407 3.778 20 0 CHADLO Cc1cc(C)c2cc(NCC3=CCCOC3)ccc2[nH+]1 ZINC000886369276 1125674192 /nfs/dbraw/zinc/67/41/92/1125674192.db2.gz AZJICNKVDUWVKK-UHFFFAOYSA-N 1 2 268.360 3.610 20 0 CHADLO CC(C)CC(=O)CC[N@@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000828003259 1125679021 /nfs/dbraw/zinc/67/90/21/1125679021.db2.gz HEZJCCCQORLGSC-CJNGLKHVSA-N 1 2 295.448 3.515 20 0 CHADLO CC(C)CC(=O)CC[N@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000828003259 1125679026 /nfs/dbraw/zinc/67/90/26/1125679026.db2.gz HEZJCCCQORLGSC-CJNGLKHVSA-N 1 2 295.448 3.515 20 0 CHADLO CC(C)(C)c1csc(C[NH2+][C@@H]2CCc3cccnc32)n1 ZINC000886525088 1125684142 /nfs/dbraw/zinc/68/41/42/1125684142.db2.gz XEKYAQZSNZYYKM-GFCCVEGCSA-N 1 2 287.432 3.613 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CCc3cccnc32)c1 ZINC000886578400 1125692915 /nfs/dbraw/zinc/69/29/15/1125692915.db2.gz UTOBPQKTNCBEEL-CQSZACIVSA-N 1 2 285.416 3.829 20 0 CHADLO Cc1cc(N[C@@H]2CCc3cccnc32)ccc1[NH+](C)C ZINC000886584208 1125693781 /nfs/dbraw/zinc/69/37/81/1125693781.db2.gz KNQUUDVEIBSAGD-OAHLLOKOSA-N 1 2 267.376 3.555 20 0 CHADLO COC(=O)c1coc([C@@H](C)[NH2+]Cc2cscc2Cl)c1 ZINC000886658128 1125703841 /nfs/dbraw/zinc/70/38/41/1125703841.db2.gz QQOSRIXUHWVDHI-MRVPVSSYSA-N 1 2 299.779 3.632 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1cnccc1Cl ZINC001137230202 1125717263 /nfs/dbraw/zinc/71/72/63/1125717263.db2.gz LPCYUCTUMCXJBE-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1cnccc1Cl ZINC001137230202 1125717268 /nfs/dbraw/zinc/71/72/68/1125717268.db2.gz LPCYUCTUMCXJBE-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Fc1cccc2c1CC[C@@H]2[NH2+]Cc1csc(Cl)n1 ZINC000886922892 1125724131 /nfs/dbraw/zinc/72/41/31/1125724131.db2.gz CLDPOYNPSBIOHG-LBPRGKRZSA-N 1 2 282.771 3.713 20 0 CHADLO FC(F)n1ccnc1C[N@@H+]1CCC[C@@H]1c1ccccc1 ZINC000078775507 1125732738 /nfs/dbraw/zinc/73/27/38/1125732738.db2.gz HZJBOLVETRWKLM-CYBMUJFWSA-N 1 2 277.318 3.615 20 0 CHADLO FC(F)n1ccnc1C[N@H+]1CCC[C@@H]1c1ccccc1 ZINC000078775507 1125732744 /nfs/dbraw/zinc/73/27/44/1125732744.db2.gz HZJBOLVETRWKLM-CYBMUJFWSA-N 1 2 277.318 3.615 20 0 CHADLO CC(C)(C)c1cc(C[NH2+][C@@H]2CCc3c2cccc3F)no1 ZINC000886928621 1125726529 /nfs/dbraw/zinc/72/65/29/1125726529.db2.gz HDOLGIXIHKQHGI-OAHLLOKOSA-N 1 2 288.366 3.888 20 0 CHADLO COc1cc[nH+]c(Nc2cc(Cl)nc(Cl)c2)c1 ZINC001212087700 1125727317 /nfs/dbraw/zinc/72/73/17/1125727317.db2.gz NTLIOOUYUBHVNC-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2cccc(Cl)n2)C1 ZINC000091439218 1125749978 /nfs/dbraw/zinc/74/99/78/1125749978.db2.gz XZNIPWIAZAARFG-SECBINFHSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC000091439218 1125749987 /nfs/dbraw/zinc/74/99/87/1125749987.db2.gz XZNIPWIAZAARFG-SECBINFHSA-N 1 2 278.705 3.509 20 0 CHADLO Cc1ccc(OC(F)F)c(C[NH2+][C@@H](C)c2nccs2)c1 ZINC000091735823 1125751190 /nfs/dbraw/zinc/75/11/90/1125751190.db2.gz BIMBUEFQRBJNOF-JTQLQIEISA-N 1 2 298.358 3.904 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000192502758 1125774353 /nfs/dbraw/zinc/77/43/53/1125774353.db2.gz WWOFAMWKBVMYPQ-SECBINFHSA-N 1 2 299.296 3.502 20 0 CHADLO Cc1ccc(NC(=O)Nc2ccn3cc[nH+]c3c2)c(C)c1 ZINC001202739575 1125782836 /nfs/dbraw/zinc/78/28/36/1125782836.db2.gz BUDNJPFEUKWFQL-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Cn1cc(Cl)cc1C[N@H+](C)Cc1ccc(C(F)F)nc1 ZINC000638170069 1129247171 /nfs/dbraw/zinc/24/71/71/1129247171.db2.gz HBYATBKZKZDDFQ-UHFFFAOYSA-N 1 2 299.752 3.643 20 0 CHADLO Cn1cc(Cl)cc1C[N@@H+](C)Cc1ccc(C(F)F)nc1 ZINC000638170069 1129247172 /nfs/dbraw/zinc/24/71/72/1129247172.db2.gz HBYATBKZKZDDFQ-UHFFFAOYSA-N 1 2 299.752 3.643 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+]1CCC[C@@H]1CF ZINC001238699514 1131234201 /nfs/dbraw/zinc/23/42/01/1131234201.db2.gz RGBVGQBQSAEPLB-CQSZACIVSA-N 1 2 269.335 3.547 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+]1CCC[C@@H]1CF ZINC001238699514 1131234209 /nfs/dbraw/zinc/23/42/09/1131234209.db2.gz RGBVGQBQSAEPLB-CQSZACIVSA-N 1 2 269.335 3.547 20 0 CHADLO Fc1cc(C[NH+]2Cc3ccccc3C2)cc(N2CCCC2)c1 ZINC001238698641 1131234481 /nfs/dbraw/zinc/23/44/81/1131234481.db2.gz JXECETWWYMZGMK-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc([NH+](C)C)cc2)C12CCC2 ZINC000087709470 1129191341 /nfs/dbraw/zinc/19/13/41/1129191341.db2.gz ARNDIANCXOIFIY-CVEARBPZSA-N 1 2 274.408 3.512 20 0 CHADLO CCCC[C@@H](C)N(CCCC)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000635967884 1129195734 /nfs/dbraw/zinc/19/57/34/1129195734.db2.gz LZKJNLLISLGYCX-RBSFLKMASA-N 1 2 291.439 3.721 20 0 CHADLO CCCC[C@@H](C)N(CCCC)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000635967884 1129195738 /nfs/dbraw/zinc/19/57/38/1129195738.db2.gz LZKJNLLISLGYCX-RBSFLKMASA-N 1 2 291.439 3.721 20 0 CHADLO CCC[N@H+](Cc1cn(C)nc1Cl)Cc1ccc(F)cc1 ZINC001118755283 1131236196 /nfs/dbraw/zinc/23/61/96/1131236196.db2.gz XMGJYNRIOXPFHN-UHFFFAOYSA-N 1 2 295.789 3.625 20 0 CHADLO CCC[N@@H+](Cc1cn(C)nc1Cl)Cc1ccc(F)cc1 ZINC001118755283 1131236201 /nfs/dbraw/zinc/23/62/01/1131236201.db2.gz XMGJYNRIOXPFHN-UHFFFAOYSA-N 1 2 295.789 3.625 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2F)[N@H+](Cc2nccs2)C1 ZINC000135104507 1126712717 /nfs/dbraw/zinc/71/27/17/1126712717.db2.gz YERPPCYXHRTXRQ-BXUZGUMPSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2F)[N@@H+](Cc2nccs2)C1 ZINC000135104507 1126712722 /nfs/dbraw/zinc/71/27/22/1126712722.db2.gz YERPPCYXHRTXRQ-BXUZGUMPSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Cl)s2)CC2(CCC2)O1 ZINC000567810681 1126719043 /nfs/dbraw/zinc/71/90/43/1126719043.db2.gz BSBNGRHUMOJLMZ-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)s2)CC2(CCC2)O1 ZINC000567810681 1126719044 /nfs/dbraw/zinc/71/90/44/1126719044.db2.gz BSBNGRHUMOJLMZ-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO COc1ccc(C)cc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000338136814 1126720660 /nfs/dbraw/zinc/72/06/60/1126720660.db2.gz HPTOZHUOLLZSJC-UHFFFAOYSA-N 1 2 293.370 3.801 20 0 CHADLO COc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)cc1C ZINC000338153486 1126721410 /nfs/dbraw/zinc/72/14/10/1126721410.db2.gz VFRUKLXAPIZWFD-UHFFFAOYSA-N 1 2 293.370 3.801 20 0 CHADLO Cc1cnc(F)cc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001212848882 1126735962 /nfs/dbraw/zinc/73/59/62/1126735962.db2.gz ZGMNXOFOYCDWAT-UHFFFAOYSA-N 1 2 276.702 3.574 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@H](C)c1oc2ccccc2c1C ZINC000924773063 1126739816 /nfs/dbraw/zinc/73/98/16/1126739816.db2.gz LRDPNBGAVMTUOP-CMPLNLGQSA-N 1 2 285.347 3.844 20 0 CHADLO CCCCCC[C@@H](CC)C(=O)OCc1cc[nH+]c(N)c1 ZINC000791912744 1130422115 /nfs/dbraw/zinc/42/21/15/1130422115.db2.gz SDBAOCJSFMVMNH-CQSZACIVSA-N 1 2 278.396 3.704 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[NH+]1CC2(C1)CC(F)(F)C2 ZINC000679217485 1126766311 /nfs/dbraw/zinc/76/63/11/1126766311.db2.gz APELVCQWKIHDIB-SNVBAGLBSA-N 1 2 278.302 3.620 20 0 CHADLO CC[N@H+](Cc1csc([C@H](C)OC)n1)Cc1ccccc1 ZINC000175030121 1126775754 /nfs/dbraw/zinc/77/57/54/1126775754.db2.gz NHFZWEOUXGYDNV-ZDUSSCGKSA-N 1 2 290.432 3.873 20 0 CHADLO Cn1ncc(C2CC2)c1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000668225668 1126774836 /nfs/dbraw/zinc/77/48/36/1126774836.db2.gz SKXUJJYTTPXMDF-QGZVFWFLSA-N 1 2 297.402 3.618 20 0 CHADLO Cn1ncc(C2CC2)c1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000668225668 1126774839 /nfs/dbraw/zinc/77/48/39/1126774839.db2.gz SKXUJJYTTPXMDF-QGZVFWFLSA-N 1 2 297.402 3.618 20 0 CHADLO CC[N@@H+](Cc1csc([C@H](C)OC)n1)Cc1ccccc1 ZINC000175030121 1126775759 /nfs/dbraw/zinc/77/57/59/1126775759.db2.gz NHFZWEOUXGYDNV-ZDUSSCGKSA-N 1 2 290.432 3.873 20 0 CHADLO CCC[C@H](C)[NH2+][C@@H](Cc1ccccc1)C(=O)OC(C)(C)C ZINC000777304013 1126787635 /nfs/dbraw/zinc/78/76/35/1126787635.db2.gz KWJIFWWOISGYKK-HOCLYGCPSA-N 1 2 291.435 3.718 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679221874 1130504359 /nfs/dbraw/zinc/50/43/59/1130504359.db2.gz HSVMJWYNIOOOKN-RULNRJAQSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679221874 1130504363 /nfs/dbraw/zinc/50/43/63/1130504363.db2.gz HSVMJWYNIOOOKN-RULNRJAQSA-N 1 2 257.299 3.706 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)CCC(F)(F)F)c1 ZINC000483599664 1126794672 /nfs/dbraw/zinc/79/46/72/1126794672.db2.gz ISSYJYWPFFFRJM-UHFFFAOYSA-N 1 2 281.705 3.733 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)CCC(F)(F)F)c1 ZINC000483599664 1126794675 /nfs/dbraw/zinc/79/46/75/1126794675.db2.gz ISSYJYWPFFFRJM-UHFFFAOYSA-N 1 2 281.705 3.733 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1cnc(Cl)s1 ZINC000177165606 1126797658 /nfs/dbraw/zinc/79/76/58/1126797658.db2.gz XWFHLISQPNKZQG-VIFPVBQESA-N 1 2 282.796 3.695 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1cnc(Cl)s1 ZINC000177165606 1126797660 /nfs/dbraw/zinc/79/76/60/1126797660.db2.gz XWFHLISQPNKZQG-VIFPVBQESA-N 1 2 282.796 3.695 20 0 CHADLO Fc1ccc(C[NH+]2CCC3(CC3(F)F)CC2)c(F)c1F ZINC000668285687 1126805900 /nfs/dbraw/zinc/80/59/00/1126805900.db2.gz OKOZKOAGWHCIFP-UHFFFAOYSA-N 1 2 291.263 3.725 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCOc2c(Cl)cccc21)c1nccs1 ZINC000177924089 1126807349 /nfs/dbraw/zinc/80/73/49/1126807349.db2.gz VLMDPRPNBKPGLM-JOYOIKCWSA-N 1 2 294.807 3.971 20 0 CHADLO CCc1nc([C@@H](C)Nc2[nH+]c3ccccc3n2C)cs1 ZINC000179296042 1126825971 /nfs/dbraw/zinc/82/59/71/1126825971.db2.gz KGQGYZXKQIMRMC-SNVBAGLBSA-N 1 2 286.404 3.765 20 0 CHADLO Cc1sccc1-c1ccc(C[NH+]2CCOCC2)cc1F ZINC001240501439 1126827944 /nfs/dbraw/zinc/82/79/44/1126827944.db2.gz RQDFIVGQNPWQIU-UHFFFAOYSA-N 1 2 291.391 3.695 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cccc(OC(C)C)c2)oc1C ZINC000179679337 1126831772 /nfs/dbraw/zinc/83/17/72/1126831772.db2.gz FSWXSFDSPHYASL-ZDUSSCGKSA-N 1 2 288.391 3.929 20 0 CHADLO COc1c(C)c[nH+]c(CSCc2cnc(C)s2)c1C ZINC000180385177 1126843668 /nfs/dbraw/zinc/84/36/68/1126843668.db2.gz IIDYMHSGOJKMSS-UHFFFAOYSA-N 1 2 294.445 3.905 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2sc(C)nc2C)s1 ZINC000180484930 1126844926 /nfs/dbraw/zinc/84/49/26/1126844926.db2.gz YGSYNRDDRJUKNP-SNVBAGLBSA-N 1 2 281.450 3.718 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2sc(C)nc2C)s1 ZINC000180484930 1126844929 /nfs/dbraw/zinc/84/49/29/1126844929.db2.gz YGSYNRDDRJUKNP-SNVBAGLBSA-N 1 2 281.450 3.718 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@@H](C)c2csc(C(C)C)n2)cnn1C ZINC000180908317 1126850270 /nfs/dbraw/zinc/85/02/70/1126850270.db2.gz GNPVSMWEJIGMNZ-QWRGUYRKSA-N 1 2 292.452 3.720 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1cc(-c2ccco2)on1 ZINC000181116631 1126852049 /nfs/dbraw/zinc/85/20/49/1126852049.db2.gz JSOMHVZUSRXBOQ-OAHLLOKOSA-N 1 2 297.358 3.610 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1cc(-c2ccco2)on1 ZINC000181116631 1126852055 /nfs/dbraw/zinc/85/20/55/1126852055.db2.gz JSOMHVZUSRXBOQ-OAHLLOKOSA-N 1 2 297.358 3.610 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCOc2c(F)cccc21)c1nccs1 ZINC000181322949 1126855036 /nfs/dbraw/zinc/85/50/36/1126855036.db2.gz SLBLLHLYIHMNQD-GXFFZTMASA-N 1 2 292.379 3.847 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1ccon1)c1ccc(F)cc1F ZINC000181613999 1126858727 /nfs/dbraw/zinc/85/87/27/1126858727.db2.gz PKNMVFBZVZXFAA-CQSZACIVSA-N 1 2 280.318 3.830 20 0 CHADLO CC(C)[C@H]1CCC[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000780496381 1130681896 /nfs/dbraw/zinc/68/18/96/1130681896.db2.gz JDMNEFUXBDVUEY-LBPRGKRZSA-N 1 2 296.484 3.564 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000780496381 1130681900 /nfs/dbraw/zinc/68/19/00/1130681900.db2.gz JDMNEFUXBDVUEY-LBPRGKRZSA-N 1 2 296.484 3.564 20 0 CHADLO CC(C)c1nccn1CCCCn1cc[nH+]c1C(C)C ZINC000182804744 1126877287 /nfs/dbraw/zinc/87/72/87/1126877287.db2.gz SAOFMHPQCWYDDT-UHFFFAOYSA-N 1 2 274.412 3.807 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2cc3ccccc3o2)n1C ZINC000182634184 1126874705 /nfs/dbraw/zinc/87/47/05/1126874705.db2.gz SMAQDSDBASZAKI-LBPRGKRZSA-N 1 2 298.390 3.536 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCC(O)CC2)cc1)C1CCCC1 ZINC000183096426 1126881650 /nfs/dbraw/zinc/88/16/50/1126881650.db2.gz NLRAAVZZQWNUMP-AWEZNQCLSA-N 1 2 288.435 3.638 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)c2ccccn2)c1 ZINC000183349629 1126885910 /nfs/dbraw/zinc/88/59/10/1126885910.db2.gz YAAVULTXVZKQRO-GFCCVEGCSA-N 1 2 273.405 3.903 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2nc(C)c(C)s2)C2CC2)n1 ZINC000183503646 1126888014 /nfs/dbraw/zinc/88/80/14/1126888014.db2.gz LTBZPJGKEUOJFX-ZDUSSCGKSA-N 1 2 293.461 3.766 20 0 CHADLO CC(C)[C@H]1C[C@H](Nc2c[nH+]cc3c2CCCC3)CCO1 ZINC000668440613 1126891753 /nfs/dbraw/zinc/89/17/53/1126891753.db2.gz OWTPKHMBEFEICK-RHSMWYFYSA-N 1 2 274.408 3.576 20 0 CHADLO CC(C)[C@H]1C[C@@H](Nc2c[nH+]cc3c2CCCC3)CCO1 ZINC000668440614 1126891923 /nfs/dbraw/zinc/89/19/23/1126891923.db2.gz OWTPKHMBEFEICK-WMLDXEAASA-N 1 2 274.408 3.576 20 0 CHADLO c1[nH+]cc(NC2CCC3(CCCO3)CC2)c2c1CCCC2 ZINC000668442190 1126892080 /nfs/dbraw/zinc/89/20/80/1126892080.db2.gz ZPEMLNORLDYTIM-UHFFFAOYSA-N 1 2 286.419 3.864 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+][C@@H](C)c1ccc(F)cc1Cl ZINC000188036816 1126919144 /nfs/dbraw/zinc/91/91/44/1126919144.db2.gz GMVMOSZTRNTNFJ-GXSJLCMTSA-N 1 2 293.773 3.989 20 0 CHADLO COc1cccc([C@@H](C)NC(=O)c2c(C)cc(C)[nH+]c2C)c1 ZINC000905942845 1126922683 /nfs/dbraw/zinc/92/26/83/1126922683.db2.gz FIJMRLCNDYGUBC-CYBMUJFWSA-N 1 2 298.386 3.506 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2csc(C)n2)o1 ZINC000189939721 1126936776 /nfs/dbraw/zinc/93/67/76/1126936776.db2.gz BVLMFIZVLSQUJX-CQSZACIVSA-N 1 2 262.378 3.690 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2csc(C)n2)o1 ZINC000189939721 1126936778 /nfs/dbraw/zinc/93/67/78/1126936778.db2.gz BVLMFIZVLSQUJX-CQSZACIVSA-N 1 2 262.378 3.690 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2cccc(F)c2)cs1 ZINC000189767269 1126934837 /nfs/dbraw/zinc/93/48/37/1126934837.db2.gz NSGVLPMGHHPLTQ-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2cccc(F)c2)cs1 ZINC000189767269 1126934840 /nfs/dbraw/zinc/93/48/40/1126934840.db2.gz NSGVLPMGHHPLTQ-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@@H](C2CC2)C1 ZINC000485798135 1126953887 /nfs/dbraw/zinc/95/38/87/1126953887.db2.gz MWLUIJYIRIMICM-HZPDHXFCSA-N 1 2 281.346 3.527 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1CCO[C@@H](C2CC2)C1 ZINC000485798135 1126953891 /nfs/dbraw/zinc/95/38/91/1126953891.db2.gz MWLUIJYIRIMICM-HZPDHXFCSA-N 1 2 281.346 3.527 20 0 CHADLO Cc1cc(C)c(C(=O)N2CC[C@@H](c3ccccc3)C2)c(C)[nH+]1 ZINC000906452970 1126954831 /nfs/dbraw/zinc/95/48/31/1126954831.db2.gz BWOOTNSUMKQFRE-QGZVFWFLSA-N 1 2 294.398 3.637 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000191890407 1126955791 /nfs/dbraw/zinc/95/57/91/1126955791.db2.gz XIQOINJMMLMCKH-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000191890407 1126955792 /nfs/dbraw/zinc/95/57/92/1126955792.db2.gz XIQOINJMMLMCKH-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1cc(OCc2nc(C(C)C)no2)c2ccccc2[nH+]1 ZINC000192192504 1126959568 /nfs/dbraw/zinc/95/95/68/1126959568.db2.gz LBNHAVASEUAZKB-UHFFFAOYSA-N 1 2 283.331 3.629 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1nccs1)c1ccc(Cl)cc1 ZINC000194032269 1126972347 /nfs/dbraw/zinc/97/23/47/1126972347.db2.gz QNUNOESJJOOZHY-GWCFXTLKSA-N 1 2 296.823 3.835 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@H]2CCCC(F)(F)C2)c(C)[nH+]1 ZINC000908013391 1126989481 /nfs/dbraw/zinc/98/94/81/1126989481.db2.gz OLSOBGABBNMSQQ-ZDUSSCGKSA-N 1 2 296.361 3.562 20 0 CHADLO Cc1[nH+]cc(CNc2ccc(Cl)nc2)n1-c1ccccc1 ZINC000149535690 1126992474 /nfs/dbraw/zinc/99/24/74/1126992474.db2.gz KHQBAJFYXPZKSJ-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Clc1ccc([C@H]2CC[N@@H+]2Cc2cccnc2)cc1 ZINC000267230882 1126995682 /nfs/dbraw/zinc/99/56/82/1126995682.db2.gz VRCNSJKIGGWUFU-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccc([C@H]2CC[N@H+]2Cc2cccnc2)cc1 ZINC000267230882 1126995684 /nfs/dbraw/zinc/99/56/84/1126995684.db2.gz VRCNSJKIGGWUFU-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Cc1cccc(CN(Cc2ccco2)Cc2c[nH+]cn2C)c1 ZINC000267579424 1127001849 /nfs/dbraw/zinc/00/18/49/1127001849.db2.gz FXORNRSPCRIVCF-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO CCCCC[C@@H]1CCC[N@@H+]1Cc1noc(C(C)(C)C)n1 ZINC000486787893 1127001899 /nfs/dbraw/zinc/00/18/99/1127001899.db2.gz LQVWEWUWFZNPAQ-CYBMUJFWSA-N 1 2 279.428 3.912 20 0 CHADLO CCCCC[C@@H]1CCC[N@H+]1Cc1noc(C(C)(C)C)n1 ZINC000486787893 1127001902 /nfs/dbraw/zinc/00/19/02/1127001902.db2.gz LQVWEWUWFZNPAQ-CYBMUJFWSA-N 1 2 279.428 3.912 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccc(F)c(F)c2)s1 ZINC000268031605 1127010146 /nfs/dbraw/zinc/01/01/46/1127010146.db2.gz ZVTSUCWETKVPQG-VIFPVBQESA-N 1 2 297.374 3.791 20 0 CHADLO C[C@@H]1CCN(c2ccc3ccccc3n2)C[C@H]1n1cc[nH+]c1 ZINC000268286841 1127014894 /nfs/dbraw/zinc/01/48/94/1127014894.db2.gz TYWXCGPEBWNXSY-RHSMWYFYSA-N 1 2 292.386 3.519 20 0 CHADLO C[C@@H]1CCN(c2ccc3ccccc3n2)C[C@@H]1n1cc[nH+]c1 ZINC000268286839 1127015339 /nfs/dbraw/zinc/01/53/39/1127015339.db2.gz TYWXCGPEBWNXSY-PBHICJAKSA-N 1 2 292.386 3.519 20 0 CHADLO c1ccc(Nc2ccc(NCC[C@H]3CCOC3)[nH+]c2)cc1 ZINC000668743495 1127019077 /nfs/dbraw/zinc/01/90/77/1127019077.db2.gz UBOXVAULKKMZHA-AWEZNQCLSA-N 1 2 283.375 3.664 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1ccn(C(C)C)n1 ZINC000269024771 1127032882 /nfs/dbraw/zinc/03/28/82/1127032882.db2.gz QRZSJJXHTHNOHI-AWEZNQCLSA-N 1 2 287.407 3.632 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1nccs1 ZINC000269624161 1127043078 /nfs/dbraw/zinc/04/30/78/1127043078.db2.gz FSMCHBYISIZVTM-NSHDSACASA-N 1 2 264.419 3.714 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1nccs1 ZINC000269624161 1127043083 /nfs/dbraw/zinc/04/30/83/1127043083.db2.gz FSMCHBYISIZVTM-NSHDSACASA-N 1 2 264.419 3.714 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1Cc2ccccc2C(C)(C)C1 ZINC000270361958 1127053552 /nfs/dbraw/zinc/05/35/52/1127053552.db2.gz KOMBRLMHDNWRNQ-GFCCVEGCSA-N 1 2 297.402 3.801 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1Cc2ccccc2C(C)(C)C1 ZINC000270361958 1127053554 /nfs/dbraw/zinc/05/35/54/1127053554.db2.gz KOMBRLMHDNWRNQ-GFCCVEGCSA-N 1 2 297.402 3.801 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccnc2Cl)[C@@H]1c1ccccc1 ZINC000174977573 1127062430 /nfs/dbraw/zinc/06/24/30/1127062430.db2.gz UUVVVORLUXRTGI-DOMZBBRYSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccnc2Cl)[C@@H]1c1ccccc1 ZINC000174977573 1127062434 /nfs/dbraw/zinc/06/24/34/1127062434.db2.gz UUVVVORLUXRTGI-DOMZBBRYSA-N 1 2 272.779 3.928 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@H](C)c1cscn1 ZINC000271027897 1127064865 /nfs/dbraw/zinc/06/48/65/1127064865.db2.gz BIEBNPHADBCGEA-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO Oc1cc(C[N@@H+]2CCCCC23CC3)ccc1Br ZINC001236699402 1130996554 /nfs/dbraw/zinc/99/65/54/1130996554.db2.gz UJCCLLNVLHYAIZ-UHFFFAOYSA-N 1 2 296.208 3.673 20 0 CHADLO Oc1cc(C[N@H+]2CCCCC23CC3)ccc1Br ZINC001236699402 1130996560 /nfs/dbraw/zinc/99/65/60/1130996560.db2.gz UJCCLLNVLHYAIZ-UHFFFAOYSA-N 1 2 296.208 3.673 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@H](C)c1cscn1 ZINC000271202091 1127071972 /nfs/dbraw/zinc/07/19/72/1127071972.db2.gz ZNDLKEGJZBYZAD-NWDGAFQWSA-N 1 2 276.405 3.954 20 0 CHADLO CCCCc1ccc(C[N@@H+]2CCC(=O)C[C@H]2C)s1 ZINC001138753624 1129214761 /nfs/dbraw/zinc/21/47/61/1129214761.db2.gz YWAVWDIBRUUTPZ-GFCCVEGCSA-N 1 2 265.422 3.644 20 0 CHADLO CCCCc1ccc(C[N@H+]2CCC(=O)C[C@H]2C)s1 ZINC001138753624 1129214764 /nfs/dbraw/zinc/21/47/64/1129214764.db2.gz YWAVWDIBRUUTPZ-GFCCVEGCSA-N 1 2 265.422 3.644 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2ncc(Cl)s2)CC1 ZINC000645980759 1127078633 /nfs/dbraw/zinc/07/86/33/1127078633.db2.gz IUFAJHSJLCCDOL-UHFFFAOYSA-N 1 2 278.808 3.884 20 0 CHADLO Cc1nnc(C[N@H+](Cc2ccoc2)C2CCCCC2)s1 ZINC000271475552 1127085007 /nfs/dbraw/zinc/08/50/07/1127085007.db2.gz WSIXTIJYDPFQMI-UHFFFAOYSA-N 1 2 291.420 3.774 20 0 CHADLO Cc1nnc(C[N@@H+](Cc2ccoc2)C2CCCCC2)s1 ZINC000271475552 1127085011 /nfs/dbraw/zinc/08/50/11/1127085011.db2.gz WSIXTIJYDPFQMI-UHFFFAOYSA-N 1 2 291.420 3.774 20 0 CHADLO CCC[N@H+](Cc1coc(C)n1)[C@H](C)c1ccccc1OC ZINC000659859668 1127085245 /nfs/dbraw/zinc/08/52/45/1127085245.db2.gz LYPDEYJORZKFHC-CYBMUJFWSA-N 1 2 288.391 3.965 20 0 CHADLO CCC[N@@H+](Cc1coc(C)n1)[C@H](C)c1ccccc1OC ZINC000659859668 1127085248 /nfs/dbraw/zinc/08/52/48/1127085248.db2.gz LYPDEYJORZKFHC-CYBMUJFWSA-N 1 2 288.391 3.965 20 0 CHADLO CCc1cccc2c1OCC[C@@H]2[NH2+][C@@H](C)c1nccs1 ZINC000271501049 1127086481 /nfs/dbraw/zinc/08/64/81/1127086481.db2.gz QOZLWAVQURRSIF-FZMZJTMJSA-N 1 2 288.416 3.880 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+][C@H](CO)c2c(F)cccc2F)c1 ZINC000271525473 1127088944 /nfs/dbraw/zinc/08/89/44/1127088944.db2.gz VRHPLHGOQSQHMP-MLGOLLRUSA-N 1 2 291.341 3.657 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC(C2CC2)C2CC2)c(C)[nH+]1 ZINC000570373334 1127092923 /nfs/dbraw/zinc/09/29/23/1127092923.db2.gz KLTUPPBFYCQUOQ-UHFFFAOYSA-N 1 2 287.407 3.565 20 0 CHADLO CC[N@H+](Cc1nc([C@H]2CCCc3ccccc32)no1)C1CC1 ZINC000273806881 1127097721 /nfs/dbraw/zinc/09/77/21/1127097721.db2.gz WDSKPPPUBTVPOR-INIZCTEOSA-N 1 2 297.402 3.522 20 0 CHADLO CC[N@@H+](Cc1nc([C@H]2CCCc3ccccc32)no1)C1CC1 ZINC000273806881 1127097723 /nfs/dbraw/zinc/09/77/23/1127097723.db2.gz WDSKPPPUBTVPOR-INIZCTEOSA-N 1 2 297.402 3.522 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000271637671 1127096223 /nfs/dbraw/zinc/09/62/23/1127096223.db2.gz CCPBDKKKOIZNBX-SECBINFHSA-N 1 2 280.318 3.674 20 0 CHADLO Cc1ncc(CSCc2ccc(-n3cc[nH+]c3)cc2C)o1 ZINC000912429358 1127098446 /nfs/dbraw/zinc/09/84/46/1127098446.db2.gz LVVDDJGXIBISLX-UHFFFAOYSA-N 1 2 299.399 3.911 20 0 CHADLO CCCc1csc(C[N@H+](Cc2cccnc2)C(C)C)n1 ZINC000349235375 1127144707 /nfs/dbraw/zinc/14/47/07/1127144707.db2.gz CBTVNWPOVSQMML-UHFFFAOYSA-N 1 2 289.448 3.901 20 0 CHADLO CCCc1csc(C[N@@H+](Cc2cccnc2)C(C)C)n1 ZINC000349235375 1127144710 /nfs/dbraw/zinc/14/47/10/1127144710.db2.gz CBTVNWPOVSQMML-UHFFFAOYSA-N 1 2 289.448 3.901 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@H](CO)c2ccsc2)cs1 ZINC000349339778 1127147902 /nfs/dbraw/zinc/14/79/02/1127147902.db2.gz FAXRAPLTSLLSSH-CMPLNLGQSA-N 1 2 296.461 3.712 20 0 CHADLO CC(C)(C)c1cccc(OCC[N@@H+]2Cc3cccnc3C2)c1 ZINC000571041567 1127152656 /nfs/dbraw/zinc/15/26/56/1127152656.db2.gz AGCJBSUEQLQRDV-UHFFFAOYSA-N 1 2 296.414 3.774 20 0 CHADLO CC(C)(C)c1cccc(OCC[N@H+]2Cc3cccnc3C2)c1 ZINC000571041567 1127152659 /nfs/dbraw/zinc/15/26/59/1127152659.db2.gz AGCJBSUEQLQRDV-UHFFFAOYSA-N 1 2 296.414 3.774 20 0 CHADLO Cc1ccsc1C[N@H+](C)Cc1nc2ccccc2[nH]1 ZINC000349544205 1127154605 /nfs/dbraw/zinc/15/46/05/1127154605.db2.gz UMGCNYGDZOFVEY-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccsc1C[N@@H+](C)Cc1nc2ccccc2[nH]1 ZINC000349544205 1127154609 /nfs/dbraw/zinc/15/46/09/1127154609.db2.gz UMGCNYGDZOFVEY-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO CSc1ccc(C[NH2+]Cc2ncc(Cl)s2)cc1 ZINC000349657492 1127156828 /nfs/dbraw/zinc/15/68/28/1127156828.db2.gz WCECADFWYXOTFP-UHFFFAOYSA-N 1 2 284.837 3.808 20 0 CHADLO FC(F)(F)c1cc(C[NH+]2C3CCC2CC3)cc(Cl)n1 ZINC001237498948 1131106748 /nfs/dbraw/zinc/10/67/48/1131106748.db2.gz QZTXJNUHVHPGIV-UHFFFAOYSA-N 1 2 290.716 3.881 20 0 CHADLO C[C@@H](CC(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1F ZINC000350146854 1127174128 /nfs/dbraw/zinc/17/41/28/1127174128.db2.gz NBTBWOAREMVBAV-LBPRGKRZSA-N 1 2 297.333 3.606 20 0 CHADLO COc1ccc(F)c(C[N@@H+]2CCc3c(F)cccc3C2)c1 ZINC000351504086 1127190773 /nfs/dbraw/zinc/19/07/73/1127190773.db2.gz ZJIKXJIZQIJADO-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(F)c(C[N@H+]2CCc3c(F)cccc3C2)c1 ZINC000351504086 1127190774 /nfs/dbraw/zinc/19/07/74/1127190774.db2.gz ZJIKXJIZQIJADO-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCc1ccc(C[N@@H+]2CCO[C@@H](c3ccccc3C)C2)nc1 ZINC000572130971 1127193622 /nfs/dbraw/zinc/19/36/22/1127193622.db2.gz SNZRNAKPWKBJKK-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc(C[N@H+]2CCO[C@@H](c3ccccc3C)C2)nc1 ZINC000572130971 1127193624 /nfs/dbraw/zinc/19/36/24/1127193624.db2.gz SNZRNAKPWKBJKK-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(F)cc(C(F)(F)F)c1)c1ccon1 ZINC000351579857 1127195355 /nfs/dbraw/zinc/19/53/55/1127195355.db2.gz KMKUNKFAJLRGTD-MRVPVSSYSA-N 1 2 288.244 3.683 20 0 CHADLO Oc1cccc(/C=[NH+]/CCc2ccc3ccccc3c2)c1O ZINC000032074347 1127200263 /nfs/dbraw/zinc/20/02/63/1127200263.db2.gz FLWDDFYLPIPCTF-DEDYPNTBSA-N 1 2 291.350 3.913 20 0 CHADLO CCc1ccc(CNc2ccc(N3CCCCC3)[nH+]c2)cn1 ZINC000351643075 1127200864 /nfs/dbraw/zinc/20/08/64/1127200864.db2.gz ZWHDLZFEBXHDNB-UHFFFAOYSA-N 1 2 296.418 3.641 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc(Sc3ccccn3)o2)C1 ZINC000351820646 1127210067 /nfs/dbraw/zinc/21/00/67/1127210067.db2.gz AOWUSIZWKILNHT-OAHLLOKOSA-N 1 2 292.379 3.760 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc(Sc3ccccn3)o2)C1 ZINC000351820646 1127210070 /nfs/dbraw/zinc/21/00/70/1127210070.db2.gz AOWUSIZWKILNHT-OAHLLOKOSA-N 1 2 292.379 3.760 20 0 CHADLO C[C@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1ccc(Cl)cc1 ZINC001274968545 1127211287 /nfs/dbraw/zinc/21/12/87/1127211287.db2.gz DKYWWIZVQSJEAH-NSHDSACASA-N 1 2 299.761 3.730 20 0 CHADLO C[C@H]([NH2+]Cc1sccc1Cl)c1c(F)cncc1F ZINC000285354539 1127222986 /nfs/dbraw/zinc/22/29/86/1127222986.db2.gz AWLJQFAJWAZANK-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+]1CCc2ncsc2C1 ZINC000352413379 1127230100 /nfs/dbraw/zinc/23/01/00/1127230100.db2.gz OIMVWCCKVKYEDX-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+]1CCc2ncsc2C1 ZINC000352413379 1127230104 /nfs/dbraw/zinc/23/01/04/1127230104.db2.gz OIMVWCCKVKYEDX-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2nn(C)c3ccccc23)o1 ZINC000589643446 1127231938 /nfs/dbraw/zinc/23/19/38/1127231938.db2.gz XJMRPPBUKUNCPS-SWLSCSKDSA-N 1 2 295.386 3.580 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2cccs2)cc(C)[nH+]1 ZINC000285533940 1127235595 /nfs/dbraw/zinc/23/55/95/1127235595.db2.gz HMFGUCPCEFHQPN-LBPRGKRZSA-N 1 2 273.405 3.750 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@@H](C)c2c(F)cncc2F)c1 ZINC000285595589 1127238854 /nfs/dbraw/zinc/23/88/54/1127238854.db2.gz WGZKOFZZNNYLST-JTQLQIEISA-N 1 2 280.293 3.658 20 0 CHADLO C[C@H](CC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)CC(C)(C)C ZINC000589955809 1127241567 /nfs/dbraw/zinc/24/15/67/1127241567.db2.gz CPWOFKVFJHIUIT-KGLIPLIRSA-N 1 2 291.439 3.578 20 0 CHADLO Cc1c(C)n(-c2cc[nH+]cc2Cl)c2c1cccc2N ZINC001212162238 1127246788 /nfs/dbraw/zinc/24/67/88/1127246788.db2.gz NHVSHFGCYOGTRU-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+]1C[C@H](C)[S@@](=O)[C@@H](CC)C1 ZINC000352874407 1127247654 /nfs/dbraw/zinc/24/76/54/1127247654.db2.gz HSQQPBUCPOKQKA-DKIXSGTJSA-N 1 2 297.439 3.508 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+]1C[C@H](C)[S@@](=O)[C@@H](CC)C1 ZINC000352874407 1127247658 /nfs/dbraw/zinc/24/76/58/1127247658.db2.gz HSQQPBUCPOKQKA-DKIXSGTJSA-N 1 2 297.439 3.508 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)c(Cl)c1)CC1CC(F)(F)C1 ZINC001237997183 1131160416 /nfs/dbraw/zinc/16/04/16/1131160416.db2.gz MHMGIQKDVARLFC-UHFFFAOYSA-N 1 2 293.716 3.662 20 0 CHADLO Cc1cc(CNC(=O)CCCSC(C)(C)C)cc(C)[nH+]1 ZINC000817458382 1131162252 /nfs/dbraw/zinc/16/22/52/1131162252.db2.gz FTPDCEWAVHIERT-UHFFFAOYSA-N 1 2 294.464 3.627 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+]Cc2csc(-c3ccco3)n2)c1 ZINC000286038989 1127255315 /nfs/dbraw/zinc/25/53/15/1127255315.db2.gz KLIYMEDNCUACBZ-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCC[C@H]1c1cc[nH]n1 ZINC000286132260 1127260362 /nfs/dbraw/zinc/26/03/62/1127260362.db2.gz MSNWKXSABPFABD-INIZCTEOSA-N 1 2 285.391 3.534 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCC[C@H]1c1cc[nH]n1 ZINC000286132260 1127260364 /nfs/dbraw/zinc/26/03/64/1127260364.db2.gz MSNWKXSABPFABD-INIZCTEOSA-N 1 2 285.391 3.534 20 0 CHADLO Cc1cc(C)cc(CNC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000667118939 1127261164 /nfs/dbraw/zinc/26/11/64/1127261164.db2.gz CKAWQPIJIYUSNO-UHFFFAOYSA-N 1 2 297.402 3.945 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2cc(C)cc(C)c2)o1 ZINC000353709940 1127272964 /nfs/dbraw/zinc/27/29/64/1127272964.db2.gz SXCGVOBUTVQDMS-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2cc(C)cc(C)c2)o1 ZINC000353709940 1127272967 /nfs/dbraw/zinc/27/29/67/1127272967.db2.gz SXCGVOBUTVQDMS-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC000590948859 1127279163 /nfs/dbraw/zinc/27/91/63/1127279163.db2.gz NDXJIVOGWDBNSX-GHMZBOCLSA-N 1 2 291.420 3.902 20 0 CHADLO CC1(C)CCCC[C@@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000573046019 1127281307 /nfs/dbraw/zinc/28/13/07/1127281307.db2.gz MGAVPZOXSXMIMY-HNNXBMFYSA-N 1 2 284.407 3.707 20 0 CHADLO Cc1cn2cc(NC(=O)CCc3ccc(C)s3)ccc2[nH+]1 ZINC000354048610 1127288468 /nfs/dbraw/zinc/28/84/68/1127288468.db2.gz FBMKNSDTEFFLCH-UHFFFAOYSA-N 1 2 299.399 3.584 20 0 CHADLO Cc1ccoc1C[N@@H+]1CC(C)(C)O[C@@](C)(C(F)(F)F)C1 ZINC000354178102 1127297037 /nfs/dbraw/zinc/29/70/37/1127297037.db2.gz UPPDJWCSODDEHY-CYBMUJFWSA-N 1 2 291.313 3.520 20 0 CHADLO Cc1ccoc1C[N@H+]1CC(C)(C)O[C@@](C)(C(F)(F)F)C1 ZINC000354178102 1127297040 /nfs/dbraw/zinc/29/70/40/1127297040.db2.gz UPPDJWCSODDEHY-CYBMUJFWSA-N 1 2 291.313 3.520 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)CCC(F)(F)F ZINC000591376244 1127300009 /nfs/dbraw/zinc/30/00/09/1127300009.db2.gz XDKZRPGTMQMOHT-QMMMGPOBSA-N 1 2 267.241 3.910 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)CCC(F)(F)F ZINC000591376244 1127300014 /nfs/dbraw/zinc/30/00/14/1127300014.db2.gz XDKZRPGTMQMOHT-QMMMGPOBSA-N 1 2 267.241 3.910 20 0 CHADLO O=C(/C=C\c1ccccc1)Nc1ccccc1-n1cc[nH+]c1 ZINC000045859896 1127307320 /nfs/dbraw/zinc/30/73/20/1127307320.db2.gz UMXMJGJJEPCYNR-KHPPLWFESA-N 1 2 289.338 3.524 20 0 CHADLO CCOc1ccc(C[N@@H+]2C[C@H](F)C[C@H]2C)c(Cl)c1 ZINC001238394457 1131195450 /nfs/dbraw/zinc/19/54/50/1131195450.db2.gz JVSSZBDHDVYBLQ-ZYHUDNBSSA-N 1 2 271.763 3.671 20 0 CHADLO CCOc1ccc(C[N@H+]2C[C@H](F)C[C@H]2C)c(Cl)c1 ZINC001238394457 1131195453 /nfs/dbraw/zinc/19/54/53/1131195453.db2.gz JVSSZBDHDVYBLQ-ZYHUDNBSSA-N 1 2 271.763 3.671 20 0 CHADLO C[C@H]([NH2+]Cc1cnccc1C(C)(C)C)c1cscn1 ZINC000573575162 1127319228 /nfs/dbraw/zinc/31/92/28/1127319228.db2.gz UTRSWVFPFBYUCP-NSHDSACASA-N 1 2 275.421 3.686 20 0 CHADLO C[C@@H]([NH2+]Cc1cnccc1C(C)(C)C)c1cscn1 ZINC000573575161 1127319776 /nfs/dbraw/zinc/31/97/76/1127319776.db2.gz UTRSWVFPFBYUCP-LLVKDONJSA-N 1 2 275.421 3.686 20 0 CHADLO CCCCc1noc(C[NH2+]C2(c3ccc(C)cc3)CCC2)n1 ZINC000646132220 1127323353 /nfs/dbraw/zinc/32/33/53/1127323353.db2.gz UHVGPGBCNCUALI-UHFFFAOYSA-N 1 2 299.418 3.890 20 0 CHADLO COc1cc(C)cc(F)c1C[N@H+](C)Cc1cccs1 ZINC001238585954 1131217546 /nfs/dbraw/zinc/21/75/46/1131217546.db2.gz QCESFIVNABWENX-UHFFFAOYSA-N 1 2 279.380 3.836 20 0 CHADLO COc1cc(C)cc(F)c1C[N@@H+](C)Cc1cccs1 ZINC001238585954 1131217551 /nfs/dbraw/zinc/21/75/51/1131217551.db2.gz QCESFIVNABWENX-UHFFFAOYSA-N 1 2 279.380 3.836 20 0 CHADLO CCCn1cc[nH+]c1CCCN(C)C(=O)CC[C@@H](C)CC ZINC000355352178 1127335577 /nfs/dbraw/zinc/33/55/77/1127335577.db2.gz ZTIWSGYUUHEDNF-HNNXBMFYSA-N 1 2 293.455 3.510 20 0 CHADLO Fc1ccc(C[NH2+]Cc2noc3c2CCCC3)cc1Cl ZINC000288467029 1127341310 /nfs/dbraw/zinc/34/13/10/1127341310.db2.gz OPBPKUCUYWVWLS-UHFFFAOYSA-N 1 2 294.757 3.636 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H]3C[C@H](C)C[C@H](C)C3)ccc2[nH+]1 ZINC000355501419 1127345131 /nfs/dbraw/zinc/34/51/31/1127345131.db2.gz WYKXVPXEMNFVGT-IMRBUKKESA-N 1 2 285.391 3.654 20 0 CHADLO CC(C)CCn1cccc1CNc1ccc(N(C)C)[nH+]c1 ZINC000355700271 1127359677 /nfs/dbraw/zinc/35/96/77/1127359677.db2.gz BQUJFXZTUSVZFQ-UHFFFAOYSA-N 1 2 286.423 3.607 20 0 CHADLO CCc1cnc(C[N@H+](C)CCO[C@@H]2CCCC[C@@H]2C)s1 ZINC000355733456 1127361499 /nfs/dbraw/zinc/36/14/99/1127361499.db2.gz AMCGMYXSXYQGGG-DZGCQCFKSA-N 1 2 296.480 3.733 20 0 CHADLO CCc1cnc(C[N@@H+](C)CCO[C@@H]2CCCC[C@@H]2C)s1 ZINC000355733456 1127361504 /nfs/dbraw/zinc/36/15/04/1127361504.db2.gz AMCGMYXSXYQGGG-DZGCQCFKSA-N 1 2 296.480 3.733 20 0 CHADLO COc1cccc([C@H](C)[NH2+]Cc2cc(C(C)(C)C)on2)c1 ZINC000289300397 1127380286 /nfs/dbraw/zinc/38/02/86/1127380286.db2.gz CNJUCIRMHIIUOG-LBPRGKRZSA-N 1 2 288.391 3.832 20 0 CHADLO C[C@H]1C[C@H](C(=O)Nc2ccccc2-n2cc[nH+]c2)C[C@@H](C)C1 ZINC000356330027 1127382401 /nfs/dbraw/zinc/38/24/01/1127382401.db2.gz SXEHWKFDSAXAIB-FICVDOATSA-N 1 2 297.402 3.883 20 0 CHADLO Cc1nc(N[C@H]2CCc3sc(Cl)cc32)cc[nH+]1 ZINC000357596106 1127391315 /nfs/dbraw/zinc/39/13/15/1127391315.db2.gz NYWWAMHRWHCDIQ-VIFPVBQESA-N 1 2 265.769 3.599 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2ccc(Cl)cc21)c1ccc(F)cn1 ZINC000358260158 1127411435 /nfs/dbraw/zinc/41/14/35/1127411435.db2.gz BCXFTGCUFZRVFT-YMTOWFKASA-N 1 2 292.741 3.658 20 0 CHADLO Cc1ccc(CS[C@H](C)C(=O)OC(C)(C)C)c(C)[nH+]1 ZINC000358657944 1127430762 /nfs/dbraw/zinc/43/07/62/1127430762.db2.gz XSDNVMIEGPCGQI-GFCCVEGCSA-N 1 2 281.421 3.662 20 0 CHADLO CCCN(CCc1ccccn1)c1cc(C)[nH+]c(C2CC2)n1 ZINC000359002007 1127443742 /nfs/dbraw/zinc/44/37/42/1127443742.db2.gz NSTXKGKXAWGXJQ-UHFFFAOYSA-N 1 2 296.418 3.517 20 0 CHADLO Cc1cc(N2CC[C@]3(CC[C@@H](C)C3)C2)nc(C2CC2)[nH+]1 ZINC000359078844 1127448538 /nfs/dbraw/zinc/44/85/38/1127448538.db2.gz UWYOULMLYZZLHO-PXAZEXFGSA-N 1 2 271.408 3.679 20 0 CHADLO C[C@H](CSCCF)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000595028898 1127449507 /nfs/dbraw/zinc/44/95/07/1127449507.db2.gz PVAOMIRASFALCV-LLVKDONJSA-N 1 2 297.374 3.515 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CC3=CCCCC3)cc2[nH+]1 ZINC000359138437 1127451064 /nfs/dbraw/zinc/45/10/64/1127451064.db2.gz OUFQEZLKLRKEIF-UHFFFAOYSA-N 1 2 269.348 3.700 20 0 CHADLO CCCC[C@H](C)N(C)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000359656055 1127471459 /nfs/dbraw/zinc/47/14/59/1127471459.db2.gz WIROFJZYQZPCCU-AWEZNQCLSA-N 1 2 285.391 3.523 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)ccc1F)c1ccc(Cl)cn1 ZINC000359660472 1127471550 /nfs/dbraw/zinc/47/15/50/1127471550.db2.gz KXZXVDSGHWMHFE-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO COC(=O)Cc1cc[nH+]c(N[C@@H](C)c2cc(C)cc(C)c2)c1 ZINC000596122097 1127482757 /nfs/dbraw/zinc/48/27/57/1127482757.db2.gz JCNMTDWXWYJLTL-AWEZNQCLSA-N 1 2 298.386 3.587 20 0 CHADLO Cc1ccc([C@@H](Nc2cc[nH+]c(C)n2)c2ccccn2)cc1 ZINC000359903195 1127483201 /nfs/dbraw/zinc/48/32/01/1127483201.db2.gz RFMILLUFZQYCKE-GOSISDBHSA-N 1 2 290.370 3.690 20 0 CHADLO Cc1ccc2c(c1)CN(c1cc(C)[nH+]c(C(C)C)n1)C2 ZINC000360269519 1127494168 /nfs/dbraw/zinc/49/41/68/1127494168.db2.gz WSNPXBYBVSMYCM-UHFFFAOYSA-N 1 2 267.376 3.737 20 0 CHADLO CC1(C)C[C@@H](SCc2ccc(-n3cc[nH+]c3)cc2)CO1 ZINC000596356524 1127497665 /nfs/dbraw/zinc/49/76/65/1127497665.db2.gz IYYVFYZNDVPXGP-OAHLLOKOSA-N 1 2 288.416 3.673 20 0 CHADLO Cc1ccc(CSCc2cc(C3CC3)no2)c(C)[nH+]1 ZINC000596368791 1127500327 /nfs/dbraw/zinc/50/03/27/1127500327.db2.gz XZGZNLVSSLCHKO-UHFFFAOYSA-N 1 2 274.389 3.997 20 0 CHADLO COC[C@H]([NH2+]Cc1cccc(O)c1Cl)c1ccccc1 ZINC000293661539 1127511501 /nfs/dbraw/zinc/51/15/01/1127511501.db2.gz UBKRZQBXNUMHMF-AWEZNQCLSA-N 1 2 291.778 3.523 20 0 CHADLO CC(C)c1cc(NC[C@H](O)c2ccccc2)nc(C(C)C)[nH+]1 ZINC000761187206 1127517067 /nfs/dbraw/zinc/51/70/67/1127517067.db2.gz NBQDMFSHOMORSY-INIZCTEOSA-N 1 2 299.418 3.869 20 0 CHADLO Fc1ccccc1C1C[NH+](Cc2ccc(Cl)o2)C1 ZINC000361015271 1127529664 /nfs/dbraw/zinc/52/96/64/1127529664.db2.gz ANYSPKHDJKOZQT-UHFFFAOYSA-N 1 2 265.715 3.672 20 0 CHADLO Cc1nc(N[C@H]2C[C@H]2c2c(F)cccc2Cl)cc[nH+]1 ZINC000361042433 1127533055 /nfs/dbraw/zinc/53/30/55/1127533055.db2.gz BAJZQTBYCLNIFG-SKDRFNHKSA-N 1 2 277.730 3.546 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cncc(F)c1)c1nc2ccccc2o1 ZINC000361121925 1127540090 /nfs/dbraw/zinc/54/00/90/1127540090.db2.gz WVKPMVUZQVKOGZ-QWRGUYRKSA-N 1 2 285.322 3.774 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC3CC(C)C3)cc2)c1C ZINC000361226653 1127548658 /nfs/dbraw/zinc/54/86/58/1127548658.db2.gz CEKDRLYSVNDUHS-UHFFFAOYSA-N 1 2 255.365 3.700 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCOc2c(F)ccc(F)c21)c1cncs1 ZINC000361186329 1127545776 /nfs/dbraw/zinc/54/57/76/1127545776.db2.gz FVMSHBOIPKIRBA-KCJUWKMLSA-N 1 2 296.342 3.596 20 0 CHADLO Fc1ccccc1C[NH2+][C@H]1CCOc2c(F)ccc(F)c21 ZINC000361248278 1127550242 /nfs/dbraw/zinc/55/02/42/1127550242.db2.gz BXPSOYOVDHXHHA-AWEZNQCLSA-N 1 2 293.288 3.717 20 0 CHADLO Cc1cc(C)c(NC(=O)CC(C)(C)C(F)(F)F)c(C)[nH+]1 ZINC000361523718 1127569140 /nfs/dbraw/zinc/56/91/40/1127569140.db2.gz GAIBKMFAOZHNDT-UHFFFAOYSA-N 1 2 288.313 3.924 20 0 CHADLO COC(=O)c1oc([C@H](C)[N@@H+]2Cc3ccc(C)cc3C2)cc1C ZINC000361603535 1127576531 /nfs/dbraw/zinc/57/65/31/1127576531.db2.gz NOKDNFSTPAKYQW-ZDUSSCGKSA-N 1 2 299.370 3.760 20 0 CHADLO COC(=O)c1oc([C@H](C)[N@H+]2Cc3ccc(C)cc3C2)cc1C ZINC000361603535 1127576535 /nfs/dbraw/zinc/57/65/35/1127576535.db2.gz NOKDNFSTPAKYQW-ZDUSSCGKSA-N 1 2 299.370 3.760 20 0 CHADLO c1cnc(C[N@@H+](Cc2ccc3ccccc3c2)C2CC2)nc1 ZINC000361692035 1127582190 /nfs/dbraw/zinc/58/21/90/1127582190.db2.gz IMBKSDMCWWKBGD-UHFFFAOYSA-N 1 2 289.382 3.794 20 0 CHADLO c1cnc(C[N@H+](Cc2ccc3ccccc3c2)C2CC2)nc1 ZINC000361692035 1127582193 /nfs/dbraw/zinc/58/21/93/1127582193.db2.gz IMBKSDMCWWKBGD-UHFFFAOYSA-N 1 2 289.382 3.794 20 0 CHADLO FC(F)C1CC[NH+](Cc2coc(-c3cccs3)n2)CC1 ZINC000361698258 1127582995 /nfs/dbraw/zinc/58/29/95/1127582995.db2.gz FEEODUUSZGVTEQ-UHFFFAOYSA-N 1 2 298.358 3.880 20 0 CHADLO Fc1c[nH+]ccc1NCc1c(Cl)cccc1Cl ZINC000361799403 1127589099 /nfs/dbraw/zinc/58/90/99/1127589099.db2.gz PPJMGIZGVGMCQC-UHFFFAOYSA-N 1 2 271.122 3.562 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CCOC2(CCC2)C1 ZINC000294967780 1127611220 /nfs/dbraw/zinc/61/12/20/1127611220.db2.gz WPLRZEPLQLTQEB-AWEZNQCLSA-N 1 2 274.408 3.575 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@H]1CCOC2(CCC2)C1 ZINC000294967780 1127611222 /nfs/dbraw/zinc/61/12/22/1127611222.db2.gz WPLRZEPLQLTQEB-AWEZNQCLSA-N 1 2 274.408 3.575 20 0 CHADLO COc1cccc(C2([NH2+]Cc3csc(Cl)n3)CC2)c1 ZINC000295023772 1127615265 /nfs/dbraw/zinc/61/52/65/1127615265.db2.gz QVKKGPOYKJAZJI-UHFFFAOYSA-N 1 2 294.807 3.584 20 0 CHADLO Cn1c2ccc(Cl)cc2nc1C[NH+]1CC2(C1)CCCC2 ZINC000362323928 1127627511 /nfs/dbraw/zinc/62/75/11/1127627511.db2.gz OGLBJWXPDMXCGB-UHFFFAOYSA-N 1 2 289.810 3.603 20 0 CHADLO C[N@H+](Cc1cnsc1)Cc1ccccc1C(F)(F)F ZINC001232230642 1127643261 /nfs/dbraw/zinc/64/32/61/1127643261.db2.gz QUWFCTQJPXDZST-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1cnsc1)Cc1ccccc1C(F)(F)F ZINC001232230642 1127643266 /nfs/dbraw/zinc/64/32/66/1127643266.db2.gz QUWFCTQJPXDZST-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO Cc1cccc(C[NH2+]Cc2nc(C3CC3)cs2)c1F ZINC000295684675 1127650655 /nfs/dbraw/zinc/65/06/55/1127650655.db2.gz LEPFPOPOWQGQHQ-UHFFFAOYSA-N 1 2 276.380 3.758 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1cc2ccccc2s1 ZINC000603784872 1127670772 /nfs/dbraw/zinc/67/07/72/1127670772.db2.gz AYYDQRPEMCQEHB-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](c2ccc(F)cc2)C(C)C)n1 ZINC000669704012 1127682877 /nfs/dbraw/zinc/68/28/77/1127682877.db2.gz SOOSWOAPPSZNJR-HZMBPMFUSA-N 1 2 277.343 3.565 20 0 CHADLO CC(C)Cc1ccccc1-c1noc([C@@H](C)n2cc[nH+]c2)n1 ZINC001118868947 1131240407 /nfs/dbraw/zinc/24/04/07/1131240407.db2.gz VNJKMLCYXJFAGR-CYBMUJFWSA-N 1 2 296.374 3.741 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc(CC(C)C)cc2)c[nH+]1 ZINC001275910368 1127701628 /nfs/dbraw/zinc/70/16/28/1127701628.db2.gz KPGMXUWJPMDIAX-UHFFFAOYSA-N 1 2 296.414 3.827 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(-c3ccccc3)c[nH]2)CCC1(F)F ZINC001118882379 1131240765 /nfs/dbraw/zinc/24/07/65/1131240765.db2.gz QCEDFBXYOYPJEK-GFCCVEGCSA-N 1 2 291.345 3.554 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(-c3ccccc3)c[nH]2)CCC1(F)F ZINC001118882379 1131240772 /nfs/dbraw/zinc/24/07/72/1131240772.db2.gz QCEDFBXYOYPJEK-GFCCVEGCSA-N 1 2 291.345 3.554 20 0 CHADLO CCCC[C@@H](CC)CC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000604977738 1127723120 /nfs/dbraw/zinc/72/31/20/1127723120.db2.gz RZCBOPXFHAPWBV-CYBMUJFWSA-N 1 2 273.380 3.879 20 0 CHADLO CCCC[C@H](CC)CC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000604977739 1127723609 /nfs/dbraw/zinc/72/36/09/1127723609.db2.gz RZCBOPXFHAPWBV-ZDUSSCGKSA-N 1 2 273.380 3.879 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nc(C(C)(C)C)no2)cc1 ZINC000298586573 1127726989 /nfs/dbraw/zinc/72/69/89/1127726989.db2.gz PQXQHFXSGGKNEJ-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nc(C(C)(C)C)no2)cc1 ZINC000298586573 1127726993 /nfs/dbraw/zinc/72/69/93/1127726993.db2.gz PQXQHFXSGGKNEJ-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1ccc(F)cc1F ZINC000298937416 1127737493 /nfs/dbraw/zinc/73/74/93/1127737493.db2.gz CHZKCHFKHNILDS-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1ccc(F)cc1F ZINC000298937416 1127737496 /nfs/dbraw/zinc/73/74/96/1127737496.db2.gz CHZKCHFKHNILDS-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO FC(F)(F)c1csc(C[NH+]2CCC(C3CC3)CC2)n1 ZINC000366407084 1127745962 /nfs/dbraw/zinc/74/59/62/1127745962.db2.gz RYOZSNMFZBCKEP-UHFFFAOYSA-N 1 2 290.354 3.784 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCc3[nH]ncc31)CCC2 ZINC000367627869 1127754333 /nfs/dbraw/zinc/75/43/33/1127754333.db2.gz VEWRBDNTUHCEPC-HOTGVXAUSA-N 1 2 285.366 3.593 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCc3[nH]ncc31)CCC2 ZINC000367627870 1127754568 /nfs/dbraw/zinc/75/45/68/1127754568.db2.gz VEWRBDNTUHCEPC-JKSUJKDBSA-N 1 2 285.366 3.593 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1c(Cl)cccc1Cl ZINC000606451784 1127764273 /nfs/dbraw/zinc/76/42/73/1127764273.db2.gz RHGYQULOKRHPPW-VIFPVBQESA-N 1 2 276.232 3.931 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1c(Cl)cccc1Cl ZINC000606451784 1127764276 /nfs/dbraw/zinc/76/42/76/1127764276.db2.gz RHGYQULOKRHPPW-VIFPVBQESA-N 1 2 276.232 3.931 20 0 CHADLO Cc1nnc(C[N@@H+]2C[C@@H]3CCCC[C@]32c2ccccc2)s1 ZINC000371449823 1127766391 /nfs/dbraw/zinc/76/63/91/1127766391.db2.gz IHMGYNJPMVPBLZ-RDJZCZTQSA-N 1 2 299.443 3.748 20 0 CHADLO Cc1nnc(C[N@H+]2C[C@@H]3CCCC[C@]32c2ccccc2)s1 ZINC000371449823 1127766393 /nfs/dbraw/zinc/76/63/93/1127766393.db2.gz IHMGYNJPMVPBLZ-RDJZCZTQSA-N 1 2 299.443 3.748 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CC=C(C(C)(C)C)CC2)n1 ZINC000533958143 1127784049 /nfs/dbraw/zinc/78/40/49/1127784049.db2.gz VUSRSACSSGSFQE-LBPRGKRZSA-N 1 2 277.412 3.932 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CC=C(C(C)(C)C)CC2)n1 ZINC000533958143 1127784052 /nfs/dbraw/zinc/78/40/52/1127784052.db2.gz VUSRSACSSGSFQE-LBPRGKRZSA-N 1 2 277.412 3.932 20 0 CHADLO CCCC[N@H+](Cc1ccon1)[C@@H](C)c1ccc(C)o1 ZINC000607151364 1127793589 /nfs/dbraw/zinc/79/35/89/1127793589.db2.gz JXFMHXKXKKSQMB-ZDUSSCGKSA-N 1 2 262.353 3.939 20 0 CHADLO CCCC[N@@H+](Cc1ccon1)[C@@H](C)c1ccc(C)o1 ZINC000607151364 1127793593 /nfs/dbraw/zinc/79/35/93/1127793593.db2.gz JXFMHXKXKKSQMB-ZDUSSCGKSA-N 1 2 262.353 3.939 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@@H](C)C[C@H]2c2cccnc2)cs1 ZINC000372953714 1127818974 /nfs/dbraw/zinc/81/89/74/1127818974.db2.gz KORGKTPXPNJLOB-WFASDCNBSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1nc(C[N@H+]2C[C@@H](C)C[C@H]2c2cccnc2)cs1 ZINC000372953714 1127818981 /nfs/dbraw/zinc/81/89/81/1127818981.db2.gz KORGKTPXPNJLOB-WFASDCNBSA-N 1 2 287.432 3.684 20 0 CHADLO CC(C)(C)c1ccc(C(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000607714891 1127825468 /nfs/dbraw/zinc/82/54/68/1127825468.db2.gz CZJVKERIYGLSFU-UHFFFAOYSA-N 1 2 293.370 3.884 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)Cc1ccsc1 ZINC000373704951 1127858133 /nfs/dbraw/zinc/85/81/33/1127858133.db2.gz HJVCVXBILJGMOI-UHFFFAOYSA-N 1 2 297.383 3.628 20 0 CHADLO Cc1ccc2ncc(C[N@@H+]3CCC=C(F)C3)cc2c1 ZINC000411454742 1127860551 /nfs/dbraw/zinc/86/05/51/1127860551.db2.gz YDNCQRCLSGPRIS-UHFFFAOYSA-N 1 2 256.324 3.602 20 0 CHADLO Cc1ccc2ncc(C[N@H+]3CCC=C(F)C3)cc2c1 ZINC000411454742 1127860554 /nfs/dbraw/zinc/86/05/54/1127860554.db2.gz YDNCQRCLSGPRIS-UHFFFAOYSA-N 1 2 256.324 3.602 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(CC)cn2)cs1 ZINC000411524558 1127863466 /nfs/dbraw/zinc/86/34/66/1127863466.db2.gz BHHRYRGGIQOTEH-LLVKDONJSA-N 1 2 275.421 3.514 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@H](C2CCC2)C1 ZINC000411880779 1127878452 /nfs/dbraw/zinc/87/84/52/1127878452.db2.gz WLHHJOZPNOSGJK-BZNIZROVSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@H](C2CCC2)C1 ZINC000411880779 1127878461 /nfs/dbraw/zinc/87/84/61/1127878461.db2.gz WLHHJOZPNOSGJK-BZNIZROVSA-N 1 2 281.346 3.527 20 0 CHADLO Cc1oncc1C[N@@H+]1CC[C@](F)(c2cc(C)cc(F)c2)C1 ZINC000374294421 1127886191 /nfs/dbraw/zinc/88/61/91/1127886191.db2.gz RXCKDAJPXZOFBV-MRXNPFEDSA-N 1 2 292.329 3.501 20 0 CHADLO Cc1oncc1C[N@H+]1CC[C@](F)(c2cc(C)cc(F)c2)C1 ZINC000374294421 1127886194 /nfs/dbraw/zinc/88/61/94/1127886194.db2.gz RXCKDAJPXZOFBV-MRXNPFEDSA-N 1 2 292.329 3.501 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000761389507 1127917960 /nfs/dbraw/zinc/91/79/60/1127917960.db2.gz MVQQDECNEZDIKM-CQSZACIVSA-N 1 2 299.418 3.561 20 0 CHADLO Fc1ccccc1N(CCCn1cc[nH+]c1)C1CCCC1 ZINC000539454021 1127924183 /nfs/dbraw/zinc/92/41/83/1127924183.db2.gz FTTVYEKCEUUXPK-UHFFFAOYSA-N 1 2 287.382 3.862 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@H+](Cc2ccncc2)CC1 ZINC000375227464 1127934200 /nfs/dbraw/zinc/93/42/00/1127934200.db2.gz VRLOBSZIHMUGPT-UHFFFAOYSA-N 1 2 286.325 3.649 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@@H+](Cc2ccncc2)CC1 ZINC000375227464 1127934202 /nfs/dbraw/zinc/93/42/02/1127934202.db2.gz VRLOBSZIHMUGPT-UHFFFAOYSA-N 1 2 286.325 3.649 20 0 CHADLO CCCC[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000467185444 1127957834 /nfs/dbraw/zinc/95/78/34/1127957834.db2.gz FRDXBVZANWRURQ-CQSZACIVSA-N 1 2 253.773 3.513 20 0 CHADLO CCCC[N@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000467185444 1127957838 /nfs/dbraw/zinc/95/78/38/1127957838.db2.gz FRDXBVZANWRURQ-CQSZACIVSA-N 1 2 253.773 3.513 20 0 CHADLO CC[C@H](C)CCC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000505629639 1127957968 /nfs/dbraw/zinc/95/79/68/1127957968.db2.gz BTJDACVXXOHFJK-ZDUSSCGKSA-N 1 2 271.364 3.637 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCC[C@@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC000470329366 1127984719 /nfs/dbraw/zinc/98/47/19/1127984719.db2.gz XIVYYJBLRPEIDG-NUEKZKHPSA-N 1 2 289.419 3.643 20 0 CHADLO COc1c(C)c[nH+]c(CSCCC(F)(F)F)c1C ZINC000540724463 1127991468 /nfs/dbraw/zinc/99/14/68/1127991468.db2.gz AGJHEWXEKOLUGI-UHFFFAOYSA-N 1 2 279.327 3.893 20 0 CHADLO CC[C@H](C)[N@H+](CC(=O)OC(C)(C)C)Cc1ccsc1 ZINC000540811253 1127995795 /nfs/dbraw/zinc/99/57/95/1127995795.db2.gz GNGAWQZVRUEBDJ-LBPRGKRZSA-N 1 2 283.437 3.690 20 0 CHADLO CC[C@H](C)[N@@H+](CC(=O)OC(C)(C)C)Cc1ccsc1 ZINC000540811253 1127995800 /nfs/dbraw/zinc/99/58/00/1127995800.db2.gz GNGAWQZVRUEBDJ-LBPRGKRZSA-N 1 2 283.437 3.690 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@@H](C)C(C)(C)C)n3)[nH+]c12 ZINC000545677061 1128028298 /nfs/dbraw/zinc/02/82/98/1128028298.db2.gz AZTYUPPYLRAJGJ-GFCCVEGCSA-N 1 2 298.390 3.766 20 0 CHADLO CCOC1CC(C[N@H+](CC(C)C)c2ccccc2)C1 ZINC000509387262 1128032482 /nfs/dbraw/zinc/03/24/82/1128032482.db2.gz LQVXHBUGTKYISS-UHFFFAOYSA-N 1 2 261.409 3.964 20 0 CHADLO CCOC1CC(C[N@@H+](CC(C)C)c2ccccc2)C1 ZINC000509387262 1128032486 /nfs/dbraw/zinc/03/24/86/1128032486.db2.gz LQVXHBUGTKYISS-UHFFFAOYSA-N 1 2 261.409 3.964 20 0 CHADLO CCCCOc1ccc(C[NH2+][C@@H](C)c2ccon2)cc1 ZINC000541778154 1128037420 /nfs/dbraw/zinc/03/74/20/1128037420.db2.gz SNFNGSIHLVDRRW-ZDUSSCGKSA-N 1 2 274.364 3.704 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncccn2)cc1 ZINC000930318388 1128046678 /nfs/dbraw/zinc/04/66/78/1128046678.db2.gz QVQIBLMIYNZCMG-NEPJUHHUSA-N 1 2 273.405 3.610 20 0 CHADLO C[C@H](CCC1CC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000469795107 1128054094 /nfs/dbraw/zinc/05/40/94/1128054094.db2.gz ZDZVXBDQUOROKH-CYBMUJFWSA-N 1 2 255.365 3.863 20 0 CHADLO FC(F)C[N@H+](CC1CCC1)C[C@@H]1CCC2(CCCC2)O1 ZINC000669932261 1128065773 /nfs/dbraw/zinc/06/57/73/1128065773.db2.gz AWCRKIRLVMYUST-AWEZNQCLSA-N 1 2 287.394 3.845 20 0 CHADLO FC(F)C[N@@H+](CC1CCC1)C[C@@H]1CCC2(CCCC2)O1 ZINC000669932261 1128065777 /nfs/dbraw/zinc/06/57/77/1128065777.db2.gz AWCRKIRLVMYUST-AWEZNQCLSA-N 1 2 287.394 3.845 20 0 CHADLO CC1(C)CC(Nc2ccccc2OCCn2cc[nH+]c2)C1 ZINC000470243717 1128067354 /nfs/dbraw/zinc/06/73/54/1128067354.db2.gz OSRFTTYEQFAMAA-UHFFFAOYSA-N 1 2 285.391 3.563 20 0 CHADLO Cc1ccccc1-c1noc(C[NH2+][C@@H](C)c2ccsc2)n1 ZINC000669937985 1128077247 /nfs/dbraw/zinc/07/72/47/1128077247.db2.gz ZFXJEWWJFKVJPB-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@@](F)(c3ccccc3)C2)o1 ZINC000669942816 1128080985 /nfs/dbraw/zinc/08/09/85/1128080985.db2.gz LPLWMTMQZUYBPV-CJNGLKHVSA-N 1 2 274.339 3.615 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CC[C@@](F)(c3ccccc3)C2)o1 ZINC000669942816 1128080989 /nfs/dbraw/zinc/08/09/89/1128080989.db2.gz LPLWMTMQZUYBPV-CJNGLKHVSA-N 1 2 274.339 3.615 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+]1C[C@@H](C)[C@H]1c1ccccc1 ZINC000471023444 1128085294 /nfs/dbraw/zinc/08/52/94/1128085294.db2.gz UXGMVMBEVYFPHM-JLSDUUJJSA-N 1 2 295.382 3.594 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+]1C[C@@H](C)[C@H]1c1ccccc1 ZINC000471023444 1128085299 /nfs/dbraw/zinc/08/52/99/1128085299.db2.gz UXGMVMBEVYFPHM-JLSDUUJJSA-N 1 2 295.382 3.594 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(F)cc2)sc1C ZINC000173194964 1128085840 /nfs/dbraw/zinc/08/58/40/1128085840.db2.gz OBDSKGSRISKSTP-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(F)cc2)sc1C ZINC000173194964 1128085845 /nfs/dbraw/zinc/08/58/45/1128085845.db2.gz OBDSKGSRISKSTP-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ccncc1Cl ZINC000173457178 1128088499 /nfs/dbraw/zinc/08/84/99/1128088499.db2.gz ANNPQTCMFSVHMQ-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ccncc1Cl ZINC000173457178 1128088500 /nfs/dbraw/zinc/08/85/00/1128088500.db2.gz ANNPQTCMFSVHMQ-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+]Cc1ccccc1Cl ZINC000924527764 1128101703 /nfs/dbraw/zinc/10/17/03/1128101703.db2.gz AZZVSGALXCOKFI-CYBMUJFWSA-N 1 2 276.767 3.804 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncco1)c1cccc(OC(F)F)c1 ZINC000924676920 1128109598 /nfs/dbraw/zinc/10/95/98/1128109598.db2.gz SZJCREBYGHZRHM-ZJUUUORDSA-N 1 2 282.290 3.688 20 0 CHADLO CC(C)Oc1cccc([C@@H](C)[NH2+][C@@H](C)c2ncco2)c1 ZINC000924681939 1128109896 /nfs/dbraw/zinc/10/98/96/1128109896.db2.gz UKMKIAWSXVBGBJ-OLZOCXBDSA-N 1 2 274.364 3.874 20 0 CHADLO Cc1c2ccccc2oc1C[NH2+][C@H](C)c1cn(C(C)C)nn1 ZINC000925072351 1128120981 /nfs/dbraw/zinc/12/09/81/1128120981.db2.gz RROTULHHKPDYIR-CYBMUJFWSA-N 1 2 298.390 3.764 20 0 CHADLO Cc1cnc(C[NH2+][C@@H]2CCCc3c(Cl)ccnc32)s1 ZINC000925151162 1128123709 /nfs/dbraw/zinc/12/37/09/1128123709.db2.gz HKKQIYZIKGKTNR-GFCCVEGCSA-N 1 2 293.823 3.667 20 0 CHADLO FCC[NH2+]C1(c2c(Cl)cccc2Cl)CCC1 ZINC000309654567 1128123811 /nfs/dbraw/zinc/12/38/11/1128123811.db2.gz VDEAPMOWZNYLIN-UHFFFAOYSA-N 1 2 262.155 3.932 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC2(CCC2)Oc2ccccc21)c1ncco1 ZINC000925266625 1128126965 /nfs/dbraw/zinc/12/69/65/1128126965.db2.gz FSRNUWBEZQACNH-GXTWGEPZSA-N 1 2 284.359 3.772 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCc2oc(C)nc21)c1c(C)noc1C ZINC000925637033 1128135212 /nfs/dbraw/zinc/13/52/12/1128135212.db2.gz GXLBPEDUDFPMFR-CHWSQXEVSA-N 1 2 289.379 3.706 20 0 CHADLO Cc1cc([C@@H]([NH2+][C@@H](C)c2ncco2)C2CC2)ccc1F ZINC000925642899 1128135672 /nfs/dbraw/zinc/13/56/72/1128135672.db2.gz HTAKVXJBCKRNLQ-NHYWBVRUSA-N 1 2 274.339 3.924 20 0 CHADLO C[C@H](Cc1ccccn1)Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000925759876 1128140843 /nfs/dbraw/zinc/14/08/43/1128140843.db2.gz QXSAHDMKKUBIFI-OAHLLOKOSA-N 1 2 296.418 3.510 20 0 CHADLO Cc1cc(N[C@@H](C)CCCC(F)(F)F)nc(C(C)C)[nH+]1 ZINC000493472147 1128141588 /nfs/dbraw/zinc/14/15/88/1128141588.db2.gz JCTOISFALHVRIY-JTQLQIEISA-N 1 2 289.345 3.863 20 0 CHADLO C[C@H]([NH2+][C@H]1CCC(F)(F)C1)C(F)(F)c1ccccc1 ZINC000925928326 1128146557 /nfs/dbraw/zinc/14/65/57/1128146557.db2.gz BDHZFJBLXQWVGW-JQWIXIFHSA-N 1 2 275.289 3.944 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]Cc1ccc(Cl)cc1F ZINC000926220256 1128161298 /nfs/dbraw/zinc/16/12/98/1128161298.db2.gz VFRRNRYDDKMUPA-ZDUSSCGKSA-N 1 2 294.757 3.943 20 0 CHADLO CCc1noc([C@@H](C)[N@H+](C)C/C(C)=C\c2ccccc2)n1 ZINC000494233800 1128166910 /nfs/dbraw/zinc/16/69/10/1128166910.db2.gz KWJZDFPEEGMUQS-YBEMTRGBSA-N 1 2 285.391 3.728 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+](C)C/C(C)=C\c2ccccc2)n1 ZINC000494233800 1128166912 /nfs/dbraw/zinc/16/69/12/1128166912.db2.gz KWJZDFPEEGMUQS-YBEMTRGBSA-N 1 2 285.391 3.728 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@H](C)c2ncco2)cc1 ZINC000926354496 1128168696 /nfs/dbraw/zinc/16/86/96/1128168696.db2.gz UCQFKKNJXYMNNO-GHMZBOCLSA-N 1 2 262.378 3.808 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@H](C)c2nonc2C)cc1 ZINC000926351164 1128168793 /nfs/dbraw/zinc/16/87/93/1128168793.db2.gz GPXFVBCAMNCNCG-VHSXEESVSA-N 1 2 277.393 3.512 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)CC(=O)c1cc(C)[nH]c1C ZINC000494289080 1128169241 /nfs/dbraw/zinc/16/92/41/1128169241.db2.gz YHKMYNABCRUEHY-GXDHUFHOSA-N 1 2 296.414 3.850 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)CC(=O)c1cc(C)[nH]c1C ZINC000494289080 1128169245 /nfs/dbraw/zinc/16/92/45/1128169245.db2.gz YHKMYNABCRUEHY-GXDHUFHOSA-N 1 2 296.414 3.850 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1ccnc(Cl)c1 ZINC000777602331 1128182027 /nfs/dbraw/zinc/18/20/27/1128182027.db2.gz KZDCPKRNFJAPCC-NSHDSACASA-N 1 2 275.783 3.974 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+]Cc1cnccc1C(C)(C)C ZINC000926582467 1128182872 /nfs/dbraw/zinc/18/28/72/1128182872.db2.gz YWECDYQWLUDDOQ-OAHLLOKOSA-N 1 2 299.418 3.843 20 0 CHADLO C[C@H](N[C@H]1C[C@@]1(F)c1ccccc1)c1cn2c([nH+]1)CCCC2 ZINC000926614846 1128183783 /nfs/dbraw/zinc/18/37/83/1128183783.db2.gz LRVYHQQBFIGHOV-QANKJYHBSA-N 1 2 299.393 3.507 20 0 CHADLO Cc1cc(N[C@H](C)c2ccnn2C2CCC2)c[nH+]c1C ZINC000926855497 1128198634 /nfs/dbraw/zinc/19/86/34/1128198634.db2.gz KOSLTJUUVWESFL-CYBMUJFWSA-N 1 2 270.380 3.793 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)c1ncco1)c1ccccc1F ZINC000926695264 1128188445 /nfs/dbraw/zinc/18/84/45/1128188445.db2.gz ZJXPIUSJSYHJOF-RISCZKNCSA-N 1 2 262.328 3.862 20 0 CHADLO CC(C)Cc1ccccc1C[NH2+][C@@H](C)c1ncco1 ZINC000926741603 1128191034 /nfs/dbraw/zinc/19/10/34/1128191034.db2.gz OUXZZHJWOJGIQZ-ZDUSSCGKSA-N 1 2 258.365 3.724 20 0 CHADLO COc1ccnc([C@@H](C)[NH2+]Cc2nsc3ccccc23)c1 ZINC000926864350 1128199362 /nfs/dbraw/zinc/19/93/62/1128199362.db2.gz JMXLOPMCYZXXLY-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO C[C@H](c1ncccn1)[N@H+](C)Cc1ccccc1C(F)(F)F ZINC000929165183 1128261800 /nfs/dbraw/zinc/26/18/00/1128261800.db2.gz AZTXQCNMPDISMA-LLVKDONJSA-N 1 2 295.308 3.688 20 0 CHADLO C[C@H](c1ncccn1)[N@@H+](C)Cc1ccccc1C(F)(F)F ZINC000929165183 1128261802 /nfs/dbraw/zinc/26/18/02/1128261802.db2.gz AZTXQCNMPDISMA-LLVKDONJSA-N 1 2 295.308 3.688 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2coc(C(C)(C)C)n2)cc1 ZINC000929221231 1128264818 /nfs/dbraw/zinc/26/48/18/1128264818.db2.gz MHPMQUOGHFFYOZ-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2coc(C(C)(C)C)n2)cc1 ZINC000929221231 1128264819 /nfs/dbraw/zinc/26/48/19/1128264819.db2.gz MHPMQUOGHFFYOZ-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO Cc1nnsc1[C@H](C)[N@H+](C)Cc1ccc(Cl)cc1 ZINC000929237211 1128265484 /nfs/dbraw/zinc/26/54/84/1128265484.db2.gz JADWGDAOZKVLQY-JTQLQIEISA-N 1 2 281.812 3.693 20 0 CHADLO Cc1nnsc1[C@H](C)[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000929237211 1128265488 /nfs/dbraw/zinc/26/54/88/1128265488.db2.gz JADWGDAOZKVLQY-JTQLQIEISA-N 1 2 281.812 3.693 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2cncs2)c(F)c1 ZINC000927094835 1128216431 /nfs/dbraw/zinc/21/64/31/1128216431.db2.gz UIOJONXGRXXOLF-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C\c2ccc(F)cc2)c(C)[nH+]1 ZINC000229855688 1128240786 /nfs/dbraw/zinc/24/07/86/1128240786.db2.gz HHQDDZJCYSNMLT-TWGQIWQCSA-N 1 2 284.334 3.798 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCOC[C@H]2C)cc1)C1CCCC1 ZINC000777691106 1128242467 /nfs/dbraw/zinc/24/24/67/1128242467.db2.gz BLEDNNWKARJCLH-HUUCEWRRSA-N 1 2 288.435 3.902 20 0 CHADLO C[C@@H]1CC(C)(C)c2cccc(NC(=O)CCc3[nH]cc[nH+]3)c21 ZINC000928586005 1128243755 /nfs/dbraw/zinc/24/37/55/1128243755.db2.gz KKNRUUKAZDNFQW-GFCCVEGCSA-N 1 2 297.402 3.766 20 0 CHADLO COc1cc(Cl)cc(-c2cc3[nH]c[nH+]c3cc2OC)c1 ZINC001205135674 1128289260 /nfs/dbraw/zinc/28/92/60/1128289260.db2.gz QDHUULDFADIUAI-UHFFFAOYSA-N 1 2 288.734 3.901 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccccc2CF)s1 ZINC000929774648 1128286394 /nfs/dbraw/zinc/28/63/94/1128286394.db2.gz UAEXAMBGRSALDQ-UHFFFAOYSA-N 1 2 278.396 3.807 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccccc2CF)s1 ZINC000929774648 1128286397 /nfs/dbraw/zinc/28/63/97/1128286397.db2.gz UAEXAMBGRSALDQ-UHFFFAOYSA-N 1 2 278.396 3.807 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000929766485 1128286717 /nfs/dbraw/zinc/28/67/17/1128286717.db2.gz MZRJQNIUPKYNEH-NEPJUHHUSA-N 1 2 256.324 3.859 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000929766485 1128286719 /nfs/dbraw/zinc/28/67/19/1128286719.db2.gz MZRJQNIUPKYNEH-NEPJUHHUSA-N 1 2 256.324 3.859 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3c(F)cncc3F)CCC2)cc1 ZINC000929954414 1128295833 /nfs/dbraw/zinc/29/58/33/1128295833.db2.gz IEDYLEVUBHLRHB-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO C[C@@H](c1ncccn1)[N@H+]1CC=C(c2ccc(Cl)cc2)CC1 ZINC000930021526 1128297982 /nfs/dbraw/zinc/29/79/82/1128297982.db2.gz JXXBRJVCJBWYPF-ZDUSSCGKSA-N 1 2 299.805 3.980 20 0 CHADLO C[C@@H](c1ncccn1)[N@@H+]1CC=C(c2ccc(Cl)cc2)CC1 ZINC000930021526 1128297985 /nfs/dbraw/zinc/29/79/85/1128297985.db2.gz JXXBRJVCJBWYPF-ZDUSSCGKSA-N 1 2 299.805 3.980 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@@]3(C)C=CCC3)c2)[nH+]c1C ZINC000930550172 1128324670 /nfs/dbraw/zinc/32/46/70/1128324670.db2.gz XRMJMSIRCSSQGU-SFHVURJKSA-N 1 2 295.386 3.988 20 0 CHADLO FC(F)[C@H]([NH2+]C[C@H]1C[C@@H]2[C@H](C1)C2(F)F)c1ccccc1 ZINC000930566373 1128325713 /nfs/dbraw/zinc/32/57/13/1128325713.db2.gz UGRDNRFZHQUHQA-GWNIPJSYSA-N 1 2 287.300 3.874 20 0 CHADLO CCc1ncc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2F)o1 ZINC000930664368 1128329936 /nfs/dbraw/zinc/32/99/36/1128329936.db2.gz SHZSGDPSGKCZFP-OAHLLOKOSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1ncc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2F)o1 ZINC000930664368 1128329940 /nfs/dbraw/zinc/32/99/40/1128329940.db2.gz SHZSGDPSGKCZFP-OAHLLOKOSA-N 1 2 292.329 3.852 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(F)cncc1F)c1ccccc1F ZINC000930884506 1128338198 /nfs/dbraw/zinc/33/81/98/1128338198.db2.gz PLFKYGMUCJKKJW-OAHLLOKOSA-N 1 2 280.293 3.740 20 0 CHADLO CC[C@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(C)cc1 ZINC000393387193 1128340288 /nfs/dbraw/zinc/34/02/88/1128340288.db2.gz YTYFVPLFJPBETO-AWEZNQCLSA-N 1 2 277.799 3.623 20 0 CHADLO CCc1ccc2ccccc2c1C[N@H+](C)Cc1nnc(C)o1 ZINC000930969034 1128342205 /nfs/dbraw/zinc/34/22/05/1128342205.db2.gz LEQIMQPGVBNGFJ-UHFFFAOYSA-N 1 2 295.386 3.726 20 0 CHADLO CCc1ccc2ccccc2c1C[N@@H+](C)Cc1nnc(C)o1 ZINC000930969034 1128342209 /nfs/dbraw/zinc/34/22/09/1128342209.db2.gz LEQIMQPGVBNGFJ-UHFFFAOYSA-N 1 2 295.386 3.726 20 0 CHADLO CC(C)(C)CC(C)(C)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000931237219 1128353949 /nfs/dbraw/zinc/35/39/49/1128353949.db2.gz NYNRVLUIUAVTTB-UHFFFAOYSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1cc(NC(=O)CCc2ccc(Cl)cc2)cc[nH+]1 ZINC000073070533 1128355135 /nfs/dbraw/zinc/35/51/35/1128355135.db2.gz OREZROIHZSOYBX-UHFFFAOYSA-N 1 2 274.751 3.615 20 0 CHADLO CSCc1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000075290642 1128355467 /nfs/dbraw/zinc/35/54/67/1128355467.db2.gz XVODEDBLQFKZFL-UHFFFAOYSA-N 1 2 272.373 3.505 20 0 CHADLO NC(Cc1ccccc1Cl)=[NH+]OC/C(Cl)=C/Cl ZINC000763180654 1128367956 /nfs/dbraw/zinc/36/79/56/1128367956.db2.gz RCISCIONLWVESH-TWGQIWQCSA-N 1 2 293.581 3.700 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@H]1CCC[C@H]1c1ccccc1Cl ZINC000763272810 1128387650 /nfs/dbraw/zinc/38/76/50/1128387650.db2.gz VGMQBIUYLWSFHC-WHOFXGATSA-N 1 2 295.810 3.517 20 0 CHADLO CCc1cnc(C[N@H+](C)C/C(C)=C\c2ccccc2)o1 ZINC000562360975 1128396880 /nfs/dbraw/zinc/39/68/80/1128396880.db2.gz KPXNSFHAENIYEX-UVTDQMKNSA-N 1 2 270.376 3.772 20 0 CHADLO CCc1cnc(C[N@@H+](C)C/C(C)=C\c2ccccc2)o1 ZINC000562360975 1128396884 /nfs/dbraw/zinc/39/68/84/1128396884.db2.gz KPXNSFHAENIYEX-UVTDQMKNSA-N 1 2 270.376 3.772 20 0 CHADLO CCc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC000036982117 1128401113 /nfs/dbraw/zinc/40/11/13/1128401113.db2.gz NPLWTBBPMKXLJB-UHFFFAOYSA-N 1 2 281.403 3.856 20 0 CHADLO FC1(C2CC2)CC[NH+](C/C(Cl)=C/Cl)CC1 ZINC000932625858 1128418044 /nfs/dbraw/zinc/41/80/44/1128418044.db2.gz DHSKBKOBRIRMHJ-YFHOEESVSA-N 1 2 252.160 3.520 20 0 CHADLO Nc1ccc(C[N@@H+]2CCc3cc(Cl)ccc3C2)cc1F ZINC001235947073 1128410139 /nfs/dbraw/zinc/41/01/39/1128410139.db2.gz VMKPTNWCRPFUPY-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(C[N@H+]2CCc3cc(Cl)ccc3C2)cc1F ZINC001235947073 1128410140 /nfs/dbraw/zinc/41/01/40/1128410140.db2.gz VMKPTNWCRPFUPY-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Cc1cc(NC(=O)C(C)(C)CCCc2ccccc2)cc[nH+]1 ZINC000496467006 1128410910 /nfs/dbraw/zinc/41/09/10/1128410910.db2.gz HLMCESRRAVSOSY-UHFFFAOYSA-N 1 2 296.414 3.800 20 0 CHADLO Cc1cccc(C)c1C[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC000933763174 1128442450 /nfs/dbraw/zinc/44/24/50/1128442450.db2.gz RYDRDPKXSDVZEL-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO Cc1cccc(C)c1C[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC000933763174 1128442454 /nfs/dbraw/zinc/44/24/54/1128442454.db2.gz RYDRDPKXSDVZEL-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO CCC[C@](C)([NH2+]Cc1nnc(C(C)C)o1)c1ccccc1 ZINC000934187203 1128453684 /nfs/dbraw/zinc/45/36/84/1128453684.db2.gz TVYLVMOFRGIWCD-KRWDZBQOSA-N 1 2 287.407 3.998 20 0 CHADLO CCCc1noc(C[NH2+][C@](C)(CCC)c2ccccc2)n1 ZINC000934182037 1128453707 /nfs/dbraw/zinc/45/37/07/1128453707.db2.gz FBCSVLUESCYBIQ-QGZVFWFLSA-N 1 2 287.407 3.827 20 0 CHADLO C[C@H]([NH2+]Cc1c(Cl)cncc1Cl)c1cncs1 ZINC000934195157 1128454857 /nfs/dbraw/zinc/45/48/57/1128454857.db2.gz FUBYTKZQDQIFMJ-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO C[C@H]([NH2+]C/C(Cl)=C\Cl)c1cnn(CC2CCC2)c1 ZINC000934561828 1128462941 /nfs/dbraw/zinc/46/29/41/1128462941.db2.gz ISCHQSDQRYHSQC-QWGQVVDNSA-N 1 2 288.222 3.653 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CC23CCC(CC2)C3)C2CCCCC2)n1 ZINC000563700727 1128475298 /nfs/dbraw/zinc/47/52/98/1128475298.db2.gz VCZFRUVPFLLOQR-GNHJJJEISA-N 1 2 288.439 3.596 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+]CC1(C)CC1 ZINC000563764360 1128477657 /nfs/dbraw/zinc/47/76/57/1128477657.db2.gz FTWFIXDXLODOBH-UHFFFAOYSA-N 1 2 276.449 3.760 20 0 CHADLO CCCC[N@H+](Cc1noc(CC)n1)[C@@H](C)c1ccccc1 ZINC000128276534 1128489998 /nfs/dbraw/zinc/48/99/98/1128489998.db2.gz NIEHUIIWMJMQHT-AWEZNQCLSA-N 1 2 287.407 3.995 20 0 CHADLO CCCC[N@@H+](Cc1noc(CC)n1)[C@@H](C)c1ccccc1 ZINC000128276534 1128490000 /nfs/dbraw/zinc/49/00/00/1128490000.db2.gz NIEHUIIWMJMQHT-AWEZNQCLSA-N 1 2 287.407 3.995 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](c3ccccc3)[C@H](C)C2)no1 ZINC000129866658 1128557743 /nfs/dbraw/zinc/55/77/43/1128557743.db2.gz IPGXFYRFTGLEFU-ZBFHGGJFSA-N 1 2 299.418 3.819 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](c3ccccc3)[C@H](C)C2)no1 ZINC000129866658 1128557746 /nfs/dbraw/zinc/55/77/46/1128557746.db2.gz IPGXFYRFTGLEFU-ZBFHGGJFSA-N 1 2 299.418 3.819 20 0 CHADLO CC(C)CCc1noc(C[N@H+](C)[C@H](C)c2ccccc2)n1 ZINC000068299095 1128506084 /nfs/dbraw/zinc/50/60/84/1128506084.db2.gz RUQSBMJEKHYZFT-CQSZACIVSA-N 1 2 287.407 3.851 20 0 CHADLO CC(C)CCc1noc(C[N@@H+](C)[C@H](C)c2ccccc2)n1 ZINC000068299095 1128506085 /nfs/dbraw/zinc/50/60/85/1128506085.db2.gz RUQSBMJEKHYZFT-CQSZACIVSA-N 1 2 287.407 3.851 20 0 CHADLO CC(=CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)c1ccccc1 ZINC000917989517 1128527773 /nfs/dbraw/zinc/52/77/73/1128527773.db2.gz JDCPGOMEACQMID-ZRDIBKRKSA-N 1 2 291.354 3.913 20 0 CHADLO COc1cccc(C[N@H+](C)[C@@H](C)c2ccccn2)c1Cl ZINC000660139538 1128536794 /nfs/dbraw/zinc/53/67/94/1128536794.db2.gz BKYIWKRUBPASRS-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@@H](C)c2ccccn2)c1Cl ZINC000660139538 1128536797 /nfs/dbraw/zinc/53/67/97/1128536797.db2.gz BKYIWKRUBPASRS-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC3(C2)CCCCC3)no1 ZINC000130009996 1128560907 /nfs/dbraw/zinc/56/09/07/1128560907.db2.gz AAAMFBAPKZNOPA-UHFFFAOYSA-N 1 2 277.412 3.568 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC3(C2)CCCCC3)no1 ZINC000130009996 1128560910 /nfs/dbraw/zinc/56/09/10/1128560910.db2.gz AAAMFBAPKZNOPA-UHFFFAOYSA-N 1 2 277.412 3.568 20 0 CHADLO C[C@@H](c1ccc(C(C)(C)C)cc1)[N@H+](C)Cc1ncc[nH]1 ZINC000660142130 1128562880 /nfs/dbraw/zinc/56/28/80/1128562880.db2.gz OMCMURBMXDPUAC-ZDUSSCGKSA-N 1 2 271.408 3.900 20 0 CHADLO C[C@@H](c1ccc(C(C)(C)C)cc1)[N@@H+](C)Cc1ncc[nH]1 ZINC000660142130 1128562883 /nfs/dbraw/zinc/56/28/83/1128562883.db2.gz OMCMURBMXDPUAC-ZDUSSCGKSA-N 1 2 271.408 3.900 20 0 CHADLO Cc1c[nH+]c(CCSCCOC2CCC2)c(C)c1 ZINC000567882846 1128573627 /nfs/dbraw/zinc/57/36/27/1128573627.db2.gz DHUHLFRAIFVGHM-UHFFFAOYSA-N 1 2 265.422 3.543 20 0 CHADLO CC[C@@H](C)Oc1cccc(NCc2[nH]c(C)c(C)[nH+]2)c1 ZINC000568269389 1128581007 /nfs/dbraw/zinc/58/10/07/1128581007.db2.gz JZOABNKHSOJVES-LLVKDONJSA-N 1 2 273.380 3.816 20 0 CHADLO CC[C@H](C)Oc1cccc(NCc2[nH]c(C)c(C)[nH+]2)c1 ZINC000568269390 1128581415 /nfs/dbraw/zinc/58/14/15/1128581415.db2.gz JZOABNKHSOJVES-NSHDSACASA-N 1 2 273.380 3.816 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCc2c(OC)cccc21)C(F)F ZINC000556455243 1128591345 /nfs/dbraw/zinc/59/13/45/1128591345.db2.gz DZAMCIDKVTYNGV-STQMWFEESA-N 1 2 269.335 3.706 20 0 CHADLO c1[nH+]c(Cc2ccccc2)cn1C[C@@H]1CCC2(CCCC2)O1 ZINC000919149438 1128592386 /nfs/dbraw/zinc/59/23/86/1128592386.db2.gz CDSIHIWQTHWKMS-SFHVURJKSA-N 1 2 296.414 3.966 20 0 CHADLO FC1(F)CC[C@@H](Cn2c[nH+]c(Cc3ccccc3)c2)C1 ZINC000919150184 1128592428 /nfs/dbraw/zinc/59/24/28/1128592428.db2.gz IKEFNLDRLBKXCU-CQSZACIVSA-N 1 2 276.330 3.909 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1CC12CCCC2 ZINC000569062112 1128597528 /nfs/dbraw/zinc/59/75/28/1128597528.db2.gz LYRWDOUIANHFBE-CQSZACIVSA-N 1 2 295.386 3.904 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(-c2nc(CC3CCC3)no2)c1 ZINC000569273302 1128602774 /nfs/dbraw/zinc/60/27/74/1128602774.db2.gz LRTSBHPIKBDYEC-UHFFFAOYSA-N 1 2 294.358 3.573 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@@H]1CCC(C)(C)C1 ZINC000569648845 1128607001 /nfs/dbraw/zinc/60/70/01/1128607001.db2.gz RAQMJZDOOGQNAE-OAHLLOKOSA-N 1 2 288.435 3.822 20 0 CHADLO CCC[C@@H](C)c1noc([C@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923869150 1128622404 /nfs/dbraw/zinc/62/24/04/1128622404.db2.gz QDFDOUCWHLGTND-OLZOCXBDSA-N 1 2 276.384 3.805 20 0 CHADLO CC[C@H](F)C[N@H+](C)[C@]1(c2ccccc2)CCCCC1=O ZINC000572065389 1128641207 /nfs/dbraw/zinc/64/12/07/1128641207.db2.gz MNNSDJYHMRUVFF-RDJZCZTQSA-N 1 2 277.383 3.705 20 0 CHADLO CC[C@H](F)C[N@@H+](C)[C@]1(c2ccccc2)CCCCC1=O ZINC000572065389 1128641210 /nfs/dbraw/zinc/64/12/10/1128641210.db2.gz MNNSDJYHMRUVFF-RDJZCZTQSA-N 1 2 277.383 3.705 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1ccn[nH]1)C1CCCC1 ZINC000572339483 1128644529 /nfs/dbraw/zinc/64/45/29/1128644529.db2.gz DXZLTBUUXKEGHG-KRWDZBQOSA-N 1 2 269.392 3.739 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2cc(C)ccc2OC)cs1 ZINC000073893228 1128649858 /nfs/dbraw/zinc/64/98/58/1128649858.db2.gz JIVYIWMCTGLSGJ-GFCCVEGCSA-N 1 2 290.432 3.873 20 0 CHADLO CCC[N@H+](Cc1noc(C2CCCCC2)n1)C(C)C ZINC000572982493 1128650137 /nfs/dbraw/zinc/65/01/37/1128650137.db2.gz XDKRJWVIXQRSFF-UHFFFAOYSA-N 1 2 265.401 3.738 20 0 CHADLO CCC[N@@H+](Cc1noc(C2CCCCC2)n1)C(C)C ZINC000572982493 1128650138 /nfs/dbraw/zinc/65/01/38/1128650138.db2.gz XDKRJWVIXQRSFF-UHFFFAOYSA-N 1 2 265.401 3.738 20 0 CHADLO Cc1ccc(C)c(N(C(=O)C[C@@H](C)n2cc[nH+]c2)C(C)C)c1 ZINC000573567256 1128655871 /nfs/dbraw/zinc/65/58/71/1128655871.db2.gz KHHHEDGMUVDYJJ-MRXNPFEDSA-N 1 2 299.418 3.893 20 0 CHADLO O=C(/C=C/c1ccccc1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000047514899 1128671613 /nfs/dbraw/zinc/67/16/13/1128671613.db2.gz LVKJDTQOCMDXOX-MDZDMXLPSA-N 1 2 289.338 3.524 20 0 CHADLO CCc1ccc(C[N@H+](Cn2cc(Cl)cn2)C2CC2)cc1 ZINC000921186496 1128702382 /nfs/dbraw/zinc/70/23/82/1128702382.db2.gz QYFFIWMQNLOPGR-UHFFFAOYSA-N 1 2 289.810 3.721 20 0 CHADLO CCc1ccc(C[N@@H+](Cn2cc(Cl)cn2)C2CC2)cc1 ZINC000921186496 1128702384 /nfs/dbraw/zinc/70/23/84/1128702384.db2.gz QYFFIWMQNLOPGR-UHFFFAOYSA-N 1 2 289.810 3.721 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cn2cc(Cl)cn2)cc1C ZINC000921187950 1128702474 /nfs/dbraw/zinc/70/24/74/1128702474.db2.gz RTZMTFROSWQVAY-MRXNPFEDSA-N 1 2 289.810 3.948 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cn2cc(Cl)cn2)cc1C ZINC000921187950 1128702478 /nfs/dbraw/zinc/70/24/78/1128702478.db2.gz RTZMTFROSWQVAY-MRXNPFEDSA-N 1 2 289.810 3.948 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc(C3CC3)cc2)o1 ZINC000578174514 1128710139 /nfs/dbraw/zinc/71/01/39/1128710139.db2.gz RXUPZKNTWNECMA-LBPRGKRZSA-N 1 2 270.376 3.965 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1-c1ccc(N)[nH+]c1 ZINC001236312929 1128712675 /nfs/dbraw/zinc/71/26/75/1128712675.db2.gz CNAHSYXOJWCYFK-UHFFFAOYSA-N 1 2 256.349 3.735 20 0 CHADLO Cc1cc(OC(F)(F)F)ccc1-c1ccc(N)[nH+]c1 ZINC001236312923 1128713260 /nfs/dbraw/zinc/71/32/60/1128713260.db2.gz AYUOXBUZBKTEST-UHFFFAOYSA-N 1 2 268.238 3.538 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCC[C@@H]2/C=C/c2ccccc2)on1 ZINC000578334335 1128714302 /nfs/dbraw/zinc/71/43/02/1128714302.db2.gz JMJISPRBRVVXJL-ZNFPLGDCSA-N 1 2 296.370 3.555 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCC[C@@H]2/C=C/c2ccccc2)on1 ZINC000578334335 1128714305 /nfs/dbraw/zinc/71/43/05/1128714305.db2.gz JMJISPRBRVVXJL-ZNFPLGDCSA-N 1 2 296.370 3.555 20 0 CHADLO CCOC(=O)C[N@@H+]1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000609772147 1128724915 /nfs/dbraw/zinc/72/49/15/1128724915.db2.gz VAVNNPICGHLDHA-HNNXBMFYSA-N 1 2 295.810 3.820 20 0 CHADLO CCOC(=O)C[N@H+]1CCCCC[C@H]1c1ccc(Cl)cc1 ZINC000609772147 1128724918 /nfs/dbraw/zinc/72/49/18/1128724918.db2.gz VAVNNPICGHLDHA-HNNXBMFYSA-N 1 2 295.810 3.820 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2C[C@H]3CCC[C@@H]3C2)c1 ZINC000578762246 1128728562 /nfs/dbraw/zinc/72/85/62/1128728562.db2.gz FKNGREHAHSKBRG-CHWSQXEVSA-N 1 2 256.324 3.610 20 0 CHADLO CO[C@@H]1CCN(c2[nH+]ccc3cc(F)ccc32)CC1(C)C ZINC000578886748 1128731785 /nfs/dbraw/zinc/73/17/85/1128731785.db2.gz VLBKQVQUKVGJRC-OAHLLOKOSA-N 1 2 288.366 3.625 20 0 CHADLO C[C@@H]([NH2+]C/C=C/c1ccccc1)c1nc(C(C)(C)C)no1 ZINC000171840604 1117768790 /nfs/dbraw/zinc/76/87/90/1117768790.db2.gz YPTSVWJYJSGQPK-RUNBWSAHSA-N 1 2 285.391 3.731 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Cl)o2)Cc2ccccc2O1 ZINC000430144476 1117773048 /nfs/dbraw/zinc/77/30/48/1117773048.db2.gz DOSATOVKZLAZJZ-NSHDSACASA-N 1 2 277.751 3.716 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)o2)Cc2ccccc2O1 ZINC000430144476 1117773052 /nfs/dbraw/zinc/77/30/52/1117773052.db2.gz DOSATOVKZLAZJZ-NSHDSACASA-N 1 2 277.751 3.716 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1cccc2c1OCO2)C1CC1 ZINC000339415464 1117777124 /nfs/dbraw/zinc/77/71/24/1117777124.db2.gz UBDXULAIOCWZJP-UHFFFAOYSA-N 1 2 299.345 3.719 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1cccc2c1OCO2)C1CC1 ZINC000339415464 1117777130 /nfs/dbraw/zinc/77/71/30/1117777130.db2.gz UBDXULAIOCWZJP-UHFFFAOYSA-N 1 2 299.345 3.719 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2cnc(Cl)s2)C2CC2)s1 ZINC000185346250 1117812035 /nfs/dbraw/zinc/81/20/35/1117812035.db2.gz CSECZSCXOWZYCM-JTQLQIEISA-N 1 2 299.852 3.802 20 0 CHADLO Cc1cc(C)c(Nc2c(C)ccnc2Br)c[nH+]1 ZINC001213505613 1117827306 /nfs/dbraw/zinc/82/73/06/1117827306.db2.gz KKNKFOVPICXQRZ-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(OC)c(F)c2)cs1 ZINC000111406361 1117843630 /nfs/dbraw/zinc/84/36/30/1117843630.db2.gz NFNLHXFTYNSSOZ-JTQLQIEISA-N 1 2 294.395 3.704 20 0 CHADLO Cc1cc(C)c(Nc2nc(F)ccc2Br)c[nH+]1 ZINC001213508228 1117849973 /nfs/dbraw/zinc/84/99/73/1117849973.db2.gz NUALKXWXXILDSM-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c2ccccc2sc1[C@@H](C)[NH2+]Cc1ccn[nH]1 ZINC000041015468 1117855036 /nfs/dbraw/zinc/85/50/36/1117855036.db2.gz NNLKMOOPQYRDHN-LLVKDONJSA-N 1 2 271.389 3.784 20 0 CHADLO COc1cc(C[NH2+][C@@H]2CCCC2(F)F)ccc1Cl ZINC000623525274 1117866725 /nfs/dbraw/zinc/86/67/25/1117866725.db2.gz DNORYSBEOJBFKS-GFCCVEGCSA-N 1 2 275.726 3.626 20 0 CHADLO CCc1ccc(C[NH2+]Cc2coc(-c3ccccc3)n2)o1 ZINC000177790276 1117881423 /nfs/dbraw/zinc/88/14/23/1117881423.db2.gz YNGJHIPQTDXTQY-UHFFFAOYSA-N 1 2 282.343 3.787 20 0 CHADLO CCC[N@H+](Cc1nccc(C)n1)[C@@H](C)c1ccccc1OC ZINC000339586412 1117889018 /nfs/dbraw/zinc/88/90/18/1117889018.db2.gz IOKILDSOZPZLMI-HNNXBMFYSA-N 1 2 299.418 3.767 20 0 CHADLO CCC[N@@H+](Cc1nccc(C)n1)[C@@H](C)c1ccccc1OC ZINC000339586412 1117889020 /nfs/dbraw/zinc/88/90/20/1117889020.db2.gz IOKILDSOZPZLMI-HNNXBMFYSA-N 1 2 299.418 3.767 20 0 CHADLO C[N@H+](Cc1ccc[nH]1)Cc1cccc(Cl)c1Cl ZINC000180174309 1117924858 /nfs/dbraw/zinc/92/48/58/1117924858.db2.gz XSGSUEZXPNDHDH-UHFFFAOYSA-N 1 2 269.175 3.954 20 0 CHADLO C[N@@H+](Cc1ccc[nH]1)Cc1cccc(Cl)c1Cl ZINC000180174309 1117924860 /nfs/dbraw/zinc/92/48/60/1117924860.db2.gz XSGSUEZXPNDHDH-UHFFFAOYSA-N 1 2 269.175 3.954 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H]1CCSc2ccccc21 ZINC000624147637 1117988849 /nfs/dbraw/zinc/98/88/49/1117988849.db2.gz GTLUOYZMKCIJQU-LLVKDONJSA-N 1 2 257.349 3.858 20 0 CHADLO Cc1cn2cc(NC(=O)c3ccn(C(C)C)c3C)ccc2[nH+]1 ZINC000339691216 1117956926 /nfs/dbraw/zinc/95/69/26/1117956926.db2.gz LQSJSZSBHSPXKA-UHFFFAOYSA-N 1 2 296.374 3.586 20 0 CHADLO COc1ccccc1C1([NH2+][C@@H](C)c2nc(C)cs2)CC1 ZINC000624006214 1117971508 /nfs/dbraw/zinc/97/15/08/1117971508.db2.gz OZTNCIRFLYMTQD-LBPRGKRZSA-N 1 2 288.416 3.800 20 0 CHADLO Clc1ccccc1NC1CCN(c2cccc[nH+]2)CC1 ZINC000037210724 1117973676 /nfs/dbraw/zinc/97/36/76/1117973676.db2.gz SRETUMBDBRQKBZ-UHFFFAOYSA-N 1 2 287.794 3.816 20 0 CHADLO C[N@H+](Cc1cccc(F)c1)Cc1cccc(Cl)c1O ZINC000179561002 1128748205 /nfs/dbraw/zinc/74/82/05/1128748205.db2.gz XVPGINZYMCCOCS-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1)Cc1cccc(Cl)c1O ZINC000179561002 1128748208 /nfs/dbraw/zinc/74/82/08/1128748208.db2.gz XVPGINZYMCCOCS-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO CC[C@H]1COCC[N@@H+]1CCc1ccc(Cl)cc1Cl ZINC001209080882 1117994968 /nfs/dbraw/zinc/99/49/68/1117994968.db2.gz INPGOCOSRYSRBX-ZDUSSCGKSA-N 1 2 288.218 3.647 20 0 CHADLO CC[C@H]1COCC[N@H+]1CCc1ccc(Cl)cc1Cl ZINC001209080882 1117994972 /nfs/dbraw/zinc/99/49/72/1117994972.db2.gz INPGOCOSRYSRBX-ZDUSSCGKSA-N 1 2 288.218 3.647 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H]2CCc3ccc(O)cc32)cs1 ZINC000705575016 1118007877 /nfs/dbraw/zinc/00/78/77/1118007877.db2.gz ZKEPWOJVIUQVTB-OAHLLOKOSA-N 1 2 288.416 3.749 20 0 CHADLO CCCc1cc(N(C)[C@H](C)c2ccccc2OC)nc(C)[nH+]1 ZINC001167110063 1118025526 /nfs/dbraw/zinc/02/55/26/1118025526.db2.gz UFZCKUGKZTXVAP-CYBMUJFWSA-N 1 2 299.418 3.944 20 0 CHADLO C[C@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1ccoc1 ZINC000182909944 1118032787 /nfs/dbraw/zinc/03/27/87/1118032787.db2.gz HBFPRQGRRYDYNG-NSHDSACASA-N 1 2 271.295 3.778 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCc3ccccc3C2)s1 ZINC000182928676 1118033445 /nfs/dbraw/zinc/03/34/45/1118033445.db2.gz SBVDQZAMPJQAAG-UHFFFAOYSA-N 1 2 272.417 3.825 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCc3ccccc3C2)s1 ZINC000182928676 1118033451 /nfs/dbraw/zinc/03/34/51/1118033451.db2.gz SBVDQZAMPJQAAG-UHFFFAOYSA-N 1 2 272.417 3.825 20 0 CHADLO Cn1cnc(C[N@@H+]2CCCC[C@H]2c2ccc(Cl)cc2)c1 ZINC000660737781 1118044726 /nfs/dbraw/zinc/04/47/26/1118044726.db2.gz ZEWKWZZKHUHVNG-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cnc(C[N@H+]2CCCC[C@H]2c2ccc(Cl)cc2)c1 ZINC000660737781 1118044731 /nfs/dbraw/zinc/04/47/31/1118044731.db2.gz ZEWKWZZKHUHVNG-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2ccncc2Cl)C2CC2)n1 ZINC000183502977 1118046251 /nfs/dbraw/zinc/04/62/51/1118046251.db2.gz JFORUARRPMKRHT-ZDUSSCGKSA-N 1 2 293.823 3.741 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001209425510 1118049225 /nfs/dbraw/zinc/04/92/25/1118049225.db2.gz FEDLIYNFSNXSSQ-BPLDGKMQSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001209425510 1118049232 /nfs/dbraw/zinc/04/92/32/1118049232.db2.gz FEDLIYNFSNXSSQ-BPLDGKMQSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]1C[C@H]1C[N@@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001209425511 1118049694 /nfs/dbraw/zinc/04/96/94/1118049694.db2.gz FEDLIYNFSNXSSQ-SNPRPXQTSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]1C[C@H]1C[N@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001209425511 1118049698 /nfs/dbraw/zinc/04/96/98/1118049698.db2.gz FEDLIYNFSNXSSQ-SNPRPXQTSA-N 1 2 265.347 3.767 20 0 CHADLO Cc1nc(C[NH+]2CCC(c3cccc(F)c3F)CC2)co1 ZINC000660303301 1118059588 /nfs/dbraw/zinc/05/95/88/1118059588.db2.gz QXWBWAPRRMZCFC-UHFFFAOYSA-N 1 2 292.329 3.641 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C(C)C)no1)c1ccc(C)cc1 ZINC000193235225 1118065926 /nfs/dbraw/zinc/06/59/26/1118065926.db2.gz BUUMPDALMGAEOV-AWEZNQCLSA-N 1 2 273.380 3.742 20 0 CHADLO Cc1cc(NC(=O)C=CCc2ccc(C(C)C)cc2)cc[nH+]1 ZINC001127729552 1118068525 /nfs/dbraw/zinc/06/85/25/1118068525.db2.gz CSAILDZOTNGYKK-SNAWJCMRSA-N 1 2 294.398 3.977 20 0 CHADLO CCCc1ccc([C@H](C)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398227468 1118103157 /nfs/dbraw/zinc/10/31/57/1118103157.db2.gz GGGOPHHVVASTFS-NWDGAFQWSA-N 1 2 275.421 3.902 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2csnn2)c(C)s1 ZINC000398248059 1118106080 /nfs/dbraw/zinc/10/60/80/1118106080.db2.gz UMCXFBLQIUQBJB-DTWKUNHWSA-N 1 2 267.423 3.628 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(C(F)(F)F)c(F)c1 ZINC001209910699 1118109503 /nfs/dbraw/zinc/10/95/03/1118109503.db2.gz DFPXDAKMACTNKP-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO C[C@@H]([NH2+]CC(=O)OCc1ccccc1)[C@@H](C)c1ccccc1 ZINC000754179206 1118129377 /nfs/dbraw/zinc/12/93/77/1118129377.db2.gz AGRWTBWFLMMWMH-HZPDHXFCSA-N 1 2 297.398 3.512 20 0 CHADLO CNc1ccc(Nc2ccc(C(F)(F)F)c(OC)c2)c[nH+]1 ZINC001203456750 1118145119 /nfs/dbraw/zinc/14/51/19/1118145119.db2.gz UUFMUHXJALGWFG-UHFFFAOYSA-N 1 2 297.280 3.894 20 0 CHADLO CCOc1ccc(C)cc1Nc1ccc(NC)[nH+]c1 ZINC001203456789 1118153392 /nfs/dbraw/zinc/15/33/92/1118153392.db2.gz XCFUXALFSMONRH-UHFFFAOYSA-N 1 2 257.337 3.574 20 0 CHADLO CC(C)n1ccc(C[N@H+](Cc2cccc(F)c2)C2CC2)n1 ZINC000172012368 1118167501 /nfs/dbraw/zinc/16/75/01/1118167501.db2.gz WFVKIWUNQKWKRZ-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO CC(C)n1ccc(C[N@@H+](Cc2cccc(F)c2)C2CC2)n1 ZINC000172012368 1118167502 /nfs/dbraw/zinc/16/75/02/1118167502.db2.gz WFVKIWUNQKWKRZ-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccc(F)c2cccnc12 ZINC000024679860 1118183887 /nfs/dbraw/zinc/18/38/87/1118183887.db2.gz UJKAIHURJKCCLB-CYBMUJFWSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccc(F)c2cccnc12 ZINC000024679860 1118183889 /nfs/dbraw/zinc/18/38/89/1118183889.db2.gz UJKAIHURJKCCLB-CYBMUJFWSA-N 1 2 295.361 3.752 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+]C2CCOCC2)C(F)F)c1 ZINC000655697489 1118187488 /nfs/dbraw/zinc/18/74/88/1118187488.db2.gz RQMUGLSPPYSYRB-OAHLLOKOSA-N 1 2 299.361 3.549 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1ncccc1Cl ZINC000625314991 1118190112 /nfs/dbraw/zinc/19/01/12/1118190112.db2.gz RRPRYVLAZRRHOF-VHSXEESVSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1ncccc1Cl ZINC000625314991 1118190116 /nfs/dbraw/zinc/19/01/16/1118190116.db2.gz RRPRYVLAZRRHOF-VHSXEESVSA-N 1 2 292.732 3.898 20 0 CHADLO CC(C)[N@H+](C)Cc1c(Cl)cccc1Br ZINC001137809422 1118191676 /nfs/dbraw/zinc/19/16/76/1118191676.db2.gz DPNLWQCZDXPNNG-UHFFFAOYSA-N 1 2 276.605 3.943 20 0 CHADLO CC(C)[N@@H+](C)Cc1c(Cl)cccc1Br ZINC001137809422 1118191678 /nfs/dbraw/zinc/19/16/78/1118191678.db2.gz DPNLWQCZDXPNNG-UHFFFAOYSA-N 1 2 276.605 3.943 20 0 CHADLO CC(C)C1(C)CC[NH+](Cc2noc(C3CCC3)n2)CC1 ZINC000625342340 1118199025 /nfs/dbraw/zinc/19/90/25/1118199025.db2.gz NHINZKBNKIVPJE-UHFFFAOYSA-N 1 2 277.412 3.595 20 0 CHADLO C[C@@H]([NH2+]Cc1ccnn1C1CCC1)c1c(F)cccc1F ZINC000921561246 1118200391 /nfs/dbraw/zinc/20/03/91/1118200391.db2.gz BQYMGKPICFNUDS-LLVKDONJSA-N 1 2 291.345 3.737 20 0 CHADLO C[C@@H]1CC[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)CS1 ZINC000655746922 1118201024 /nfs/dbraw/zinc/20/10/24/1118201024.db2.gz IXXKVHLSHCRPFB-TZMCWYRMSA-N 1 2 273.405 3.568 20 0 CHADLO Cc1nn(C[N@@H+](CCC(C)C)C2CC2)c(=S)n1C1CC1 ZINC000075582139 1128762927 /nfs/dbraw/zinc/76/29/27/1128762927.db2.gz MQPKSQXWBYNYOZ-UHFFFAOYSA-N 1 2 294.468 3.525 20 0 CHADLO Cc1nn(C[N@H+](CCC(C)C)C2CC2)c(=S)n1C1CC1 ZINC000075582139 1128762930 /nfs/dbraw/zinc/76/29/30/1128762930.db2.gz MQPKSQXWBYNYOZ-UHFFFAOYSA-N 1 2 294.468 3.525 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cc(-c2ccccc2)no1 ZINC000027428359 1118210825 /nfs/dbraw/zinc/21/08/25/1118210825.db2.gz HOLCWPBFZCTSLD-AWEZNQCLSA-N 1 2 293.370 3.720 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cc(-c2ccccc2)no1 ZINC000027428359 1118210828 /nfs/dbraw/zinc/21/08/28/1118210828.db2.gz HOLCWPBFZCTSLD-AWEZNQCLSA-N 1 2 293.370 3.720 20 0 CHADLO O=C(CCC1CCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000029951541 1118217041 /nfs/dbraw/zinc/21/70/41/1118217041.db2.gz HPFPACPTCHACCL-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO CNc1ccc(Nc2ccc(OCC(F)(F)F)cc2)c[nH+]1 ZINC001203458226 1118219759 /nfs/dbraw/zinc/21/97/59/1118219759.db2.gz GRCXHQVKPPCBRZ-UHFFFAOYSA-N 1 2 297.280 3.808 20 0 CHADLO Cc1cc(Nc2cccc(-n3cc[nH+]c3)c2)c(C)o1 ZINC001210279874 1118229784 /nfs/dbraw/zinc/22/97/84/1118229784.db2.gz BTYHEGRDIXNPIG-UHFFFAOYSA-N 1 2 253.305 3.826 20 0 CHADLO Cc1ccc(NC(=O)C[C@@H](C)CC(C)(C)C)c(N(C)C)[nH+]1 ZINC001266516066 1118232259 /nfs/dbraw/zinc/23/22/59/1118232259.db2.gz PSZLXHPTCBYTBY-GFCCVEGCSA-N 1 2 291.439 3.857 20 0 CHADLO Cc1cc(CC(C)C)ncc1Nc1c[nH+]c(C)c(N)c1 ZINC001210288181 1118234282 /nfs/dbraw/zinc/23/42/82/1118234282.db2.gz CWAYRTNBEQYPHO-UHFFFAOYSA-N 1 2 270.380 3.618 20 0 CHADLO Cc1cc([NH2+][C@H](C2CCC2)[C@@H]2CCOC2)ccc1N(C)C ZINC000926052402 1118234452 /nfs/dbraw/zinc/23/44/52/1118234452.db2.gz FTIIOXOGDVMUQQ-CRAIPNDOSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@H](C2CCC2)[C@@H]2CCOC2)ccc1[NH+](C)C ZINC000926052402 1118234453 /nfs/dbraw/zinc/23/44/53/1118234453.db2.gz FTIIOXOGDVMUQQ-CRAIPNDOSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)c(C(F)(F)F)c2)cc1N ZINC001210288861 1118234778 /nfs/dbraw/zinc/23/47/78/1118234778.db2.gz VMPWJALJBFCJHY-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)c(O)cc2Cl)cc1N ZINC001210289912 1118236404 /nfs/dbraw/zinc/23/64/04/1118236404.db2.gz AUESLIYBKTVFGK-UHFFFAOYSA-N 1 2 284.146 3.728 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2noc3ccccc23)o1 ZINC000655854398 1118244519 /nfs/dbraw/zinc/24/45/19/1118244519.db2.gz UZJHCVNXLIMMOZ-NSHDSACASA-N 1 2 256.305 3.580 20 0 CHADLO CC(C)n1ccc(Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210344483 1118252707 /nfs/dbraw/zinc/25/27/07/1118252707.db2.gz HNPVDCHQCBHGAC-UHFFFAOYSA-N 1 2 252.321 3.756 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1nccn1C(F)F)C1CC1 ZINC000045809338 1118258074 /nfs/dbraw/zinc/25/80/74/1118258074.db2.gz MDSDTFHTAIKITE-UHFFFAOYSA-N 1 2 291.345 3.751 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1nccn1C(F)F)C1CC1 ZINC000045809338 1118258076 /nfs/dbraw/zinc/25/80/76/1118258076.db2.gz MDSDTFHTAIKITE-UHFFFAOYSA-N 1 2 291.345 3.751 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)c1ccccc1Cl ZINC000045859890 1118259007 /nfs/dbraw/zinc/25/90/07/1118259007.db2.gz FGUIUPCKOXNUTL-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO Cc1ccc(C(=O)Nc2ccccc2-n2cc[nH+]c2)cc1C ZINC000045955627 1118259288 /nfs/dbraw/zinc/25/92/88/1118259288.db2.gz LOCZLDPRWRAXFJ-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1ccc(-c2nnc(C[N@H+](C)Cc3cccs3)o2)cc1 ZINC000046502493 1118263516 /nfs/dbraw/zinc/26/35/16/1118263516.db2.gz FXHJKMJMBZVAKQ-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(-c2nnc(C[N@@H+](C)Cc3cccs3)o2)cc1 ZINC000046502493 1118263518 /nfs/dbraw/zinc/26/35/18/1118263518.db2.gz FXHJKMJMBZVAKQ-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CC(C)CCOCC[NH+](Cc1ccco1)Cc1ccco1 ZINC000056267755 1118270805 /nfs/dbraw/zinc/27/08/05/1118270805.db2.gz ACPIPCOFGGAPKF-UHFFFAOYSA-N 1 2 291.391 3.938 20 0 CHADLO c1ccc(NCCCCNc2nc3ccccc3s2)[nH+]c1 ZINC000060372391 1118298509 /nfs/dbraw/zinc/29/85/09/1118298509.db2.gz LHTBWMMQKCZTKC-UHFFFAOYSA-N 1 2 298.415 3.996 20 0 CHADLO Cn1ccnc1[C@H](Nc1ccc(C2CCC2)c[nH+]1)C1CC1 ZINC000639211246 1118305010 /nfs/dbraw/zinc/30/50/10/1118305010.db2.gz SOVSJBKOGWLYFF-MRXNPFEDSA-N 1 2 282.391 3.646 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccccc2N(C)C(C)C)no1 ZINC000340502914 1118308342 /nfs/dbraw/zinc/30/83/42/1118308342.db2.gz XUAPMXLTDDXMCW-CQSZACIVSA-N 1 2 287.407 3.678 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2[nH]nc3ccccc32)c1 ZINC000683721664 1118309543 /nfs/dbraw/zinc/30/95/43/1118309543.db2.gz JTIMPIJEZHWZQX-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2[nH]nc3ccccc32)c1 ZINC000683721664 1118309547 /nfs/dbraw/zinc/30/95/47/1118309547.db2.gz JTIMPIJEZHWZQX-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)c(F)cc1OC ZINC001210547849 1118321778 /nfs/dbraw/zinc/32/17/78/1118321778.db2.gz AALGCZMRVHLTMP-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO Cc1cccc([C@@H]([NH2+][C@H](C)c2cn[nH]c2)c2ccccn2)c1 ZINC000340531062 1118321853 /nfs/dbraw/zinc/32/18/53/1118321853.db2.gz RTJIEBPHUWPOCC-RDTXWAMCSA-N 1 2 292.386 3.553 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cccc(F)c1F)CC2 ZINC000065162935 1118332381 /nfs/dbraw/zinc/33/23/81/1118332381.db2.gz ZTRJKVKQVZHCHH-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cccc(F)c1F)CC2 ZINC000065162935 1118332391 /nfs/dbraw/zinc/33/23/91/1118332391.db2.gz ZTRJKVKQVZHCHH-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cscn2)C(C)C)s1 ZINC000067461470 1118352382 /nfs/dbraw/zinc/35/23/82/1118352382.db2.gz NJQOSXSPAZRWMB-UHFFFAOYSA-N 1 2 266.435 3.924 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cscn2)C(C)C)s1 ZINC000067461470 1118352386 /nfs/dbraw/zinc/35/23/86/1118352386.db2.gz NJQOSXSPAZRWMB-UHFFFAOYSA-N 1 2 266.435 3.924 20 0 CHADLO COc1ccc(CC[C@H](C)Nc2cccc[nH+]2)cc1 ZINC000067383570 1118352708 /nfs/dbraw/zinc/35/27/08/1118352708.db2.gz PVTWSZKJYZBWMW-ZDUSSCGKSA-N 1 2 256.349 3.523 20 0 CHADLO CCOc1ncccc1C[NH2+]Cc1c(F)cccc1Cl ZINC000128875766 1118361499 /nfs/dbraw/zinc/36/14/99/1118361499.db2.gz SPMVPTZUGFHDFQ-UHFFFAOYSA-N 1 2 294.757 3.563 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(CO)ccc2C)c1 ZINC001210678399 1118364311 /nfs/dbraw/zinc/36/43/11/1118364311.db2.gz ZCZBIYWRBFCOFZ-UHFFFAOYSA-N 1 2 256.349 3.578 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)c(C)n1 ZINC000671770773 1118365901 /nfs/dbraw/zinc/36/59/01/1118365901.db2.gz RHKCIAAQULMKPF-NEPJUHHUSA-N 1 2 291.345 3.783 20 0 CHADLO CC(C)CCC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000069536126 1118369905 /nfs/dbraw/zinc/36/99/05/1118369905.db2.gz GPOXZEJTHFSCTE-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO C=CC[NH+](CC=C)Cc1coc(-c2ccccc2)n1 ZINC000070925988 1118372643 /nfs/dbraw/zinc/37/26/43/1118372643.db2.gz OSMSHNLCTIPLDV-UHFFFAOYSA-N 1 2 254.333 3.516 20 0 CHADLO COc1c(C)c[nH+]c(CN2c3ccccc3C[C@@H]2C)c1C ZINC000060330559 1118379025 /nfs/dbraw/zinc/37/90/25/1118379025.db2.gz PIMZZFJREYBCOE-ZDUSSCGKSA-N 1 2 282.387 3.658 20 0 CHADLO CN(C)c1ccc([NH2+]C[C@H]2CCN(c3ccccc3)C2)cc1 ZINC000076371455 1128774143 /nfs/dbraw/zinc/77/41/43/1128774143.db2.gz JZLURCKVLZFMIT-MRXNPFEDSA-N 1 2 295.430 3.691 20 0 CHADLO Fc1ccc([C@H]2CCCN(c3cccc[nH+]3)C2)cc1F ZINC000624446804 1118404767 /nfs/dbraw/zinc/40/47/67/1118404767.db2.gz YWNGABIQONHEAE-ZDUSSCGKSA-N 1 2 274.314 3.744 20 0 CHADLO c1nc(-c2ccccc2)[nH]c1C[N@@H+]1CCc2ccccc2C1 ZINC000148380612 1118405750 /nfs/dbraw/zinc/40/57/50/1118405750.db2.gz NMIYJZXJVWJOCU-UHFFFAOYSA-N 1 2 289.382 3.635 20 0 CHADLO c1nc(-c2ccccc2)[nH]c1C[N@H+]1CCc2ccccc2C1 ZINC000148380612 1118405753 /nfs/dbraw/zinc/40/57/53/1118405753.db2.gz NMIYJZXJVWJOCU-UHFFFAOYSA-N 1 2 289.382 3.635 20 0 CHADLO C[N@H+](Cc1ccc(F)c(F)c1)Cc1cccc(F)c1F ZINC000044438703 1118407005 /nfs/dbraw/zinc/40/70/05/1118407005.db2.gz BMJPQCLNHDHHPK-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1ccc(F)c(F)c1)Cc1cccc(F)c1F ZINC000044438703 1118407008 /nfs/dbraw/zinc/40/70/08/1118407008.db2.gz BMJPQCLNHDHHPK-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO CCCn1nccc1Nc1ccc2c(C)cc[nH+]c2c1 ZINC001210917385 1118422350 /nfs/dbraw/zinc/42/23/50/1118422350.db2.gz CGLBEORBRJCAQX-UHFFFAOYSA-N 1 2 266.348 3.893 20 0 CHADLO Cc1ccc(C)c(-n2ccn(C[N@@H+](C)C3CC3)c2=S)c1 ZINC000049227375 1118426171 /nfs/dbraw/zinc/42/61/71/1118426171.db2.gz IAZYJSCYQCPBGD-UHFFFAOYSA-N 1 2 287.432 3.677 20 0 CHADLO Cc1ccc(C)c(-n2ccn(C[N@H+](C)C3CC3)c2=S)c1 ZINC000049227375 1118426172 /nfs/dbraw/zinc/42/61/72/1118426172.db2.gz IAZYJSCYQCPBGD-UHFFFAOYSA-N 1 2 287.432 3.677 20 0 CHADLO C[NH+](C)Cc1ccccc1Nc1cccc(S)c1 ZINC001210950702 1118430669 /nfs/dbraw/zinc/43/06/69/1118430669.db2.gz SKPQVGAMFTWOLR-UHFFFAOYSA-N 1 2 258.390 3.781 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ncccc2C(F)(F)F)oc1C ZINC001204536490 1118447706 /nfs/dbraw/zinc/44/77/06/1118447706.db2.gz JILSGXUIINKHAN-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ncccc2C(F)(F)F)oc1C ZINC001204536490 1118447709 /nfs/dbraw/zinc/44/77/09/1118447709.db2.gz JILSGXUIINKHAN-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO CSc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1F ZINC001211109113 1118465220 /nfs/dbraw/zinc/46/52/20/1118465220.db2.gz WPRDNJDIUANHJB-UHFFFAOYSA-N 1 2 277.368 3.752 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1nc(C2CCC2)no1 ZINC001167417564 1118476280 /nfs/dbraw/zinc/47/62/80/1118476280.db2.gz VQOFPQXHGAUTPU-INIZCTEOSA-N 1 2 297.402 3.847 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1nc(C2CCC2)no1 ZINC001167417564 1118476281 /nfs/dbraw/zinc/47/62/81/1118476281.db2.gz VQOFPQXHGAUTPU-INIZCTEOSA-N 1 2 297.402 3.847 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2c(F)cccc2Cl)C1 ZINC001203398820 1118484795 /nfs/dbraw/zinc/48/47/95/1118484795.db2.gz CTURNPLPDIWAGX-UWVGGRQHSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2c(F)cccc2Cl)C1 ZINC001203398820 1118484796 /nfs/dbraw/zinc/48/47/96/1118484796.db2.gz CTURNPLPDIWAGX-UWVGGRQHSA-N 1 2 259.727 3.659 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1cc3ccccc3s1)C2 ZINC001137688425 1118504920 /nfs/dbraw/zinc/50/49/20/1118504920.db2.gz XUMOHQGBJCABKU-UHFFFAOYSA-N 1 2 284.384 3.756 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1cc3ccccc3s1)C2 ZINC001137688425 1118504924 /nfs/dbraw/zinc/50/49/24/1118504924.db2.gz XUMOHQGBJCABKU-UHFFFAOYSA-N 1 2 284.384 3.756 20 0 CHADLO CC[N@H+](Cc1nc(CC(C)C)no1)Cc1ccccc1C ZINC000048059351 1118507260 /nfs/dbraw/zinc/50/72/60/1118507260.db2.gz ZVBKXRJXTRHRGR-UHFFFAOYSA-N 1 2 287.407 3.599 20 0 CHADLO CC[N@@H+](Cc1nc(CC(C)C)no1)Cc1ccccc1C ZINC000048059351 1118507262 /nfs/dbraw/zinc/50/72/62/1118507262.db2.gz ZVBKXRJXTRHRGR-UHFFFAOYSA-N 1 2 287.407 3.599 20 0 CHADLO Cc1[nH]c(CN[C@@H](CC(F)(F)F)c2ccccc2)[nH+]c1C ZINC000580844147 1118513654 /nfs/dbraw/zinc/51/36/54/1118513654.db2.gz KPYWFEFRVYPYHW-ZDUSSCGKSA-N 1 2 297.324 3.810 20 0 CHADLO Cc1nc(C[NH2+][C@@H](CC(F)(F)F)c2ccccc2)[nH]c1C ZINC000580844147 1118513656 /nfs/dbraw/zinc/51/36/56/1118513656.db2.gz KPYWFEFRVYPYHW-ZDUSSCGKSA-N 1 2 297.324 3.810 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nccs2)cc(C)c1F ZINC001143381470 1118516147 /nfs/dbraw/zinc/51/61/47/1118516147.db2.gz CAHRWTRACTVKOR-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nccs2)cc(C)c1F ZINC001143381470 1118516149 /nfs/dbraw/zinc/51/61/49/1118516149.db2.gz CAHRWTRACTVKOR-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO COC(=O)c1c(F)cc(F)cc1Nc1c[nH+]c(C)cc1C ZINC001213518331 1118516284 /nfs/dbraw/zinc/51/62/84/1118516284.db2.gz IKHRAUDXKRTJIG-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1cc(C)c(Nc2c(N)cc(F)cc2Cl)c[nH+]1 ZINC001213518495 1118518000 /nfs/dbraw/zinc/51/80/00/1118518000.db2.gz QYSBRUWXJHUPAN-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO CC(=O)c1c(F)cc(F)cc1Nc1c[nH+]c(C)cc1C ZINC001213518626 1118518431 /nfs/dbraw/zinc/51/84/31/1118518431.db2.gz YMNHXTXOPQXNHQ-UHFFFAOYSA-N 1 2 276.286 3.923 20 0 CHADLO CC[C@H]1CCc2ccccc2N1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000580894724 1118518510 /nfs/dbraw/zinc/51/85/10/1118518510.db2.gz FBZLWNOEQRSJMA-ZBFHGGJFSA-N 1 2 297.402 3.592 20 0 CHADLO COc1cc(F)c(F)cc1C[N@@H+]1CCc2ccccc2C1 ZINC001143387396 1118535012 /nfs/dbraw/zinc/53/50/12/1118535012.db2.gz GXNWHNZLCQXBLM-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(F)c(F)cc1C[N@H+]1CCc2ccccc2C1 ZINC001143387396 1118535013 /nfs/dbraw/zinc/53/50/13/1118535013.db2.gz GXNWHNZLCQXBLM-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1ccc(F)cc1Cl ZINC000042366305 1118540833 /nfs/dbraw/zinc/54/08/33/1118540833.db2.gz XZAJJZZHFRSRJD-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1ccc(F)cc1Cl ZINC000042366305 1118540836 /nfs/dbraw/zinc/54/08/36/1118540836.db2.gz XZAJJZZHFRSRJD-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO CCOc1cc(F)ccc1Nc1c[nH+]cc(C)c1C ZINC001213528487 1118540964 /nfs/dbraw/zinc/54/09/64/1118540964.db2.gz FQXZRBMWPMIQTJ-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1c[nH+]c(CNc2ccc(C(C)(C)C)cc2)n1C ZINC000341142411 1118605785 /nfs/dbraw/zinc/60/57/85/1118605785.db2.gz GLVRPAHGHPOQIS-UHFFFAOYSA-N 1 2 257.381 3.638 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCc3cncc(F)c3)c2c1 ZINC000341173645 1118610837 /nfs/dbraw/zinc/61/08/37/1118610837.db2.gz KKZJWELLYYMYAV-UHFFFAOYSA-N 1 2 298.317 3.665 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ncc(C3CC3)o2)cc1 ZINC000341207214 1118622142 /nfs/dbraw/zinc/62/21/42/1118622142.db2.gz JQTZHDVOFPAYQC-LBPRGKRZSA-N 1 2 256.349 3.711 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(CCC(C)C)no1)c1ccccc1 ZINC000341208197 1118622209 /nfs/dbraw/zinc/62/22/09/1118622209.db2.gz KZBJOFOTTJYWOW-OAHLLOKOSA-N 1 2 287.407 3.899 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2c1cc(F)cc2F)c1cscn1 ZINC000656681283 1118633970 /nfs/dbraw/zinc/63/39/70/1118633970.db2.gz CNZLUIXLCJEOOO-OQPBUACISA-N 1 2 280.343 3.759 20 0 CHADLO COc1ccc(Nc2cccn3cc[nH+]c23)cc1SC ZINC001215931752 1118634788 /nfs/dbraw/zinc/63/47/88/1118634788.db2.gz KWAHYWIKKLSFGM-UHFFFAOYSA-N 1 2 285.372 3.808 20 0 CHADLO FC(F)C1C[NH+](Cc2cnc(-c3cccs3)s2)C1 ZINC000656690692 1118638965 /nfs/dbraw/zinc/63/89/65/1118638965.db2.gz OCFJRMOVBQONLS-UHFFFAOYSA-N 1 2 286.372 3.569 20 0 CHADLO FC(F)C1C[NH+](Cc2c(Cl)oc3ccccc32)C1 ZINC000656690282 1118639060 /nfs/dbraw/zinc/63/90/60/1118639060.db2.gz GZUHCZDNWFVJKA-UHFFFAOYSA-N 1 2 271.694 3.783 20 0 CHADLO Fc1ccccc1-c1ncc(C[NH+]2CC(C(F)F)C2)s1 ZINC000656691526 1118639281 /nfs/dbraw/zinc/63/92/81/1118639281.db2.gz HPUBXMCODFQTSV-UHFFFAOYSA-N 1 2 298.333 3.646 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccnn2C(C)C)C2CC2)o1 ZINC000684356188 1118645322 /nfs/dbraw/zinc/64/53/22/1118645322.db2.gz LUWRWRWLLFVLML-UHFFFAOYSA-N 1 2 273.380 3.530 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccnn2C(C)C)C2CC2)o1 ZINC000684356188 1118645324 /nfs/dbraw/zinc/64/53/24/1118645324.db2.gz LUWRWRWLLFVLML-UHFFFAOYSA-N 1 2 273.380 3.530 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1ccnn1-c1ccccc1C ZINC000684358551 1118646489 /nfs/dbraw/zinc/64/64/89/1118646489.db2.gz MZWGADSLUKRICE-UHFFFAOYSA-N 1 2 295.386 3.796 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1ccnn1-c1ccccc1C ZINC000684358551 1118646491 /nfs/dbraw/zinc/64/64/91/1118646491.db2.gz MZWGADSLUKRICE-UHFFFAOYSA-N 1 2 295.386 3.796 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1cccnc1C ZINC000128905528 1118664606 /nfs/dbraw/zinc/66/46/06/1118664606.db2.gz GYLFSSAZZIYSND-UHFFFAOYSA-N 1 2 284.338 3.511 20 0 CHADLO Cc1c[nH+]c(CNc2ccccc2SC(C)C)n1C ZINC000341367121 1118676905 /nfs/dbraw/zinc/67/69/05/1118676905.db2.gz XUVAWCSQMDGWRJ-UHFFFAOYSA-N 1 2 275.421 3.841 20 0 CHADLO C[N@H+](Cc1cnn(C2CCC2)c1)Cc1ccccc1Cl ZINC000891477221 1118691319 /nfs/dbraw/zinc/69/13/19/1118691319.db2.gz DNAKYBRJPOAGLD-UHFFFAOYSA-N 1 2 289.810 3.894 20 0 CHADLO C[N@@H+](Cc1cnn(C2CCC2)c1)Cc1ccccc1Cl ZINC000891477221 1118691320 /nfs/dbraw/zinc/69/13/20/1118691320.db2.gz DNAKYBRJPOAGLD-UHFFFAOYSA-N 1 2 289.810 3.894 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[NH2+]Cc1cscn1 ZINC000127305765 1118699683 /nfs/dbraw/zinc/69/96/83/1118699683.db2.gz ZTZMCBNLFNHTPU-VIFPVBQESA-N 1 2 281.450 3.882 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3sccc3[C@@H]2C)cnc1F ZINC000891524329 1118702965 /nfs/dbraw/zinc/70/29/65/1118702965.db2.gz LOMBSTLQUNXZPV-NSHDSACASA-N 1 2 276.380 3.710 20 0 CHADLO Cc1cc(C[N@H+]2CCc3sccc3[C@@H]2C)cnc1F ZINC000891524329 1118702969 /nfs/dbraw/zinc/70/29/69/1118702969.db2.gz LOMBSTLQUNXZPV-NSHDSACASA-N 1 2 276.380 3.710 20 0 CHADLO Cc1cc(N2CC[C@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000341476676 1118714795 /nfs/dbraw/zinc/71/47/95/1118714795.db2.gz FRTIGNYNQPEDGQ-HNNXBMFYSA-N 1 2 265.360 3.614 20 0 CHADLO Cc1ccc(-c2nc(CSCCn3cc[nH+]c3)co2)cc1 ZINC000341490185 1118716172 /nfs/dbraw/zinc/71/61/72/1118716172.db2.gz DTFCXOMASSPIAT-UHFFFAOYSA-N 1 2 299.399 3.780 20 0 CHADLO O=C(/C=C\c1cccs1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000255375390 1118724358 /nfs/dbraw/zinc/72/43/58/1118724358.db2.gz AVEAOUPLUUEDEJ-FPLPWBNLSA-N 1 2 295.367 3.790 20 0 CHADLO CN(C)c1ccc(Nc2cccc3[nH+]ccn32)cc1Cl ZINC001216059181 1118727410 /nfs/dbraw/zinc/72/74/10/1118727410.db2.gz CVHHPRVJKAXBTM-UHFFFAOYSA-N 1 2 286.766 3.797 20 0 CHADLO Clc1cnc(C[NH2+]Cc2cc3ccccc3[nH]2)s1 ZINC000349569591 1118756214 /nfs/dbraw/zinc/75/62/14/1118756214.db2.gz PPZGCYVACKUZIK-UHFFFAOYSA-N 1 2 277.780 3.568 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC[C@H]3CCC[C@H]32)cs1 ZINC000891803278 1118783469 /nfs/dbraw/zinc/78/34/69/1118783469.db2.gz HOZJOADGDWMMLJ-MWLCHTKSSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC[C@H]3CCC[C@H]32)cs1 ZINC000891803278 1118783470 /nfs/dbraw/zinc/78/34/70/1118783470.db2.gz HOZJOADGDWMMLJ-MWLCHTKSSA-N 1 2 290.354 3.926 20 0 CHADLO Cc1cc(NC(=O)C(C)(C)CC(C)(C)C)c(C)c[nH+]1 ZINC001266899587 1118783490 /nfs/dbraw/zinc/78/34/90/1118783490.db2.gz ICWSFIRNTBZYFV-UHFFFAOYSA-N 1 2 262.397 3.521 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1cc(C)cnc1Cl ZINC000891861675 1118810095 /nfs/dbraw/zinc/81/00/95/1118810095.db2.gz KJIRXYBJZMQICF-UHFFFAOYSA-N 1 2 280.721 3.818 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1cc(C)cnc1Cl ZINC000891861675 1118810100 /nfs/dbraw/zinc/81/01/00/1118810100.db2.gz KJIRXYBJZMQICF-UHFFFAOYSA-N 1 2 280.721 3.818 20 0 CHADLO COc1ccc2c(c1)C[C@H](Nc1ccc(C)[nH+]c1C)CC2 ZINC000129596930 1118871990 /nfs/dbraw/zinc/87/19/90/1118871990.db2.gz ZBJXZWZUQNZOAI-MRXNPFEDSA-N 1 2 282.387 3.676 20 0 CHADLO CC[C@H](C)[C@H](C(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000535748435 1118882013 /nfs/dbraw/zinc/88/20/13/1118882013.db2.gz LDHGMMYOTKRMLO-UGSOOPFHSA-N 1 2 296.414 3.889 20 0 CHADLO CCc1cc(Br)ccc1Nc1ccc(N)[nH+]c1 ZINC000148042749 1118921412 /nfs/dbraw/zinc/92/14/12/1118921412.db2.gz UNGPCJRPXJTRED-UHFFFAOYSA-N 1 2 292.180 3.732 20 0 CHADLO C[C@H]1CCCC[C@@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000036982132 1118923734 /nfs/dbraw/zinc/92/37/34/1118923734.db2.gz HZKNNHLEPBELDF-ZFWWWQNUSA-N 1 2 259.397 3.672 20 0 CHADLO COc1ccccc1C1([NH2+]Cc2ccc(Cl)o2)CC1 ZINC000647232935 1118942341 /nfs/dbraw/zinc/94/23/41/1118942341.db2.gz IUWJAGVGHKUEOB-UHFFFAOYSA-N 1 2 277.751 3.721 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C2CCC2)nn1)c1cc2ccccc2o1 ZINC000657293457 1118962907 /nfs/dbraw/zinc/96/29/07/1118962907.db2.gz NEBAHLDUEWEVIB-GFCCVEGCSA-N 1 2 296.374 3.600 20 0 CHADLO Cc1[nH]c(CN(C)Cc2cccc(Cl)c2Cl)[nH+]c1C ZINC000628119770 1128813362 /nfs/dbraw/zinc/81/33/62/1128813362.db2.gz KCFDUJSGYUVAEO-UHFFFAOYSA-N 1 2 298.217 3.965 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC000106077493 1118991719 /nfs/dbraw/zinc/99/17/19/1118991719.db2.gz JXSFVTNKTMLIDB-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC000106077493 1118991720 /nfs/dbraw/zinc/99/17/20/1118991720.db2.gz JXSFVTNKTMLIDB-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)n1 ZINC000628132075 1128815507 /nfs/dbraw/zinc/81/55/07/1128815507.db2.gz UZAOIRSASFCRIR-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)n1 ZINC000628132075 1128815511 /nfs/dbraw/zinc/81/55/11/1128815511.db2.gz UZAOIRSASFCRIR-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO CCCCN(C(=O)[C@H](C)Cc1c[nH]c[nH+]1)C1CCCCC1 ZINC000582130506 1119017760 /nfs/dbraw/zinc/01/77/60/1119017760.db2.gz GFXTYXHHGXNCHV-CQSZACIVSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCN(C(=O)[C@H](C)Cc1c[nH+]c[nH]1)C1CCCCC1 ZINC000582130506 1119017764 /nfs/dbraw/zinc/01/77/64/1119017764.db2.gz GFXTYXHHGXNCHV-CQSZACIVSA-N 1 2 291.439 3.550 20 0 CHADLO Cc1c[nH]c(C[N@H+](Cc2cccc(Cl)c2)C(C)C)n1 ZINC000628136109 1128816337 /nfs/dbraw/zinc/81/63/37/1128816337.db2.gz RBQQQWKJQCBDFT-UHFFFAOYSA-N 1 2 277.799 3.782 20 0 CHADLO Cc1c[nH]c(C[N@@H+](Cc2cccc(Cl)c2)C(C)C)n1 ZINC000628136109 1128816341 /nfs/dbraw/zinc/81/63/41/1128816341.db2.gz RBQQQWKJQCBDFT-UHFFFAOYSA-N 1 2 277.799 3.782 20 0 CHADLO CSc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC000684839807 1119064167 /nfs/dbraw/zinc/06/41/67/1119064167.db2.gz UAMMGCQCYWTDAR-UHFFFAOYSA-N 1 2 269.373 3.897 20 0 CHADLO Cc1[nH]c(CNC(=O)c2ccccc2CC(C)(C)C)[nH+]c1C ZINC000934426307 1119066993 /nfs/dbraw/zinc/06/69/93/1119066993.db2.gz SRWMJXKOPRYGEP-UHFFFAOYSA-N 1 2 299.418 3.545 20 0 CHADLO CCC(C)(C)c1ccccc1C(=O)NCc1[nH]c(C)c(C)[nH+]1 ZINC000934426267 1119067230 /nfs/dbraw/zinc/06/72/30/1119067230.db2.gz SISSNWJGDXJPSH-UHFFFAOYSA-N 1 2 299.418 3.644 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@@H](CC(F)(F)F)c2ccccc2C)n1 ZINC000657450633 1119105711 /nfs/dbraw/zinc/10/57/11/1119105711.db2.gz XJVDHFMQDSCIEC-ZDUSSCGKSA-N 1 2 297.324 3.810 20 0 CHADLO Cc1cccc2[nH]c(C[NH2+]C(C)(C)C(F)F)cc21 ZINC000657453047 1119106818 /nfs/dbraw/zinc/10/68/18/1119106818.db2.gz PZOHGLYCUJNETP-UHFFFAOYSA-N 1 2 252.308 3.610 20 0 CHADLO C[C@@H]1[C@H](C)OCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000342635451 1119107528 /nfs/dbraw/zinc/10/75/28/1119107528.db2.gz LICYGWBWYLDAKJ-MNOVXSKESA-N 1 2 276.767 3.502 20 0 CHADLO CC1=CCC[C@H](C)[C@H]1C[NH2+]CC(F)(F)Br ZINC000309143383 1119119617 /nfs/dbraw/zinc/11/96/17/1119119617.db2.gz UHAMXMAZZJCITM-UWVGGRQHSA-N 1 2 282.172 3.556 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2nc(C3CC3)cs2)C1 ZINC000342686509 1119126132 /nfs/dbraw/zinc/12/61/32/1119126132.db2.gz ANMVWFHLZAXEMD-JTQLQIEISA-N 1 2 290.354 3.795 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2nc(C3CC3)cs2)C1 ZINC000342686509 1119126134 /nfs/dbraw/zinc/12/61/34/1119126134.db2.gz ANMVWFHLZAXEMD-JTQLQIEISA-N 1 2 290.354 3.795 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)ccc(F)c2Cl)CCCO1 ZINC000628180422 1128823380 /nfs/dbraw/zinc/82/33/80/1128823380.db2.gz ONTNOLVNIZWIDK-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)ccc(F)c2Cl)CCCO1 ZINC000628180422 1128823382 /nfs/dbraw/zinc/82/33/82/1128823382.db2.gz ONTNOLVNIZWIDK-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1cc(-c2ccc(C(F)(F)F)cc2C)c[nH+]c1N ZINC000226557638 1119138839 /nfs/dbraw/zinc/13/88/39/1119138839.db2.gz GWWKIKYSVPSADO-UHFFFAOYSA-N 1 2 266.266 3.966 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H]2CCCc3cccnc32)cs1 ZINC000684956080 1119141886 /nfs/dbraw/zinc/14/18/86/1119141886.db2.gz YSAWZSUYHVTWSN-DGCLKSJQSA-N 1 2 287.432 3.829 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H]2CCCc3cccnc32)n1 ZINC000684958807 1119143047 /nfs/dbraw/zinc/14/30/47/1119143047.db2.gz WZPOMPVJCXHRPV-CYBMUJFWSA-N 1 2 287.432 3.829 20 0 CHADLO Cc1nc(N(C)Cc2ccc(Cl)cc2)c(C)c(C)[nH+]1 ZINC000348741460 1119150372 /nfs/dbraw/zinc/15/03/72/1119150372.db2.gz JAZUOFIRFNQPMT-UHFFFAOYSA-N 1 2 275.783 3.692 20 0 CHADLO C[C@H](c1csnn1)[NH+]1CCC(c2ccc(F)cc2)CC1 ZINC000828020101 1119151231 /nfs/dbraw/zinc/15/12/31/1119151231.db2.gz DQMBCNDENVMILH-LLVKDONJSA-N 1 2 291.395 3.618 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)n1C ZINC000342784653 1119165683 /nfs/dbraw/zinc/16/56/83/1119165683.db2.gz UXFPPEKATSUFQU-UHFFFAOYSA-N 1 2 295.430 3.635 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)n1C ZINC000342784653 1119165685 /nfs/dbraw/zinc/16/56/85/1119165685.db2.gz UXFPPEKATSUFQU-UHFFFAOYSA-N 1 2 295.430 3.635 20 0 CHADLO Cc1cn2c([nH+]1)[C@H](CNc1nccc(C)c1Cl)CCC2 ZINC000342801724 1119174760 /nfs/dbraw/zinc/17/47/60/1119174760.db2.gz FLNNUCKQYQKBES-LBPRGKRZSA-N 1 2 290.798 3.538 20 0 CHADLO CCc1ccc([C@@H]2CCCCN2Cc2[nH+]cc(C)n2C)o1 ZINC000342798999 1119175643 /nfs/dbraw/zinc/17/56/43/1119175643.db2.gz LYHOSDMUKBQZMV-HNNXBMFYSA-N 1 2 287.407 3.611 20 0 CHADLO Cc1c[nH+]c(CN(Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)n1C ZINC000342801216 1119176800 /nfs/dbraw/zinc/17/68/00/1119176800.db2.gz WNOCKWJTMLIJTB-LRDDRELGSA-N 1 2 299.418 3.610 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ccncc2Cl)cc1 ZINC000075615582 1119178053 /nfs/dbraw/zinc/17/80/53/1119178053.db2.gz JESUXIQOAKWPAR-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ccncc2Cl)cc1 ZINC000075615582 1119178054 /nfs/dbraw/zinc/17/80/54/1119178054.db2.gz JESUXIQOAKWPAR-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO COc1cc(C)c([C@@H](C)Nc2cccc[nH+]2)cc1OC ZINC000076750723 1119189550 /nfs/dbraw/zinc/18/95/50/1119189550.db2.gz GXOYMCIJNUTRCB-GFCCVEGCSA-N 1 2 272.348 3.580 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C2CC2)no1)c1ccc(F)cc1F ZINC000660173896 1128828665 /nfs/dbraw/zinc/82/86/65/1128828665.db2.gz COFBDHNYNCRVBZ-SECBINFHSA-N 1 2 278.302 3.681 20 0 CHADLO CCc1cc(C)cc(CC)c1NCc1cc[nH+]c(N)c1 ZINC001167616611 1119212513 /nfs/dbraw/zinc/21/25/13/1119212513.db2.gz KGPNXHNVCPNWAL-UHFFFAOYSA-N 1 2 269.392 3.709 20 0 CHADLO CC(C)(C)c1ccc(NCc2cc[nH+]c(N)c2)cc1 ZINC001167616839 1119215002 /nfs/dbraw/zinc/21/50/02/1119215002.db2.gz ATUIBTVIZZFGRD-UHFFFAOYSA-N 1 2 255.365 3.573 20 0 CHADLO Cc1c(C)c(OCC(C)C)ccc1NCc1cc[nH+]c(N)c1 ZINC001167618512 1119218355 /nfs/dbraw/zinc/21/83/55/1119218355.db2.gz JLNIHFGGIHDIDE-UHFFFAOYSA-N 1 2 299.418 3.928 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000628217151 1128830409 /nfs/dbraw/zinc/83/04/09/1128830409.db2.gz MXPBOJLJAXDFFG-UHFFFAOYSA-N 1 2 292.766 3.504 20 0 CHADLO Cc1cccc([C@H]([NH2+]Cc2ccco2)c2ccccn2)c1 ZINC000153515529 1119241742 /nfs/dbraw/zinc/24/17/42/1119241742.db2.gz YICBLWHFMAKRAQ-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO CC(C)(CF)[NH2+]Cc1csc(COc2ccccc2)n1 ZINC000349800895 1119252893 /nfs/dbraw/zinc/25/28/93/1119252893.db2.gz KXGNKTBWQPJXCR-UHFFFAOYSA-N 1 2 294.395 3.560 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3csc4nccnc34)cc2)[nH]1 ZINC001213086287 1119256854 /nfs/dbraw/zinc/25/68/54/1119256854.db2.gz MKGOMPZPPWBUTM-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO Cn1c(Cl)cnc1C[N@H+](C)Cc1cccc2ccccc21 ZINC000051993066 1119257209 /nfs/dbraw/zinc/25/72/09/1119257209.db2.gz GDSPBMGFAWVJCZ-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO Cn1c(Cl)cnc1C[N@@H+](C)Cc1cccc2ccccc21 ZINC000051993066 1119257210 /nfs/dbraw/zinc/25/72/10/1119257210.db2.gz GDSPBMGFAWVJCZ-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO CCCCCC[NH+](Cc1cc(C)no1)Cc1cc(C)no1 ZINC000078946674 1119262085 /nfs/dbraw/zinc/26/20/85/1119262085.db2.gz XVRCTYJQLQIGLF-UHFFFAOYSA-N 1 2 291.395 3.862 20 0 CHADLO Cc1noc(C[NH2+][C@H](CC(C)C)c2ccccc2C)n1 ZINC000122317578 1119279541 /nfs/dbraw/zinc/27/95/41/1119279541.db2.gz CJFMOMKJKDBJKB-OAHLLOKOSA-N 1 2 273.380 3.563 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+](C)Cc1ccon1 ZINC000122854920 1119287563 /nfs/dbraw/zinc/28/75/63/1119287563.db2.gz MBYXUHIHFPIBJK-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000153884066 1119293593 /nfs/dbraw/zinc/29/35/93/1119293593.db2.gz PQHPLNRHNXNADP-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000153884066 1119293596 /nfs/dbraw/zinc/29/35/96/1119293596.db2.gz PQHPLNRHNXNADP-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccc1OC(F)F)c1ccon1 ZINC000349838489 1119303090 /nfs/dbraw/zinc/30/30/90/1119303090.db2.gz RDYBFLKQDJYCCN-NXEZZACHSA-N 1 2 282.290 3.688 20 0 CHADLO COc1ccc(-c2c[nH+]c(N)c(C)c2)c2ccccc12 ZINC000129753099 1119309310 /nfs/dbraw/zinc/30/93/10/1119309310.db2.gz QUQDRKJTNUOAFW-UHFFFAOYSA-N 1 2 264.328 3.801 20 0 CHADLO CCc1ccc(C[NH2+][C@H](c2ncc(C)s2)C2CC2)o1 ZINC000150844494 1119323051 /nfs/dbraw/zinc/32/30/51/1119323051.db2.gz LUMDPLKCIPAYCG-AWEZNQCLSA-N 1 2 276.405 3.848 20 0 CHADLO Cc1ccc(OC2CCN(c3cccc[nH+]3)CC2)cc1C ZINC000079936695 1119324133 /nfs/dbraw/zinc/32/41/33/1119324133.db2.gz XADWMHREDPBLRE-UHFFFAOYSA-N 1 2 282.387 3.746 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1cccc(OC(F)F)c1 ZINC000349859813 1119327645 /nfs/dbraw/zinc/32/76/45/1119327645.db2.gz YAMSKGDGSTXQIJ-ZJUUUORDSA-N 1 2 282.290 3.688 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC(C)(C)C2)cc(C(F)(F)F)c1 ZINC001143448974 1119341332 /nfs/dbraw/zinc/34/13/32/1119341332.db2.gz TVIPYDKKTLOLSN-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1cc(C[N@H+]2CCOC(C)(C)C2)cc(C(F)(F)F)c1 ZINC001143448974 1119341336 /nfs/dbraw/zinc/34/13/36/1119341336.db2.gz TVIPYDKKTLOLSN-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc2c(s1)CCC2)c1nccs1 ZINC000093076343 1119355019 /nfs/dbraw/zinc/35/50/19/1119355019.db2.gz UHGKTMPGQYEWQC-AWEZNQCLSA-N 1 2 293.461 3.503 20 0 CHADLO Cc1cc(NCc2ccc(O)c(F)c2)ccc1[NH+](C)C ZINC000892339296 1119367020 /nfs/dbraw/zinc/36/70/20/1119367020.db2.gz GJGSSJQXIAINDV-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO FC1(F)CCC(Nc2ccc(N3CCCC3)c[nH+]2)CC1 ZINC000647296318 1119369530 /nfs/dbraw/zinc/36/95/30/1119369530.db2.gz BDFPYDKATRHSBQ-UHFFFAOYSA-N 1 2 281.350 3.672 20 0 CHADLO Cc1[nH]c(CN2CCC(=Cc3cccc(F)c3)CC2)[nH+]c1C ZINC000628278062 1128841280 /nfs/dbraw/zinc/84/12/80/1128841280.db2.gz XMMJDPCOGMPMMP-UHFFFAOYSA-N 1 2 299.393 3.845 20 0 CHADLO Cc1[nH]c(CN2C[C@@H](C)CSc3ccccc32)[nH+]c1C ZINC000628284510 1128843422 /nfs/dbraw/zinc/84/34/22/1128843422.db2.gz MLVARDNAGBVCHZ-LLVKDONJSA-N 1 2 287.432 3.775 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1ccc2[nH]ccc2c1 ZINC000657794079 1119415091 /nfs/dbraw/zinc/41/50/91/1119415091.db2.gz SLIIJQYGZBIUDB-UHFFFAOYSA-N 1 2 266.335 3.939 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@H+](Cc1nnc(C3CC3)o1)C2 ZINC000583913093 1119433856 /nfs/dbraw/zinc/43/38/56/1119433856.db2.gz JNVZHVPBOZKAAG-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@@H+](Cc1nnc(C3CC3)o1)C2 ZINC000583913093 1119433859 /nfs/dbraw/zinc/43/38/59/1119433859.db2.gz JNVZHVPBOZKAAG-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccc(C2CC2)cc1 ZINC000583921928 1119436133 /nfs/dbraw/zinc/43/61/33/1119436133.db2.gz UDDKIESRVUPFJS-NSHDSACASA-N 1 2 283.375 3.675 20 0 CHADLO Cc1cscc1NC(=O)c1cc(C)ccc1-n1cc[nH+]c1 ZINC000151363192 1119451971 /nfs/dbraw/zinc/45/19/71/1119451971.db2.gz UIRPODGVBJCEFZ-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1ccsc1 ZINC000158891038 1119474658 /nfs/dbraw/zinc/47/46/58/1119474658.db2.gz VOBGFGSUHYVFLX-UHFFFAOYSA-N 1 2 257.362 3.730 20 0 CHADLO COCc1cc[nH+]c(NC[C@H](C)c2ccc(C)cc2)c1 ZINC000628326872 1128848497 /nfs/dbraw/zinc/84/84/97/1128848497.db2.gz YSFBCUYKTQAUIA-AWEZNQCLSA-N 1 2 270.376 3.752 20 0 CHADLO CC(C)[N@H+](Cc1nc(C2CC2)cs1)Cc1cccnc1 ZINC000343707333 1119491560 /nfs/dbraw/zinc/49/15/60/1119491560.db2.gz HUQMSGTZTLPQMX-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CC(C)[N@@H+](Cc1nc(C2CC2)cs1)Cc1cccnc1 ZINC000343707333 1119491562 /nfs/dbraw/zinc/49/15/62/1119491562.db2.gz HUQMSGTZTLPQMX-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)[nH]c1C ZINC000628180516 1119491662 /nfs/dbraw/zinc/49/16/62/1119491662.db2.gz SAWCEVIVXZPBDC-MEDUHNTESA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)[nH]c1C ZINC000628180516 1119491664 /nfs/dbraw/zinc/49/16/64/1119491664.db2.gz SAWCEVIVXZPBDC-MEDUHNTESA-N 1 2 287.382 3.749 20 0 CHADLO Cc1[nH]c(CN2C[C@@H](C)C[C@@H]2c2ccccc2F)[nH+]c1C ZINC000628180516 1119491667 /nfs/dbraw/zinc/49/16/67/1119491667.db2.gz SAWCEVIVXZPBDC-MEDUHNTESA-N 1 2 287.382 3.749 20 0 CHADLO C[C@@H]([NH2+]Cc1occc1Br)c1ccsc1 ZINC000235214168 1119513304 /nfs/dbraw/zinc/51/33/04/1119513304.db2.gz KUSQRELIGGMTMD-MRVPVSSYSA-N 1 2 286.194 3.954 20 0 CHADLO Cc1cc(N[C@H]2CCCc3cc(N)ccc32)nc(C(C)C)[nH+]1 ZINC000262292064 1119515890 /nfs/dbraw/zinc/51/58/90/1119515890.db2.gz NJQFYDUBWSZDTP-INIZCTEOSA-N 1 2 296.418 3.980 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(C)o2)c(Cl)c1 ZINC000350054867 1119517564 /nfs/dbraw/zinc/51/75/64/1119517564.db2.gz RBBGYENRSFARQL-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(C)o2)c(Cl)c1 ZINC000350054867 1119517561 /nfs/dbraw/zinc/51/75/61/1119517561.db2.gz RBBGYENRSFARQL-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CC3CCCCC3)cc2[nH+]1 ZINC000082283397 1119523124 /nfs/dbraw/zinc/52/31/24/1119523124.db2.gz OLJABRWDGHHUQV-UHFFFAOYSA-N 1 2 271.364 3.780 20 0 CHADLO C[C@H]1C[NH+](Cc2ccc(F)c(F)c2F)C[C@H](C)C1(F)F ZINC000628392971 1128853308 /nfs/dbraw/zinc/85/33/08/1128853308.db2.gz YNFOZGWCUDSPOT-IUCAKERBSA-N 1 2 293.279 3.827 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1ncccc1F)CCS2 ZINC000090722139 1119549120 /nfs/dbraw/zinc/54/91/20/1119549120.db2.gz ZJSRJZNALOZKCY-ZDUSSCGKSA-N 1 2 292.354 3.687 20 0 CHADLO CN(CCCc1cc(-c2ccccc2)n[nH]1)c1cccc[nH+]1 ZINC000091454456 1119556103 /nfs/dbraw/zinc/55/61/03/1119556103.db2.gz YRHLUHHXCLGFCQ-UHFFFAOYSA-N 1 2 292.386 3.541 20 0 CHADLO CCc1cc(OCc2ncc(C)o2)c2ccccc2[nH+]1 ZINC000092333953 1119563835 /nfs/dbraw/zinc/56/38/35/1119563835.db2.gz WFTMTIPNLWTEOX-UHFFFAOYSA-N 1 2 268.316 3.673 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2cc3cnccc3o2)cs1 ZINC000657882222 1119592842 /nfs/dbraw/zinc/59/28/42/1119592842.db2.gz QNBVYKOVVYJVGY-SNVBAGLBSA-N 1 2 287.388 3.698 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@@H]1C[C@H]1c1c[nH+]cn1C ZINC000611919158 1119604062 /nfs/dbraw/zinc/60/40/62/1119604062.db2.gz KCFPWYUGXPZYES-HUUCEWRRSA-N 1 2 297.402 3.594 20 0 CHADLO COc1ccc(-c2cc(C[NH2+][C@@H](C)c3ccco3)on2)cc1 ZINC000685512302 1119615519 /nfs/dbraw/zinc/61/55/19/1119615519.db2.gz COKVYGIMNYBAPV-LBPRGKRZSA-N 1 2 298.342 3.794 20 0 CHADLO CC(C)C[C@@H](C(=O)N1CCCC[C@@H]1C(C)C)n1cc[nH+]c1 ZINC000635574767 1119618696 /nfs/dbraw/zinc/61/86/96/1119618696.db2.gz GWSCRUUHTWBOSZ-CVEARBPZSA-N 1 2 291.439 3.507 20 0 CHADLO FC(F)(F)c1nc(C[NH2+]Cc2ccsc2)cs1 ZINC000892403010 1119626489 /nfs/dbraw/zinc/62/64/89/1119626489.db2.gz XFXMRJWAKPXWEG-UHFFFAOYSA-N 1 2 278.324 3.513 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCCc3sccc3C2)no1 ZINC000685563918 1119631523 /nfs/dbraw/zinc/63/15/23/1119631523.db2.gz HYUFTNVFBDCWMR-UHFFFAOYSA-N 1 2 290.432 3.982 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCCc3sccc3C2)no1 ZINC000685563918 1119631525 /nfs/dbraw/zinc/63/15/25/1119631525.db2.gz HYUFTNVFBDCWMR-UHFFFAOYSA-N 1 2 290.432 3.982 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000628431153 1128859445 /nfs/dbraw/zinc/85/94/45/1128859445.db2.gz PDACLWFXWVKSOZ-ZIAGYGMSSA-N 1 2 285.391 3.986 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ncc(Cl)n2C)C(C)C)s1 ZINC000144894676 1119641669 /nfs/dbraw/zinc/64/16/69/1119641669.db2.gz QYEFVKQCBKIUGN-UHFFFAOYSA-N 1 2 297.855 3.854 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ncc(Cl)n2C)C(C)C)s1 ZINC000144894676 1119641670 /nfs/dbraw/zinc/64/16/70/1119641670.db2.gz QYEFVKQCBKIUGN-UHFFFAOYSA-N 1 2 297.855 3.854 20 0 CHADLO CCOc1ccc([C@H](C)Nc2[nH+]c(C)nc3[nH]ccc32)cc1 ZINC000080945732 1119645720 /nfs/dbraw/zinc/64/57/20/1119645720.db2.gz MDVPIIDACHRIAC-NSHDSACASA-N 1 2 296.374 3.838 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)c1 ZINC000628430673 1128860221 /nfs/dbraw/zinc/86/02/21/1128860221.db2.gz FFZQUTKLSZRFQG-CHWSQXEVSA-N 1 2 285.391 3.741 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)c1 ZINC000628430673 1128860226 /nfs/dbraw/zinc/86/02/26/1128860226.db2.gz FFZQUTKLSZRFQG-CHWSQXEVSA-N 1 2 285.391 3.741 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@H]1CCCSCC1 ZINC000692455264 1119656695 /nfs/dbraw/zinc/65/66/95/1119656695.db2.gz VXSIVSJKCZFKPA-AWEZNQCLSA-N 1 2 276.449 3.984 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+][C@H]1CCCSCC1 ZINC000692455264 1119656697 /nfs/dbraw/zinc/65/66/97/1119656697.db2.gz VXSIVSJKCZFKPA-AWEZNQCLSA-N 1 2 276.449 3.984 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc2ccncc2s1)c1csnn1 ZINC000658034950 1119668924 /nfs/dbraw/zinc/66/89/24/1119668924.db2.gz MVYHWGPDDGSICA-RKDXNWHRSA-N 1 2 290.417 3.560 20 0 CHADLO Clc1cscc1C[NH+]1CC(Cc2ccco2)C1 ZINC000628432758 1128861689 /nfs/dbraw/zinc/86/16/89/1128861689.db2.gz QREBQMIOKKZKMB-UHFFFAOYSA-N 1 2 267.781 3.669 20 0 CHADLO C[C@H](Cc1ccoc1)[NH2+]CC(F)(F)c1cccc(F)c1 ZINC000658115470 1119684869 /nfs/dbraw/zinc/68/48/69/1119684869.db2.gz CNWGOBLIPIHEIU-LLVKDONJSA-N 1 2 283.293 3.731 20 0 CHADLO CCCC[C@@H](CC)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000069393219 1128864943 /nfs/dbraw/zinc/86/49/43/1128864943.db2.gz YHSCYDYOVRHSON-MRXNPFEDSA-N 1 2 299.418 3.705 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)C(C)(C)C)c1ccc2[nH]c(=O)oc2c1 ZINC000658340186 1119728282 /nfs/dbraw/zinc/72/82/82/1119728282.db2.gz ZAVAKJDQKWJEHC-VIFPVBQESA-N 1 2 298.333 3.865 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+][C@@H]1CCCc2[nH]ncc21)C1CC1 ZINC000375806994 1119757840 /nfs/dbraw/zinc/75/78/40/1119757840.db2.gz QDVPCVOITHYHTN-AEFFLSMTSA-N 1 2 281.403 3.836 20 0 CHADLO C=C(Cl)C[N@H+]1CCC[C@H]1c1cc(OC)ccc1OC ZINC000053099491 1119789030 /nfs/dbraw/zinc/78/90/30/1119789030.db2.gz BFRKOPPWFKQMAH-AWEZNQCLSA-N 1 2 281.783 3.593 20 0 CHADLO C=C(Cl)C[N@@H+]1CCC[C@H]1c1cc(OC)ccc1OC ZINC000053099491 1119789033 /nfs/dbraw/zinc/78/90/33/1119789033.db2.gz BFRKOPPWFKQMAH-AWEZNQCLSA-N 1 2 281.783 3.593 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cccc(CC)c2)cs1 ZINC000527300145 1119804278 /nfs/dbraw/zinc/80/42/78/1119804278.db2.gz MNOFWOHNXSGTDA-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cccc(CC)c2)cs1 ZINC000527300145 1119804281 /nfs/dbraw/zinc/80/42/81/1119804281.db2.gz MNOFWOHNXSGTDA-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO C[C@H]([NH2+]C[C@]1(C)CC1(F)F)C(F)(F)c1ccccc1 ZINC000926703183 1119828872 /nfs/dbraw/zinc/82/88/72/1119828872.db2.gz DNSJGYUDARHUED-JQWIXIFHSA-N 1 2 275.289 3.802 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)c1cccc(Cl)c1Cl ZINC001625884299 1119834716 /nfs/dbraw/zinc/83/47/16/1119834716.db2.gz ORLXEQYLKOOMAT-UHFFFAOYSA-N 1 2 295.169 3.679 20 0 CHADLO CCc1ccc(C[N@H+](C)CC(=O)c2ccccc2F)cc1 ZINC000612913041 1119839859 /nfs/dbraw/zinc/83/98/59/1119839859.db2.gz BUYQJRFZZKXZOA-UHFFFAOYSA-N 1 2 285.362 3.703 20 0 CHADLO CCc1ccc(C[N@@H+](C)CC(=O)c2ccccc2F)cc1 ZINC000612913041 1119839860 /nfs/dbraw/zinc/83/98/60/1119839860.db2.gz BUYQJRFZZKXZOA-UHFFFAOYSA-N 1 2 285.362 3.703 20 0 CHADLO C[C@H]([NH2+]Cc1cncn1C)c1cc(Cl)sc1Cl ZINC000289807048 1119874550 /nfs/dbraw/zinc/87/45/50/1119874550.db2.gz OGULQVJGNYQTPX-ZETCQYMHSA-N 1 2 290.219 3.639 20 0 CHADLO Cc1noc(C)c1[C@@H](C)Nc1cc(C)[nH+]c(C2CCC2)n1 ZINC000892528167 1119876685 /nfs/dbraw/zinc/87/66/85/1119876685.db2.gz RHTAALPHWNDUAI-SNVBAGLBSA-N 1 2 286.379 3.830 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2n(n1)CCC2)c1cccc(F)c1F ZINC000414104185 1119900304 /nfs/dbraw/zinc/90/03/04/1119900304.db2.gz OCPFBJLWNBMUOJ-MNOVXSKESA-N 1 2 291.345 3.519 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncco1)c1ccc2c(c1)CCCC2 ZINC000924573426 1119908179 /nfs/dbraw/zinc/90/81/79/1119908179.db2.gz PKPNPZBYOMTIOJ-OLZOCXBDSA-N 1 2 270.376 3.965 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H](C)c1cc(C)ccc1OC ZINC000414132835 1119910315 /nfs/dbraw/zinc/91/03/15/1119910315.db2.gz AAIYUYYCCLRJMF-UONOGXRCSA-N 1 2 287.407 3.632 20 0 CHADLO Cc1ccc(-c2noc([C@@H](CC(C)C)n3cc[nH+]c3)n2)cc1 ZINC000923766027 1119935392 /nfs/dbraw/zinc/93/53/92/1119935392.db2.gz ZSUGJSHXZQBIRM-OAHLLOKOSA-N 1 2 296.374 3.877 20 0 CHADLO Cc1ccc(C[S@](=O)CC2(C)CCCCC2)c(C)[nH+]1 ZINC000414281796 1119965399 /nfs/dbraw/zinc/96/53/99/1119965399.db2.gz MZNAXWAMJMPSNR-IBGZPJMESA-N 1 2 279.449 3.918 20 0 CHADLO Cc1occc1CNc1cc[nH+]c(OCC(F)(F)F)c1 ZINC000414292747 1119969271 /nfs/dbraw/zinc/96/92/71/1119969271.db2.gz AUKHDZOLNKJAEZ-UHFFFAOYSA-N 1 2 286.253 3.536 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ccoc3C)CCC2)n1 ZINC000414322493 1119982860 /nfs/dbraw/zinc/98/28/60/1119982860.db2.gz STVCLXKSIYRYNP-UHFFFAOYSA-N 1 2 262.378 3.522 20 0 CHADLO Cc1ccccc1C([NH2+]Cc1ncc[nH]1)c1ccccc1C ZINC000186278156 1119984339 /nfs/dbraw/zinc/98/43/39/1119984339.db2.gz MPLMBSGHEITSGZ-UHFFFAOYSA-N 1 2 291.398 3.906 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2ccc([C@@H]3C[C@H]3C)o2)o1 ZINC000892622685 1119989448 /nfs/dbraw/zinc/98/94/48/1119989448.db2.gz QXFWNMFAPBITRR-BXUZGUMPSA-N 1 2 275.348 3.685 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CCC2(CC2)C1 ZINC000685918673 1119997966 /nfs/dbraw/zinc/99/79/66/1119997966.db2.gz MMOQDGPYIJBRFI-UHFFFAOYSA-N 1 2 268.360 3.542 20 0 CHADLO CCc1ncc(C[N@H+](C)[C@H](C)c2ccccc2Cl)cn1 ZINC000414374506 1120004820 /nfs/dbraw/zinc/00/48/20/1120004820.db2.gz WBICVJYVMHIYJC-GFCCVEGCSA-N 1 2 289.810 3.885 20 0 CHADLO CCc1ncc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)cn1 ZINC000414374506 1120004823 /nfs/dbraw/zinc/00/48/23/1120004823.db2.gz WBICVJYVMHIYJC-GFCCVEGCSA-N 1 2 289.810 3.885 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1cccnc1C ZINC000134421620 1120008647 /nfs/dbraw/zinc/00/86/47/1120008647.db2.gz KBPMLLMAPZAIPC-UHFFFAOYSA-N 1 2 280.375 3.762 20 0 CHADLO Cc1ccc(C)c([S@](=O)Cc2ccc(C)[nH+]c2C)c1 ZINC000187061146 1120010315 /nfs/dbraw/zinc/01/03/15/1120010315.db2.gz YDTYKWFUBJIANL-LJQANCHMSA-N 1 2 273.401 3.623 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2ccc(F)cc2C)c(C)[nH+]1 ZINC000187201438 1120014332 /nfs/dbraw/zinc/01/43/32/1120014332.db2.gz APZRTGAZCZFSPK-FQEVSTJZSA-N 1 2 291.391 3.595 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CC[C@H]2CC[C@@H](C1)S2 ZINC000624524350 1120021543 /nfs/dbraw/zinc/02/15/43/1120021543.db2.gz IOPNVRBIRFXSQK-KGLIPLIRSA-N 1 2 270.401 3.709 20 0 CHADLO CC(C)O[C@@H]1C[C@H](Nc2ccc([NH+](C)C)cc2)C1(C)C ZINC000765808488 1120043486 /nfs/dbraw/zinc/04/34/86/1120043486.db2.gz IDYMTPHDVPMMCK-JKSUJKDBSA-N 1 2 276.424 3.757 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@H+](Cc2ncc(C3CC3)o2)C1 ZINC000376551159 1120044391 /nfs/dbraw/zinc/04/43/91/1120044391.db2.gz HUBRBOHBIIOVTE-WFASDCNBSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2ncc(C3CC3)o2)C1 ZINC000376551159 1120044393 /nfs/dbraw/zinc/04/43/93/1120044393.db2.gz HUBRBOHBIIOVTE-WFASDCNBSA-N 1 2 283.375 3.530 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@@H](C)C3CC3)cc2)[nH+]c1C ZINC000921659762 1120057224 /nfs/dbraw/zinc/05/72/24/1120057224.db2.gz FDSATXOTAOFZHM-JTQLQIEISA-N 1 2 283.375 3.678 20 0 CHADLO COc1cccc2c(N3CCC(SC)CC3)cc[nH+]c12 ZINC000601207070 1120064560 /nfs/dbraw/zinc/06/45/60/1120064560.db2.gz UBIYKNBEWVYOLT-UHFFFAOYSA-N 1 2 288.416 3.575 20 0 CHADLO CCCCC(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921671759 1120066106 /nfs/dbraw/zinc/06/61/06/1120066106.db2.gz QZLFTVYFCWHYFU-UHFFFAOYSA-N 1 2 271.364 3.822 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC000178616510 1120079780 /nfs/dbraw/zinc/07/97/80/1120079780.db2.gz LWCQACYCHQYUHO-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC000178616510 1120079774 /nfs/dbraw/zinc/07/97/74/1120079774.db2.gz LWCQACYCHQYUHO-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+]1CCCc2[nH]ncc2C1 ZINC000668844913 1120083033 /nfs/dbraw/zinc/08/30/33/1120083033.db2.gz LJVLJJAPPWITRA-UHFFFAOYSA-N 1 2 295.386 3.667 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+]1CCCc2[nH]ncc2C1 ZINC000668844913 1120083040 /nfs/dbraw/zinc/08/30/40/1120083040.db2.gz LJVLJJAPPWITRA-UHFFFAOYSA-N 1 2 295.386 3.667 20 0 CHADLO C[C@H](C1CC1)[N@H+](Cc1noc(C2CCCCC2)n1)C1CC1 ZINC000178914092 1120087730 /nfs/dbraw/zinc/08/77/30/1120087730.db2.gz FLGRWKSUNWGLKZ-GFCCVEGCSA-N 1 2 289.423 3.880 20 0 CHADLO C[C@H](C1CC1)[N@@H+](Cc1noc(C2CCCCC2)n1)C1CC1 ZINC000178914092 1120087736 /nfs/dbraw/zinc/08/77/36/1120087736.db2.gz FLGRWKSUNWGLKZ-GFCCVEGCSA-N 1 2 289.423 3.880 20 0 CHADLO COc1cc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)cc2)on1 ZINC000659821371 1120116446 /nfs/dbraw/zinc/11/64/46/1120116446.db2.gz MCESJDOSRYZXEJ-AWEZNQCLSA-N 1 2 292.766 3.674 20 0 CHADLO COc1cc(C[N@H+]2CCC[C@H]2c2ccc(Cl)cc2)on1 ZINC000659821371 1120116449 /nfs/dbraw/zinc/11/64/49/1120116449.db2.gz MCESJDOSRYZXEJ-AWEZNQCLSA-N 1 2 292.766 3.674 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccnn1C1CCC1 ZINC000926828685 1120117969 /nfs/dbraw/zinc/11/79/69/1120117969.db2.gz JSVQTGLCMJXPJP-VIFPVBQESA-N 1 2 296.827 3.569 20 0 CHADLO C[N@H+](Cc1cc(Cl)ccn1)Cc1ccccc1F ZINC000092407585 1120132590 /nfs/dbraw/zinc/13/25/90/1120132590.db2.gz VHYXUGRGRBQQPV-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cc(Cl)ccn1)Cc1ccccc1F ZINC000092407585 1120132591 /nfs/dbraw/zinc/13/25/91/1120132591.db2.gz VHYXUGRGRBQQPV-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO CCc1ccc(C[NH2+][C@H]2CCCc3cn(C(C)C)nc32)o1 ZINC001116510929 1120143712 /nfs/dbraw/zinc/14/37/12/1120143712.db2.gz DKMNDXNBKNLYGB-INIZCTEOSA-N 1 2 287.407 3.787 20 0 CHADLO Nc1ccc(Cl)cc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001212431134 1120147382 /nfs/dbraw/zinc/14/73/82/1120147382.db2.gz OIUMEUDPJFYUGB-UHFFFAOYSA-N 1 2 293.157 3.967 20 0 CHADLO Cc1cc(N[C@H](C)c2ncc[nH]2)c[nH+]c1N1CCCC[C@H]1C ZINC000353686055 1120159404 /nfs/dbraw/zinc/15/94/04/1120159404.db2.gz JKUJBDTXPOVNET-ZIAGYGMSSA-N 1 2 299.422 3.665 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1)[N@@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000661514499 1120170977 /nfs/dbraw/zinc/17/09/77/1120170977.db2.gz YOERYEQRBMTZRN-CXAGYDPISA-N 1 2 298.361 3.600 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1)[N@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000661514499 1120170979 /nfs/dbraw/zinc/17/09/79/1120170979.db2.gz YOERYEQRBMTZRN-CXAGYDPISA-N 1 2 298.361 3.600 20 0 CHADLO CCOc1cccc(C[N@@H+]2CC[C@H]2c2ccc(F)cc2)n1 ZINC000661515116 1120172193 /nfs/dbraw/zinc/17/21/93/1120172193.db2.gz WJZVTQIZJHSHIS-INIZCTEOSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1cccc(C[N@H+]2CC[C@H]2c2ccc(F)cc2)n1 ZINC000661515116 1120172197 /nfs/dbraw/zinc/17/21/97/1120172197.db2.gz WJZVTQIZJHSHIS-INIZCTEOSA-N 1 2 286.350 3.566 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2nc3ccccc3s2)o1 ZINC000892980909 1120187516 /nfs/dbraw/zinc/18/75/16/1120187516.db2.gz MRNCVAXWEQEBRB-SNVBAGLBSA-N 1 2 288.372 3.749 20 0 CHADLO C[C@@H]1CC[C@H](c2ccccc2)[N@H+](Cc2nncs2)C1 ZINC000930456329 1120220151 /nfs/dbraw/zinc/22/01/51/1120220151.db2.gz FYFNBGRQWGVPRP-TZMCWYRMSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@@H]1CC[C@H](c2ccccc2)[N@@H+](Cc2nncs2)C1 ZINC000930456329 1120220153 /nfs/dbraw/zinc/22/01/53/1120220153.db2.gz FYFNBGRQWGVPRP-TZMCWYRMSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@H]1CC[C@@H](c2ccccc2)[N@H+](Cc2nncs2)C1 ZINC000930456313 1120220166 /nfs/dbraw/zinc/22/01/66/1120220166.db2.gz FYFNBGRQWGVPRP-JSGCOSHPSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@H]1CC[C@@H](c2ccccc2)[N@@H+](Cc2nncs2)C1 ZINC000930456313 1120220168 /nfs/dbraw/zinc/22/01/68/1120220168.db2.gz FYFNBGRQWGVPRP-JSGCOSHPSA-N 1 2 273.405 3.511 20 0 CHADLO CC(C)(CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C1CC1 ZINC000338730898 1120220197 /nfs/dbraw/zinc/22/01/97/1120220197.db2.gz XBNWOVLGINQOAD-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1ccc(COc2ccccc2-n2cc[nH+]c2)cc1 ZINC000039239582 1120221885 /nfs/dbraw/zinc/22/18/85/1120221885.db2.gz DYMIQBSMXPAMEZ-UHFFFAOYSA-N 1 2 264.328 3.760 20 0 CHADLO CCC(CC)[N@H+](Cc1nnc(C(F)(F)F)s1)C1CC1 ZINC000659870233 1120223003 /nfs/dbraw/zinc/22/30/03/1120223003.db2.gz GAXJVXLCSMUACH-UHFFFAOYSA-N 1 2 293.358 3.710 20 0 CHADLO CCC(CC)[N@@H+](Cc1nnc(C(F)(F)F)s1)C1CC1 ZINC000659870233 1120223005 /nfs/dbraw/zinc/22/30/05/1120223005.db2.gz GAXJVXLCSMUACH-UHFFFAOYSA-N 1 2 293.358 3.710 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+](C)Cc1ccco1 ZINC000338735633 1120229624 /nfs/dbraw/zinc/22/96/24/1120229624.db2.gz FQAPRRSLEYHQGJ-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO COc1ccc(-c2cccc3[nH+]ccn32)cc1Cl ZINC001240030964 1120232944 /nfs/dbraw/zinc/23/29/44/1120232944.db2.gz FUKOEQYSDZDSRQ-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCC[N@@H+]1Cc1ccco1 ZINC000271117841 1120234975 /nfs/dbraw/zinc/23/49/75/1120234975.db2.gz IDSCNRUYBCALRB-MRXNPFEDSA-N 1 2 281.359 3.503 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCC[N@H+]1Cc1ccco1 ZINC000271117841 1120234980 /nfs/dbraw/zinc/23/49/80/1120234980.db2.gz IDSCNRUYBCALRB-MRXNPFEDSA-N 1 2 281.359 3.503 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(CNc2cc3cc[nH]c3c[nH+]2)o1 ZINC000672168194 1120249223 /nfs/dbraw/zinc/24/92/23/1120249223.db2.gz AJXSJMBKSUCCFK-GXFFZTMASA-N 1 2 267.332 3.891 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC001168690347 1120256194 /nfs/dbraw/zinc/25/61/94/1120256194.db2.gz ODAOWWPTTCNEQB-ZIAGYGMSSA-N 1 2 272.396 3.563 20 0 CHADLO CCc1ccc(NC(=O)CCCn2cc[nH+]c2)cc1Cl ZINC000672263160 1120270115 /nfs/dbraw/zinc/27/01/15/1120270115.db2.gz CJDYLKVPCQZSSA-UHFFFAOYSA-N 1 2 291.782 3.518 20 0 CHADLO O=C(CC1=CCCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000106622445 1120273715 /nfs/dbraw/zinc/27/37/15/1120273715.db2.gz MXCIYHJHQOPHNN-UHFFFAOYSA-N 1 2 281.359 3.701 20 0 CHADLO COc1cccc2c(NCc3cc(C)ccn3)c(C)c[nH+]c12 ZINC000338763263 1120276313 /nfs/dbraw/zinc/27/63/13/1120276313.db2.gz SDKGRFONBRDMPD-UHFFFAOYSA-N 1 2 293.370 3.867 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](C)c2cccc(F)c2F)o1 ZINC000893210870 1120307186 /nfs/dbraw/zinc/30/71/86/1120307186.db2.gz RPEDNFMTPQUFEP-JTQLQIEISA-N 1 2 281.302 3.807 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000921961472 1120307406 /nfs/dbraw/zinc/30/74/06/1120307406.db2.gz RBNVQAOPCMYGHW-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO FC(F)C[NH2+][C@H](Cc1ccccc1)c1ccccc1 ZINC000113300480 1120317862 /nfs/dbraw/zinc/31/78/62/1120317862.db2.gz MCLBDWJPGLFWHP-OAHLLOKOSA-N 1 2 261.315 3.825 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCC1(F)F)CCCO2 ZINC000672619457 1120338499 /nfs/dbraw/zinc/33/84/99/1120338499.db2.gz BJWGBPDJGQVUQV-ZFWWWQNUSA-N 1 2 281.346 3.986 20 0 CHADLO Cc1oc(C)c(C(=O)Nc2ccccc2-n2cc[nH+]c2)c1C ZINC000613709660 1120342463 /nfs/dbraw/zinc/34/24/63/1120342463.db2.gz GMFNPHGWVQSXSC-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO FC(F)n1ccc(C[N@H+](Cc2cccs2)C2CC2)n1 ZINC000347681859 1120344515 /nfs/dbraw/zinc/34/45/15/1120344515.db2.gz LSTDIDCLFLMGTB-UHFFFAOYSA-N 1 2 283.347 3.504 20 0 CHADLO FC(F)n1ccc(C[N@@H+](Cc2cccs2)C2CC2)n1 ZINC000347681859 1120344519 /nfs/dbraw/zinc/34/45/19/1120344519.db2.gz LSTDIDCLFLMGTB-UHFFFAOYSA-N 1 2 283.347 3.504 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@@H]1CC2CCC1CC2 ZINC000431886733 1120350580 /nfs/dbraw/zinc/35/05/80/1120350580.db2.gz GDVCKBCCNLKSLY-YMAMQOFZSA-N 1 2 295.386 3.637 20 0 CHADLO CCOc1cc(Nc2cc(F)cc(F)c2O)cc(C)[nH+]1 ZINC001212482022 1120362286 /nfs/dbraw/zinc/36/22/86/1120362286.db2.gz WNJXNSMQYJPOPC-UHFFFAOYSA-N 1 2 280.274 3.516 20 0 CHADLO Cc1nc(C[N@H+]2CC=C(c3ccc(Cl)cc3)CC2)co1 ZINC000660119515 1120385037 /nfs/dbraw/zinc/38/50/37/1120385037.db2.gz WBQLYTJCPNCUSI-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1nc(C[N@@H+]2CC=C(c3ccc(Cl)cc3)CC2)co1 ZINC000660119515 1120385043 /nfs/dbraw/zinc/38/50/43/1120385043.db2.gz WBQLYTJCPNCUSI-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO COc1c(F)ccc(Nc2c[nH+]c(C)cc2C)c1F ZINC001212494458 1120386052 /nfs/dbraw/zinc/38/60/52/1120386052.db2.gz KZUSKUAJFAWLFF-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO C[N@H+](Cc1ncc[nH]1)Cc1ccc(C2CCCC2)cc1 ZINC000660142837 1120397742 /nfs/dbraw/zinc/39/77/42/1120397742.db2.gz MKZIMCTZMDILOS-UHFFFAOYSA-N 1 2 269.392 3.699 20 0 CHADLO C[N@@H+](Cc1ncc[nH]1)Cc1ccc(C2CCCC2)cc1 ZINC000660142837 1120397749 /nfs/dbraw/zinc/39/77/49/1120397749.db2.gz MKZIMCTZMDILOS-UHFFFAOYSA-N 1 2 269.392 3.699 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2ccc(SC)o2)o1 ZINC000641729051 1120404419 /nfs/dbraw/zinc/40/44/19/1120404419.db2.gz PSMMNQFDMJCITC-ZDUSSCGKSA-N 1 2 295.404 3.634 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1c(Cl)cnn1C ZINC000682154752 1120409165 /nfs/dbraw/zinc/40/91/65/1120409165.db2.gz AKGISGSBJSUWKA-OAHLLOKOSA-N 1 2 289.810 3.583 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1c(Cl)cnn1C ZINC000682154752 1120409167 /nfs/dbraw/zinc/40/91/67/1120409167.db2.gz AKGISGSBJSUWKA-OAHLLOKOSA-N 1 2 289.810 3.583 20 0 CHADLO CC[C@@H]1CC[C@@H](C)[N@H+]1Cc1ncsc1Br ZINC000660168924 1120410578 /nfs/dbraw/zinc/41/05/78/1120410578.db2.gz HETXAHRBMDJRJI-RKDXNWHRSA-N 1 2 289.242 3.669 20 0 CHADLO CC[C@@H]1CC[C@@H](C)[N@@H+]1Cc1ncsc1Br ZINC000660168924 1120410575 /nfs/dbraw/zinc/41/05/75/1120410575.db2.gz HETXAHRBMDJRJI-RKDXNWHRSA-N 1 2 289.242 3.669 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cccc(OC(F)F)c2)c(C)o1 ZINC000660178558 1120420441 /nfs/dbraw/zinc/42/04/41/1120420441.db2.gz MSHPSQNSUDPQLR-SECBINFHSA-N 1 2 296.317 3.744 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+]Cc1coc(C)n1 ZINC000660184826 1120422740 /nfs/dbraw/zinc/42/27/40/1120422740.db2.gz UQQBWTOPRWKBLN-HNNXBMFYSA-N 1 2 274.364 3.623 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(Cl)cc3)CC2)c(C)o1 ZINC000660197735 1120431916 /nfs/dbraw/zinc/43/19/16/1120431916.db2.gz OTDBRNAMDAAOLU-UHFFFAOYSA-N 1 2 276.767 3.724 20 0 CHADLO C[N@H+](Cc1ccc2c(n1)CCC2)Cc1ccccc1Cl ZINC000584765693 1120440624 /nfs/dbraw/zinc/44/06/24/1120440624.db2.gz NUUQOEVNOQMRQP-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO C[N@@H+](Cc1ccc2c(n1)CCC2)Cc1ccccc1Cl ZINC000584765693 1120440629 /nfs/dbraw/zinc/44/06/29/1120440629.db2.gz NUUQOEVNOQMRQP-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)C[N@@H+]1Cc1nnsc1Cl ZINC000115555390 1120441249 /nfs/dbraw/zinc/44/12/49/1120441249.db2.gz LTLQEBNMUJIVEX-JQWIXIFHSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)C[N@H+]1Cc1nnsc1Cl ZINC000115555390 1120441251 /nfs/dbraw/zinc/44/12/51/1120441251.db2.gz LTLQEBNMUJIVEX-JQWIXIFHSA-N 1 2 293.823 3.570 20 0 CHADLO CC/C=C/CC[N@@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000364143234 1120453686 /nfs/dbraw/zinc/45/36/86/1120453686.db2.gz XPUHEJNQIZIAPU-XGACYXMMSA-N 1 2 275.396 3.830 20 0 CHADLO CC/C=C/CC[N@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000364143234 1120453689 /nfs/dbraw/zinc/45/36/89/1120453689.db2.gz XPUHEJNQIZIAPU-XGACYXMMSA-N 1 2 275.396 3.830 20 0 CHADLO COc1cccc2[nH+]c(NC3(C4CCOCC4)CC3)ccc21 ZINC001167744497 1120459409 /nfs/dbraw/zinc/45/94/09/1120459409.db2.gz XSFCYKPYZGJQBH-UHFFFAOYSA-N 1 2 298.386 3.615 20 0 CHADLO c1sc(C[N@@H+]2CCC[C@H]2c2ccccn2)nc1C1CC1 ZINC000543206422 1120464469 /nfs/dbraw/zinc/46/44/69/1120464469.db2.gz YGNAJGIYGXFKCH-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO c1sc(C[N@H+]2CCC[C@H]2c2ccccn2)nc1C1CC1 ZINC000543206422 1120464471 /nfs/dbraw/zinc/46/44/71/1120464471.db2.gz YGNAJGIYGXFKCH-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO CCn1cncc1C[N@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000417700911 1120474688 /nfs/dbraw/zinc/47/46/88/1120474688.db2.gz LVASCBLXLZEZHX-GUYCJALGSA-N 1 2 299.418 3.784 20 0 CHADLO CCn1cncc1C[N@@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000417700911 1120474691 /nfs/dbraw/zinc/47/46/91/1120474691.db2.gz LVASCBLXLZEZHX-GUYCJALGSA-N 1 2 299.418 3.784 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(F)c(F)c(F)c2)cnc1F ZINC000893319409 1120480340 /nfs/dbraw/zinc/48/03/40/1120480340.db2.gz MDWZWCXWTCYMSA-UHFFFAOYSA-N 1 2 298.283 3.578 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(F)c(F)c(F)c2)cnc1F ZINC000893319409 1120480343 /nfs/dbraw/zinc/48/03/43/1120480343.db2.gz MDWZWCXWTCYMSA-UHFFFAOYSA-N 1 2 298.283 3.578 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(N2CCCCCC2)c1 ZINC000417828466 1120484120 /nfs/dbraw/zinc/48/41/20/1120484120.db2.gz HMTXIYCGTBFDIU-UHFFFAOYSA-N 1 2 298.434 3.896 20 0 CHADLO Cc1ccc([C@H](OCCn2cc[nH+]c2)C(F)(F)F)cc1 ZINC001222134756 1120491937 /nfs/dbraw/zinc/49/19/37/1120491937.db2.gz NBURCXUNYBCORL-ZDUSSCGKSA-N 1 2 284.281 3.512 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1cnn(C2CCC2)c1 ZINC000922335712 1120516678 /nfs/dbraw/zinc/51/66/78/1120516678.db2.gz NXAFFPJSWLPAEU-MSOLQXFVSA-N 1 2 299.393 3.723 20 0 CHADLO CSCc1ccc(C[NH2+]C2(c3ncccn3)CCC2)cc1 ZINC000922334239 1120517711 /nfs/dbraw/zinc/51/77/11/1120517711.db2.gz GXDWUILSMCEJDY-UHFFFAOYSA-N 1 2 299.443 3.509 20 0 CHADLO Cc1cccc(CCNc2cc(C(C)C)[nH+]c(C(C)C)n2)n1 ZINC000359850458 1120524397 /nfs/dbraw/zinc/52/43/97/1120524397.db2.gz UWHKBCWFTFYOMX-UHFFFAOYSA-N 1 2 298.434 3.503 20 0 CHADLO COc1cccc2c(NCCc3cccc(C)n3)cc[nH+]c12 ZINC000359842885 1120524445 /nfs/dbraw/zinc/52/44/45/1120524445.db2.gz GOKVCHZGDBJAGP-UHFFFAOYSA-N 1 2 293.370 3.601 20 0 CHADLO CNc1ccc([NH2+][C@@H](C)Cc2ccccc2F)cc1 ZINC000629653252 1128923288 /nfs/dbraw/zinc/92/32/88/1128923288.db2.gz DKCJBBLFBYMWIX-LBPRGKRZSA-N 1 2 258.340 3.911 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1F)c1c(F)cccc1F ZINC000019949931 1120550606 /nfs/dbraw/zinc/55/06/06/1120550606.db2.gz WQMSMYOXDQJEEU-SNVBAGLBSA-N 1 2 265.278 3.955 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)ccc1F ZINC000398010724 1120553477 /nfs/dbraw/zinc/55/34/77/1120553477.db2.gz SNBRACZSUBEEKH-TVQRCGJNSA-N 1 2 273.298 3.673 20 0 CHADLO Oc1cccc(C[NH2+][C@@H](c2ccccn2)C2CC2)c1Cl ZINC000922584313 1120555068 /nfs/dbraw/zinc/55/50/68/1120555068.db2.gz HHFVLUUIMJFVPE-MRXNPFEDSA-N 1 2 288.778 3.682 20 0 CHADLO CSc1ccc(C[NH2+][C@H](c2ncccn2)C2CC2)s1 ZINC000922580138 1120555169 /nfs/dbraw/zinc/55/51/69/1120555169.db2.gz YDHYJZKYKGBLAO-ZDUSSCGKSA-N 1 2 291.445 3.501 20 0 CHADLO CCCOc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000398016563 1120555716 /nfs/dbraw/zinc/55/57/16/1120555716.db2.gz XASZZNOVHDQSEB-CQSZACIVSA-N 1 2 269.335 3.753 20 0 CHADLO Cc1ncccc1C[NH2+][C@H](C)c1csc(C(C)C)n1 ZINC000124320915 1120563118 /nfs/dbraw/zinc/56/31/18/1120563118.db2.gz LRUZDFWCUDYMMB-GFCCVEGCSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1ncoc1-c1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1 ZINC000922625931 1120566741 /nfs/dbraw/zinc/56/67/41/1120566741.db2.gz IAKCREVKOJUPQX-UHFFFAOYSA-N 1 2 282.347 3.602 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@H]1c1ccc(-c2cccs2)cc1 ZINC000629690894 1128925472 /nfs/dbraw/zinc/92/54/72/1128925472.db2.gz QJLPQWSTSAIVAG-HNNXBMFYSA-N 1 2 271.385 3.751 20 0 CHADLO C[N@H+]1CCC(=O)C[C@H]1c1ccc(-c2cccs2)cc1 ZINC000629690894 1128925477 /nfs/dbraw/zinc/92/54/77/1128925477.db2.gz QJLPQWSTSAIVAG-HNNXBMFYSA-N 1 2 271.385 3.751 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2ccc(F)cc2C)nn1C ZINC000922667682 1120575424 /nfs/dbraw/zinc/57/54/24/1120575424.db2.gz RMLMBRZMFVOCDB-SNVBAGLBSA-N 1 2 295.789 3.680 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2C[C@H](c3ccccc3)[C@@H]2C)c1 ZINC000652068501 1120576977 /nfs/dbraw/zinc/57/69/77/1120576977.db2.gz FWQDILNQKCGJCJ-RRQGHBQHSA-N 1 2 282.387 3.832 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCC[C@@H]2C2CC2)c1 ZINC000652068819 1120577177 /nfs/dbraw/zinc/57/71/77/1120577177.db2.gz PSDKINMQQGBBEC-HUUCEWRRSA-N 1 2 260.381 3.609 20 0 CHADLO C[C@H](CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)C(F)(F)F ZINC000624957477 1120584824 /nfs/dbraw/zinc/58/48/24/1120584824.db2.gz BVAIQMIDOWLRGC-SECBINFHSA-N 1 2 297.280 3.604 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccnc(Cl)c2Cl)c(C)c1 ZINC000922742011 1120592409 /nfs/dbraw/zinc/59/24/09/1120592409.db2.gz QDMYRAFAHDMCRN-UHFFFAOYSA-N 1 2 296.201 3.690 20 0 CHADLO FC(F)c1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000398212709 1120593675 /nfs/dbraw/zinc/59/36/75/1120593675.db2.gz SUANVXKHVKIYEP-LLVKDONJSA-N 1 2 261.262 3.902 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1ccc(Cl)nc1Cl ZINC000690127422 1120598536 /nfs/dbraw/zinc/59/85/36/1120598536.db2.gz YAKFELIEDXMUSO-UHFFFAOYSA-N 1 2 291.222 3.635 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1ccc(Cl)nc1Cl ZINC000690127422 1120598539 /nfs/dbraw/zinc/59/85/39/1120598539.db2.gz YAKFELIEDXMUSO-UHFFFAOYSA-N 1 2 291.222 3.635 20 0 CHADLO C/C(=C/c1ccccc1)C[NH2+][C@@H]1CCCC1(F)F ZINC000673617833 1120601209 /nfs/dbraw/zinc/60/12/09/1120601209.db2.gz KSGCWUDUUBUIOR-GAJOTYCWSA-N 1 2 251.320 3.867 20 0 CHADLO Cc1cc2[nH+]c([C@@H]3C[C@@H](C)O[C@@H](C)C3)[nH]c2c(C)c1 ZINC000724356851 1120604943 /nfs/dbraw/zinc/60/49/43/1120604943.db2.gz IMTGKSFCCQJRGO-CLLJXQQHSA-N 1 2 258.365 3.851 20 0 CHADLO Fc1ccc(/C=C\C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000673618686 1120607495 /nfs/dbraw/zinc/60/74/95/1120607495.db2.gz YZDAWFNVMMTBPT-ZRMMWKCHSA-N 1 2 255.283 3.616 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+][C@H]3CCC34CCC4)cc2)CCO1 ZINC000282405779 1120618340 /nfs/dbraw/zinc/61/83/40/1120618340.db2.gz BZSDHBXLXMLISR-PBHICJAKSA-N 1 2 286.419 3.656 20 0 CHADLO COc1cc(C[NH+]2CC(C)(C)C2)cc(C(F)(F)F)c1 ZINC001143511650 1120620429 /nfs/dbraw/zinc/62/04/29/1120620429.db2.gz DWQKPMGCCCKBFI-UHFFFAOYSA-N 1 2 273.298 3.556 20 0 CHADLO CCCCC[N@H+](Cc1noc(C2CCC2)n1)C(C)C ZINC000625075039 1120629509 /nfs/dbraw/zinc/62/95/09/1120629509.db2.gz GYMFVBONNZBKHW-UHFFFAOYSA-N 1 2 265.401 3.738 20 0 CHADLO CCCCC[N@@H+](Cc1noc(C2CCC2)n1)C(C)C ZINC000625075039 1120629513 /nfs/dbraw/zinc/62/95/13/1120629513.db2.gz GYMFVBONNZBKHW-UHFFFAOYSA-N 1 2 265.401 3.738 20 0 CHADLO Cc1ccccc1-c1ccc([C@@H]2CC(=O)CC[N@H+]2C)cc1 ZINC000629711375 1128929766 /nfs/dbraw/zinc/92/97/66/1128929766.db2.gz FRZBWBKYAPLFIB-IBGZPJMESA-N 1 2 279.383 3.998 20 0 CHADLO Cc1ccccc1-c1ccc([C@@H]2CC(=O)CC[N@@H+]2C)cc1 ZINC000629711375 1128929768 /nfs/dbraw/zinc/92/97/68/1128929768.db2.gz FRZBWBKYAPLFIB-IBGZPJMESA-N 1 2 279.383 3.998 20 0 CHADLO C(c1noc(C2CCC2)n1)[NH+]1CCC2(CCCCC2)CC1 ZINC000625135653 1120637863 /nfs/dbraw/zinc/63/78/63/1120637863.db2.gz VQELGUGKWVJHHB-UHFFFAOYSA-N 1 2 289.423 3.883 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625268791 1120657924 /nfs/dbraw/zinc/65/79/24/1120657924.db2.gz GVFUUXZBMLWMSK-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625268791 1120657928 /nfs/dbraw/zinc/65/79/28/1120657928.db2.gz GVFUUXZBMLWMSK-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO CC(C)CC[N@H+](Cn1nc(C(C)(C)C)[nH]c1=S)C1CC1 ZINC000026626387 1120668462 /nfs/dbraw/zinc/66/84/62/1120668462.db2.gz RTQGMSGNBQXQTD-UHFFFAOYSA-N 1 2 296.484 3.706 20 0 CHADLO CC(C)CC[N@@H+](Cn1nc(C(C)(C)C)[nH]c1=S)C1CC1 ZINC000026626387 1120668463 /nfs/dbraw/zinc/66/84/63/1120668463.db2.gz RTQGMSGNBQXQTD-UHFFFAOYSA-N 1 2 296.484 3.706 20 0 CHADLO COc1ccc2cc(NC(C3CC3)C3CC3)[nH+]cc2c1 ZINC001168742586 1120676787 /nfs/dbraw/zinc/67/67/87/1120676787.db2.gz OEURJOAXMUMHGI-UHFFFAOYSA-N 1 2 268.360 3.844 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2ccccc2OC(F)F)no1 ZINC000282454865 1120683543 /nfs/dbraw/zinc/68/35/43/1120683543.db2.gz FPJQIZRPBJWZRB-QWRGUYRKSA-N 1 2 296.317 3.996 20 0 CHADLO CNc1ccc(Nc2cc(-c3cccc(OC)c3)no2)c[nH+]1 ZINC001203448676 1120685133 /nfs/dbraw/zinc/68/51/33/1120685133.db2.gz FHWYICUTNYDWDN-UHFFFAOYSA-N 1 2 296.330 3.531 20 0 CHADLO CCC(C)(C)CC[N@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660764364 1120686445 /nfs/dbraw/zinc/68/64/45/1120686445.db2.gz NUIIUKVJHOSXTL-OAHLLOKOSA-N 1 2 286.423 3.652 20 0 CHADLO CCC(C)(C)CC[N@@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660764364 1120686447 /nfs/dbraw/zinc/68/64/47/1120686447.db2.gz NUIIUKVJHOSXTL-OAHLLOKOSA-N 1 2 286.423 3.652 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)c(F)c2)Cc2ccccc2O1 ZINC000429159104 1120691353 /nfs/dbraw/zinc/69/13/53/1120691353.db2.gz DZEPSJFRJCJKQM-GFCCVEGCSA-N 1 2 289.325 3.748 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)c(F)c2)Cc2ccccc2O1 ZINC000429159104 1120691354 /nfs/dbraw/zinc/69/13/54/1120691354.db2.gz DZEPSJFRJCJKQM-GFCCVEGCSA-N 1 2 289.325 3.748 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2cccc(Cl)c2)s1 ZINC000273241618 1120693873 /nfs/dbraw/zinc/69/38/73/1120693873.db2.gz XLCDDRXLQGTJSY-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661170812 1120731459 /nfs/dbraw/zinc/73/14/59/1120731459.db2.gz RWSFHQZYVOYWFD-BZNIZROVSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661170812 1120731463 /nfs/dbraw/zinc/73/14/63/1120731463.db2.gz RWSFHQZYVOYWFD-BZNIZROVSA-N 1 2 281.346 3.527 20 0 CHADLO Cc1cccnc1C[N@@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000093159440 1128938099 /nfs/dbraw/zinc/93/80/99/1128938099.db2.gz OKSAYCSXCDENEI-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cccnc1C[N@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000093159440 1128938102 /nfs/dbraw/zinc/93/81/02/1128938102.db2.gz OKSAYCSXCDENEI-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO CCc1nocc1C[N@@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661435169 1120764870 /nfs/dbraw/zinc/76/48/70/1120764870.db2.gz NJKIRMFRWGBHHY-INIZCTEOSA-N 1 2 286.375 3.947 20 0 CHADLO CCc1nocc1C[N@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661435169 1120764875 /nfs/dbraw/zinc/76/48/75/1120764875.db2.gz NJKIRMFRWGBHHY-INIZCTEOSA-N 1 2 286.375 3.947 20 0 CHADLO Cc1cc(C[NH2+][C@H](c2ccccc2F)C(C)C)no1 ZINC000661484630 1120770838 /nfs/dbraw/zinc/77/08/38/1120770838.db2.gz MCCUDBQYLMNIEP-HNNXBMFYSA-N 1 2 262.328 3.609 20 0 CHADLO CCCCC[N@@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000661535910 1120776087 /nfs/dbraw/zinc/77/60/87/1120776087.db2.gz NOZQXUVJMYMAKI-LLVKDONJSA-N 1 2 287.329 3.823 20 0 CHADLO CCCCC[N@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000661535910 1120776090 /nfs/dbraw/zinc/77/60/90/1120776090.db2.gz NOZQXUVJMYMAKI-LLVKDONJSA-N 1 2 287.329 3.823 20 0 CHADLO CO[C@H](C)C[N@@H+]1C[C@@H](c2cccc(Cl)c2)OCC1(C)C ZINC000661736459 1120804928 /nfs/dbraw/zinc/80/49/28/1120804928.db2.gz NGGAJPJQHXKNEZ-DOMZBBRYSA-N 1 2 297.826 3.527 20 0 CHADLO CO[C@H](C)C[N@H+]1C[C@@H](c2cccc(Cl)c2)OCC1(C)C ZINC000661736459 1120804929 /nfs/dbraw/zinc/80/49/29/1120804929.db2.gz NGGAJPJQHXKNEZ-DOMZBBRYSA-N 1 2 297.826 3.527 20 0 CHADLO Cc1csc(SCc2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000191525966 1128942123 /nfs/dbraw/zinc/94/21/23/1128942123.db2.gz IUMJFOCDMDTKAB-UHFFFAOYSA-N 1 2 287.413 3.930 20 0 CHADLO CC[C@H](Nc1cc(COC)cc[nH+]1)c1ccccc1 ZINC000652329974 1120827964 /nfs/dbraw/zinc/82/79/64/1120827964.db2.gz QBMQGLFFIIKEPQ-HNNXBMFYSA-N 1 2 256.349 3.791 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cccc(F)c2)c1 ZINC000652329825 1120828059 /nfs/dbraw/zinc/82/80/59/1120828059.db2.gz KNRCJNYSCCLQJF-LLVKDONJSA-N 1 2 260.312 3.540 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(F)c(F)c2)c1 ZINC000652330901 1120828315 /nfs/dbraw/zinc/82/83/15/1120828315.db2.gz SDFKRMVUYYNQDX-JTQLQIEISA-N 1 2 278.302 3.679 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCc3ccccc32)c1 ZINC000652329703 1120828386 /nfs/dbraw/zinc/82/83/86/1120828386.db2.gz FFKQUSUKSHUUMX-INIZCTEOSA-N 1 2 268.360 3.718 20 0 CHADLO CCc1ccc([C@@H](C)Nc2cc(COC)cc[nH+]2)o1 ZINC000652331090 1120830177 /nfs/dbraw/zinc/83/01/77/1120830177.db2.gz XWYHLKRATDEYRA-LLVKDONJSA-N 1 2 260.337 3.557 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC[C@H]1C(=O)OC(C)C ZINC001167784703 1120837444 /nfs/dbraw/zinc/83/74/44/1120837444.db2.gz KEPOLYQXRCCTQF-HNNXBMFYSA-N 1 2 295.810 3.564 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC[C@H]1C(=O)OC(C)C ZINC001167784703 1120837448 /nfs/dbraw/zinc/83/74/48/1120837448.db2.gz KEPOLYQXRCCTQF-HNNXBMFYSA-N 1 2 295.810 3.564 20 0 CHADLO C[C@H]1CC[C@@](C)(C(=O)Nc2cccc3[nH+]ccn32)C1(C)C ZINC001126177280 1120841993 /nfs/dbraw/zinc/84/19/93/1120841993.db2.gz CIGIJPOAFJYNDU-SJCJKPOMSA-N 1 2 285.391 3.735 20 0 CHADLO Fc1ccc(C[NH2+]Cc2csc(Cl)c2Cl)nc1 ZINC000432249913 1120844921 /nfs/dbraw/zinc/84/49/21/1120844921.db2.gz GXYWCAZJAFKQEV-UHFFFAOYSA-N 1 2 291.178 3.879 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccccc2F)CC(C)C)nn1C ZINC000487371444 1120855163 /nfs/dbraw/zinc/85/51/63/1120855163.db2.gz XJBLBCMVNOYRLW-UHFFFAOYSA-N 1 2 289.398 3.526 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccccc2F)CC(C)C)nn1C ZINC000487371444 1120855167 /nfs/dbraw/zinc/85/51/67/1120855167.db2.gz XJBLBCMVNOYRLW-UHFFFAOYSA-N 1 2 289.398 3.526 20 0 CHADLO Cc1ccc2cc(-c3c[nH+]c4n3CCCC4)ccc2n1 ZINC000630052693 1128945405 /nfs/dbraw/zinc/94/54/05/1128945405.db2.gz IPHLDZSPOGBMBX-UHFFFAOYSA-N 1 2 263.344 3.743 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc(OC(F)F)cc2F)n1C ZINC000630053692 1128946461 /nfs/dbraw/zinc/94/64/61/1128946461.db2.gz SQYMEYOXIAEJRZ-UHFFFAOYSA-N 1 2 284.281 3.951 20 0 CHADLO CCCCc1nc(C[N@H+](C)C[C@H]2CCc3ccccc32)no1 ZINC000662198688 1120896205 /nfs/dbraw/zinc/89/62/05/1120896205.db2.gz JUVPEAQZUTZVCS-OAHLLOKOSA-N 1 2 299.418 3.574 20 0 CHADLO CCCCc1nc(C[N@@H+](C)C[C@H]2CCc3ccccc32)no1 ZINC000662198688 1120896209 /nfs/dbraw/zinc/89/62/09/1120896209.db2.gz JUVPEAQZUTZVCS-OAHLLOKOSA-N 1 2 299.418 3.574 20 0 CHADLO FC(F)(F)C[C@@H]1C[N@H+](Cc2ccccc2C2CC2)CCO1 ZINC000662223195 1120905730 /nfs/dbraw/zinc/90/57/30/1120905730.db2.gz NJNYDEVGSMPBBI-CQSZACIVSA-N 1 2 299.336 3.717 20 0 CHADLO FC(F)(F)C[C@@H]1C[N@@H+](Cc2ccccc2C2CC2)CCO1 ZINC000662223195 1120905733 /nfs/dbraw/zinc/90/57/33/1120905733.db2.gz NJNYDEVGSMPBBI-CQSZACIVSA-N 1 2 299.336 3.717 20 0 CHADLO CC1(C)C[C@]1([NH2+]Cc1cscn1)c1ccccc1 ZINC000662342641 1120928605 /nfs/dbraw/zinc/92/86/05/1120928605.db2.gz DSZLWRPXQBXJEJ-HNNXBMFYSA-N 1 2 258.390 3.558 20 0 CHADLO Cc1nc(C[NH2+][C@@]2(c3ccccc3)CC2(C)C)oc1C ZINC000662345312 1120929476 /nfs/dbraw/zinc/92/94/76/1120929476.db2.gz YFBSXDATTJVNDT-QGZVFWFLSA-N 1 2 270.376 3.706 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662365640 1120930907 /nfs/dbraw/zinc/93/09/07/1120930907.db2.gz SQCPXQYKOXVEFZ-JRPNMDOOSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662365640 1120930911 /nfs/dbraw/zinc/93/09/11/1120930911.db2.gz SQCPXQYKOXVEFZ-JRPNMDOOSA-N 1 2 287.325 3.631 20 0 CHADLO Cc1cc(-c2cccc(Br)c2F)c[nH+]c1N ZINC000235864875 1120950008 /nfs/dbraw/zinc/95/00/08/1120950008.db2.gz MALLNADRVDQNTM-UHFFFAOYSA-N 1 2 281.128 3.541 20 0 CHADLO COc1ccc([NH2+][C@H]2CCOC3(CCCC3)C2)c(OC)c1 ZINC000094365193 1120998815 /nfs/dbraw/zinc/99/88/15/1120998815.db2.gz WHISVVGVQVEBFJ-ZDUSSCGKSA-N 1 2 291.391 3.608 20 0 CHADLO Cc1ccc(CNc2ccc([NH+](C)C)cc2)cc1C ZINC000057784705 1120999500 /nfs/dbraw/zinc/99/95/00/1120999500.db2.gz WUUQBFQUDPGUAW-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO c1coc(C[NH2+][C@H](CN2CCCCC2)c2ccccc2)c1 ZINC000075943907 1121005547 /nfs/dbraw/zinc/00/55/47/1121005547.db2.gz HOLPFNLPSJZKKU-GOSISDBHSA-N 1 2 284.403 3.596 20 0 CHADLO Cc1c[nH+]c(Nc2cncc(C(F)(F)F)c2)c(C)c1 ZINC001174740900 1121019711 /nfs/dbraw/zinc/01/97/11/1121019711.db2.gz BDNVMSMDGZNKEW-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@H]1COc2c1ccc(C)c2C ZINC000925365642 1121024362 /nfs/dbraw/zinc/02/43/62/1121024362.db2.gz CFDSAGYQKVFHGA-CABCVRRESA-N 1 2 298.386 3.701 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4cc[nH]c4c3)ccc21 ZINC001174750523 1121036555 /nfs/dbraw/zinc/03/65/55/1121036555.db2.gz STISSQHDKXZEMT-UHFFFAOYSA-N 1 2 262.316 3.798 20 0 CHADLO C[C@@H]1C[NH+](Cc2cc(C(C)(C)C)on2)C[C@@H](C)C1(F)F ZINC000625652031 1121047547 /nfs/dbraw/zinc/04/75/47/1121047547.db2.gz GDUYQBXEYHHGRP-GHMZBOCLSA-N 1 2 286.366 3.695 20 0 CHADLO COc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1C ZINC001174830086 1121049498 /nfs/dbraw/zinc/04/94/98/1121049498.db2.gz RYRITPLTFCWDQY-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO CC1(C)CCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000344031516 1121059603 /nfs/dbraw/zinc/05/96/03/1121059603.db2.gz XJWOEUHBCPVGFK-OAHLLOKOSA-N 1 2 297.402 3.571 20 0 CHADLO FC(F)[C@H]1CCC[N@H+](Cc2c(Cl)cncc2Cl)C1 ZINC001137609089 1121062794 /nfs/dbraw/zinc/06/27/94/1121062794.db2.gz FXNDBHFGGKWYTJ-QMMMGPOBSA-N 1 2 295.160 3.866 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+](Cc2c(Cl)cncc2Cl)C1 ZINC001137609089 1121062805 /nfs/dbraw/zinc/06/28/05/1121062805.db2.gz FXNDBHFGGKWYTJ-QMMMGPOBSA-N 1 2 295.160 3.866 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc2c(c1)OCO2 ZINC001174864131 1121071947 /nfs/dbraw/zinc/07/19/47/1121071947.db2.gz RZRDMLIXDOWCSD-UHFFFAOYSA-N 1 2 256.305 3.677 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)c(OC)cc2F)c[nH+]1 ZINC001174931918 1121081825 /nfs/dbraw/zinc/08/18/25/1121081825.db2.gz QHWOVJHSUAPMJE-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2ncc(C)o2)cc1 ZINC000223667422 1121083470 /nfs/dbraw/zinc/08/34/70/1121083470.db2.gz QACLYRJZMDVOLO-LLVKDONJSA-N 1 2 262.378 3.556 20 0 CHADLO c1cnc2cccc(Nc3ccc[nH+]c3N3CCCC3)c2c1 ZINC001174903436 1121083897 /nfs/dbraw/zinc/08/38/97/1121083897.db2.gz FYZVAUZWUWMCEF-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO COCc1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc1 ZINC001174920085 1121093612 /nfs/dbraw/zinc/09/36/12/1121093612.db2.gz QQSQWBBBFHQIBI-UHFFFAOYSA-N 1 2 298.264 3.870 20 0 CHADLO COCc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001174921859 1121094986 /nfs/dbraw/zinc/09/49/86/1121094986.db2.gz RPGUJDQHTRRXAX-UHFFFAOYSA-N 1 2 279.343 3.762 20 0 CHADLO COc1cc(F)c(Nc2[nH+]c(C)ccc2C)cc1F ZINC001174925982 1121098930 /nfs/dbraw/zinc/09/89/30/1121098930.db2.gz OBIDHVQAAFTJLH-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1N ZINC001174935484 1121103772 /nfs/dbraw/zinc/10/37/72/1121103772.db2.gz SUWFTOSWCYGTPZ-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO Cc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1N ZINC001174935338 1121103781 /nfs/dbraw/zinc/10/37/81/1121103781.db2.gz PUFQWSIHYGHKHP-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO Fc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(F)c1F ZINC001174967247 1121117279 /nfs/dbraw/zinc/11/72/79/1121117279.db2.gz GOUZUOXCXCCTJN-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO Fc1ccc(Nc2ccc[nH+]c2N2CCCC2)c(F)c1F ZINC001174970083 1121120049 /nfs/dbraw/zinc/12/00/49/1121120049.db2.gz VLLKMDJSYGWRLC-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO CCCCN(C(=O)c1cccc(-n2cc[nH+]c2)c1)[C@@H](C)CC ZINC000344218070 1121125555 /nfs/dbraw/zinc/12/55/55/1121125555.db2.gz JFZQCCIKIVBUFX-HNNXBMFYSA-N 1 2 299.418 3.913 20 0 CHADLO C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000674386240 1121126695 /nfs/dbraw/zinc/12/66/95/1121126695.db2.gz KZZSYUVSPHXINQ-VNHYZAJKSA-N 1 2 298.390 3.519 20 0 CHADLO CCCCOc1ccccc1Nc1[nH+]cc(C)cc1N ZINC001175000765 1121126810 /nfs/dbraw/zinc/12/68/10/1121126810.db2.gz XKERQYKOVHQHJV-UHFFFAOYSA-N 1 2 271.364 3.895 20 0 CHADLO CCOc1cc(F)cc(Nc2cccn3cc[nH+]c23)c1 ZINC001175004044 1121128001 /nfs/dbraw/zinc/12/80/01/1121128001.db2.gz RLLAWIRJGGFOEP-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOc1cc(F)cc(Nc2c[nH+]ccc2OCC)c1 ZINC001175003780 1121128090 /nfs/dbraw/zinc/12/80/90/1121128090.db2.gz NBFCAMAESWHZJV-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO COc1cc(F)cc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001175006995 1121130443 /nfs/dbraw/zinc/13/04/43/1121130443.db2.gz ACMBTHLLRCJDDW-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO COc1cc(F)cc(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001175007018 1121130523 /nfs/dbraw/zinc/13/05/23/1121130523.db2.gz BGRLAMHNRYULLL-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO FC1(F)CCC[N@H+](CCCCc2ccccc2)C1 ZINC001207608044 1121133566 /nfs/dbraw/zinc/13/35/66/1121133566.db2.gz BVRZZTLQTWQDDK-UHFFFAOYSA-N 1 2 253.336 3.740 20 0 CHADLO FC1(F)CCC[N@@H+](CCCCc2ccccc2)C1 ZINC001207608044 1121133569 /nfs/dbraw/zinc/13/35/69/1121133569.db2.gz BVRZZTLQTWQDDK-UHFFFAOYSA-N 1 2 253.336 3.740 20 0 CHADLO Fc1ccc(F)c(Nc2ccc[nH+]c2N2CCCC2)c1F ZINC001175025882 1121142373 /nfs/dbraw/zinc/14/23/73/1121142373.db2.gz OBMXWZMCWJZRGT-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO CSc1cccc(C[N@@H+]2Cc3ccc(O)cc3C2)c1 ZINC000625728243 1121146207 /nfs/dbraw/zinc/14/62/07/1121146207.db2.gz BNPBUPMUDMJMCJ-UHFFFAOYSA-N 1 2 271.385 3.630 20 0 CHADLO CSc1cccc(C[N@H+]2Cc3ccc(O)cc3C2)c1 ZINC000625728243 1121146211 /nfs/dbraw/zinc/14/62/11/1121146211.db2.gz BNPBUPMUDMJMCJ-UHFFFAOYSA-N 1 2 271.385 3.630 20 0 CHADLO CC(C)(C)OC1CC[NH+](Cc2cc(C(C)(C)C)on2)CC1 ZINC000351536967 1121149085 /nfs/dbraw/zinc/14/90/85/1121149085.db2.gz HKVBGHDDBXPTEO-UHFFFAOYSA-N 1 2 294.439 3.752 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(C)cc2Cl)cs1 ZINC000328250369 1121149555 /nfs/dbraw/zinc/14/95/55/1121149555.db2.gz SKYWPFQFGRPUJV-UHFFFAOYSA-N 1 2 280.824 3.957 20 0 CHADLO CC(C)CC(C)(C)CNC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000674568900 1121151161 /nfs/dbraw/zinc/15/11/61/1121151161.db2.gz PEZQFLVTRDYMFK-UHFFFAOYSA-N 1 2 288.395 3.528 20 0 CHADLO CCOc1cc(Nc2cccc3[nH+]ccn32)ccc1F ZINC001175047090 1121158890 /nfs/dbraw/zinc/15/88/90/1121158890.db2.gz DWKXIKTYLAUVEB-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)c(OC)cc1C ZINC001175110607 1121168894 /nfs/dbraw/zinc/16/88/94/1121168894.db2.gz LHKKDJIBIJFNAZ-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO Cc1cccc(CNc2ccc([NH+](C)C)cc2)c1C ZINC000059108706 1121169578 /nfs/dbraw/zinc/16/95/78/1121169578.db2.gz DJJFWNMVQGIFSL-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO COc1ccc(F)c(Nc2ccc(C)[nH+]c2C)c1F ZINC001175115948 1121171367 /nfs/dbraw/zinc/17/13/67/1121171367.db2.gz AMONFKODUWFWTJ-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(F)c(C(F)(F)F)c1 ZINC001175072989 1121173473 /nfs/dbraw/zinc/17/34/73/1121173473.db2.gz HVBCICBASNIOGJ-UHFFFAOYSA-N 1 2 286.228 3.997 20 0 CHADLO CCOc1ccc(F)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001175081771 1121176928 /nfs/dbraw/zinc/17/69/28/1121176928.db2.gz UPZLTALTAQAESO-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(F)c(F)cc2F)[nH+]1 ZINC001175086356 1121178817 /nfs/dbraw/zinc/17/88/17/1121178817.db2.gz XFNSPBYORUIGBB-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO CCOc1ccc(Nc2cc(OC)cc[nH+]2)c(F)c1F ZINC001175150887 1121185795 /nfs/dbraw/zinc/18/57/95/1121185795.db2.gz RGYBJBPUOZLRRO-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CC(=O)c1ccccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001175176657 1121217353 /nfs/dbraw/zinc/21/73/53/1121217353.db2.gz HHOYAGOEMGVLPS-UHFFFAOYSA-N 1 2 277.327 3.819 20 0 CHADLO CC(=O)c1ccccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001175181425 1121220549 /nfs/dbraw/zinc/22/05/49/1121220549.db2.gz SBXXAIFYRGZXOW-UHFFFAOYSA-N 1 2 291.354 3.878 20 0 CHADLO CCOc1ccc(OC)cc1Nc1c[nH+]c(C)cc1C ZINC001175211989 1121225694 /nfs/dbraw/zinc/22/56/94/1121225694.db2.gz MNQMKKFXNMGXRG-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CCCC2CCC2)C2CCCCC2)n1 ZINC000658223624 1121232357 /nfs/dbraw/zinc/23/23/57/1121232357.db2.gz PDHVRGWWWYIMBV-HNNXBMFYSA-N 1 2 276.428 3.596 20 0 CHADLO CC(=O)c1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1F ZINC001175229826 1121234164 /nfs/dbraw/zinc/23/41/64/1121234164.db2.gz HBISKQHPCUDOKD-UHFFFAOYSA-N 1 2 295.317 3.958 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+]1CCc2ncsc2C1 ZINC000525840126 1121242561 /nfs/dbraw/zinc/24/25/61/1121242561.db2.gz VWWBIVMNVMQPLT-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+]1CCc2ncsc2C1 ZINC000525840126 1121242564 /nfs/dbraw/zinc/24/25/64/1121242564.db2.gz VWWBIVMNVMQPLT-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO CCOc1c(F)cccc1Nc1[nH+]c2ccccc2n1C ZINC001212533485 1121243729 /nfs/dbraw/zinc/24/37/29/1121243729.db2.gz LWCFSPZCDKCVBI-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001175274668 1121248800 /nfs/dbraw/zinc/24/88/00/1121248800.db2.gz OQLMWVYCDSIOFC-UHFFFAOYSA-N 1 2 295.317 3.958 20 0 CHADLO CSc1cccc(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001175283722 1121251655 /nfs/dbraw/zinc/25/16/55/1121251655.db2.gz KRNRAOGNLRPCRM-UHFFFAOYSA-N 1 2 259.378 3.613 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](CC(C)C)c1ccccc1C ZINC000112379189 1121255041 /nfs/dbraw/zinc/25/50/41/1121255041.db2.gz KIWUPBJAYXASAX-QGZVFWFLSA-N 1 2 271.408 3.904 20 0 CHADLO CCOc1ncccc1C[NH2+][C@H](C)c1cc(F)ccc1F ZINC000112522216 1121256845 /nfs/dbraw/zinc/25/68/45/1121256845.db2.gz IYSQZUQNOUZVCM-LLVKDONJSA-N 1 2 292.329 3.609 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001175271000 1121271164 /nfs/dbraw/zinc/27/11/64/1121271164.db2.gz RJFCZKKOJHHPRF-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO CN(C)c1ccc(Nc2cc(-c3ccc(F)cc3)no2)c[nH+]1 ZINC001175341981 1121271951 /nfs/dbraw/zinc/27/19/51/1121271951.db2.gz YJUCHFCJHCCTBC-UHFFFAOYSA-N 1 2 298.321 3.685 20 0 CHADLO CN(C)c1ccc(Nc2cnc3ccc(F)cc3c2)c[nH+]1 ZINC001175341808 1121272527 /nfs/dbraw/zinc/27/25/27/1121272527.db2.gz OSPAVJWPJOIQQN-UHFFFAOYSA-N 1 2 282.322 3.579 20 0 CHADLO CN(C)c1ccc(Nc2cc(C(C)(C)C)ns2)c[nH+]1 ZINC001175341727 1121272536 /nfs/dbraw/zinc/27/25/36/1121272536.db2.gz NOANSHGBDLBDFP-UHFFFAOYSA-N 1 2 276.409 3.645 20 0 CHADLO CN(C)c1ccc(Nc2cc(F)cc(F)c2Cl)c[nH+]1 ZINC001175345215 1121273686 /nfs/dbraw/zinc/27/36/86/1121273686.db2.gz RFHNJTZIDREWKW-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO Cc1cnc(Cl)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175346166 1121274253 /nfs/dbraw/zinc/27/42/53/1121274253.db2.gz MFMHUKUGLQQRFK-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO COc1cc(F)c(Cl)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175346081 1121274281 /nfs/dbraw/zinc/27/42/81/1121274281.db2.gz LTHLDOXRXIXGFH-UHFFFAOYSA-N 1 2 295.745 3.692 20 0 CHADLO CN(C)c1ccc(Nc2cccc(-c3ccncc3)c2)c[nH+]1 ZINC001175345268 1121274353 /nfs/dbraw/zinc/27/43/53/1121274353.db2.gz UTJLYHSTOMEEHJ-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccccc1[S@](C)=O ZINC001175303449 1121276794 /nfs/dbraw/zinc/27/67/94/1121276794.db2.gz DONQWAQZVRPEKU-FQEVSTJZSA-N 1 2 288.416 3.994 20 0 CHADLO Cc1ccc(C[S@](=O)[C@@H]2CCc3ccccc32)c(C)[nH+]1 ZINC000614035244 1121286880 /nfs/dbraw/zinc/28/68/80/1121286880.db2.gz SIBMXCDCLWDMMA-XLIONFOSSA-N 1 2 285.412 3.635 20 0 CHADLO Fc1cnc(Cl)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175349123 1121287867 /nfs/dbraw/zinc/28/78/67/1121287867.db2.gz LEVKVRDYPKSTDI-UHFFFAOYSA-N 1 2 292.745 3.613 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2nc3ccccc3s2)s1 ZINC000124263377 1121289116 /nfs/dbraw/zinc/28/91/16/1121289116.db2.gz OUXHQRLDOOZOTB-SNVBAGLBSA-N 1 2 289.429 3.912 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(C)cnc2OC)cc1 ZINC001175337671 1121289230 /nfs/dbraw/zinc/28/92/30/1121289230.db2.gz HIPLLFDQIRLZSS-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(C)cnc2OC)cc1 ZINC001175337671 1121289236 /nfs/dbraw/zinc/28/92/36/1121289236.db2.gz HIPLLFDQIRLZSS-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO COc1ncc(C)cc1Nc1cccc2cc[nH+]cc21 ZINC001175337994 1121289258 /nfs/dbraw/zinc/28/92/58/1121289258.db2.gz WVJUHMHNCDRTJO-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO CN(C)c1ccc(Nc2ncccc2-c2ccccc2)c[nH+]1 ZINC001175338983 1121290855 /nfs/dbraw/zinc/29/08/55/1121290855.db2.gz RRDJIFHQJPAOPG-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO Fc1cc(F)c(F)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175350940 1121292108 /nfs/dbraw/zinc/29/21/08/1121292108.db2.gz FTTNTFGFPRYBRO-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO CCc1ccc(N)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175353130 1121293876 /nfs/dbraw/zinc/29/38/76/1121293876.db2.gz VOCOGMYOGQBBOP-UHFFFAOYSA-N 1 2 282.391 3.570 20 0 CHADLO O=C1CCc2cccc(Nc3ccc(N4CCCC4)[nH+]c3)c21 ZINC001175352161 1121294000 /nfs/dbraw/zinc/29/40/00/1121294000.db2.gz RXHNTRQXKGAMOS-UHFFFAOYSA-N 1 2 293.370 3.554 20 0 CHADLO CC[C@@H](CC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C(C)(C)C ZINC000630920063 1128975891 /nfs/dbraw/zinc/97/58/91/1128975891.db2.gz INYINKNCTCEJRZ-LSDHHAIUSA-N 1 2 291.439 3.509 20 0 CHADLO c1cn2cccc(Nc3ccc4ncccc4c3)c2[nH+]1 ZINC001175372407 1121303150 /nfs/dbraw/zinc/30/31/50/1121303150.db2.gz IMQIUTBDGXDUMB-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3ncccc3c2)c[nH+]1 ZINC001175375185 1121303399 /nfs/dbraw/zinc/30/33/99/1121303399.db2.gz CXAMXGDRAYBSLX-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2ncc(C(C)C)o2)n1 ZINC000766139075 1121306684 /nfs/dbraw/zinc/30/66/84/1121306684.db2.gz IFIOEXLXAGIAJI-HNNXBMFYSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2ncc(C(C)C)o2)n1 ZINC000766139075 1121306694 /nfs/dbraw/zinc/30/66/94/1121306694.db2.gz IFIOEXLXAGIAJI-HNNXBMFYSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(Br)nc2C)c1 ZINC001212561142 1121308115 /nfs/dbraw/zinc/30/81/15/1121308115.db2.gz AXELWNZYKZYYFG-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1cc(C)c(Nc2ccc(Br)nc2C)c[nH+]1 ZINC001212562107 1121309970 /nfs/dbraw/zinc/30/99/70/1121309970.db2.gz AINUDRFTSPAJNC-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1ccc(C)c(Nc2ccc(Br)nc2C)[nH+]1 ZINC001212563340 1121313025 /nfs/dbraw/zinc/31/30/25/1121313025.db2.gz QMVYLNCRMZQSND-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCc1cc(OCCc2cnn(CC)c2)c2ccccc2[nH+]1 ZINC000418922596 1121337813 /nfs/dbraw/zinc/33/78/13/1121337813.db2.gz LFUOCLBXIMVHAA-UHFFFAOYSA-N 1 2 295.386 3.635 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc([S@@](C)=O)cc1 ZINC001175481942 1121338375 /nfs/dbraw/zinc/33/83/75/1121338375.db2.gz OTASJXPUYWWVHI-HXUWFJFHSA-N 1 2 288.416 3.994 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](C)[C@H]2CC[C@H](C)C2)no1 ZINC000429580849 1121342526 /nfs/dbraw/zinc/34/25/26/1121342526.db2.gz RHGMXROFQAWEMQ-BBRMVZONSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](C)[C@H]2CC[C@H](C)C2)no1 ZINC000429580849 1121342533 /nfs/dbraw/zinc/34/25/33/1121342533.db2.gz RHGMXROFQAWEMQ-BBRMVZONSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc3ccccc3n2)cs1 ZINC000675762404 1121350726 /nfs/dbraw/zinc/35/07/26/1121350726.db2.gz RMEMJZPRSLUNSJ-NSHDSACASA-N 1 2 283.400 3.851 20 0 CHADLO CC(C)(C)c1c[nH]c(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)c1 ZINC001249477327 1121356010 /nfs/dbraw/zinc/35/60/10/1121356010.db2.gz ZGCUFOUFRXTXRX-ZFWWWQNUSA-N 1 2 270.367 3.584 20 0 CHADLO CC(C)(C)c1c[nH]c(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)c1 ZINC001249477327 1121356019 /nfs/dbraw/zinc/35/60/19/1121356019.db2.gz ZGCUFOUFRXTXRX-ZFWWWQNUSA-N 1 2 270.367 3.584 20 0 CHADLO CC(C)(C)c1c[nH]c(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)c1 ZINC001249477324 1121356055 /nfs/dbraw/zinc/35/60/55/1121356055.db2.gz ZGCUFOUFRXTXRX-DZGCQCFKSA-N 1 2 270.367 3.584 20 0 CHADLO CC(C)(C)c1c[nH]c(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)c1 ZINC001249477324 1121356062 /nfs/dbraw/zinc/35/60/62/1121356062.db2.gz ZGCUFOUFRXTXRX-DZGCQCFKSA-N 1 2 270.367 3.584 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H]2COc3c2cccc3Cl)n1 ZINC000675809568 1121365094 /nfs/dbraw/zinc/36/50/94/1121365094.db2.gz KWSYJKLDAAQVBQ-BXKDBHETSA-N 1 2 294.807 3.889 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H]2CCOc3ccc(F)cc32)n1 ZINC000675809230 1121365147 /nfs/dbraw/zinc/36/51/47/1121365147.db2.gz DBXFBXCMQGHOQX-MFKMUULPSA-N 1 2 292.379 3.765 20 0 CHADLO COc1ccnc([C@@H](C)[NH2+]C2(c3ccccc3F)CC2)c1 ZINC000925884085 1121373175 /nfs/dbraw/zinc/37/31/75/1121373175.db2.gz RZRMAHCKCREVBQ-GFCCVEGCSA-N 1 2 286.350 3.569 20 0 CHADLO CCc1cccc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001175550868 1121383018 /nfs/dbraw/zinc/38/30/18/1121383018.db2.gz GNDQMMZXHHAWRH-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO CCCCc1nc(C[N@H+](C)CCOC(C)(C)C)cs1 ZINC000676041900 1121399653 /nfs/dbraw/zinc/39/96/53/1121399653.db2.gz CGOYXBNKZPDEMR-UHFFFAOYSA-N 1 2 284.469 3.733 20 0 CHADLO CCCCc1nc(C[N@@H+](C)CCOC(C)(C)C)cs1 ZINC000676041900 1121399663 /nfs/dbraw/zinc/39/96/63/1121399663.db2.gz CGOYXBNKZPDEMR-UHFFFAOYSA-N 1 2 284.469 3.733 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1nc(C(C)(C)C)cs1 ZINC000676042067 1121400342 /nfs/dbraw/zinc/40/03/42/1121400342.db2.gz FAXVYIWWPJULLF-UHFFFAOYSA-N 1 2 284.469 3.688 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1nc(C(C)(C)C)cs1 ZINC000676042067 1121400347 /nfs/dbraw/zinc/40/03/47/1121400347.db2.gz FAXVYIWWPJULLF-UHFFFAOYSA-N 1 2 284.469 3.688 20 0 CHADLO COc1ccc2c(c1F)CC[C@H]2[NH2+][C@H]1CCCC1(F)F ZINC000676045935 1121400985 /nfs/dbraw/zinc/40/09/85/1121400985.db2.gz AYTWBXCBFFQWHV-YPMHNXCESA-N 1 2 285.309 3.599 20 0 CHADLO COc1ccc2c(c1F)CC[C@@H]2[NH2+][C@H]1CCCC1(F)F ZINC000676045932 1121401839 /nfs/dbraw/zinc/40/18/39/1121401839.db2.gz AYTWBXCBFFQWHV-AAEUAGOBSA-N 1 2 285.309 3.599 20 0 CHADLO Cc1cc(C)c(C(=O)NCc2coc3ccccc23)c(C)[nH+]1 ZINC001129174190 1121404243 /nfs/dbraw/zinc/40/42/43/1121404243.db2.gz ZAVRRNKOGQDXDT-UHFFFAOYSA-N 1 2 294.354 3.683 20 0 CHADLO c1cn(Cc2ccc(Nc3cccc4c[nH]nc43)cc2)c[nH+]1 ZINC001175640977 1121406558 /nfs/dbraw/zinc/40/65/58/1121406558.db2.gz XLZSZGGQKNKCRZ-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO CSc1ccc(Nc2ccccc2-n2cc[nH+]c2)cn1 ZINC001175652973 1121410003 /nfs/dbraw/zinc/41/00/03/1121410003.db2.gz JJZIJUVHSPWLSM-UHFFFAOYSA-N 1 2 282.372 3.733 20 0 CHADLO Cc1n[nH]c2cc(Nc3ccc(C)[nH+]c3C)ccc12 ZINC001175656463 1121422061 /nfs/dbraw/zinc/42/20/61/1121422061.db2.gz DIYDHQNKBMYFCD-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO FC(F)c1ccc(C[NH2+]Cc2nc(C3CC3)cs2)cn1 ZINC000631091263 1128984772 /nfs/dbraw/zinc/98/47/72/1128984772.db2.gz LHNCJTBVNPTANT-UHFFFAOYSA-N 1 2 295.358 3.643 20 0 CHADLO Cc1cccn2cc(C[NH2+]Cc3cscc3Cl)nc12 ZINC000676417212 1121433231 /nfs/dbraw/zinc/43/32/31/1121433231.db2.gz CEOOBYFRJOFUJT-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO CCc1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)c(O)c1 ZINC001175713500 1121434602 /nfs/dbraw/zinc/43/46/02/1121434602.db2.gz BGUNHFMBDVJAQT-UHFFFAOYSA-N 1 2 297.280 3.694 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cccc3c2CCC3)c[nH+]1 ZINC001175711981 1121434888 /nfs/dbraw/zinc/43/48/88/1121434888.db2.gz CVSLIGFFPIAFNW-UHFFFAOYSA-N 1 2 293.292 3.915 20 0 CHADLO CC[C@H](C[N@@H+]1C[C@@H](c2ccccc2Cl)OC[C@@H]1C)OC ZINC000419207075 1121436029 /nfs/dbraw/zinc/43/60/29/1121436029.db2.gz OCFPZDFVFNRDKM-ZENOOKHLSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C[N@H+]1C[C@@H](c2ccccc2Cl)OC[C@@H]1C)OC ZINC000419207075 1121436033 /nfs/dbraw/zinc/43/60/33/1121436033.db2.gz OCFPZDFVFNRDKM-ZENOOKHLSA-N 1 2 297.826 3.527 20 0 CHADLO COc1ccc(C[N@H+](C)[C@H](C)c2ccco2)c(F)c1OC ZINC000893932069 1121443610 /nfs/dbraw/zinc/44/36/10/1121443610.db2.gz XAELPCMVBNYIER-LLVKDONJSA-N 1 2 293.338 3.629 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@H](C)c2ccco2)c(F)c1OC ZINC000893932069 1121443612 /nfs/dbraw/zinc/44/36/12/1121443612.db2.gz XAELPCMVBNYIER-LLVKDONJSA-N 1 2 293.338 3.629 20 0 CHADLO CC1(C)C[N@H+](Cc2cc3ccnc(Cl)c3s2)CCO1 ZINC000893961530 1121444683 /nfs/dbraw/zinc/44/46/83/1121444683.db2.gz BEDNISKEPHKXQU-UHFFFAOYSA-N 1 2 296.823 3.561 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc3ccnc(Cl)c3s2)CCO1 ZINC000893961530 1121444685 /nfs/dbraw/zinc/44/46/85/1121444685.db2.gz BEDNISKEPHKXQU-UHFFFAOYSA-N 1 2 296.823 3.561 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ccc(C3CC3)nc2)c[nH+]1 ZINC001175707181 1121449109 /nfs/dbraw/zinc/44/91/09/1121449109.db2.gz YOEGCTIBOVVFOM-UHFFFAOYSA-N 1 2 294.280 3.699 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ncccc2C2CC2)c[nH+]1 ZINC001175710509 1121449674 /nfs/dbraw/zinc/44/96/74/1121449674.db2.gz FOHAGTYCGGFNQI-UHFFFAOYSA-N 1 2 294.280 3.699 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nccn1C1CC1 ZINC000894023574 1121457264 /nfs/dbraw/zinc/45/72/64/1121457264.db2.gz JXEFZZUFMYVCDS-NTCAYCPXSA-N 1 2 281.403 3.753 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nccn1C1CC1 ZINC000894023574 1121457267 /nfs/dbraw/zinc/45/72/67/1121457267.db2.gz JXEFZZUFMYVCDS-NTCAYCPXSA-N 1 2 281.403 3.753 20 0 CHADLO c1sc(C2CC2)nc1C[NH2+][C@H]1CCCc2cccnc21 ZINC000708228041 1121463634 /nfs/dbraw/zinc/46/36/34/1121463634.db2.gz OIMRTLQJLDATIF-AWEZNQCLSA-N 1 2 285.416 3.583 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cnn(-c2ccccc2)c1 ZINC001175791759 1121465760 /nfs/dbraw/zinc/46/57/60/1121465760.db2.gz YZGQTDKSGWSRLB-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO COc1cc[nH+]cc1Nc1cnn(C2CCCCC2)c1 ZINC001175880995 1121476180 /nfs/dbraw/zinc/47/61/80/1121476180.db2.gz MGRJDNUPDIWHQG-UHFFFAOYSA-N 1 2 272.352 3.536 20 0 CHADLO Cc1cc(NC(C)(C)CC(F)(F)F)nc(C2CC2)[nH+]1 ZINC000682000141 1121478974 /nfs/dbraw/zinc/47/89/74/1121478974.db2.gz ZFNBRVVYVZSBCH-UHFFFAOYSA-N 1 2 273.302 3.805 20 0 CHADLO CCC[NH+](CCC)Cn1nc(SCC)sc1=S ZINC000079032414 1128987247 /nfs/dbraw/zinc/98/72/47/1128987247.db2.gz JNGKRMYARCXBSS-UHFFFAOYSA-N 1 2 291.511 3.866 20 0 CHADLO Cc1cc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc(C)c1N ZINC001175931326 1121500462 /nfs/dbraw/zinc/50/04/62/1121500462.db2.gz HKMODJTZHLFWGN-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO CCc1onc(C)c1C[NH2+]Cc1c(F)cc(C)cc1Cl ZINC000922981734 1121505152 /nfs/dbraw/zinc/50/51/52/1121505152.db2.gz JBSWVHSUCVHOSL-UHFFFAOYSA-N 1 2 296.773 3.936 20 0 CHADLO F[C@H]1CC[N@H+](Cc2nc(C3CC3)cs2)CCC1(F)F ZINC001249526740 1121515138 /nfs/dbraw/zinc/51/51/38/1121515138.db2.gz WTILDNNJTAJHAJ-NSHDSACASA-N 1 2 290.354 3.590 20 0 CHADLO F[C@H]1CC[N@@H+](Cc2nc(C3CC3)cs2)CCC1(F)F ZINC001249526740 1121515142 /nfs/dbraw/zinc/51/51/42/1121515142.db2.gz WTILDNNJTAJHAJ-NSHDSACASA-N 1 2 290.354 3.590 20 0 CHADLO CC(C)(C)SCC[N@H+](CC(F)F)C1CCC1 ZINC001176194213 1121570322 /nfs/dbraw/zinc/57/03/22/1121570322.db2.gz ZOVGHYZVJPFDAG-UHFFFAOYSA-N 1 2 251.386 3.638 20 0 CHADLO CC(C)(C)SCC[N@@H+](CC(F)F)C1CCC1 ZINC001176194213 1121570327 /nfs/dbraw/zinc/57/03/27/1121570327.db2.gz ZOVGHYZVJPFDAG-UHFFFAOYSA-N 1 2 251.386 3.638 20 0 CHADLO FC(F)Oc1ccccc1Nc1ccn2cc[nH+]c2c1 ZINC001176012311 1121525035 /nfs/dbraw/zinc/52/50/35/1121525035.db2.gz XGOMBICUJBXTNB-UHFFFAOYSA-N 1 2 275.258 3.679 20 0 CHADLO Cc1ccc2ncc(C[N@@H+]3CCn4cccc4[C@@H]3C)cc2c1 ZINC000411146274 1121525274 /nfs/dbraw/zinc/52/52/74/1121525274.db2.gz NHOLTAZNGYICRR-HNNXBMFYSA-N 1 2 291.398 3.922 20 0 CHADLO Cc1ccc2ncc(C[N@H+]3CCn4cccc4[C@@H]3C)cc2c1 ZINC000411146274 1121525280 /nfs/dbraw/zinc/52/52/80/1121525280.db2.gz NHOLTAZNGYICRR-HNNXBMFYSA-N 1 2 291.398 3.922 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(-c2ccccn2)cc1 ZINC001176036962 1121527518 /nfs/dbraw/zinc/52/75/18/1121527518.db2.gz JOFKIQUPTIGXGV-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO Cc1c(F)cccc1-c1ccc(C[N@H+]2CCOC[C@@H]2C)o1 ZINC000509367754 1121554608 /nfs/dbraw/zinc/55/46/08/1121554608.db2.gz VJKPITOFADUODL-LBPRGKRZSA-N 1 2 289.350 3.615 20 0 CHADLO Cc1c(F)cccc1-c1ccc(C[N@@H+]2CCOC[C@@H]2C)o1 ZINC000509367754 1121554616 /nfs/dbraw/zinc/55/46/16/1121554616.db2.gz VJKPITOFADUODL-LBPRGKRZSA-N 1 2 289.350 3.615 20 0 CHADLO COc1cc[nH+]cc1Nc1nc2cc(Cl)ccc2o1 ZINC001176119733 1121556142 /nfs/dbraw/zinc/55/61/42/1121556142.db2.gz XHHANIABUNIIER-UHFFFAOYSA-N 1 2 275.695 3.628 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2cc(F)cnc21 ZINC001176124136 1121558660 /nfs/dbraw/zinc/55/86/60/1121558660.db2.gz OAAUUUBIRMEING-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)c(OC)cc1OC ZINC001176121972 1121558833 /nfs/dbraw/zinc/55/88/33/1121558833.db2.gz WESKKGIFANYQTQ-UHFFFAOYSA-N 1 2 294.738 3.504 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)c(Cl)cc1C(C)=O ZINC001176122799 1121559096 /nfs/dbraw/zinc/55/90/96/1121559096.db2.gz AABWZXZRQMNWSR-UHFFFAOYSA-N 1 2 294.713 3.829 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1OCC(F)(F)F ZINC001176124837 1121560193 /nfs/dbraw/zinc/56/01/93/1121560193.db2.gz QZJSPXMYFUFEBQ-UHFFFAOYSA-N 1 2 298.264 3.775 20 0 CHADLO Cc1noc(C)c1Nc1cccc2[nH+]c(C)ccc12 ZINC001176180652 1121565971 /nfs/dbraw/zinc/56/59/71/1121565971.db2.gz VEJVIWRXWMNMAZ-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)CCC3=O)c1 ZINC001176231886 1121582011 /nfs/dbraw/zinc/58/20/11/1121582011.db2.gz JMHLDYPQVOKSAQ-UHFFFAOYSA-N 1 2 266.344 3.907 20 0 CHADLO CC(C)(CC[NH2+][C@H](c1ncn[nH]1)C1CCCCC1)C1CC1 ZINC000556252461 1128996502 /nfs/dbraw/zinc/99/65/02/1128996502.db2.gz FJRIKBNMLGOHAU-HNNXBMFYSA-N 1 2 290.455 3.842 20 0 CHADLO CC[C@@H](COc1ccc2c(c1)[nH+]c(C)n2C)CC(F)F ZINC000664046549 1121606457 /nfs/dbraw/zinc/60/64/57/1121606457.db2.gz GLSRJNVWGNCLDQ-LLVKDONJSA-N 1 2 282.334 3.942 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc2cc(O)ccc2c1 ZINC001176384628 1121607068 /nfs/dbraw/zinc/60/70/68/1121607068.db2.gz SKOAUUCLTMKVQX-UHFFFAOYSA-N 1 2 266.300 3.698 20 0 CHADLO Cc1cc(N(C)CC(F)(F)F)[nH+]c2ccccc12 ZINC001163710529 1121629492 /nfs/dbraw/zinc/62/94/92/1121629492.db2.gz YXLJLRIHIPLNAN-UHFFFAOYSA-N 1 2 254.255 3.542 20 0 CHADLO CCN(C)C(=O)c1cccc(Nc2ccc(C)[nH+]c2C)c1 ZINC001176462791 1121633444 /nfs/dbraw/zinc/63/34/44/1121633444.db2.gz MJBWGKOKSXISEU-UHFFFAOYSA-N 1 2 283.375 3.534 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cc(OC(C)C)ncc2F)c1 ZINC001176480648 1121635928 /nfs/dbraw/zinc/63/59/28/1121635928.db2.gz LTFMJSJGJFXUSM-UHFFFAOYSA-N 1 2 275.327 3.763 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cccc(OCC2CC2)c1 ZINC001176492504 1121638737 /nfs/dbraw/zinc/63/87/37/1121638737.db2.gz XADIYVKKQRGIAC-UHFFFAOYSA-N 1 2 270.332 3.628 20 0 CHADLO Cc1c(N)c(F)ccc1Nc1[nH+]cccc1C1CC1 ZINC001249634565 1121643083 /nfs/dbraw/zinc/64/30/83/1121643083.db2.gz KFEIOEGPCCYPHG-UHFFFAOYSA-N 1 2 257.312 3.732 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)Cc1cccs1)C(=O)OC(C)(C)C ZINC000611475750 1121651349 /nfs/dbraw/zinc/65/13/49/1121651349.db2.gz GFDZVDWITZPLPK-JSGCOSHPSA-N 1 2 297.464 3.635 20 0 CHADLO COc1cc(Nc2cn(C)nc2-c2ccccc2)cc(C)[nH+]1 ZINC001176567433 1121656499 /nfs/dbraw/zinc/65/64/99/1121656499.db2.gz DPBVRAUQGZXHNR-UHFFFAOYSA-N 1 2 294.358 3.543 20 0 CHADLO COCc1nc(C[N@H+](C)Cc2cccc(C)c2C)cs1 ZINC000428753276 1121657897 /nfs/dbraw/zinc/65/78/97/1121657897.db2.gz WJAOGBYGNDFLNO-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO COCc1nc(C[N@@H+](C)Cc2cccc(C)c2C)cs1 ZINC000428753276 1121657902 /nfs/dbraw/zinc/65/79/02/1121657902.db2.gz WJAOGBYGNDFLNO-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2C[C@@H]3CCCC[C@@H]32)c1 ZINC000664079638 1121669603 /nfs/dbraw/zinc/66/96/03/1121669603.db2.gz DHMQRCFFKZGWGD-WFASDCNBSA-N 1 2 256.324 3.753 20 0 CHADLO Cc1cn2cc(Nc3c(F)cc(F)c(O)c3F)ccc2[nH+]1 ZINC001176663435 1121669962 /nfs/dbraw/zinc/66/99/62/1121669962.db2.gz JZIFVSJZPDRAIZ-UHFFFAOYSA-N 1 2 293.248 3.509 20 0 CHADLO COCC[C@H](C)[N@@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000493999313 1121672239 /nfs/dbraw/zinc/67/22/39/1121672239.db2.gz IAVCPTGFIJOZDA-LBPRGKRZSA-N 1 2 292.810 3.535 20 0 CHADLO COCC[C@H](C)[N@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000493999313 1121672243 /nfs/dbraw/zinc/67/22/43/1121672243.db2.gz IAVCPTGFIJOZDA-LBPRGKRZSA-N 1 2 292.810 3.535 20 0 CHADLO C[N@H+](Cc1scnc1C1CC1)Cc1ccccc1F ZINC000495082767 1121676696 /nfs/dbraw/zinc/67/66/96/1121676696.db2.gz XNQLQTHSIFCECQ-UHFFFAOYSA-N 1 2 276.380 3.792 20 0 CHADLO C[N@@H+](Cc1scnc1C1CC1)Cc1ccccc1F ZINC000495082767 1121676698 /nfs/dbraw/zinc/67/66/98/1121676698.db2.gz XNQLQTHSIFCECQ-UHFFFAOYSA-N 1 2 276.380 3.792 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(Cl)cc1 ZINC001176729301 1121682282 /nfs/dbraw/zinc/68/22/82/1121682282.db2.gz GTQQGZIEVKQAPJ-UHFFFAOYSA-N 1 2 257.724 3.570 20 0 CHADLO CC(C)Oc1ncccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176730100 1121683313 /nfs/dbraw/zinc/68/33/13/1121683313.db2.gz BGBFYHROBNJSQU-UHFFFAOYSA-N 1 2 298.390 3.608 20 0 CHADLO COc1cccc(C)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001176751171 1121688229 /nfs/dbraw/zinc/68/82/29/1121688229.db2.gz XLMVJFCGJMTARP-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO FC(F)(F)c1ncc2n1CC[N@H+]([C@@H]1C=CCCCCC1)C2 ZINC001176709497 1121689033 /nfs/dbraw/zinc/68/90/33/1121689033.db2.gz HWXGJBBWIJRZLZ-GFCCVEGCSA-N 1 2 299.340 3.606 20 0 CHADLO FC(F)(F)c1ncc2n1CC[N@@H+]([C@@H]1C=CCCCCC1)C2 ZINC001176709497 1121689036 /nfs/dbraw/zinc/68/90/36/1121689036.db2.gz HWXGJBBWIJRZLZ-GFCCVEGCSA-N 1 2 299.340 3.606 20 0 CHADLO CCCCCCc1ccc(NC(=O)Cc2c[nH]c[nH+]2)cc1 ZINC001176831850 1121697077 /nfs/dbraw/zinc/69/70/77/1121697077.db2.gz AQVOPUQVVDZJJC-UHFFFAOYSA-N 1 2 285.391 3.714 20 0 CHADLO CC(C)OC(=O)C(C)(C)[NH2+]CCCc1ccc(Cl)cc1 ZINC001176837307 1121697230 /nfs/dbraw/zinc/69/72/30/1121697230.db2.gz LJEPHBDFZZHUJS-UHFFFAOYSA-N 1 2 297.826 3.592 20 0 CHADLO CCc1ccc2c(c1)CC[N@H+]([C@H](C)c1ncc(C)o1)C2 ZINC001177009148 1121705840 /nfs/dbraw/zinc/70/58/40/1121705840.db2.gz YTGKZCFJNGAYHZ-CYBMUJFWSA-N 1 2 270.376 3.665 20 0 CHADLO CCc1ccc2c(c1)CC[N@@H+]([C@H](C)c1ncc(C)o1)C2 ZINC001177009148 1121705846 /nfs/dbraw/zinc/70/58/46/1121705846.db2.gz YTGKZCFJNGAYHZ-CYBMUJFWSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@@H](C)c1cccc(F)c1 ZINC000727222259 1121707632 /nfs/dbraw/zinc/70/76/32/1121707632.db2.gz JHGCITJCECQRLR-NSHDSACASA-N 1 2 295.789 3.714 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@@H](C)c1cccc(F)c1 ZINC000727222259 1121707641 /nfs/dbraw/zinc/70/76/41/1121707641.db2.gz JHGCITJCECQRLR-NSHDSACASA-N 1 2 295.789 3.714 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)Cc1ccc(C)cc1C ZINC000727222400 1121708001 /nfs/dbraw/zinc/70/80/01/1121708001.db2.gz YZIHAKZISDQVFT-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)Cc1ccc(C)cc1C ZINC000727222400 1121708009 /nfs/dbraw/zinc/70/80/09/1121708009.db2.gz YZIHAKZISDQVFT-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO CC(C)(CC1CCCCCC1)NC(=O)CCc1c[nH]c[nH+]1 ZINC000527003507 1121727999 /nfs/dbraw/zinc/72/79/99/1121727999.db2.gz BSASCNGHEAOLEF-UHFFFAOYSA-N 1 2 291.439 3.598 20 0 CHADLO CC(C)(CC1CCCCCC1)NC(=O)CCc1c[nH+]c[nH]1 ZINC000527003507 1121728006 /nfs/dbraw/zinc/72/80/06/1121728006.db2.gz BSASCNGHEAOLEF-UHFFFAOYSA-N 1 2 291.439 3.598 20 0 CHADLO CC(C)(C)[NH+]1CC(Oc2cc(C(F)(F)F)ccc2F)C1 ZINC001225331750 1121736371 /nfs/dbraw/zinc/73/63/71/1121736371.db2.gz IXPAQJPWGFNCJK-UHFFFAOYSA-N 1 2 291.288 3.706 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1c(F)cccc1F)C2 ZINC001204206832 1121760689 /nfs/dbraw/zinc/76/06/89/1121760689.db2.gz CTAAPXJPVRCJPG-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1c(F)cccc1F)C2 ZINC001204206832 1121760692 /nfs/dbraw/zinc/76/06/92/1121760692.db2.gz CTAAPXJPVRCJPG-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO c1[nH]nc2c1cccc2CNc1ccc([NH+]2CCCC2)cc1 ZINC001178124535 1121769388 /nfs/dbraw/zinc/76/93/88/1121769388.db2.gz IUAIHKZHYOKUMH-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO Cc1cc(N2[C@H](C)C[C@H]3CCCC[C@H]32)nc(C2CC2)[nH+]1 ZINC000433767016 1121806587 /nfs/dbraw/zinc/80/65/87/1121806587.db2.gz QWQYGHWXJJOPQN-BPLDGKMQSA-N 1 2 271.408 3.820 20 0 CHADLO CNc1ccc(Nc2ccc(C(F)(F)F)nc2C)c[nH+]1 ZINC001203449107 1121836627 /nfs/dbraw/zinc/83/66/27/1121836627.db2.gz ABWAFEJCBVCJOM-UHFFFAOYSA-N 1 2 282.269 3.589 20 0 CHADLO CNc1ccc(Nc2cccc3cc[nH+]cc32)c(F)n1 ZINC001203358646 1121844204 /nfs/dbraw/zinc/84/42/04/1121844204.db2.gz ULURKJRHEVBLKT-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO CC[C@@H](N[C@H](C)c1ccc(-n2cc[nH+]c2)cc1)C(F)(F)F ZINC000345159509 1121851043 /nfs/dbraw/zinc/85/10/43/1121851043.db2.gz HPGLMHMYEZKIAW-BXUZGUMPSA-N 1 2 297.324 3.864 20 0 CHADLO c1cc2nsnc2c(CNc2c[nH+]cc3c2CCCC3)c1 ZINC001179148715 1121853973 /nfs/dbraw/zinc/85/39/73/1121853973.db2.gz SUWHXXYLMWAFMJ-UHFFFAOYSA-N 1 2 296.399 3.577 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccoc1 ZINC000182954347 1129014449 /nfs/dbraw/zinc/01/44/49/1129014449.db2.gz VSFKFDMHJLWGPW-GFCCVEGCSA-N 1 2 256.349 3.835 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccoc1 ZINC000182954347 1129014453 /nfs/dbraw/zinc/01/44/53/1129014453.db2.gz VSFKFDMHJLWGPW-GFCCVEGCSA-N 1 2 256.349 3.835 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nc2c(s1)CCC2)c1ccco1 ZINC000345304256 1121871285 /nfs/dbraw/zinc/87/12/85/1121871285.db2.gz QYBKCQBYUHXXGD-UWVGGRQHSA-N 1 2 262.378 3.637 20 0 CHADLO Cc1cc(C)c(/C=C/C[NH2+][C@@H](C)C(F)F)c(C)c1 ZINC001179438114 1121877303 /nfs/dbraw/zinc/87/73/03/1121877303.db2.gz RFHOXRFPNRYOKE-GFUIURDCSA-N 1 2 253.336 3.868 20 0 CHADLO Cc1cc(C)c(/C=C\C[NH2+][C@@H](C)C(F)F)c(C)c1 ZINC001179438115 1121877917 /nfs/dbraw/zinc/87/79/17/1121877917.db2.gz RFHOXRFPNRYOKE-SZZPACECSA-N 1 2 253.336 3.868 20 0 CHADLO Cc1ccc(C[NH2+]Cc2noc3cc(F)ccc23)c(F)c1 ZINC001179430341 1121878120 /nfs/dbraw/zinc/87/81/20/1121878120.db2.gz YGALOTKCWPIHOL-UHFFFAOYSA-N 1 2 288.297 3.704 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1ccoc1 ZINC001204245129 1121883176 /nfs/dbraw/zinc/88/31/76/1121883176.db2.gz YEWTXORNXYVVHJ-INIZCTEOSA-N 1 2 271.360 3.763 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ccoc1 ZINC001204245129 1121883187 /nfs/dbraw/zinc/88/31/87/1121883187.db2.gz YEWTXORNXYVVHJ-INIZCTEOSA-N 1 2 271.360 3.763 20 0 CHADLO COc1cccc2[nH]c(NCc3cc(C)ccc3C)[nH+]c21 ZINC001179497397 1121885342 /nfs/dbraw/zinc/88/53/42/1121885342.db2.gz DGCREFQSFZVUFZ-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO CCc1ccc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)cc1 ZINC001179579081 1121888203 /nfs/dbraw/zinc/88/82/03/1121888203.db2.gz IWENGKRGYTXXIC-AEFFLSMTSA-N 1 2 285.362 3.810 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@H](C)c1ccc(OC(C)C)cc1 ZINC000821971571 1121941464 /nfs/dbraw/zinc/94/14/64/1121941464.db2.gz RNXQNCSFKBRIKG-NEPJUHHUSA-N 1 2 289.379 3.577 20 0 CHADLO O=C(Nc1ccc(F)cc1)Nc1ccccc1-n1cc[nH+]c1 ZINC000039338998 1121941391 /nfs/dbraw/zinc/94/13/91/1121941391.db2.gz PEMHFUUCLMUNNZ-UHFFFAOYSA-N 1 2 296.305 3.655 20 0 CHADLO CCc1cccc(F)c1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC001180057961 1121944713 /nfs/dbraw/zinc/94/47/13/1121944713.db2.gz LGGJWNVUJIBIKK-LJQANCHMSA-N 1 2 299.389 3.962 20 0 CHADLO CCc1cccc(F)c1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC001180057961 1121944718 /nfs/dbraw/zinc/94/47/18/1121944718.db2.gz LGGJWNVUJIBIKK-LJQANCHMSA-N 1 2 299.389 3.962 20 0 CHADLO CCC1(CC)CN(Cc2ccc[nH+]c2N)c2ccccc21 ZINC001180759364 1122012890 /nfs/dbraw/zinc/01/28/90/1122012890.db2.gz QYNZULAZJMEMMJ-UHFFFAOYSA-N 1 2 281.403 3.742 20 0 CHADLO Cc1nc2ccccc2cc1Nc1ccn2cc[nH+]c2c1 ZINC001204958689 1122015093 /nfs/dbraw/zinc/01/50/93/1122015093.db2.gz ANXKJJLKEMPALX-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]CCSC2CCCCC2)no1 ZINC000501871531 1122019759 /nfs/dbraw/zinc/01/97/59/1122019759.db2.gz PRKMEEBXKYRREY-UHFFFAOYSA-N 1 2 297.468 3.523 20 0 CHADLO Cc1cc(CNC(=O)N(C)[C@H](C)c2ccccc2)cc(C)[nH+]1 ZINC000420847989 1122038017 /nfs/dbraw/zinc/03/80/17/1122038017.db2.gz LMSPFIZFMSHTNN-OAHLLOKOSA-N 1 2 297.402 3.601 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H](CC(F)(F)F)C2)cs1 ZINC000420951348 1122048263 /nfs/dbraw/zinc/04/82/63/1122048263.db2.gz QRCNCGGCQSXAKF-JTQLQIEISA-N 1 2 278.343 3.616 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H](CC(F)(F)F)C2)cs1 ZINC000420951348 1122048268 /nfs/dbraw/zinc/04/82/68/1122048268.db2.gz QRCNCGGCQSXAKF-JTQLQIEISA-N 1 2 278.343 3.616 20 0 CHADLO Cc1ccc(Nc2cccc(C(F)(F)F)n2)c(C)[nH+]1 ZINC001203367403 1122065870 /nfs/dbraw/zinc/06/58/70/1122065870.db2.gz LBQLKDRGWKRBIY-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[NH2+][C@@H](C)c1nccs1 ZINC000414495679 1122068370 /nfs/dbraw/zinc/06/83/70/1122068370.db2.gz OFAGDKBSCDYUIK-NSHDSACASA-N 1 2 292.452 3.562 20 0 CHADLO FC[C@@H]([NH2+][C@@H]1CCCc2cccnc21)c1ccc(F)cc1 ZINC000631798063 1129029340 /nfs/dbraw/zinc/02/93/40/1129029340.db2.gz MHNXPCKJOIKLKR-HZPDHXFCSA-N 1 2 288.341 3.899 20 0 CHADLO Cc1ccc(Nc2c(N)cc(Cl)nc2Cl)c(C)[nH+]1 ZINC001203368285 1122082207 /nfs/dbraw/zinc/08/22/07/1122082207.db2.gz WUBFFQQROZKAQC-UHFFFAOYSA-N 1 2 283.162 3.726 20 0 CHADLO CC[C@H](Cc1ccc(Cl)cc1)[NH2+][C@@H](C)C(=O)OC(C)C ZINC001181828465 1122082233 /nfs/dbraw/zinc/08/22/33/1122082233.db2.gz TUZSUMRWNSFYFF-SWLSCSKDSA-N 1 2 297.826 3.591 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(C(F)(F)F)nc2Cl)[C@H]1C ZINC001182062345 1122109226 /nfs/dbraw/zinc/10/92/26/1122109226.db2.gz MHBOTIMADVCYSY-YUMQZZPRSA-N 1 2 278.705 3.594 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(C(F)(F)F)nc2Cl)[C@H]1C ZINC001182062345 1122109230 /nfs/dbraw/zinc/10/92/30/1122109230.db2.gz MHBOTIMADVCYSY-YUMQZZPRSA-N 1 2 278.705 3.594 20 0 CHADLO CCCc1noc(C[NH2+][C@]2(c3cccc(C)c3)C[C@@H]2CC)n1 ZINC000502580390 1122115500 /nfs/dbraw/zinc/11/55/00/1122115500.db2.gz HFUFKJVZYPVBEH-KBXCAEBGSA-N 1 2 299.418 3.746 20 0 CHADLO CC(C)(C)OC(=O)CCCC[N@@H+]1CCCC[C@@H]1C(F)F ZINC000502862841 1122153649 /nfs/dbraw/zinc/15/36/49/1122153649.db2.gz OJWYSQPWDCHEHO-GFCCVEGCSA-N 1 2 291.382 3.618 20 0 CHADLO CC(C)(C)OC(=O)CCCC[N@H+]1CCCC[C@@H]1C(F)F ZINC000502862841 1122153652 /nfs/dbraw/zinc/15/36/52/1122153652.db2.gz OJWYSQPWDCHEHO-GFCCVEGCSA-N 1 2 291.382 3.618 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1CCCc1ccc2c(c1)CCO2 ZINC000503122231 1122167324 /nfs/dbraw/zinc/16/73/24/1122167324.db2.gz PAHPICLRBKJCAH-HNNXBMFYSA-N 1 2 295.373 3.674 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1CCCc1ccc2c(c1)CCO2 ZINC000503122231 1122167328 /nfs/dbraw/zinc/16/73/28/1122167328.db2.gz PAHPICLRBKJCAH-HNNXBMFYSA-N 1 2 295.373 3.674 20 0 CHADLO C[C@@H]1CC(C)(C)C[C@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC001182500917 1122171185 /nfs/dbraw/zinc/17/11/85/1122171185.db2.gz XKYSYOQXBKJLEN-UKRRQHHQSA-N 1 2 273.424 3.918 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(Cl)s1)c1c(F)cccc1F ZINC000271790594 1122174870 /nfs/dbraw/zinc/17/48/70/1122174870.db2.gz QMKMUJHZOPMKMX-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO Clc1ccnc(Cl)c1CNc1cc2cc[nH]c2c[nH+]1 ZINC001182625237 1122178206 /nfs/dbraw/zinc/17/82/06/1122178206.db2.gz MDWQJHFTAWAYFF-UHFFFAOYSA-N 1 2 293.157 3.877 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccc(F)cn1 ZINC000272077726 1122189296 /nfs/dbraw/zinc/18/92/96/1122189296.db2.gz DZGWDBYRQULNGI-GFCCVEGCSA-N 1 2 285.366 3.776 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccc(F)cn1 ZINC000272077726 1122189297 /nfs/dbraw/zinc/18/92/97/1122189297.db2.gz DZGWDBYRQULNGI-GFCCVEGCSA-N 1 2 285.366 3.776 20 0 CHADLO COc1c(O)cccc1C[N@H+](C)[C@@H](C)c1ccccc1F ZINC000272233188 1122194505 /nfs/dbraw/zinc/19/45/05/1122194505.db2.gz FCVDHGFYLJMBCP-LBPRGKRZSA-N 1 2 289.350 3.733 20 0 CHADLO COc1c(O)cccc1C[N@@H+](C)[C@@H](C)c1ccccc1F ZINC000272233188 1122194507 /nfs/dbraw/zinc/19/45/07/1122194507.db2.gz FCVDHGFYLJMBCP-LBPRGKRZSA-N 1 2 289.350 3.733 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccc2c(c1)COC2 ZINC000272233506 1122195114 /nfs/dbraw/zinc/19/51/14/1122195114.db2.gz JFUPYSKDJLODCC-CYBMUJFWSA-N 1 2 282.387 3.956 20 0 CHADLO C[C@H](Nc1cccc2c1CN(c1cccc[nH+]1)C2)C1CC1 ZINC000272301772 1122197645 /nfs/dbraw/zinc/19/76/45/1122197645.db2.gz RKYWPOGBLVGSIV-ZDUSSCGKSA-N 1 2 279.387 3.812 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](C/C=C\c1ccc(F)cc1)C2 ZINC001182969799 1122198055 /nfs/dbraw/zinc/19/80/55/1122198055.db2.gz KEIQQDQDXNIRNL-UPHRSURJSA-N 1 2 269.319 3.560 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](C/C=C\c1ccc(F)cc1)C2 ZINC001182969799 1122198058 /nfs/dbraw/zinc/19/80/58/1122198058.db2.gz KEIQQDQDXNIRNL-UPHRSURJSA-N 1 2 269.319 3.560 20 0 CHADLO COC[C@H](C)CNc1ccc(Nc2ccccc2)c[nH+]1 ZINC001182979356 1122200981 /nfs/dbraw/zinc/20/09/81/1122200981.db2.gz WBDMMJDHQQVNHB-CYBMUJFWSA-N 1 2 271.364 3.520 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CCOC2(CCCC2)C1 ZINC000272472510 1122203710 /nfs/dbraw/zinc/20/37/10/1122203710.db2.gz FSRBNFOMDKGPCV-CYBMUJFWSA-N 1 2 286.375 3.534 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CCOC2(CCCC2)C1 ZINC000272472510 1122203717 /nfs/dbraw/zinc/20/37/17/1122203717.db2.gz FSRBNFOMDKGPCV-CYBMUJFWSA-N 1 2 286.375 3.534 20 0 CHADLO CO[C@H](c1ccccc1)[C@@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC001183527349 1122226214 /nfs/dbraw/zinc/22/62/14/1122226214.db2.gz VHLQEVPZCUYQCO-PBHICJAKSA-N 1 2 270.376 3.887 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)cc2c1OCC2)c1cscn1 ZINC000273389674 1122231162 /nfs/dbraw/zinc/23/11/62/1122231162.db2.gz DHSJLLWIAZJXHG-VIFPVBQESA-N 1 2 294.807 3.582 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)ccc1OC)c1nc(C)cs1 ZINC000273505369 1122236214 /nfs/dbraw/zinc/23/62/14/1122236214.db2.gz NNOZNLSJRVHOCL-CYBMUJFWSA-N 1 2 294.395 3.840 20 0 CHADLO CC(C)c1nc(C[NH2+][C@H](C)c2cncs2)cs1 ZINC000153255787 1122248521 /nfs/dbraw/zinc/24/85/21/1122248521.db2.gz LDRUNRUTKIQOBT-SECBINFHSA-N 1 2 267.423 3.574 20 0 CHADLO CCc1cc(N(C)Cc2ccc(F)cc2F)nc(CC)[nH+]1 ZINC001184740962 1122296007 /nfs/dbraw/zinc/29/60/07/1122296007.db2.gz YGOOAZIRSMBIMF-UHFFFAOYSA-N 1 2 291.345 3.516 20 0 CHADLO CC(C)n1ncnc1C[N@H+](C1CC1)[C@@H]1CCc2ccccc21 ZINC000434478695 1122370982 /nfs/dbraw/zinc/37/09/82/1122370982.db2.gz WASZZHMSSUPDCS-QGZVFWFLSA-N 1 2 296.418 3.511 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](C1CC1)[C@@H]1CCc2ccccc21 ZINC000434478695 1122370990 /nfs/dbraw/zinc/37/09/90/1122370990.db2.gz WASZZHMSSUPDCS-QGZVFWFLSA-N 1 2 296.418 3.511 20 0 CHADLO CCc1nc(N2Cc3ccccc3C[C@@H]2CC)cc(C)[nH+]1 ZINC001186034005 1122372776 /nfs/dbraw/zinc/37/27/76/1122372776.db2.gz HGEASMLURFOQBL-INIZCTEOSA-N 1 2 281.403 3.689 20 0 CHADLO Cc1nc(NCC2CC(C)(C)C2)c2c([nH+]1)CCCC2 ZINC001186143855 1122377385 /nfs/dbraw/zinc/37/73/85/1122377385.db2.gz GDBPBFRQMZPWJC-UHFFFAOYSA-N 1 2 259.397 3.512 20 0 CHADLO Cc1cc(NC[C@H]2COc3ccccc32)nc(C2CCC2)[nH+]1 ZINC001186633669 1122401863 /nfs/dbraw/zinc/40/18/63/1122401863.db2.gz UVLOOGMHMWNHFG-AWEZNQCLSA-N 1 2 295.386 3.641 20 0 CHADLO COCc1cnc(C[N@H+](C)Cc2cccc(C)c2C)s1 ZINC000430094236 1122402103 /nfs/dbraw/zinc/40/21/03/1122402103.db2.gz IXKKYTSUDHJLPV-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO COCc1cnc(C[N@@H+](C)Cc2cccc(C)c2C)s1 ZINC000430094236 1122402105 /nfs/dbraw/zinc/40/21/05/1122402105.db2.gz IXKKYTSUDHJLPV-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO C[NH+](C)c1ccc(N[C@H](c2cncc(F)c2)C2CC2)cc1 ZINC000281631120 1122411813 /nfs/dbraw/zinc/41/18/13/1122411813.db2.gz OISZOGVJSXLZGH-KRWDZBQOSA-N 1 2 285.366 3.850 20 0 CHADLO CC(C)[C@@]1(C)C[C@H]1C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000775078336 1122418063 /nfs/dbraw/zinc/41/80/63/1122418063.db2.gz RBUHMOZRQHVKOV-FUHWJXTLSA-N 1 2 298.386 3.598 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(F)c(Br)c2)c1 ZINC001203654049 1122419119 /nfs/dbraw/zinc/41/91/19/1122419119.db2.gz BRNYGGFYIXPAJF-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(C)nc(Cl)nc2Cl)c1 ZINC001203654077 1122419319 /nfs/dbraw/zinc/41/93/19/1122419319.db2.gz CKANCCGBJFBNED-UHFFFAOYSA-N 1 2 283.162 3.847 20 0 CHADLO CC(C)c1cc(N2CC(CC3CC3)C2)nc(C(C)C)[nH+]1 ZINC001187141906 1122422057 /nfs/dbraw/zinc/42/20/57/1122422057.db2.gz YNMWNGUVOSDOCD-UHFFFAOYSA-N 1 2 273.424 3.960 20 0 CHADLO COc1ccc2cc(Nc3cc(C)c[nH+]c3C)cnc2c1 ZINC001203655834 1122424000 /nfs/dbraw/zinc/42/40/00/1122424000.db2.gz COKKHDYYMLHYBP-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c[nH]c3cc(=O)ccc-3c2)c1 ZINC001203657066 1122424739 /nfs/dbraw/zinc/42/47/39/1122424739.db2.gz HXTKWBVUAQQYQD-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(C)cc(=O)oc3c2)c1 ZINC001203660750 1122425960 /nfs/dbraw/zinc/42/59/60/1122425960.db2.gz LCRSDHLRXZMICA-UHFFFAOYSA-N 1 2 280.327 3.857 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(Br)cc2O)c1 ZINC001203660126 1122427284 /nfs/dbraw/zinc/42/72/84/1122427284.db2.gz DHUBXMPDSRZKSY-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Clc1ccc2ncc(C[N@@H+]3CC[C@H]3c3ccccc3)n2c1 ZINC000434992471 1122427464 /nfs/dbraw/zinc/42/74/64/1122427464.db2.gz SNQUDHDQRNLMHX-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccc2ncc(C[N@H+]3CC[C@H]3c3ccccc3)n2c1 ZINC000434992471 1122427465 /nfs/dbraw/zinc/42/74/65/1122427465.db2.gz SNQUDHDQRNLMHX-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@@H+]1Cc1cc(C)ccc1OC(F)F ZINC000505598300 1122432380 /nfs/dbraw/zinc/43/23/80/1122432380.db2.gz WDGMPSGJYNBZJX-OCCSQVGLSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@H+]1Cc1cc(C)ccc1OC(F)F ZINC000505598300 1122432385 /nfs/dbraw/zinc/43/23/85/1122432385.db2.gz WDGMPSGJYNBZJX-OCCSQVGLSA-N 1 2 299.361 3.596 20 0 CHADLO CCc1ccccc1NC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC001187611773 1122433432 /nfs/dbraw/zinc/43/34/32/1122433432.db2.gz UEHGBSQULKPHJQ-UHFFFAOYSA-N 1 2 294.358 3.849 20 0 CHADLO FC(F)CC1C[NH+](Cc2cc(Br)cs2)C1 ZINC000425361641 1122461611 /nfs/dbraw/zinc/46/16/11/1122461611.db2.gz YCHCQBVIHOEOBB-UHFFFAOYSA-N 1 2 296.180 3.598 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@@H+]1Cc1cnc(C2CCCC2)s1 ZINC000505605687 1122435100 /nfs/dbraw/zinc/43/51/00/1122435100.db2.gz DDUITXPYHMRBFI-OCCSQVGLSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@H+]1Cc1cnc(C2CCCC2)s1 ZINC000505605687 1122435101 /nfs/dbraw/zinc/43/51/01/1122435101.db2.gz DDUITXPYHMRBFI-OCCSQVGLSA-N 1 2 294.464 3.800 20 0 CHADLO CNc1cc(CNc2cc(C(C)C)nc(C(C)C)n2)cc[nH+]1 ZINC001187686013 1122438370 /nfs/dbraw/zinc/43/83/70/1122438370.db2.gz HNUNZQUSJOMLOQ-UHFFFAOYSA-N 1 2 299.422 3.772 20 0 CHADLO COc1ccsc1C[N@H+](C)Cc1ccccc1F ZINC000505615516 1122439193 /nfs/dbraw/zinc/43/91/93/1122439193.db2.gz ZXSNUKXCSKQVMV-UHFFFAOYSA-N 1 2 265.353 3.528 20 0 CHADLO COc1ccsc1C[N@@H+](C)Cc1ccccc1F ZINC000505615516 1122439194 /nfs/dbraw/zinc/43/91/94/1122439194.db2.gz ZXSNUKXCSKQVMV-UHFFFAOYSA-N 1 2 265.353 3.528 20 0 CHADLO CC(=O)c1ccc(F)cc1Nc1cc(C)c[nH+]c1C ZINC001203666705 1122439221 /nfs/dbraw/zinc/43/92/21/1122439221.db2.gz BXYIOCYFHUVXSC-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1cc(N2CC[C@@H]2C(C)(C)C)nc(C2CCC2)[nH+]1 ZINC001187903020 1122445165 /nfs/dbraw/zinc/44/51/65/1122445165.db2.gz BSLSUBCYOSYDKD-CYBMUJFWSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2ccncc2)c(Cl)n1 ZINC000688609923 1129055328 /nfs/dbraw/zinc/05/53/28/1129055328.db2.gz FWZLLHADCWPGHQ-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2ccncc2)c(Cl)n1 ZINC000688609923 1129055329 /nfs/dbraw/zinc/05/53/29/1129055329.db2.gz FWZLLHADCWPGHQ-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO CCCCN(Cc1c[nH+]cn1C(C)C)CC(F)(F)F ZINC000425326815 1122456512 /nfs/dbraw/zinc/45/65/12/1122456512.db2.gz OKLBMDZRDKHEOQ-UHFFFAOYSA-N 1 2 277.334 3.628 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000163190046 1129056207 /nfs/dbraw/zinc/05/62/07/1129056207.db2.gz NKWGEGKWWQPYBP-SNVBAGLBSA-N 1 2 274.295 3.753 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000163190046 1129056211 /nfs/dbraw/zinc/05/62/11/1129056211.db2.gz NKWGEGKWWQPYBP-SNVBAGLBSA-N 1 2 274.295 3.753 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccccc1OCC1CC1 ZINC000505706834 1122474214 /nfs/dbraw/zinc/47/42/14/1122474214.db2.gz PVGYEKZVRYVPRX-HNNXBMFYSA-N 1 2 296.414 3.854 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccccc1OCC1CC1 ZINC000505706834 1122474217 /nfs/dbraw/zinc/47/42/17/1122474217.db2.gz PVGYEKZVRYVPRX-HNNXBMFYSA-N 1 2 296.414 3.854 20 0 CHADLO CC(C)(c1ccc(CCn2cc[nH+]c2)cc1)C(F)(F)F ZINC001250320172 1122492766 /nfs/dbraw/zinc/49/27/66/1122492766.db2.gz ZHIPBHRPDBKOTG-UHFFFAOYSA-N 1 2 282.309 3.966 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)[C@@H](C)c1ccc(F)cc1 ZINC000505778420 1122526637 /nfs/dbraw/zinc/52/66/37/1122526637.db2.gz VFVPCROCCAUANP-LBPRGKRZSA-N 1 2 281.371 3.550 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)[C@@H](C)c1ccc(F)cc1 ZINC000505778420 1122526642 /nfs/dbraw/zinc/52/66/42/1122526642.db2.gz VFVPCROCCAUANP-LBPRGKRZSA-N 1 2 281.371 3.550 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccccc1 ZINC000775390374 1122540150 /nfs/dbraw/zinc/54/01/50/1122540150.db2.gz LPLUEMQQANBUCQ-QGZVFWFLSA-N 1 2 287.407 3.782 20 0 CHADLO Nc1cccc2c1N(c1ccc([NH+]3CCCCC3)cc1)CC2 ZINC001203725996 1122551387 /nfs/dbraw/zinc/55/13/87/1122551387.db2.gz QQZRFGPARXKOQX-UHFFFAOYSA-N 1 2 293.414 3.953 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)C(C2CC2)C2CC2)c(C)[nH+]1 ZINC000436497020 1122554480 /nfs/dbraw/zinc/55/44/80/1122554480.db2.gz RGTMYXNLLODECN-UHFFFAOYSA-N 1 2 287.407 3.659 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)NC1CCCCCC1 ZINC001191237181 1122586766 /nfs/dbraw/zinc/58/67/66/1122586766.db2.gz YJQASRBGLPWUJL-UHFFFAOYSA-N 1 2 298.390 3.717 20 0 CHADLO COC(=O)[C@@H](c1cccc(Cl)c1)[N@@H+]1CC[C@@H](C(C)C)C1 ZINC001191539755 1122604298 /nfs/dbraw/zinc/60/42/98/1122604298.db2.gz GKKUEBLDBXDQRP-UKRRQHHQSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@@H](c1cccc(Cl)c1)[N@H+]1CC[C@@H](C(C)C)C1 ZINC001191539755 1122604300 /nfs/dbraw/zinc/60/43/00/1122604300.db2.gz GKKUEBLDBXDQRP-UKRRQHHQSA-N 1 2 295.810 3.532 20 0 CHADLO CC[N@H+](CCC[C@H]1CCOC1)c1cccc(C)c1C ZINC001191664548 1122609804 /nfs/dbraw/zinc/60/98/04/1122609804.db2.gz VWCZGZNNLLEQJC-INIZCTEOSA-N 1 2 261.409 3.946 20 0 CHADLO CC[N@@H+](CCC[C@H]1CCOC1)c1cccc(C)c1C ZINC001191664548 1122609807 /nfs/dbraw/zinc/60/98/07/1122609807.db2.gz VWCZGZNNLLEQJC-INIZCTEOSA-N 1 2 261.409 3.946 20 0 CHADLO c1cc2c(o1)CCC[C@@H]2[NH2+]Cc1nc2ccccc2o1 ZINC000638360256 1122621991 /nfs/dbraw/zinc/62/19/91/1122621991.db2.gz WESGXPRQUUQOQT-LBPRGKRZSA-N 1 2 268.316 3.588 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+]1CCC=C(Br)C1 ZINC000799329025 1129067289 /nfs/dbraw/zinc/06/72/89/1129067289.db2.gz FHTRRMZGFOFRSC-SNVBAGLBSA-N 1 2 284.172 3.871 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+]1CCC=C(Br)C1 ZINC000799329025 1129067293 /nfs/dbraw/zinc/06/72/93/1129067293.db2.gz FHTRRMZGFOFRSC-SNVBAGLBSA-N 1 2 284.172 3.871 20 0 CHADLO CC(C)[N@H+](CCCSC(C)(C)C)CC(F)F ZINC001192183846 1122634599 /nfs/dbraw/zinc/63/45/99/1122634599.db2.gz OTRBTRPLIDGCFX-UHFFFAOYSA-N 1 2 253.402 3.884 20 0 CHADLO CC(C)[N@@H+](CCCSC(C)(C)C)CC(F)F ZINC001192183846 1122634600 /nfs/dbraw/zinc/63/46/00/1122634600.db2.gz OTRBTRPLIDGCFX-UHFFFAOYSA-N 1 2 253.402 3.884 20 0 CHADLO CC(C)CC(C)(C)CNC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000578266448 1122669978 /nfs/dbraw/zinc/66/99/78/1122669978.db2.gz MDWFVSJKGSYHOV-UHFFFAOYSA-N 1 2 288.395 3.528 20 0 CHADLO COc1cc(C)[nH+]c(CN2CC3(CCC3)c3ccccc32)c1 ZINC001193354948 1122685935 /nfs/dbraw/zinc/68/59/35/1122685935.db2.gz KRAYEZSXBPELRO-UHFFFAOYSA-N 1 2 294.398 3.841 20 0 CHADLO Cc1cccc(NCc2c[nH+]cn2Cc2ccccc2)c1 ZINC000438322723 1122691345 /nfs/dbraw/zinc/69/13/45/1122691345.db2.gz ODRASEPUQKGOOR-UHFFFAOYSA-N 1 2 277.371 3.852 20 0 CHADLO Fc1cccc(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)c1 ZINC000506281679 1122711576 /nfs/dbraw/zinc/71/15/76/1122711576.db2.gz MCILNLPEXSMICV-UHFFFAOYSA-N 1 2 280.346 3.865 20 0 CHADLO Fc1cccc(C[N@H+]2CCc3[nH]c4ccccc4c3C2)c1 ZINC000506281679 1122711581 /nfs/dbraw/zinc/71/15/81/1122711581.db2.gz MCILNLPEXSMICV-UHFFFAOYSA-N 1 2 280.346 3.865 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1cccc(O)c1Cl ZINC000293765261 1122718710 /nfs/dbraw/zinc/71/87/10/1122718710.db2.gz ZKLYMHDGWMLATD-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1cccc(O)c1Cl ZINC000293765261 1122718712 /nfs/dbraw/zinc/71/87/12/1122718712.db2.gz ZKLYMHDGWMLATD-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO Fc1ccc(/C=C/C[N@@H+]2CCO[C@@H](c3ccccc3)C2)cc1 ZINC000506340950 1122720675 /nfs/dbraw/zinc/72/06/75/1122720675.db2.gz OFFBZTUDELDKMM-VZSQWMKGSA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc(/C=C/C[N@H+]2CCO[C@@H](c3ccccc3)C2)cc1 ZINC000506340950 1122720679 /nfs/dbraw/zinc/72/06/79/1122720679.db2.gz OFFBZTUDELDKMM-VZSQWMKGSA-N 1 2 297.373 3.912 20 0 CHADLO CSc1ccc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)s1 ZINC000438849966 1122734924 /nfs/dbraw/zinc/73/49/24/1122734924.db2.gz LFGYKDVIHRYMSQ-CYBMUJFWSA-N 1 2 295.429 3.637 20 0 CHADLO CSc1ccc(C[N@H+]2CCO[C@@H](c3ccco3)C2)s1 ZINC000438849966 1122734927 /nfs/dbraw/zinc/73/49/27/1122734927.db2.gz LFGYKDVIHRYMSQ-CYBMUJFWSA-N 1 2 295.429 3.637 20 0 CHADLO Cc1nocc1C[N@@H+]1CCC[C@@H]1c1nc2ccccc2s1 ZINC000294992442 1122739899 /nfs/dbraw/zinc/73/98/99/1122739899.db2.gz UEGHRYGANFFMQJ-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1nocc1C[N@H+]1CCC[C@@H]1c1nc2ccccc2s1 ZINC000294992442 1122739903 /nfs/dbraw/zinc/73/99/03/1122739903.db2.gz UEGHRYGANFFMQJ-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO C[C@H](CSc1[nH+]cc2ccccn21)C(F)(F)F ZINC000439050854 1122750498 /nfs/dbraw/zinc/75/04/98/1122750498.db2.gz OJSSMCBNIDJEPM-MRVPVSSYSA-N 1 2 260.284 3.625 20 0 CHADLO CC(C)C[N@H+](C[C@@H]1CCO[C@H](C)C1)c1ccccc1 ZINC001194202922 1122757169 /nfs/dbraw/zinc/75/71/69/1122757169.db2.gz DHNPJOVKHIGWTA-HZPDHXFCSA-N 1 2 261.409 3.964 20 0 CHADLO CC(C)C[N@@H+](C[C@@H]1CCO[C@H](C)C1)c1ccccc1 ZINC001194202922 1122757172 /nfs/dbraw/zinc/75/71/72/1122757172.db2.gz DHNPJOVKHIGWTA-HZPDHXFCSA-N 1 2 261.409 3.964 20 0 CHADLO Cc1cc2[nH+]s[nH]c-2cc1=NC(=O)Oc1ccccc1 ZINC001194254867 1122762647 /nfs/dbraw/zinc/76/26/47/1122762647.db2.gz ZGGZSNBMYKECES-UHFFFAOYSA-N 1 2 285.328 3.611 20 0 CHADLO CCCCCc1ccc(OCc2c[nH+]cn2C)cc1 ZINC000439559306 1122792459 /nfs/dbraw/zinc/79/24/59/1122792459.db2.gz CJJODVLHFJBGBU-UHFFFAOYSA-N 1 2 258.365 3.732 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@@H+]2Cc2ccc(F)nc2)c1 ZINC001137743995 1122817556 /nfs/dbraw/zinc/81/75/56/1122817556.db2.gz JGXIXYWGVROHAD-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@H+]2Cc2ccc(F)nc2)c1 ZINC001137743995 1122817560 /nfs/dbraw/zinc/81/75/60/1122817560.db2.gz JGXIXYWGVROHAD-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO CN(CCCn1cc[nH+]c1)c1ccc(C(C)(C)C)cc1 ZINC000775618791 1122819340 /nfs/dbraw/zinc/81/93/40/1122819340.db2.gz KCJHQKAEUXFAKR-UHFFFAOYSA-N 1 2 271.408 3.707 20 0 CHADLO CC[C@H](C)C[C@H](C)NC(=O)c1cccc(-c2c[nH+]cn2C)c1 ZINC001194917766 1122822741 /nfs/dbraw/zinc/82/27/41/1122822741.db2.gz RBDVKJXKHRBJFI-KBPBESRZSA-N 1 2 299.418 3.642 20 0 CHADLO Cc1ccc2[nH+]cc(CN(C)Cc3ccccc3Cl)n2c1 ZINC000506761175 1122825216 /nfs/dbraw/zinc/82/52/16/1122825216.db2.gz XQPJZYOOBZOBTF-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1ccc2ncc(C[N@@H+](C)Cc3ccccc3Cl)n2c1 ZINC000506761175 1122825220 /nfs/dbraw/zinc/82/52/20/1122825220.db2.gz XQPJZYOOBZOBTF-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1ccc2ncc(C[N@H+](C)Cc3ccccc3Cl)n2c1 ZINC000506761175 1122825225 /nfs/dbraw/zinc/82/52/25/1122825225.db2.gz XQPJZYOOBZOBTF-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3sc(Cl)cc3C2)cc1 ZINC001195508808 1122873953 /nfs/dbraw/zinc/87/39/53/1122873953.db2.gz LWPPJMCOEWYGGT-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc(C[N@H+]2CCc3sc(Cl)cc3C2)cc1 ZINC001195508808 1122873957 /nfs/dbraw/zinc/87/39/57/1122873957.db2.gz LWPPJMCOEWYGGT-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CCc1nc(C[NH2+]Cc2cc(C(C)(C)C)oc2C)co1 ZINC000894745290 1122899363 /nfs/dbraw/zinc/89/93/63/1122899363.db2.gz LACSBADMSFDGBO-UHFFFAOYSA-N 1 2 276.380 3.726 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2ccccc2Cl)co1 ZINC000647960005 1122900029 /nfs/dbraw/zinc/90/00/29/1122900029.db2.gz YTFAYXLEMVTXPY-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2ccccc2Cl)co1 ZINC000647960005 1122900038 /nfs/dbraw/zinc/90/00/38/1122900038.db2.gz YTFAYXLEMVTXPY-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(C(F)F)c(F)c2)co1 ZINC000894749627 1122900846 /nfs/dbraw/zinc/90/08/46/1122900846.db2.gz AJFINFFVJDMQQB-UHFFFAOYSA-N 1 2 284.281 3.604 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(-c3ccc(C)cc3)o2)[nH]1 ZINC000894771903 1122903793 /nfs/dbraw/zinc/90/37/93/1122903793.db2.gz ZXQMWFGZXLDEIY-LBPRGKRZSA-N 1 2 296.374 3.532 20 0 CHADLO FCCCCSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000507111231 1122926456 /nfs/dbraw/zinc/92/64/56/1122926456.db2.gz OKDHHFHPVFDRCX-UHFFFAOYSA-N 1 2 264.369 3.855 20 0 CHADLO CCn1c2ccccc2nc1C[N@@H+]1CCc2ccccc2C1 ZINC000154417751 1129087580 /nfs/dbraw/zinc/08/75/80/1129087580.db2.gz YPWVDSLDLPMTAM-UHFFFAOYSA-N 1 2 291.398 3.615 20 0 CHADLO CCn1c2ccccc2nc1C[N@H+]1CCc2ccccc2C1 ZINC000154417751 1129087585 /nfs/dbraw/zinc/08/75/85/1129087585.db2.gz YPWVDSLDLPMTAM-UHFFFAOYSA-N 1 2 291.398 3.615 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1ccn(C)n1 ZINC000507202232 1122936737 /nfs/dbraw/zinc/93/67/37/1122936737.db2.gz JBYBNQBPJTUIDK-NSHDSACASA-N 1 2 297.324 3.632 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1ccn(C)n1 ZINC000507202232 1122936739 /nfs/dbraw/zinc/93/67/39/1122936739.db2.gz JBYBNQBPJTUIDK-NSHDSACASA-N 1 2 297.324 3.632 20 0 CHADLO CC(C)Oc1ccc(NCc2cccc3[nH+]ccn32)c(F)c1 ZINC000775750861 1122941374 /nfs/dbraw/zinc/94/13/74/1122941374.db2.gz WUACMOYFVZPXNS-UHFFFAOYSA-N 1 2 299.349 3.873 20 0 CHADLO CCCC(C)(C)CC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000427076529 1122943215 /nfs/dbraw/zinc/94/32/15/1122943215.db2.gz GGKRTBQSIBXRCU-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO Cc1ccc(Nc2ccc(NC(=O)C(C)C)cc2)[nH+]c1 ZINC001197425594 1122955676 /nfs/dbraw/zinc/95/56/76/1122955676.db2.gz KHXGQZBCXURKOW-UHFFFAOYSA-N 1 2 269.348 3.728 20 0 CHADLO Cc1cn2cc(NC(=S)Nc3ccc(C)cc3)ccc2[nH+]1 ZINC001197898770 1122972503 /nfs/dbraw/zinc/97/25/03/1122972503.db2.gz CVNYTMRBTQTWJH-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO CCCOC(=O)[C@H](C)[N@H+](Cc1cccc(F)c1)C1CCC1 ZINC001197959182 1122975703 /nfs/dbraw/zinc/97/57/03/1122975703.db2.gz MOCDHGWQGBSEDE-ZDUSSCGKSA-N 1 2 293.382 3.522 20 0 CHADLO CCCOC(=O)[C@H](C)[N@@H+](Cc1cccc(F)c1)C1CCC1 ZINC001197959182 1122975707 /nfs/dbraw/zinc/97/57/07/1122975707.db2.gz MOCDHGWQGBSEDE-ZDUSSCGKSA-N 1 2 293.382 3.522 20 0 CHADLO C[C@H](c1ccccc1Br)[N@@H+]1CCC[C@H](F)C1 ZINC001198466713 1122993608 /nfs/dbraw/zinc/99/36/08/1122993608.db2.gz CXJBNCJGDILRTM-MNOVXSKESA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1ccccc1Br)[N@H+]1CCC[C@H](F)C1 ZINC001198466713 1122993611 /nfs/dbraw/zinc/99/36/11/1122993611.db2.gz CXJBNCJGDILRTM-MNOVXSKESA-N 1 2 286.188 3.944 20 0 CHADLO CCCOc1cccc2c(NC[C@@H]3CCCO3)cc[nH+]c12 ZINC000350244918 1122984221 /nfs/dbraw/zinc/98/42/21/1122984221.db2.gz HITJJKJEGPFPNZ-ZDUSSCGKSA-N 1 2 286.375 3.615 20 0 CHADLO CC(=O)c1ccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)s1 ZINC000334315494 1122985882 /nfs/dbraw/zinc/98/58/82/1122985882.db2.gz NQDLIYDZQLUDNM-UHFFFAOYSA-N 1 2 288.372 3.523 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CCC[N@@H+]1Cc1cccnc1Cl ZINC000775867794 1122997111 /nfs/dbraw/zinc/99/71/11/1122997111.db2.gz SREIBSSBXALHFE-KOLCDFICSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CCC[N@H+]1Cc1cccnc1Cl ZINC000775867794 1122997115 /nfs/dbraw/zinc/99/71/15/1122997115.db2.gz SREIBSSBXALHFE-KOLCDFICSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@H+]1Cc1ccncc1Cl ZINC000794434155 1122998271 /nfs/dbraw/zinc/99/82/71/1122998271.db2.gz IYQGYEVICHIYNS-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1ccncc1Cl ZINC000794434155 1122998272 /nfs/dbraw/zinc/99/82/72/1122998272.db2.gz IYQGYEVICHIYNS-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2ccc(Cl)cn2)c1 ZINC000519742843 1123021961 /nfs/dbraw/zinc/02/19/61/1123021961.db2.gz CNENXGSFTOXPOI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2ccc(Cl)cn2)c1 ZINC000519742843 1123021964 /nfs/dbraw/zinc/02/19/64/1123021964.db2.gz CNENXGSFTOXPOI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO c1cn2ccc(Nc3ccc(C4CCC4)nc3)cc2[nH+]1 ZINC001204971360 1123027064 /nfs/dbraw/zinc/02/70/64/1123027064.db2.gz JAYLHJDQRAQQHY-UHFFFAOYSA-N 1 2 264.332 3.740 20 0 CHADLO Fc1ccc2oc(C[NH+]3CC(C(F)(F)F)C3)cc2c1 ZINC000894891663 1123042337 /nfs/dbraw/zinc/04/23/37/1123042337.db2.gz BGRUWPATWBXSNU-UHFFFAOYSA-N 1 2 273.229 3.566 20 0 CHADLO COCc1ccccc1/C=C(/C)C[NH+]1CC(C(F)(F)F)C1 ZINC000894891903 1123043240 /nfs/dbraw/zinc/04/32/40/1123043240.db2.gz RIGDWOUZDMWAAH-GHXNOFRVSA-N 1 2 299.336 3.730 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C(F)(F)F)c(F)c2)cc[nH+]1 ZINC000188093822 1123043638 /nfs/dbraw/zinc/04/36/38/1123043638.db2.gz GJERYEMTDBQRNG-UHFFFAOYSA-N 1 2 298.239 3.800 20 0 CHADLO CC[N@H+](Cc1nc(C(C)(C)C)no1)Cc1ccc(C)cc1 ZINC000520183785 1123060350 /nfs/dbraw/zinc/06/03/50/1123060350.db2.gz OCTHAUNGEAAUIJ-UHFFFAOYSA-N 1 2 287.407 3.698 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)(C)C)no1)Cc1ccc(C)cc1 ZINC000520183785 1123060355 /nfs/dbraw/zinc/06/03/55/1123060355.db2.gz OCTHAUNGEAAUIJ-UHFFFAOYSA-N 1 2 287.407 3.698 20 0 CHADLO CC(C)[C@@H]1CCC[C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000520235290 1123066305 /nfs/dbraw/zinc/06/63/05/1123066305.db2.gz WOFFQZDIWHOHSH-ARFHVFGLSA-N 1 2 291.439 3.555 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@H](c2ccccc2)c2cccc(F)c2)n1 ZINC001201027185 1123070954 /nfs/dbraw/zinc/07/09/54/1123070954.db2.gz QZFHPCGNEQCBPK-GOSISDBHSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1cc(F)c(Nc2ccc(N)[nH+]c2)cc1Br ZINC001201286181 1123081032 /nfs/dbraw/zinc/08/10/32/1123081032.db2.gz YCHVNGLMKURBGE-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1c(Cl)cc(Nc2ccc(N)[nH+]c2)c(O)c1Cl ZINC001201292057 1123084045 /nfs/dbraw/zinc/08/40/45/1123084045.db2.gz IKUFDNXMJJPFDD-UHFFFAOYSA-N 1 2 284.146 3.728 20 0 CHADLO Nc1ccc(Nc2c(F)cccc2OC2CCCC2)c[nH+]1 ZINC001201295838 1123085148 /nfs/dbraw/zinc/08/51/48/1123085148.db2.gz TWWPQQXNWYDWPS-UHFFFAOYSA-N 1 2 287.338 3.868 20 0 CHADLO Nc1ccc(Nc2c(Cl)ccc3cccnc32)c[nH+]1 ZINC001201293252 1123085409 /nfs/dbraw/zinc/08/54/09/1123085409.db2.gz YWUMAIKDASQZIK-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Cc1c(Nc2ccc(C)[nH+]c2C)cnn1-c1ccccc1 ZINC001201363497 1123087396 /nfs/dbraw/zinc/08/73/96/1123087396.db2.gz YXYSRJMEUCHLQU-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1c(Nc2[nH+]c(C)ccc2C)cnn1-c1ccccc1 ZINC001201368952 1123087811 /nfs/dbraw/zinc/08/78/11/1123087811.db2.gz SINIZPLYZAHCMP-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO CC[C@@H]1C[C@@H](C[NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC001201337637 1123089111 /nfs/dbraw/zinc/08/91/11/1123089111.db2.gz BOGVDZNAPOGEPU-MAUKXSAKSA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@@H]1C[C@@H](CNc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC001201337637 1123089117 /nfs/dbraw/zinc/08/91/17/1123089117.db2.gz BOGVDZNAPOGEPU-MAUKXSAKSA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@@H]1C[C@H](C[NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC001201337635 1123089331 /nfs/dbraw/zinc/08/93/31/1123089331.db2.gz BOGVDZNAPOGEPU-CRAIPNDOSA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@@H]1C[C@H](CNc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC001201337635 1123089333 /nfs/dbraw/zinc/08/93/33/1123089333.db2.gz BOGVDZNAPOGEPU-CRAIPNDOSA-N 1 2 288.435 3.904 20 0 CHADLO CCc1cc(N[C@H](C)c2cnc(C)s2)nc(CC)[nH+]1 ZINC000894924618 1123089517 /nfs/dbraw/zinc/08/95/17/1123089517.db2.gz WHFPQHSYGYALQV-SECBINFHSA-N 1 2 276.409 3.539 20 0 CHADLO CC(C)(C)CCNc1[nH+]cccc1CC(=O)OC(C)(C)C ZINC001161677024 1123093501 /nfs/dbraw/zinc/09/35/01/1123093501.db2.gz GEQMRMBNDPKBEN-UHFFFAOYSA-N 1 2 292.423 3.814 20 0 CHADLO Cc1csc(Nc2ccc([NH2+]C(C)C)cc2)c1C(N)=O ZINC001201488848 1123108763 /nfs/dbraw/zinc/10/87/63/1123108763.db2.gz JTNJIMHUPPOPRP-UHFFFAOYSA-N 1 2 289.404 3.719 20 0 CHADLO CCC[C@@H](C)C[N@H+](CC(=O)OCC)Cc1ccsc1 ZINC001201552742 1123118897 /nfs/dbraw/zinc/11/88/97/1123118897.db2.gz SFQKLMLVJDDVTJ-CYBMUJFWSA-N 1 2 283.437 3.549 20 0 CHADLO CCCC[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001201717491 1123140367 /nfs/dbraw/zinc/14/03/67/1123140367.db2.gz SLDMUGNMLYFTHU-AWEZNQCLSA-N 1 2 253.773 3.513 20 0 CHADLO CCCC[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001201717491 1123140369 /nfs/dbraw/zinc/14/03/69/1123140369.db2.gz SLDMUGNMLYFTHU-AWEZNQCLSA-N 1 2 253.773 3.513 20 0 CHADLO CCCC[N@@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001201722958 1123140986 /nfs/dbraw/zinc/14/09/86/1123140986.db2.gz MTVGVDDFPYGEJN-AWEZNQCLSA-N 1 2 253.336 3.911 20 0 CHADLO CCCC[N@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001201722958 1123140989 /nfs/dbraw/zinc/14/09/89/1123140989.db2.gz MTVGVDDFPYGEJN-AWEZNQCLSA-N 1 2 253.336 3.911 20 0 CHADLO Cc1cn2cccc(Nc3cc4ccccc4[nH]c3=O)c2[nH+]1 ZINC001201753403 1123146407 /nfs/dbraw/zinc/14/64/07/1123146407.db2.gz UOOGPWZITMQMDS-UHFFFAOYSA-N 1 2 290.326 3.640 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cnn(C(F)F)c1 ZINC001201732636 1123149389 /nfs/dbraw/zinc/14/93/89/1123149389.db2.gz PUBIBEUSQQFIGH-UHFFFAOYSA-N 1 2 280.322 3.924 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@@H+]1Cc2cnn(C)c2C1 ZINC001201983255 1123160237 /nfs/dbraw/zinc/16/02/37/1123160237.db2.gz ZUVPUJWJHWUVFK-AWEZNQCLSA-N 1 2 261.413 3.508 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+]1CCC(=O)[C@H](C)C1 ZINC000429673974 1123161674 /nfs/dbraw/zinc/16/16/74/1123161674.db2.gz SYNSAIIXWUDQLK-RISCZKNCSA-N 1 2 265.784 3.702 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+]1CCC(=O)[C@H](C)C1 ZINC000429673974 1123161679 /nfs/dbraw/zinc/16/16/79/1123161679.db2.gz SYNSAIIXWUDQLK-RISCZKNCSA-N 1 2 265.784 3.702 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2cc(-c3cccs3)on2)[C@H]1C ZINC000118413940 1123161820 /nfs/dbraw/zinc/16/18/20/1123161820.db2.gz PHDPJXVASYKCCD-WDEREUQCSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2cc(-c3cccs3)on2)[C@H]1C ZINC000118413940 1123161821 /nfs/dbraw/zinc/16/18/21/1123161821.db2.gz PHDPJXVASYKCCD-WDEREUQCSA-N 1 2 294.445 3.729 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)Nc1ccccc1Cl ZINC001202054709 1123170366 /nfs/dbraw/zinc/17/03/66/1123170366.db2.gz FIONPGZWUNLZHW-UHFFFAOYSA-N 1 2 286.722 3.632 20 0 CHADLO COc1cccc2c(N[C@H]3CCS[C@H]3C)cc[nH+]c12 ZINC000645399159 1123173603 /nfs/dbraw/zinc/17/36/03/1123173603.db2.gz HHUBEOGOFODROK-JQWIXIFHSA-N 1 2 274.389 3.549 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@@H+]1CCO[C@H]2CCC[C@@H]21 ZINC000507779570 1123174962 /nfs/dbraw/zinc/17/49/62/1123174962.db2.gz UOUDPIAIDOBATL-IRXDYDNUSA-N 1 2 299.370 3.825 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@H+]1CCO[C@H]2CCC[C@@H]21 ZINC000507779570 1123174964 /nfs/dbraw/zinc/17/49/64/1123174964.db2.gz UOUDPIAIDOBATL-IRXDYDNUSA-N 1 2 299.370 3.825 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(F)c3ccccc23)n1 ZINC000639096228 1123176169 /nfs/dbraw/zinc/17/61/69/1123176169.db2.gz BRZJKSNHUONERS-SNVBAGLBSA-N 1 2 285.322 3.521 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@@H+]1CCOC[C@H]1C1CC1 ZINC000507785771 1123187411 /nfs/dbraw/zinc/18/74/11/1123187411.db2.gz WYNURVITSXSUTH-KRWDZBQOSA-N 1 2 299.370 3.683 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@H+]1CCOC[C@H]1C1CC1 ZINC000507785771 1123187412 /nfs/dbraw/zinc/18/74/12/1123187412.db2.gz WYNURVITSXSUTH-KRWDZBQOSA-N 1 2 299.370 3.683 20 0 CHADLO C[C@H]1COCC[N@@H+]1Cc1ccc(-c2ccccc2)cc1F ZINC000507790154 1123195164 /nfs/dbraw/zinc/19/51/64/1123195164.db2.gz BQKZDOHWWROMEY-AWEZNQCLSA-N 1 2 285.362 3.713 20 0 CHADLO C[C@H]1COCC[N@H+]1Cc1ccc(-c2ccccc2)cc1F ZINC000507790154 1123195166 /nfs/dbraw/zinc/19/51/66/1123195166.db2.gz BQKZDOHWWROMEY-AWEZNQCLSA-N 1 2 285.362 3.713 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1F)Cc1ccccc1OC ZINC001203438661 1123213203 /nfs/dbraw/zinc/21/32/03/1123213203.db2.gz OCAHAZNDMBCBDY-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1F)Cc1ccccc1OC ZINC001203438661 1123213208 /nfs/dbraw/zinc/21/32/08/1123213208.db2.gz OCAHAZNDMBCBDY-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO c1cc(C[NH2+]Cc2cccc(C3CCCC3)c2)no1 ZINC000850170839 1123245168 /nfs/dbraw/zinc/24/51/68/1123245168.db2.gz RAWCFXLOTBRGCW-UHFFFAOYSA-N 1 2 256.349 3.622 20 0 CHADLO Cc1nc(C(C)C)oc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334553306 1123252207 /nfs/dbraw/zinc/25/22/07/1123252207.db2.gz KMKOBPPDXSHVKY-UHFFFAOYSA-N 1 2 287.363 3.679 20 0 CHADLO CC[C@@H]1C[C@@H](CNc2c[nH+]cc3c2CCCC3)CCO1 ZINC000850343525 1123254556 /nfs/dbraw/zinc/25/45/56/1123254556.db2.gz WBMNONLIBCNNRP-DZGCQCFKSA-N 1 2 274.408 3.578 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc2c(c1)CCCO2)C(F)F ZINC000850471232 1123271801 /nfs/dbraw/zinc/27/18/01/1123271801.db2.gz ONXXADXXWFOELA-GWCFXTLKSA-N 1 2 269.335 3.706 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3CS[C@H](C)C3)cc2)c1C ZINC000639199210 1123289289 /nfs/dbraw/zinc/28/92/89/1123289289.db2.gz VYQUTXHGVKLDKB-ABAIWWIYSA-N 1 2 287.432 3.795 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H]3CCOC(C)(C)C3)cc2)c1C ZINC000639197095 1123289522 /nfs/dbraw/zinc/28/95/22/1123289522.db2.gz HPXFQMZCBDEVAM-INIZCTEOSA-N 1 2 299.418 3.859 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1cccc(O)c1 ZINC000335054108 1123290453 /nfs/dbraw/zinc/29/04/53/1123290453.db2.gz LBWPCTZZLKCVPX-NSHDSACASA-N 1 2 254.333 3.533 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@H]1CCC[C@H](F)C1 ZINC000335060533 1123293454 /nfs/dbraw/zinc/29/34/54/1123293454.db2.gz CKCRMUGJBWRFDB-STQMWFEESA-N 1 2 287.338 3.544 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCOC3(CCCCC3)C2)c1 ZINC000895149876 1123299349 /nfs/dbraw/zinc/29/93/49/1123299349.db2.gz CHFSPXDTCXXURN-HNNXBMFYSA-N 1 2 290.407 3.522 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](C[C@H]1CCC3(CCCC3)O1)C2 ZINC000335178034 1123316984 /nfs/dbraw/zinc/31/69/84/1123316984.db2.gz ULXAKVSPRRCRIB-GOSISDBHSA-N 1 2 299.414 3.697 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](C[C@H]1CCC3(CCCC3)O1)C2 ZINC000335178034 1123316990 /nfs/dbraw/zinc/31/69/90/1123316990.db2.gz ULXAKVSPRRCRIB-GOSISDBHSA-N 1 2 299.414 3.697 20 0 CHADLO CC(=O)c1cc(Cl)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001204982758 1123343302 /nfs/dbraw/zinc/34/33/02/1123343302.db2.gz IETZXGAIHMKIFV-UHFFFAOYSA-N 1 2 285.734 3.934 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2ccc([C@H]3C[C@H]3C)o2)cn1 ZINC000443070943 1123353561 /nfs/dbraw/zinc/35/35/61/1123353561.db2.gz PNXDKQYXNGSEMA-CJNGLKHVSA-N 1 2 299.418 3.948 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2ccc([C@H]3C[C@H]3C)o2)cn1 ZINC000443070943 1123353566 /nfs/dbraw/zinc/35/35/66/1123353566.db2.gz PNXDKQYXNGSEMA-CJNGLKHVSA-N 1 2 299.418 3.948 20 0 CHADLO c1sc(-c2ccccn2)nc1C[NH+]1CC2(C1)CCCC2 ZINC000335427127 1123368996 /nfs/dbraw/zinc/36/89/96/1123368996.db2.gz GNUYKPMHFLRRRM-UHFFFAOYSA-N 1 2 285.416 3.581 20 0 CHADLO C[N@H+](CCOC(F)F)Cc1c(Cl)cccc1Cl ZINC000851738576 1123377375 /nfs/dbraw/zinc/37/73/75/1123377375.db2.gz LHMKDQXISGJWQZ-UHFFFAOYSA-N 1 2 284.133 3.664 20 0 CHADLO C[N@@H+](CCOC(F)F)Cc1c(Cl)cccc1Cl ZINC000851738576 1123377379 /nfs/dbraw/zinc/37/73/79/1123377379.db2.gz LHMKDQXISGJWQZ-UHFFFAOYSA-N 1 2 284.133 3.664 20 0 CHADLO CC(C)=CCC[N@@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000851746531 1123377923 /nfs/dbraw/zinc/37/79/23/1123377923.db2.gz AAQRXYGGIMLYKR-INIZCTEOSA-N 1 2 281.346 3.694 20 0 CHADLO CC(C)=CCC[N@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000851746531 1123377926 /nfs/dbraw/zinc/37/79/26/1123377926.db2.gz AAQRXYGGIMLYKR-INIZCTEOSA-N 1 2 281.346 3.694 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](CC3CC3)C2)c(C)[nH+]1 ZINC000335591945 1123380986 /nfs/dbraw/zinc/38/09/86/1123380986.db2.gz WVYRADSZLNKNBJ-OAHLLOKOSA-N 1 2 287.407 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@H]2CCCC2(F)F)c(C)[nH+]1 ZINC000335591297 1123381109 /nfs/dbraw/zinc/38/11/09/1123381109.db2.gz SJAZYYDZEWXYQD-GFCCVEGCSA-N 1 2 297.349 3.564 20 0 CHADLO CC[C@@](C)([NH2+]CC=C(Cl)Cl)c1nccs1 ZINC000851848251 1123384391 /nfs/dbraw/zinc/38/43/91/1123384391.db2.gz KGFMKHDZVOFGIX-SNVBAGLBSA-N 1 2 265.209 3.677 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1cccc(F)n1 ZINC000851887416 1123386494 /nfs/dbraw/zinc/38/64/94/1123386494.db2.gz OOVZOSLFLFJEQE-RYUDHWBXSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1cccc(F)n1 ZINC000851887416 1123386496 /nfs/dbraw/zinc/38/64/96/1123386496.db2.gz OOVZOSLFLFJEQE-RYUDHWBXSA-N 1 2 288.341 3.868 20 0 CHADLO Cc1ccc(C[N@H+](CC=C(Cl)Cl)CC2CC2)cn1 ZINC000852206407 1123399901 /nfs/dbraw/zinc/39/99/01/1123399901.db2.gz JJVRTOILIBUFKN-UHFFFAOYSA-N 1 2 285.218 3.921 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1c(C)nn(C(C)C)c1C)C2 ZINC000895215249 1123409105 /nfs/dbraw/zinc/40/91/05/1123409105.db2.gz MBARZELHNWVVNM-UHFFFAOYSA-N 1 2 299.418 3.605 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1c(C)nn(C(C)C)c1C)C2 ZINC000895215249 1123409108 /nfs/dbraw/zinc/40/91/08/1123409108.db2.gz MBARZELHNWVVNM-UHFFFAOYSA-N 1 2 299.418 3.605 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)c1 ZINC001143591865 1123415461 /nfs/dbraw/zinc/41/54/61/1123415461.db2.gz MPCWBBUNZROPLH-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2CCc3cc(F)c(F)cc3C2)c1 ZINC001143591865 1123415463 /nfs/dbraw/zinc/41/54/63/1123415463.db2.gz MPCWBBUNZROPLH-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCO3)o1 ZINC000852782845 1123416066 /nfs/dbraw/zinc/41/60/66/1123416066.db2.gz WOLOSKYVVMXNIX-OLZOCXBDSA-N 1 2 286.375 3.720 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1csc(Cl)c1 ZINC000191246156 1123416282 /nfs/dbraw/zinc/41/62/82/1123416282.db2.gz QRHACZBKDFJJHF-SSDOTTSWSA-N 1 2 258.799 3.709 20 0 CHADLO CC1(C)C[C@]1([NH2+]Cc1coc(C2CC2)n1)c1ccccc1 ZINC000853091730 1123423911 /nfs/dbraw/zinc/42/39/11/1123423911.db2.gz OHAURDRILIHLGS-SFHVURJKSA-N 1 2 282.387 3.967 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]C[C@H](O)c1ccc(Cl)cc1 ZINC000853125941 1123426198 /nfs/dbraw/zinc/42/61/98/1123426198.db2.gz YXQDPVMHMIXHHZ-LBPRGKRZSA-N 1 2 291.769 3.644 20 0 CHADLO CC[C@H](C)C[N@H+](C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000111165434 1123428489 /nfs/dbraw/zinc/42/84/89/1123428489.db2.gz SYGGXVDOEJOING-LBPRGKRZSA-N 1 2 290.436 3.543 20 0 CHADLO CC[C@H](C)C[N@@H+](C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000111165434 1123428491 /nfs/dbraw/zinc/42/84/91/1123428491.db2.gz SYGGXVDOEJOING-LBPRGKRZSA-N 1 2 290.436 3.543 20 0 CHADLO CC[C@H]1CCC[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000443616388 1123446819 /nfs/dbraw/zinc/44/68/19/1123446819.db2.gz RICAOEVYUJWLRS-QMMMGPOBSA-N 1 2 264.316 3.536 20 0 CHADLO CC[C@H]1CCC[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000443616388 1123446821 /nfs/dbraw/zinc/44/68/21/1123446821.db2.gz RICAOEVYUJWLRS-QMMMGPOBSA-N 1 2 264.316 3.536 20 0 CHADLO CC(=O)C1CC[NH+](Cc2ccc(Cl)c(Cl)c2)CC1 ZINC000111690574 1123460708 /nfs/dbraw/zinc/46/07/08/1123460708.db2.gz YZCIARVLGVIGTL-UHFFFAOYSA-N 1 2 286.202 3.794 20 0 CHADLO CC[N@@H+](Cc1csc(C2CCCC2)n1)C[C@@H]1CCCO1 ZINC000051554566 1123462386 /nfs/dbraw/zinc/46/23/86/1123462386.db2.gz SUIDWFMNZHEWDO-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO CC[N@H+](Cc1csc(C2CCCC2)n1)C[C@@H]1CCCO1 ZINC000051554566 1123462388 /nfs/dbraw/zinc/46/23/88/1123462388.db2.gz SUIDWFMNZHEWDO-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[N@H+](C)Cc1ccon1 ZINC000443656469 1123463034 /nfs/dbraw/zinc/46/30/34/1123463034.db2.gz LNUQPUXMJKDSOX-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[N@@H+](C)Cc1ccon1 ZINC000443656469 1123463036 /nfs/dbraw/zinc/46/30/36/1123463036.db2.gz LNUQPUXMJKDSOX-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Clc1cnc(NCc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC000776723640 1123480416 /nfs/dbraw/zinc/48/04/16/1123480416.db2.gz RTDZHRHSRWJYNJ-UHFFFAOYSA-N 1 2 290.779 3.594 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2ccc(C(C)C)cc2)c[nH+]1 ZINC000895473044 1123484458 /nfs/dbraw/zinc/48/44/58/1123484458.db2.gz NHWPTUKHDCIGQO-UHFFFAOYSA-N 1 2 285.391 3.689 20 0 CHADLO COc1cccc(C[NH2+]C(C)(C)c2ncc(C)s2)c1F ZINC000192693372 1123494274 /nfs/dbraw/zinc/49/42/74/1123494274.db2.gz DCKXIUNMNLCXNE-UHFFFAOYSA-N 1 2 294.395 3.624 20 0 CHADLO C[C@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000895762787 1123511834 /nfs/dbraw/zinc/51/18/34/1123511834.db2.gz XBYPBEQFLFLQMR-NSHDSACASA-N 1 2 276.767 3.503 20 0 CHADLO COC[C@H](CC(C)C)Nc1ccc([NH+]2CCCC2)cc1 ZINC000776802305 1123514153 /nfs/dbraw/zinc/51/41/53/1123514153.db2.gz FKOZZNICEIZJIY-INIZCTEOSA-N 1 2 276.424 3.760 20 0 CHADLO CC[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1nccn1C ZINC000776803196 1123515290 /nfs/dbraw/zinc/51/52/90/1123515290.db2.gz WVSFODXRZDIGQZ-MRXNPFEDSA-N 1 2 284.407 3.584 20 0 CHADLO CC(C)(CC(=O)Nc1cccc(-n2cc[nH+]c2)c1)C1CC1 ZINC000338972105 1123517522 /nfs/dbraw/zinc/51/75/22/1123517522.db2.gz KCEYJTSCBKAKOH-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C3(C4CC4)CC3)c2)[nH+]c1C ZINC000895855975 1123522593 /nfs/dbraw/zinc/52/25/93/1123522593.db2.gz VIGFVKZUTKQIAH-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1ncc(C(C)C)o1)CC2 ZINC000776855869 1123544689 /nfs/dbraw/zinc/54/46/89/1123544689.db2.gz IMEHOWYDSBSJDC-UHFFFAOYSA-N 1 2 284.403 3.973 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1ncc(C(C)C)o1)CC2 ZINC000776855869 1123544694 /nfs/dbraw/zinc/54/46/94/1123544694.db2.gz IMEHOWYDSBSJDC-UHFFFAOYSA-N 1 2 284.403 3.973 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1cnc2ccccn12)C1CC1 ZINC000157447769 1129129095 /nfs/dbraw/zinc/12/90/95/1129129095.db2.gz SIESDJPYTLNQMB-UHFFFAOYSA-N 1 2 295.361 3.638 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1cnc2ccccn12)C1CC1 ZINC000157447769 1129129098 /nfs/dbraw/zinc/12/90/98/1129129098.db2.gz SIESDJPYTLNQMB-UHFFFAOYSA-N 1 2 295.361 3.638 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1nnc(C(C)(C)C)o1 ZINC000114492495 1123564797 /nfs/dbraw/zinc/56/47/97/1123564797.db2.gz KGDIGWXIHYTJTQ-OAHLLOKOSA-N 1 2 299.418 3.877 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1nnc(C(C)(C)C)o1 ZINC000114492495 1123564801 /nfs/dbraw/zinc/56/48/01/1123564801.db2.gz KGDIGWXIHYTJTQ-OAHLLOKOSA-N 1 2 299.418 3.877 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)ccc1Cl)c1nccs1 ZINC000098060157 1123579120 /nfs/dbraw/zinc/57/91/20/1123579120.db2.gz DFNBJOOYZZDOSK-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cn(C)nc2Cl)[C@H](c2ccco2)C1 ZINC000857022435 1123579201 /nfs/dbraw/zinc/57/92/01/1123579201.db2.gz CYGWVZRIKBKGJQ-AAEUAGOBSA-N 1 2 293.798 3.640 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cn(C)nc2Cl)[C@H](c2ccco2)C1 ZINC000857022435 1123579203 /nfs/dbraw/zinc/57/92/03/1123579203.db2.gz CYGWVZRIKBKGJQ-AAEUAGOBSA-N 1 2 293.798 3.640 20 0 CHADLO C[C@@H](CC(=O)O[C@@H]1C[C@H](C)CC(C)(C)C1)n1cc[nH+]c1 ZINC000857069860 1123584251 /nfs/dbraw/zinc/58/42/51/1123584251.db2.gz WBOOJRJECOJTCI-MELADBBJSA-N 1 2 278.396 3.592 20 0 CHADLO C[C@@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1cncc(F)c1 ZINC000631283295 1123597557 /nfs/dbraw/zinc/59/75/57/1123597557.db2.gz KBHVCRRZGYALKW-BMIGLBTASA-N 1 2 280.293 3.721 20 0 CHADLO C/C(=C\C(=O)Nc1c(C)cc(C)[nH+]c1C)c1ccco1 ZINC000857574711 1123608066 /nfs/dbraw/zinc/60/80/66/1123608066.db2.gz BMZHUBBRDIOSGC-MDZDMXLPSA-N 1 2 270.332 3.642 20 0 CHADLO Cc1cccc(NC(=O)c2cccc3[nH+]ccn32)c1Cl ZINC000776976891 1123618994 /nfs/dbraw/zinc/61/89/94/1123618994.db2.gz BHYBUEFQOBAYAL-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+][C@@H]1CCCc2cn[nH]c21 ZINC000857867864 1123623158 /nfs/dbraw/zinc/62/31/58/1123623158.db2.gz LAXRILCFMCTIIK-MRXNPFEDSA-N 1 2 285.366 3.593 20 0 CHADLO C[C@@H](c1ccc(OC(F)F)cc1)[N@@H+]1Cc2ccncc2C1 ZINC000857875721 1123623934 /nfs/dbraw/zinc/62/39/34/1123623934.db2.gz ZFBSICQOWWBPPS-NSHDSACASA-N 1 2 290.313 3.760 20 0 CHADLO C[C@@H](c1ccc(OC(F)F)cc1)[N@H+]1Cc2ccncc2C1 ZINC000857875721 1123623935 /nfs/dbraw/zinc/62/39/35/1123623935.db2.gz ZFBSICQOWWBPPS-NSHDSACASA-N 1 2 290.313 3.760 20 0 CHADLO COc1ccc([NH2+][C@H](C)[C@@H]2C[C@H]2C2CC2)c(OC)c1 ZINC000858101733 1123631258 /nfs/dbraw/zinc/63/12/58/1123631258.db2.gz DTTBXSDOHMPRRP-SWHYSGLUSA-N 1 2 261.365 3.550 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(Br)s1 ZINC000192150182 1123635419 /nfs/dbraw/zinc/63/54/19/1123635419.db2.gz WZHLVPYMVGVRAK-UHFFFAOYSA-N 1 2 287.157 3.657 20 0 CHADLO c1oc(C2CC2)nc1C[NH2+][C@@H](c1ccccn1)C1CCC1 ZINC000858238008 1123638957 /nfs/dbraw/zinc/63/89/57/1123638957.db2.gz GIPVCDZUCNLAEU-MRXNPFEDSA-N 1 2 283.375 3.578 20 0 CHADLO CC(C)Cc1ccccc1C[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000858441008 1123654347 /nfs/dbraw/zinc/65/43/47/1123654347.db2.gz BHCIPPMWEXWUHQ-QGZVFWFLSA-N 1 2 283.419 3.775 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc(N2CCCC2=O)cc1 ZINC000858508096 1123659169 /nfs/dbraw/zinc/65/91/69/1123659169.db2.gz MOSBLAKLNXJCPT-LBPRGKRZSA-N 1 2 296.361 3.509 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H](c1cccnc1)C1CC1 ZINC001227263137 1123660097 /nfs/dbraw/zinc/66/00/97/1123660097.db2.gz CHDFSWHEXDOKOI-INIZCTEOSA-N 1 2 254.333 3.624 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@H]3CCC[C@@H]3C)cc2[nH+]1 ZINC000346093669 1123663357 /nfs/dbraw/zinc/66/33/57/1123663357.db2.gz NSJLATJSVHPZIF-CMPLNLGQSA-N 1 2 271.364 3.636 20 0 CHADLO Cn1cc(C[N@H+](C)Cc2ccoc2)c(C2CCCCC2)n1 ZINC000346140160 1123666153 /nfs/dbraw/zinc/66/61/53/1123666153.db2.gz WTKDBUILCJMTLZ-UHFFFAOYSA-N 1 2 287.407 3.693 20 0 CHADLO Cn1cc(C[N@@H+](C)Cc2ccoc2)c(C2CCCCC2)n1 ZINC000346140160 1123666155 /nfs/dbraw/zinc/66/61/55/1123666155.db2.gz WTKDBUILCJMTLZ-UHFFFAOYSA-N 1 2 287.407 3.693 20 0 CHADLO Cn1nccc1[C@H]1CCCC[N@@H+]1Cc1cc(Cl)cs1 ZINC000281117688 1123680886 /nfs/dbraw/zinc/68/08/86/1123680886.db2.gz BVKGJYZNXGZUNJ-CQSZACIVSA-N 1 2 295.839 3.862 20 0 CHADLO Cn1nccc1[C@H]1CCCC[N@H+]1Cc1cc(Cl)cs1 ZINC000281117688 1123680889 /nfs/dbraw/zinc/68/08/89/1123680889.db2.gz BVKGJYZNXGZUNJ-CQSZACIVSA-N 1 2 295.839 3.862 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1nc(C(C)C)no1)C1CC1 ZINC000346468886 1123688070 /nfs/dbraw/zinc/68/80/70/1123688070.db2.gz MLPFCOPNZDDBFJ-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1nc(C(C)C)no1)C1CC1 ZINC000346468886 1123688072 /nfs/dbraw/zinc/68/80/72/1123688072.db2.gz MLPFCOPNZDDBFJ-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@@H+]1Cc1ccnc(F)c1 ZINC000859222114 1123691226 /nfs/dbraw/zinc/69/12/26/1123691226.db2.gz JKQNKYCYFVBGMT-VXGBXAGGSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@H+]1Cc1ccnc(F)c1 ZINC000859222114 1123691228 /nfs/dbraw/zinc/69/12/28/1123691228.db2.gz JKQNKYCYFVBGMT-VXGBXAGGSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)C1CCC1 ZINC000346568824 1123694523 /nfs/dbraw/zinc/69/45/23/1123694523.db2.gz HTRSNPNLQXEKAG-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO FC1(F)C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cnc(Cl)s1 ZINC000859433124 1123697840 /nfs/dbraw/zinc/69/78/40/1123697840.db2.gz GWALOKJNTIZVSC-OCAPTIKFSA-N 1 2 278.755 3.559 20 0 CHADLO FC1(F)C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cnc(Cl)s1 ZINC000859433124 1123697841 /nfs/dbraw/zinc/69/78/41/1123697841.db2.gz GWALOKJNTIZVSC-OCAPTIKFSA-N 1 2 278.755 3.559 20 0 CHADLO Brc1ccc(/C=C/CCn2cc[nH+]c2)cc1 ZINC000346623917 1123700037 /nfs/dbraw/zinc/70/00/37/1123700037.db2.gz FVCBWGFURXQEQK-HNQUOIGGSA-N 1 2 277.165 3.749 20 0 CHADLO Cc1cc(N(C)[C@H]2CCc3ccccc32)nc(C2CC2)[nH+]1 ZINC000346650982 1123700709 /nfs/dbraw/zinc/70/07/09/1123700709.db2.gz IXACJEKVFMODJJ-INIZCTEOSA-N 1 2 279.387 3.786 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccc(F)cc2)CC1)c1nccs1 ZINC000346796517 1123714015 /nfs/dbraw/zinc/71/40/15/1123714015.db2.gz PXJPXHKUWDIAFL-SNVBAGLBSA-N 1 2 262.353 3.622 20 0 CHADLO Cc1nc(C)c(C[NH2+]Cc2c(Cl)oc3ccccc32)o1 ZINC000312518071 1123742108 /nfs/dbraw/zinc/74/21/08/1123742108.db2.gz GPZIMZLKSUFJPQ-UHFFFAOYSA-N 1 2 290.750 3.981 20 0 CHADLO Cc1nc(N[C@H](c2ccccc2)C(C)(C)C)cc[nH+]1 ZINC000444090193 1123743478 /nfs/dbraw/zinc/74/34/78/1123743478.db2.gz LZJQJVWKPSJFDB-OAHLLOKOSA-N 1 2 255.365 3.984 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2CCOCC23CCC3)c1 ZINC001237702246 1129143423 /nfs/dbraw/zinc/14/34/23/1129143423.db2.gz QCRMXJAIYAOOPK-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2CCOCC23CCC3)c1 ZINC001237702246 1129143425 /nfs/dbraw/zinc/14/34/25/1129143425.db2.gz QCRMXJAIYAOOPK-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO CCCCc1noc(C[N@H+]2Cc3ccccc3[C@@H](C)C2)n1 ZINC000861695385 1123784106 /nfs/dbraw/zinc/78/41/06/1123784106.db2.gz RBYGZSUXGHDXGP-ZDUSSCGKSA-N 1 2 285.391 3.532 20 0 CHADLO CCCCc1noc(C[N@@H+]2Cc3ccccc3[C@@H](C)C2)n1 ZINC000861695385 1123784110 /nfs/dbraw/zinc/78/41/10/1123784110.db2.gz RBYGZSUXGHDXGP-ZDUSSCGKSA-N 1 2 285.391 3.532 20 0 CHADLO Cc1c(C[N@H+](Cc2ccccc2F)C2CC2)ccnc1F ZINC000862214465 1123792719 /nfs/dbraw/zinc/79/27/19/1123792719.db2.gz RDGKPLSVFOCUHU-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1c(C[N@@H+](Cc2ccccc2F)C2CC2)ccnc1F ZINC000862214465 1123792722 /nfs/dbraw/zinc/79/27/22/1123792722.db2.gz RDGKPLSVFOCUHU-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO C[C@H]1C[NH+](Cc2ccnc(Cl)c2F)C[C@H](C)C1(F)F ZINC000862443722 1123811155 /nfs/dbraw/zinc/81/11/55/1123811155.db2.gz QONTVIFXHHRDLQ-IUCAKERBSA-N 1 2 292.732 3.597 20 0 CHADLO CCc1cccc(NC(=S)NCc2c[nH+]c(C)cc2C)c1 ZINC000840826603 1123829042 /nfs/dbraw/zinc/82/90/42/1123829042.db2.gz PLDAHPFWHKTKOM-UHFFFAOYSA-N 1 2 299.443 3.747 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccccc1 ZINC000639560595 1123857379 /nfs/dbraw/zinc/85/73/79/1123857379.db2.gz FMNIPVFYWJMRGF-OAHLLOKOSA-N 1 2 285.391 3.653 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)s1 ZINC001142018887 1123861725 /nfs/dbraw/zinc/86/17/25/1123861725.db2.gz ZYBISKWGNKZBOL-CHWSQXEVSA-N 1 2 295.473 3.804 20 0 CHADLO CSc1ccc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)s1 ZINC001142018887 1123861727 /nfs/dbraw/zinc/86/17/27/1123861727.db2.gz ZYBISKWGNKZBOL-CHWSQXEVSA-N 1 2 295.473 3.804 20 0 CHADLO C[C@H]1CCCC[C@H]1N(C)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000031386660 1123862999 /nfs/dbraw/zinc/86/29/99/1123862999.db2.gz JXKBJDMDZMHPMD-WMLDXEAASA-N 1 2 297.402 3.523 20 0 CHADLO CN(C)c1cc(CSCc2cc(F)cc(F)c2)cc[nH+]1 ZINC000783580854 1123866502 /nfs/dbraw/zinc/86/65/02/1123866502.db2.gz HVDRAFXCOSNCRJ-UHFFFAOYSA-N 1 2 294.370 3.859 20 0 CHADLO CN(CCCOc1ccc(Cl)cc1)c1cccc[nH+]1 ZINC000301062767 1123872037 /nfs/dbraw/zinc/87/20/37/1123872037.db2.gz FCXQRIXGZTXPIJ-UHFFFAOYSA-N 1 2 276.767 3.640 20 0 CHADLO Brc1cccc(CCCNc2cccc[nH+]2)c1 ZINC000301214903 1123882121 /nfs/dbraw/zinc/88/21/21/1123882121.db2.gz XZUKUQWRDJEBQK-UHFFFAOYSA-N 1 2 291.192 3.889 20 0 CHADLO O[C@@H](CNc1cccc[nH+]1)c1c(Cl)cccc1Cl ZINC000301377205 1123895615 /nfs/dbraw/zinc/89/56/15/1123895615.db2.gz WLMXLCTZYYXMHJ-NSHDSACASA-N 1 2 283.158 3.534 20 0 CHADLO Cc1cc(N[C@@H]2CCCC[C@H]2n2cccn2)nc(C(C)C)[nH+]1 ZINC000301414738 1123898870 /nfs/dbraw/zinc/89/88/70/1123898870.db2.gz BHIVTRPVNXEPGQ-HUUCEWRRSA-N 1 2 299.422 3.701 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(CN(c2cccc[nH+]2)C2CC2)o1 ZINC000301511928 1123907183 /nfs/dbraw/zinc/90/71/83/1123907183.db2.gz IRBIFTSOGJGROC-IUODEOHRSA-N 1 2 268.360 3.967 20 0 CHADLO Clc1ccccc1C[C@H]1CCCN1c1cccc[nH+]1 ZINC000301539049 1123910205 /nfs/dbraw/zinc/91/02/05/1123910205.db2.gz NMCMRCPSZUQEGW-CQSZACIVSA-N 1 2 272.779 3.947 20 0 CHADLO Cc1c[nH+]c(N2CCCC[C@@H]2C)nc1N1CCCC[C@H]1C ZINC000301565973 1123912459 /nfs/dbraw/zinc/91/24/59/1123912459.db2.gz MCJOMKWYYXFLOU-CABCVRRESA-N 1 2 288.439 3.543 20 0 CHADLO Cc1cc2ccccc2nc1N1CCC(n2cc[nH+]c2)CC1 ZINC000301580103 1123914601 /nfs/dbraw/zinc/91/46/01/1123914601.db2.gz SACUWWDKIXQPPR-UHFFFAOYSA-N 1 2 292.386 3.581 20 0 CHADLO COc1ccc[nH+]c1NC1CCC(OC(C)(C)C)CC1 ZINC000864090361 1123916821 /nfs/dbraw/zinc/91/68/21/1123916821.db2.gz CIUPJZPANCQLNG-UHFFFAOYSA-N 1 2 278.396 3.628 20 0 CHADLO FC(F)(F)Oc1ccccc1CCNc1cccc[nH+]1 ZINC000301622971 1123918842 /nfs/dbraw/zinc/91/88/42/1123918842.db2.gz YRDAMMGBZZCNHD-UHFFFAOYSA-N 1 2 282.265 3.635 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)Cc1cccc(C)c1C ZINC000794642551 1123922449 /nfs/dbraw/zinc/92/24/49/1123922449.db2.gz SXHFHSXTTJEMCA-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)Cc1cccc(C)c1C ZINC000794642551 1123922454 /nfs/dbraw/zinc/92/24/54/1123922454.db2.gz SXHFHSXTTJEMCA-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO CC(C)c1cc(N[C@@H]2CCC[C@@]2(C)CO)nc(C(C)C)[nH+]1 ZINC000301799283 1123934014 /nfs/dbraw/zinc/93/40/14/1123934014.db2.gz BNPNAYVDVBLOQQ-PBHICJAKSA-N 1 2 291.439 3.686 20 0 CHADLO Cc1[nH]cc(-c2cccc3c2CN(C(=O)OC(C)(C)C)C3)[nH+]1 ZINC000864547372 1123935138 /nfs/dbraw/zinc/93/51/38/1123935138.db2.gz IVLCNVUZSLEQSM-UHFFFAOYSA-N 1 2 299.374 3.636 20 0 CHADLO Fc1ccc2c(c1)CC[C@@H]2Nc1cc(NCC2CC2)[nH+]cn1 ZINC000301820952 1123935643 /nfs/dbraw/zinc/93/56/43/1123935643.db2.gz JSBMVKQAVSWZRL-HNNXBMFYSA-N 1 2 298.365 3.537 20 0 CHADLO Fc1ccc2c(c1)CC[C@@H]2Nc1cc(NCC2CC2)nc[nH+]1 ZINC000301820952 1123935646 /nfs/dbraw/zinc/93/56/46/1123935646.db2.gz JSBMVKQAVSWZRL-HNNXBMFYSA-N 1 2 298.365 3.537 20 0 CHADLO CC(C)c1cc(NCc2ccco2)nc(C(C)C)[nH+]1 ZINC000301833563 1123936387 /nfs/dbraw/zinc/93/63/87/1123936387.db2.gz UXVMRUIEIYBZEE-UHFFFAOYSA-N 1 2 259.353 3.929 20 0 CHADLO CCO[C@H]1CCCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000301831854 1123936705 /nfs/dbraw/zinc/93/67/05/1123936705.db2.gz SVOCCCFUGCVXQF-AWEZNQCLSA-N 1 2 291.439 3.729 20 0 CHADLO CC[C@H](COC)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301857283 1123937956 /nfs/dbraw/zinc/93/79/56/1123937956.db2.gz IZZPLFUZFVAVOZ-GFCCVEGCSA-N 1 2 265.401 3.560 20 0 CHADLO CC[C@H](C)[C@H](O)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301867819 1123938975 /nfs/dbraw/zinc/93/89/75/1123938975.db2.gz NUTVSRXBXCEDMR-GXTWGEPZSA-N 1 2 279.428 3.542 20 0 CHADLO C[C@@H]1CCC[C@H](Nc2[nH+]cnc3c2cnn3C(C)(C)C)C1 ZINC000301868420 1123939226 /nfs/dbraw/zinc/93/92/26/1123939226.db2.gz GPUUHFXNIZQIIT-NEPJUHHUSA-N 1 2 287.411 3.572 20 0 CHADLO CCC1(CNc2[nH+]cnc3c2cnn3C(C)(C)C)CCC1 ZINC000301903836 1123942753 /nfs/dbraw/zinc/94/27/53/1123942753.db2.gz XSKALWOFAPEMTE-UHFFFAOYSA-N 1 2 287.411 3.574 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2ccccc2Cl)o1 ZINC000173550123 1123944094 /nfs/dbraw/zinc/94/40/94/1123944094.db2.gz MFKCHPSPJDPAON-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2ccccc2Cl)o1 ZINC000173550123 1123944096 /nfs/dbraw/zinc/94/40/96/1123944096.db2.gz MFKCHPSPJDPAON-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc(N[C@H]2CC(C)(C)OC2(C)C)nc(C(C)C)[nH+]1 ZINC000302021083 1123950946 /nfs/dbraw/zinc/95/09/46/1123950946.db2.gz DVQPXXMIKCCPKM-LBPRGKRZSA-N 1 2 277.412 3.666 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](CC)c2nc(C)cs2)o1 ZINC000428347547 1123959773 /nfs/dbraw/zinc/95/97/73/1123959773.db2.gz KKXNEIOSWDXLAJ-LBPRGKRZSA-N 1 2 293.436 3.941 20 0 CHADLO COc1cccc2c(N[C@@H](C)c3ccccn3)cc[nH+]c12 ZINC000302433747 1123968488 /nfs/dbraw/zinc/96/84/88/1123968488.db2.gz LWLIUGUVDCPGRO-LBPRGKRZSA-N 1 2 279.343 3.812 20 0 CHADLO COc1cccc2c(N[C@H](C)C[C@H]3CCCO3)cc[nH+]c12 ZINC000302473980 1123969555 /nfs/dbraw/zinc/96/95/55/1123969555.db2.gz UTCMXHUNVPNVDW-CHWSQXEVSA-N 1 2 286.375 3.613 20 0 CHADLO COc1cccc2c(NC[C@]3(C)CCCS3)cc[nH+]c12 ZINC000302524610 1123971038 /nfs/dbraw/zinc/97/10/38/1123971038.db2.gz JSPGPYQCOBZLEI-INIZCTEOSA-N 1 2 288.416 3.941 20 0 CHADLO COc1cccc2c(NC[C@@H](C)c3nccs3)cc[nH+]c12 ZINC000302549362 1123972196 /nfs/dbraw/zinc/97/21/96/1123972196.db2.gz PTXXJTUYRBCARE-LLVKDONJSA-N 1 2 299.399 3.916 20 0 CHADLO CC[C@@H]1CN(c2cc[nH+]c3c(OC)cccc23)CCS1 ZINC000302569638 1123972842 /nfs/dbraw/zinc/97/28/42/1123972842.db2.gz YKMIOLSXRJIXKN-GFCCVEGCSA-N 1 2 288.416 3.575 20 0 CHADLO CO[C@@H]1CN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC[C@@H]1C ZINC000302583972 1123973800 /nfs/dbraw/zinc/97/38/00/1123973800.db2.gz UORCFLCTSMVDQW-DZGCQCFKSA-N 1 2 291.439 3.585 20 0 CHADLO COc1cccc2c(NCc3nccs3)c(C)c[nH+]c12 ZINC000302639165 1123975422 /nfs/dbraw/zinc/97/54/22/1123975422.db2.gz KXFJXRBNUCHJEI-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO Cc1ccccc1-c1noc(Cn2c[nH+]c(C(C)(C)C)c2)n1 ZINC000865375658 1123976401 /nfs/dbraw/zinc/97/64/01/1123976401.db2.gz KGUOSNFYFKCOHY-UHFFFAOYSA-N 1 2 296.374 3.587 20 0 CHADLO CC(C)(C)c1cn(Cc2cc(F)cc3cccnc32)c[nH+]1 ZINC000865375272 1123976521 /nfs/dbraw/zinc/97/65/21/1123976521.db2.gz HWXGGTFHBNEFFO-UHFFFAOYSA-N 1 2 283.350 3.916 20 0 CHADLO Clc1ccc(NCc2ccccc2-n2cc[nH+]c2)nc1 ZINC000302674229 1123977098 /nfs/dbraw/zinc/97/70/98/1123977098.db2.gz PDABJWXRVUQYJJ-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO CC(C)c1cc(N(C)CC[C@@H]2CCCO2)nc(C(C)C)[nH+]1 ZINC000302702218 1123979390 /nfs/dbraw/zinc/97/93/90/1123979390.db2.gz IQZVIAYUNCRLTA-AWEZNQCLSA-N 1 2 291.439 3.729 20 0 CHADLO COc1cccc2c(N3CCS[C@@H](C)CC3)cc[nH+]c12 ZINC000302766280 1123982591 /nfs/dbraw/zinc/98/25/91/1123982591.db2.gz YRNDNSCMLJELGE-LBPRGKRZSA-N 1 2 288.416 3.575 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc([NH+](C)C)cc2C)C1(C)C ZINC000085381640 1129158879 /nfs/dbraw/zinc/15/88/79/1129158879.db2.gz LDCBYBHPEVRLSQ-CVEARBPZSA-N 1 2 276.424 3.677 20 0 CHADLO Cc1nn(C)c(Cl)c1C[NH2+]Cc1ccc(C(C)(C)C)o1 ZINC000865815109 1124016270 /nfs/dbraw/zinc/01/62/70/1124016270.db2.gz UYAAGGPPNYZNPD-UHFFFAOYSA-N 1 2 295.814 3.562 20 0 CHADLO Cc1nc2c(s1)[C@H](Nc1[nH+]c(C)nc3[nH]ccc31)CCC2 ZINC000779918456 1129162117 /nfs/dbraw/zinc/16/21/17/1129162117.db2.gz CNIGLOBRFLGAKL-GFCCVEGCSA-N 1 2 299.403 3.521 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@@H]3CSC[C@@H]3C2)c1 ZINC000866202254 1124038011 /nfs/dbraw/zinc/03/80/11/1124038011.db2.gz TYCZZLMQXGSWII-OLZOCXBDSA-N 1 2 288.391 3.563 20 0 CHADLO C[C@@H](CCc1cccnc1)[NH2+]c1ccc(N(C)C)cc1 ZINC000866330243 1124053266 /nfs/dbraw/zinc/05/32/66/1124053266.db2.gz MGXWHMBVUPEBNC-AWEZNQCLSA-N 1 2 269.392 3.581 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2nnc(-c3ccco3)o2)c1 ZINC000444700493 1124054707 /nfs/dbraw/zinc/05/47/07/1124054707.db2.gz GIVKMQDAPBYLNW-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2nnc(-c3ccco3)o2)c1 ZINC000444700493 1124054711 /nfs/dbraw/zinc/05/47/11/1124054711.db2.gz GIVKMQDAPBYLNW-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1cscc1C[NH2+][C@@H]1c2ccccc2OC[C@H]1F ZINC000866349021 1124055705 /nfs/dbraw/zinc/05/57/05/1124055705.db2.gz FXTKKQNRKGPPNJ-UKRRQHHQSA-N 1 2 277.364 3.618 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+]Cc1c(C)cccc1F ZINC000925169476 1124086276 /nfs/dbraw/zinc/08/62/76/1124086276.db2.gz XOXDLUURQSKLIE-CQSZACIVSA-N 1 2 274.339 3.598 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+][C@H](CF)c2ccc(F)cc2)cn1 ZINC000631795570 1124106469 /nfs/dbraw/zinc/10/64/69/1124106469.db2.gz LXEIRDHSUQBSNL-BLLLJJGKSA-N 1 2 293.361 3.965 20 0 CHADLO Fc1ccc2oc(C[NH2+][C@@H]3CCCc4occc43)nc2c1 ZINC000634952382 1129166856 /nfs/dbraw/zinc/16/68/56/1129166856.db2.gz XHCKBVAASMHFJZ-GFCCVEGCSA-N 1 2 286.306 3.727 20 0 CHADLO CC[N@H+](Cc1nnc(C(C)C)[nH]1)[C@@H](C)c1ccc(F)cc1 ZINC000428450416 1124117647 /nfs/dbraw/zinc/11/76/47/1124117647.db2.gz ZQZSYEBINXCVNT-LBPRGKRZSA-N 1 2 290.386 3.650 20 0 CHADLO CC[N@@H+](Cc1nnc(C(C)C)[nH]1)[C@@H](C)c1ccc(F)cc1 ZINC000428450416 1124117648 /nfs/dbraw/zinc/11/76/48/1124117648.db2.gz ZQZSYEBINXCVNT-LBPRGKRZSA-N 1 2 290.386 3.650 20 0 CHADLO Cc1cc(C)c(CNC(=O)N(C)C[C@@H](C)C(C)(C)C)c[nH+]1 ZINC000634957983 1129167466 /nfs/dbraw/zinc/16/74/66/1129167466.db2.gz DNOMSMAQWPPJOG-CYBMUJFWSA-N 1 2 291.439 3.522 20 0 CHADLO CCOC[C@H](C)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000446903113 1124127343 /nfs/dbraw/zinc/12/73/43/1124127343.db2.gz VLDBZVGBRXEKMP-AWEZNQCLSA-N 1 2 299.418 3.723 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1ccc(-c2ccccc2Cl)o1 ZINC000446902684 1124128685 /nfs/dbraw/zinc/12/86/85/1124128685.db2.gz PVPBPHYTWQYGIS-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1ccc(-c2ccccc2Cl)o1 ZINC000446902684 1124128687 /nfs/dbraw/zinc/12/86/87/1124128687.db2.gz PVPBPHYTWQYGIS-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO Cc1ccc(C)c(-n2ccnc2SCc2c[nH+]cn2C)c1 ZINC000360741352 1124134059 /nfs/dbraw/zinc/13/40/59/1124134059.db2.gz ZCFVFYQSBMBEEB-UHFFFAOYSA-N 1 2 298.415 3.515 20 0 CHADLO Cc1cccc(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)c1C ZINC000635061239 1129171848 /nfs/dbraw/zinc/17/18/48/1129171848.db2.gz GLTMJGLINHDODR-INIZCTEOSA-N 1 2 285.391 3.726 20 0 CHADLO CC(C)Oc1ccnc(C[N@@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000621400522 1129172955 /nfs/dbraw/zinc/17/29/55/1129172955.db2.gz KFMGFKCEBWGIGO-AWEZNQCLSA-N 1 2 282.387 3.946 20 0 CHADLO CC(C)Oc1ccnc(C[N@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000621400522 1129172956 /nfs/dbraw/zinc/17/29/56/1129172956.db2.gz KFMGFKCEBWGIGO-AWEZNQCLSA-N 1 2 282.387 3.946 20 0 CHADLO Cc1cncc(C[NH2+][C@H](C(F)F)C2CCCCC2)c1 ZINC000621402017 1129173963 /nfs/dbraw/zinc/17/39/63/1129173963.db2.gz QRRMCTDTOAGXAT-AWEZNQCLSA-N 1 2 268.351 3.694 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2CCC[C@@H]2CF)c1Cl ZINC001238783400 1131246159 /nfs/dbraw/zinc/24/61/59/1131246159.db2.gz ILXKZRVDEGCVEN-GFCCVEGCSA-N 1 2 259.727 3.721 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2CCC[C@@H]2CF)c1Cl ZINC001238783400 1131246162 /nfs/dbraw/zinc/24/61/62/1131246162.db2.gz ILXKZRVDEGCVEN-GFCCVEGCSA-N 1 2 259.727 3.721 20 0 CHADLO c1ccc([C@@H]2CC[N@H+]2Cc2nc3ccccc3[nH]2)cc1 ZINC001119349405 1131252305 /nfs/dbraw/zinc/25/23/05/1131252305.db2.gz HQDPBSSEBOXJPF-INIZCTEOSA-N 1 2 263.344 3.510 20 0 CHADLO c1ccc([C@@H]2CC[N@@H+]2Cc2nc3ccccc3[nH]2)cc1 ZINC001119349405 1131252307 /nfs/dbraw/zinc/25/23/07/1131252307.db2.gz HQDPBSSEBOXJPF-INIZCTEOSA-N 1 2 263.344 3.510 20 0 CHADLO Cc1cc(-c2ccnc(Oc3ccccc3)c2)c[nH+]c1N ZINC001238846711 1131255440 /nfs/dbraw/zinc/25/54/40/1131255440.db2.gz CCNDEGBEOOXQPW-UHFFFAOYSA-N 1 2 277.327 3.827 20 0 CHADLO CCOCc1ccc(N[C@H](C)c2cn3c([nH+]2)CCCC3)cc1 ZINC001119632326 1131260749 /nfs/dbraw/zinc/26/07/49/1131260749.db2.gz RNHXXZNJBXZLST-CQSZACIVSA-N 1 2 299.418 3.929 20 0 CHADLO Cc1nc(N(C)Cc2ccc(C(C)C)cc2)cc[nH+]1 ZINC000361149173 1124461604 /nfs/dbraw/zinc/46/16/04/1124461604.db2.gz IVLVJMLCJMYNAA-UHFFFAOYSA-N 1 2 255.365 3.545 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2C[C@H](C)O[C@@H](C)[C@@H]2C)c1 ZINC000428491004 1124500496 /nfs/dbraw/zinc/50/04/96/1124500496.db2.gz RJOUIBZBDGYVKT-AVGNSLFASA-N 1 2 299.361 3.594 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2C[C@H](C)O[C@@H](C)[C@@H]2C)c1 ZINC000428491004 1124500502 /nfs/dbraw/zinc/50/05/02/1124500502.db2.gz RJOUIBZBDGYVKT-AVGNSLFASA-N 1 2 299.361 3.594 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc2ccccc2c1 ZINC001203997682 1124507623 /nfs/dbraw/zinc/50/76/23/1124507623.db2.gz DQHLGMSTZFFGGZ-HOTGVXAUSA-N 1 2 261.315 3.722 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc2ccccc2c1 ZINC001203997682 1124507629 /nfs/dbraw/zinc/50/76/29/1124507629.db2.gz DQHLGMSTZFFGGZ-HOTGVXAUSA-N 1 2 261.315 3.722 20 0 CHADLO COc1ccc[nH+]c1N[C@@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000526113165 1124508734 /nfs/dbraw/zinc/50/87/34/1124508734.db2.gz OPPMVXZFZVYAFE-NXEZZACHSA-N 1 2 274.286 3.623 20 0 CHADLO C[N@@H+](Cc1cc(Cl)sc1Cl)C[C@@H]1CCCO1 ZINC000449009355 1124632420 /nfs/dbraw/zinc/63/24/20/1124632420.db2.gz HJTOJWQQBKVZQY-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO C[N@H+](Cc1cc(Cl)sc1Cl)C[C@@H]1CCCO1 ZINC000449009355 1124632422 /nfs/dbraw/zinc/63/24/22/1124632422.db2.gz HJTOJWQQBKVZQY-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO CC[C@@H]1CCC[C@@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000448722406 1124608799 /nfs/dbraw/zinc/60/87/99/1124608799.db2.gz BZIXYZSJVRWUGJ-CJNGLKHVSA-N 1 2 283.375 3.637 20 0 CHADLO FCC1(CF)CC(Sc2[nH+]cc3ccccn32)C1 ZINC000871638613 1124621493 /nfs/dbraw/zinc/62/14/93/1124621493.db2.gz BKFWYSIGZDLBHX-UHFFFAOYSA-N 1 2 268.332 3.514 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449002167 1124628139 /nfs/dbraw/zinc/62/81/39/1124628139.db2.gz IZFGDQUPLSYRLD-UHFFFAOYSA-N 1 2 299.418 3.639 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449002167 1124628144 /nfs/dbraw/zinc/62/81/44/1124628144.db2.gz IZFGDQUPLSYRLD-UHFFFAOYSA-N 1 2 299.418 3.639 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449007667 1124630958 /nfs/dbraw/zinc/63/09/58/1124630958.db2.gz AHFLMCHFRTYIIS-CYBMUJFWSA-N 1 2 273.380 3.784 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449007667 1124630963 /nfs/dbraw/zinc/63/09/63/1124630963.db2.gz AHFLMCHFRTYIIS-CYBMUJFWSA-N 1 2 273.380 3.784 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncccc2Cl)[C@@H]1c1ccccc1 ZINC000528392604 1124651921 /nfs/dbraw/zinc/65/19/21/1124651921.db2.gz CDSKMVKKKYUXGB-LRDDRELGSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncccc2Cl)[C@@H]1c1ccccc1 ZINC000528392604 1124651925 /nfs/dbraw/zinc/65/19/25/1124651925.db2.gz CDSKMVKKKYUXGB-LRDDRELGSA-N 1 2 272.779 3.928 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccnn2C2CCCC2)cs1 ZINC000449123320 1124652160 /nfs/dbraw/zinc/65/21/60/1124652160.db2.gz NVRUZFCZWAMUFX-LLVKDONJSA-N 1 2 290.436 3.614 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+]Cc2cccc(C)c2F)o1 ZINC000449157677 1124657646 /nfs/dbraw/zinc/65/76/46/1124657646.db2.gz WAXPAYPRUXCCRB-OAHLLOKOSA-N 1 2 291.366 3.767 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(C)cc2OC)cs1 ZINC000449158325 1124658315 /nfs/dbraw/zinc/65/83/15/1124658315.db2.gz SUMRQRFWQMITIY-GFCCVEGCSA-N 1 2 290.432 3.873 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@@H](C)c1cccc(O)c1F)CO2 ZINC000872047711 1124665145 /nfs/dbraw/zinc/66/51/45/1124665145.db2.gz CZNOCDQKEBCEKM-SMDDNHRTSA-N 1 2 287.334 3.624 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N(C)C)c(C)c1)[C@H]1CCCOC1 ZINC000872059571 1124670414 /nfs/dbraw/zinc/67/04/14/1124670414.db2.gz INMANZCOGRNXSR-GOEBONIOSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@@H](Nc1ccc([NH+](C)C)c(C)c1)[C@H]1CCCOC1 ZINC000872059571 1124670419 /nfs/dbraw/zinc/67/04/19/1124670419.db2.gz INMANZCOGRNXSR-GOEBONIOSA-N 1 2 276.424 3.678 20 0 CHADLO CC1(C)C[C@H]([NH2+]Cc2ncccn2)c2ccccc2S1 ZINC000872087799 1124680464 /nfs/dbraw/zinc/68/04/64/1124680464.db2.gz HRBPLZMEHAQJSF-ZDUSSCGKSA-N 1 2 285.416 3.582 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(C(C)(C)C)n[nH]2)cs1 ZINC000449290825 1124688319 /nfs/dbraw/zinc/68/83/19/1124688319.db2.gz YZULXRWOYOSKGH-UHFFFAOYSA-N 1 2 277.437 3.709 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1ccncc1F ZINC000449294281 1124689619 /nfs/dbraw/zinc/68/96/19/1124689619.db2.gz LAZXJGLWYCYZER-UHFFFAOYSA-N 1 2 276.380 3.575 20 0 CHADLO C[N@H+](Cc1cc(Cl)sc1Cl)[C@H]1CCCOC1 ZINC000449315154 1124691868 /nfs/dbraw/zinc/69/18/68/1124691868.db2.gz GVYOKOIAIHZOAK-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO C[N@@H+](Cc1cc(Cl)sc1Cl)[C@H]1CCCOC1 ZINC000449315154 1124691873 /nfs/dbraw/zinc/69/18/73/1124691873.db2.gz GVYOKOIAIHZOAK-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO COc1cc(C)ccc1C[NH2+]Cc1c(F)cc(F)cc1F ZINC000449342354 1124694493 /nfs/dbraw/zinc/69/44/93/1124694493.db2.gz AZAVXKOLVPCLPP-UHFFFAOYSA-N 1 2 295.304 3.711 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C)c2ncccc2c1)C(F)F ZINC000449365523 1124701099 /nfs/dbraw/zinc/70/10/99/1124701099.db2.gz WIKFHRQHQCXOFW-CYBMUJFWSA-N 1 2 264.319 3.677 20 0 CHADLO CC[C@@H]([NH2+]C/C=C/c1ccc(F)cc1F)C(F)F ZINC000449365388 1124701159 /nfs/dbraw/zinc/70/11/59/1124701159.db2.gz VSHWAXMLMXTSHD-AAOUONPWSA-N 1 2 261.262 3.611 20 0 CHADLO COc1ccccc1-c1ccc(C[NH2+]C(CF)CF)cc1 ZINC000449380801 1124717467 /nfs/dbraw/zinc/71/74/67/1124717467.db2.gz OVOYOKPNZKSKMW-UHFFFAOYSA-N 1 2 291.341 3.759 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](c2ccccn2)C2CCC2)o1 ZINC000528659184 1124735265 /nfs/dbraw/zinc/73/52/65/1124735265.db2.gz OHUGGHYCWRZSGH-DOMZBBRYSA-N 1 2 271.364 3.570 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](c2ccccn2)C2CCC2)o1 ZINC000528659190 1124735854 /nfs/dbraw/zinc/73/58/54/1124735854.db2.gz OHUGGHYCWRZSGH-SWLSCSKDSA-N 1 2 271.364 3.570 20 0 CHADLO C[N@H+](Cc1ccsc1Cl)[C@@H](CO)c1ccccc1 ZINC000449454621 1124745799 /nfs/dbraw/zinc/74/57/99/1124745799.db2.gz PENYEMKIXJYIMK-ZDUSSCGKSA-N 1 2 281.808 3.567 20 0 CHADLO C[N@@H+](Cc1ccsc1Cl)[C@@H](CO)c1ccccc1 ZINC000449454621 1124745807 /nfs/dbraw/zinc/74/58/07/1124745807.db2.gz PENYEMKIXJYIMK-ZDUSSCGKSA-N 1 2 281.808 3.567 20 0 CHADLO Cc1cc(F)cc(N2C[C@H](C(F)(F)F)CC[C@@H]2C)[nH+]1 ZINC001120920945 1131285935 /nfs/dbraw/zinc/28/59/35/1131285935.db2.gz IXPZXDVLHCJHNM-VHSXEESVSA-N 1 2 276.277 3.696 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)[C@@H](C)CCC(C)(C)C ZINC001121231107 1131293513 /nfs/dbraw/zinc/29/35/13/1131293513.db2.gz HUSJQZSPCNLGSV-NSHDSACASA-N 1 2 262.397 3.521 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2ccc(-c3ccccc3)o2)c2nccn21 ZINC000449573072 1124807693 /nfs/dbraw/zinc/80/76/93/1124807693.db2.gz PKHHPIPUFIDXDF-BBRMVZONSA-N 1 2 293.370 3.939 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000449627984 1124826397 /nfs/dbraw/zinc/82/63/97/1124826397.db2.gz BJQGRWNXZLQFAU-INIZCTEOSA-N 1 2 285.391 3.784 20 0 CHADLO CC(C)n1cc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000449627984 1124826410 /nfs/dbraw/zinc/82/64/10/1124826410.db2.gz BJQGRWNXZLQFAU-INIZCTEOSA-N 1 2 285.391 3.784 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2cnc(C3CC3)o2)o1 ZINC000449699590 1124841655 /nfs/dbraw/zinc/84/16/55/1124841655.db2.gz RAGIPNMIXRQTBM-YGRLFVJLSA-N 1 2 272.348 3.558 20 0 CHADLO Fc1cc(Cl)ccc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000449708963 1124845955 /nfs/dbraw/zinc/84/59/55/1124845955.db2.gz XBKCELSRMBYAOV-UHFFFAOYSA-N 1 2 280.730 3.634 20 0 CHADLO Cc1ccc2nc(/C=C\c3ccc(N)[nH+]c3)ccc2c1 ZINC000821032430 1131315821 /nfs/dbraw/zinc/31/58/21/1131315821.db2.gz UJXYJNHIYVBGCF-UTCJRWHESA-N 1 2 261.328 3.691 20 0 CHADLO C[C@@H](c1csnn1)[N@H+](C)CCCCc1ccccc1 ZINC000450269658 1124965758 /nfs/dbraw/zinc/96/57/58/1124965758.db2.gz JLCUFODXUPQWJW-ZDUSSCGKSA-N 1 2 275.421 3.554 20 0 CHADLO C[C@@H](c1csnn1)[N@@H+](C)CCCCc1ccccc1 ZINC000450269658 1124965766 /nfs/dbraw/zinc/96/57/66/1124965766.db2.gz JLCUFODXUPQWJW-ZDUSSCGKSA-N 1 2 275.421 3.554 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1nnc(C2CC2)s1 ZINC000450291898 1124974170 /nfs/dbraw/zinc/97/41/70/1124974170.db2.gz VTVNMZIDBOGBDE-GFCCVEGCSA-N 1 2 287.432 3.917 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1nnc(C2CC2)s1 ZINC000450291898 1124974175 /nfs/dbraw/zinc/97/41/75/1124974175.db2.gz VTVNMZIDBOGBDE-GFCCVEGCSA-N 1 2 287.432 3.917 20 0 CHADLO Clc1cnc(C[N@@H+]2C[C@@H]3C[C@H](C2)c2ccccc23)s1 ZINC001137111813 1124975906 /nfs/dbraw/zinc/97/59/06/1124975906.db2.gz ISLDASBHHVAQMG-PHIMTYICSA-N 1 2 290.819 3.883 20 0 CHADLO Clc1cnc(C[N@H+]2C[C@@H]3C[C@H](C2)c2ccccc23)s1 ZINC001137111813 1124975911 /nfs/dbraw/zinc/97/59/11/1124975911.db2.gz ISLDASBHHVAQMG-PHIMTYICSA-N 1 2 290.819 3.883 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(Cl)nn2)[C@@H](c2ccco2)C1 ZINC000876780804 1124976802 /nfs/dbraw/zinc/97/68/02/1124976802.db2.gz BWXWHFPYZLQIES-WCQYABFASA-N 1 2 291.782 3.696 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(Cl)nn2)[C@@H](c2ccco2)C1 ZINC000876780804 1124976805 /nfs/dbraw/zinc/97/68/05/1124976805.db2.gz BWXWHFPYZLQIES-WCQYABFASA-N 1 2 291.782 3.696 20 0 CHADLO Clc1csc(C[N@@H+]2CCS[C@@H]3CCCC[C@H]32)n1 ZINC000876771282 1124977165 /nfs/dbraw/zinc/97/71/65/1124977165.db2.gz PREDVEPXMATZSA-NXEZZACHSA-N 1 2 288.869 3.657 20 0 CHADLO Clc1csc(C[N@H+]2CCS[C@@H]3CCCC[C@H]32)n1 ZINC000876771282 1124977170 /nfs/dbraw/zinc/97/71/70/1124977170.db2.gz PREDVEPXMATZSA-NXEZZACHSA-N 1 2 288.869 3.657 20 0 CHADLO Cc1cc(N[C@H](C)[C@@H]2CC2(F)F)ccc1[NH+](C)C ZINC000876844940 1124985398 /nfs/dbraw/zinc/98/53/98/1124985398.db2.gz LYRLTLSEVAQSRK-PWSUYJOCSA-N 1 2 254.324 3.517 20 0 CHADLO Cc1cccc(F)c1C[NH2+]Cc1nc(Cl)cs1 ZINC000877069247 1125004935 /nfs/dbraw/zinc/00/49/35/1125004935.db2.gz YQOCQPHAMBEVKO-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO Fc1c(Cl)cccc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000877069690 1125005994 /nfs/dbraw/zinc/00/59/94/1125005994.db2.gz FLDWRUHNNCHGNR-UHFFFAOYSA-N 1 2 280.730 3.634 20 0 CHADLO FC(F)C[N@H+](CC/C=C\c1ccccc1)C1CC1 ZINC000450390267 1125008467 /nfs/dbraw/zinc/00/84/67/1125008467.db2.gz LNCVQEFYYKVPJS-YWEYNIOJSA-N 1 2 251.320 3.819 20 0 CHADLO FC(F)C[N@@H+](CC/C=C\c1ccccc1)C1CC1 ZINC000450390267 1125008472 /nfs/dbraw/zinc/00/84/72/1125008472.db2.gz LNCVQEFYYKVPJS-YWEYNIOJSA-N 1 2 251.320 3.819 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)CCCn2cc[nH+]c2)c1 ZINC000529648990 1125030537 /nfs/dbraw/zinc/03/05/37/1125030537.db2.gz MDIUWOBWWQLMNU-CQSZACIVSA-N 1 2 285.391 3.816 20 0 CHADLO Fc1cc(C[N@@H+]2CC3(CCC3)[C@H]2C2CC2)cnc1Cl ZINC000877538817 1125040723 /nfs/dbraw/zinc/04/07/23/1125040723.db2.gz DZAHGXITSKIMKJ-CYBMUJFWSA-N 1 2 280.774 3.639 20 0 CHADLO Fc1cc(C[N@H+]2CC3(CCC3)[C@H]2C2CC2)cnc1Cl ZINC000877538817 1125040729 /nfs/dbraw/zinc/04/07/29/1125040729.db2.gz DZAHGXITSKIMKJ-CYBMUJFWSA-N 1 2 280.774 3.639 20 0 CHADLO CC(C)(CO)CCNc1cc[nH+]c2c(Cl)cccc12 ZINC000450630630 1125072320 /nfs/dbraw/zinc/07/23/20/1125072320.db2.gz UIDICCNKIJCEEJ-UHFFFAOYSA-N 1 2 278.783 3.709 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccc(F)cc1F)[C@H]1CC1(F)F ZINC000877804716 1125079796 /nfs/dbraw/zinc/07/97/96/1125079796.db2.gz BOWGLELQVNBBDJ-GMSGAONNSA-N 1 2 297.242 3.690 20 0 CHADLO COc1ccnc(C[NH2+][C@@H](C)c2ccc(CC(C)C)cc2)n1 ZINC000450655391 1125080235 /nfs/dbraw/zinc/08/02/35/1125080235.db2.gz WXVJVVRMULQVQW-AWEZNQCLSA-N 1 2 299.418 3.535 20 0 CHADLO Cc1csc([C@@H]2CC[N@@H+](Cc3ncc(Cl)s3)C2)n1 ZINC000877814983 1125085446 /nfs/dbraw/zinc/08/54/46/1125085446.db2.gz ZERKQIXUBSKEFD-SECBINFHSA-N 1 2 299.852 3.551 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@H+](Cc2ccnc(Cl)c2F)C1 ZINC000877812797 1125085368 /nfs/dbraw/zinc/08/53/68/1125085368.db2.gz SRHWVOZRZDTPIL-NSHDSACASA-N 1 2 296.695 3.648 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@@H+](Cc2ccnc(Cl)c2F)C1 ZINC000877812797 1125085375 /nfs/dbraw/zinc/08/53/75/1125085375.db2.gz SRHWVOZRZDTPIL-NSHDSACASA-N 1 2 296.695 3.648 20 0 CHADLO Cc1csc([C@@H]2CC[N@H+](Cc3ncc(Cl)s3)C2)n1 ZINC000877814983 1125085437 /nfs/dbraw/zinc/08/54/37/1125085437.db2.gz ZERKQIXUBSKEFD-SECBINFHSA-N 1 2 299.852 3.551 20 0 CHADLO CS[C@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000450684465 1125086086 /nfs/dbraw/zinc/08/60/86/1125086086.db2.gz RVDXFMRRHXZHFB-LBPRGKRZSA-N 1 2 279.453 3.665 20 0 CHADLO CC(C)(C)c1nc(COc2ccccc2-n2cc[nH+]c2)co1 ZINC000877877710 1125094631 /nfs/dbraw/zinc/09/46/31/1125094631.db2.gz DWVQWEDSGLVWOI-UHFFFAOYSA-N 1 2 297.358 3.737 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(C(F)(F)F)co2)o1 ZINC000877934002 1125104276 /nfs/dbraw/zinc/10/42/76/1125104276.db2.gz YONGVOUZEOZYPL-UHFFFAOYSA-N 1 2 273.254 3.832 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(C(F)(F)F)co2)o1 ZINC000877934002 1125104272 /nfs/dbraw/zinc/10/42/72/1125104272.db2.gz YONGVOUZEOZYPL-UHFFFAOYSA-N 1 2 273.254 3.832 20 0 CHADLO CCC1(CC)C[N@H+](Cc2nnc(C3CC3)s2)CCS1 ZINC000450778046 1125105449 /nfs/dbraw/zinc/10/54/49/1125105449.db2.gz UAXCTSKKFHDLOA-UHFFFAOYSA-N 1 2 297.493 3.523 20 0 CHADLO CCC1(CC)C[N@@H+](Cc2nnc(C3CC3)s2)CCS1 ZINC000450778046 1125105451 /nfs/dbraw/zinc/10/54/51/1125105451.db2.gz UAXCTSKKFHDLOA-UHFFFAOYSA-N 1 2 297.493 3.523 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2scnc2Cl)s1 ZINC000878044256 1125114162 /nfs/dbraw/zinc/11/41/62/1125114162.db2.gz JYJPFWCYFPMPRM-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2scnc2Cl)s1 ZINC000878044256 1125114164 /nfs/dbraw/zinc/11/41/64/1125114164.db2.gz JYJPFWCYFPMPRM-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1conc1C[N@@H+]1CCSC[C@@H]1c1ccc(C)cc1 ZINC000878110635 1125120261 /nfs/dbraw/zinc/12/02/61/1125120261.db2.gz LEUMOCANJJMPCH-MRXNPFEDSA-N 1 2 288.416 3.582 20 0 CHADLO Cc1conc1C[N@H+]1CCSC[C@@H]1c1ccc(C)cc1 ZINC000878110635 1125120266 /nfs/dbraw/zinc/12/02/66/1125120266.db2.gz LEUMOCANJJMPCH-MRXNPFEDSA-N 1 2 288.416 3.582 20 0 CHADLO Cc1nc(C[NH+]2[C@@H](C)CC[C@@H]2C)sc1Br ZINC000878187960 1125128798 /nfs/dbraw/zinc/12/87/98/1125128798.db2.gz JWQVRYPHQUKJRI-YUMQZZPRSA-N 1 2 289.242 3.587 20 0 CHADLO Cc1conc1C[N@@H+]1[C@H](C)Cc2cc(F)ccc2[C@H]1C ZINC000878337762 1125141976 /nfs/dbraw/zinc/14/19/76/1125141976.db2.gz HFKBXXOTPWNRCP-VXGBXAGGSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1conc1C[N@H+]1[C@H](C)Cc2cc(F)ccc2[C@H]1C ZINC000878337762 1125141978 /nfs/dbraw/zinc/14/19/78/1125141978.db2.gz HFKBXXOTPWNRCP-VXGBXAGGSA-N 1 2 274.339 3.630 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@H+](Cc2nc3c(o2)CCCC3)C1 ZINC000878428505 1125153886 /nfs/dbraw/zinc/15/38/86/1125153886.db2.gz OUBLTEQAASTWPC-BBRMVZONSA-N 1 2 297.402 3.532 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2nc3c(o2)CCCC3)C1 ZINC000878428505 1125153891 /nfs/dbraw/zinc/15/38/91/1125153891.db2.gz OUBLTEQAASTWPC-BBRMVZONSA-N 1 2 297.402 3.532 20 0 CHADLO C[C@H](C[N@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1)C(C)(C)C ZINC000878694775 1125173396 /nfs/dbraw/zinc/17/33/96/1125173396.db2.gz XIQBZZMZLBFISF-UKRRQHHQSA-N 1 2 286.423 3.508 20 0 CHADLO C[C@H](C[N@@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1)C(C)(C)C ZINC000878694775 1125173400 /nfs/dbraw/zinc/17/34/00/1125173400.db2.gz XIQBZZMZLBFISF-UKRRQHHQSA-N 1 2 286.423 3.508 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@H]1CCC3(CCC3)O1)C2 ZINC000878704257 1125174075 /nfs/dbraw/zinc/17/40/75/1125174075.db2.gz SBVXYLVEBWQQFB-OAHLLOKOSA-N 1 2 277.795 3.757 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@H]1CCC3(CCC3)O1)C2 ZINC000878704257 1125174076 /nfs/dbraw/zinc/17/40/76/1125174076.db2.gz SBVXYLVEBWQQFB-OAHLLOKOSA-N 1 2 277.795 3.757 20 0 CHADLO Cc1conc1C[NH2+]C1(c2cccc(Cl)c2)CCC1 ZINC000878770126 1125178527 /nfs/dbraw/zinc/17/85/27/1125178527.db2.gz UEHCRBOVGWGPNO-UHFFFAOYSA-N 1 2 276.767 3.805 20 0 CHADLO Cc1conc1C[NH2+]C1(c2ccc(C(F)(F)F)cc2)CC1 ZINC000878812011 1125180774 /nfs/dbraw/zinc/18/07/74/1125180774.db2.gz LMXRBSDKLWUJBL-UHFFFAOYSA-N 1 2 296.292 3.781 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccccc1OC(C)C)C2 ZINC001137139664 1125190483 /nfs/dbraw/zinc/19/04/83/1125190483.db2.gz NMOVRYZOCOJFMN-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccccc1OC(C)C)C2 ZINC001137139664 1125190486 /nfs/dbraw/zinc/19/04/86/1125190486.db2.gz NMOVRYZOCOJFMN-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@@H](C)c1ccco1 ZINC000122482722 1125191285 /nfs/dbraw/zinc/19/12/85/1125191285.db2.gz DYRQLGRCZWXRGO-QWRGUYRKSA-N 1 2 263.312 3.839 20 0 CHADLO Cc1ccc(CCNc2cc(C(F)(F)F)cc[nH+]2)o1 ZINC000531172861 1125199366 /nfs/dbraw/zinc/19/93/66/1125199366.db2.gz LKBSBTURXWVDAX-UHFFFAOYSA-N 1 2 270.254 3.656 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)NCCCC(C)(C)C)c1 ZINC000531299059 1125207227 /nfs/dbraw/zinc/20/72/27/1125207227.db2.gz BGWUBTMNTUWSFD-UHFFFAOYSA-N 1 2 299.418 3.737 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(C)cc1 ZINC001239482051 1131339218 /nfs/dbraw/zinc/33/92/18/1131339218.db2.gz MBHFMMDCXIMNMQ-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO C[N@H+](Cc1nc2c(o1)CCCC2)Cc1ccc2cc[nH]c2c1 ZINC000879729185 1125228596 /nfs/dbraw/zinc/22/85/96/1125228596.db2.gz XDRVFRXHHNFAPT-UHFFFAOYSA-N 1 2 295.386 3.667 20 0 CHADLO C[N@@H+](Cc1nc2c(o1)CCCC2)Cc1ccc2cc[nH]c2c1 ZINC000879729185 1125228600 /nfs/dbraw/zinc/22/86/00/1125228600.db2.gz XDRVFRXHHNFAPT-UHFFFAOYSA-N 1 2 295.386 3.667 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2cccnc2Cl)c1 ZINC000879747957 1125229833 /nfs/dbraw/zinc/22/98/33/1125229833.db2.gz VGJCUWYLLVICLE-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2cccnc2Cl)c1 ZINC000879747957 1125229836 /nfs/dbraw/zinc/22/98/36/1125229836.db2.gz VGJCUWYLLVICLE-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Fc1ccc(C[N@@H+]2CCCC(F)(F)CC2)c(F)c1F ZINC000628422509 1125235861 /nfs/dbraw/zinc/23/58/61/1125235861.db2.gz QNWNOURIBWPTAH-UHFFFAOYSA-N 1 2 279.252 3.725 20 0 CHADLO Fc1ccc(C[N@H+]2CCCC(F)(F)CC2)c(F)c1F ZINC000628422509 1125235865 /nfs/dbraw/zinc/23/58/65/1125235865.db2.gz QNWNOURIBWPTAH-UHFFFAOYSA-N 1 2 279.252 3.725 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000880237491 1125258433 /nfs/dbraw/zinc/25/84/33/1125258433.db2.gz WZUDOKOVMCWHAD-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000880237491 1125258435 /nfs/dbraw/zinc/25/84/35/1125258435.db2.gz WZUDOKOVMCWHAD-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@@H]1CC[N@H+](Cc2ccc(Cl)nc2C(F)(F)F)C1 ZINC000880298602 1125263305 /nfs/dbraw/zinc/26/33/05/1125263305.db2.gz FYUYIRXZAZRKDC-QMMMGPOBSA-N 1 2 296.695 3.545 20 0 CHADLO FC[C@@H]1CC[N@@H+](Cc2ccc(Cl)nc2C(F)(F)F)C1 ZINC000880298602 1125263308 /nfs/dbraw/zinc/26/33/08/1125263308.db2.gz FYUYIRXZAZRKDC-QMMMGPOBSA-N 1 2 296.695 3.545 20 0 CHADLO CC[C@@H]1CCC[C@]1(C)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000880321565 1125265536 /nfs/dbraw/zinc/26/55/36/1125265536.db2.gz XDNHHIGRHIGUKO-WBVHZDCISA-N 1 2 274.408 3.531 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(C(C)(C)C)ccc1OC ZINC000090170425 1125267868 /nfs/dbraw/zinc/26/78/68/1125267868.db2.gz IIMDAFJJBAHSFD-UHFFFAOYSA-N 1 2 287.407 3.821 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCc3ccsc3[C@H]2C)n1 ZINC000880377883 1125269489 /nfs/dbraw/zinc/26/94/89/1125269489.db2.gz HVXRCNDNCXKJBO-GFCCVEGCSA-N 1 2 275.421 3.645 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCc3ccsc3[C@H]2C)n1 ZINC000880377883 1125269494 /nfs/dbraw/zinc/26/94/94/1125269494.db2.gz HVXRCNDNCXKJBO-GFCCVEGCSA-N 1 2 275.421 3.645 20 0 CHADLO c1c(C[N@@H+]2C[C@@H]3CC[C@H]2c2ccccc23)nn2c1CCCC2 ZINC000880536855 1125279334 /nfs/dbraw/zinc/27/93/34/1125279334.db2.gz ORPSPEBEZUJKJA-LIRRHRJNSA-N 1 2 293.414 3.654 20 0 CHADLO c1c(C[N@H+]2C[C@@H]3CC[C@H]2c2ccccc23)nn2c1CCCC2 ZINC000880536855 1125279339 /nfs/dbraw/zinc/27/93/39/1125279339.db2.gz ORPSPEBEZUJKJA-LIRRHRJNSA-N 1 2 293.414 3.654 20 0 CHADLO c1ccc(COCCC2(CNc3cccc[nH+]3)CC2)cc1 ZINC000184118967 1125291863 /nfs/dbraw/zinc/29/18/63/1125291863.db2.gz DFWKRBYVMIFNCX-UHFFFAOYSA-N 1 2 282.387 3.881 20 0 CHADLO CC[N@H+](Cc1ncccn1)[C@H](C)c1cc2ccccc2o1 ZINC000191960360 1125329724 /nfs/dbraw/zinc/32/97/24/1125329724.db2.gz KELMURMZGJRURS-CYBMUJFWSA-N 1 2 281.359 3.806 20 0 CHADLO CC[N@@H+](Cc1ncccn1)[C@H](C)c1cc2ccccc2o1 ZINC000191960360 1125329726 /nfs/dbraw/zinc/32/97/26/1125329726.db2.gz KELMURMZGJRURS-CYBMUJFWSA-N 1 2 281.359 3.806 20 0 CHADLO c1c2ccccc2[nH]c1[C@H]1CCCC[N@H+]1Cc1ncccn1 ZINC000192102047 1125331041 /nfs/dbraw/zinc/33/10/41/1125331041.db2.gz FZBWNTNPOUTEBG-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO c1c2ccccc2[nH]c1[C@H]1CCCC[N@@H+]1Cc1ncccn1 ZINC000192102047 1125331042 /nfs/dbraw/zinc/33/10/42/1125331042.db2.gz FZBWNTNPOUTEBG-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO FC[C@@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000882803288 1125357426 /nfs/dbraw/zinc/35/74/26/1125357426.db2.gz KEGKFSLGSMBXDX-NSHDSACASA-N 1 2 262.303 3.560 20 0 CHADLO CCC[C@@H](C)CC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882873540 1125359640 /nfs/dbraw/zinc/35/96/40/1125359640.db2.gz CPHJMQQDLPCODS-WBVHZDCISA-N 1 2 299.418 3.567 20 0 CHADLO CSc1ccc(CNc2ccc([NH+](C)C)cc2C)o1 ZINC000882906183 1125361327 /nfs/dbraw/zinc/36/13/27/1125361327.db2.gz PXSHUYRIBGLAMA-UHFFFAOYSA-N 1 2 276.405 3.988 20 0 CHADLO FC(F)(F)c1csc(C[NH+]2CCCCCCC2)n1 ZINC000130541652 1125363391 /nfs/dbraw/zinc/36/33/91/1125363391.db2.gz MJVPRAUTXZANIM-UHFFFAOYSA-N 1 2 278.343 3.928 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(C(C)C)c[nH]1)c1ccccn1 ZINC000882978833 1125365675 /nfs/dbraw/zinc/36/56/75/1125365675.db2.gz CXUVCKNEMBQFAV-CYBMUJFWSA-N 1 2 272.396 3.559 20 0 CHADLO C[C@H](Cc1nc([C@H]2CCCC(C)(C)C2)no1)n1cc[nH+]c1 ZINC000351463782 1125382517 /nfs/dbraw/zinc/38/25/17/1125382517.db2.gz PLRRHSWHCQNVKO-OLZOCXBDSA-N 1 2 288.395 3.754 20 0 CHADLO CC(C)(C)c1noc(C[NH2+]C2(c3ccccc3)CCC2)n1 ZINC000170907165 1125383951 /nfs/dbraw/zinc/38/39/51/1125383951.db2.gz XLRFCHLJHGBXEY-UHFFFAOYSA-N 1 2 285.391 3.536 20 0 CHADLO COc1cc(C[NH+]2CCOCC2)ccc1-c1ccccc1C ZINC001239504170 1131351812 /nfs/dbraw/zinc/35/18/12/1131351812.db2.gz MYQXFMIPQPCDNH-UHFFFAOYSA-N 1 2 297.398 3.503 20 0 CHADLO C/C=C\C[C@H]1CCC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000626164626 1125389436 /nfs/dbraw/zinc/38/94/36/1125389436.db2.gz MDWMWJUDVHUVSZ-ZRMMWKCHSA-N 1 2 275.396 3.515 20 0 CHADLO C/C=C\C[C@H]1CCC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000626164626 1125389438 /nfs/dbraw/zinc/38/94/38/1125389438.db2.gz MDWMWJUDVHUVSZ-ZRMMWKCHSA-N 1 2 275.396 3.515 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccc(Cl)o2)c(Cl)n1 ZINC000883291545 1125391627 /nfs/dbraw/zinc/39/16/27/1125391627.db2.gz JDRHJXHOYSCOAW-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2ccc(C)nc2Cl)c(F)c1 ZINC000883293177 1125392332 /nfs/dbraw/zinc/39/23/32/1125392332.db2.gz LWZFHQRMIMCYMC-UHFFFAOYSA-N 1 2 296.748 3.920 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]C2(c3ncccn3)CCC2)cc1 ZINC000883313763 1125393508 /nfs/dbraw/zinc/39/35/08/1125393508.db2.gz LWJAQTDHIIJISP-ZDUSSCGKSA-N 1 2 299.443 3.929 20 0 CHADLO Fc1ccc2c(c1)CCC[C@H]2[NH2+]C1(c2ncccn2)CCC1 ZINC000883312618 1125393864 /nfs/dbraw/zinc/39/38/64/1125393864.db2.gz IXMHEXDEBQWGDH-MRXNPFEDSA-N 1 2 297.377 3.662 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cc(C)sc2C)o1 ZINC000230615163 1125395306 /nfs/dbraw/zinc/39/53/06/1125395306.db2.gz HBQITWPCQUCREB-JTQLQIEISA-N 1 2 264.394 3.766 20 0 CHADLO Cc1cc(N[C@H](C)c2cccnc2Cl)c[nH+]c1C ZINC000883342378 1125398528 /nfs/dbraw/zinc/39/85/28/1125398528.db2.gz UTYZDDZKCLDJOB-LLVKDONJSA-N 1 2 261.756 3.920 20 0 CHADLO Cc1noc(C2CCC(Nc3c[nH+]c(C)c(C)c3)CC2)n1 ZINC000883342777 1125398941 /nfs/dbraw/zinc/39/89/41/1125398941.db2.gz MEIDYIOECZGKDW-UHFFFAOYSA-N 1 2 286.379 3.528 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@@H]2c3ccccc3CC[C@H]2F)c(C)n1 ZINC000883375922 1125404438 /nfs/dbraw/zinc/40/44/38/1125404438.db2.gz BYFVLOOPAJIOPL-JBBXEZCESA-N 1 2 299.393 3.770 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@@H]1F)c1cncc(F)c1 ZINC000883377080 1125404798 /nfs/dbraw/zinc/40/47/98/1125404798.db2.gz YWLXXLQRCGSNJH-MZPVMMEZSA-N 1 2 288.341 3.897 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1csc(CC)n1 ZINC000883378458 1125405065 /nfs/dbraw/zinc/40/50/65/1125405065.db2.gz MQVIQUAGZZCQMN-UHFFFAOYSA-N 1 2 293.461 3.966 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cccc(O)c1 ZINC000883378656 1125405440 /nfs/dbraw/zinc/40/54/40/1125405440.db2.gz UJSVQRPIFUCLPE-UHFFFAOYSA-N 1 2 274.389 3.652 20 0 CHADLO Cc1occc1C[NH2+][C@@H]1c2ccccc2CC[C@H]1F ZINC000883380798 1125406275 /nfs/dbraw/zinc/40/62/75/1125406275.db2.gz ATPNDZHDVMOAAX-HZPDHXFCSA-N 1 2 259.324 3.703 20 0 CHADLO F[C@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1cccc2c1OCO2 ZINC000883383871 1125407381 /nfs/dbraw/zinc/40/73/81/1125407381.db2.gz ICUIWIQEZMMGPH-RDJZCZTQSA-N 1 2 299.345 3.531 20 0 CHADLO Cc1ccc2c(cccc2N(C)c2nc(Cl)ccc2N)[nH+]1 ZINC001212795953 1125418107 /nfs/dbraw/zinc/41/81/07/1125418107.db2.gz KRGIYPOMXOGTEJ-UHFFFAOYSA-N 1 2 298.777 3.942 20 0 CHADLO Cc1cccnc1C[NH2+]C1(c2c(F)cccc2F)CCC1 ZINC000626178878 1125420673 /nfs/dbraw/zinc/42/06/73/1125420673.db2.gz MSKVXORACOEJLY-UHFFFAOYSA-N 1 2 288.341 3.837 20 0 CHADLO CC[C@@H](C)[C@H]([NH2+]Cc1cccc2ccccc21)C(=O)OC ZINC000035043278 1125423034 /nfs/dbraw/zinc/42/30/34/1125423034.db2.gz RBTNINYAFHYYQY-DYVFJYSZSA-N 1 2 285.387 3.517 20 0 CHADLO F[C@H]1C[C@H](CNc2[nH+]cccc2-c2ccccc2)C1 ZINC001168234453 1125423050 /nfs/dbraw/zinc/42/30/50/1125423050.db2.gz ZMSMQMJDUYOSIB-MQMHXKEQSA-N 1 2 256.324 3.909 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2cccc3ncccc23)C1 ZINC001137624254 1125426901 /nfs/dbraw/zinc/42/69/01/1125426901.db2.gz KEUBRIRZGLGWCU-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2cccc3ncccc23)C1 ZINC001137624254 1125426907 /nfs/dbraw/zinc/42/69/07/1125426907.db2.gz KEUBRIRZGLGWCU-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO Cc1cccc2c1C[N@H+]([C@@H](C)c1nc(C(C)(C)C)no1)CC2 ZINC000429555243 1125429201 /nfs/dbraw/zinc/42/92/01/1125429201.db2.gz ADXBJDAUMMSDLM-ZDUSSCGKSA-N 1 2 299.418 3.795 20 0 CHADLO Cc1cccc2c1C[N@@H+]([C@@H](C)c1nc(C(C)(C)C)no1)CC2 ZINC000429555243 1125429204 /nfs/dbraw/zinc/42/92/04/1125429204.db2.gz ADXBJDAUMMSDLM-ZDUSSCGKSA-N 1 2 299.418 3.795 20 0 CHADLO Cc1ccc2c(Nc3cc4ccccn4n3)cccc2[nH+]1 ZINC001212796873 1125429450 /nfs/dbraw/zinc/42/94/50/1125429450.db2.gz JNDPSBUXFKAVTH-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)cs1)c1cc(F)ccc1F ZINC000123951799 1125433786 /nfs/dbraw/zinc/43/37/86/1125433786.db2.gz PMIVKUJVDRDXDQ-ZDUSSCGKSA-N 1 2 282.359 3.971 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2csc(C)n2)cc1 ZINC000042365537 1125440108 /nfs/dbraw/zinc/44/01/08/1125440108.db2.gz VPJQRPODSFULON-UHFFFAOYSA-N 1 2 278.446 3.805 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2csc(C)n2)cc1 ZINC000042365537 1125440111 /nfs/dbraw/zinc/44/01/11/1125440111.db2.gz VPJQRPODSFULON-UHFFFAOYSA-N 1 2 278.446 3.805 20 0 CHADLO Cc1ccc2c(Nc3cc(F)cc(C(N)=O)c3)cccc2[nH+]1 ZINC001212797624 1125440357 /nfs/dbraw/zinc/44/03/57/1125440357.db2.gz ACHIAIULPLLXJH-UHFFFAOYSA-N 1 2 295.317 3.525 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C2CC2)no1)c1cccc(Cl)c1 ZINC000125267514 1125446593 /nfs/dbraw/zinc/44/65/93/1125446593.db2.gz JGQVGXGRDHCMHE-ZDUSSCGKSA-N 1 2 291.782 3.841 20 0 CHADLO CC(C)C[C@H](C(=O)Nc1cscc1Cl)n1cc[nH+]c1 ZINC000636437525 1129203770 /nfs/dbraw/zinc/20/37/70/1129203770.db2.gz SRUTZZOMKSMVOA-GFCCVEGCSA-N 1 2 297.811 3.824 20 0 CHADLO Fc1ccccc1[C@@H]([NH2+]Cc1c[nH]cn1)C1CCCC1 ZINC000088765542 1129209610 /nfs/dbraw/zinc/20/96/10/1129209610.db2.gz UMIZCAKGSKOIPN-INIZCTEOSA-N 1 2 273.355 3.570 20 0 CHADLO COc1cccc(-c2cc[nH+]c(N3CCCCC3)c2)c1 ZINC001239518969 1131359525 /nfs/dbraw/zinc/35/95/25/1131359525.db2.gz IQZSZJDSAWCPFI-UHFFFAOYSA-N 1 2 268.360 3.748 20 0 CHADLO CC(C)[N@@H+](Cc1cccc2ncccc12)CC(F)F ZINC000638179303 1129247035 /nfs/dbraw/zinc/24/70/35/1129247035.db2.gz QTJVGQYSNKIUDX-UHFFFAOYSA-N 1 2 264.319 3.710 20 0 CHADLO CC(C)[N@H+](Cc1cccc2ncccc12)CC(F)F ZINC000638179303 1129247039 /nfs/dbraw/zinc/24/70/39/1129247039.db2.gz QTJVGQYSNKIUDX-UHFFFAOYSA-N 1 2 264.319 3.710 20 0 CHADLO CCC(C)(CC)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000638610827 1129263368 /nfs/dbraw/zinc/26/33/68/1129263368.db2.gz LBCDYIAAZZJNEM-CYBMUJFWSA-N 1 2 264.417 3.594 20 0 CHADLO COc1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001239522451 1131361692 /nfs/dbraw/zinc/36/16/92/1131361692.db2.gz JOYWEEXDOXMFAS-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO CCCOc1ccc(NCc2c[nH+]cn2CC)cc1Cl ZINC000090172422 1129272686 /nfs/dbraw/zinc/27/26/86/1129272686.db2.gz UMUMGJYDMRPWPF-UHFFFAOYSA-N 1 2 293.798 3.957 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cccc(C(F)(F)F)c2)no1 ZINC000282624270 1129274203 /nfs/dbraw/zinc/27/42/03/1129274203.db2.gz JGOASHGWWOCHLS-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccnc(Cl)c2)Cc2ccccc2O1 ZINC000795430184 1129275274 /nfs/dbraw/zinc/27/52/74/1129275274.db2.gz IOMFQHVWDSPKJQ-LBPRGKRZSA-N 1 2 288.778 3.518 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccnc(Cl)c2)Cc2ccccc2O1 ZINC000795430184 1129275277 /nfs/dbraw/zinc/27/52/77/1129275277.db2.gz IOMFQHVWDSPKJQ-LBPRGKRZSA-N 1 2 288.778 3.518 20 0 CHADLO CC1CCC(=CC(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000734100539 1129293377 /nfs/dbraw/zinc/29/33/77/1129293377.db2.gz FJUOIVLMUHLQAU-UHFFFAOYSA-N 1 2 295.386 3.947 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=C3CCC(C)CC3)cc2[nH+]1 ZINC000734121347 1129295306 /nfs/dbraw/zinc/29/53/06/1129295306.db2.gz KATGRUGJOQDQSV-UHFFFAOYSA-N 1 2 283.375 3.946 20 0 CHADLO COc1cncc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)c1C ZINC000639235192 1129296549 /nfs/dbraw/zinc/29/65/49/1129296549.db2.gz PVZFDYGLIVBEMY-KRWDZBQOSA-N 1 2 298.386 3.719 20 0 CHADLO COc1cncc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)c1C ZINC000639235192 1129296550 /nfs/dbraw/zinc/29/65/50/1129296550.db2.gz PVZFDYGLIVBEMY-KRWDZBQOSA-N 1 2 298.386 3.719 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nc(C3CCC3)no2)cc1 ZINC000639452976 1129315936 /nfs/dbraw/zinc/31/59/36/1129315936.db2.gz VPZMWGFZZLYWKI-CYBMUJFWSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nc(C3CCC3)no2)cc1 ZINC000639452976 1129315941 /nfs/dbraw/zinc/31/59/41/1129315941.db2.gz VPZMWGFZZLYWKI-CYBMUJFWSA-N 1 2 285.391 3.839 20 0 CHADLO c1csc([C@@H]([NH2+]Cc2nc(C3CCC3)no2)C2CC2)c1 ZINC000639563368 1129323837 /nfs/dbraw/zinc/32/38/37/1129323837.db2.gz TXAJMOXCEGONGB-AWEZNQCLSA-N 1 2 289.404 3.640 20 0 CHADLO CCCCC[N@H+](Cn1nc2ccccn2c1=S)C(C)C ZINC000734829697 1129324618 /nfs/dbraw/zinc/32/46/18/1129324618.db2.gz VFWZKQSBTCBSOJ-UHFFFAOYSA-N 1 2 292.452 3.723 20 0 CHADLO CCCCC[N@@H+](Cn1nc2ccccn2c1=S)C(C)C ZINC000734829697 1129324620 /nfs/dbraw/zinc/32/46/20/1129324620.db2.gz VFWZKQSBTCBSOJ-UHFFFAOYSA-N 1 2 292.452 3.723 20 0 CHADLO CCC(F)(F)C[NH+]1CCC(c2ccc(C)nc2)CC1 ZINC000639582676 1129325150 /nfs/dbraw/zinc/32/51/50/1129325150.db2.gz JQXXQQASYGQMNU-UHFFFAOYSA-N 1 2 268.351 3.615 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@H](c3cccnc3)C2)s1 ZINC000639697074 1129329921 /nfs/dbraw/zinc/32/99/21/1129329921.db2.gz VVKOHWBGWUUECR-LBPRGKRZSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@H](c3cccnc3)C2)s1 ZINC000639697074 1129329925 /nfs/dbraw/zinc/32/99/25/1129329925.db2.gz VVKOHWBGWUUECR-LBPRGKRZSA-N 1 2 293.823 3.571 20 0 CHADLO Cc1cc(C)cc(-c2cc(C[NH+]3CCOCC3)ccc2F)c1 ZINC001239528087 1131366943 /nfs/dbraw/zinc/36/69/43/1131366943.db2.gz YWICRDIXSRTVHD-UHFFFAOYSA-N 1 2 299.389 3.942 20 0 CHADLO Fc1ccc(Cl)cc1C[N@@H+]1CCC[C@@H]1c1cn[nH]c1 ZINC000639776589 1129333289 /nfs/dbraw/zinc/33/32/89/1129333289.db2.gz CNLMLSLVFGMUJA-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(Cl)cc1C[N@H+]1CCC[C@@H]1c1cn[nH]c1 ZINC000639776589 1129333293 /nfs/dbraw/zinc/33/32/93/1129333293.db2.gz CNLMLSLVFGMUJA-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1[nH]c(CN2CC3(CCCC3)[C@H]2c2ccco2)[nH+]c1C ZINC000639799469 1129335229 /nfs/dbraw/zinc/33/52/29/1129335229.db2.gz YBZXSBKMJKCOLQ-MRXNPFEDSA-N 1 2 285.391 3.737 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C(F)F)no1)c1ccc(F)cc1 ZINC000639928480 1129340773 /nfs/dbraw/zinc/34/07/73/1129340773.db2.gz MHKSQHKLHPGQNL-CQSZACIVSA-N 1 2 299.296 3.561 20 0 CHADLO CC[C@](C)([NH2+]Cc1nnc(C(C)C)o1)c1ccc(F)cc1 ZINC000639927171 1129340898 /nfs/dbraw/zinc/34/08/98/1129340898.db2.gz DNTBPTJGPVOUDC-INIZCTEOSA-N 1 2 291.370 3.747 20 0 CHADLO Cc1cc(C)c(NC(=O)N2[C@H](C)CCC2(C)C)c(C)[nH+]1 ZINC000640142010 1129349976 /nfs/dbraw/zinc/34/99/76/1129349976.db2.gz VXIYZKCWIZTFNR-GFCCVEGCSA-N 1 2 275.396 3.802 20 0 CHADLO Cc1ccc(OCCOc2ccccc2-n2cc[nH+]c2)cc1 ZINC000735918060 1129361079 /nfs/dbraw/zinc/36/10/79/1129361079.db2.gz YPFZOUDNKWXDRN-UHFFFAOYSA-N 1 2 294.354 3.639 20 0 CHADLO CC(C)Oc1cccc([C@@H](C)Nc2cc(CO)cc[nH+]2)c1 ZINC000641296079 1129378244 /nfs/dbraw/zinc/37/82/44/1129378244.db2.gz QKVPVGJXNVIHQO-CYBMUJFWSA-N 1 2 286.375 3.534 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)Nc2cc(CO)cc[nH+]2)cc1 ZINC000641296812 1129379006 /nfs/dbraw/zinc/37/90/06/1129379006.db2.gz CDOIFHXSKNVHIH-CYBMUJFWSA-N 1 2 286.375 3.534 20 0 CHADLO CC(C)C[C@H](CO)Nc1cc(-c2ccccc2)cc[nH+]1 ZINC001154957841 1129382453 /nfs/dbraw/zinc/38/24/53/1129382453.db2.gz XCHSMNYWCNPJHK-MRXNPFEDSA-N 1 2 270.376 3.568 20 0 CHADLO Fc1c[nH+]ccc1N1CC[C@H](C(F)(F)F)C2(CCC2)C1 ZINC000641558403 1129383820 /nfs/dbraw/zinc/38/38/20/1129383820.db2.gz PFBKJKKUVZDMOS-LBPRGKRZSA-N 1 2 288.288 3.780 20 0 CHADLO CSc1ccc(C[NH2+][C@H]2COc3ccc(C)cc32)o1 ZINC000641737950 1129389835 /nfs/dbraw/zinc/38/98/35/1129389835.db2.gz AYTCUFSUUCGXJZ-ZDUSSCGKSA-N 1 2 275.373 3.533 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC1 ZINC000738254754 1129391585 /nfs/dbraw/zinc/39/15/85/1129391585.db2.gz MEUBUIIUSXQSQU-GFCCVEGCSA-N 1 2 296.484 3.708 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC1 ZINC000738254754 1129391589 /nfs/dbraw/zinc/39/15/89/1129391589.db2.gz MEUBUIIUSXQSQU-GFCCVEGCSA-N 1 2 296.484 3.708 20 0 CHADLO CC(C)NC(=O)Nc1ccc([C@H](C)[NH2+][C@@H](C)C(F)F)cc1 ZINC000641790365 1129392017 /nfs/dbraw/zinc/39/20/17/1129392017.db2.gz IPUWMYFGCDDVNQ-QWRGUYRKSA-N 1 2 299.365 3.521 20 0 CHADLO C[C@@H]([NH2+]CCC(C)(C)c1ccccc1)C(=O)OC(C)(C)C ZINC000738417090 1129393330 /nfs/dbraw/zinc/39/33/30/1129393330.db2.gz VDZYGEBLPHMJKN-CQSZACIVSA-N 1 2 291.435 3.674 20 0 CHADLO CCn1cc(Nc2ccc([NH+]3CCCCC3)cc2)ccc1=O ZINC001212913749 1129393807 /nfs/dbraw/zinc/39/38/07/1129393807.db2.gz WDJGRHDCTTVTGP-UHFFFAOYSA-N 1 2 297.402 3.602 20 0 CHADLO Cc1cccc(C(C)(C)C[NH2+][C@H](C)C(=O)OC(C)(C)C)c1 ZINC000738417885 1129394157 /nfs/dbraw/zinc/39/41/57/1129394157.db2.gz XLDFBGZMAFFJMG-CQSZACIVSA-N 1 2 291.435 3.592 20 0 CHADLO Cc1cccc(C(C)(C)C[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1 ZINC000738417881 1129394175 /nfs/dbraw/zinc/39/41/75/1129394175.db2.gz XLDFBGZMAFFJMG-AWEZNQCLSA-N 1 2 291.435 3.592 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cn(C)c2ccccc12 ZINC001239536191 1131371893 /nfs/dbraw/zinc/37/18/93/1131371893.db2.gz YPHBPAKVLVBYLR-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO C[C@H](Nc1[nH+]c2ccccc2n1C)c1nc2ccccc2[nH]1 ZINC000179285516 1129407687 /nfs/dbraw/zinc/40/76/87/1129407687.db2.gz XZCIGTFKRTYKJQ-NSHDSACASA-N 1 2 291.358 3.623 20 0 CHADLO Cc1nc2[nH]ccc2c(NC[C@@H]2CCCC[C@@H]2C)[nH+]1 ZINC001155072696 1129416773 /nfs/dbraw/zinc/41/67/73/1129416773.db2.gz MVIFOBKEWYOBHU-JQWIXIFHSA-N 1 2 258.369 3.505 20 0 CHADLO Cn1c[nH+]cc1CN1CCCc2cc(C(C)(C)C)ccc21 ZINC000766268668 1129432855 /nfs/dbraw/zinc/43/28/55/1129432855.db2.gz FWDDMVWHXWGPTP-UHFFFAOYSA-N 1 2 283.419 3.670 20 0 CHADLO COc1ccc([C@H]([NH2+][C@H](C)c2cn[nH]c2C)C(C)C)cc1 ZINC000180020476 1129444577 /nfs/dbraw/zinc/44/45/77/1129444577.db2.gz NFNQVFLFBDHECQ-SJKOYZFVSA-N 1 2 287.407 3.775 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@H+](C)[C@@H](C)c1ccc(F)cc1 ZINC000741775706 1129452339 /nfs/dbraw/zinc/45/23/39/1129452339.db2.gz XPYSZYTUUJUJLC-QWHCGFSZSA-N 1 2 281.371 3.550 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@@H+](C)[C@@H](C)c1ccc(F)cc1 ZINC000741775706 1129452341 /nfs/dbraw/zinc/45/23/41/1129452341.db2.gz XPYSZYTUUJUJLC-QWHCGFSZSA-N 1 2 281.371 3.550 20 0 CHADLO CC(C)Cc1ccc(C(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000741970379 1129463564 /nfs/dbraw/zinc/46/35/64/1129463564.db2.gz ZNLHUXOENYSSOR-UHFFFAOYSA-N 1 2 293.370 3.785 20 0 CHADLO FC(F)Oc1ccccc1C[N@@H+]1CCCC[C@@H](F)C1 ZINC001138907944 1129472626 /nfs/dbraw/zinc/47/26/26/1129472626.db2.gz ALAXEUGZYDDILS-GFCCVEGCSA-N 1 2 273.298 3.612 20 0 CHADLO FC(F)Oc1ccccc1C[N@H+]1CCCC[C@@H](F)C1 ZINC001138907944 1129472628 /nfs/dbraw/zinc/47/26/28/1129472628.db2.gz ALAXEUGZYDDILS-GFCCVEGCSA-N 1 2 273.298 3.612 20 0 CHADLO CC(C)n1c[nH+]cc1CN1Cc2ccccc2C(F)(F)C1 ZINC000644902482 1129480168 /nfs/dbraw/zinc/48/01/68/1129480168.db2.gz ZUYJTQHVNVFXAL-UHFFFAOYSA-N 1 2 291.345 3.572 20 0 CHADLO Cc1ccc([C@@H](C)C(=O)Nc2ccccc2-n2cc[nH+]c2)o1 ZINC000742526328 1129484602 /nfs/dbraw/zinc/48/46/02/1129484602.db2.gz APQQHNFBJWCOES-CYBMUJFWSA-N 1 2 295.342 3.516 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)ccc2OC(F)F)[C@@H]1C ZINC000645215776 1129491827 /nfs/dbraw/zinc/49/18/27/1129491827.db2.gz BQWCRYPEZZCXDM-RKDXNWHRSA-N 1 2 275.726 3.782 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)ccc2OC(F)F)[C@@H]1C ZINC000645215776 1129491829 /nfs/dbraw/zinc/49/18/29/1129491829.db2.gz BQWCRYPEZZCXDM-RKDXNWHRSA-N 1 2 275.726 3.782 20 0 CHADLO CC(C)[C@H]1CN(c2[nH+]ccc3cc(F)ccc32)CCCO1 ZINC000645250165 1129493146 /nfs/dbraw/zinc/49/31/46/1129493146.db2.gz PFLPDQSBVGSAPK-MRXNPFEDSA-N 1 2 288.366 3.625 20 0 CHADLO Clc1nc2cc(Cl)ccn2c1C[NH2+]Cc1ccco1 ZINC000796255979 1129501339 /nfs/dbraw/zinc/50/13/39/1129501339.db2.gz GGGUJGXGFAVSKQ-UHFFFAOYSA-N 1 2 296.157 3.524 20 0 CHADLO CC(=O)c1ccc(-c2cccc3[nH+]c(C)cn32)cc1F ZINC001241258979 1129501587 /nfs/dbraw/zinc/50/15/87/1129501587.db2.gz ZPMZDOQBTXVJGV-UHFFFAOYSA-N 1 2 268.291 3.651 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000645954073 1129514515 /nfs/dbraw/zinc/51/45/15/1129514515.db2.gz IVYXDYUGYKCFRS-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H](c1ccncc1)[N@H+]1CCc2sc(Cl)cc2C1 ZINC000645954073 1129514516 /nfs/dbraw/zinc/51/45/16/1129514516.db2.gz IVYXDYUGYKCFRS-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO CC(C)n1ccnc1C[NH2+]C1(c2ccccc2F)CCC1 ZINC000646025625 1129517861 /nfs/dbraw/zinc/51/78/61/1129517861.db2.gz IENOWFZEHDWTPK-UHFFFAOYSA-N 1 2 287.382 3.772 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1csc2c1CCCC2 ZINC000646046630 1129518880 /nfs/dbraw/zinc/51/88/80/1129518880.db2.gz WDPIOZQGBHTBSI-UHFFFAOYSA-N 1 2 297.383 3.527 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1conc1Cc1ccccc1 ZINC000646048904 1129519477 /nfs/dbraw/zinc/51/94/77/1129519477.db2.gz FENRKTRHLLQNHJ-UHFFFAOYSA-N 1 2 298.411 3.959 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1conc1Cc1ccccc1 ZINC000646048904 1129519479 /nfs/dbraw/zinc/51/94/79/1129519479.db2.gz FENRKTRHLLQNHJ-UHFFFAOYSA-N 1 2 298.411 3.959 20 0 CHADLO C[C@H]([NH2+]CC(F)F)c1ccc(-c2ccncc2)cc1 ZINC000180912353 1129521921 /nfs/dbraw/zinc/52/19/21/1129521921.db2.gz UCAKVAZOUCCDEZ-NSHDSACASA-N 1 2 262.303 3.664 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@]1(C)C[C@H]2C[C@H]2C1 ZINC000646137577 1129526071 /nfs/dbraw/zinc/52/60/71/1129526071.db2.gz PTASDTDBKBWPHL-ZSBFGKGUSA-N 1 2 295.386 3.760 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(C3CCC3)cc2)o1 ZINC000646169471 1129528147 /nfs/dbraw/zinc/52/81/47/1129528147.db2.gz ZIDDXVMZIPWNLA-UHFFFAOYSA-N 1 2 256.349 3.540 20 0 CHADLO Clc1cscc1C[N@@H+]1CCOC[C@H]1CC1CCC1 ZINC000646296611 1129532100 /nfs/dbraw/zinc/53/21/00/1129532100.db2.gz MMQGRKSQZGXBOL-CYBMUJFWSA-N 1 2 285.840 3.793 20 0 CHADLO Clc1cscc1C[N@H+]1CCOC[C@H]1CC1CCC1 ZINC000646296611 1129532102 /nfs/dbraw/zinc/53/21/02/1129532102.db2.gz MMQGRKSQZGXBOL-CYBMUJFWSA-N 1 2 285.840 3.793 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)CC(=O)OCc2ccccc2)cc1 ZINC000303358165 1129540364 /nfs/dbraw/zinc/54/03/64/1129540364.db2.gz GRMPFXGOZQGGLE-MRXNPFEDSA-N 1 2 297.398 3.731 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)CC(=O)OCc2ccccc2)cc1 ZINC000303358165 1129540371 /nfs/dbraw/zinc/54/03/71/1129540371.db2.gz GRMPFXGOZQGGLE-MRXNPFEDSA-N 1 2 297.398 3.731 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+]1Cc1csc(Br)n1 ZINC001137584118 1131381175 /nfs/dbraw/zinc/38/11/75/1131381175.db2.gz GCQRSMQOCFTBGA-SNVBAGLBSA-N 1 2 289.242 3.526 20 0 CHADLO CC(C)[C@H]1CCC[N@H+]1Cc1csc(Br)n1 ZINC001137584118 1131381179 /nfs/dbraw/zinc/38/11/79/1131381179.db2.gz GCQRSMQOCFTBGA-SNVBAGLBSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1CCC[C@H]([NH2+]CC(F)(F)Br)C1 ZINC000379584143 1129559364 /nfs/dbraw/zinc/55/93/64/1129559364.db2.gz NXFRHSSLHHXTIU-BDAKNGLRSA-N 1 2 270.161 3.533 20 0 CHADLO CC[C@@H]1CCC[C@@H]([NH2+]CC(F)(F)Br)C1 ZINC000379584146 1129559508 /nfs/dbraw/zinc/55/95/08/1129559508.db2.gz NXFRHSSLHHXTIU-RKDXNWHRSA-N 1 2 270.161 3.533 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1cc2n(n1)CCCC2)c1ccccn1 ZINC000647287748 1129564129 /nfs/dbraw/zinc/56/41/29/1129564129.db2.gz RBFXGJJQOQYNPY-WMLDXEAASA-N 1 2 298.434 3.806 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+]C1(c2ccccc2Cl)CC1 ZINC000647315519 1129567470 /nfs/dbraw/zinc/56/74/70/1129567470.db2.gz KWWGZUIMSGYPFC-LBPRGKRZSA-N 1 2 287.794 3.778 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]C1(c2ccccc2F)CCC1 ZINC000647333758 1129570160 /nfs/dbraw/zinc/57/01/60/1129570160.db2.gz YZYHIQPQXQFRQF-CYBMUJFWSA-N 1 2 287.382 3.772 20 0 CHADLO CCCCCC[N@@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000303894267 1129579084 /nfs/dbraw/zinc/57/90/84/1129579084.db2.gz SEOVKFGAYKBZIT-INIZCTEOSA-N 1 2 283.362 3.918 20 0 CHADLO CCCCCC[N@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000303894267 1129579086 /nfs/dbraw/zinc/57/90/86/1129579086.db2.gz SEOVKFGAYKBZIT-INIZCTEOSA-N 1 2 283.362 3.918 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000303932503 1129581759 /nfs/dbraw/zinc/58/17/59/1129581759.db2.gz QVOFFODHVKMSLP-QWHCGFSZSA-N 1 2 289.375 3.767 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000303932503 1129581762 /nfs/dbraw/zinc/58/17/62/1129581762.db2.gz QVOFFODHVKMSLP-QWHCGFSZSA-N 1 2 289.375 3.767 20 0 CHADLO Cc1nc(C[N@H+]2CCS[C@H](C)[C@@H]2c2ccccc2)co1 ZINC000647964551 1129590375 /nfs/dbraw/zinc/59/03/75/1129590375.db2.gz OIKNAPVFIKQVOQ-MLGOLLRUSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1nc(C[N@@H+]2CCS[C@H](C)[C@@H]2c2ccccc2)co1 ZINC000647964551 1129590377 /nfs/dbraw/zinc/59/03/77/1129590377.db2.gz OIKNAPVFIKQVOQ-MLGOLLRUSA-N 1 2 288.416 3.662 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2c[nH]c(-c3ccccc3)n2)C1 ZINC000648058662 1129594246 /nfs/dbraw/zinc/59/42/46/1129594246.db2.gz XGWPUIOMDFTNIU-UHFFFAOYSA-N 1 2 291.345 3.554 20 0 CHADLO Fc1c(C[NH2+][C@@H]2C[C@@]2(F)c2ccccc2)ccnc1Cl ZINC000822497633 1131384493 /nfs/dbraw/zinc/38/44/93/1131384493.db2.gz IIYUCCNYLQWNJV-IUODEOHRSA-N 1 2 294.732 3.601 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2cnc(C)s2)c[nH+]1 ZINC000183163215 1129619995 /nfs/dbraw/zinc/61/99/95/1129619995.db2.gz RGMALVACAGMFAZ-NSHDSACASA-N 1 2 290.436 3.866 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+]Cc1csc(C)n1 ZINC000041010640 1129634236 /nfs/dbraw/zinc/63/42/36/1129634236.db2.gz MYHFCGQXFXVBKP-NSHDSACASA-N 1 2 276.405 3.619 20 0 CHADLO CCN(Cc1ccc(-n2cc[nH+]c2)cc1)c1cccc(O)c1 ZINC000191569688 1129641783 /nfs/dbraw/zinc/64/17/83/1129641783.db2.gz FVOCBCCZQISMIC-UHFFFAOYSA-N 1 2 293.370 3.604 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cccc3ncccc23)CC1(F)F ZINC001137624087 1131387648 /nfs/dbraw/zinc/38/76/48/1131387648.db2.gz HBTKPHYYZYQQLP-GFCCVEGCSA-N 1 2 276.330 3.712 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cccc3ncccc23)CC1(F)F ZINC001137624087 1131387651 /nfs/dbraw/zinc/38/76/51/1131387651.db2.gz HBTKPHYYZYQQLP-GFCCVEGCSA-N 1 2 276.330 3.712 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C)cn1)c1ccc(Cl)cc1Cl ZINC000386602786 1129653753 /nfs/dbraw/zinc/65/37/53/1129653753.db2.gz IFIHGXLQUFYQSC-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO Cc1cc(-c2ccc(-n3cc[nH+]c3)cc2)ccc1O ZINC001242111304 1129658842 /nfs/dbraw/zinc/65/88/42/1129658842.db2.gz FNTFIAHYRQIQIE-UHFFFAOYSA-N 1 2 250.301 3.553 20 0 CHADLO Clc1cccc2c1SCC[C@H]2[NH2+]Cc1ccon1 ZINC000766421915 1129665231 /nfs/dbraw/zinc/66/52/31/1129665231.db2.gz PWDHEJGQHAHJEF-GFCCVEGCSA-N 1 2 280.780 3.655 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC23CCCC3)c(Cl)c1 ZINC000649657481 1129666843 /nfs/dbraw/zinc/66/68/43/1129666843.db2.gz ATCNOXXYSDYOIW-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Clc1cnc(C[N@H+]2CCC23CCCC3)c(Cl)c1 ZINC000649657481 1129666844 /nfs/dbraw/zinc/66/68/44/1129666844.db2.gz ATCNOXXYSDYOIW-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO C[C@@H]([NH2+]Cc1cnon1)c1ccc(Oc2ccccc2)cc1 ZINC001168827146 1129675428 /nfs/dbraw/zinc/67/54/28/1129675428.db2.gz YVVJTUJVFYEHQR-CYBMUJFWSA-N 1 2 295.342 3.713 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc[nH+]c(OC(C)C)c2)nc1 ZINC001168853245 1129676582 /nfs/dbraw/zinc/67/65/82/1129676582.db2.gz DWLGAVKWMUKBHM-ZDUSSCGKSA-N 1 2 271.364 3.745 20 0 CHADLO Cc1cccc(C)c1NCCc1cn2ccccc2[nH+]1 ZINC001169004391 1129689013 /nfs/dbraw/zinc/68/90/13/1129689013.db2.gz GZEXTWDOTDQRLH-UHFFFAOYSA-N 1 2 265.360 3.606 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2ccc(Cl)c(F)c2)C1 ZINC001137649593 1131391300 /nfs/dbraw/zinc/39/13/00/1131391300.db2.gz JUTJGQBRBBDCQS-ONGXEEELSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2ccc(Cl)c(F)c2)C1 ZINC001137649593 1131391305 /nfs/dbraw/zinc/39/13/05/1131391305.db2.gz JUTJGQBRBBDCQS-ONGXEEELSA-N 1 2 259.727 3.659 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(OCC3CC3)cc2)c1 ZINC000650243539 1129702402 /nfs/dbraw/zinc/70/24/02/1129702402.db2.gz CVLHGGFRXULRPE-UHFFFAOYSA-N 1 2 298.386 3.629 20 0 CHADLO Fc1c[nH+]ccc1NCCCc1ccc(C(F)(F)F)cc1 ZINC001169357644 1129719184 /nfs/dbraw/zinc/71/91/84/1129719184.db2.gz ZLBCPVAFKGFLDU-UHFFFAOYSA-N 1 2 298.283 3.706 20 0 CHADLO OCC[C@H](Nc1cccc[nH+]1)c1cccc2ccccc21 ZINC001169419217 1129725993 /nfs/dbraw/zinc/72/59/93/1129725993.db2.gz MYQMLVZMSUTKLQ-KRWDZBQOSA-N 1 2 278.355 3.770 20 0 CHADLO OCC[C@@H](Nc1cccc[nH+]1)c1cccc2ccccc21 ZINC001169419218 1129726079 /nfs/dbraw/zinc/72/60/79/1129726079.db2.gz MYQMLVZMSUTKLQ-QGZVFWFLSA-N 1 2 278.355 3.770 20 0 CHADLO CC(C)c1cccc(NC(=O)Cc2cn3c([nH+]2)CCCC3)c1 ZINC000651012608 1129727388 /nfs/dbraw/zinc/72/73/88/1129727388.db2.gz WQYMNWAVYIVWFM-UHFFFAOYSA-N 1 2 297.402 3.524 20 0 CHADLO CCC[C@@H]1CCCC[C@@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001136622370 1131396212 /nfs/dbraw/zinc/39/62/12/1131396212.db2.gz GZRUMMLJQJCMKF-OCCSQVGLSA-N 1 2 285.391 3.652 20 0 CHADLO c1oc2ccccc2c1CCNc1c[nH+]c2c(c1)CCC2 ZINC001169600934 1129739286 /nfs/dbraw/zinc/73/92/86/1129739286.db2.gz ZOQLOGHLKCHWHY-UHFFFAOYSA-N 1 2 278.355 3.971 20 0 CHADLO Cc1cc([NH2+]CCc2coc3ccccc23)ccc1N ZINC001169599180 1129739581 /nfs/dbraw/zinc/73/95/81/1129739581.db2.gz CXKBTBVMJXSWFG-UHFFFAOYSA-N 1 2 266.344 3.978 20 0 CHADLO CCc1ccc([N@H+](CC(C)C)CC2(C)COC2)cc1 ZINC000796477221 1129740331 /nfs/dbraw/zinc/74/03/31/1129740331.db2.gz GXSKMOYXUUXNDF-UHFFFAOYSA-N 1 2 261.409 3.748 20 0 CHADLO CCc1ccc([N@@H+](CC(C)C)CC2(C)COC2)cc1 ZINC000796477221 1129740335 /nfs/dbraw/zinc/74/03/35/1129740335.db2.gz GXSKMOYXUUXNDF-UHFFFAOYSA-N 1 2 261.409 3.748 20 0 CHADLO COCc1cc[nH+]c(NC2CC3(C2)CCCCC3)c1 ZINC000652067684 1129757355 /nfs/dbraw/zinc/75/73/55/1129757355.db2.gz DAKRFXUNVYZEEQ-UHFFFAOYSA-N 1 2 260.381 3.753 20 0 CHADLO COC(=O)[C@@H](C)[N@H+](C)Cc1ccc(C(C)C)cc1C(C)C ZINC001169882448 1129761136 /nfs/dbraw/zinc/76/11/36/1129761136.db2.gz WZYWNRYHASULFD-CQSZACIVSA-N 1 2 291.435 3.927 20 0 CHADLO COC(=O)[C@@H](C)[N@@H+](C)Cc1ccc(C(C)C)cc1C(C)C ZINC001169882448 1129761140 /nfs/dbraw/zinc/76/11/40/1129761140.db2.gz WZYWNRYHASULFD-CQSZACIVSA-N 1 2 291.435 3.927 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)[C@@H]2C[C@H]2c2ccccc2)c1 ZINC000652330540 1129763109 /nfs/dbraw/zinc/76/31/09/1129763109.db2.gz IWJFAEYSDVKHLX-JQFCIGGWSA-N 1 2 282.387 3.832 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2c3ccccc3C[C@H]2C)c1 ZINC000652330282 1129763198 /nfs/dbraw/zinc/76/31/98/1129763198.db2.gz BLANIPLWZASVBS-SJKOYZFVSA-N 1 2 268.360 3.573 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H]2OCc3ccccc32)c(C)[nH+]1 ZINC000653540777 1129783584 /nfs/dbraw/zinc/78/35/84/1129783584.db2.gz UCJSEPQXJBHTAD-INIZCTEOSA-N 1 2 296.370 3.607 20 0 CHADLO Cc1cc(CNc2ccc(N3CCCCC3)[nH+]c2)c(C)o1 ZINC000767392667 1129792073 /nfs/dbraw/zinc/79/20/73/1129792073.db2.gz NLDFPQAUVHQQGS-UHFFFAOYSA-N 1 2 285.391 3.894 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000742066275 1129807950 /nfs/dbraw/zinc/80/79/50/1129807950.db2.gz SEOYBBPOOLPJRK-CVEARBPZSA-N 1 2 291.439 3.653 20 0 CHADLO Fc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC001239584238 1131398856 /nfs/dbraw/zinc/39/88/56/1131398856.db2.gz OWWCWIDGKSYCCZ-UHFFFAOYSA-N 1 2 256.255 3.818 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCCC[N@@H+]1C/C=C\Cl ZINC000767901800 1129819743 /nfs/dbraw/zinc/81/97/43/1129819743.db2.gz YNRRCEBEVJJNRO-MLBQELRYSA-N 1 2 289.810 3.853 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCCC[N@H+]1C/C=C\Cl ZINC000767901800 1129819746 /nfs/dbraw/zinc/81/97/46/1129819746.db2.gz YNRRCEBEVJJNRO-MLBQELRYSA-N 1 2 289.810 3.853 20 0 CHADLO C[C@]1(Cc2ccccc2)CCC[N@@H+]1CC(F)(F)C(F)F ZINC000767936405 1129822955 /nfs/dbraw/zinc/82/29/55/1129822955.db2.gz SYASQUBXNZJKDA-CQSZACIVSA-N 1 2 289.316 3.984 20 0 CHADLO C[C@]1(Cc2ccccc2)CCC[N@H+]1CC(F)(F)C(F)F ZINC000767936405 1129822959 /nfs/dbraw/zinc/82/29/59/1129822959.db2.gz SYASQUBXNZJKDA-CQSZACIVSA-N 1 2 289.316 3.984 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(CC(C)C)C3CCCC3)ccn12 ZINC000654643017 1129830383 /nfs/dbraw/zinc/83/03/83/1129830383.db2.gz JHRCAODGFZUKQU-UHFFFAOYSA-N 1 2 299.418 3.684 20 0 CHADLO COc1ccc([NH2+][C@H]2CC3CCC2CC3)c(OC)c1 ZINC000382029428 1129854814 /nfs/dbraw/zinc/85/48/14/1129854814.db2.gz QZXGTMCUXPWSIN-QOZQQMKHSA-N 1 2 261.365 3.694 20 0 CHADLO FC(F)C1CC(Nc2ccc(N3CCCCC3)c[nH+]2)C1 ZINC000768690829 1129870284 /nfs/dbraw/zinc/87/02/84/1129870284.db2.gz WOQIKXWGXDGJME-UHFFFAOYSA-N 1 2 281.350 3.528 20 0 CHADLO CCc1ccc(-c2ccc(-n3c[nH+]c(C)c3C)nn2)cc1 ZINC001239589868 1131403510 /nfs/dbraw/zinc/40/35/10/1131403510.db2.gz YMTYAYVEBBQXFH-UHFFFAOYSA-N 1 2 278.359 3.509 20 0 CHADLO CCc1ccc(-c2cn3cc[nH+]c3cc2OC)cc1 ZINC001239589847 1131403565 /nfs/dbraw/zinc/40/35/65/1131403565.db2.gz VZHYGWKIILLZKF-UHFFFAOYSA-N 1 2 252.317 3.572 20 0 CHADLO Cc1cc(F)cc2c1C[N@H+](Cc1ccnc(Cl)c1)CC2 ZINC000800472553 1129874779 /nfs/dbraw/zinc/87/47/79/1129874779.db2.gz SYKVFVIGYDRBRI-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cc(F)cc2c1C[N@@H+](Cc1ccnc(Cl)c1)CC2 ZINC000800472553 1129874783 /nfs/dbraw/zinc/87/47/83/1129874783.db2.gz SYKVFVIGYDRBRI-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cnc(Cl)c(C)c2)on1 ZINC000768877670 1129878009 /nfs/dbraw/zinc/87/80/09/1129878009.db2.gz JCTCFYOAGAJIPX-ZDUSSCGKSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cnc(Cl)c(C)c2)on1 ZINC000768877670 1129878014 /nfs/dbraw/zinc/87/80/14/1129878014.db2.gz JCTCFYOAGAJIPX-ZDUSSCGKSA-N 1 2 291.782 3.677 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCC[N@@H+]1Cc1ccoc1 ZINC000768919874 1129881140 /nfs/dbraw/zinc/88/11/40/1129881140.db2.gz YLFLTQJGRVWDKU-MRXNPFEDSA-N 1 2 281.359 3.503 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCC[N@H+]1Cc1ccoc1 ZINC000768919874 1129881147 /nfs/dbraw/zinc/88/11/47/1129881147.db2.gz YLFLTQJGRVWDKU-MRXNPFEDSA-N 1 2 281.359 3.503 20 0 CHADLO C[C@@H]1COCCN1c1ccc([NH2+][C@H]2CCC[C@H]3C[C@H]32)cc1 ZINC000800981779 1129902103 /nfs/dbraw/zinc/90/21/03/1129902103.db2.gz JBRPOTPQSMARFA-NONVJHHQSA-N 1 2 286.419 3.512 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](F)c2ccccc2)c(C)[nH+]1 ZINC000769439255 1129909031 /nfs/dbraw/zinc/90/90/31/1129909031.db2.gz CZGZPHBPMHFORC-CQSZACIVSA-N 1 2 272.323 3.656 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](C)OC[C@H]2c2ccccc2)c(C)o1 ZINC000769489890 1129909931 /nfs/dbraw/zinc/90/99/31/1129909931.db2.gz TVYSSTVKIMRLAP-KSSFIOAISA-N 1 2 285.387 3.858 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](C)OC[C@H]2c2ccccc2)c(C)o1 ZINC000769489890 1129909933 /nfs/dbraw/zinc/90/99/33/1129909933.db2.gz TVYSSTVKIMRLAP-KSSFIOAISA-N 1 2 285.387 3.858 20 0 CHADLO FC(F)C1CC(Nc2ccc([NH+]3CCCC3)cc2)C1 ZINC000769474356 1129913274 /nfs/dbraw/zinc/91/32/74/1129913274.db2.gz JFDPYHWFCGGATL-UHFFFAOYSA-N 1 2 266.335 3.742 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000769537441 1129916624 /nfs/dbraw/zinc/91/66/24/1129916624.db2.gz KLHHUCKJTDRXCJ-MRXNPFEDSA-N 1 2 285.391 3.618 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1coc(C2CC2)n1 ZINC000769537441 1129916625 /nfs/dbraw/zinc/91/66/25/1129916625.db2.gz KLHHUCKJTDRXCJ-MRXNPFEDSA-N 1 2 285.391 3.618 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H](C)c2ccsc2)o1 ZINC000801570441 1129928212 /nfs/dbraw/zinc/92/82/12/1129928212.db2.gz MEMFBXRWLUGHIP-JTQLQIEISA-N 1 2 250.367 3.710 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)Cc1cc(C)cc(C)c1 ZINC000769846516 1129928801 /nfs/dbraw/zinc/92/88/01/1129928801.db2.gz MKDLYICSPKVKJN-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)Cc1cc(C)cc(C)c1 ZINC000769846516 1129928803 /nfs/dbraw/zinc/92/88/03/1129928803.db2.gz MKDLYICSPKVKJN-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1cccc(SC(F)(F)F)c1 ZINC000769913178 1129929815 /nfs/dbraw/zinc/92/98/15/1129929815.db2.gz JPYMOJVNFMYURW-SNVBAGLBSA-N 1 2 291.338 3.519 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1cccc(SC(F)(F)F)c1 ZINC000769913178 1129929817 /nfs/dbraw/zinc/92/98/17/1129929817.db2.gz JPYMOJVNFMYURW-SNVBAGLBSA-N 1 2 291.338 3.519 20 0 CHADLO COc1ccc([NH2+]C[C@H]2C[C@@H]2c2ccccc2)c(OC)c1 ZINC000770401717 1129945909 /nfs/dbraw/zinc/94/59/09/1129945909.db2.gz DQOHPWUNNNOJOF-GDBMZVCRSA-N 1 2 283.371 3.919 20 0 CHADLO O=C(c1cccc2[nH+]ccn21)N1CCC2(CCCCC2)CC1 ZINC000770414865 1129946332 /nfs/dbraw/zinc/94/63/32/1129946332.db2.gz HSKJGPCSTIXMMA-UHFFFAOYSA-N 1 2 297.402 3.521 20 0 CHADLO COC/C(C)=C/CSCc1ccc(C)[nH+]c1C ZINC000901783337 1129952670 /nfs/dbraw/zinc/95/26/70/1129952670.db2.gz UTJHBEGZZXHHMN-YRNVUSSQSA-N 1 2 251.395 3.524 20 0 CHADLO O=C(CCNc1ccc(C2CC2)c[nH+]1)c1ccccc1 ZINC001170015595 1129954311 /nfs/dbraw/zinc/95/43/11/1129954311.db2.gz PLCKJUXYOXKEKQ-UHFFFAOYSA-N 1 2 266.344 3.644 20 0 CHADLO Fc1cccc(C[NH2+]Cc2ncc(-c3ccccc3)s2)n1 ZINC000770742081 1129958676 /nfs/dbraw/zinc/95/86/76/1129958676.db2.gz SESXJHAMUZFFPF-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO O=C(CCCC1CCCC1)OCc1cccc2[nH+]ccn21 ZINC000770925744 1129963631 /nfs/dbraw/zinc/96/36/31/1129963631.db2.gz OJWPXTFNORRUMA-UHFFFAOYSA-N 1 2 286.375 3.738 20 0 CHADLO CC[C@H](OC(=O)[C@@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000780088195 1129992140 /nfs/dbraw/zinc/99/21/40/1129992140.db2.gz HRZBGRBYCFNUAH-ZWKOTPCHSA-N 1 2 297.398 3.984 20 0 CHADLO CC(C)c1ccc(/C=C/CC(=O)OCCn2cc[nH+]c2)cc1 ZINC000772039579 1130001057 /nfs/dbraw/zinc/00/10/57/1130001057.db2.gz VRNBIKWRARSBRR-ONEGZZNKSA-N 1 2 298.386 3.653 20 0 CHADLO C/C(=C\C(C)(C)C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000772023412 1130002504 /nfs/dbraw/zinc/00/25/04/1130002504.db2.gz ZWTWZOFBIPOQNO-ACCUITESSA-N 1 2 283.375 3.803 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1nccs1 ZINC000746559201 1130051950 /nfs/dbraw/zinc/05/19/50/1130051950.db2.gz WLLKSRQEOHKIPW-UHFFFAOYSA-N 1 2 286.404 3.753 20 0 CHADLO Fc1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1F ZINC001239707369 1130063813 /nfs/dbraw/zinc/06/38/13/1130063813.db2.gz NULZRHLXTXQHOF-UHFFFAOYSA-N 1 2 274.245 3.957 20 0 CHADLO Nc1[nH+]cccc1-c1cncc(Oc2ccccc2)c1 ZINC001239750945 1130091730 /nfs/dbraw/zinc/09/17/30/1130091730.db2.gz BECXFBBRWRNSPD-UHFFFAOYSA-N 1 2 263.300 3.518 20 0 CHADLO CC(C)c1ccc(C(=O)OCCn2cc[nH+]c2)cc1Cl ZINC000786397379 1130094782 /nfs/dbraw/zinc/09/47/82/1130094782.db2.gz OMSVJPJDCNWGRV-UHFFFAOYSA-N 1 2 292.766 3.517 20 0 CHADLO COC(=O)[C@@H](Cc1ccccc1)[NH2+][C@H](C)CCCC(C)C ZINC001170058318 1130097342 /nfs/dbraw/zinc/09/73/42/1130097342.db2.gz ZZTCSTIPGPMERU-NVXWUHKLSA-N 1 2 291.435 3.575 20 0 CHADLO CC(C)c1ccc(C(=O)OCc2c[nH+]cn2C)cc1Cl ZINC000786461073 1130097609 /nfs/dbraw/zinc/09/76/09/1130097609.db2.gz ORRJIZZKACDSAS-UHFFFAOYSA-N 1 2 292.766 3.554 20 0 CHADLO Cc1ccc(-c2ccc(C[NH+]3CCOCC3)cc2F)c(C)c1 ZINC001239811155 1130118875 /nfs/dbraw/zinc/11/88/75/1130118875.db2.gz WKATUKLLUQLPFL-UHFFFAOYSA-N 1 2 299.389 3.942 20 0 CHADLO CC(C)[C@@H](Nc1ccn2cc(N)[nH+]c2c1)c1ccc(F)cc1 ZINC001170065612 1130124238 /nfs/dbraw/zinc/12/42/38/1130124238.db2.gz CFKCGDYERUHEHQ-QGZVFWFLSA-N 1 2 298.365 3.865 20 0 CHADLO COc1ccc(-c2cc[nH+]c(N3CCCC3)c2)c(C)c1 ZINC001239826238 1130126918 /nfs/dbraw/zinc/12/69/18/1130126918.db2.gz VKMIIOIVVTVHOV-UHFFFAOYSA-N 1 2 268.360 3.666 20 0 CHADLO COc1ccc(-c2cc(C)cn3cc[nH+]c23)c(C)c1 ZINC001239827396 1130127663 /nfs/dbraw/zinc/12/76/63/1130127663.db2.gz SJTSGCSGFSRXSS-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO COc1c(Cl)cccc1C[NH2+][C@@H](C)c1cscn1 ZINC000903247396 1130136250 /nfs/dbraw/zinc/13/62/50/1130136250.db2.gz VUMZDGJXSAJFQO-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CC(C)c1ccccc1-c1ccc(C[NH+]2CCOCC2)nc1 ZINC001239866331 1130141233 /nfs/dbraw/zinc/14/12/33/1130141233.db2.gz QIZHAHRUKHFOJW-UHFFFAOYSA-N 1 2 296.414 3.704 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(C(=O)N2CCCC2)cc1 ZINC001239896690 1130149261 /nfs/dbraw/zinc/14/92/61/1130149261.db2.gz STVWUYCRRWXMJQ-UHFFFAOYSA-N 1 2 280.371 3.601 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@@H]2CCCc3ncccc32)n1 ZINC000903312966 1130151826 /nfs/dbraw/zinc/15/18/26/1130151826.db2.gz DTPYRTNVLHXHOE-CQSZACIVSA-N 1 2 285.391 3.534 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+][C@H](c1ncccn1)C1CC1 ZINC000903330331 1130158084 /nfs/dbraw/zinc/15/80/84/1130158084.db2.gz HWKBDCMTQJBBQW-DYVFJYSZSA-N 1 2 297.402 3.596 20 0 CHADLO COCc1ccccc1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001239953540 1130169333 /nfs/dbraw/zinc/16/93/33/1130169333.db2.gz XCHZPTBQPKDOBF-UHFFFAOYSA-N 1 2 278.355 3.901 20 0 CHADLO CC(C)Oc1ccc(-c2cccc3[nH+]ccn32)cc1 ZINC001239960293 1130172713 /nfs/dbraw/zinc/17/27/13/1130172713.db2.gz NNDVMVKLKPWYMD-UHFFFAOYSA-N 1 2 252.317 3.789 20 0 CHADLO CCCCNC(=O)c1ccc(-c2cccc3[nH+]ccn32)cc1 ZINC001239987869 1130183126 /nfs/dbraw/zinc/18/31/26/1130183126.db2.gz TVEPRDKQEZZENL-UHFFFAOYSA-N 1 2 293.370 3.531 20 0 CHADLO Cc1cn2c(cccc2-c2cc(F)cc3[nH]ccc32)[nH+]1 ZINC001240032809 1130200510 /nfs/dbraw/zinc/20/05/10/1130200510.db2.gz HQYFYEPQXNOEER-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO Nc1[nH+]cccc1-c1ccc(C(=O)Nc2ccccc2)cc1 ZINC001240044450 1130203214 /nfs/dbraw/zinc/20/32/14/1130203214.db2.gz OIKZUWPLMRMSMH-UHFFFAOYSA-N 1 2 289.338 3.583 20 0 CHADLO CO[C@@]1(C)CCC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001462174506 1130214449 /nfs/dbraw/zinc/21/44/49/1130214449.db2.gz AGSCXDQBXDCDOV-AWEZNQCLSA-N 1 2 289.753 3.619 20 0 CHADLO CO[C@@]1(C)CCC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001462174506 1130214454 /nfs/dbraw/zinc/21/44/54/1130214454.db2.gz AGSCXDQBXDCDOV-AWEZNQCLSA-N 1 2 289.753 3.619 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccc(Cl)nc1 ZINC000788972619 1130221328 /nfs/dbraw/zinc/22/13/28/1130221328.db2.gz CAOYWEMEWYCFMT-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO Cc1cc(-c2ccc(S(=O)(=O)C(C)C)cc2)cc(C)[nH+]1 ZINC001240204507 1130228570 /nfs/dbraw/zinc/22/85/70/1130228570.db2.gz KFVUHNUZHNYBCS-UHFFFAOYSA-N 1 2 289.400 3.548 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@@H](C)c3ccccn3)co2)cc1 ZINC000748438995 1130232117 /nfs/dbraw/zinc/23/21/17/1130232117.db2.gz ITKCNWPUPNBTEV-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1sc2nc(C)nc(-c3ccc4[nH+]ccn4c3)c2c1C ZINC001240213966 1130233603 /nfs/dbraw/zinc/23/36/03/1130233603.db2.gz IVLSJRHZDAWANA-UHFFFAOYSA-N 1 2 294.383 3.931 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccc3n[nH]cc3c2)cc1F ZINC001240215862 1130234634 /nfs/dbraw/zinc/23/46/34/1130234634.db2.gz XUBNFUMMVZBUGB-UHFFFAOYSA-N 1 2 287.313 3.570 20 0 CHADLO COc1cc2ncccc2c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218520 1130236749 /nfs/dbraw/zinc/23/67/49/1130236749.db2.gz PRKSPYTYLRTALX-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)cnc1C(F)(F)F ZINC001240218684 1130236884 /nfs/dbraw/zinc/23/68/84/1130236884.db2.gz JVMGAZRHDBNQFQ-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Fc1ccc(-c2ccc3[nH+]ccn3c2)cc1OC(F)F ZINC001240219156 1130236941 /nfs/dbraw/zinc/23/69/41/1130236941.db2.gz CQERIQXNSWGKLG-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO Fc1c[nH]c2cccc(-c3ccc4[nH+]ccn4c3)c12 ZINC001240218632 1130237532 /nfs/dbraw/zinc/23/75/32/1130237532.db2.gz GXIFYWGQWWHHDL-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO CC1(C)Oc2ccc(-c3ccc4[nH+]ccn4c3)cc2O1 ZINC001240218403 1130237647 /nfs/dbraw/zinc/23/76/47/1130237647.db2.gz CKCHTZIBPNLOFR-UHFFFAOYSA-N 1 2 266.300 3.509 20 0 CHADLO Cc1cnc2cc(-c3ccc4[nH+]ccn4c3)ccc2c1 ZINC001240220536 1130238191 /nfs/dbraw/zinc/23/81/91/1130238191.db2.gz HBOBVMMILCOFRW-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCOc1ccc(-c2ccc3[nH+]ccn3c2)cc1CC ZINC001240220306 1130238793 /nfs/dbraw/zinc/23/87/93/1130238793.db2.gz GHPUXSXTQLOJNV-UHFFFAOYSA-N 1 2 266.344 3.962 20 0 CHADLO c1cn2cc(-c3ccc(-c4ccon4)cc3)ccc2[nH+]1 ZINC001240219579 1130238846 /nfs/dbraw/zinc/23/88/46/1130238846.db2.gz OHUZTEBFZXTHQS-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)cnc1OCC(C)C ZINC001240220918 1130239038 /nfs/dbraw/zinc/23/90/38/1130239038.db2.gz CCTOFEMCOXMVEQ-UHFFFAOYSA-N 1 2 281.359 3.740 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(Oc2ccccc2)c1 ZINC001240272207 1130254047 /nfs/dbraw/zinc/25/40/47/1130254047.db2.gz MKSMDOTVTJTTPQ-UHFFFAOYSA-N 1 2 250.301 3.879 20 0 CHADLO CCCCC[C@H]([NH2+]C[C@H]1C[C@@H]1c1ccccc1)C(=O)OC ZINC000789577790 1130261105 /nfs/dbraw/zinc/26/11/05/1130261105.db2.gz YRYBCBCTDGKUHN-ZACQAIPSSA-N 1 2 289.419 3.502 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1ccc(Cl)cc1Cl)C2 ZINC000677132716 1130293174 /nfs/dbraw/zinc/29/31/74/1130293174.db2.gz OIOZNNXVISUTNZ-UHFFFAOYSA-N 1 2 274.166 3.927 20 0 CHADLO CC(C)(O)c1cccc(-c2cc[nH+]c(N3CCCC3)c2)c1 ZINC001240406995 1130293801 /nfs/dbraw/zinc/29/38/01/1130293801.db2.gz TWCUBSIQZQYZJT-UHFFFAOYSA-N 1 2 282.387 3.576 20 0 CHADLO CC(C)(O)c1cccc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001240407338 1130294377 /nfs/dbraw/zinc/29/43/77/1130294377.db2.gz NLRITCGNHNOFMI-UHFFFAOYSA-N 1 2 278.355 3.767 20 0 CHADLO Cc1cc(-c2ccc(-n3c[nH+]c(C)c3C)nn2)c(C)s1 ZINC001240428085 1130306857 /nfs/dbraw/zinc/30/68/57/1130306857.db2.gz JEAJIGZLFPUDLG-UHFFFAOYSA-N 1 2 284.388 3.624 20 0 CHADLO Fc1cccc(C(F)(F)C[NH2+]C2CC(C(F)F)C2)c1 ZINC000826915524 1130309920 /nfs/dbraw/zinc/30/99/20/1130309920.db2.gz NLVZZOQSIWSPEO-UHFFFAOYSA-N 1 2 279.252 3.551 20 0 CHADLO CC(C)(C)OC(=O)c1ccc(-c2cccc3[nH+]ccn32)cc1 ZINC001240441333 1130314139 /nfs/dbraw/zinc/31/41/39/1130314139.db2.gz UFNYHNCJLOFWLX-UHFFFAOYSA-N 1 2 294.354 3.957 20 0 CHADLO C[C@@H]1CC[C@@H]1[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790504155 1130316637 /nfs/dbraw/zinc/31/66/37/1130316637.db2.gz BMUJMXNMPBUIKV-WVZRYYJFSA-N 1 2 274.408 3.511 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)N1CCCC12CCCCC2 ZINC000677618421 1130320135 /nfs/dbraw/zinc/32/01/35/1130320135.db2.gz WHESKQRLMNWYEU-UHFFFAOYSA-N 1 2 298.390 3.665 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@@H+]1Cc1ncc(C)cn1 ZINC000677683884 1130320583 /nfs/dbraw/zinc/32/05/83/1130320583.db2.gz RZPDZCWCPIKYAB-MRXNPFEDSA-N 1 2 297.402 3.521 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@H+]1Cc1ncc(C)cn1 ZINC000677683884 1130320587 /nfs/dbraw/zinc/32/05/87/1130320587.db2.gz RZPDZCWCPIKYAB-MRXNPFEDSA-N 1 2 297.402 3.521 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@@H+]1Cc1ncc(C)cn1 ZINC000677683883 1130320677 /nfs/dbraw/zinc/32/06/77/1130320677.db2.gz RZPDZCWCPIKYAB-INIZCTEOSA-N 1 2 297.402 3.521 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@H+]1Cc1ncc(C)cn1 ZINC000677683883 1130320684 /nfs/dbraw/zinc/32/06/84/1130320684.db2.gz RZPDZCWCPIKYAB-INIZCTEOSA-N 1 2 297.402 3.521 20 0 CHADLO Cc1cc(-c2ccccc2)nc(-c2ccn3cc[nH+]c3c2)n1 ZINC001240496869 1130329517 /nfs/dbraw/zinc/32/95/17/1130329517.db2.gz KLYASUGBFHXIMC-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO CC(C)(F)c1ccnc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240504064 1130332733 /nfs/dbraw/zinc/33/27/33/1130332733.db2.gz WXEMGWDUFRYBFS-UHFFFAOYSA-N 1 2 255.296 3.601 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1[nH+]c3ccccc3n1C)CC2 ZINC000677807113 1130332363 /nfs/dbraw/zinc/33/23/63/1130332363.db2.gz FYVNILHMBCREMT-OAHLLOKOSA-N 1 2 277.371 3.981 20 0 CHADLO COc1cccc2c1ccnc2-c1ccn2cc[nH+]c2c1 ZINC001240503467 1130333031 /nfs/dbraw/zinc/33/30/31/1130333031.db2.gz BLLGOTNQJUKGPZ-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO COc1cc(C)c(-c2ccn3cc[nH+]c3c2)c(C)c1 ZINC001240509354 1130334007 /nfs/dbraw/zinc/33/40/07/1130334007.db2.gz XJTYAETYZYZQKI-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO c1coc(-c2cccc(-c3ccn4cc[nH+]c4c3)c2)n1 ZINC001240509337 1130334044 /nfs/dbraw/zinc/33/40/44/1130334044.db2.gz WNTQVIFPQUAXIZ-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO c1coc(-c2ccccc2-c2ccn3cc[nH+]c3c2)n1 ZINC001240509199 1130334982 /nfs/dbraw/zinc/33/49/82/1130334982.db2.gz VAVLYJOGKGCRED-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO c1cn2ccc(-c3ccc4csnc4c3)cc2[nH+]1 ZINC001240510888 1130335187 /nfs/dbraw/zinc/33/51/87/1130335187.db2.gz UYFQSYQOVQPIPZ-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO CCCOc1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240510613 1130335672 /nfs/dbraw/zinc/33/56/72/1130335672.db2.gz OQQIGZOURQLTRF-UHFFFAOYSA-N 1 2 252.317 3.790 20 0 CHADLO Cc1nc(C(F)(F)F)ccc1-c1ccn2cc[nH+]c2c1 ZINC001240510572 1130335738 /nfs/dbraw/zinc/33/57/38/1130335738.db2.gz OCBYQDIJMHDIBX-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO CSc1ccc(F)cc1-c1ccn2cc[nH+]c2c1 ZINC001240511510 1130336382 /nfs/dbraw/zinc/33/63/82/1130336382.db2.gz FVCCDULSHVQZAC-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO Fc1cnc2cc(-c3ccn4cc[nH+]c4c3)ccc2c1 ZINC001240512617 1130337168 /nfs/dbraw/zinc/33/71/68/1130337168.db2.gz WJIFJCMBFAUNBD-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CCCOc1cc(F)ccc1-c1ccn2cc[nH+]c2c1 ZINC001240513683 1130338080 /nfs/dbraw/zinc/33/80/80/1130338080.db2.gz ZKZZJINJIGADCD-UHFFFAOYSA-N 1 2 270.307 3.929 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139474702 1130340898 /nfs/dbraw/zinc/34/08/98/1130340898.db2.gz FGVJXVNHQSNJRZ-LBPRGKRZSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139474702 1130340900 /nfs/dbraw/zinc/34/09/00/1130340900.db2.gz FGVJXVNHQSNJRZ-LBPRGKRZSA-N 1 2 277.170 3.570 20 0 CHADLO Cc1cc(-c2cccc(N3CCCC3)c2)c2[nH+]ccn2c1 ZINC001240535810 1130348143 /nfs/dbraw/zinc/34/81/43/1130348143.db2.gz ANSOYYPWNBPPFS-UHFFFAOYSA-N 1 2 277.371 3.910 20 0 CHADLO Cc1cn2cc(-c3cccc(N4CCCC4)c3)ccc2[nH+]1 ZINC001240536285 1130348780 /nfs/dbraw/zinc/34/87/80/1130348780.db2.gz OTPUOVVPPNQEFR-UHFFFAOYSA-N 1 2 277.371 3.910 20 0 CHADLO CCCOc1cccc(F)c1-c1c[nH+]c(C)cc1OC ZINC001243264602 1130350862 /nfs/dbraw/zinc/35/08/62/1130350862.db2.gz HXTVWHTYZHXBBE-UHFFFAOYSA-N 1 2 275.323 3.994 20 0 CHADLO CCc1ncc(C[N@H+](Cc2ccc(C)o2)C2CC2)s1 ZINC000678135261 1130353879 /nfs/dbraw/zinc/35/38/79/1130353879.db2.gz KLZOPRQQLFBQIL-UHFFFAOYSA-N 1 2 276.405 3.772 20 0 CHADLO CCc1ncc(C[N@@H+](Cc2ccc(C)o2)C2CC2)s1 ZINC000678135261 1130353883 /nfs/dbraw/zinc/35/38/83/1130353883.db2.gz KLZOPRQQLFBQIL-UHFFFAOYSA-N 1 2 276.405 3.772 20 0 CHADLO CC(C)c1nsc(C[N@@H+]2CCC=C(c3ccccc3)C2)n1 ZINC001170151732 1130358780 /nfs/dbraw/zinc/35/87/80/1130358780.db2.gz XLDVSSGGVMDOAP-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO CC(C)c1nsc(C[N@H+]2CCC=C(c3ccccc3)C2)n1 ZINC001170151732 1130358787 /nfs/dbraw/zinc/35/87/87/1130358787.db2.gz XLDVSSGGVMDOAP-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO CCNC(=O)Nc1ccc(-c2c(C)cc[nH+]c2C)cc1 ZINC001240579287 1130370266 /nfs/dbraw/zinc/37/02/66/1130370266.db2.gz IKGBQUIFZRYARA-UHFFFAOYSA-N 1 2 269.348 3.507 20 0 CHADLO C[N@H+](CC(F)F)[C@H]1CCCC[C@H]1c1ccccc1 ZINC000678233423 1130374617 /nfs/dbraw/zinc/37/46/17/1130374617.db2.gz DSCBHCXTCXURQB-KBPBESRZSA-N 1 2 253.336 3.910 20 0 CHADLO C[N@@H+](CC(F)F)[C@H]1CCCC[C@H]1c1ccccc1 ZINC000678233423 1130374625 /nfs/dbraw/zinc/37/46/25/1130374625.db2.gz DSCBHCXTCXURQB-KBPBESRZSA-N 1 2 253.336 3.910 20 0 CHADLO c1cn2cccc(-c3ccc(N4CCCC4)cc3)c2[nH+]1 ZINC001240590495 1130375126 /nfs/dbraw/zinc/37/51/26/1130375126.db2.gz WAQJWKZWMZHXMS-UHFFFAOYSA-N 1 2 263.344 3.602 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1Cc1cscc1Cl ZINC000678260670 1130380186 /nfs/dbraw/zinc/38/01/86/1130380186.db2.gz KNGVZNBYSWBMPA-GFCCVEGCSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1Cc1cscc1Cl ZINC000678260670 1130380188 /nfs/dbraw/zinc/38/01/88/1130380188.db2.gz KNGVZNBYSWBMPA-GFCCVEGCSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC[C@@H](C(F)(F)F)[C@@H]2C)o1 ZINC000791585845 1130387488 /nfs/dbraw/zinc/38/74/88/1130387488.db2.gz UWCGWNAOUCAHAO-VHSXEESVSA-N 1 2 276.302 3.571 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC[C@@H](C(F)(F)F)[C@@H]2C)o1 ZINC000791585845 1130387494 /nfs/dbraw/zinc/38/74/94/1130387494.db2.gz UWCGWNAOUCAHAO-VHSXEESVSA-N 1 2 276.302 3.571 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@H](C)OC[C@H]2c2ccccc2)o1 ZINC000678278756 1130387896 /nfs/dbraw/zinc/38/78/96/1130387896.db2.gz DOIDJWQZCBKRNN-KSSFIOAISA-N 1 2 285.387 3.804 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@H](C)OC[C@H]2c2ccccc2)o1 ZINC000678278756 1130387903 /nfs/dbraw/zinc/38/79/03/1130387903.db2.gz DOIDJWQZCBKRNN-KSSFIOAISA-N 1 2 285.387 3.804 20 0 CHADLO CC(C)(C)n1cc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)cn1 ZINC000678284558 1130391024 /nfs/dbraw/zinc/39/10/24/1130391024.db2.gz XZJNIOPPDLLGKX-INIZCTEOSA-N 1 2 287.382 3.724 20 0 CHADLO CC(C)(C)n1cc(C[N@H+]2CC[C@H]2c2cccc(F)c2)cn1 ZINC000678284558 1130391030 /nfs/dbraw/zinc/39/10/30/1130391030.db2.gz XZJNIOPPDLLGKX-INIZCTEOSA-N 1 2 287.382 3.724 20 0 CHADLO COc1ccc2[nH+]c(NC[C@@H]3CC(C)(C)O3)c(C)cc2c1 ZINC001157654414 1130430602 /nfs/dbraw/zinc/43/06/02/1130430602.db2.gz KDGJDBQBQLMRAY-AWEZNQCLSA-N 1 2 286.375 3.531 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2COc3ccc(C)cc32)c(C)s1 ZINC000678596798 1130435170 /nfs/dbraw/zinc/43/51/70/1130435170.db2.gz QBDVYZOQJMSSNX-IINYFYTJSA-N 1 2 288.416 3.853 20 0 CHADLO Cc1cc(-c2c[nH+]c(N3CCCCC3)cc2C)ccn1 ZINC001240716883 1130436392 /nfs/dbraw/zinc/43/63/92/1130436392.db2.gz GVJRCGXFFUJGMV-UHFFFAOYSA-N 1 2 267.376 3.751 20 0 CHADLO CN(Cc1cccc(Cl)c1)c1cc[nH+]cc1F ZINC000678787997 1130455253 /nfs/dbraw/zinc/45/52/53/1130455253.db2.gz BVFCZTCQNBRDTR-UHFFFAOYSA-N 1 2 250.704 3.511 20 0 CHADLO Fc1cccc([C@H]2CCCN2c2cc[nH+]cc2F)c1 ZINC000678788709 1130455468 /nfs/dbraw/zinc/45/54/68/1130455468.db2.gz NBHCCYVGRDAHAA-CQSZACIVSA-N 1 2 260.287 3.701 20 0 CHADLO CCO[C@H](C)n1cc(-c2cccc(-c3c[nH+]cn3C)c2)cn1 ZINC001240757232 1130456470 /nfs/dbraw/zinc/45/64/70/1130456470.db2.gz GOIKXFNWEUCHKQ-CYBMUJFWSA-N 1 2 296.374 3.506 20 0 CHADLO CCc1nn(C)cc1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000678837605 1130464534 /nfs/dbraw/zinc/46/45/34/1130464534.db2.gz AYMSGWYZUXCSRO-MRXNPFEDSA-N 1 2 289.810 3.583 20 0 CHADLO CCc1nn(C)cc1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000678837605 1130464538 /nfs/dbraw/zinc/46/45/38/1130464538.db2.gz AYMSGWYZUXCSRO-MRXNPFEDSA-N 1 2 289.810 3.583 20 0 CHADLO c1cn(Cc2ccc(-c3ccc4c(c3)CCCO4)cc2)c[nH+]1 ZINC001240773807 1130466172 /nfs/dbraw/zinc/46/61/72/1130466172.db2.gz XRPPZRKKWQLCNV-UHFFFAOYSA-N 1 2 290.366 3.923 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[N@@H+]1Cc2cccnc2C1 ZINC001170264940 1130469772 /nfs/dbraw/zinc/46/97/72/1130469772.db2.gz BFXPPKNFAWEJIR-GFCCVEGCSA-N 1 2 272.779 3.682 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[N@H+]1Cc2cccnc2C1 ZINC001170264940 1130469776 /nfs/dbraw/zinc/46/97/76/1130469776.db2.gz BFXPPKNFAWEJIR-GFCCVEGCSA-N 1 2 272.779 3.682 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1ccc(O)c(F)c1 ZINC001240785625 1130470040 /nfs/dbraw/zinc/47/00/40/1130470040.db2.gz JVYBDSJGZSAPNQ-UHFFFAOYSA-N 1 2 286.350 3.892 20 0 CHADLO C[C@@H]1C[C@@H]1[C@H]([NH2+]Cc1csnn1)c1ccc(Cl)cc1 ZINC000678931072 1130474214 /nfs/dbraw/zinc/47/42/14/1130474214.db2.gz CPQNUJCGWCLMJM-BIGNPOOSSA-N 1 2 293.823 3.678 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2csnn2)cc1 ZINC000678932201 1130474502 /nfs/dbraw/zinc/47/45/02/1130474502.db2.gz SAHUZSMJNCGKNS-SNVBAGLBSA-N 1 2 279.434 3.501 20 0 CHADLO COc1ccc[nH+]c1NCc1cccc(-c2ccccn2)c1 ZINC000678941370 1130476030 /nfs/dbraw/zinc/47/60/30/1130476030.db2.gz ONSDBEVJZWLGFS-UHFFFAOYSA-N 1 2 291.354 3.764 20 0 CHADLO CC(C)Oc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cn1 ZINC001240810094 1130483059 /nfs/dbraw/zinc/48/30/59/1130483059.db2.gz LYAXHOFJUCCUOI-UHFFFAOYSA-N 1 2 297.333 3.861 20 0 CHADLO CCc1ccc([N@H+](CCCCOC)CC2CC2)cc1 ZINC001170410333 1130491614 /nfs/dbraw/zinc/49/16/14/1130491614.db2.gz GFYMIHMIXBBEOC-UHFFFAOYSA-N 1 2 261.409 3.892 20 0 CHADLO CCc1ccc([N@@H+](CCCCOC)CC2CC2)cc1 ZINC001170410333 1130491618 /nfs/dbraw/zinc/49/16/18/1130491618.db2.gz GFYMIHMIXBBEOC-UHFFFAOYSA-N 1 2 261.409 3.892 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(Oc2cccnc2)cc1 ZINC001240880368 1130500704 /nfs/dbraw/zinc/50/07/04/1130500704.db2.gz HHSGGEAUKQJUAX-ZVSBGTIOSA-N 1 2 252.317 3.750 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c(Cl)c1 ZINC000679218672 1130502940 /nfs/dbraw/zinc/50/29/40/1130502940.db2.gz AGRSLFWKEYVAAS-QMTHXVAHSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c(Cl)c1 ZINC000679218672 1130502944 /nfs/dbraw/zinc/50/29/44/1130502944.db2.gz AGRSLFWKEYVAAS-QMTHXVAHSA-N 1 2 271.763 3.529 20 0 CHADLO C[C@@H]1CC[N@H+](CCOc2ccc(F)cc2Cl)C[C@@H]1F ZINC000679222352 1130504099 /nfs/dbraw/zinc/50/40/99/1130504099.db2.gz MGYLJAMZUMKFII-MFKMUULPSA-N 1 2 289.753 3.538 20 0 CHADLO C[C@@H]1CC[N@@H+](CCOc2ccc(F)cc2Cl)C[C@@H]1F ZINC000679222352 1130504107 /nfs/dbraw/zinc/50/41/07/1130504107.db2.gz MGYLJAMZUMKFII-MFKMUULPSA-N 1 2 289.753 3.538 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679228216 1130504734 /nfs/dbraw/zinc/50/47/34/1130504734.db2.gz VSWZEGBEAOVXDW-IUODEOHRSA-N 1 2 288.366 3.830 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679228216 1130504739 /nfs/dbraw/zinc/50/47/39/1130504739.db2.gz VSWZEGBEAOVXDW-IUODEOHRSA-N 1 2 288.366 3.830 20 0 CHADLO C[N@H+](Cc1nnc(C(C)(C)C)o1)C(C)(C)c1ccccc1 ZINC000792927210 1130507866 /nfs/dbraw/zinc/50/78/66/1130507866.db2.gz PLUIZMYSASWAEV-UHFFFAOYSA-N 1 2 287.407 3.734 20 0 CHADLO C[N@@H+](Cc1nnc(C(C)(C)C)o1)C(C)(C)c1ccccc1 ZINC000792927210 1130507872 /nfs/dbraw/zinc/50/78/72/1130507872.db2.gz PLUIZMYSASWAEV-UHFFFAOYSA-N 1 2 287.407 3.734 20 0 CHADLO Cc1ccc(Nc2nc(C[N@H+]3CC=CCC3)cs2)cc1 ZINC000679323257 1130514918 /nfs/dbraw/zinc/51/49/18/1130514918.db2.gz URASZLMSKGXTJF-UHFFFAOYSA-N 1 2 285.416 3.957 20 0 CHADLO Cc1ccc(Nc2nc(C[N@@H+]3CC=CCC3)cs2)cc1 ZINC000679323257 1130514920 /nfs/dbraw/zinc/51/49/20/1130514920.db2.gz URASZLMSKGXTJF-UHFFFAOYSA-N 1 2 285.416 3.957 20 0 CHADLO Cc1cc(N2C[C@H](C(F)(F)F)CC[C@H]2C)nc(C2CC2)[nH+]1 ZINC000679476907 1130531945 /nfs/dbraw/zinc/53/19/45/1130531945.db2.gz LVSWPRROXPGFRI-ZYHUDNBSSA-N 1 2 299.340 3.830 20 0 CHADLO COc1cc(F)c(-c2cc3[nH]ccc3c(C)[nH+]2)cc1F ZINC001240955861 1130534657 /nfs/dbraw/zinc/53/46/57/1130534657.db2.gz RSWJGCWLGYIUTK-UHFFFAOYSA-N 1 2 274.270 3.825 20 0 CHADLO Cc1c(C[NH2+]C(C)(C)C(F)F)sc2nc(C)nc(C)c12 ZINC000793253780 1130535772 /nfs/dbraw/zinc/53/57/72/1130535772.db2.gz IEQJTSYZBPFQNQ-UHFFFAOYSA-N 1 2 299.390 3.750 20 0 CHADLO COc1cc(F)c(-c2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC001240958623 1130536932 /nfs/dbraw/zinc/53/69/32/1130536932.db2.gz KLTXPUOTIHHRON-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO C(=C\[C@@H]1CCC[N@H+]1Cc1nc(C2CC2)no1)\c1ccccc1 ZINC000679545950 1130539274 /nfs/dbraw/zinc/53/92/74/1130539274.db2.gz QZGUDKSJQSAESQ-CLOOOTJHSA-N 1 2 295.386 3.625 20 0 CHADLO C(=C\[C@@H]1CCC[N@@H+]1Cc1nc(C2CC2)no1)\c1ccccc1 ZINC000679545950 1130539275 /nfs/dbraw/zinc/53/92/75/1130539275.db2.gz QZGUDKSJQSAESQ-CLOOOTJHSA-N 1 2 295.386 3.625 20 0 CHADLO Fc1cccc(Cl)c1C[NH+]1CCC(F)(F)CC1 ZINC000793352444 1130549877 /nfs/dbraw/zinc/54/98/77/1130549877.db2.gz NQUMRCHKMRBVOV-UHFFFAOYSA-N 1 2 263.690 3.710 20 0 CHADLO Cc1ccccc1-c1cnc(C[NH2+][C@H](C)c2cccnc2)o1 ZINC000679935107 1130563595 /nfs/dbraw/zinc/56/35/95/1130563595.db2.gz OJZGCFIUVPGIHX-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1cnc(=S)n(C[N@@H+]2CCc3sccc3[C@@H]2C)c1 ZINC000758295452 1130604659 /nfs/dbraw/zinc/60/46/59/1130604659.db2.gz SZPHVZFGZLHWSQ-NSHDSACASA-N 1 2 291.445 3.559 20 0 CHADLO Cc1cnc(=S)n(C[N@H+]2CCc3sccc3[C@@H]2C)c1 ZINC000758295452 1130604662 /nfs/dbraw/zinc/60/46/62/1130604662.db2.gz SZPHVZFGZLHWSQ-NSHDSACASA-N 1 2 291.445 3.559 20 0 CHADLO CC[C@]1(C)CC[N@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000758394530 1130609833 /nfs/dbraw/zinc/60/98/33/1130609833.db2.gz DLJASTJRAZEJRP-CYBMUJFWSA-N 1 2 283.466 3.631 20 0 CHADLO CC[C@]1(C)CC[N@@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000758394530 1130609835 /nfs/dbraw/zinc/60/98/35/1130609835.db2.gz DLJASTJRAZEJRP-CYBMUJFWSA-N 1 2 283.466 3.631 20 0 CHADLO Clc1nccc(C[NH+]2C3CCC2CC3)c1Cl ZINC000811455035 1130610888 /nfs/dbraw/zinc/61/08/88/1130610888.db2.gz UNUCYYYJSOGOAT-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO Cc1nn(C[N@H+]2CCC[C@H](CC(C)C)C2)c(=S)s1 ZINC000759134167 1130637693 /nfs/dbraw/zinc/63/76/93/1130637693.db2.gz GVEROXBFDZEKFL-GFCCVEGCSA-N 1 2 285.482 3.698 20 0 CHADLO Cc1nn(C[N@@H+]2CCC[C@H](CC(C)C)C2)c(=S)s1 ZINC000759134167 1130637697 /nfs/dbraw/zinc/63/76/97/1130637697.db2.gz GVEROXBFDZEKFL-GFCCVEGCSA-N 1 2 285.482 3.698 20 0 CHADLO CCC(CC)CC(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000759418860 1130656942 /nfs/dbraw/zinc/65/69/42/1130656942.db2.gz YAGNOCXOVMWGKS-UHFFFAOYSA-N 1 2 286.375 3.742 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c(OC(C)C)c1 ZINC001234687925 1130672628 /nfs/dbraw/zinc/67/26/28/1130672628.db2.gz AFQSBFBJLUNTHB-CZUORRHYSA-N 1 2 295.398 3.662 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c(OC(C)C)c1 ZINC001234687925 1130672631 /nfs/dbraw/zinc/67/26/31/1130672631.db2.gz AFQSBFBJLUNTHB-CZUORRHYSA-N 1 2 295.398 3.662 20 0 CHADLO CC(C)n1cc2c(n1)[C@@H]([NH2+]Cc1ccc(Cl)o1)CCC2 ZINC000780408707 1130678879 /nfs/dbraw/zinc/67/88/79/1130678879.db2.gz ODAOAASRKCDXSF-ZDUSSCGKSA-N 1 2 293.798 3.878 20 0 CHADLO CC/C(C)=C(\C)C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000780883227 1130693797 /nfs/dbraw/zinc/69/37/97/1130693797.db2.gz RBPDLXMTJFRDSZ-OUKQBFOZSA-N 1 2 269.348 3.557 20 0 CHADLO Cc1cc(C[NH+]2CC(c3ccccc3F)C2)cnc1Cl ZINC000812790789 1130714829 /nfs/dbraw/zinc/71/48/29/1130714829.db2.gz IDFUFWYFNPJPNE-UHFFFAOYSA-N 1 2 290.769 3.782 20 0 CHADLO CC1CC[NH+](Cc2nc(Cl)ccc2C(F)(F)F)CC1 ZINC001235231048 1130726417 /nfs/dbraw/zinc/72/64/17/1130726417.db2.gz WDAXESGEPJARTR-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1cc(F)c(F)c(F)c1F ZINC001235338609 1130733986 /nfs/dbraw/zinc/73/39/86/1130733986.db2.gz GZVHGTRLYJGKOF-QMMMGPOBSA-N 1 2 261.262 3.617 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1cc(F)c(F)c(F)c1F ZINC001235338609 1130733989 /nfs/dbraw/zinc/73/39/89/1130733989.db2.gz GZVHGTRLYJGKOF-QMMMGPOBSA-N 1 2 261.262 3.617 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)c(F)c(F)c2F)C2(CC2)C1 ZINC001235347800 1130736025 /nfs/dbraw/zinc/73/60/25/1130736025.db2.gz FNHXZVIJWBLQRH-QMMMGPOBSA-N 1 2 273.273 3.617 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(F)c(F)c(F)c2F)C2(CC2)C1 ZINC001235347800 1130736030 /nfs/dbraw/zinc/73/60/30/1130736030.db2.gz FNHXZVIJWBLQRH-QMMMGPOBSA-N 1 2 273.273 3.617 20 0 CHADLO Clc1cnc(C[NH+]2CCC(c3ccccc3)CC2)nc1 ZINC001235407989 1130738521 /nfs/dbraw/zinc/73/85/21/1130738521.db2.gz SEBCYGFZUGNKTR-UHFFFAOYSA-N 1 2 287.794 3.510 20 0 CHADLO Cc1c(F)ccc(F)c1-c1cc(-n2cc[nH+]c2)ccn1 ZINC001244983891 1130751793 /nfs/dbraw/zinc/75/17/93/1130751793.db2.gz MWSMSSVWFHNQDW-UHFFFAOYSA-N 1 2 271.270 3.521 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCO[C@H](C(C)C)C2)c1 ZINC001235538565 1130753983 /nfs/dbraw/zinc/75/39/83/1130753983.db2.gz OYLIRVFCCBELQT-HNNXBMFYSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCO[C@H](C(C)C)C2)c1 ZINC001235538565 1130753982 /nfs/dbraw/zinc/75/39/82/1130753982.db2.gz OYLIRVFCCBELQT-HNNXBMFYSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)c1Cl ZINC001235584433 1130756049 /nfs/dbraw/zinc/75/60/49/1130756049.db2.gz YSWMRKDJRXTCCF-JTQLQIEISA-N 1 2 292.732 3.818 20 0 CHADLO Cc1ccnc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)c1Cl ZINC001235584433 1130756052 /nfs/dbraw/zinc/75/60/52/1130756052.db2.gz YSWMRKDJRXTCCF-JTQLQIEISA-N 1 2 292.732 3.818 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)[C@@H]1CCc2cc(Cl)ccc21 ZINC000782182527 1130757632 /nfs/dbraw/zinc/75/76/32/1130757632.db2.gz CPMOVHLSRNVOTP-CQSZACIVSA-N 1 2 295.810 3.601 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)[C@@H]1CCc2cc(Cl)ccc21 ZINC000782182527 1130757634 /nfs/dbraw/zinc/75/76/34/1130757634.db2.gz CPMOVHLSRNVOTP-CQSZACIVSA-N 1 2 295.810 3.601 20 0 CHADLO C[N@H+](Cc1nccs1)[C@@H]1CCc2cc(Cl)ccc21 ZINC000782182294 1130758047 /nfs/dbraw/zinc/75/80/47/1130758047.db2.gz DVWFVICZUISENP-CYBMUJFWSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1nccs1)[C@@H]1CCc2cc(Cl)ccc21 ZINC000782182294 1130758052 /nfs/dbraw/zinc/75/80/52/1130758052.db2.gz DVWFVICZUISENP-CYBMUJFWSA-N 1 2 278.808 3.916 20 0 CHADLO COc1ccc(C)cc1N[C@@H](C)c1cn2c([nH+]1)CCCC2 ZINC000813329402 1130759956 /nfs/dbraw/zinc/75/99/56/1130759956.db2.gz RNERYXVEHOTWLY-ZDUSSCGKSA-N 1 2 285.391 3.710 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1nc2cc(F)ccc2[nH]1 ZINC001235682975 1130767905 /nfs/dbraw/zinc/76/79/05/1130767905.db2.gz LWVRXHYBIMKNOO-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1nc2cc(F)ccc2[nH]1 ZINC001235682975 1130767908 /nfs/dbraw/zinc/76/79/08/1130767908.db2.gz LWVRXHYBIMKNOO-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1nc2cc(F)ccc2[nH]1 ZINC001235692536 1130770102 /nfs/dbraw/zinc/77/01/02/1130770102.db2.gz DBNATCBQKBCRAO-LBPRGKRZSA-N 1 2 295.361 3.649 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1nc2cc(F)ccc2[nH]1 ZINC001235692536 1130770107 /nfs/dbraw/zinc/77/01/07/1130770107.db2.gz DBNATCBQKBCRAO-LBPRGKRZSA-N 1 2 295.361 3.649 20 0 CHADLO Cc1ccccc1COC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000782437205 1130777657 /nfs/dbraw/zinc/77/76/57/1130777657.db2.gz JGLVXHXNWCNVPB-UHFFFAOYSA-N 1 2 292.338 3.538 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H](c2nc[nH]n2)C2CCCCC2)cc1 ZINC000813864834 1130791204 /nfs/dbraw/zinc/79/12/04/1130791204.db2.gz FDOINNHKIFIQDE-MRXNPFEDSA-N 1 2 299.422 3.604 20 0 CHADLO CC[C@H]1CC[C@H](C(=O)OCc2cccc3[nH+]ccn32)CC1 ZINC000783112207 1130797758 /nfs/dbraw/zinc/79/77/58/1130797758.db2.gz LLTYDZSBLNLPSJ-HDJSIYSDSA-N 1 2 286.375 3.594 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OCc1ccc2c(c1)CCC2 ZINC000783162079 1130800003 /nfs/dbraw/zinc/80/00/03/1130800003.db2.gz YYPFGQIOGMJBTF-UHFFFAOYSA-N 1 2 298.361 3.556 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(O)cc2Cl)[nH+]1 ZINC001245083446 1130801381 /nfs/dbraw/zinc/80/13/81/1130801381.db2.gz PYCGGMSKOWYNFW-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO Oc1cc(Cl)cc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001235905670 1130801878 /nfs/dbraw/zinc/80/18/78/1130801878.db2.gz BTSMCUKRDJXFIW-UHFFFAOYSA-N 1 2 284.746 3.957 20 0 CHADLO C[C@@H]1CC[C@H]1Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000783283279 1130811358 /nfs/dbraw/zinc/81/13/58/1130811358.db2.gz UTAXOPSHBHGQLS-CZUORRHYSA-N 1 2 279.387 3.812 20 0 CHADLO Cc1cn2cc(-c3ccnn3Cc3ccccc3)ccc2[nH+]1 ZINC001236048660 1130838780 /nfs/dbraw/zinc/83/87/80/1130838780.db2.gz JEBRLHNJHXPCLW-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1-c1ccn2cc(N)[nH+]c2c1 ZINC001236083336 1130853044 /nfs/dbraw/zinc/85/30/44/1130853044.db2.gz OXBBOZXIXLXOHR-UHFFFAOYSA-N 1 2 295.386 3.988 20 0 CHADLO CC(C)Oc1ncccc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001236103537 1130863688 /nfs/dbraw/zinc/86/36/88/1130863688.db2.gz QFWUHTJFNLMHLR-UHFFFAOYSA-N 1 2 293.370 3.781 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(NC(=O)C(C)C)cc2)[nH+]1 ZINC001236260831 1130908894 /nfs/dbraw/zinc/90/88/94/1130908894.db2.gz MXXQKQSSBGGZNR-UHFFFAOYSA-N 1 2 293.370 3.904 20 0 CHADLO Cc1cccc([C@H](C)OC(=O)[C@H](c2ccccc2)[NH+](C)C)c1 ZINC000784588451 1130912225 /nfs/dbraw/zinc/91/22/25/1130912225.db2.gz KKTPTYXVHNAICG-YJBOKZPZSA-N 1 2 297.398 3.902 20 0 CHADLO Nc1ccc(-c2ccc3c(c2)ncn3-c2ccccc2)c[nH+]1 ZINC001236312942 1130912421 /nfs/dbraw/zinc/91/24/21/1130912421.db2.gz BWZREMZATQDOSE-UHFFFAOYSA-N 1 2 286.338 3.670 20 0 CHADLO Cc1cc(-c2ccc(N)[nH+]c2)cc(C)c1OC(C)C ZINC001236312894 1130912685 /nfs/dbraw/zinc/91/26/85/1130912685.db2.gz BGGBJFVYOSXGJI-UHFFFAOYSA-N 1 2 256.349 3.735 20 0 CHADLO CC[C@H](C(=O)OCCCn1cc[nH+]c1)C1CCC(C)CC1 ZINC000784728699 1130916986 /nfs/dbraw/zinc/91/69/86/1130916986.db2.gz JYTXPXPRTSTHFA-GPANFISMSA-N 1 2 292.423 3.669 20 0 CHADLO Cc1c(-c2cc(C)cn3cc[nH+]c23)cnn1-c1ccccc1 ZINC001236402718 1130928393 /nfs/dbraw/zinc/92/83/93/1130928393.db2.gz NQPWDWZFXRFOCB-UHFFFAOYSA-N 1 2 288.354 3.804 20 0 CHADLO COc1nc(C(C)C)ccc1-c1ccc2[nH+]ccn2c1 ZINC001236443674 1130942617 /nfs/dbraw/zinc/94/26/17/1130942617.db2.gz ISLKAKSJWMDMHO-UHFFFAOYSA-N 1 2 267.332 3.528 20 0 CHADLO Cc1cc([NH2+][C@@H]2CC[C@@H]2C(C)C)ccc1N1CCOCC1 ZINC000796935876 1130946420 /nfs/dbraw/zinc/94/64/20/1130946420.db2.gz WPUDCOKVUMATEQ-IAGOWNOFSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N2CCCC2)nc(-c2ccc(NC(C)C)cc2)[nH+]1 ZINC001236479898 1130951385 /nfs/dbraw/zinc/95/13/85/1130951385.db2.gz ZTCCIWULBPYSCE-UHFFFAOYSA-N 1 2 296.418 3.873 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnn(C)c1Cl)c1cc(F)ccc1F ZINC000815125776 1130960021 /nfs/dbraw/zinc/96/00/21/1130960021.db2.gz NECQSPUVHPXXOB-CYBMUJFWSA-N 1 2 299.752 3.593 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccnc(Cl)c1)c1c(F)cncc1F ZINC000797128435 1130963819 /nfs/dbraw/zinc/96/38/19/1130963819.db2.gz SAYGSTMUKPLEBA-DTWKUNHWSA-N 1 2 297.736 3.820 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+](Cc2ccco2)C2CC2)n1 ZINC001236648931 1130988878 /nfs/dbraw/zinc/98/88/78/1130988878.db2.gz XUAIRGXIYSUAJV-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+](Cc2ccco2)C2CC2)n1 ZINC001236648931 1130988882 /nfs/dbraw/zinc/98/88/82/1130988882.db2.gz XUAIRGXIYSUAJV-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO COc1cc2ccccc2cc1C[N@@H+]1CCC(=O)C(C)(C)C1 ZINC001236675081 1130991208 /nfs/dbraw/zinc/99/12/08/1130991208.db2.gz FDIWUGNDFLRFLG-UHFFFAOYSA-N 1 2 297.398 3.649 20 0 CHADLO COc1cc2ccccc2cc1C[N@H+]1CCC(=O)C(C)(C)C1 ZINC001236675081 1130991211 /nfs/dbraw/zinc/99/12/11/1130991211.db2.gz FDIWUGNDFLRFLG-UHFFFAOYSA-N 1 2 297.398 3.649 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC3(CCCCC3)C2)cc(Cl)n1 ZINC000797592009 1130996017 /nfs/dbraw/zinc/99/60/17/1130996017.db2.gz KKPGCEXVMWAZEH-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Cc1cc(C[N@H+]2CCOC3(CCCCC3)C2)cc(Cl)n1 ZINC000797592009 1130996020 /nfs/dbraw/zinc/99/60/20/1130996020.db2.gz KKPGCEXVMWAZEH-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Cc1cccc(C)c1-c1cccc(C[NH+]2CC3(CCO3)C2)c1 ZINC001236814562 1131013555 /nfs/dbraw/zinc/01/35/55/1131013555.db2.gz IYONPCXGFYMRIY-UHFFFAOYSA-N 1 2 293.410 3.945 20 0 CHADLO Cc1cc(Br)sc1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001236828329 1131016845 /nfs/dbraw/zinc/01/68/45/1131016845.db2.gz FOIGZXKCPYBWFG-BDAKNGLRSA-N 1 2 292.217 3.751 20 0 CHADLO Cc1cc(Br)sc1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001236828329 1131016852 /nfs/dbraw/zinc/01/68/52/1131016852.db2.gz FOIGZXKCPYBWFG-BDAKNGLRSA-N 1 2 292.217 3.751 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cc(F)cc1F)c1ccoc1 ZINC000815650169 1131018414 /nfs/dbraw/zinc/01/84/14/1131018414.db2.gz KRDXYZBABBHMQO-MRVPVSSYSA-N 1 2 255.239 3.548 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1nc2ccccc2cc1Cl ZINC001236870906 1131028112 /nfs/dbraw/zinc/02/81/12/1131028112.db2.gz OIYXBQZKGIUGPV-PWSUYJOCSA-N 1 2 278.758 3.821 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1nc2ccccc2cc1Cl ZINC001236870906 1131028118 /nfs/dbraw/zinc/02/81/18/1131028118.db2.gz OIYXBQZKGIUGPV-PWSUYJOCSA-N 1 2 278.758 3.821 20 0 CHADLO C[C@H](c1ncc(Br)cn1)[NH+]1CCCCCCC1 ZINC000798193000 1131028442 /nfs/dbraw/zinc/02/84/42/1131028442.db2.gz HCMHTESCKUZRFV-LLVKDONJSA-N 1 2 298.228 3.566 20 0 CHADLO O=C(/C=C\c1ccccc1Cl)Nc1ccn2cc[nH+]c2c1 ZINC000798262138 1131031802 /nfs/dbraw/zinc/03/18/02/1131031802.db2.gz JANGQRKGRRNVIT-WAYWQWQTSA-N 1 2 297.745 3.640 20 0 CHADLO C[N@H+](CCF)Cc1ccc(-c2ccccc2Cl)nc1 ZINC001237024130 1131036993 /nfs/dbraw/zinc/03/69/93/1131036993.db2.gz SIFRTVBUOCNWCQ-UHFFFAOYSA-N 1 2 278.758 3.803 20 0 CHADLO C[N@@H+](CCF)Cc1ccc(-c2ccccc2Cl)nc1 ZINC001237024130 1131037000 /nfs/dbraw/zinc/03/70/00/1131037000.db2.gz SIFRTVBUOCNWCQ-UHFFFAOYSA-N 1 2 278.758 3.803 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@H]2c2ccccn2)o1 ZINC000748039051 1131037488 /nfs/dbraw/zinc/03/74/88/1131037488.db2.gz ITBJXQCZWTUHQV-AWEZNQCLSA-N 1 2 271.364 3.530 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@H]2c2ccccn2)o1 ZINC000748039051 1131037493 /nfs/dbraw/zinc/03/74/93/1131037493.db2.gz ITBJXQCZWTUHQV-AWEZNQCLSA-N 1 2 271.364 3.530 20 0 CHADLO C[N@H+](Cc1cncs1)Cc1ccccc1OC(C)(C)C ZINC001237027901 1131038940 /nfs/dbraw/zinc/03/89/40/1131038940.db2.gz LHGRCQGFIGFPEB-UHFFFAOYSA-N 1 2 290.432 3.952 20 0 CHADLO C[N@@H+](Cc1cncs1)Cc1ccccc1OC(C)(C)C ZINC001237027901 1131038942 /nfs/dbraw/zinc/03/89/42/1131038942.db2.gz LHGRCQGFIGFPEB-UHFFFAOYSA-N 1 2 290.432 3.952 20 0 CHADLO Cc1c(C[N@H+](C)CC(=O)c2ccccc2)ccc(F)c1F ZINC001237164455 1131064806 /nfs/dbraw/zinc/06/48/06/1131064806.db2.gz BUFBVGISROKAQA-UHFFFAOYSA-N 1 2 289.325 3.588 20 0 CHADLO Cc1c(C[N@@H+](C)CC(=O)c2ccccc2)ccc(F)c1F ZINC001237164455 1131064812 /nfs/dbraw/zinc/06/48/12/1131064812.db2.gz BUFBVGISROKAQA-UHFFFAOYSA-N 1 2 289.325 3.588 20 0 CHADLO Cc1cc(CNC(=O)c2cscc2C(F)F)cc(C)[nH+]1 ZINC001331393100 1131076322 /nfs/dbraw/zinc/07/63/22/1131076322.db2.gz NRCRPPMUCSKWQL-UHFFFAOYSA-N 1 2 296.342 3.628 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)[C@H]2CCOC2)c1Cl ZINC001237332798 1131086018 /nfs/dbraw/zinc/08/60/18/1131086018.db2.gz YUVVZVDIFCPPPJ-JTQLQIEISA-N 1 2 274.191 3.523 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)[C@H]2CCOC2)c1Cl ZINC001237332798 1131086024 /nfs/dbraw/zinc/08/60/24/1131086024.db2.gz YUVVZVDIFCPPPJ-JTQLQIEISA-N 1 2 274.191 3.523 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CC[C@]23CCOC3)c1Cl ZINC001237340195 1131088463 /nfs/dbraw/zinc/08/84/63/1131088463.db2.gz SHODQCATFMKQNE-CQSZACIVSA-N 1 2 286.202 3.667 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CC[C@]23CCOC3)c1Cl ZINC001237340195 1131088468 /nfs/dbraw/zinc/08/84/68/1131088468.db2.gz SHODQCATFMKQNE-CQSZACIVSA-N 1 2 286.202 3.667 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cnc(-c3ccccc3)o2)C[C@@H]1F ZINC001237362560 1131091927 /nfs/dbraw/zinc/09/19/27/1131091927.db2.gz ABVFGKLZQTXJMQ-HOCLYGCPSA-N 1 2 292.329 3.614 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cnc(-c3ccccc3)o2)C[C@@H]1F ZINC001237362560 1131091931 /nfs/dbraw/zinc/09/19/31/1131091931.db2.gz ABVFGKLZQTXJMQ-HOCLYGCPSA-N 1 2 292.329 3.614 20 0 CHADLO CC1(C)C[N@H+](Cc2cnc(Cl)c(F)c2)CCC1(F)F ZINC001237400205 1131095370 /nfs/dbraw/zinc/09/53/70/1131095370.db2.gz HELAIVSHXJNJGC-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnc(Cl)c(F)c2)CCC1(F)F ZINC001237400205 1131095377 /nfs/dbraw/zinc/09/53/77/1131095377.db2.gz HELAIVSHXJNJGC-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(Cl)nc(C(F)(F)F)c2)C1 ZINC001237492319 1131103994 /nfs/dbraw/zinc/10/39/94/1131103994.db2.gz VLIANMDLHGKMCW-SECBINFHSA-N 1 2 292.732 3.986 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(Cl)nc(C(F)(F)F)c2)C1 ZINC001237492319 1131103998 /nfs/dbraw/zinc/10/39/98/1131103998.db2.gz VLIANMDLHGKMCW-SECBINFHSA-N 1 2 292.732 3.986 20 0 CHADLO Cc1cc(C[NH+]2CC(C)(C)C2)c(Br)cc1F ZINC001237506244 1131106126 /nfs/dbraw/zinc/10/61/26/1131106126.db2.gz SVWFMNPXPKSKRD-UHFFFAOYSA-N 1 2 286.188 3.738 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(N[C@@H]2CCOC[C@H]2C)c1 ZINC001170833437 1131107167 /nfs/dbraw/zinc/10/71/67/1131107167.db2.gz WBLLCHPMVZUWOX-GDBMZVCRSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237536697 1131112310 /nfs/dbraw/zinc/11/23/10/1131112310.db2.gz FLWAFOYTGKERQV-SNVBAGLBSA-N 1 2 289.206 3.859 20 0 CHADLO CC[C@@H]1CCCC[N@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237536697 1131112311 /nfs/dbraw/zinc/11/23/11/1131112311.db2.gz FLWAFOYTGKERQV-SNVBAGLBSA-N 1 2 289.206 3.859 20 0 CHADLO C[C@@H]1C[C@@H](C)C[NH+](Cc2cc(Cl)nc(Cl)c2O)C1 ZINC001237539555 1131112940 /nfs/dbraw/zinc/11/29/40/1131112940.db2.gz QMQNOCYNDHBCJV-RKDXNWHRSA-N 1 2 289.206 3.572 20 0 CHADLO COC[C@@H]1CCC[N@@H+]1Cc1c(Cl)cc(F)cc1Cl ZINC001237592439 1131117068 /nfs/dbraw/zinc/11/70/68/1131117068.db2.gz IRGVVAVSNAHODF-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@@H]1CCC[N@H+]1Cc1c(Cl)cc(F)cc1Cl ZINC001237592439 1131117069 /nfs/dbraw/zinc/11/70/69/1131117069.db2.gz IRGVVAVSNAHODF-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[N@@H+]1C[C@@H](C)[C@@H]1C ZINC000816649168 1131120590 /nfs/dbraw/zinc/12/05/90/1131120590.db2.gz VGPQCRKQRLBKEU-BDAKNGLRSA-N 1 2 274.191 3.842 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[N@H+]1C[C@@H](C)[C@@H]1C ZINC000816649168 1131120592 /nfs/dbraw/zinc/12/05/92/1131120592.db2.gz VGPQCRKQRLBKEU-BDAKNGLRSA-N 1 2 274.191 3.842 20 0 CHADLO Cc1coc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)cc2)n1 ZINC001237645696 1131123319 /nfs/dbraw/zinc/12/33/19/1131123319.db2.gz QGELEQHEXOTXSA-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1coc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)cc2)n1 ZINC001237645696 1131123323 /nfs/dbraw/zinc/12/33/23/1131123323.db2.gz QGELEQHEXOTXSA-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc(C[NH+]2CCSCC2)c(Cl)cc1Cl ZINC001237696404 1131128626 /nfs/dbraw/zinc/12/86/26/1131128626.db2.gz JUUCMDFLTQLURC-UHFFFAOYSA-N 1 2 276.232 3.851 20 0 CHADLO Cc1cc(N2CCC(OC(C)C)CC2)[nH+]c2ccccc12 ZINC001116203809 1131134608 /nfs/dbraw/zinc/13/46/08/1131134608.db2.gz YWHGAQRWSUVWAU-UHFFFAOYSA-N 1 2 284.403 3.937 20 0 CHADLO CCc1cc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)ccc1Cl ZINC001237734898 1131135031 /nfs/dbraw/zinc/13/50/31/1131135031.db2.gz DVFKWTCDKJLEOS-YDHLFZDLSA-N 1 2 267.775 3.692 20 0 CHADLO CCc1cc(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)ccc1Cl ZINC001237734898 1131135035 /nfs/dbraw/zinc/13/50/35/1131135035.db2.gz DVFKWTCDKJLEOS-YDHLFZDLSA-N 1 2 267.775 3.692 20 0 CHADLO CCc1cc(C[N@@H+]2CCCC[C@H]2C(C)=O)ccc1Cl ZINC001237740301 1131136659 /nfs/dbraw/zinc/13/66/59/1131136659.db2.gz RIDSRZJETAESER-INIZCTEOSA-N 1 2 279.811 3.846 20 0 CHADLO CCc1cc(C[N@H+]2CCCC[C@H]2C(C)=O)ccc1Cl ZINC001237740301 1131136663 /nfs/dbraw/zinc/13/66/63/1131136663.db2.gz RIDSRZJETAESER-INIZCTEOSA-N 1 2 279.811 3.846 20 0 CHADLO Cc1cc(N2CC[C@@H](OCC(C)C)C2)[nH+]c2ccccc12 ZINC001116261800 1131137643 /nfs/dbraw/zinc/13/76/43/1131137643.db2.gz JUSAYJKFCUILIR-OAHLLOKOSA-N 1 2 284.403 3.795 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cccc(C)c2)c(Cl)n1 ZINC001237795874 1131141538 /nfs/dbraw/zinc/14/15/38/1131141538.db2.gz RFYNQRXWIFVICP-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cccc(C)c2)c(Cl)n1 ZINC001237795874 1131141539 /nfs/dbraw/zinc/14/15/39/1131141539.db2.gz RFYNQRXWIFVICP-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CCc1ncc(C[N@@H+](CC)Cc2ccccc2F)s1 ZINC001237828109 1131144825 /nfs/dbraw/zinc/14/48/25/1131144825.db2.gz HKLNBAXPBNLFAH-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCc1ncc(C[N@H+](CC)Cc2ccccc2F)s1 ZINC001237828109 1131144829 /nfs/dbraw/zinc/14/48/29/1131144829.db2.gz HKLNBAXPBNLFAH-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO COc1ccoc1C[N@@H+]1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001237870669 1131146423 /nfs/dbraw/zinc/14/64/23/1131146423.db2.gz IPJLAPSDETZIGO-CQSZACIVSA-N 1 2 293.313 3.904 20 0 CHADLO COc1ccoc1C[N@H+]1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001237870669 1131146426 /nfs/dbraw/zinc/14/64/26/1131146426.db2.gz IPJLAPSDETZIGO-CQSZACIVSA-N 1 2 293.313 3.904 20 0 CHADLO C[N@H+](CCc1ccccc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237990783 1131157687 /nfs/dbraw/zinc/15/76/87/1131157687.db2.gz SMOXPYCSAMAJEH-UHFFFAOYSA-N 1 2 293.769 3.859 20 0 CHADLO C[N@@H+](CCc1ccccc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237990783 1131157691 /nfs/dbraw/zinc/15/76/91/1131157691.db2.gz SMOXPYCSAMAJEH-UHFFFAOYSA-N 1 2 293.769 3.859 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)c(Cl)c1)CC1CC(F)(F)C1 ZINC001237997183 1131160414 /nfs/dbraw/zinc/16/04/14/1131160414.db2.gz MHMGIQKDVARLFC-UHFFFAOYSA-N 1 2 293.716 3.662 20 0 CHADLO Clc1cncc(C[N@@H+]2CCCC23CC3)c1Cl ZINC001238007461 1131161121 /nfs/dbraw/zinc/16/11/21/1131161121.db2.gz JVQXFOJOQPSEOA-UHFFFAOYSA-N 1 2 257.164 3.517 20 0 CHADLO Clc1cncc(C[N@H+]2CCCC23CC3)c1Cl ZINC001238007461 1131161123 /nfs/dbraw/zinc/16/11/23/1131161123.db2.gz JVQXFOJOQPSEOA-UHFFFAOYSA-N 1 2 257.164 3.517 20 0 CHADLO Oc1cc(F)c(C[NH+]2CCCCCC2)cc1Cl ZINC001238142100 1131174615 /nfs/dbraw/zinc/17/46/15/1131174615.db2.gz BWOAZYSQRONAFW-UHFFFAOYSA-N 1 2 257.736 3.561 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001238007056 1131161764 /nfs/dbraw/zinc/16/17/64/1131161764.db2.gz XVOPZBGZICCKIL-LBPRGKRZSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001238007056 1131161765 /nfs/dbraw/zinc/16/17/65/1131161765.db2.gz XVOPZBGZICCKIL-LBPRGKRZSA-N 1 2 277.170 3.570 20 0 CHADLO CC(C)n1ncnc1C[NH2+][C@@H](C)c1ccc(Cl)c(F)c1 ZINC001116841523 1131166919 /nfs/dbraw/zinc/16/69/19/1131166919.db2.gz KGLIEZWODKATAD-JTQLQIEISA-N 1 2 296.777 3.502 20 0 CHADLO COc1cnc(F)c(C[N@H+](C)Cc2ccc(Cl)cc2)c1 ZINC001238121262 1131173503 /nfs/dbraw/zinc/17/35/03/1131173503.db2.gz XNUCUPZWQRAIHY-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cnc(F)c(C[N@@H+](C)Cc2ccc(Cl)cc2)c1 ZINC001238121262 1131173506 /nfs/dbraw/zinc/17/35/06/1131173506.db2.gz XNUCUPZWQRAIHY-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC(C)c1cc(C[N@@H+]2C[C@@H](F)C[C@H](CO)C2)ccc1Cl ZINC001238218199 1131184058 /nfs/dbraw/zinc/18/40/58/1131184058.db2.gz MPAZZSMAJAIJAK-KBPBESRZSA-N 1 2 299.817 3.616 20 0 CHADLO CC(C)c1cc(C[N@H+]2C[C@@H](F)C[C@H](CO)C2)ccc1Cl ZINC001238218199 1131184060 /nfs/dbraw/zinc/18/40/60/1131184060.db2.gz MPAZZSMAJAIJAK-KBPBESRZSA-N 1 2 299.817 3.616 20 0 CHADLO C[N@H+](Cc1c(Br)ccc(O)c1F)C(C)(C)C ZINC001238308284 1131190055 /nfs/dbraw/zinc/19/00/55/1131190055.db2.gz YGQVBFLQCADUCJ-UHFFFAOYSA-N 1 2 290.176 3.524 20 0 CHADLO C[N@@H+](Cc1c(Br)ccc(O)c1F)C(C)(C)C ZINC001238308284 1131190058 /nfs/dbraw/zinc/19/00/58/1131190058.db2.gz YGQVBFLQCADUCJ-UHFFFAOYSA-N 1 2 290.176 3.524 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC001238318053 1131190294 /nfs/dbraw/zinc/19/02/94/1131190294.db2.gz IIYNSHXQKXRAFE-INIZCTEOSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@H+]1CCC[C@](C)(F)C1 ZINC001238318053 1131190298 /nfs/dbraw/zinc/19/02/98/1131190298.db2.gz IIYNSHXQKXRAFE-INIZCTEOSA-N 1 2 283.362 3.937 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccsc3C2)ncc1Cl ZINC001238418890 1131197125 /nfs/dbraw/zinc/19/71/25/1131197125.db2.gz SHZKNTWKDOBSPE-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccsc3C2)ncc1Cl ZINC001238418890 1131197128 /nfs/dbraw/zinc/19/71/28/1131197128.db2.gz SHZKNTWKDOBSPE-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[NH+]2CCC(C(F)(F)F)CC2)ncc1Cl ZINC001238420277 1131197225 /nfs/dbraw/zinc/19/72/25/1131197225.db2.gz VTQMLTBPFZZMMW-UHFFFAOYSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccccc3C2)ncc1Cl ZINC001238428371 1131198775 /nfs/dbraw/zinc/19/87/75/1131198775.db2.gz WMQMREYBWZQYEH-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccccc3C2)ncc1Cl ZINC001238428371 1131198777 /nfs/dbraw/zinc/19/87/77/1131198777.db2.gz WMQMREYBWZQYEH-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H]2c2ccccc2)ncc1Cl ZINC001238424450 1131198979 /nfs/dbraw/zinc/19/89/79/1131198979.db2.gz YYEABVOZEBYOPZ-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H]2c2ccccc2)ncc1Cl ZINC001238424450 1131198981 /nfs/dbraw/zinc/19/89/81/1131198981.db2.gz YYEABVOZEBYOPZ-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(N2CCC[C@@H]2[C@H]2CCC[C@H]2O)[nH+]c2ccccc12 ZINC001117801159 1131201471 /nfs/dbraw/zinc/20/14/71/1131201471.db2.gz FGNBULXCQGRHPO-KBAYOESNSA-N 1 2 296.414 3.673 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2CCC[C@](C)(F)C2)ccc1F ZINC001238454028 1131203008 /nfs/dbraw/zinc/20/30/08/1131203008.db2.gz IPTHXPNGANBIHD-INIZCTEOSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2CCC[C@](C)(F)C2)ccc1F ZINC001238454028 1131203012 /nfs/dbraw/zinc/20/30/12/1131203012.db2.gz IPTHXPNGANBIHD-INIZCTEOSA-N 1 2 283.362 3.937 20 0 CHADLO COc1ccccc1C1([NH2+][C@@H]2C[C@H](C)n3ccnc32)CCC1 ZINC001117831842 1131203604 /nfs/dbraw/zinc/20/36/04/1131203604.db2.gz WWVCNHYWEFCOLK-DZGCQCFKSA-N 1 2 297.402 3.567 20 0 CHADLO Cc1ccc(OC(C)C)cc1C[N@H+](C)Cc1nccs1 ZINC001238480750 1131207066 /nfs/dbraw/zinc/20/70/66/1131207066.db2.gz NUAFITYSXVGGCG-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cc1ccc(OC(C)C)cc1C[N@@H+](C)Cc1nccs1 ZINC001238480750 1131207067 /nfs/dbraw/zinc/20/70/67/1131207067.db2.gz NUAFITYSXVGGCG-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1nc(Br)cs1 ZINC001137780117 1131416494 /nfs/dbraw/zinc/41/64/94/1131416494.db2.gz WKDLILJDGALRTF-SECBINFHSA-N 1 2 289.242 3.670 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(C(C)(C)C)ccc3C2)nn1C ZINC001118005075 1131208295 /nfs/dbraw/zinc/20/82/95/1131208295.db2.gz CEEWLKRNJDEWRN-UHFFFAOYSA-N 1 2 297.446 3.584 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(C(C)(C)C)ccc3C2)nn1C ZINC001118005075 1131208298 /nfs/dbraw/zinc/20/82/98/1131208298.db2.gz CEEWLKRNJDEWRN-UHFFFAOYSA-N 1 2 297.446 3.584 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](F)C[C@H]2C)c(Br)c1 ZINC001137803150 1131417816 /nfs/dbraw/zinc/41/78/16/1131417816.db2.gz VGROVKXMZJIBHP-ZYHUDNBSSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](F)C[C@H]2C)c(Br)c1 ZINC001137803150 1131417818 /nfs/dbraw/zinc/41/78/18/1131417818.db2.gz VGROVKXMZJIBHP-ZYHUDNBSSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1cc(NCC(F)(F)c2ccccn2)ccc1[NH+](C)C ZINC001170913972 1131220383 /nfs/dbraw/zinc/22/03/83/1131220383.db2.gz ZGENAGZVNWQVIA-UHFFFAOYSA-N 1 2 291.345 3.660 20 0 CHADLO C[NH+](C)Cc1nnc(C(C)(C)c2cccc3ccccc32)o1 ZINC001118372626 1131221755 /nfs/dbraw/zinc/22/17/55/1131221755.db2.gz YYECHSWHDYDVFA-UHFFFAOYSA-N 1 2 295.386 3.610 20 0 CHADLO CCOc1cc(F)c(F)cc1C[NH+]1CC2(C1)CCCC2 ZINC001238651737 1131225947 /nfs/dbraw/zinc/22/59/47/1131225947.db2.gz IJKSLCKJMVQVTQ-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1nc(Br)cs1 ZINC001137780117 1131416492 /nfs/dbraw/zinc/41/64/92/1131416492.db2.gz WKDLILJDGALRTF-SECBINFHSA-N 1 2 289.242 3.670 20 0 CHADLO Cc1cccc(C)c1C[N@H+]1CC[C@H](C)C(F)(F)C1 ZINC001137847865 1131423324 /nfs/dbraw/zinc/42/33/24/1131423324.db2.gz IMEIMSKTEJZKLU-ZDUSSCGKSA-N 1 2 253.336 3.781 20 0 CHADLO Cc1cccc(C)c1C[N@@H+]1CC[C@H](C)C(F)(F)C1 ZINC001137847865 1131423327 /nfs/dbraw/zinc/42/33/27/1131423327.db2.gz IMEIMSKTEJZKLU-ZDUSSCGKSA-N 1 2 253.336 3.781 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ccnc(Cl)c1F ZINC000823386102 1131424779 /nfs/dbraw/zinc/42/47/79/1131424779.db2.gz BKICFBFHYXMQJA-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ccnc(Cl)c1F ZINC000823386102 1131424782 /nfs/dbraw/zinc/42/47/82/1131424782.db2.gz BKICFBFHYXMQJA-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO Fc1cc(C[NH+]2CCC(F)CC2)cc(C(F)(F)F)c1 ZINC001137859888 1131425204 /nfs/dbraw/zinc/42/52/04/1131425204.db2.gz MMSILXUZUBZNJX-UHFFFAOYSA-N 1 2 279.252 3.778 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1ccnc(Cl)c1F ZINC000823428271 1131425717 /nfs/dbraw/zinc/42/57/17/1131425717.db2.gz DNUGIPNXQSEQRA-UHFFFAOYSA-N 1 2 284.684 3.648 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1ccnc(Cl)c1F ZINC000823428271 1131425720 /nfs/dbraw/zinc/42/57/20/1131425720.db2.gz DNUGIPNXQSEQRA-UHFFFAOYSA-N 1 2 284.684 3.648 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137876494 1131428105 /nfs/dbraw/zinc/42/81/05/1131428105.db2.gz PZCVZNQPFGXMFO-SECBINFHSA-N 1 2 259.727 3.850 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137876494 1131428107 /nfs/dbraw/zinc/42/81/07/1131428107.db2.gz PZCVZNQPFGXMFO-SECBINFHSA-N 1 2 259.727 3.850 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)ccc(Cl)c2F)CCCO1 ZINC001137883234 1131428791 /nfs/dbraw/zinc/42/87/91/1131428791.db2.gz YWFPVSISYFYVOX-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)ccc(Cl)c2F)CCCO1 ZINC001137883234 1131428794 /nfs/dbraw/zinc/42/87/94/1131428794.db2.gz YWFPVSISYFYVOX-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137882996 1131428739 /nfs/dbraw/zinc/42/87/39/1131428739.db2.gz ONIWDPDERPDFJY-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137882996 1131428741 /nfs/dbraw/zinc/42/87/41/1131428741.db2.gz ONIWDPDERPDFJY-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO Cc1ccc(C[NH+]2CCC(F)(F)CC2)c(F)c1F ZINC001137964468 1131443296 /nfs/dbraw/zinc/44/32/96/1131443296.db2.gz CGCWFPIZCVEPQI-UHFFFAOYSA-N 1 2 261.262 3.504 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(O)c2)c(F)c1F ZINC001137964442 1131443616 /nfs/dbraw/zinc/44/36/16/1131443616.db2.gz BGALAMSXHWBIQB-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(O)c2)c(F)c1F ZINC001137964442 1131443620 /nfs/dbraw/zinc/44/36/20/1131443620.db2.gz BGALAMSXHWBIQB-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(F)ccc(F)c2Cl)[C@@H](C)C1 ZINC001138005516 1131449864 /nfs/dbraw/zinc/44/98/64/1131449864.db2.gz HPGRHWZXYKXKQD-VHSXEESVSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(F)ccc(F)c2Cl)[C@@H](C)C1 ZINC001138005516 1131449867 /nfs/dbraw/zinc/44/98/67/1131449867.db2.gz HPGRHWZXYKXKQD-VHSXEESVSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1cc(CNc2ccc(-c3cnn(C)c3)c(C)[nH+]2)c(C)o1 ZINC000824445482 1131469823 /nfs/dbraw/zinc/46/98/23/1131469823.db2.gz AUEREGSWRJJIAJ-UHFFFAOYSA-N 1 2 296.374 3.612 20 0 CHADLO CN(c1ccccc1)c1ccc(C[NH2+]C(CF)CF)cc1 ZINC000824548126 1131475402 /nfs/dbraw/zinc/47/54/02/1131475402.db2.gz ADDVGPFQKCZDJG-UHFFFAOYSA-N 1 2 290.357 3.852 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CC[C@H]3CCC[C@@H]3C2)c1F ZINC001138230788 1131480132 /nfs/dbraw/zinc/48/01/32/1131480132.db2.gz GAQOESKZPINCEQ-NXEZZACHSA-N 1 2 287.300 3.865 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CC[C@H]3CCC[C@@H]3C2)c1F ZINC001138230788 1131480135 /nfs/dbraw/zinc/48/01/35/1131480135.db2.gz GAQOESKZPINCEQ-NXEZZACHSA-N 1 2 287.300 3.865 20 0 CHADLO CC[C@@H]1CCC[N@@H+]1Cc1c(F)c(F)cc(F)c1F ZINC001138236121 1131480617 /nfs/dbraw/zinc/48/06/17/1131480617.db2.gz LWRRHRCXJXIJMV-MRVPVSSYSA-N 1 2 261.262 3.617 20 0 CHADLO CC[C@@H]1CCC[N@H+]1Cc1c(F)c(F)cc(F)c1F ZINC001138236121 1131480619 /nfs/dbraw/zinc/48/06/19/1131480619.db2.gz LWRRHRCXJXIJMV-MRVPVSSYSA-N 1 2 261.262 3.617 20 0 CHADLO COc1ccc(F)cc1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001138371173 1131495837 /nfs/dbraw/zinc/49/58/37/1131495837.db2.gz QCZMNQJKODGIJO-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(F)cc1C[N@H+]1Cc2cccc(C)c2C1 ZINC001138371173 1131495841 /nfs/dbraw/zinc/49/58/41/1131495841.db2.gz QCZMNQJKODGIJO-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1c(Br)ccc(F)c1F ZINC001143329562 1131501500 /nfs/dbraw/zinc/50/15/00/1131501500.db2.gz RSJUVXVQRBFSIY-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1c(Br)ccc(F)c1F ZINC001143329562 1131501504 /nfs/dbraw/zinc/50/15/04/1131501504.db2.gz RSJUVXVQRBFSIY-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO COc1c(F)cc(C[N@@H+]2CCCC[C@](C)(F)C2)cc1F ZINC001138422010 1131504356 /nfs/dbraw/zinc/50/43/56/1131504356.db2.gz XPFJELJDFLAVTQ-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO COc1c(F)cc(C[N@H+]2CCCC[C@](C)(F)C2)cc1F ZINC001138422010 1131504361 /nfs/dbraw/zinc/50/43/61/1131504361.db2.gz XPFJELJDFLAVTQ-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO CCCOC1C[NH+](Cc2c(OCC)ccc3ccccc32)C1 ZINC001138444436 1131507649 /nfs/dbraw/zinc/50/76/49/1131507649.db2.gz RJFNGVMODDFYII-UHFFFAOYSA-N 1 2 299.414 3.849 20 0 CHADLO COc1ccc(C[N@@H+]2CCC[C@](C)(F)C2)cc1Cl ZINC001138470212 1131509234 /nfs/dbraw/zinc/50/92/34/1131509234.db2.gz ONIZKNLSSPTDQY-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(C[N@H+]2CCC[C@](C)(F)C2)cc1Cl ZINC001138470212 1131509237 /nfs/dbraw/zinc/50/92/37/1131509237.db2.gz ONIZKNLSSPTDQY-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO Cc1cc(C)c(C(=O)N2CCC(C)(C(C)C)CC2)c(C)[nH+]1 ZINC001138471577 1131510793 /nfs/dbraw/zinc/51/07/93/1131510793.db2.gz XCWKLTWPMJGAPG-UHFFFAOYSA-N 1 2 288.435 3.905 20 0 CHADLO c1cc2c(o1)CC[N@@H+](Cc1cnc(-c3ccccc3)s1)C2 ZINC001138531405 1131518031 /nfs/dbraw/zinc/51/80/31/1131518031.db2.gz LKXBOHIUTVCTAF-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO c1cc2c(o1)CC[N@H+](Cc1cnc(-c3ccccc3)s1)C2 ZINC001138531405 1131518034 /nfs/dbraw/zinc/51/80/34/1131518034.db2.gz LKXBOHIUTVCTAF-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626040 1131519934 /nfs/dbraw/zinc/51/99/34/1131519934.db2.gz FFWMYEUAJITRHQ-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626040 1131519938 /nfs/dbraw/zinc/51/99/38/1131519938.db2.gz FFWMYEUAJITRHQ-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO CCCn1ncc(C[N@H+](C)Cc2ccccc2Cl)c1C ZINC001138638668 1131531313 /nfs/dbraw/zinc/53/13/13/1131531313.db2.gz ZYEWKMXGQVIRDN-UHFFFAOYSA-N 1 2 291.826 3.887 20 0 CHADLO CCCn1ncc(C[N@@H+](C)Cc2ccccc2Cl)c1C ZINC001138638668 1131531317 /nfs/dbraw/zinc/53/13/17/1131531317.db2.gz ZYEWKMXGQVIRDN-UHFFFAOYSA-N 1 2 291.826 3.887 20 0 CHADLO CC[NH+](CC)Cc1c(F)ccc(F)c1Br ZINC001143812532 1131536274 /nfs/dbraw/zinc/53/62/74/1131536274.db2.gz VPVAJBLHUSXACD-UHFFFAOYSA-N 1 2 278.140 3.569 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(F)c1Br)CC1CC1 ZINC001143813533 1131536532 /nfs/dbraw/zinc/53/65/32/1131536532.db2.gz SGOTYNVCHDXZDP-UHFFFAOYSA-N 1 2 290.151 3.569 20 0 CHADLO C[N@H+](Cc1c(F)ccc(F)c1Br)CC1CC1 ZINC001143813533 1131536536 /nfs/dbraw/zinc/53/65/36/1131536536.db2.gz SGOTYNVCHDXZDP-UHFFFAOYSA-N 1 2 290.151 3.569 20 0 CHADLO CC1(C)C[N@H+](Cc2c(Cl)[nH]c3ccccc32)CCC1=O ZINC001138687883 1131537415 /nfs/dbraw/zinc/53/74/15/1131537415.db2.gz MYUDYDBUYAGJED-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(Cl)[nH]c3ccccc32)CCC1=O ZINC001138687883 1131537417 /nfs/dbraw/zinc/53/74/17/1131537417.db2.gz MYUDYDBUYAGJED-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO Clc1[nH]c2ccccc2c1C[N@@H+]1CCn2cccc2C1 ZINC001138688050 1131537560 /nfs/dbraw/zinc/53/75/60/1131537560.db2.gz QBTDHCMNZSEYOT-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Clc1[nH]c2ccccc2c1C[N@H+]1CCn2cccc2C1 ZINC001138688050 1131537562 /nfs/dbraw/zinc/53/75/62/1131537562.db2.gz QBTDHCMNZSEYOT-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001138718268 1131540267 /nfs/dbraw/zinc/54/02/67/1131540267.db2.gz KZBQEPXTVUEQTA-CZUORRHYSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001138718268 1131540269 /nfs/dbraw/zinc/54/02/69/1131540269.db2.gz KZBQEPXTVUEQTA-CZUORRHYSA-N 1 2 291.822 3.982 20 0 CHADLO CCc1cc(OCc2snnc2C)c2ccccc2[nH+]1 ZINC000844238923 1131542438 /nfs/dbraw/zinc/54/24/38/1131542438.db2.gz MNRVSRLRDSGLCS-UHFFFAOYSA-N 1 2 285.372 3.536 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cc1)Cc1cccnc1F ZINC001138787699 1131550437 /nfs/dbraw/zinc/55/04/37/1131550437.db2.gz LVEIKCNENYHEOW-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cc1)Cc1cccnc1F ZINC001138787699 1131550439 /nfs/dbraw/zinc/55/04/39/1131550439.db2.gz LVEIKCNENYHEOW-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO c1cc2cc(C[NH+]3CC(Oc4ccccc4)C3)ccc2o1 ZINC001138824373 1131552017 /nfs/dbraw/zinc/55/20/17/1131552017.db2.gz HLOBBUNAEJAIIM-UHFFFAOYSA-N 1 2 279.339 3.696 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c[nH]c3c2cccc3Cl)CCC1=O ZINC001138830691 1131553182 /nfs/dbraw/zinc/55/31/82/1131553182.db2.gz UGPBCKMAUAIRQT-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c[nH]c3c2cccc3Cl)CCC1=O ZINC001138830691 1131553192 /nfs/dbraw/zinc/55/31/92/1131553192.db2.gz UGPBCKMAUAIRQT-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1cc(F)cnc1Cl ZINC001138889289 1131558347 /nfs/dbraw/zinc/55/83/47/1131558347.db2.gz YFZAZHUQQBLNTP-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1cc(F)cnc1Cl ZINC001138889289 1131558349 /nfs/dbraw/zinc/55/83/49/1131558349.db2.gz YFZAZHUQQBLNTP-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO C[C@H](CC(=O)Oc1ccc(C2CCC2)cc1)n1cc[nH+]c1 ZINC000844481118 1131566892 /nfs/dbraw/zinc/56/68/92/1131566892.db2.gz NLRQHPYGSXJUOY-CYBMUJFWSA-N 1 2 284.359 3.707 20 0 CHADLO FC1(F)[C@H]2C[N@H+](Cc3cc(Cl)cc(Cl)c3)C[C@H]21 ZINC000844465396 1131568580 /nfs/dbraw/zinc/56/85/80/1131568580.db2.gz ZQEBIOXGCVSPJZ-PHIMTYICSA-N 1 2 278.129 3.690 20 0 CHADLO FC1(F)[C@H]2C[N@@H+](Cc3cc(Cl)cc(Cl)c3)C[C@H]21 ZINC000844465396 1131568584 /nfs/dbraw/zinc/56/85/84/1131568584.db2.gz ZQEBIOXGCVSPJZ-PHIMTYICSA-N 1 2 278.129 3.690 20 0 CHADLO CC(C)Oc1ccc(Br)cc1C[NH+]1CC=CC1 ZINC001138990245 1131569502 /nfs/dbraw/zinc/56/95/02/1131569502.db2.gz CBFWWTYCBRGJNI-UHFFFAOYSA-N 1 2 296.208 3.608 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001139005989 1131571567 /nfs/dbraw/zinc/57/15/67/1131571567.db2.gz MZCZKYMFFJUSQA-MFKMUULPSA-N 1 2 271.763 3.671 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001139005989 1131571572 /nfs/dbraw/zinc/57/15/72/1131571572.db2.gz MZCZKYMFFJUSQA-MFKMUULPSA-N 1 2 271.763 3.671 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1CC[C@@]2(CC2(F)F)C1 ZINC001139050766 1131575808 /nfs/dbraw/zinc/57/58/08/1131575808.db2.gz CCVMMKMLZZMZNV-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1CC[C@@]2(CC2(F)F)C1 ZINC001139050766 1131575812 /nfs/dbraw/zinc/57/58/12/1131575812.db2.gz CCVMMKMLZZMZNV-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO c1ccc(Oc2ccccc2C[N@H+](C2CC2)C2COC2)cc1 ZINC001139054902 1131576921 /nfs/dbraw/zinc/57/69/21/1131576921.db2.gz GXOSWULFGFBZSO-UHFFFAOYSA-N 1 2 295.382 3.842 20 0 CHADLO c1ccc(Oc2ccccc2C[N@@H+](C2CC2)C2COC2)cc1 ZINC001139054902 1131576923 /nfs/dbraw/zinc/57/69/23/1131576923.db2.gz GXOSWULFGFBZSO-UHFFFAOYSA-N 1 2 295.382 3.842 20 0 CHADLO Cc1ccc(Oc2cccc(C[N@@H+]3C[C@@H]4C[C@H]3CO4)c2)cc1 ZINC001144091106 1131582790 /nfs/dbraw/zinc/58/27/90/1131582790.db2.gz VZLBHMLSXRYMNY-LPHOPBHVSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1ccc(Oc2cccc(C[N@H+]3C[C@@H]4C[C@H]3CO4)c2)cc1 ZINC001144091106 1131582794 /nfs/dbraw/zinc/58/27/94/1131582794.db2.gz VZLBHMLSXRYMNY-LPHOPBHVSA-N 1 2 295.382 3.760 20 0 CHADLO CCn1ccc2ccc(C[N@@H+]3CCc4oc(C)nc4C3)cc21 ZINC001139120520 1131583143 /nfs/dbraw/zinc/58/31/43/1131583143.db2.gz ULJILEOCXZZKIE-UHFFFAOYSA-N 1 2 295.386 3.516 20 0 CHADLO CCn1ccc2ccc(C[N@H+]3CCc4oc(C)nc4C3)cc21 ZINC001139120520 1131583146 /nfs/dbraw/zinc/58/31/46/1131583146.db2.gz ULJILEOCXZZKIE-UHFFFAOYSA-N 1 2 295.386 3.516 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cccc3c2ccn3C)CC1(F)F ZINC001139158036 1131587617 /nfs/dbraw/zinc/58/76/17/1131587617.db2.gz XUZKEOKTLUGTAM-LBPRGKRZSA-N 1 2 278.346 3.655 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cccc3c2ccn3C)CC1(F)F ZINC001139158036 1131587619 /nfs/dbraw/zinc/58/76/19/1131587619.db2.gz XUZKEOKTLUGTAM-LBPRGKRZSA-N 1 2 278.346 3.655 20 0 CHADLO C[N@H+](Cc1cc(Cl)c(F)cc1F)C1CC(F)(F)C1 ZINC001144120521 1131587870 /nfs/dbraw/zinc/58/78/70/1131587870.db2.gz NAJGHRHLVKZBEA-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO C[N@@H+](Cc1cc(Cl)c(F)cc1F)C1CC(F)(F)C1 ZINC001144120521 1131587873 /nfs/dbraw/zinc/58/78/73/1131587873.db2.gz NAJGHRHLVKZBEA-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO CSc1ccc(C[NH2+][C@@H]2C[C@@]2(F)c2ccccc2)o1 ZINC001202903146 1131588726 /nfs/dbraw/zinc/58/87/26/1131588726.db2.gz ONTASOLFHADJMG-UKRRQHHQSA-N 1 2 277.364 3.728 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@@H]3C[C@@H]32)cc1Cl ZINC001144135687 1131589625 /nfs/dbraw/zinc/58/96/25/1131589625.db2.gz ZDDSZIUGBVQYCQ-OQPBUACISA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@@H]3C[C@@H]32)cc1Cl ZINC001144135687 1131589628 /nfs/dbraw/zinc/58/96/28/1131589628.db2.gz ZDDSZIUGBVQYCQ-OQPBUACISA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CC[C@@]3(CC3(F)F)C2)cc1Cl ZINC001144130834 1131590952 /nfs/dbraw/zinc/59/09/52/1131590952.db2.gz QNMOCGPINPGDNV-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CC[C@@]3(CC3(F)F)C2)cc1Cl ZINC001144130834 1131590955 /nfs/dbraw/zinc/59/09/55/1131590955.db2.gz QNMOCGPINPGDNV-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Cn1ccc2ccc(C[N@@H+]3Cc4cccc(F)c4C3)cc21 ZINC001139203854 1131596305 /nfs/dbraw/zinc/59/63/05/1131596305.db2.gz BLPYEMWWBTYRQP-UHFFFAOYSA-N 1 2 280.346 3.833 20 0 CHADLO Cn1ccc2ccc(C[N@H+]3Cc4cccc(F)c4C3)cc21 ZINC001139203854 1131596309 /nfs/dbraw/zinc/59/63/09/1131596309.db2.gz BLPYEMWWBTYRQP-UHFFFAOYSA-N 1 2 280.346 3.833 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc(Oc2ccccc2)nc1 ZINC001139246230 1131599054 /nfs/dbraw/zinc/59/90/54/1131599054.db2.gz YFPYGVUKGJZFEG-HIFRSBDPSA-N 1 2 286.350 3.806 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc(Oc2ccccc2)nc1 ZINC001139246230 1131599057 /nfs/dbraw/zinc/59/90/57/1131599057.db2.gz YFPYGVUKGJZFEG-HIFRSBDPSA-N 1 2 286.350 3.806 20 0 CHADLO C[C@H](Cc1nc(C2CCCCCCC2)no1)n1cc[nH+]c1 ZINC000844863195 1131603917 /nfs/dbraw/zinc/60/39/17/1131603917.db2.gz QAALLGLSRRVXDR-CYBMUJFWSA-N 1 2 288.395 3.898 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cc(Cl)cnc1Cl ZINC001139359655 1131604926 /nfs/dbraw/zinc/60/49/26/1131604926.db2.gz VOWDUVOYDGXTCR-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cc(Cl)cnc1Cl ZINC001139359655 1131604927 /nfs/dbraw/zinc/60/49/27/1131604927.db2.gz VOWDUVOYDGXTCR-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2c(Cl)ccnc2Cl)CC1 ZINC001139474839 1131610726 /nfs/dbraw/zinc/61/07/26/1131610726.db2.gz JOILKOBNPXVUCI-VIFPVBQESA-N 1 2 277.170 3.712 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2c(Cl)ccnc2Cl)CC1 ZINC001139474839 1131610730 /nfs/dbraw/zinc/61/07/30/1131610730.db2.gz JOILKOBNPXVUCI-VIFPVBQESA-N 1 2 277.170 3.712 20 0 CHADLO CC1CC[NH+](Cc2c(Cl)ccnc2Cl)CC1 ZINC001139477235 1131610825 /nfs/dbraw/zinc/61/08/25/1131610825.db2.gz WXODKABMWXJUJL-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@@H]1CC[C@H]1C1CC1 ZINC000806152308 1131619784 /nfs/dbraw/zinc/61/97/84/1131619784.db2.gz QCFFXGNFTNQMSK-DLBZAZTESA-N 1 2 256.393 3.887 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+][C@@H]1CC[C@H]1C1CC1 ZINC000806152308 1131619787 /nfs/dbraw/zinc/61/97/87/1131619787.db2.gz QCFFXGNFTNQMSK-DLBZAZTESA-N 1 2 256.393 3.887 20 0 CHADLO C[N@H+](Cc1cnc2cccnc2c1)Cc1cccc(F)c1F ZINC001139743898 1131634960 /nfs/dbraw/zinc/63/49/60/1131634960.db2.gz UQZJWIVNTCPPLD-UHFFFAOYSA-N 1 2 299.324 3.540 20 0 CHADLO C[N@@H+](Cc1cnc2cccnc2c1)Cc1cccc(F)c1F ZINC001139743898 1131634964 /nfs/dbraw/zinc/63/49/64/1131634964.db2.gz UQZJWIVNTCPPLD-UHFFFAOYSA-N 1 2 299.324 3.540 20 0 CHADLO C[C@H](CC1CCCCC1)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845540323 1131640451 /nfs/dbraw/zinc/64/04/51/1131640451.db2.gz HSYJHHSNQJJWDP-ZIAGYGMSSA-N 1 2 278.396 3.736 20 0 CHADLO CC[N@H+](Cc1ccc(C)cn1)Cc1cccc(F)c1F ZINC001139841538 1131640870 /nfs/dbraw/zinc/64/08/70/1131640870.db2.gz XTBDVRQIBIOOTL-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccc(C)cn1)Cc1cccc(F)c1F ZINC001139841538 1131640872 /nfs/dbraw/zinc/64/08/72/1131640872.db2.gz XTBDVRQIBIOOTL-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO C[N@H+](C/C=C/c1ccccc1)Cc1ccncc1Cl ZINC001139847996 1131641448 /nfs/dbraw/zinc/64/14/48/1131641448.db2.gz YNHTZMQZXGLELV-VMPITWQZSA-N 1 2 272.779 3.880 20 0 CHADLO C[N@@H+](C/C=C/c1ccccc1)Cc1ccncc1Cl ZINC001139847996 1131641449 /nfs/dbraw/zinc/64/14/49/1131641449.db2.gz YNHTZMQZXGLELV-VMPITWQZSA-N 1 2 272.779 3.880 20 0 CHADLO Fc1ccccc1OC1C[NH+](Cc2ccccc2C2CC2)C1 ZINC001139883152 1131644766 /nfs/dbraw/zinc/64/47/66/1131644766.db2.gz DCMFLDAMDIOPAX-UHFFFAOYSA-N 1 2 297.373 3.966 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCC(=O)[C@H](C)CC1 ZINC001139884389 1131646796 /nfs/dbraw/zinc/64/67/96/1131646796.db2.gz AINLDWFCPGJGHF-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCC(=O)[C@H](C)CC1 ZINC001139884389 1131646798 /nfs/dbraw/zinc/64/67/98/1131646798.db2.gz AINLDWFCPGJGHF-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO C[C@H](CC(=O)OC1CCC2(CCCC2)CC1)n1cc[nH+]c1 ZINC000845699903 1131648398 /nfs/dbraw/zinc/64/83/98/1131648398.db2.gz NXHBBGBYORZBFG-CQSZACIVSA-N 1 2 290.407 3.880 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2c(F)cc(O)cc2F)cc1 ZINC001144553675 1131655400 /nfs/dbraw/zinc/65/54/00/1131655400.db2.gz MLCRVZDCKSTKEH-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2c(F)cc(O)cc2F)cc1 ZINC001144553675 1131655403 /nfs/dbraw/zinc/65/54/03/1131655403.db2.gz MLCRVZDCKSTKEH-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1ccc(O)cc1Cl ZINC001140086163 1131662537 /nfs/dbraw/zinc/66/25/37/1131662537.db2.gz NMTNZJARZLFPFM-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1ccc(O)cc1Cl ZINC001140086163 1131662539 /nfs/dbraw/zinc/66/25/39/1131662539.db2.gz NMTNZJARZLFPFM-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO C[N@H+](Cc1ncn2ccccc12)Cc1ccc(Cl)cc1 ZINC001144621937 1131668773 /nfs/dbraw/zinc/66/87/73/1131668773.db2.gz CTKKNEKEZZFTIJ-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@@H+](Cc1ncn2ccccc12)Cc1ccc(Cl)cc1 ZINC001144621937 1131668776 /nfs/dbraw/zinc/66/87/76/1131668776.db2.gz CTKKNEKEZZFTIJ-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@@]3(CC3(F)F)C2)cc1F ZINC000846107144 1131686415 /nfs/dbraw/zinc/68/64/15/1131686415.db2.gz GDRAGPCAPRYUMZ-CYBMUJFWSA-N 1 2 291.263 3.725 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@@]3(CC3(F)F)C2)cc1F ZINC000846107144 1131686418 /nfs/dbraw/zinc/68/64/18/1131686418.db2.gz GDRAGPCAPRYUMZ-CYBMUJFWSA-N 1 2 291.263 3.725 20 0 CHADLO FC1(F)C[C@@]12CC[N@H+](Cc1ccnc(Cl)c1Cl)C2 ZINC000846118783 1131688324 /nfs/dbraw/zinc/68/83/24/1131688324.db2.gz ZCQYWQHKAVTPDR-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@@]12CC[N@@H+](Cc1ccnc(Cl)c1Cl)C2 ZINC000846118783 1131688326 /nfs/dbraw/zinc/68/83/26/1131688326.db2.gz ZCQYWQHKAVTPDR-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCC[C@H](C(F)(F)F)C2)c1 ZINC000846118182 1131688633 /nfs/dbraw/zinc/68/86/33/1131688633.db2.gz VELDBJSIEBEMEX-NWDGAFQWSA-N 1 2 288.313 3.761 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nnc(-c3ccccc3)[nH]2)cs1 ZINC000834741224 1131699504 /nfs/dbraw/zinc/69/95/04/1131699504.db2.gz LMZAOXPIRMQOFB-LBPRGKRZSA-N 1 2 298.415 3.692 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1c(F)ccc(F)c1OC ZINC001144744819 1131699805 /nfs/dbraw/zinc/69/98/05/1131699805.db2.gz GORPEIGAIGLIAH-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1c(F)ccc(F)c1OC ZINC001144744819 1131699807 /nfs/dbraw/zinc/69/98/07/1131699807.db2.gz GORPEIGAIGLIAH-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1ccc2scnc2c1 ZINC001144743454 1131703318 /nfs/dbraw/zinc/70/33/18/1131703318.db2.gz NMOIYWYRXGTEOP-UHFFFAOYSA-N 1 2 296.395 3.611 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1ccc2scnc2c1 ZINC001144743454 1131703320 /nfs/dbraw/zinc/70/33/20/1131703320.db2.gz NMOIYWYRXGTEOP-UHFFFAOYSA-N 1 2 296.395 3.611 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc(-c2ccccn2)cc1 ZINC001140376759 1131709063 /nfs/dbraw/zinc/70/90/63/1131709063.db2.gz KKCPCTZMOIWAEG-HOTGVXAUSA-N 1 2 288.341 3.631 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc(-c2ccccn2)cc1 ZINC001140376759 1131709066 /nfs/dbraw/zinc/70/90/66/1131709066.db2.gz KKCPCTZMOIWAEG-HOTGVXAUSA-N 1 2 288.341 3.631 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1cc(F)cnc1Cl ZINC000834834938 1131709596 /nfs/dbraw/zinc/70/95/96/1131709596.db2.gz GFROUEKXNIABBN-JTQLQIEISA-N 1 2 293.773 3.682 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCn2ccnc21)c1cccc(Cl)c1F ZINC000834833085 1131709767 /nfs/dbraw/zinc/70/97/67/1131709767.db2.gz UVOVOOBGQLVOOQ-CHWSQXEVSA-N 1 2 293.773 3.861 20 0 CHADLO CCc1noc(C)c1[C@@H](C)[NH2+]Cc1cc(F)cnc1Cl ZINC000834841664 1131710622 /nfs/dbraw/zinc/71/06/22/1131710622.db2.gz YDCFLOSEXSGMBN-MRVPVSSYSA-N 1 2 297.761 3.584 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCCOc3cc(C)ccc32)co1 ZINC000834900600 1131722857 /nfs/dbraw/zinc/72/28/57/1131722857.db2.gz VYEJFKBKTOFHRJ-HNNXBMFYSA-N 1 2 286.375 3.549 20 0 CHADLO CC(C)(C)C[C@H]([NH2+]Cc1cnsn1)c1ccccc1 ZINC000846845394 1131750845 /nfs/dbraw/zinc/75/08/45/1131750845.db2.gz NQGQRSAPFBUNMO-AWEZNQCLSA-N 1 2 275.421 3.805 20 0 CHADLO CC(=O)C1CC[NH+](Cc2csc(CCC(C)C)n2)CC1 ZINC000846953104 1131764218 /nfs/dbraw/zinc/76/42/18/1131764218.db2.gz MSPDYDQQDXLRHJ-UHFFFAOYSA-N 1 2 294.464 3.533 20 0 CHADLO CCOc1cc(C)c(Nc2cccc(-n3cc[nH+]c3)c2)cn1 ZINC001203045995 1131787219 /nfs/dbraw/zinc/78/72/19/1131787219.db2.gz ORZJEMFPTFHRJV-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc(F)ccc1C)C2 ZINC001140594662 1131816845 /nfs/dbraw/zinc/81/68/45/1131816845.db2.gz HHMLQLCKBBPAPG-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc(F)ccc1C)C2 ZINC001140594662 1131816851 /nfs/dbraw/zinc/81/68/51/1131816851.db2.gz HHMLQLCKBBPAPG-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO CC(C)c1ccc(C(=O)NCc2c[nH+]cn2C2CCC2)cc1 ZINC001148323395 1131850865 /nfs/dbraw/zinc/85/08/65/1131850865.db2.gz KLZHCXLXIWVAQA-UHFFFAOYSA-N 1 2 297.402 3.662 20 0 CHADLO Cc1[nH]c2ccc(OC3CC(C)(C)OC(C)(C)C3)cc2[nH+]1 ZINC001228328116 1131858085 /nfs/dbraw/zinc/85/80/85/1131858085.db2.gz AJGBKUHYCBPEHH-UHFFFAOYSA-N 1 2 288.391 3.986 20 0 CHADLO Cc1[nH]c2ccc(O[C@H]3CCOc4ccccc43)cc2[nH+]1 ZINC001228326432 1131859316 /nfs/dbraw/zinc/85/93/16/1131859316.db2.gz IAIFROOONOQQED-KRWDZBQOSA-N 1 2 280.327 3.774 20 0 CHADLO CCCO[C@@H]1CC[N@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701286 1131883098 /nfs/dbraw/zinc/88/30/98/1131883098.db2.gz HKDOFVJFVCMJJS-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701286 1131883107 /nfs/dbraw/zinc/88/31/07/1131883107.db2.gz HKDOFVJFVCMJJS-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2c(C)c(C)c(C)c(C)c2C)n1 ZINC000348109255 1131889872 /nfs/dbraw/zinc/88/98/72/1131889872.db2.gz KVQOKBRYXSKONB-AWEZNQCLSA-N 1 2 287.407 3.771 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc(F)cc1C)C2 ZINC001140780851 1131914287 /nfs/dbraw/zinc/91/42/87/1131914287.db2.gz HXZHBUKSNJTENI-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc(F)cc1C)C2 ZINC001140780851 1131914290 /nfs/dbraw/zinc/91/42/90/1131914290.db2.gz HXZHBUKSNJTENI-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1cc(Br)ccc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001140816096 1131933942 /nfs/dbraw/zinc/93/39/42/1131933942.db2.gz VGVXQEJZEQZEMY-FPMFFAJLSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1cc(Br)ccc1C[N@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001140816096 1131933946 /nfs/dbraw/zinc/93/39/46/1131933946.db2.gz VGVXQEJZEQZEMY-FPMFFAJLSA-N 1 2 298.199 3.547 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[NH+]1CCCCCCC1 ZINC000773073709 1131936956 /nfs/dbraw/zinc/93/69/56/1131936956.db2.gz HDGXYDUVXIMJGP-UHFFFAOYSA-N 1 2 277.412 3.883 20 0 CHADLO CN(C)c1ccc(NCc2cccc3sccc32)[nH+]c1 ZINC001171379309 1131937155 /nfs/dbraw/zinc/93/71/55/1131937155.db2.gz VPPBZKBOPGHAKL-UHFFFAOYSA-N 1 2 283.400 3.974 20 0 CHADLO Nc1cccc(F)c1C[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC001140872103 1131954979 /nfs/dbraw/zinc/95/49/79/1131954979.db2.gz OLODIDLNXNVKMO-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1cccc(F)c1C[N@H+]1CCc2ccc(Cl)cc2C1 ZINC001140872103 1131954983 /nfs/dbraw/zinc/95/49/83/1131954983.db2.gz OLODIDLNXNVKMO-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc(OC(F)(F)F)c(F)c1 ZINC001140885390 1131956138 /nfs/dbraw/zinc/95/61/38/1131956138.db2.gz BHWPYRRJAZQPAO-SCZZXKLOSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc(OC(F)(F)F)c(F)c1 ZINC001140885390 1131956142 /nfs/dbraw/zinc/95/61/42/1131956142.db2.gz BHWPYRRJAZQPAO-SCZZXKLOSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(O)c(F)c2F)Cc2ccccc21 ZINC001140887752 1131959551 /nfs/dbraw/zinc/95/95/51/1131959551.db2.gz GKALWVYBFMIBMK-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(O)c(F)c2F)Cc2ccccc21 ZINC001140887752 1131959559 /nfs/dbraw/zinc/95/95/59/1131959559.db2.gz GKALWVYBFMIBMK-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO c1coc(C[NH+]2CCC(c3nc4ccccc4s3)CC2)n1 ZINC001141008667 1131983689 /nfs/dbraw/zinc/98/36/89/1131983689.db2.gz IFZQUESUENXINV-UHFFFAOYSA-N 1 2 299.399 3.664 20 0 CHADLO CC1(C)c2ccccc2CC[N@H+]1Cc1nc(C2CCC2)no1 ZINC001171417185 1131984592 /nfs/dbraw/zinc/98/45/92/1131984592.db2.gz RUPREEYZFYWGLY-UHFFFAOYSA-N 1 2 297.402 3.631 20 0 CHADLO CC1(C)c2ccccc2CC[N@@H+]1Cc1nc(C2CCC2)no1 ZINC001171417185 1131984600 /nfs/dbraw/zinc/98/46/00/1131984600.db2.gz RUPREEYZFYWGLY-UHFFFAOYSA-N 1 2 297.402 3.631 20 0 CHADLO Cc1nnc(-c2ccc(Nc3c[nH+]c(C)cc3C)cc2)o1 ZINC001203088741 1131990453 /nfs/dbraw/zinc/99/04/53/1131990453.db2.gz UXANZOFVPBAFPN-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO C[N@@H+](Cc1ccccc1-c1cccs1)Cc1ncccn1 ZINC001141093620 1132012361 /nfs/dbraw/zinc/01/23/61/1132012361.db2.gz HUBILJQNAOTIGN-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@H+](Cc1ccccc1-c1cccs1)Cc1ncccn1 ZINC001141093620 1132012363 /nfs/dbraw/zinc/01/23/63/1132012363.db2.gz HUBILJQNAOTIGN-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO CC(C)(C)c1cccc(C[NH+]2CC3(C2)CCCCO3)c1 ZINC001141102868 1132015762 /nfs/dbraw/zinc/01/57/62/1132015762.db2.gz SFIXZHHGYDUOQQ-UHFFFAOYSA-N 1 2 273.420 3.739 20 0 CHADLO Cc1cc(C)c(CNC(=O)C=C2CCC(C)(C)CC2)c[nH+]1 ZINC000837104844 1132037493 /nfs/dbraw/zinc/03/74/93/1132037493.db2.gz MWHJFZDZXZDTPW-UHFFFAOYSA-N 1 2 286.419 3.841 20 0 CHADLO CC(=O)CC(C)(C)Nc1cc[nH+]c2[nH]cc(C(F)(F)F)c21 ZINC001171499470 1132039883 /nfs/dbraw/zinc/03/98/83/1132039883.db2.gz QCTWZFBAWXQLJU-UHFFFAOYSA-N 1 2 299.296 3.751 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccco2)C2CC2)c(F)c1 ZINC001141195489 1132040827 /nfs/dbraw/zinc/04/08/27/1132040827.db2.gz PWACUHFQKGSQAC-UHFFFAOYSA-N 1 2 259.324 3.892 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccco2)C2CC2)c(F)c1 ZINC001141195489 1132040831 /nfs/dbraw/zinc/04/08/31/1132040831.db2.gz PWACUHFQKGSQAC-UHFFFAOYSA-N 1 2 259.324 3.892 20 0 CHADLO CCc1ccccc1C[N@@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC001141228712 1132050724 /nfs/dbraw/zinc/05/07/24/1132050724.db2.gz GJQBPPGKTDFASH-IBGZPJMESA-N 1 2 299.389 3.962 20 0 CHADLO CCc1ccccc1C[N@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC001141228712 1132050731 /nfs/dbraw/zinc/05/07/31/1132050731.db2.gz GJQBPPGKTDFASH-IBGZPJMESA-N 1 2 299.389 3.962 20 0 CHADLO CC[C@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1cc(C)sc1C ZINC001171671573 1132074397 /nfs/dbraw/zinc/07/43/97/1132074397.db2.gz XNLCFZWMISAMSL-CQSZACIVSA-N 1 2 297.464 3.917 20 0 CHADLO CC[C@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1cc(C)sc1C ZINC001171671573 1132074401 /nfs/dbraw/zinc/07/44/01/1132074401.db2.gz XNLCFZWMISAMSL-CQSZACIVSA-N 1 2 297.464 3.917 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)[C@H](C)CO1 ZINC001171707471 1132084916 /nfs/dbraw/zinc/08/49/16/1132084916.db2.gz REVWOJOFVXROBZ-BXUZGUMPSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)[C@H](C)CO1 ZINC001171707471 1132084924 /nfs/dbraw/zinc/08/49/24/1132084924.db2.gz REVWOJOFVXROBZ-BXUZGUMPSA-N 1 2 287.325 3.705 20 0 CHADLO COC(=O)c1cc(Nc2[nH+]cccc2C2CC2)ccc1C ZINC001212626192 1132086073 /nfs/dbraw/zinc/08/60/73/1132086073.db2.gz ZPZGBYDCYQBXHG-UHFFFAOYSA-N 1 2 282.343 3.798 20 0 CHADLO CCCC[C@H](CC)CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001141458618 1132132906 /nfs/dbraw/zinc/13/29/06/1132132906.db2.gz KFEAMVGIPKDJCF-LBPRGKRZSA-N 1 2 273.380 3.509 20 0 CHADLO FC1(F)CCCC[N@@H+]([C@H]2CSc3ccccc3C2)C1 ZINC001172192869 1132146219 /nfs/dbraw/zinc/14/62/19/1132146219.db2.gz HFVZPZSYQLCSEX-CYBMUJFWSA-N 1 2 283.387 3.825 20 0 CHADLO FC1(F)CCCC[N@H+]([C@H]2CSc3ccccc3C2)C1 ZINC001172192869 1132146221 /nfs/dbraw/zinc/14/62/21/1132146221.db2.gz HFVZPZSYQLCSEX-CYBMUJFWSA-N 1 2 283.387 3.825 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+]C3CC4(CCC4)C3)cc2)CCO1 ZINC000838879309 1132154004 /nfs/dbraw/zinc/15/40/04/1132154004.db2.gz HBJRIZRVYDVYCT-CQSZACIVSA-N 1 2 286.419 3.656 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccc(C)nc1Cl)c1nccs1 ZINC000838895406 1132156276 /nfs/dbraw/zinc/15/62/76/1132156276.db2.gz NZVQXMYWDJPABY-CQSZACIVSA-N 1 2 295.839 3.915 20 0 CHADLO Cc1ccc(C[N@@H+]2CCOCC23CCCCC3)c(Cl)n1 ZINC000839026857 1132168573 /nfs/dbraw/zinc/16/85/73/1132168573.db2.gz BHHBSIPCDMIAPO-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Cc1ccc(C[N@H+]2CCOCC23CCCCC3)c(Cl)n1 ZINC000839026857 1132168577 /nfs/dbraw/zinc/16/85/77/1132168577.db2.gz BHHBSIPCDMIAPO-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO C[C@@H]1CC[C@@H]([NH2+]CC(F)(F)C(F)(F)F)C[C@H]1C ZINC001172361424 1132188099 /nfs/dbraw/zinc/18/80/99/1132188099.db2.gz WERBLVNBFLPIDA-IWSPIJDZSA-N 1 2 259.262 3.598 20 0 CHADLO C[C@@H]1CC[C@@H]([NH2+]CC(F)(F)C(F)(F)F)C[C@@H]1C ZINC001172361423 1132188479 /nfs/dbraw/zinc/18/84/79/1132188479.db2.gz WERBLVNBFLPIDA-HRDYMLBCSA-N 1 2 259.262 3.598 20 0 CHADLO CCCCCC[C@@H](CCC)[N@@H+]1CCC(=O)[C@@H](F)C1 ZINC001172386303 1132211161 /nfs/dbraw/zinc/21/11/61/1132211161.db2.gz LVWJREIHWSLJPZ-KGLIPLIRSA-N 1 2 257.393 3.738 20 0 CHADLO CCCCCC[C@@H](CCC)[N@H+]1CCC(=O)[C@@H](F)C1 ZINC001172386303 1132211165 /nfs/dbraw/zinc/21/11/65/1132211165.db2.gz LVWJREIHWSLJPZ-KGLIPLIRSA-N 1 2 257.393 3.738 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc3cccnc3cc2F)C[C@H]1F ZINC001141623272 1132212673 /nfs/dbraw/zinc/21/26/73/1132212673.db2.gz ALGPMCPDDFELPC-IAQYHMDHSA-N 1 2 276.330 3.554 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc3cccnc3cc2F)C[C@H]1F ZINC001141623272 1132212679 /nfs/dbraw/zinc/21/26/79/1132212679.db2.gz ALGPMCPDDFELPC-IAQYHMDHSA-N 1 2 276.330 3.554 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cc3cccnc3cc2F)C[C@@H]1F ZINC001141623390 1132214145 /nfs/dbraw/zinc/21/41/45/1132214145.db2.gz FPXKPSNLJCWOKW-JKSUJKDBSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cc3cccnc3cc2F)C[C@@H]1F ZINC001141623390 1132214149 /nfs/dbraw/zinc/21/41/49/1132214149.db2.gz FPXKPSNLJCWOKW-JKSUJKDBSA-N 1 2 294.320 3.646 20 0 CHADLO CC(C)COCC[C@H](C)[N@@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001172474662 1132226196 /nfs/dbraw/zinc/22/61/96/1132226196.db2.gz UYZCDXBNICIFCI-STQMWFEESA-N 1 2 281.362 3.507 20 0 CHADLO CC(C)COCC[C@H](C)[N@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001172474662 1132226198 /nfs/dbraw/zinc/22/61/98/1132226198.db2.gz UYZCDXBNICIFCI-STQMWFEESA-N 1 2 281.362 3.507 20 0 CHADLO Oc1cc2c(cc1O[C@@H]1CCC=CCCC1)CC[NH+]=C2 ZINC001230920546 1132227215 /nfs/dbraw/zinc/22/72/15/1132227215.db2.gz OZVDFEJFGYCGDN-OAHLLOKOSA-N 1 2 271.360 3.635 20 0 CHADLO C[C@H]1CC(Oc2cc3c(cc2O)C=[NH+]CC3)C[C@H](C)C1 ZINC001230920904 1132228023 /nfs/dbraw/zinc/22/80/23/1132228023.db2.gz XIJGQCQCZCCZPL-VXGBXAGGSA-N 1 2 273.376 3.571 20 0 CHADLO CCC1CCC(Oc2cc3c(cc2O)C=[NH+]CC3)CC1 ZINC001230921628 1132229282 /nfs/dbraw/zinc/22/92/82/1132229282.db2.gz PTUWBIRCXUQYPM-UHFFFAOYSA-N 1 2 273.376 3.715 20 0 CHADLO C[C@@H]1CC(C)(C)C[N@@H+]1Cn1nc(C2CC2)sc1=S ZINC000840183909 1132249862 /nfs/dbraw/zinc/24/98/62/1132249862.db2.gz PEEMZNYPRPEGCC-SECBINFHSA-N 1 2 283.466 3.629 20 0 CHADLO C[C@@H]1CC(C)(C)C[N@H+]1Cn1nc(C2CC2)sc1=S ZINC000840183909 1132249865 /nfs/dbraw/zinc/24/98/65/1132249865.db2.gz PEEMZNYPRPEGCC-SECBINFHSA-N 1 2 283.466 3.629 20 0 CHADLO C[C@@H](COC(C)(C)C)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231083306 1132254008 /nfs/dbraw/zinc/25/40/08/1132254008.db2.gz IKGLZVAMWGJRMA-LBPRGKRZSA-N 1 2 274.364 3.659 20 0 CHADLO C[C@@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1nccs1 ZINC001231085954 1132255194 /nfs/dbraw/zinc/25/51/94/1132255194.db2.gz ZRGMMRDDPDSRJH-SNVBAGLBSA-N 1 2 271.345 3.673 20 0 CHADLO Fc1ccc2occ(C[N@@H+]3CCc4occc4C3)c2c1 ZINC001141856996 1132257389 /nfs/dbraw/zinc/25/73/89/1132257389.db2.gz ZBMXIGWMZLYTBL-UHFFFAOYSA-N 1 2 271.291 3.723 20 0 CHADLO Fc1ccc2occ(C[N@H+]3CCc4occc4C3)c2c1 ZINC001141856996 1132257393 /nfs/dbraw/zinc/25/73/93/1132257393.db2.gz ZBMXIGWMZLYTBL-UHFFFAOYSA-N 1 2 271.291 3.723 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CC[C@H]3CCC[C@@H]3C2)cs1 ZINC001141872019 1132259297 /nfs/dbraw/zinc/25/92/97/1132259297.db2.gz NQDMJLZWQQRKJX-NXEZZACHSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CC[C@H]3CCC[C@@H]3C2)cs1 ZINC001141872019 1132259301 /nfs/dbraw/zinc/25/93/01/1132259301.db2.gz NQDMJLZWQQRKJX-NXEZZACHSA-N 1 2 290.354 3.784 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1csc(C(F)(F)F)n1 ZINC001141878735 1132261182 /nfs/dbraw/zinc/26/11/82/1132261182.db2.gz JNMOKPCUSHLGMX-VIFPVBQESA-N 1 2 278.343 3.926 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1csc(C(F)(F)F)n1 ZINC001141878735 1132261186 /nfs/dbraw/zinc/26/11/86/1132261186.db2.gz JNMOKPCUSHLGMX-VIFPVBQESA-N 1 2 278.343 3.926 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2CCc3cc(O)ccc3C2)c1F ZINC001231476990 1132296813 /nfs/dbraw/zinc/29/68/13/1132296813.db2.gz XZCUMBPFVHMPKA-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2CCc3cc(O)ccc3C2)c1F ZINC001231476990 1132296817 /nfs/dbraw/zinc/29/68/17/1132296817.db2.gz XZCUMBPFVHMPKA-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2CCOC3(CCCCC3)C2)c1F ZINC001231481122 1132298497 /nfs/dbraw/zinc/29/84/97/1132298497.db2.gz UHUKNKWTNJPTTP-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2CCOC3(CCCCC3)C2)c1F ZINC001231481122 1132298504 /nfs/dbraw/zinc/29/85/04/1132298504.db2.gz UHUKNKWTNJPTTP-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2CCc3ccsc3C2)c1F ZINC001231477718 1132299145 /nfs/dbraw/zinc/29/91/45/1132299145.db2.gz OYWCEZSJUZIDEX-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2CCc3ccsc3C2)c1F ZINC001231477718 1132299152 /nfs/dbraw/zinc/29/91/52/1132299152.db2.gz OYWCEZSJUZIDEX-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1c(C)c(C)c(C[N@H+](C)Cc2ncccn2)c(C)c1C ZINC001231493277 1132305991 /nfs/dbraw/zinc/30/59/91/1132305991.db2.gz UESDWSAPQIPLCF-UHFFFAOYSA-N 1 2 283.419 3.651 20 0 CHADLO Cc1c(C)c(C)c(C[N@@H+](C)Cc2ncccn2)c(C)c1C ZINC001231493277 1132305999 /nfs/dbraw/zinc/30/59/99/1132305999.db2.gz UESDWSAPQIPLCF-UHFFFAOYSA-N 1 2 283.419 3.651 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2ccc(O)c(C(F)(F)F)c2)C1 ZINC001231524122 1132312668 /nfs/dbraw/zinc/31/26/68/1132312668.db2.gz MNGKEAGREYUURR-ONGXEEELSA-N 1 2 291.288 3.591 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2ccc(O)c(C(F)(F)F)c2)C1 ZINC001231524122 1132312674 /nfs/dbraw/zinc/31/26/74/1132312674.db2.gz MNGKEAGREYUURR-ONGXEEELSA-N 1 2 291.288 3.591 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1ccc(Cl)c(Br)c1 ZINC001231567513 1132315776 /nfs/dbraw/zinc/31/57/76/1132315776.db2.gz UGRCUTFWEJYRGE-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1ccc(Cl)c(Br)c1 ZINC001231567513 1132315770 /nfs/dbraw/zinc/31/57/70/1132315770.db2.gz UGRCUTFWEJYRGE-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO OC[C@H]1CCC[N@@H+]1Cc1cc(Cl)cc(Cl)c1Cl ZINC001231597370 1132323511 /nfs/dbraw/zinc/32/35/11/1132323511.db2.gz AEKYOWUSSDHDPB-SNVBAGLBSA-N 1 2 294.609 3.604 20 0 CHADLO OC[C@H]1CCC[N@H+]1Cc1cc(Cl)cc(Cl)c1Cl ZINC001231597370 1132323520 /nfs/dbraw/zinc/32/35/20/1132323520.db2.gz AEKYOWUSSDHDPB-SNVBAGLBSA-N 1 2 294.609 3.604 20 0 CHADLO Cc1ccc2c(Nc3cccc(CCO)c3)cccc2[nH+]1 ZINC001203117818 1132323830 /nfs/dbraw/zinc/32/38/30/1132323830.db2.gz WQZPGJKERPOORO-UHFFFAOYSA-N 1 2 278.355 3.822 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2cccc(F)c2F)nc1 ZINC001231643092 1132330269 /nfs/dbraw/zinc/33/02/69/1132330269.db2.gz YOKLKWBLEAEREX-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2cccc(F)c2F)nc1 ZINC001231643092 1132330275 /nfs/dbraw/zinc/33/02/75/1132330275.db2.gz YOKLKWBLEAEREX-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO C[N@H+](Cc1coc(-c2ccccc2)n1)C1CC(F)(F)C1 ZINC001231627820 1132331937 /nfs/dbraw/zinc/33/19/37/1132331937.db2.gz LWCHAUFLYSMPFD-UHFFFAOYSA-N 1 2 278.302 3.571 20 0 CHADLO C[N@@H+](Cc1coc(-c2ccccc2)n1)C1CC(F)(F)C1 ZINC001231627820 1132331945 /nfs/dbraw/zinc/33/19/45/1132331945.db2.gz LWCHAUFLYSMPFD-UHFFFAOYSA-N 1 2 278.302 3.571 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCC[C@@](C)(F)C2)c(Cl)n1 ZINC001142125587 1132331952 /nfs/dbraw/zinc/33/19/52/1132331952.db2.gz VVDARYKXIRMDLU-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1ccc(C[N@H+]2CCCC[C@@](C)(F)C2)c(Cl)n1 ZINC001142125587 1132331959 /nfs/dbraw/zinc/33/19/59/1132331959.db2.gz VVDARYKXIRMDLU-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cccc2cc(C[N@H+]3C[C@@H](C)[C@@H](F)C3)c(Cl)nc12 ZINC001231651151 1132337178 /nfs/dbraw/zinc/33/71/78/1132337178.db2.gz MOSYIRBWOSVLAK-RISCZKNCSA-N 1 2 292.785 3.986 20 0 CHADLO Cc1cccc2cc(C[N@@H+]3C[C@@H](C)[C@@H](F)C3)c(Cl)nc12 ZINC001231651151 1132337182 /nfs/dbraw/zinc/33/71/82/1132337182.db2.gz MOSYIRBWOSVLAK-RISCZKNCSA-N 1 2 292.785 3.986 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CC[C@H]2C)cc1Br ZINC001231723425 1132347206 /nfs/dbraw/zinc/34/72/06/1132347206.db2.gz LVBWTDWEZFGIPO-LLVKDONJSA-N 1 2 298.224 3.831 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CC[C@H]2C)cc1Br ZINC001231723425 1132347212 /nfs/dbraw/zinc/34/72/12/1132347212.db2.gz LVBWTDWEZFGIPO-LLVKDONJSA-N 1 2 298.224 3.831 20 0 CHADLO CC(=O)C[N@@H+](C)Cc1c(OC(C)C)ccc2ccccc21 ZINC001231735420 1132351895 /nfs/dbraw/zinc/35/18/95/1132351895.db2.gz OVMFAQRXNKMIIM-UHFFFAOYSA-N 1 2 285.387 3.648 20 0 CHADLO CC(=O)C[N@H+](C)Cc1c(OC(C)C)ccc2ccccc21 ZINC001231735420 1132351903 /nfs/dbraw/zinc/35/19/03/1132351903.db2.gz OVMFAQRXNKMIIM-UHFFFAOYSA-N 1 2 285.387 3.648 20 0 CHADLO Cc1ccc(-c2ccc(C[NH+]3CC4(CCO4)C3)cc2)cc1 ZINC001142310321 1132365310 /nfs/dbraw/zinc/36/53/10/1132365310.db2.gz YPFXCKNZTONPGS-UHFFFAOYSA-N 1 2 279.383 3.637 20 0 CHADLO CCOC(=O)C[N@H+](C)Cc1sc2scc(C)c2c1C ZINC001231827928 1132374480 /nfs/dbraw/zinc/37/44/80/1132374480.db2.gz CIDGIFYLANFGRG-UHFFFAOYSA-N 1 2 297.445 3.575 20 0 CHADLO CCOC(=O)C[N@@H+](C)Cc1sc2scc(C)c2c1C ZINC001231827928 1132374488 /nfs/dbraw/zinc/37/44/88/1132374488.db2.gz CIDGIFYLANFGRG-UHFFFAOYSA-N 1 2 297.445 3.575 20 0 CHADLO Cc1cc(F)c(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1O ZINC001212697901 1132377868 /nfs/dbraw/zinc/37/78/68/1132377868.db2.gz AHZFBXZEBZBILS-UHFFFAOYSA-N 1 2 297.333 3.828 20 0 CHADLO Cc1c(O)cccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212697969 1132377913 /nfs/dbraw/zinc/37/79/13/1132377913.db2.gz DZVJBTHETYADCD-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(OC3CCCCC3)nc2)C[C@@H]1F ZINC001231874286 1132386917 /nfs/dbraw/zinc/38/69/17/1132386917.db2.gz UMJWFKGWJXVCKI-CJNGLKHVSA-N 1 2 292.398 3.583 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(OC3CCCCC3)nc2)C[C@@H]1F ZINC001231874286 1132386926 /nfs/dbraw/zinc/38/69/26/1132386926.db2.gz UMJWFKGWJXVCKI-CJNGLKHVSA-N 1 2 292.398 3.583 20 0 CHADLO CCn1c[nH+]cc1CN1CCCSc2cc(C)ccc21 ZINC000841886735 1132399194 /nfs/dbraw/zinc/39/91/94/1132399194.db2.gz RZLTYGRNQCMLKU-UHFFFAOYSA-N 1 2 287.432 3.714 20 0 CHADLO Clc1cccnc1C[N@@H+]1CCOC[C@H]1C1CCCCC1 ZINC001231961948 1132403155 /nfs/dbraw/zinc/40/31/55/1132403155.db2.gz ZLPBXMAOYXQPHS-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cccnc1C[N@H+]1CCOC[C@H]1C1CCCCC1 ZINC001231961948 1132403158 /nfs/dbraw/zinc/40/31/58/1132403158.db2.gz ZLPBXMAOYXQPHS-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO Cc1ccc(C[N@@H+](CC(=O)c2ccccc2)C(C)C)c(C)n1 ZINC001232085425 1132420584 /nfs/dbraw/zinc/42/05/84/1132420584.db2.gz XNDKQVJJOQYJBC-UHFFFAOYSA-N 1 2 296.414 3.792 20 0 CHADLO Cc1ccc(C[N@H+](CC(=O)c2ccccc2)C(C)C)c(C)n1 ZINC001232085425 1132420587 /nfs/dbraw/zinc/42/05/87/1132420587.db2.gz XNDKQVJJOQYJBC-UHFFFAOYSA-N 1 2 296.414 3.792 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cc3ccncc3[nH]1)CC2 ZINC001232089698 1132421182 /nfs/dbraw/zinc/42/11/82/1132421182.db2.gz DARBWTHEHMVUAR-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cc3ccncc3[nH]1)CC2 ZINC001232089698 1132421186 /nfs/dbraw/zinc/42/11/86/1132421186.db2.gz DARBWTHEHMVUAR-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCCC23CC3)c(Cl)c1 ZINC001232099515 1132422412 /nfs/dbraw/zinc/42/24/12/1132422412.db2.gz YZTAMSGZIFVINQ-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCCC23CC3)c(Cl)c1 ZINC001232099515 1132422415 /nfs/dbraw/zinc/42/24/15/1132422415.db2.gz YZTAMSGZIFVINQ-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO CCCO[C@@H]1CC[N@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232099696 1132422718 /nfs/dbraw/zinc/42/27/18/1132422718.db2.gz BYSAUOCQLHBTOM-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232099696 1132422723 /nfs/dbraw/zinc/42/27/23/1132422723.db2.gz BYSAUOCQLHBTOM-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232102204 1132424743 /nfs/dbraw/zinc/42/47/43/1132424743.db2.gz QTMQEPSRPANMOV-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232102204 1132424749 /nfs/dbraw/zinc/42/47/49/1132424749.db2.gz QTMQEPSRPANMOV-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CC(=O)Nc1ccc(C[N@@H+]2Cc3cccc(F)c3C2)c(C)c1 ZINC001232187036 1132431404 /nfs/dbraw/zinc/43/14/04/1132431404.db2.gz BZQRFHQDJAVGMY-UHFFFAOYSA-N 1 2 298.361 3.608 20 0 CHADLO CC(=O)Nc1ccc(C[N@H+]2Cc3cccc(F)c3C2)c(C)c1 ZINC001232187036 1132431406 /nfs/dbraw/zinc/43/14/06/1132431406.db2.gz BZQRFHQDJAVGMY-UHFFFAOYSA-N 1 2 298.361 3.608 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2ccnc(C(F)(F)F)c2)C1 ZINC001232236831 1132442002 /nfs/dbraw/zinc/44/20/02/1132442002.db2.gz KCDJHEHLSPYVEZ-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2ccnc(C(F)(F)F)c2)C1 ZINC001232236831 1132442005 /nfs/dbraw/zinc/44/20/05/1132442005.db2.gz KCDJHEHLSPYVEZ-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1nccc3ccccc31)C2 ZINC001232252265 1132445217 /nfs/dbraw/zinc/44/52/17/1132445217.db2.gz OYJNWOIAOXADTD-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1nccc3ccccc31)C2 ZINC001232252265 1132445219 /nfs/dbraw/zinc/44/52/19/1132445219.db2.gz OYJNWOIAOXADTD-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO Cc1cccc(C[N@H+](C)CCF)c1OCc1ccccc1 ZINC001232401041 1132467222 /nfs/dbraw/zinc/46/72/22/1132467222.db2.gz DVUWKEJNRCNVAZ-UHFFFAOYSA-N 1 2 287.378 3.975 20 0 CHADLO Cc1cccc(C[N@@H+](C)CCF)c1OCc1ccccc1 ZINC001232401041 1132467228 /nfs/dbraw/zinc/46/72/28/1132467228.db2.gz DVUWKEJNRCNVAZ-UHFFFAOYSA-N 1 2 287.378 3.975 20 0 CHADLO CCc1csc(C[N@@H+]2CCCC[C@H]2c2cccnc2)n1 ZINC001232473380 1132475424 /nfs/dbraw/zinc/47/54/24/1132475424.db2.gz PEFYIYKFRODURT-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCc1csc(C[N@H+]2CCCC[C@H]2c2cccnc2)n1 ZINC001232473380 1132475427 /nfs/dbraw/zinc/47/54/27/1132475427.db2.gz PEFYIYKFRODURT-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCSC[C@@H](C)[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001173314271 1132475426 /nfs/dbraw/zinc/47/54/26/1132475426.db2.gz GWWUTFNXXWTVSC-DOMZBBRYSA-N 1 2 299.867 3.855 20 0 CHADLO CCSC[C@@H](C)[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001173314271 1132475429 /nfs/dbraw/zinc/47/54/29/1132475429.db2.gz GWWUTFNXXWTVSC-DOMZBBRYSA-N 1 2 299.867 3.855 20 0 CHADLO CCc1csc(C[N@@H+]2CCc3ccc(C)cc3C2)n1 ZINC001232468656 1132476186 /nfs/dbraw/zinc/47/61/86/1132476186.db2.gz CTIAFOFTNPRKQG-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCc1csc(C[N@H+]2CCc3ccc(C)cc3C2)n1 ZINC001232468656 1132476190 /nfs/dbraw/zinc/47/61/90/1132476190.db2.gz CTIAFOFTNPRKQG-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO Nc1ccc(F)cc1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC001232512465 1132481086 /nfs/dbraw/zinc/48/10/86/1132481086.db2.gz SMVLVQMDMSEQDW-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(F)cc1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC001232512465 1132481090 /nfs/dbraw/zinc/48/10/90/1132481090.db2.gz SMVLVQMDMSEQDW-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccnc3C3CC3)ccc21 ZINC001212739779 1132493631 /nfs/dbraw/zinc/49/36/31/1132493631.db2.gz OUCSIFBBWHMYEF-UHFFFAOYSA-N 1 2 264.332 3.589 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(Cl)ccnc2F)CCC1(F)F ZINC001232615907 1132495657 /nfs/dbraw/zinc/49/56/57/1132495657.db2.gz SWDFKCGWNOTRHH-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(Cl)ccnc2F)CCC1(F)F ZINC001232615907 1132495662 /nfs/dbraw/zinc/49/56/62/1132495662.db2.gz SWDFKCGWNOTRHH-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO Fc1cc(C[NH+]2CC3CC(C3)C2)c(Cl)cc1Cl ZINC001232704227 1132508348 /nfs/dbraw/zinc/50/83/48/1132508348.db2.gz WKIAZHAJHKPOIJ-UHFFFAOYSA-N 1 2 274.166 3.974 20 0 CHADLO Cc1cc(CO)cc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001212752507 1132511447 /nfs/dbraw/zinc/51/14/47/1132511447.db2.gz RLOMVUIAICDECW-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1cc(CO)cc(Nc2cccc(C3CC3)[nH+]2)c1 ZINC001212754260 1132513586 /nfs/dbraw/zinc/51/35/86/1132513586.db2.gz MAYQRJIBZUVNBL-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Cc1cc(CO)cc(Nc2[nH+]cccc2N2CCCCC2)c1 ZINC001212754445 1132514240 /nfs/dbraw/zinc/51/42/40/1132514240.db2.gz WNZLRDSXCUBEHW-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1cc(CO)cc(Nc2cc(OC(F)(F)F)cc[nH+]2)c1 ZINC001212754156 1132514275 /nfs/dbraw/zinc/51/42/75/1132514275.db2.gz HTPAAENTMBIGHC-UHFFFAOYSA-N 1 2 298.264 3.525 20 0 CHADLO Cc1cc(CO)cc(Nc2cccc(C3CCC3)[nH+]2)c1 ZINC001212754242 1132514383 /nfs/dbraw/zinc/51/43/83/1132514383.db2.gz LKQFFVRHUJSDES-UHFFFAOYSA-N 1 2 268.360 3.893 20 0 CHADLO CSc1ccc(Nc2[nH+]cccc2N(C)C)cc1 ZINC001173812433 1132516254 /nfs/dbraw/zinc/51/62/54/1132516254.db2.gz JAQKOMDXEKKART-UHFFFAOYSA-N 1 2 259.378 3.613 20 0 CHADLO Fc1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1 ZINC001173799865 1132533902 /nfs/dbraw/zinc/53/39/02/1132533902.db2.gz XREFYMGDFCKFMN-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO COc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC001173804729 1132538503 /nfs/dbraw/zinc/53/85/03/1132538503.db2.gz KDAVCNGCJMDMCT-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO COc1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc1 ZINC001173806084 1132539554 /nfs/dbraw/zinc/53/95/54/1132539554.db2.gz WACMCJPEKMKVCT-UHFFFAOYSA-N 1 2 284.237 3.732 20 0 CHADLO Oc1c(F)ccc(C[N@@H+]2CCCC3(CCCC3)C2)c1F ZINC001232931627 1132546677 /nfs/dbraw/zinc/54/66/77/1132546677.db2.gz PQZPLUVJTYGZAV-UHFFFAOYSA-N 1 2 281.346 3.827 20 0 CHADLO Oc1c(F)ccc(C[N@H+]2CCCC3(CCCC3)C2)c1F ZINC001232931627 1132546681 /nfs/dbraw/zinc/54/66/81/1132546681.db2.gz PQZPLUVJTYGZAV-UHFFFAOYSA-N 1 2 281.346 3.827 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@]3(C)CC=C(C)CC3)cc2[nH+]1 ZINC001150107086 1132551517 /nfs/dbraw/zinc/55/15/17/1132551517.db2.gz VSRBWHNDVVWVIU-KRWDZBQOSA-N 1 2 283.375 3.946 20 0 CHADLO c1ccc(OC2C[NH+](Cc3ccc(C4CC4)cc3)C2)cc1 ZINC001232983502 1132555176 /nfs/dbraw/zinc/55/51/76/1132555176.db2.gz NSCASVRQGKHJMN-UHFFFAOYSA-N 1 2 279.383 3.827 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2nc3ccccc3s2)cn1 ZINC001233004651 1132559949 /nfs/dbraw/zinc/55/99/49/1132559949.db2.gz DNDSLHSDOLIJRD-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2nc3ccccc3s2)cn1 ZINC001233004651 1132559950 /nfs/dbraw/zinc/55/99/50/1132559950.db2.gz DNDSLHSDOLIJRD-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Fc1cccc(F)c1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001173859909 1132561437 /nfs/dbraw/zinc/56/14/37/1132561437.db2.gz HSDVGKNWNJBATE-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO c1[nH+]cc(N[C@H]2CC[C@H]2C2CCC2)c2c1CCCC2 ZINC001206636583 1132563577 /nfs/dbraw/zinc/56/35/77/1132563577.db2.gz POUUIHCOKARMRQ-HOTGVXAUSA-N 1 2 256.393 3.951 20 0 CHADLO C[N@H+](CCCc1ccccc1)Cc1cc(Cl)ncc1O ZINC001233036253 1132566169 /nfs/dbraw/zinc/56/61/69/1132566169.db2.gz NVYBEILASVDVQF-UHFFFAOYSA-N 1 2 290.794 3.505 20 0 CHADLO C[N@@H+](CCCc1ccccc1)Cc1cc(Cl)ncc1O ZINC001233036253 1132566174 /nfs/dbraw/zinc/56/61/74/1132566174.db2.gz NVYBEILASVDVQF-UHFFFAOYSA-N 1 2 290.794 3.505 20 0 CHADLO CCOC(=O)c1cccc(Nc2ccc(C)[nH+]c2C)c1 ZINC001203375116 1132578009 /nfs/dbraw/zinc/57/80/09/1132578009.db2.gz SRSRSOYQWQUZTC-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO CC(C)c1cccc(Nc2ccc3c(c2)OCCO3)[nH+]1 ZINC001173875920 1132578476 /nfs/dbraw/zinc/57/84/76/1132578476.db2.gz FKDUCDBRVBBPNR-UHFFFAOYSA-N 1 2 270.332 3.720 20 0 CHADLO COc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001173825902 1132581317 /nfs/dbraw/zinc/58/13/17/1132581317.db2.gz ZLCDEKWFBVMEJJ-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO Cc1ccc(Nc2cc(N)cc(Cl)c2C)c(C)[nH+]1 ZINC001203376027 1132582197 /nfs/dbraw/zinc/58/21/97/1132582197.db2.gz GUGFQNUGIRJVHS-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO CC[N@H+](CC(=O)OC)Cc1ccc(-c2cccc(C)c2)cc1 ZINC001233225878 1132584948 /nfs/dbraw/zinc/58/49/48/1132584948.db2.gz VCKCQWWUZOREGP-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO CC[N@@H+](CC(=O)OC)Cc1ccc(-c2cccc(C)c2)cc1 ZINC001233225878 1132584951 /nfs/dbraw/zinc/58/49/51/1132584951.db2.gz VCKCQWWUZOREGP-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO C[NH+](C)Cc1c(Cl)cc(Br)cc1Cl ZINC001233245611 1132587282 /nfs/dbraw/zinc/58/72/82/1132587282.db2.gz CGPIMONEMALXPT-UHFFFAOYSA-N 1 2 282.996 3.818 20 0 CHADLO CC(=O)Nc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001173832632 1132587897 /nfs/dbraw/zinc/58/78/97/1132587897.db2.gz AKHBEPSDRFKSPQ-UHFFFAOYSA-N 1 2 292.342 3.574 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCC(=O)[C@@H](C)CC1 ZINC001233350938 1132607499 /nfs/dbraw/zinc/60/74/99/1132607499.db2.gz ZVKKCUFMFAFQGO-NSHDSACASA-N 1 2 297.851 3.863 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCC(=O)[C@@H](C)CC1 ZINC001233350938 1132607502 /nfs/dbraw/zinc/60/75/02/1132607502.db2.gz ZVKKCUFMFAFQGO-NSHDSACASA-N 1 2 297.851 3.863 20 0 CHADLO CSc1cccc(Cl)c1C[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC001233350472 1132607741 /nfs/dbraw/zinc/60/77/41/1132607741.db2.gz IPOHMCGORQYUPX-QWRGUYRKSA-N 1 2 285.840 3.671 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(F)ccc(O)c2F)CCC1(F)F ZINC001233393727 1132616260 /nfs/dbraw/zinc/61/62/60/1132616260.db2.gz JABICQXIZRLILN-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(F)ccc(O)c2F)CCC1(F)F ZINC001233393727 1132616263 /nfs/dbraw/zinc/61/62/63/1132616263.db2.gz JABICQXIZRLILN-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO Fc1cc(Cl)c(Br)cc1C[NH+]1CCCC1 ZINC001233438874 1132623922 /nfs/dbraw/zinc/62/39/22/1132623922.db2.gz JDLCPGHVFAWNFV-UHFFFAOYSA-N 1 2 292.579 3.837 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2c(OC)cccc2OC)cc1 ZINC001173925387 1132617962 /nfs/dbraw/zinc/61/79/62/1132617962.db2.gz PHULWZAQOLQBIO-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2c(OC)cccc2OC)cc1 ZINC001173925387 1132617966 /nfs/dbraw/zinc/61/79/66/1132617966.db2.gz PHULWZAQOLQBIO-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO CCSc1cc[nH+]c(NCc2cnc(C)s2)c1 ZINC001154797914 1132624370 /nfs/dbraw/zinc/62/43/70/1132624370.db2.gz QLEZBMAKHHVKGI-UHFFFAOYSA-N 1 2 265.407 3.571 20 0 CHADLO Cc1cc(C)n(CCNc2cc(-c3ccccc3)cc[nH+]2)n1 ZINC001154785496 1132624656 /nfs/dbraw/zinc/62/46/56/1132624656.db2.gz PRQRRFAIQOGECH-UHFFFAOYSA-N 1 2 292.386 3.674 20 0 CHADLO Cc1cccc2c(C)cc(NCC(C)(F)F)[nH+]c12 ZINC001154851599 1132628297 /nfs/dbraw/zinc/62/82/97/1132628297.db2.gz BGGQKSVNTNKFMQ-UHFFFAOYSA-N 1 2 250.292 3.919 20 0 CHADLO Cc1c(-c2cc(OC(C)C)ncc2F)ccc2[nH+]ccn21 ZINC001206653376 1132641969 /nfs/dbraw/zinc/64/19/69/1132641969.db2.gz ZPLNYEOUAKDJQJ-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cnc2ccc(Cl)cc2n1 ZINC001155180964 1132646146 /nfs/dbraw/zinc/64/61/46/1132646146.db2.gz ACFNBWIARGHVBR-UHFFFAOYSA-N 1 2 262.744 3.718 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2[nH]ccc2c1 ZINC001173937405 1132649818 /nfs/dbraw/zinc/64/98/18/1132649818.db2.gz SAZOVILTZDNTQZ-UHFFFAOYSA-N 1 2 253.305 3.705 20 0 CHADLO Fc1ccc(F)c(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001173949450 1132656558 /nfs/dbraw/zinc/65/65/58/1132656558.db2.gz AJCKNCWKPOITAC-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO Fc1ccc(F)c(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001173949967 1132657083 /nfs/dbraw/zinc/65/70/83/1132657083.db2.gz OIIGCIIXONYAJF-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO COc1cc(C)c[nH+]c1N1CCc2c3ccccc3oc2C1 ZINC001155511147 1132659199 /nfs/dbraw/zinc/65/91/99/1132659199.db2.gz GZUVFYONCXPOOU-UHFFFAOYSA-N 1 2 294.354 3.708 20 0 CHADLO COc1ccc(C)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001173988122 1132666826 /nfs/dbraw/zinc/66/68/26/1132666826.db2.gz RBEGRHXMMVEHEJ-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccccc2NC(C)=O)cc1 ZINC001173996929 1132669000 /nfs/dbraw/zinc/66/90/00/1132669000.db2.gz DYJSSHIWPSRXAK-UHFFFAOYSA-N 1 2 283.375 3.845 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccccc2NC(C)=O)cc1 ZINC001173996929 1132669002 /nfs/dbraw/zinc/66/90/02/1132669002.db2.gz DYJSSHIWPSRXAK-UHFFFAOYSA-N 1 2 283.375 3.845 20 0 CHADLO Cc1cc(C)cc(CNc2nc(C)[nH+]c3c2CCC3)c1 ZINC001155898449 1132671381 /nfs/dbraw/zinc/67/13/81/1132671381.db2.gz XCDBRIYVRPSWMX-UHFFFAOYSA-N 1 2 267.376 3.503 20 0 CHADLO CC[NH+]1CC(Oc2ccccc2[C@@H](C)c2ccccc2)C1 ZINC001233787020 1132678879 /nfs/dbraw/zinc/67/88/79/1132678879.db2.gz UWAVHHHUIUTNCZ-HNNXBMFYSA-N 1 2 281.399 3.921 20 0 CHADLO CSc1cc[nH+]c(NCCCOc2ccccc2C)c1 ZINC001156034805 1132679362 /nfs/dbraw/zinc/67/93/62/1132679362.db2.gz WWQLCMPEHLVYQS-UHFFFAOYSA-N 1 2 288.416 3.993 20 0 CHADLO C=Cc1ccc(NCCCOc2ccccc2C)[nH+]c1 ZINC001156034803 1132679370 /nfs/dbraw/zinc/67/93/70/1132679370.db2.gz WRVYJBDBCWMCDS-UHFFFAOYSA-N 1 2 268.360 3.914 20 0 CHADLO Cc1ccccc1OCCCNc1cc(N)cc(Cl)[nH+]1 ZINC001156034915 1132679728 /nfs/dbraw/zinc/67/97/28/1132679728.db2.gz ZNBLWSNUPRIURP-UHFFFAOYSA-N 1 2 291.782 3.507 20 0 CHADLO COc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1F ZINC001173972744 1132683359 /nfs/dbraw/zinc/68/33/59/1132683359.db2.gz DJLXYRGDKZPIMX-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H](c1cccnc1)C(F)(F)F ZINC001156159798 1132684699 /nfs/dbraw/zinc/68/46/99/1132684699.db2.gz VMPTULWROGSHEX-NSHDSACASA-N 1 2 285.244 3.640 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1cnc2ccccc2c1 ZINC001156175456 1132686393 /nfs/dbraw/zinc/68/63/93/1132686393.db2.gz XIOUSXKJKLQVML-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO NC(=O)c1ccc(Nc2ccc([NH+]3CCCCC3)cc2)cc1 ZINC001174049637 1132687245 /nfs/dbraw/zinc/68/72/45/1132687245.db2.gz GNEWMWPEEQOEAU-UHFFFAOYSA-N 1 2 295.386 3.519 20 0 CHADLO Cc1cc(Cl)c(C)c(NCc2ccc3nonc3c2)[nH+]1 ZINC001156267335 1132689082 /nfs/dbraw/zinc/68/90/82/1132689082.db2.gz WNBYUAFETWRGPN-UHFFFAOYSA-N 1 2 288.738 3.500 20 0 CHADLO Cc1ccc(Nc2ccc(OC3CCOCC3)cc2)c(C)[nH+]1 ZINC001203376615 1132689221 /nfs/dbraw/zinc/68/92/21/1132689221.db2.gz PQMGQEQNRRYAOQ-UHFFFAOYSA-N 1 2 298.386 4.000 20 0 CHADLO Cc1ccc(Nc2c(N)ccc(Cl)c2C)c(C)[nH+]1 ZINC001203376774 1132690688 /nfs/dbraw/zinc/69/06/88/1132690688.db2.gz SBSGTGGSGLZGGO-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO CCN(CC)C(=O)[C@@H](C)Nc1cc(C)c2cccc(C)c2[nH+]1 ZINC001156359970 1132691147 /nfs/dbraw/zinc/69/11/47/1132691147.db2.gz CMLGQRPBDYENNT-CQSZACIVSA-N 1 2 299.418 3.520 20 0 CHADLO Cc1cc(NCCCc2ccncc2)nc(C(C)(C)C)[nH+]1 ZINC001156408546 1132691909 /nfs/dbraw/zinc/69/19/09/1132691909.db2.gz PVWFVOABMMUCFE-UHFFFAOYSA-N 1 2 284.407 3.522 20 0 CHADLO COC(=O)CCc1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001203377050 1132692761 /nfs/dbraw/zinc/69/27/61/1132692761.db2.gz ZXDJPQWHPCCIOH-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO Cc1cn2cccc(Nc3ccc(C)c(F)c3)c2[nH+]1 ZINC001174019952 1132694045 /nfs/dbraw/zinc/69/40/45/1132694045.db2.gz RNJFOFVDOQQPCK-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO CCSc1cc[nH+]c(N2CC(C)(C)OC(C)(C)C2)c1 ZINC001156464856 1132698949 /nfs/dbraw/zinc/69/89/49/1132698949.db2.gz YWTONMILLQMSJO-UHFFFAOYSA-N 1 2 280.437 3.587 20 0 CHADLO COc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(C)c1 ZINC001174024739 1132699300 /nfs/dbraw/zinc/69/93/00/1132699300.db2.gz OTZIZBVNXPESGX-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO CSc1c[nH+]c(NCCc2ccsc2)c(C)c1 ZINC001156521783 1132701453 /nfs/dbraw/zinc/70/14/53/1132701453.db2.gz MCSXQWPHPVFHPQ-UHFFFAOYSA-N 1 2 264.419 3.828 20 0 CHADLO C[C@H](Nc1cc2ccccc2c[nH+]1)c1ccc(F)cn1 ZINC001156676324 1132705733 /nfs/dbraw/zinc/70/57/33/1132705733.db2.gz NFSZVHBWUCUTFK-NSHDSACASA-N 1 2 267.307 3.942 20 0 CHADLO COCc1cccc(Nc2cc(OC(F)(F)F)cc[nH+]2)c1 ZINC001174093729 1132711812 /nfs/dbraw/zinc/71/18/12/1132711812.db2.gz QLIQIQJXHYTOLX-UHFFFAOYSA-N 1 2 298.264 3.870 20 0 CHADLO Cc1cc(Cl)c(C)c(NC2(C3CCOCC3)CC2)[nH+]1 ZINC001156919474 1132714851 /nfs/dbraw/zinc/71/48/51/1132714851.db2.gz ICPNMNDWIIXFNZ-UHFFFAOYSA-N 1 2 280.799 3.723 20 0 CHADLO Cc1ccc(Nc2cc(F)nc(C(F)(F)F)c2)c(C)[nH+]1 ZINC001213005296 1132717524 /nfs/dbraw/zinc/71/75/24/1132717524.db2.gz ZJOMNCWHLHNACA-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO CO[C@@H]1CCOc2c(Nc3ccc(C)[nH+]c3C)cccc21 ZINC001203379292 1132719763 /nfs/dbraw/zinc/71/97/63/1132719763.db2.gz AKERGCYEFFULTJ-MRXNPFEDSA-N 1 2 284.359 3.912 20 0 CHADLO CCOc1ccc2cc(Nc3[nH+]cccc3N)ccc2c1 ZINC001174107541 1132723732 /nfs/dbraw/zinc/72/37/32/1132723732.db2.gz VMYMLHRZLRJBTH-UHFFFAOYSA-N 1 2 279.343 3.959 20 0 CHADLO Cc1nc(N[C@@H](CO)C(C)(C)C)cc(C2CCCCC2)[nH+]1 ZINC001157758346 1132740069 /nfs/dbraw/zinc/74/00/69/1132740069.db2.gz BBDMIQZQTDUBIQ-HNNXBMFYSA-N 1 2 291.439 3.652 20 0 CHADLO COc1ccc(Nc2ccccc2-n2cc[nH+]c2)c(F)c1 ZINC001174145612 1132747431 /nfs/dbraw/zinc/74/74/31/1132747431.db2.gz VGMYPKUISLJSLW-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Cc1cc(N[C@H]2CCCc3cccnc32)nc(C(C)(C)C)[nH+]1 ZINC001157846482 1132748591 /nfs/dbraw/zinc/74/85/91/1132748591.db2.gz CHURBORIAWEKOR-AWEZNQCLSA-N 1 2 296.418 3.967 20 0 CHADLO COC(=O)[C@H](C)c1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001203380041 1132750237 /nfs/dbraw/zinc/75/02/37/1132750237.db2.gz GKRRTQRKNKARJN-GFCCVEGCSA-N 1 2 284.359 3.719 20 0 CHADLO Cc1nc(N[C@@H](C)CCCC(C)(C)O)cc(C(C)C)[nH+]1 ZINC001157885601 1132752564 /nfs/dbraw/zinc/75/25/64/1132752564.db2.gz CTUSVMMNPXDXSS-LBPRGKRZSA-N 1 2 279.428 3.650 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1cc(N2CCCCC2)nc[nH+]1 ZINC001157885965 1132752751 /nfs/dbraw/zinc/75/27/51/1132752751.db2.gz FZUGDGSXMDAVJK-UONOGXRCSA-N 1 2 276.428 3.704 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1cc(N2CCCCC2)[nH+]cn1 ZINC001157885965 1132752758 /nfs/dbraw/zinc/75/27/58/1132752758.db2.gz FZUGDGSXMDAVJK-UONOGXRCSA-N 1 2 276.428 3.704 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(CO)c1Cl ZINC001212762120 1132754125 /nfs/dbraw/zinc/75/41/25/1132754125.db2.gz BHOVMBOJOMGVRZ-UHFFFAOYSA-N 1 2 276.767 3.842 20 0 CHADLO CSc1cc[nH+]c(NCc2cc(C(C)C)no2)c1 ZINC001157995559 1132760293 /nfs/dbraw/zinc/76/02/93/1132760293.db2.gz MKDNHZOQDQKWCG-UHFFFAOYSA-N 1 2 263.366 3.527 20 0 CHADLO Cc1ccc(Nc2ccnc(OCC3CC3)c2)c(C)[nH+]1 ZINC001213013133 1132769368 /nfs/dbraw/zinc/76/93/68/1132769368.db2.gz CIRWLUCGTPTBNT-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO OCc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1Cl ZINC001212763453 1132773252 /nfs/dbraw/zinc/77/32/52/1132773252.db2.gz WBVZWDMRRKJBOZ-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO Cc1ccc(CCCNc2cc(C)[nH+]c(C(C)(C)C)n2)cn1 ZINC001158377370 1132773415 /nfs/dbraw/zinc/77/34/15/1132773415.db2.gz MGHDPFVSNFYMSN-UHFFFAOYSA-N 1 2 298.434 3.831 20 0 CHADLO CSc1cc[nH+]c(NC[C@H](C)c2ccc(O)cc2)c1 ZINC001158608326 1132785451 /nfs/dbraw/zinc/78/54/51/1132785451.db2.gz ZHMDZHZLZIBEAF-NSHDSACASA-N 1 2 274.389 3.725 20 0 CHADLO Cc1c(F)cc[nH+]c1NCCc1coc2ccccc12 ZINC001158662422 1132787075 /nfs/dbraw/zinc/78/70/75/1132787075.db2.gz VNBZZLVPWQZRTD-UHFFFAOYSA-N 1 2 270.307 3.930 20 0 CHADLO Cc1nc(NCc2ncccc2O)cc(C2CCCCC2)[nH+]1 ZINC001158774952 1132793281 /nfs/dbraw/zinc/79/32/81/1132793281.db2.gz YKUNZPWBUMQEOX-UHFFFAOYSA-N 1 2 298.390 3.545 20 0 CHADLO Cn1nc(C(F)(F)F)cc1Nc1cccc2cc[nH+]cc21 ZINC001174326534 1132794165 /nfs/dbraw/zinc/79/41/65/1132794165.db2.gz UKJTZPLUPYDYDS-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO Cc1cn2cccc(Nc3c(Cl)cccc3CO)c2[nH+]1 ZINC001159178280 1132813568 /nfs/dbraw/zinc/81/35/68/1132813568.db2.gz SZWGYCQVUKKMEA-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO Cc1cc(N[C@H](C(=O)NC(C)C)C(C)C)[nH+]c2ccccc12 ZINC001159171511 1132814237 /nfs/dbraw/zinc/81/42/37/1132814237.db2.gz ZUIYBTQPDYZMIT-KRWDZBQOSA-N 1 2 299.418 3.504 20 0 CHADLO c1cn2c(cccc2Nc2ccc3ncsc3c2)[nH+]1 ZINC001174363047 1132819523 /nfs/dbraw/zinc/81/95/23/1132819523.db2.gz BUWARRZXGGMTRF-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO CCOc1cc(Nc2ccc(N)[nH+]c2)cc(C(F)(F)F)c1 ZINC001159281208 1132823690 /nfs/dbraw/zinc/82/36/90/1132823690.db2.gz SBVSTVHTSAOBLF-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO CC(C)(C)Oc1ccc(Nc2ccc(N)[nH+]c2)cc1 ZINC001159282312 1132824045 /nfs/dbraw/zinc/82/40/45/1132824045.db2.gz RKEBBTXEXVZRHE-UHFFFAOYSA-N 1 2 257.337 3.585 20 0 CHADLO Nc1ccc(Nc2ccc(C3CC3)cc2Cl)c[nH+]1 ZINC001159282394 1132824934 /nfs/dbraw/zinc/82/49/34/1132824934.db2.gz WJIWDXPSUWTWBY-UHFFFAOYSA-N 1 2 259.740 3.938 20 0 CHADLO COc1cc(Nc2ccc3c(c2)[nH+]cn3C(C)C)ccn1 ZINC001174380382 1132828357 /nfs/dbraw/zinc/82/83/57/1132828357.db2.gz DKQMMFHVLCIKAI-UHFFFAOYSA-N 1 2 282.347 3.764 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cscn3)ccc21 ZINC001174381664 1132828519 /nfs/dbraw/zinc/82/85/19/1132828519.db2.gz NDGJLOGSXVPSRO-UHFFFAOYSA-N 1 2 258.350 3.817 20 0 CHADLO Cc1c[nH]c(=O)c(Nc2ccc3c(c2)[nH+]cn3C(C)C)c1 ZINC001174381952 1132828768 /nfs/dbraw/zinc/82/87/68/1132828768.db2.gz SWNOGGSDMCKLBX-UHFFFAOYSA-N 1 2 282.347 3.770 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3noc4cccnc34)ccc21 ZINC001174380332 1132829188 /nfs/dbraw/zinc/82/91/88/1132829188.db2.gz ARFMGVOITZDPJK-UHFFFAOYSA-N 1 2 293.330 3.897 20 0 CHADLO COc1ncccc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174380662 1132829347 /nfs/dbraw/zinc/82/93/47/1132829347.db2.gz IJGAZSWYGDLVRE-UHFFFAOYSA-N 1 2 282.347 3.764 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cncs3)ccc21 ZINC001174381265 1132829818 /nfs/dbraw/zinc/82/98/18/1132829818.db2.gz DCLZKWXWFUWMSX-UHFFFAOYSA-N 1 2 258.350 3.817 20 0 CHADLO COc1ccc(F)c(F)c1Nc1cccn2cc(C)[nH+]c12 ZINC001174422823 1132839656 /nfs/dbraw/zinc/83/96/56/1132839656.db2.gz BDOKUWOAFGPLDP-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1ccc2c(c1)CCC[NH2+]2 ZINC001159430270 1132843243 /nfs/dbraw/zinc/84/32/43/1132843243.db2.gz PKXFURCYAVINFY-UHFFFAOYSA-N 1 2 277.371 3.879 20 0 CHADLO CSc1cc(Nc2cccc(C(C)(C)O)c2)cc[nH+]1 ZINC001174406340 1132845784 /nfs/dbraw/zinc/84/57/84/1132845784.db2.gz IBXNLXLQFGXTDI-UHFFFAOYSA-N 1 2 274.389 3.775 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cccc(N)c3)ccc21 ZINC001159461180 1132848140 /nfs/dbraw/zinc/84/81/40/1132848140.db2.gz MZPFUSGUFHMQPQ-UHFFFAOYSA-N 1 2 266.348 3.943 20 0 CHADLO CCOC(=O)c1ccc(Nc2cc[nH+]c3[nH]ccc32)c(C)c1 ZINC001174411442 1132849193 /nfs/dbraw/zinc/84/91/93/1132849193.db2.gz ZGNQMMVWZVVKBY-UHFFFAOYSA-N 1 2 295.342 3.743 20 0 CHADLO CN1CCCc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc21 ZINC001174411536 1132849724 /nfs/dbraw/zinc/84/97/24/1132849724.db2.gz AYIVIODSDQWHAN-UHFFFAOYSA-N 1 2 278.359 3.641 20 0 CHADLO O=C1CCCc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc21 ZINC001174412391 1132850380 /nfs/dbraw/zinc/85/03/80/1132850380.db2.gz UDTODPUKTWMOTM-UHFFFAOYSA-N 1 2 277.327 3.777 20 0 CHADLO Cc1cc(=O)[nH]c2cc(Nc3cc[nH+]c4[nH]ccc43)ccc12 ZINC001174413013 1132851311 /nfs/dbraw/zinc/85/13/11/1132851311.db2.gz GHVMULSVVNOLHM-UHFFFAOYSA-N 1 2 290.326 3.820 20 0 CHADLO CCN(C)c1ccc(Nc2cnn(CCC(C)C)c2)c[nH+]1 ZINC001174413153 1132852391 /nfs/dbraw/zinc/85/23/91/1132852391.db2.gz CFQOCCSQPVFHPC-UHFFFAOYSA-N 1 2 287.411 3.524 20 0 CHADLO Cc1nc(-c2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2)no1 ZINC001174413513 1132852714 /nfs/dbraw/zinc/85/27/14/1132852714.db2.gz NEGRDEACFSOCQD-UHFFFAOYSA-N 1 2 291.314 3.617 20 0 CHADLO COC(=O)c1cc(C)c(Nc2cc[nH+]c3[nH]ccc32)c(C)c1 ZINC001174413495 1132852873 /nfs/dbraw/zinc/85/28/73/1132852873.db2.gz MRYCFDZATXWHPG-UHFFFAOYSA-N 1 2 295.342 3.662 20 0 CHADLO CC(=O)c1cccc(Nc2cc[nH+]c3[nH]ccc32)c1C ZINC001174414357 1132853639 /nfs/dbraw/zinc/85/36/39/1132853639.db2.gz AWQMKPVBYSAAAH-UHFFFAOYSA-N 1 2 265.316 3.769 20 0 CHADLO Nc1cccc(Cl)c1Nc1cccc2[nH+]c[nH]c21 ZINC001159620044 1132877916 /nfs/dbraw/zinc/87/79/16/1132877916.db2.gz HFXMPGWCUXFSHI-UHFFFAOYSA-N 1 2 258.712 3.542 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(N2CCCC2)cc1 ZINC001174525576 1132886556 /nfs/dbraw/zinc/88/65/56/1132886556.db2.gz YNMORHLXDOEJMX-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Cc1cc(F)cc(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001174531435 1132894309 /nfs/dbraw/zinc/89/43/09/1132894309.db2.gz QVBVGIPBLZIKNT-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO Cc1cc(N)cc2c1ccn2-c1ccc2c(c1)CCC[NH2+]2 ZINC001159700950 1132894289 /nfs/dbraw/zinc/89/42/89/1132894289.db2.gz XNRATICQZKANIA-UHFFFAOYSA-N 1 2 277.371 3.879 20 0 CHADLO Cc1ccc(Nc2cccc(-c3cc[nH]n3)c2)[nH+]c1 ZINC001174506414 1132903813 /nfs/dbraw/zinc/90/38/13/1132903813.db2.gz NCVHSNJPODGSCY-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO Clc1cc2[nH]ncc2c(Nc2cccc3c2C[NH2+]C3)c1 ZINC001159766403 1132904603 /nfs/dbraw/zinc/90/46/03/1132904603.db2.gz KYZPMKUJPHXMGL-UHFFFAOYSA-N 1 2 284.750 3.563 20 0 CHADLO c1nc2cc(Nc3ccc(N4CCCCC4)[nH+]c3)ccc2o1 ZINC001174521738 1132911349 /nfs/dbraw/zinc/91/13/49/1132911349.db2.gz DDYRMGFRJWERDU-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO Cc1cccc(CO)c1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174524141 1132912708 /nfs/dbraw/zinc/91/27/08/1132912708.db2.gz AFFDJOSAGOTEON-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Clc1cncc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174522881 1132913043 /nfs/dbraw/zinc/91/30/43/1132913043.db2.gz ARXJGLVMQGVEGK-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(N3CCCC3)cc2)[nH+]1 ZINC001174524316 1132913217 /nfs/dbraw/zinc/91/32/17/1132913217.db2.gz FQOWCFCZCTUIGY-UHFFFAOYSA-N 1 2 292.386 3.987 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)c(F)c(OC)c1 ZINC001174581067 1132915676 /nfs/dbraw/zinc/91/56/76/1132915676.db2.gz WZCIQIGKOILGQB-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(Br)c2N)c1 ZINC001159865726 1132917630 /nfs/dbraw/zinc/91/76/30/1132917630.db2.gz GZAUCVMQHFWZHO-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(N)cccc1F ZINC001159904360 1132921986 /nfs/dbraw/zinc/92/19/86/1132921986.db2.gz YVUDHSWADFSZQW-UHFFFAOYSA-N 1 2 257.312 3.732 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)NCCO2 ZINC001159969647 1132931369 /nfs/dbraw/zinc/93/13/69/1132931369.db2.gz VOKLOMFILGHSMJ-UHFFFAOYSA-N 1 2 281.359 3.815 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnn([C@@H]2CCCCO2)c1 ZINC001174603348 1132940412 /nfs/dbraw/zinc/94/04/12/1132940412.db2.gz WJIXMSGVHQBVNI-HNNXBMFYSA-N 1 2 286.379 3.592 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cnc(C2CC2)nc1 ZINC001174612268 1132942226 /nfs/dbraw/zinc/94/22/26/1132942226.db2.gz HFYXTDHTWURNFR-UHFFFAOYSA-N 1 2 282.391 4.000 20 0 CHADLO Nc1ccc(F)c2ccn(-c3ccc4c(c3)CCC[NH2+]4)c21 ZINC001160249791 1132951161 /nfs/dbraw/zinc/95/11/61/1132951161.db2.gz FURGOZAHPNZWIX-UHFFFAOYSA-N 1 2 281.334 3.710 20 0 CHADLO CCOc1ccc[nH+]c1NCC1(c2ccccc2)CC1 ZINC001160262762 1132953408 /nfs/dbraw/zinc/95/34/08/1132953408.db2.gz LZADXWSUPDLQQO-UHFFFAOYSA-N 1 2 268.360 3.624 20 0 CHADLO CCOc1cc(Nc2c(F)ccc(F)c2OC)cc(C)[nH+]1 ZINC001174662325 1132953793 /nfs/dbraw/zinc/95/37/93/1132953793.db2.gz STHCMLDPRRUCRN-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(F)c2C)[nH+]1 ZINC001174664027 1132954897 /nfs/dbraw/zinc/95/48/97/1132954897.db2.gz AFMKWWLYOQDLNM-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1c(F)cccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001174666564 1132956332 /nfs/dbraw/zinc/95/63/32/1132956332.db2.gz DJOXDBYCVWELTM-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1CCN2 ZINC001160279478 1132957299 /nfs/dbraw/zinc/95/72/99/1132957299.db2.gz DCZWZIYHVXNPSD-UHFFFAOYSA-N 1 2 265.360 3.979 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(C(F)F)cc1 ZINC001174711513 1132961538 /nfs/dbraw/zinc/96/15/38/1132961538.db2.gz QGYNDLOYOAFDNK-UHFFFAOYSA-N 1 2 250.248 3.777 20 0 CHADLO CCSc1cc[nH+]c(NC(C)(C)c2ccncc2)c1 ZINC001160311862 1132961874 /nfs/dbraw/zinc/96/18/74/1132961874.db2.gz DALIUHHWAXLHPS-UHFFFAOYSA-N 1 2 273.405 3.936 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2c(c1)CCCO2 ZINC001174719676 1132963226 /nfs/dbraw/zinc/96/32/26/1132963226.db2.gz VAWTZJBGHGFNIH-UHFFFAOYSA-N 1 2 270.332 3.549 20 0 CHADLO COc1cc(C)c(CNc2cc(C)[nH+]c(C3CC3)n2)cc1C ZINC001160774913 1132981023 /nfs/dbraw/zinc/98/10/23/1132981023.db2.gz BNTXJLURLVFHDH-UHFFFAOYSA-N 1 2 297.402 3.900 20 0 CHADLO Cc1c(F)cc[nH+]c1NCCc1c[nH]c2ccccc12 ZINC001160779739 1132981964 /nfs/dbraw/zinc/98/19/64/1132981964.db2.gz BSZBUMSMFNNNPY-UHFFFAOYSA-N 1 2 269.323 3.665 20 0 CHADLO Cc1nc(Cl)c(Nc2cccc3[nH+]c[nH]c32)c(Cl)n1 ZINC001213028326 1132988834 /nfs/dbraw/zinc/98/88/34/1132988834.db2.gz LURCATKIJQZREZ-UHFFFAOYSA-N 1 2 294.145 3.712 20 0 CHADLO FC(F)Oc1ccc(Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213030739 1132994066 /nfs/dbraw/zinc/99/40/66/1132994066.db2.gz OTDGVSVPTLXQRY-UHFFFAOYSA-N 1 2 275.258 3.908 20 0 CHADLO Fc1ccccc1-n1nccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213029870 1132994440 /nfs/dbraw/zinc/99/44/40/1132994440.db2.gz WGBZNHCEDPXCJO-UHFFFAOYSA-N 1 2 293.305 3.631 20 0 CHADLO COc1cc(Cl)ccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213031445 1132995748 /nfs/dbraw/zinc/99/57/48/1132995748.db2.gz AEDZUVKZRIACTO-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO Cc1cc(NC2CC3(CCC3)C2)nc(C(C)(C)C)[nH+]1 ZINC001161445951 1133002603 /nfs/dbraw/zinc/00/26/03/1133002603.db2.gz KEYRXHQMCAETEG-UHFFFAOYSA-N 1 2 259.397 3.827 20 0 CHADLO Cc1ccc(N)c(Nc2cccc3[nH+]c[nH]c32)c1C ZINC001213031853 1132997757 /nfs/dbraw/zinc/99/77/57/1132997757.db2.gz PIMKLLSYLFFVSP-UHFFFAOYSA-N 1 2 252.321 3.506 20 0 CHADLO Clc1ccc2c(cc[nH+]c2NC2(c3ncccn3)CC2)c1 ZINC001161312817 1132998122 /nfs/dbraw/zinc/99/81/22/1132998122.db2.gz RIMCRUVSVQCQNU-UHFFFAOYSA-N 1 2 296.761 3.779 20 0 CHADLO Cc1nc(NC[C@H]2CCOC(C)(C)C2)cc(C(C)C)[nH+]1 ZINC001161422980 1133000257 /nfs/dbraw/zinc/00/02/57/1133000257.db2.gz HEGWIMDOAMFALV-ZDUSSCGKSA-N 1 2 277.412 3.526 20 0 CHADLO Cc1c(Cl)cc(N)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213033683 1133008066 /nfs/dbraw/zinc/00/80/66/1133008066.db2.gz SCVYTBGVOLSILB-UHFFFAOYSA-N 1 2 272.739 3.851 20 0 CHADLO Cc1ccccc1CNc1ccc([NH+](C)C)c(C)c1 ZINC001161623476 1133010579 /nfs/dbraw/zinc/01/05/79/1133010579.db2.gz BJRNOWXMKCVAPA-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO Fc1cc(NCCCn2cc[nH+]c2)cc2ccccc12 ZINC001161688407 1133016887 /nfs/dbraw/zinc/01/68/87/1133016887.db2.gz PQWHZWUVJMMWIC-UHFFFAOYSA-N 1 2 269.323 3.678 20 0 CHADLO Cc1ccc(CNc2cc[nH+]c(OCC(C)C)c2)o1 ZINC001161769055 1133026761 /nfs/dbraw/zinc/02/67/61/1133026761.db2.gz UAWFCCNOMSLAAD-UHFFFAOYSA-N 1 2 260.337 3.630 20 0 CHADLO Cc1ccc(CNc2cc[nH+]c(OCc3ccccn3)c2)o1 ZINC001161768990 1133027156 /nfs/dbraw/zinc/02/71/56/1133027156.db2.gz PUFHBPFNDLZGIF-UHFFFAOYSA-N 1 2 295.342 3.569 20 0 CHADLO COc1c[nH+]c(NC2(CC3CCC3)CCOCC2)c(C)c1 ZINC001161921790 1133039178 /nfs/dbraw/zinc/03/91/78/1133039178.db2.gz DXJFZWNCWSWNCR-UHFFFAOYSA-N 1 2 290.407 3.550 20 0 CHADLO CN(CC1CCCCC1)c1nc2ccccc2n2c[nH+]cc12 ZINC001162084422 1133049927 /nfs/dbraw/zinc/04/99/27/1133049927.db2.gz WKRLOEOGLBZBOY-UHFFFAOYSA-N 1 2 294.402 3.899 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc3c(ccn3C)c2)c1C ZINC001162169615 1133056576 /nfs/dbraw/zinc/05/65/76/1133056576.db2.gz XERDNUZFRPNPEM-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO COc1cc(C)c[nH+]c1NCC(C)(C)CC(F)(F)F ZINC001162249488 1133064107 /nfs/dbraw/zinc/06/41/07/1133064107.db2.gz ODYPEMXKBWYTIO-UHFFFAOYSA-N 1 2 276.302 3.789 20 0 CHADLO Cc1cc(NC[C@@H]2CCC[C@@H](C)C2)nc(C2CC2)[nH+]1 ZINC001162266605 1133065155 /nfs/dbraw/zinc/06/51/55/1133065155.db2.gz IDBMBQWERFVEQL-DGCLKSJQSA-N 1 2 259.397 3.901 20 0 CHADLO Cc1cc(NCc2ncon2)[nH+]c2c1cccc2C(C)C ZINC001162280311 1133065909 /nfs/dbraw/zinc/06/59/09/1133065909.db2.gz BBPWWXJEMXUFLW-UHFFFAOYSA-N 1 2 282.347 3.662 20 0 CHADLO Cc1cc(NCc2cnccc2C(F)(F)F)c[nH+]c1C ZINC001162292214 1133067073 /nfs/dbraw/zinc/06/70/73/1133067073.db2.gz QXMFBPCFGFDLEJ-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO Cc1cc(N[C@@H]2CCCC[C@H]2F)cc(OC(F)F)[nH+]1 ZINC001162513971 1133083929 /nfs/dbraw/zinc/08/39/29/1133083929.db2.gz JYSGZVISCDFFCT-GHMZBOCLSA-N 1 2 274.286 3.684 20 0 CHADLO CC(C)c1ccc(NCC(C)(C)C(=O)OC(C)(C)C)[nH+]c1 ZINC001162602434 1133093567 /nfs/dbraw/zinc/09/35/67/1133093567.db2.gz SPWCHGOKYAMIMB-UHFFFAOYSA-N 1 2 292.423 3.985 20 0 CHADLO Cc1cc(NC[C@H]2CCC(F)(F)C2)ccc1[NH+](C)C ZINC001162656083 1133100982 /nfs/dbraw/zinc/10/09/82/1133100982.db2.gz PWZWMSSVUCVFIZ-LBPRGKRZSA-N 1 2 268.351 3.908 20 0 CHADLO C[C@H](Nc1ccc(Cl)c(N)[nH+]1)c1cccc(N(C)C)c1 ZINC001162635601 1133097194 /nfs/dbraw/zinc/09/71/94/1133097194.db2.gz SHRDWWGTLQGSAU-JTQLQIEISA-N 1 2 290.798 3.556 20 0 CHADLO Cc1cc(NCc2cnc(Cl)cn2)[nH+]c2ccccc12 ZINC001162650149 1133100390 /nfs/dbraw/zinc/10/03/90/1133100390.db2.gz OIOSMBTYVMAGDN-UHFFFAOYSA-N 1 2 284.750 3.599 20 0 CHADLO Cc1cc([NH2+]C[C@H]2CCC(F)(F)C2)ccc1N(C)C ZINC001162656083 1133100979 /nfs/dbraw/zinc/10/09/79/1133100979.db2.gz PWZWMSSVUCVFIZ-LBPRGKRZSA-N 1 2 268.351 3.908 20 0 CHADLO CSc1ccc(Cl)nc1NC(=[NH2+])C(C)(C)C ZINC001162657129 1133102098 /nfs/dbraw/zinc/10/20/98/1133102098.db2.gz GGVCNRUZUZPDJR-UHFFFAOYSA-N 1 2 257.790 3.892 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1ncncc1-c1ccccc1 ZINC001162662183 1133102169 /nfs/dbraw/zinc/10/21/69/1133102169.db2.gz PYOZKUXAYXEDFN-UHFFFAOYSA-N 1 2 254.337 3.579 20 0 CHADLO Cc1cc(NCc2ccc(C(F)(F)F)n2C)c[nH+]c1C ZINC001162752927 1133108635 /nfs/dbraw/zinc/10/86/35/1133108635.db2.gz KWLPQXVFDTZYHD-UHFFFAOYSA-N 1 2 283.297 3.668 20 0 CHADLO CSc1nc(N[C@@H]2CCc3sccc32)cc(C)[nH+]1 ZINC001162760150 1133108703 /nfs/dbraw/zinc/10/87/03/1133108703.db2.gz HTHCWPDRKVTJNQ-SNVBAGLBSA-N 1 2 277.418 3.668 20 0 CHADLO Nc1cc(Cl)[nH+]c(N[C@H]2CCCc3cc(F)ccc32)c1 ZINC001162972302 1133124605 /nfs/dbraw/zinc/12/46/05/1133124605.db2.gz XCBCUHHSVNSBCH-ZDUSSCGKSA-N 1 2 291.757 3.946 20 0 CHADLO Cc1ccc2sc(NCc3ccc(N)[nH+]c3)nc2c1C ZINC001163076437 1133129937 /nfs/dbraw/zinc/12/99/37/1133129937.db2.gz PRKYKWPXJHSQQJ-UHFFFAOYSA-N 1 2 284.388 3.502 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@H](c1ccccc1)c1cccnn1 ZINC001163103759 1133130292 /nfs/dbraw/zinc/13/02/92/1133130292.db2.gz HQINFLYRTHGWJP-MRXNPFEDSA-N 1 2 294.333 3.521 20 0 CHADLO Clc1cnc(NCc2ccc3[nH+]ccn3c2)c(Cl)c1 ZINC001163136513 1133130622 /nfs/dbraw/zinc/13/06/22/1133130622.db2.gz JIESEINUDXTXKX-UHFFFAOYSA-N 1 2 293.157 3.648 20 0 CHADLO Cc1nc(Cl)cc(NCc2ccc3[nH+]ccn3c2)c1C ZINC001163138922 1133131156 /nfs/dbraw/zinc/13/11/56/1133131156.db2.gz FGOQNASMUMVVPQ-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO Fc1cnc(NCc2ccc3[nH+]ccn3c2)c2ccccc12 ZINC001163139777 1133131565 /nfs/dbraw/zinc/13/15/65/1133131565.db2.gz XOZUCFMXYFVBQC-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1cccc(C(F)(F)F)n1 ZINC001163183989 1133133907 /nfs/dbraw/zinc/13/39/07/1133133907.db2.gz XPKMKZZUDGXUTQ-UHFFFAOYSA-N 1 2 285.244 3.555 20 0 CHADLO CSc1cc[nH+]c(N[C@H]2CCc3c2cccc3O)c1 ZINC001163194451 1133135275 /nfs/dbraw/zinc/13/52/75/1133135275.db2.gz CPIXSILBDFKUKD-ZDUSSCGKSA-N 1 2 272.373 3.609 20 0 CHADLO CCc1cc(N[C@@H]2C[C@@H](C)Oc3ccccc32)nc(CC)[nH+]1 ZINC001163340895 1133144015 /nfs/dbraw/zinc/14/40/15/1133144015.db2.gz JQIJTHVFTISUIG-IUODEOHRSA-N 1 2 297.402 3.926 20 0 CHADLO Cc1cc(NC[C@H]2CSc3ccccc32)nc(C2CC2)[nH+]1 ZINC001163372207 1133146357 /nfs/dbraw/zinc/14/63/57/1133146357.db2.gz GKRIRNRZRUFZRL-ZDUSSCGKSA-N 1 2 297.427 3.964 20 0 CHADLO Cc1cccc2c(C)cc(N[C@@H](C)[C@@H]3CCCO3)[nH+]c12 ZINC001163474659 1133151872 /nfs/dbraw/zinc/15/18/72/1133151872.db2.gz OKUKXZJNJDGXBT-ZFWWWQNUSA-N 1 2 270.376 3.831 20 0 CHADLO Cc1cc(C)c(CNc2ncnc3cc(Cl)ccc32)c[nH+]1 ZINC001163499226 1133152589 /nfs/dbraw/zinc/15/25/89/1133152589.db2.gz BJOHZGFTSXKOHR-UHFFFAOYSA-N 1 2 298.777 3.907 20 0 CHADLO CCSc1cc[nH+]c(N2[C@H](C)CCC[C@@H]2C)c1 ZINC001163550852 1133158557 /nfs/dbraw/zinc/15/85/57/1133158557.db2.gz XUWBQGBTUWHEKH-TXEJJXNPSA-N 1 2 250.411 3.961 20 0 CHADLO COC(=O)c1ccc(-c2ccc(N(C)C(C)C)[nH+]c2C)cc1 ZINC001163622845 1133162801 /nfs/dbraw/zinc/16/28/01/1133162801.db2.gz XDHVTAHEUMMHEN-UHFFFAOYSA-N 1 2 298.386 3.688 20 0 CHADLO COc1cc[nH+]cc1NCc1ccc(C(C)C)cc1 ZINC001163821023 1133177045 /nfs/dbraw/zinc/17/70/45/1133177045.db2.gz RYKFLDVHOQWARU-UHFFFAOYSA-N 1 2 256.349 3.826 20 0 CHADLO CSCCC[NH2+]c1cc2c3c(c1)CCCN3CCC2 ZINC001163875710 1133181737 /nfs/dbraw/zinc/18/17/37/1133181737.db2.gz SQAPVUODSUKAPM-UHFFFAOYSA-N 1 2 276.449 3.550 20 0 CHADLO Cc1ccccc1OCCCNc1ccn2cc[nH+]c2c1 ZINC001163976168 1133189958 /nfs/dbraw/zinc/18/99/58/1133189958.db2.gz QHPASUOEAUNUCS-UHFFFAOYSA-N 1 2 281.359 3.524 20 0 CHADLO CSc1cc[nH+]c(N2CC[C@H](C)C(F)(F)CC2)c1 ZINC001164118731 1133198926 /nfs/dbraw/zinc/19/89/26/1133198926.db2.gz XZKTWWWABMKEQS-JTQLQIEISA-N 1 2 272.364 3.675 20 0 CHADLO CNc1ccc(CNc2cc3cc(C)[nH]c3c[nH+]2)cc1 ZINC001164151514 1133201369 /nfs/dbraw/zinc/20/13/69/1133201369.db2.gz YXRANNNKOSMQAJ-UHFFFAOYSA-N 1 2 266.348 3.525 20 0 CHADLO COc1ccc(CCCNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC001164188975 1133204662 /nfs/dbraw/zinc/20/46/62/1133204662.db2.gz PBHOLXNXSLZFMI-UHFFFAOYSA-N 1 2 281.359 3.616 20 0 CHADLO C[C@@]1(F)CCN(c2[nH+]ccc3ccccc32)C[C@H]1F ZINC001164325284 1133212850 /nfs/dbraw/zinc/21/28/50/1133212850.db2.gz BQIWJJUHTSVDLK-UKRRQHHQSA-N 1 2 262.303 3.511 20 0 CHADLO CC1(C)CN(c2cc(-c3ccccc3)cc[nH+]2)[C@@](C)(CO)C1 ZINC001164355766 1133216174 /nfs/dbraw/zinc/21/61/74/1133216174.db2.gz BPMUJAXGMZEJRD-LJQANCHMSA-N 1 2 296.414 3.736 20 0 CHADLO CC(C)(C)c1ccc(N2CCC(OC3CCC3)CC2)[nH+]c1 ZINC001164581811 1133225004 /nfs/dbraw/zinc/22/50/04/1133225004.db2.gz HHAWNGMHFZJJPA-UHFFFAOYSA-N 1 2 288.435 3.917 20 0 CHADLO Cc1ccc(Nc2ccc(F)c3c2OCCC3=O)c(C)[nH+]1 ZINC001203381331 1133225608 /nfs/dbraw/zinc/22/56/08/1133225608.db2.gz UOEANFDQGZZJNA-UHFFFAOYSA-N 1 2 286.306 3.546 20 0 CHADLO COC(=O)c1cc(F)c(C)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203381480 1133225625 /nfs/dbraw/zinc/22/56/25/1133225625.db2.gz ZQEKFAQRBQOWGG-UHFFFAOYSA-N 1 2 288.322 3.676 20 0 CHADLO Cc1ccc(Nc2cc(C(=O)NC3CC3)ccc2C)c(C)[nH+]1 ZINC001203381463 1133225700 /nfs/dbraw/zinc/22/57/00/1133225700.db2.gz YWYOACHBXFCVAZ-UHFFFAOYSA-N 1 2 295.386 3.643 20 0 CHADLO Cc1nc(N2CCC(F)(F)C(C)(C)C2)cc(C(C)C)[nH+]1 ZINC001164675381 1133226709 /nfs/dbraw/zinc/22/67/09/1133226709.db2.gz HVMPTPREKJYPQX-UHFFFAOYSA-N 1 2 283.366 3.780 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)c(F)c1F ZINC001214976875 1133228084 /nfs/dbraw/zinc/22/80/84/1133228084.db2.gz NLGCDDXBNZUGJP-UHFFFAOYSA-N 1 2 276.286 3.923 20 0 CHADLO Cc1cc(N2CCC(F)(F)C(C)(C)C2)nc(C2CC2)[nH+]1 ZINC001165217345 1133229776 /nfs/dbraw/zinc/22/97/76/1133229776.db2.gz YVRIYYOYWRKSJN-UHFFFAOYSA-N 1 2 281.350 3.534 20 0 CHADLO Cc1cc(N2CCC3(CC(F)C3)CC2)nc(C(C)C)[nH+]1 ZINC001165310626 1133238820 /nfs/dbraw/zinc/23/88/20/1133238820.db2.gz YUGROYHAOQBXEM-UHFFFAOYSA-N 1 2 277.387 3.627 20 0 CHADLO Cc1ccc(CN(C)c2cccc(C3CC3)[nH+]2)cc1 ZINC001166539114 1133269523 /nfs/dbraw/zinc/26/95/23/1133269523.db2.gz QMSQTUQBGGRKQM-UHFFFAOYSA-N 1 2 252.361 3.904 20 0 CHADLO COCc1cc[nH+]c(N2CCC[C@H](Cc3ccccc3)C2)c1 ZINC001166562959 1133270261 /nfs/dbraw/zinc/27/02/61/1133270261.db2.gz IXBFMROZQXUGKU-QGZVFWFLSA-N 1 2 296.414 3.687 20 0 CHADLO c1ccc([C@@H]2CN(c3[nH+]ccc4ccccc43)CCO2)cc1 ZINC001166677184 1133281184 /nfs/dbraw/zinc/28/11/84/1133281184.db2.gz MGJDSNVVMSKMSK-SFHVURJKSA-N 1 2 290.366 3.813 20 0 CHADLO CC(C)c1ccc(N2CCO[C@@H](c3ccccc3)C2)[nH+]c1 ZINC001166680742 1133281302 /nfs/dbraw/zinc/28/13/02/1133281302.db2.gz VKJYTCPOSPOKKS-QGZVFWFLSA-N 1 2 282.387 3.783 20 0 CHADLO c1cc2c(s1)CN(c1cccc(C3CC3)[nH+]1)CC2 ZINC001166683738 1133283074 /nfs/dbraw/zinc/28/30/74/1133283074.db2.gz CQHFQFRSNUQECA-UHFFFAOYSA-N 1 2 256.374 3.583 20 0 CHADLO Cc1nc(N(C)CCc2ccc(F)cc2)cc(C(C)C)[nH+]1 ZINC001166820195 1133288866 /nfs/dbraw/zinc/28/88/66/1133288866.db2.gz PDLHVEPSVPEDQX-UHFFFAOYSA-N 1 2 287.382 3.726 20 0 CHADLO CCc1cn(Cc2cc3ccccc3nc2Cl)c[nH+]1 ZINC001166823525 1133291102 /nfs/dbraw/zinc/29/11/02/1133291102.db2.gz WCCNXTTVINCTEN-UHFFFAOYSA-N 1 2 271.751 3.695 20 0 CHADLO Cc1nc2c(ccn2C)c(NCc2ccccc2C2CC2)[nH+]1 ZINC001167012377 1133299506 /nfs/dbraw/zinc/29/95/06/1133299506.db2.gz LCKFGWQHQKADDH-UHFFFAOYSA-N 1 2 292.386 3.766 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)c(F)c1 ZINC001203374114 1133320049 /nfs/dbraw/zinc/32/00/49/1133320049.db2.gz FLISJFUMYULAAS-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO COC(=O)c1cc(Nc2ccc(C)[nH+]c2C)ccc1Cl ZINC001203376090 1133320298 /nfs/dbraw/zinc/32/02/98/1133320298.db2.gz JYQOBJBVOZOXHB-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1ccc(Nc2cc(Br)ncc2F)c(C)[nH+]1 ZINC001203370529 1133320459 /nfs/dbraw/zinc/32/04/59/1133320459.db2.gz NJTUEEQYHKMAPS-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1ccc(Nc2cn(C)c3ccccc23)c(C)[nH+]1 ZINC001203415231 1133325409 /nfs/dbraw/zinc/32/54/09/1133325409.db2.gz VFLKKGOSRKSYGU-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO CNc1ccc(Nc2cc(Cl)ncc2Cl)c[nH+]1 ZINC001203449970 1133330135 /nfs/dbraw/zinc/33/01/35/1133330135.db2.gz POUBHDIEJZNHAV-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO CNc1ccc(Nc2c(C)c(C)c(N)c(C)c2C)c[nH+]1 ZINC001203462043 1133330506 /nfs/dbraw/zinc/33/05/06/1133330506.db2.gz GQPKMYUSDDGLLA-UHFFFAOYSA-N 1 2 270.380 3.683 20 0 CHADLO CNc1ccc(Nc2ccc(O)cc2C(F)(F)F)c[nH+]1 ZINC001203460458 1133330922 /nfs/dbraw/zinc/33/09/22/1133330922.db2.gz WBWGUZFKTZSONL-UHFFFAOYSA-N 1 2 283.253 3.591 20 0 CHADLO CNc1ccc(Nc2cc(F)c(Cl)cc2C(C)=O)c[nH+]1 ZINC001203460886 1133330937 /nfs/dbraw/zinc/33/09/37/1133330937.db2.gz YIOXVNRPQJHFOF-UHFFFAOYSA-N 1 2 293.729 3.862 20 0 CHADLO CNc1ccc(Nc2ccc(Cl)c(OC)c2)c[nH+]1 ZINC001203458860 1133331110 /nfs/dbraw/zinc/33/11/10/1133331110.db2.gz RZUVMSCSRNGXHK-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO CNc1ccc(Nc2ccc(-c3ncco3)cc2)c[nH+]1 ZINC001203461858 1133331681 /nfs/dbraw/zinc/33/16/81/1133331681.db2.gz BLWCDRKWMHFYMV-UHFFFAOYSA-N 1 2 266.304 3.522 20 0 CHADLO CNc1ccc(Nc2cc(F)cc3cccnc32)c[nH+]1 ZINC001203458853 1133331725 /nfs/dbraw/zinc/33/17/25/1133331725.db2.gz RSEFQAYEILZBLF-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncc(Cl)nc2Cl)c1 ZINC001203654369 1133346820 /nfs/dbraw/zinc/34/68/20/1133346820.db2.gz IIJJTTTXSYQLGP-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO CCOC(=O)c1ccsc1Nc1cc(C)c[nH+]c1C ZINC001203653664 1133347703 /nfs/dbraw/zinc/34/77/03/1133347703.db2.gz RUGSJCGJIUDVMO-UHFFFAOYSA-N 1 2 276.361 3.680 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncc(F)cc2Cl)c1 ZINC001203656949 1133349042 /nfs/dbraw/zinc/34/90/42/1133349042.db2.gz FIZYRMRTLNVPHH-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(Br)c(F)c2)c1 ZINC001203661689 1133349192 /nfs/dbraw/zinc/34/91/92/1133349192.db2.gz YVJPQMDLAMMQAZ-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c[nH]c(=O)c(C(F)(F)F)c2)c1 ZINC001203657345 1133349335 /nfs/dbraw/zinc/34/93/35/1133349335.db2.gz LLRXERBLFPOOKA-UHFFFAOYSA-N 1 2 283.253 3.561 20 0 CHADLO COc1cccc(-n2nccc2Nc2cc(C)c[nH+]c2C)c1 ZINC001203658178 1133349734 /nfs/dbraw/zinc/34/97/34/1133349734.db2.gz ZRCFQKVXDQRUJI-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(Cl)c(Cl)cc2N)c1 ZINC001203661643 1133349915 /nfs/dbraw/zinc/34/99/15/1133349915.db2.gz XXOKNKRPOJHQHJ-UHFFFAOYSA-N 1 2 283.162 3.726 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Br)cnc2C)c1 ZINC001203655832 1133350452 /nfs/dbraw/zinc/35/04/52/1133350452.db2.gz CNQIQUUQGZTSNR-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc3cc(C4CCC4)nn3c2)c1 ZINC001203657305 1133350462 /nfs/dbraw/zinc/35/04/62/1133350462.db2.gz KZUVSGZCMJTRCH-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1nn(C)c2ccc(Nc3cc(C)c[nH+]c3C)cc12 ZINC001203663829 1133350687 /nfs/dbraw/zinc/35/06/87/1133350687.db2.gz UEQMLCFIKUCLAK-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(Cl)c(CO)c2)c1 ZINC001203667161 1133351072 /nfs/dbraw/zinc/35/10/72/1133351072.db2.gz JZPRTELXAVGLTR-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Clc1cc(Nc2ccc3cncnc3c2)cn2cc[nH+]c12 ZINC001203701078 1133355141 /nfs/dbraw/zinc/35/51/41/1133355141.db2.gz FIOMHRGGDUSYAW-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3cncnc3c2)c1 ZINC001203707865 1133355930 /nfs/dbraw/zinc/35/59/30/1133355930.db2.gz PRWJXCYIEDWQFV-UHFFFAOYSA-N 1 2 264.332 3.721 20 0 CHADLO CCOc1cnc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001203718167 1133358139 /nfs/dbraw/zinc/35/81/39/1133358139.db2.gz UAPWWTNTFWBPOB-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO Nc1cccc(O)c1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203724650 1133358665 /nfs/dbraw/zinc/35/86/65/1133358665.db2.gz UOEGYMDSCYQNSI-UHFFFAOYSA-N 1 2 283.375 3.708 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cc(F)cc2[nH]ccc21 ZINC001203725297 1133360012 /nfs/dbraw/zinc/36/00/12/1133360012.db2.gz FMBKZRMFGGCALM-UHFFFAOYSA-N 1 2 280.306 3.937 20 0 CHADLO Cc1ccc(C)c(C[N@@H+]2CCC[C@H](F)C(F)(F)C2)c1 ZINC001203743179 1133363510 /nfs/dbraw/zinc/36/35/10/1133363510.db2.gz NKXHAIPFICIMNF-AWEZNQCLSA-N 1 2 271.326 3.873 20 0 CHADLO Cc1ccc(C)c(C[N@H+]2CCC[C@H](F)C(F)(F)C2)c1 ZINC001203743179 1133363513 /nfs/dbraw/zinc/36/35/13/1133363513.db2.gz NKXHAIPFICIMNF-AWEZNQCLSA-N 1 2 271.326 3.873 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCCC(F)(F)C2)c(C)c1 ZINC001203745939 1133364398 /nfs/dbraw/zinc/36/43/98/1133364398.db2.gz XEFAIYBVYLNTCT-UHFFFAOYSA-N 1 2 253.336 3.843 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCCC(F)(F)C2)c(C)c1 ZINC001203745939 1133364403 /nfs/dbraw/zinc/36/44/03/1133364403.db2.gz XEFAIYBVYLNTCT-UHFFFAOYSA-N 1 2 253.336 3.843 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc(Cl)cc2)C[C@@H]1F ZINC001203919239 1133384993 /nfs/dbraw/zinc/38/49/93/1133384993.db2.gz RSPAYDBJLAZRCP-STQMWFEESA-N 1 2 259.727 3.612 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc(Cl)cc2)C[C@@H]1F ZINC001203919239 1133385000 /nfs/dbraw/zinc/38/50/00/1133385000.db2.gz RSPAYDBJLAZRCP-STQMWFEESA-N 1 2 259.727 3.612 20 0 CHADLO CSCc1cnc(C[NH2+][C@@H](C)c2ncccc2C)s1 ZINC001204038168 1133402879 /nfs/dbraw/zinc/40/28/79/1133402879.db2.gz KRFSLCSKRRVARX-NSHDSACASA-N 1 2 293.461 3.560 20 0 CHADLO Fc1ccc([C@@H]2CSCC[N@@H+]2Cc2ccccn2)cc1 ZINC001204059742 1133404893 /nfs/dbraw/zinc/40/48/93/1133404893.db2.gz KETZJTQHAKGRPP-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ccc([C@@H]2CSCC[N@H+]2Cc2ccccn2)cc1 ZINC001204059742 1133404895 /nfs/dbraw/zinc/40/48/95/1133404895.db2.gz KETZJTQHAKGRPP-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc(F)cc(F)c1)CC2 ZINC001204228124 1133421584 /nfs/dbraw/zinc/42/15/84/1133421584.db2.gz SILOMXUXUFGDOQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc(F)cc(F)c1)CC2 ZINC001204228124 1133421589 /nfs/dbraw/zinc/42/15/89/1133421589.db2.gz SILOMXUXUFGDOQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[C@H]1C(=O)CC[N@H+](Cc2cc(F)cc(F)c2)C12CCCC2 ZINC001204233562 1133422809 /nfs/dbraw/zinc/42/28/09/1133422809.db2.gz RKDWVAVGUUYVKW-LBPRGKRZSA-N 1 2 293.357 3.689 20 0 CHADLO C[C@H]1C(=O)CC[N@@H+](Cc2cc(F)cc(F)c2)C12CCCC2 ZINC001204233562 1133422815 /nfs/dbraw/zinc/42/28/15/1133422815.db2.gz RKDWVAVGUUYVKW-LBPRGKRZSA-N 1 2 293.357 3.689 20 0 CHADLO Fc1ccc(C[NH+]2CC3(C2)C[C@H](F)CS3)cc1Cl ZINC001204285000 1133428271 /nfs/dbraw/zinc/42/82/71/1133428271.db2.gz GTXQHXCAKFABEZ-JTQLQIEISA-N 1 2 289.778 3.509 20 0 CHADLO CCCCCCOc1ccccc1C[N@H+](C)CC(C)=O ZINC001204297415 1133431145 /nfs/dbraw/zinc/43/11/45/1133431145.db2.gz IXKBPRGDVFMVMR-UHFFFAOYSA-N 1 2 277.408 3.667 20 0 CHADLO CCCCCCOc1ccccc1C[N@@H+](C)CC(C)=O ZINC001204297415 1133431147 /nfs/dbraw/zinc/43/11/47/1133431147.db2.gz IXKBPRGDVFMVMR-UHFFFAOYSA-N 1 2 277.408 3.667 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)s1)C2 ZINC001204440898 1133447791 /nfs/dbraw/zinc/44/77/91/1133447791.db2.gz TZBAPSKZZPWDKQ-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)s1)C2 ZINC001204440898 1133447795 /nfs/dbraw/zinc/44/77/95/1133447795.db2.gz TZBAPSKZZPWDKQ-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO Cc1[nH+]c[nH]c1CN(C)Cc1ccc(Cl)cc1Cl ZINC001204589233 1133464389 /nfs/dbraw/zinc/46/43/89/1133464389.db2.gz XHONBTISDAFZIS-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(C)c(Cl)c2)C[C@@H](C)O1 ZINC001204899167 1133497386 /nfs/dbraw/zinc/49/73/86/1133497386.db2.gz OHJDAKLEPDCPMM-TZMCWYRMSA-N 1 2 267.800 3.648 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(C)c(Cl)c2)C[C@@H](C)O1 ZINC001204899167 1133497387 /nfs/dbraw/zinc/49/73/87/1133497387.db2.gz OHJDAKLEPDCPMM-TZMCWYRMSA-N 1 2 267.800 3.648 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ccc(C)c(Cl)c2)C[C@H](C)O1 ZINC001204899165 1133497515 /nfs/dbraw/zinc/49/75/15/1133497515.db2.gz OHJDAKLEPDCPMM-JSGCOSHPSA-N 1 2 267.800 3.648 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ccc(C)c(Cl)c2)C[C@H](C)O1 ZINC001204899165 1133497517 /nfs/dbraw/zinc/49/75/17/1133497517.db2.gz OHJDAKLEPDCPMM-JSGCOSHPSA-N 1 2 267.800 3.648 20 0 CHADLO Fc1cccc2ncc(Nc3ccn4cc[nH+]c4c3)cc12 ZINC001204966496 1133509215 /nfs/dbraw/zinc/50/92/15/1133509215.db2.gz VMWHTVULVGMLPL-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO Cc1cc(Nc2ccn3cc[nH+]c3c2)c2ccsc2n1 ZINC001204972037 1133510257 /nfs/dbraw/zinc/51/02/57/1133510257.db2.gz VFUFHOQGCZNTLI-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO CCOC(=O)c1ccc(C)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204983637 1133512373 /nfs/dbraw/zinc/51/23/73/1133512373.db2.gz YAKLBRSBZNRKOQ-UHFFFAOYSA-N 1 2 295.342 3.563 20 0 CHADLO COc1cc(Cl)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001204983068 1133513153 /nfs/dbraw/zinc/51/31/53/1133513153.db2.gz OITBUKJFICSFIW-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccn4ccnc4c3)ccc12 ZINC001204990998 1133513810 /nfs/dbraw/zinc/51/38/10/1133513810.db2.gz JZYWOFCYKRVWOD-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO c1cn2ccc(Nc3ccc(OCC4CC4)cc3)cc2[nH+]1 ZINC001204991733 1133514259 /nfs/dbraw/zinc/51/42/59/1133514259.db2.gz RWWRBZMUSYONAJ-UHFFFAOYSA-N 1 2 279.343 3.867 20 0 CHADLO Nc1cc(Cl)cc2c1ccn2-c1ccn2cc[nH+]c2c1 ZINC001204990944 1133514449 /nfs/dbraw/zinc/51/44/49/1133514449.db2.gz JNKSNHABPSWOEJ-UHFFFAOYSA-N 1 2 282.734 3.514 20 0 CHADLO Fc1ccc(Nc2ccn3cc[nH+]c3c2)c2ccncc12 ZINC001204996918 1133515033 /nfs/dbraw/zinc/51/50/33/1133515033.db2.gz ALSQIPJZWRYTPT-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO CCc1ccc(OC)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204997649 1133515412 /nfs/dbraw/zinc/51/54/12/1133515412.db2.gz LVZVYFFKJSNSFS-UHFFFAOYSA-N 1 2 267.332 3.649 20 0 CHADLO COCOc1cc(C)ccc1Nc1ccc(C)[nH+]c1C ZINC001205430164 1133577152 /nfs/dbraw/zinc/57/71/52/1133577152.db2.gz ZOEJPPCNTSXNCK-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc(C)ccc1F)C2 ZINC001205648445 1133598218 /nfs/dbraw/zinc/59/82/18/1133598218.db2.gz RWXIMJGXBAQRBZ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc(C)ccc1F)C2 ZINC001205648445 1133598221 /nfs/dbraw/zinc/59/82/21/1133598221.db2.gz RWXIMJGXBAQRBZ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO CCOc1c(F)c(F)ccc1-c1cn2cc[nH+]c2cc1C ZINC001205810415 1133617417 /nfs/dbraw/zinc/61/74/17/1133617417.db2.gz LXJBGBABIUYRSE-UHFFFAOYSA-N 1 2 288.297 3.987 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CC[C@@H](C)C[C@@H]2C)c(C)[nH+]1 ZINC001205900117 1133628474 /nfs/dbraw/zinc/62/84/74/1133628474.db2.gz VAQLOBABZLGFTH-JRPNMDOOSA-N 1 2 289.423 3.953 20 0 CHADLO CC(C)[C@H]1[N@H+](Cc2cnc(Cl)c(F)c2)CC12CCC2 ZINC001206032398 1133645467 /nfs/dbraw/zinc/64/54/67/1133645467.db2.gz SHBITZVOXQXKJV-CYBMUJFWSA-N 1 2 282.790 3.885 20 0 CHADLO CC(C)[C@H]1[N@@H+](Cc2cnc(Cl)c(F)c2)CC12CCC2 ZINC001206032398 1133645470 /nfs/dbraw/zinc/64/54/70/1133645470.db2.gz SHBITZVOXQXKJV-CYBMUJFWSA-N 1 2 282.790 3.885 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)c[nH+]1)[C@@H]1C[C@H]1C1CC1 ZINC001206376955 1133695572 /nfs/dbraw/zinc/69/55/72/1133695572.db2.gz OPTLOXVLZVEQLZ-RCBQFDQVSA-N 1 2 271.408 3.528 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)cc(F)c2F)c[nH+]1 ZINC001206383517 1133696679 /nfs/dbraw/zinc/69/66/79/1133696679.db2.gz VEXZCQATUWERTA-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO CCCOc1ccc(F)c(-c2[nH+]ccc(N)c2C)c1F ZINC001206721383 1133735117 /nfs/dbraw/zinc/73/51/17/1133735117.db2.gz CCBQZTJPVNXBHL-UHFFFAOYSA-N 1 2 278.302 3.706 20 0 CHADLO CO[C@H](CNc1ccc(Nc2ccccc2)c[nH+]1)C1CC1 ZINC001206728673 1133737103 /nfs/dbraw/zinc/73/71/03/1133737103.db2.gz UHMOUKKUBCEKIO-MRXNPFEDSA-N 1 2 283.375 3.662 20 0 CHADLO CC[N@H+](Cc1csc(C)n1)Cc1ccc(F)cc1 ZINC001206802900 1133751521 /nfs/dbraw/zinc/75/15/21/1133751521.db2.gz VYCRAGUQPBBDFR-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1csc(C)n1)Cc1ccc(F)cc1 ZINC001206802900 1133751525 /nfs/dbraw/zinc/75/15/25/1133751525.db2.gz VYCRAGUQPBBDFR-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2ccccc2F)cs1 ZINC001206801990 1133751844 /nfs/dbraw/zinc/75/18/44/1133751844.db2.gz SYOBBYZGPHJOQD-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2ccccc2F)cs1 ZINC001206801990 1133751846 /nfs/dbraw/zinc/75/18/46/1133751846.db2.gz SYOBBYZGPHJOQD-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO c1[nH+]c(CN2CCC[C@@H]2c2ccccc2)n2ccccc12 ZINC001207051649 1133763272 /nfs/dbraw/zinc/76/32/72/1133763272.db2.gz OLJZHUZVTLPSLH-QGZVFWFLSA-N 1 2 277.371 3.671 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCCC[C@@H]1c1cccc(OC)c1 ZINC001207145436 1133772262 /nfs/dbraw/zinc/77/22/62/1133772262.db2.gz FMEVPONLEFWCHN-QGZVFWFLSA-N 1 2 299.418 3.639 20 0 CHADLO CCn1ccnc1C[N@H+]1CCCC[C@@H]1c1cccc(OC)c1 ZINC001207145436 1133772266 /nfs/dbraw/zinc/77/22/66/1133772266.db2.gz FMEVPONLEFWCHN-QGZVFWFLSA-N 1 2 299.418 3.639 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CCC[C@H]1c1c(F)cccc1F ZINC001207138809 1133772571 /nfs/dbraw/zinc/77/25/71/1133772571.db2.gz ATMBEBHWHRQERD-HNNXBMFYSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CCC[C@H]1c1c(F)cccc1F ZINC001207138809 1133772577 /nfs/dbraw/zinc/77/25/77/1133772577.db2.gz ATMBEBHWHRQERD-HNNXBMFYSA-N 1 2 292.329 3.907 20 0 CHADLO CCC[C@@H](CNc1[nH+]cccc1CSCC)OC ZINC001207283037 1133787872 /nfs/dbraw/zinc/78/78/72/1133787872.db2.gz MEJGMYOYBQDTFI-ZDUSSCGKSA-N 1 2 268.426 3.562 20 0 CHADLO CC(C)SCC[NH2+]CC(F)(F)c1cc(F)cc(F)c1 ZINC001207301540 1133790928 /nfs/dbraw/zinc/79/09/28/1133790928.db2.gz IAGOUKILVOOOIR-UHFFFAOYSA-N 1 2 295.345 3.788 20 0 CHADLO Cc1cc(N[C@H]2Cc3ccc(Cl)cc3C2)c[nH+]c1C ZINC001207325419 1133791512 /nfs/dbraw/zinc/79/15/12/1133791512.db2.gz FYKCCXOGACDSEL-HNNXBMFYSA-N 1 2 272.779 3.931 20 0 CHADLO FC(F)[C@H]([NH2+][C@@H]1CCCOCC1)c1cccc(Cl)c1 ZINC001207552557 1133818650 /nfs/dbraw/zinc/81/86/50/1133818650.db2.gz AEEYNSWFJPRSFQ-CHWSQXEVSA-N 1 2 289.753 3.805 20 0 CHADLO Cc1cc(C)c2cc(N[C@@H](C)CCn3cccn3)ccc2[nH+]1 ZINC001207554425 1133819944 /nfs/dbraw/zinc/81/99/44/1133819944.db2.gz VRKTTXYKWBJWER-AWEZNQCLSA-N 1 2 294.402 3.939 20 0 CHADLO F[C@@H]1C[NH+](CCCc2cccc(C(F)(F)F)c2)C[C@H]1F ZINC001207842344 1133853817 /nfs/dbraw/zinc/85/38/17/1133853817.db2.gz WHYAIXZIAHPRIH-CHWSQXEVSA-N 1 2 293.279 3.630 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](C[C@@H]1CCC(C)=C[C@H]1C)C2 ZINC001208168733 1133894914 /nfs/dbraw/zinc/89/49/14/1133894914.db2.gz LEQKITOCOYTJRR-YPMHNXCESA-N 1 2 262.422 3.760 20 0 CHADLO Cc1nc2c(s1)C[N@H+](C[C@@H]1CCC(C)=C[C@H]1C)C2 ZINC001208168733 1133894917 /nfs/dbraw/zinc/89/49/17/1133894917.db2.gz LEQKITOCOYTJRR-YPMHNXCESA-N 1 2 262.422 3.760 20 0 CHADLO c1cn2c(cccc2NC2=CCN(c3ccccc3)CC2)[nH+]1 ZINC001209000743 1133969877 /nfs/dbraw/zinc/96/98/77/1133969877.db2.gz GWHGDPUYJQOVME-UHFFFAOYSA-N 1 2 290.370 3.540 20 0 CHADLO Clc1ccc(CC[N@@H+]2Cc3ccncc3C2)c(Cl)c1 ZINC001209082509 1133974017 /nfs/dbraw/zinc/97/40/17/1133974017.db2.gz VIPPWJSFJMRZDL-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Clc1ccc(CC[N@H+]2Cc3ccncc3C2)c(Cl)c1 ZINC001209082509 1133974025 /nfs/dbraw/zinc/97/40/25/1133974025.db2.gz VIPPWJSFJMRZDL-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO F[C@H]1CC[N@H+](CCc2c(Cl)cccc2Cl)C1 ZINC001209104744 1133976321 /nfs/dbraw/zinc/97/63/21/1133976321.db2.gz FNUMEFXYCMPJQY-VIFPVBQESA-N 1 2 262.155 3.580 20 0 CHADLO F[C@H]1CC[N@@H+](CCc2c(Cl)cccc2Cl)C1 ZINC001209104744 1133976325 /nfs/dbraw/zinc/97/63/25/1133976325.db2.gz FNUMEFXYCMPJQY-VIFPVBQESA-N 1 2 262.155 3.580 20 0 CHADLO CCc1cncc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001209166931 1133983808 /nfs/dbraw/zinc/98/38/08/1133983808.db2.gz UPHSUXNCQLJJCO-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO C[C@H]1C[N@H+](CCc2ccccc2C(F)(F)F)CCCC1=O ZINC001209280584 1133999746 /nfs/dbraw/zinc/99/97/46/1133999746.db2.gz UAUXQFZVDZJWEM-LBPRGKRZSA-N 1 2 299.336 3.549 20 0 CHADLO C[C@H]1C[N@@H+](CCc2ccccc2C(F)(F)F)CCCC1=O ZINC001209280584 1133999750 /nfs/dbraw/zinc/99/97/50/1133999750.db2.gz UAUXQFZVDZJWEM-LBPRGKRZSA-N 1 2 299.336 3.549 20 0 CHADLO C[N@H+](C/C=C/c1ccccc1)Cc1cnc(Cl)s1 ZINC001209473959 1134025974 /nfs/dbraw/zinc/02/59/74/1134025974.db2.gz VDBXWQNLCPZIIY-VMPITWQZSA-N 1 2 278.808 3.942 20 0 CHADLO C[N@@H+](C/C=C/c1ccccc1)Cc1cnc(Cl)s1 ZINC001209473959 1134025979 /nfs/dbraw/zinc/02/59/79/1134025979.db2.gz VDBXWQNLCPZIIY-VMPITWQZSA-N 1 2 278.808 3.942 20 0 CHADLO COc1cc(C[NH+]2CCC(C)(F)CC2)c(F)cc1Cl ZINC001209662044 1134046714 /nfs/dbraw/zinc/04/67/14/1134046714.db2.gz IXVWWPAJKFXIFI-UHFFFAOYSA-N 1 2 289.753 3.812 20 0 CHADLO CC1(C)C[N@H+](Cc2cc3cc(Cl)ccc3[nH]2)CCC1=O ZINC001209721807 1134052935 /nfs/dbraw/zinc/05/29/35/1134052935.db2.gz PVDFUUXFWONHFR-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc3cc(Cl)ccc3[nH]2)CCC1=O ZINC001209721807 1134052940 /nfs/dbraw/zinc/05/29/40/1134052940.db2.gz PVDFUUXFWONHFR-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO FC(F)C1CC[NH+](Cc2n[nH]c3ccc(Cl)cc32)CC1 ZINC001209759571 1134059853 /nfs/dbraw/zinc/05/98/53/1134059853.db2.gz QLENPCYVQLWVMO-UHFFFAOYSA-N 1 2 299.752 3.693 20 0 CHADLO COc1ccc(C)c(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001209839440 1134075698 /nfs/dbraw/zinc/07/56/98/1134075698.db2.gz RSLLJHCFLVNEPG-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO OCc1cc(Nc2cccc(C3CCC3)[nH+]2)ccc1F ZINC001209874335 1134091912 /nfs/dbraw/zinc/09/19/12/1134091912.db2.gz FVBIWYYOBFYOIN-UHFFFAOYSA-N 1 2 272.323 3.724 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(Cl)cc2OC)c[nH+]1 ZINC001209907835 1134100153 /nfs/dbraw/zinc/10/01/53/1134100153.db2.gz AQNKTMISFYYASB-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO COc1ccc(N(C)c2[nH+]cccc2N)c2ccccc12 ZINC001209924502 1134103562 /nfs/dbraw/zinc/10/35/62/1134103562.db2.gz TXOUTPNTWAKHRZ-UHFFFAOYSA-N 1 2 279.343 3.594 20 0 CHADLO Cc1cc(F)ncc1Nc1ccc([NH+](C)C)c(C)c1 ZINC001210039937 1134130583 /nfs/dbraw/zinc/13/05/83/1134130583.db2.gz HTKUJUQZVFSKKA-UHFFFAOYSA-N 1 2 259.328 3.647 20 0 CHADLO Cc1cn2cccc(Nc3ccc(N(C)C)c(C)c3)c2[nH+]1 ZINC001210040201 1134131043 /nfs/dbraw/zinc/13/10/43/1134131043.db2.gz STDLNTXQEVBORS-UHFFFAOYSA-N 1 2 280.375 3.761 20 0 CHADLO Cc1ccc(CO)cc1Nc1ccc([NH+](C)C)c(C)c1 ZINC001210040795 1134131165 /nfs/dbraw/zinc/13/11/65/1134131165.db2.gz BLXROOCPQWKPHW-UHFFFAOYSA-N 1 2 270.376 3.605 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)OCCO3)ccc1[NH+](C)C ZINC001210040785 1134131343 /nfs/dbraw/zinc/13/13/43/1134131343.db2.gz BCNQHXOOLFJLOX-UHFFFAOYSA-N 1 2 284.359 3.576 20 0 CHADLO Cc1cc(Nc2ccc3nn(C)cc3c2)ccc1[NH+](C)C ZINC001210042027 1134132364 /nfs/dbraw/zinc/13/23/64/1134132364.db2.gz UQVQGXDUAGUWHR-UHFFFAOYSA-N 1 2 280.375 3.691 20 0 CHADLO Cc1cc(Nc2ccc(Cl)c(CO)c2)ccc1[NH+](C)C ZINC001210045339 1134132967 /nfs/dbraw/zinc/13/29/67/1134132967.db2.gz RIZVNGFSEFDSPR-UHFFFAOYSA-N 1 2 290.794 3.950 20 0 CHADLO c1cc2c(ncnc2Nc2ccc3c[nH+]ccc3c2)s1 ZINC001210044962 1134133023 /nfs/dbraw/zinc/13/30/23/1134133023.db2.gz RWFWIQNHECXHHH-UHFFFAOYSA-N 1 2 278.340 3.983 20 0 CHADLO Cc1cc(CO)cc(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210044981 1134133248 /nfs/dbraw/zinc/13/32/48/1134133248.db2.gz QLUDHXILJPZPLZ-UHFFFAOYSA-N 1 2 270.376 3.605 20 0 CHADLO Oc1c(Cl)nccc1Nc1ccc2c[nH+]ccc2c1 ZINC001210046640 1134134141 /nfs/dbraw/zinc/13/41/41/1134134141.db2.gz KQOYJUTXPMGXKI-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO CCOc1ncc(Nc2cccc3cc[nH+]cc32)cc1F ZINC001210223625 1134171700 /nfs/dbraw/zinc/17/17/00/1134171700.db2.gz AUAMXPZUQVKJOL-UHFFFAOYSA-N 1 2 283.306 3.911 20 0 CHADLO CC(=O)c1ncccc1Nc1cccc2cc[nH+]cc21 ZINC001210225871 1134172614 /nfs/dbraw/zinc/17/26/14/1134172614.db2.gz MSEGCNPJOVDTGD-UHFFFAOYSA-N 1 2 263.300 3.576 20 0 CHADLO c1cc2cc[nH+]cc2c(Nc2cnc(OCC3CC3)nc2)c1 ZINC001210226101 1134172837 /nfs/dbraw/zinc/17/28/37/1134172837.db2.gz RNUZXVYLTBUSRP-UHFFFAOYSA-N 1 2 292.342 3.557 20 0 CHADLO Oc1cc(Nc2cccc3cc[nH+]cc32)c(F)cc1F ZINC001210231237 1134173501 /nfs/dbraw/zinc/17/35/01/1134173501.db2.gz KCOYINDBBXTVRY-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO O=C1Cc2cc(F)cc(Nc3cccc4cc[nH+]cc43)c2N1 ZINC001210232122 1134173627 /nfs/dbraw/zinc/17/36/27/1134173627.db2.gz MFQRDDXSHZBJND-UHFFFAOYSA-N 1 2 293.301 3.612 20 0 CHADLO Cn1cnc2c1cccc2Nc1cccc2cc[nH+]cc21 ZINC001210232691 1134174692 /nfs/dbraw/zinc/17/46/92/1134174692.db2.gz NRJHQCDTZAOLOZ-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO O[C@H]1CCOc2c(Nc3cccc4cc[nH+]cc43)cccc21 ZINC001210233339 1134174789 /nfs/dbraw/zinc/17/47/89/1134174789.db2.gz VRVKRELEFWKLIX-KRWDZBQOSA-N 1 2 292.338 3.794 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2C(C)C)cc1CO ZINC001210248053 1134178527 /nfs/dbraw/zinc/17/85/27/1134178527.db2.gz JPTZDDPPFMRLPB-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Cl)c3cccnc23)cc1N ZINC001210290613 1134186235 /nfs/dbraw/zinc/18/62/35/1134186235.db2.gz AFBYALGQLJAMQZ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)ccc2Cl)cc1N ZINC001210288558 1134186379 /nfs/dbraw/zinc/18/63/79/1134186379.db2.gz SNALBNIYRQLYTK-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)cc2Cl)cc1N ZINC001210288978 1134186464 /nfs/dbraw/zinc/18/64/64/1134186464.db2.gz ZMFMKDKDALQAPZ-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)cc(C(F)(F)F)c2)cc1N ZINC001210288509 1134186595 /nfs/dbraw/zinc/18/65/95/1134186595.db2.gz QVAFLEVJEYHPDG-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(C(F)(F)F)cc2)cc1N ZINC001210288061 1134186755 /nfs/dbraw/zinc/18/67/55/1134186755.db2.gz JDFVMWJBTDYNRR-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Cc3ccccn3)cc2)cc1N ZINC001210289541 1134186899 /nfs/dbraw/zinc/18/68/99/1134186899.db2.gz QEZIRDRMGSYLDD-UHFFFAOYSA-N 1 2 290.370 3.702 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(-c3nccs3)cc2)cc1N ZINC001210290168 1134186997 /nfs/dbraw/zinc/18/69/97/1134186997.db2.gz FKPCCGZPOTTWLB-UHFFFAOYSA-N 1 2 282.372 3.839 20 0 CHADLO Cn1cc[nH+]c1-c1ccc(-n2c(N)cc3ccccc32)cc1 ZINC001210432042 1134213168 /nfs/dbraw/zinc/21/31/68/1134213168.db2.gz KFVROBVRUOUQDO-UHFFFAOYSA-N 1 2 288.354 3.613 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(OCOC)cc1C ZINC001210489248 1134227214 /nfs/dbraw/zinc/22/72/14/1134227214.db2.gz GBKZIENPFBSPBL-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(C(C)(C)O)cc2)[nH+]1 ZINC001210614435 1134260017 /nfs/dbraw/zinc/26/00/17/1134260017.db2.gz LQCLAFORKORGTP-UHFFFAOYSA-N 1 2 281.359 3.614 20 0 CHADLO CC(C)(O)c1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001210616388 1134260237 /nfs/dbraw/zinc/26/02/37/1134260237.db2.gz ABFUUIVQTZBIPE-UHFFFAOYSA-N 1 2 268.360 3.930 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(C(C)(C)O)cc2)c[nH+]1 ZINC001210617944 1134261469 /nfs/dbraw/zinc/26/14/69/1134261469.db2.gz SNSDXTGPFVQPQC-UHFFFAOYSA-N 1 2 285.391 3.509 20 0 CHADLO C[C@@H](O)c1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc1 ZINC001210646560 1134266901 /nfs/dbraw/zinc/26/69/01/1134266901.db2.gz QGZSJMLNYOCHJK-SECBINFHSA-N 1 2 298.264 3.777 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cnc2sccc2c1 ZINC001210691918 1134275346 /nfs/dbraw/zinc/27/53/46/1134275346.db2.gz VXALARBXLQTOCX-UHFFFAOYSA-N 1 2 271.345 3.834 20 0 CHADLO CCOc1cc(Nc2ccccc2-n2cccn2)cc(C)[nH+]1 ZINC001210733099 1134285508 /nfs/dbraw/zinc/28/55/08/1134285508.db2.gz ZHGICRKSHAXKQY-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO c1cn(-c2ccc(Nc3ccnc(OC4CC4)c3)cc2)c[nH+]1 ZINC001210748483 1134289091 /nfs/dbraw/zinc/28/90/91/1134289091.db2.gz FHFDMBJLDFSUEX-UHFFFAOYSA-N 1 2 292.342 3.552 20 0 CHADLO COc1cc(Nc2cc(Cl)cc(Cl)n2)cc(C)[nH+]1 ZINC001210774833 1134293075 /nfs/dbraw/zinc/29/30/75/1134293075.db2.gz CCFXPOUHCXCFBQ-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc(Nc2cccc(Cl)c2OC)cc(C)[nH+]1 ZINC001210780635 1134295414 /nfs/dbraw/zinc/29/54/14/1134295414.db2.gz XLDCUVOWZCLDLC-UHFFFAOYSA-N 1 2 278.739 3.804 20 0 CHADLO COc1cc(Nc2cccc(OC(F)F)c2)cc(C)[nH+]1 ZINC001210780542 1134295702 /nfs/dbraw/zinc/29/57/02/1134295702.db2.gz DOKKKQSGBUVZAX-UHFFFAOYSA-N 1 2 280.274 3.744 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3ccccc3n2)[nH+]1 ZINC001210812552 1134302279 /nfs/dbraw/zinc/30/22/79/1134302279.db2.gz GWORXMKMYPOVTA-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Oc1cc(Nc2ccn3cc[nH+]c3c2)cc(C(F)(F)F)c1 ZINC001210823590 1134306041 /nfs/dbraw/zinc/30/60/41/1134306041.db2.gz FIIPWRNKXARRGK-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO COc1cc(Nc2cc(O)cc(C(F)(F)F)c2)cc(C)[nH+]1 ZINC001210824133 1134306549 /nfs/dbraw/zinc/30/65/49/1134306549.db2.gz NDIJJGKPDFWYJZ-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccccc1OC1CC1 ZINC001210834688 1134307166 /nfs/dbraw/zinc/30/71/66/1134307166.db2.gz GDKSCSFXDGCHHL-UHFFFAOYSA-N 1 2 270.332 3.765 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(O)c3Cl)ccc21 ZINC001210855088 1134314426 /nfs/dbraw/zinc/31/44/26/1134314426.db2.gz RUQNLUDTKPUCPA-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(OC4CC4)c3)ccc21 ZINC001210863272 1134316246 /nfs/dbraw/zinc/31/62/46/1134316246.db2.gz QGFWXALEWHDYTQ-UHFFFAOYSA-N 1 2 279.343 3.858 20 0 CHADLO Cc1c[nH+]c(Nc2cc(Cl)c(O)c(Cl)c2)c(N)c1 ZINC001210924367 1134329756 /nfs/dbraw/zinc/32/97/56/1134329756.db2.gz KLVZAZOMPAHIHG-UHFFFAOYSA-N 1 2 284.146 3.728 20 0 CHADLO C=C(Nc1ccc(-n2cc[nH+]c2)cc1)c1ccccc1 ZINC001210984862 1134342994 /nfs/dbraw/zinc/34/29/94/1134342994.db2.gz CDNYPTUIQNOQTR-UHFFFAOYSA-N 1 2 261.328 3.955 20 0 CHADLO COc1cc[nH+]c(Nc2cncc(-c3ccccc3)c2)c1 ZINC001211019738 1134349888 /nfs/dbraw/zinc/34/98/88/1134349888.db2.gz DWYPQODCXFRTGO-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO CC(=O)Nc1ccc(Nc2ccc(C)c[nH+]2)cc1Cl ZINC001211014283 1134350429 /nfs/dbraw/zinc/35/04/29/1134350429.db2.gz WJUKTNAGVHRUPF-UHFFFAOYSA-N 1 2 275.739 3.745 20 0 CHADLO Cc1cc([NH+]2CCCCC2)ccc1Nc1ccncn1 ZINC001211030961 1134352377 /nfs/dbraw/zinc/35/23/77/1134352377.db2.gz ZEGBDUZYJSNGMM-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO COc1cc(C)ccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001211114220 1134372495 /nfs/dbraw/zinc/37/24/95/1134372495.db2.gz UPUBSGFLMRTIAM-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cnccc2Br)c1 ZINC001211221253 1134395401 /nfs/dbraw/zinc/39/54/01/1134395401.db2.gz VWXQLPUXYJTVHD-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO CCCc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)on1 ZINC001213085570 1134424366 /nfs/dbraw/zinc/42/43/66/1134424366.db2.gz LJXDDKWJQJBUFY-UHFFFAOYSA-N 1 2 268.320 3.761 20 0 CHADLO Cc1ccc(N)c(N(C)c2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213089884 1134425785 /nfs/dbraw/zinc/42/57/85/1134425785.db2.gz JEDSUWAUWDEATE-UHFFFAOYSA-N 1 2 278.359 3.735 20 0 CHADLO CCOc1ccc(Nc2c[nH+]c(C)cc2C)c(OC)c1 ZINC001213115941 1134428880 /nfs/dbraw/zinc/42/88/80/1134428880.db2.gz ATGQBMOVUKQXJP-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc2c(c1)CCC(=O)N2C ZINC001213132674 1134430268 /nfs/dbraw/zinc/43/02/68/1134430268.db2.gz QAALVEPSDWBETP-UHFFFAOYSA-N 1 2 295.386 3.858 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnc2nccnc2c1 ZINC001213217726 1134436118 /nfs/dbraw/zinc/43/61/18/1134436118.db2.gz LRBMICVHMYHRQT-UHFFFAOYSA-N 1 2 279.347 3.595 20 0 CHADLO CSc1cc(Nc2cc(F)c(O)c(F)c2)cc[nH+]1 ZINC001213377443 1134444885 /nfs/dbraw/zinc/44/48/85/1134444885.db2.gz PGRIDYKAKBUKTH-UHFFFAOYSA-N 1 2 268.288 3.531 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nccc2cnccc21 ZINC001213495266 1134453728 /nfs/dbraw/zinc/45/37/28/1134453728.db2.gz YZTOLQKPCVNDAP-UHFFFAOYSA-N 1 2 276.343 3.954 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c2c(nn1C)CCCC2 ZINC001213495089 1134454414 /nfs/dbraw/zinc/45/44/14/1134454414.db2.gz PUBXQDHJASZVAT-UHFFFAOYSA-N 1 2 282.391 3.623 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(O)ccc1F ZINC001213499248 1134455613 /nfs/dbraw/zinc/45/56/13/1134455613.db2.gz FPPITCYWIWVVQY-UHFFFAOYSA-N 1 2 258.296 3.856 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)CNC(=O)N2 ZINC001213503570 1134456869 /nfs/dbraw/zinc/45/68/69/1134456869.db2.gz MYMYAEOLTDIDLW-UHFFFAOYSA-N 1 2 294.358 3.646 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(F)cccc1CO ZINC001213501346 1134456894 /nfs/dbraw/zinc/45/68/94/1134456894.db2.gz UFYXAKFHMJKBBF-UHFFFAOYSA-N 1 2 272.323 3.642 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)[C@H](O)CC2 ZINC001213503752 1134456975 /nfs/dbraw/zinc/45/69/75/1134456975.db2.gz WMQIWTUUHOVADR-GOSISDBHSA-N 1 2 280.371 3.991 20 0 CHADLO Cc1cc(C)c(Nc2ccnc(C(C)(C)C)n2)c[nH+]1 ZINC001213513369 1134457986 /nfs/dbraw/zinc/45/79/86/1134457986.db2.gz GBNXGMSYHHPQPN-UHFFFAOYSA-N 1 2 256.353 3.530 20 0 CHADLO COc1cccc2ncc(Nc3c[nH+]c(C)cc3C)cc21 ZINC001213510587 1134458076 /nfs/dbraw/zinc/45/80/76/1134458076.db2.gz FREXSJZBNSAVEM-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1cc(C)c(Nc2cc3snnc3c(C)c2)c[nH+]1 ZINC001213520500 1134459506 /nfs/dbraw/zinc/45/95/06/1134459506.db2.gz CKRIACAWAFXJDV-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cc1cnc(Nc2c[nH+]cc(C)c2C)c(Br)c1 ZINC001213523489 1134459850 /nfs/dbraw/zinc/45/98/50/1134459850.db2.gz UKGHGWALVWIYIA-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COC(=O)c1c(C)scc1Nc1c[nH+]cc(C)c1C ZINC001213527193 1134459910 /nfs/dbraw/zinc/45/99/10/1134459910.db2.gz CCULCDMROVAVIO-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1c[nH+]cc(Nc2nc(C(F)(F)F)cs2)c1C ZINC001213523482 1134460101 /nfs/dbraw/zinc/46/01/01/1134460101.db2.gz TYTFLTOZVORVDC-UHFFFAOYSA-N 1 2 273.283 3.917 20 0 CHADLO Cc1c[nH+]cc(Nc2c[nH]c3cc(=O)ccc-3c2)c1C ZINC001213527599 1134460558 /nfs/dbraw/zinc/46/05/58/1134460558.db2.gz VDTXBRBQFITVCR-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc3c(C4CCC4)cnn3c2)c1C ZINC001213529146 1134461098 /nfs/dbraw/zinc/46/10/98/1134461098.db2.gz XCBATPJKEGARIJ-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO COc1cc(F)c(Nc2c[nH+]cc(C)c2C)c(F)c1 ZINC001213530849 1134461360 /nfs/dbraw/zinc/46/13/60/1134461360.db2.gz OGTRVRXTOJQCOY-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(CO)c(Cl)c2)c1C ZINC001213533904 1134461684 /nfs/dbraw/zinc/46/16/84/1134461684.db2.gz BNAQAIYYHGMFFU-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1cc(Nc2c[nH+]cc(C)c2C)cc2snnc21 ZINC001213534137 1134462363 /nfs/dbraw/zinc/46/23/63/1134462363.db2.gz ODPFAKCDHFBAPE-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cc1c(C)n(-c2c[nH+]cc(C)c2C)c2c1cccc2N ZINC001213537210 1134462822 /nfs/dbraw/zinc/46/28/22/1134462822.db2.gz XDIWCELOCHIGKV-UHFFFAOYSA-N 1 2 265.360 3.841 20 0 CHADLO COC(Cc1ccc(Nc2c[nH+]cc(C)c2C)cc1)OC ZINC001213536714 1134463221 /nfs/dbraw/zinc/46/32/21/1134463221.db2.gz MYPISHCABBSUOD-UHFFFAOYSA-N 1 2 286.375 3.603 20 0 CHADLO COCc1ccc(C)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001213569015 1134463508 /nfs/dbraw/zinc/46/35/08/1134463508.db2.gz UMPGXQKXVVJUBG-UHFFFAOYSA-N 1 2 256.349 3.897 20 0 CHADLO Cc1ccc(Nc2ccccc2N2CCCC2)[nH+]c1 ZINC001213599389 1134467823 /nfs/dbraw/zinc/46/78/23/1134467823.db2.gz DRPREKQENYOQIM-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO c1cn2ccc(Nc3ccccc3N3CCCC3)cc2[nH+]1 ZINC001213605517 1134467831 /nfs/dbraw/zinc/46/78/31/1134467831.db2.gz BGIIZGUTUYXDEG-UHFFFAOYSA-N 1 2 278.359 3.678 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2[nH]c(=O)ccc2c1 ZINC001213773944 1134482792 /nfs/dbraw/zinc/48/27/92/1134482792.db2.gz NMWBVKUSAMEFQC-UHFFFAOYSA-N 1 2 279.343 3.538 20 0 CHADLO Cc1cn2cccc(Nc3ccc4cc[nH]c(=O)c4c3)c2[nH+]1 ZINC001213953739 1134500333 /nfs/dbraw/zinc/50/03/33/1134500333.db2.gz HADMLGOVTCWGPI-UHFFFAOYSA-N 1 2 290.326 3.640 20 0 CHADLO COc1cc[nH+]c(Nc2cc(F)cc(C)c2Cl)c1 ZINC001214072017 1134508916 /nfs/dbraw/zinc/50/89/16/1134508916.db2.gz YBSHOHQELJEMPO-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1ccc(Cl)cc1O ZINC001214400108 1134534333 /nfs/dbraw/zinc/53/43/33/1134534333.db2.gz RGCYEQRJNDTOLF-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2C2CC2)c(CO)c1 ZINC001214455116 1134539212 /nfs/dbraw/zinc/53/92/12/1134539212.db2.gz HRYIEWFNLWDHJK-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Cc1ccc(Nc2cccc(C(C)C)[nH+]2)c(CO)c1 ZINC001214451070 1134539679 /nfs/dbraw/zinc/53/96/79/1134539679.db2.gz IRLQMIMFNIGCBI-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2cccc3[nH+]ccn32)c1F ZINC001214751696 1134568494 /nfs/dbraw/zinc/56/84/94/1134568494.db2.gz USZLIDOOKGEWBC-UHFFFAOYSA-N 1 2 287.269 3.559 20 0 CHADLO Cc1c[nH+]c(Nc2cnc(OC(C)C)c(C)c2)c(C)c1 ZINC001214938317 1134583790 /nfs/dbraw/zinc/58/37/90/1134583790.db2.gz BZVBMWKRFPNBDF-UHFFFAOYSA-N 1 2 271.364 3.933 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(CO)c(C)c2C)cc1 ZINC001215251228 1134611877 /nfs/dbraw/zinc/61/18/77/1134611877.db2.gz FFLMRGCGWZMVRO-UHFFFAOYSA-N 1 2 284.403 3.995 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(CO)c(C)c2C)cc1 ZINC001215251228 1134611881 /nfs/dbraw/zinc/61/18/81/1134611881.db2.gz FFLMRGCGWZMVRO-UHFFFAOYSA-N 1 2 284.403 3.995 20 0 CHADLO Cc1cc(O)cc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001215513214 1134635346 /nfs/dbraw/zinc/63/53/46/1134635346.db2.gz ACZHVCIASSGYGX-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO Cc1cc(O)cc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001215513449 1134635394 /nfs/dbraw/zinc/63/53/94/1134635394.db2.gz LWUMROIBDRZSSS-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO COCc1cc(C)c(Nc2c[nH+]ccc2OC)c(C)c1 ZINC001215529647 1134638178 /nfs/dbraw/zinc/63/81/78/1134638178.db2.gz ZDRIQROIDGYALB-UHFFFAOYSA-N 1 2 272.348 3.597 20 0 CHADLO COCc1cc(C)c(Nc2[nH+]cccc2N(C)C)c(C)c1 ZINC001215530007 1134638288 /nfs/dbraw/zinc/63/82/88/1134638288.db2.gz DPOSLBGZZSAMLV-UHFFFAOYSA-N 1 2 285.391 3.654 20 0 CHADLO CCOc1ccc(Nc2ccc(C)[nH+]c2C)c(C)n1 ZINC001215577098 1134645984 /nfs/dbraw/zinc/64/59/84/1134645984.db2.gz TZUYEEZWEXLPPH-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO COCc1ccc(Cl)c(Nc2cccc3[nH+]ccn32)c1 ZINC001215594617 1134649387 /nfs/dbraw/zinc/64/93/87/1134649387.db2.gz XIJUJWFIERSLBO-UHFFFAOYSA-N 1 2 287.750 3.878 20 0 CHADLO Cc1c[nH+]c(Nc2cc(C(F)(F)F)ccc2CO)c(C)c1 ZINC001215682738 1134660084 /nfs/dbraw/zinc/66/00/84/1134660084.db2.gz MHNVUGNCXIJEGG-UHFFFAOYSA-N 1 2 296.292 3.953 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OC)c2n[nH]cc21 ZINC001215748260 1134673119 /nfs/dbraw/zinc/67/31/19/1134673119.db2.gz CYAZVMOEKYWILY-UHFFFAOYSA-N 1 2 282.347 3.581 20 0 CHADLO Cc1[nH+]c2ccccn2c1Nc1ccc(C)c2[nH]ncc21 ZINC001215755529 1134674723 /nfs/dbraw/zinc/67/47/23/1134674723.db2.gz VALFBOKVBDUOMV-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1cc(OC(C)C)ncc1Nc1ccn2cc[nH+]c2c1 ZINC001215770444 1134682425 /nfs/dbraw/zinc/68/24/25/1134682425.db2.gz DGJCPFYLJXUPDH-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO CCOCc1cncc(Nc2cccc3cc[nH+]cc32)c1 ZINC001215789905 1134687389 /nfs/dbraw/zinc/68/73/89/1134687389.db2.gz ZMXIGUFCZFBTBC-UHFFFAOYSA-N 1 2 279.343 3.910 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)cc(SC)cc1F ZINC001215841585 1134701136 /nfs/dbraw/zinc/70/11/36/1134701136.db2.gz WQPUWJVZRULDEB-UHFFFAOYSA-N 1 2 282.315 3.834 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cc(Cl)cc2n[nH]cc21 ZINC001215857147 1134704228 /nfs/dbraw/zinc/70/42/28/1134704228.db2.gz ASLJOPYQVUKBKO-UHFFFAOYSA-N 1 2 297.749 3.847 20 0 CHADLO Clc1cc2n[nH]cc2c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001215859363 1134706036 /nfs/dbraw/zinc/70/60/36/1134706036.db2.gz KZEOLARTMQQUFS-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO Cc1ccc(C)c(Nc2ccc(Cl)c3n[nH]cc32)[nH+]1 ZINC001215940780 1134726179 /nfs/dbraw/zinc/72/61/79/1134726179.db2.gz BQXISTRFCFYULU-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO CSc1ncc(Nc2ccc(N(C)C)[nH+]c2)cc1Cl ZINC001216025734 1134752947 /nfs/dbraw/zinc/75/29/47/1134752947.db2.gz UZTPEIIZYZXEHB-UHFFFAOYSA-N 1 2 294.811 3.662 20 0 CHADLO Cc1cc(C[C@@H](C)[NH2+]c2ccc(N)c(C(C)C)c2)on1 ZINC001216042765 1134758331 /nfs/dbraw/zinc/75/83/31/1134758331.db2.gz GTTBVULFLOUSDJ-LLVKDONJSA-N 1 2 273.380 3.732 20 0 CHADLO CC(C)c1cc(Nc2cccc3[nH+]ccn32)ccc1N ZINC001216043024 1134758686 /nfs/dbraw/zinc/75/86/86/1134758686.db2.gz QAXXFPPFMAKZIY-UHFFFAOYSA-N 1 2 266.348 3.784 20 0 CHADLO Nc1ccc(Nc2cccc3[nH+]ccn32)cc1C(F)(F)F ZINC001216048960 1134761089 /nfs/dbraw/zinc/76/10/89/1134761089.db2.gz KNQPAPFIUUJMOW-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO Cc1cn2cccc(Nc3cc(C)c(F)c(O)c3)c2[nH+]1 ZINC001216078611 1134768960 /nfs/dbraw/zinc/76/89/60/1134768960.db2.gz KAUXJYRLRIHWJE-UHFFFAOYSA-N 1 2 271.295 3.539 20 0 CHADLO CNc1cc(F)cc(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001216184398 1134798822 /nfs/dbraw/zinc/79/88/22/1134798822.db2.gz PVTORJZLWSQTSJ-UHFFFAOYSA-N 1 2 270.311 3.567 20 0 CHADLO CNc1cc(F)cc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001216184434 1134799185 /nfs/dbraw/zinc/79/91/85/1134799185.db2.gz NKTWZISIMDWSJG-UHFFFAOYSA-N 1 2 286.354 3.606 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1NC1C[C@H]2CC[C@@H](C1)S2 ZINC000403594085 1134809893 /nfs/dbraw/zinc/80/98/93/1134809893.db2.gz PBHAGICGMUJIDF-GOOCMWNKSA-N 1 2 289.448 3.520 20 0 CHADLO COc1cc(Nc2cccc3[nH+]ccn32)ccc1OC(C)C ZINC001216245292 1134824354 /nfs/dbraw/zinc/82/43/54/1134824354.db2.gz ZFYLWRSFMNTCCV-UHFFFAOYSA-N 1 2 297.358 3.874 20 0 CHADLO Cc1cn2cccc(Nc3cnc(Cl)cc3C)c2[nH+]1 ZINC001216278360 1134834274 /nfs/dbraw/zinc/83/42/74/1134834274.db2.gz OZJDQJWOGRYBJC-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO Cc1c(Cl)cccc1Nc1[nH+]cccc1N(C)C ZINC001216324326 1134854944 /nfs/dbraw/zinc/85/49/44/1134854944.db2.gz QTEYSFOZVNDGHK-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO COc1c(O)cccc1Nc1c(C)cc[nH+]c1C(C)C ZINC001216349893 1134866453 /nfs/dbraw/zinc/86/64/53/1134866453.db2.gz PICPMRHTJURFMV-UHFFFAOYSA-N 1 2 272.348 3.971 20 0 CHADLO Cc1cn2cccc(Nc3cc(Cl)ccc3O)c2[nH+]1 ZINC001216397458 1134881517 /nfs/dbraw/zinc/88/15/17/1134881517.db2.gz CKTHONBPASPJOC-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO COc1cc(Nc2c[nH+]c(CC(C)C)cc2C)cnc1F ZINC001216420971 1134890328 /nfs/dbraw/zinc/89/03/28/1134890328.db2.gz LJKLYCBBXXKIPI-UHFFFAOYSA-N 1 2 289.354 3.875 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)cc(C(C)(C)O)c1 ZINC001216488865 1134905330 /nfs/dbraw/zinc/90/53/30/1134905330.db2.gz LYKDODHZKZTBQB-UHFFFAOYSA-N 1 2 290.338 3.590 20 0 CHADLO CC(=O)c1cccc(Nc2cccc3[nH+]c(C)cn32)c1F ZINC001216496554 1134908648 /nfs/dbraw/zinc/90/86/48/1134908648.db2.gz VNHYBROAOYIMMK-UHFFFAOYSA-N 1 2 283.306 3.728 20 0 CHADLO N#Cc1ccc(CNc2ccc([NH+]3CCCC3)cc2)cc1F ZINC000404094670 1134921405 /nfs/dbraw/zinc/92/14/05/1134921405.db2.gz XOOHJBODHTVZKA-UHFFFAOYSA-N 1 2 295.361 3.910 20 0 CHADLO Cc1cccc(Nc2ccnc(OCC3CCC3)c2)[nH+]1 ZINC001216593594 1134927859 /nfs/dbraw/zinc/92/78/59/1134927859.db2.gz SHJHJDUVSYGKGD-UHFFFAOYSA-N 1 2 269.348 3.708 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncc(Br)cc2F)c1 ZINC000404531757 1134945633 /nfs/dbraw/zinc/94/56/33/1134945633.db2.gz XIGRVWIYAUVOQQ-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(Br)c(C)n2)c1 ZINC000404513800 1134946375 /nfs/dbraw/zinc/94/63/75/1134946375.db2.gz LJOQFKPEBRVAPT-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@@H+](Cc1nccn1C(C)C)C2 ZINC000186465484 1134960486 /nfs/dbraw/zinc/96/04/86/1134960486.db2.gz BEUWCDNVBMAEQJ-UHFFFAOYSA-N 1 2 283.419 3.639 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@H+](Cc1nccn1C(C)C)C2 ZINC000186465484 1134960490 /nfs/dbraw/zinc/96/04/90/1134960490.db2.gz BEUWCDNVBMAEQJ-UHFFFAOYSA-N 1 2 283.419 3.639 20 0 CHADLO Cc1ccc2ccccc2c1CO[C@@H]1C[NH2+]CC(F)(F)C1 ZINC001217854353 1135009987 /nfs/dbraw/zinc/00/99/87/1135009987.db2.gz GHUBFWCVMGAIFY-AWEZNQCLSA-N 1 2 291.341 3.662 20 0 CHADLO C[C@H](Oc1ccc[nH+]c1N)c1ccc(C(F)(F)F)cc1 ZINC001218193347 1135067167 /nfs/dbraw/zinc/06/71/67/1135067167.db2.gz FQDZZRSYSALAKL-VIFPVBQESA-N 1 2 282.265 3.823 20 0 CHADLO Nc1ccc(Nc2ccccc2OCc2ccccc2)c[nH+]1 ZINC000309834094 1135117675 /nfs/dbraw/zinc/11/76/75/1135117675.db2.gz TXPXJDDDSBBHJQ-UHFFFAOYSA-N 1 2 291.354 3.986 20 0 CHADLO Cc1ccc(C#N)c(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC000316131018 1135164707 /nfs/dbraw/zinc/16/47/07/1135164707.db2.gz QFPMITSIUCBHSE-UHFFFAOYSA-N 1 2 292.386 3.996 20 0 CHADLO Oc1cc(F)c(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1F ZINC001222082161 1135189834 /nfs/dbraw/zinc/18/98/34/1135189834.db2.gz ALXPOKUYWGZFLX-UHFFFAOYSA-N 1 2 290.244 3.662 20 0 CHADLO OCc1c(Cl)ccc(-c2cc3[nH]ccc3c[nH+]2)c1F ZINC001222327093 1135226030 /nfs/dbraw/zinc/22/60/30/1135226030.db2.gz GMHRQBLOQQSBIH-UHFFFAOYSA-N 1 2 276.698 3.515 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(Cl)c(CO)c2F)[nH+]1 ZINC001222344168 1135229970 /nfs/dbraw/zinc/22/99/70/1135229970.db2.gz SBOIBMAPQNQTNI-UHFFFAOYSA-N 1 2 290.725 3.595 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc(Cl)c(CO)c1F ZINC001222350756 1135230594 /nfs/dbraw/zinc/23/05/94/1135230594.db2.gz VJCOTLZPNDGZBU-UHFFFAOYSA-N 1 2 290.725 3.595 20 0 CHADLO Cn1c[nH+]cc1CO[C@@H]1CCc2cc(Cl)c(Cl)cc21 ZINC001222595309 1135254478 /nfs/dbraw/zinc/25/44/78/1135254478.db2.gz WGPFQJIRNKXUKM-CQSZACIVSA-N 1 2 297.185 3.931 20 0 CHADLO C[C@@H](OCc1c[nH+]cn1C)c1cc2ccccc2s1 ZINC001222595198 1135254631 /nfs/dbraw/zinc/25/46/31/1135254631.db2.gz SJARBMJDHROSNP-LLVKDONJSA-N 1 2 272.373 3.913 20 0 CHADLO Cc1cc(CO[C@H](CC(C)C)C(=O)OC(C)C)cc(C)[nH+]1 ZINC001223420717 1135341907 /nfs/dbraw/zinc/34/19/07/1135341907.db2.gz MUVYZHMQGSPQDV-MRXNPFEDSA-N 1 2 293.407 3.581 20 0 CHADLO Cc1cc(COC[C@H](C)OCc2ccccc2)cc(C)[nH+]1 ZINC001223420071 1135342237 /nfs/dbraw/zinc/34/22/37/1135342237.db2.gz HLALWYGDTCXJJJ-INIZCTEOSA-N 1 2 285.387 3.820 20 0 CHADLO Cc1cc(CO[C@H](C)c2cnc3ccccn32)cc(C)[nH+]1 ZINC001223420572 1135342601 /nfs/dbraw/zinc/34/26/01/1135342601.db2.gz KULVMQRRCFMPGB-CQSZACIVSA-N 1 2 281.359 3.624 20 0 CHADLO Cc1cc(CO[C@H](c2cccnc2)C2CC2)cc(C)[nH+]1 ZINC001223423334 1135343200 /nfs/dbraw/zinc/34/32/00/1135343200.db2.gz BTXBKUWTRPWODY-KRWDZBQOSA-N 1 2 268.360 3.761 20 0 CHADLO CC/C=C\CCOC(=O)[C@H](C)OCc1cc(C)[nH+]c(C)c1 ZINC001223422822 1135343512 /nfs/dbraw/zinc/34/35/12/1135343512.db2.gz ZXKGFWGYUQRPKX-XHPSBEMXSA-N 1 2 291.391 3.503 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H]1CCc2c1nccc2Cl ZINC001224963184 1135497132 /nfs/dbraw/zinc/49/71/32/1135497132.db2.gz IJSMYQYPOUTZFL-CYBMUJFWSA-N 1 2 296.798 3.923 20 0 CHADLO Cc1ccc(OC2C[NH+](C(C)(C)C)C2)c(Cl)c1 ZINC001225340330 1135548131 /nfs/dbraw/zinc/54/81/31/1135548131.db2.gz IZVAENDYHYGYNO-UHFFFAOYSA-N 1 2 253.773 3.510 20 0 CHADLO CC[NH+]1CC(Oc2ccc(Br)cc2C(C)C)C1 ZINC001225384951 1135557053 /nfs/dbraw/zinc/55/70/53/1135557053.db2.gz OXQDMZZSDABRKY-UHFFFAOYSA-N 1 2 298.224 3.655 20 0 CHADLO c1cc2cc(OC3C[NH+](Cc4ccccc4)C3)cnc2s1 ZINC001225445794 1135566577 /nfs/dbraw/zinc/56/65/77/1135566577.db2.gz PXXKWMLDMFPHEW-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO CCOc1cc(C)ccc1OC1C[NH+](Cc2ccccc2)C1 ZINC001227202931 1135799560 /nfs/dbraw/zinc/79/95/60/1135799560.db2.gz QGJXVCTZHVXNEA-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H](C)COc1ccccc1 ZINC001227264668 1135812540 /nfs/dbraw/zinc/81/25/40/1135812540.db2.gz PULLNNCGZMZOCM-ZDUSSCGKSA-N 1 2 257.333 3.545 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2nc3ccccc3s2)on1 ZINC000096967202 185143532 /nfs/dbraw/zinc/14/35/32/185143532.db2.gz DQHPVQVORMQATP-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2nc3ccccc3s2)on1 ZINC000096967202 185143533 /nfs/dbraw/zinc/14/35/33/185143533.db2.gz DQHPVQVORMQATP-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2nc3ccccc3s2)on1 ZINC000096967201 185143551 /nfs/dbraw/zinc/14/35/51/185143551.db2.gz DQHPVQVORMQATP-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2nc3ccccc3s2)on1 ZINC000096967201 185143553 /nfs/dbraw/zinc/14/35/53/185143553.db2.gz DQHPVQVORMQATP-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2cccc(OC)c2)nc(C)[nH+]1 ZINC000302030532 529534176 /nfs/dbraw/zinc/53/41/76/529534176.db2.gz BSCDFUNMJRJSGL-KRWDZBQOSA-N 1 2 297.402 3.698 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)o1 ZINC000353720757 529639358 /nfs/dbraw/zinc/63/93/58/529639358.db2.gz PESHVQOHZLKVHR-INIZCTEOSA-N 1 2 270.376 3.820 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)o1 ZINC000353720757 529639362 /nfs/dbraw/zinc/63/93/62/529639362.db2.gz PESHVQOHZLKVHR-INIZCTEOSA-N 1 2 270.376 3.820 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H](C)C(C)(C)C)n1 ZINC000573438658 334937609 /nfs/dbraw/zinc/93/76/09/334937609.db2.gz VCUCPBZZNRVAAZ-GFCCVEGCSA-N 1 2 273.380 3.708 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1ccn(C2CCCC2)n1 ZINC000352554532 529730419 /nfs/dbraw/zinc/73/04/19/529730419.db2.gz TUSNIODQCYGXCA-UHFFFAOYSA-N 1 2 285.391 3.843 20 0 CHADLO CN(CCc1nccs1)c1[nH+]ccc2ccc(F)cc21 ZINC000354893101 529939192 /nfs/dbraw/zinc/93/91/92/529939192.db2.gz XGNLUBCIYLGCLC-UHFFFAOYSA-N 1 2 287.363 3.509 20 0 CHADLO C[N@H+](Cc1ccccn1)Cc1c(Cl)ccc2cccnc21 ZINC000350358641 530005283 /nfs/dbraw/zinc/00/52/83/530005283.db2.gz RDPSLLOWIHLWCB-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@@H+](Cc1ccccn1)Cc1c(Cl)ccc2cccnc21 ZINC000350358641 530005285 /nfs/dbraw/zinc/00/52/85/530005285.db2.gz RDPSLLOWIHLWCB-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@H+](Cc1nc2ccccc2o1)Cc1cc(F)ccc1F ZINC000353610086 530023682 /nfs/dbraw/zinc/02/36/82/530023682.db2.gz RNLCBNGAMRORAQ-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2o1)Cc1cc(F)ccc1F ZINC000353610086 530023684 /nfs/dbraw/zinc/02/36/84/530023684.db2.gz RNLCBNGAMRORAQ-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO Clc1ccc([C@H]2CCC[C@H]2Nc2c[nH]c[nH+]2)cc1 ZINC000574246632 335003688 /nfs/dbraw/zinc/00/36/88/335003688.db2.gz MAEWDYIDYOTYOV-CHWSQXEVSA-N 1 2 261.756 3.811 20 0 CHADLO CC1(C[N@@H+]2CCO[C@@H](c3ccc(F)c(Cl)c3)C2)CC1 ZINC000574347673 335012970 /nfs/dbraw/zinc/01/29/70/335012970.db2.gz ROZAJLBTVVEACL-CQSZACIVSA-N 1 2 283.774 3.653 20 0 CHADLO CC1(C[N@H+]2CCO[C@@H](c3ccc(F)c(Cl)c3)C2)CC1 ZINC000574347673 335012971 /nfs/dbraw/zinc/01/29/71/335012971.db2.gz ROZAJLBTVVEACL-CQSZACIVSA-N 1 2 283.774 3.653 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)c1cscn1 ZINC000164937330 261362369 /nfs/dbraw/zinc/36/23/69/261362369.db2.gz NEUIFWZONNRBCJ-LLVKDONJSA-N 1 2 261.394 3.691 20 0 CHADLO CCn1c[nH+]cc1CN1CCCc2cc(Cl)ccc21 ZINC000417987676 227373422 /nfs/dbraw/zinc/37/34/22/227373422.db2.gz RKBGXVPIUZRKTB-UHFFFAOYSA-N 1 2 275.783 3.509 20 0 CHADLO Cc1cccc(CNc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000036939845 260054125 /nfs/dbraw/zinc/05/41/25/260054125.db2.gz FMIFBXVEFCMVHD-UHFFFAOYSA-N 1 2 263.344 3.793 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)cc1F ZINC000179623813 260058965 /nfs/dbraw/zinc/05/89/65/260058965.db2.gz NPYIRQJSGRCUTP-VIFPVBQESA-N 1 2 297.242 3.878 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@H](C(F)(F)F)C2)cc1F ZINC000179623813 260058967 /nfs/dbraw/zinc/05/89/67/260058967.db2.gz NPYIRQJSGRCUTP-VIFPVBQESA-N 1 2 297.242 3.878 20 0 CHADLO Cc1cc[nH+]c(NCc2cccc(F)c2)c1Cl ZINC000341741763 130015121 /nfs/dbraw/zinc/01/51/21/130015121.db2.gz BOIFWLKSUZHWAY-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO Cc1ccc2[nH+]c(CN(CC(F)F)CC3CCC3)cn2c1 ZINC000341753650 130021618 /nfs/dbraw/zinc/02/16/18/130021618.db2.gz IKICAESWYYIDHX-UHFFFAOYSA-N 1 2 293.361 3.510 20 0 CHADLO C[C@H]([NH2+][C@H](CC(F)(F)F)c1ccccc1)c1nccn1C ZINC000341761715 130026774 /nfs/dbraw/zinc/02/67/74/130026774.db2.gz QUYVRRWURNVFIW-WCQYABFASA-N 1 2 297.324 3.764 20 0 CHADLO CC[C@@H](C)[C@H]([NH2+]Cc1nc(C)no1)c1ccc(Cl)cc1 ZINC000341845449 130089861 /nfs/dbraw/zinc/08/98/61/130089861.db2.gz HXDOCQYFWJWHTN-BMIGLBTASA-N 1 2 293.798 3.908 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](CC)c2ccc(F)cc2F)o1 ZINC000341854951 130096645 /nfs/dbraw/zinc/09/66/45/130096645.db2.gz IUFDEBJPWAEBKQ-TVQRCGJNSA-N 1 2 295.333 3.712 20 0 CHADLO CCOC(=O)C[NH2+][C@H](CCc1ccccc1)c1ccccc1 ZINC000341913479 130125667 /nfs/dbraw/zinc/12/56/67/130125667.db2.gz TUMYCKNIIXDHQS-GOSISDBHSA-N 1 2 297.398 3.513 20 0 CHADLO CC[C@H](F)CSCCc1[nH+]c2ccccc2n1C ZINC000342029461 130246115 /nfs/dbraw/zinc/24/61/15/130246115.db2.gz WZJRQSFIEKOTEQ-NSHDSACASA-N 1 2 266.385 3.597 20 0 CHADLO Cc1cc(NC(=O)c2ccc3ccccc3c2)cc[nH+]1 ZINC000010163093 170399455 /nfs/dbraw/zinc/39/94/55/170399455.db2.gz RGFWRGQWKYRPOJ-UHFFFAOYSA-N 1 2 262.312 3.796 20 0 CHADLO Cn1cc[nH+]c1CNc1ccc(Cl)c(C(F)(F)F)c1 ZINC000037301900 173107237 /nfs/dbraw/zinc/10/72/37/173107237.db2.gz XKKGWQZKOKMRSY-UHFFFAOYSA-N 1 2 289.688 3.704 20 0 CHADLO COc1ncccc1C[NH2+]Cc1c(Cl)cccc1Cl ZINC000037408065 173183961 /nfs/dbraw/zinc/18/39/61/173183961.db2.gz CNYKXBBAHRVWAV-UHFFFAOYSA-N 1 2 297.185 3.687 20 0 CHADLO Fc1ccc(SCc2cn3ccccc3[nH+]2)cc1 ZINC000072104014 176316138 /nfs/dbraw/zinc/31/61/38/176316138.db2.gz VHKQXJKFTOBECN-UHFFFAOYSA-N 1 2 258.321 3.766 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@H](C)c3cccnc3)co2)cc1 ZINC000344465123 225067228 /nfs/dbraw/zinc/06/72/28/225067228.db2.gz VNJWJRBTWMOWPH-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO Clc1ccc(C[NH2+]Cc2ccn(C3CCCC3)n2)o1 ZINC000345044998 225265060 /nfs/dbraw/zinc/26/50/60/225265060.db2.gz XNLRWMKGUYCNQU-UHFFFAOYSA-N 1 2 279.771 3.534 20 0 CHADLO Cc1ccc(NC(=O)NCc2c[nH+]c(C)cc2C)c(C)c1 ZINC000334696368 225276455 /nfs/dbraw/zinc/27/64/55/225276455.db2.gz HOJURNVPJDBEMB-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)s1 ZINC000334757605 225309434 /nfs/dbraw/zinc/30/94/34/225309434.db2.gz ZKYJULNOEATZIE-CQSZACIVSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)s1 ZINC000334757605 225309438 /nfs/dbraw/zinc/30/94/38/225309438.db2.gz ZKYJULNOEATZIE-CQSZACIVSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)s1 ZINC000334757604 225309560 /nfs/dbraw/zinc/30/95/60/225309560.db2.gz ZKYJULNOEATZIE-AWEZNQCLSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)s1 ZINC000334757604 225309564 /nfs/dbraw/zinc/30/95/64/225309564.db2.gz ZKYJULNOEATZIE-AWEZNQCLSA-N 1 2 274.389 3.772 20 0 CHADLO C[C@H]([NH2+][C@H]1CC(C)(C)c2ccccc21)c1csnn1 ZINC000334847987 225336450 /nfs/dbraw/zinc/33/64/50/225336450.db2.gz PZKFGUCRDCVECB-GWCFXTLKSA-N 1 2 273.405 3.611 20 0 CHADLO Cc1oc(-c2ccsc2)nc1C[N@@H+]1CC[C@@](C)(F)C1 ZINC000347392015 226143102 /nfs/dbraw/zinc/14/31/02/226143102.db2.gz PJEBKEWXJTYFLE-CQSZACIVSA-N 1 2 280.368 3.645 20 0 CHADLO Cc1oc(-c2ccsc2)nc1C[N@H+]1CC[C@@](C)(F)C1 ZINC000347392015 226143106 /nfs/dbraw/zinc/14/31/06/226143106.db2.gz PJEBKEWXJTYFLE-CQSZACIVSA-N 1 2 280.368 3.645 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000347392633 226144714 /nfs/dbraw/zinc/14/47/14/226144714.db2.gz UFFXTLRDCDBCNG-OAHLLOKOSA-N 1 2 296.292 3.554 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000347392633 226144716 /nfs/dbraw/zinc/14/47/16/226144716.db2.gz UFFXTLRDCDBCNG-OAHLLOKOSA-N 1 2 296.292 3.554 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCn3c(cc4ccccc43)C2)nc1 ZINC000347523791 226174870 /nfs/dbraw/zinc/17/48/70/226174870.db2.gz SIWIBRWXDBIFTJ-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO Cc1ccc(C[N@H+]2CCCn3c(cc4ccccc43)C2)nc1 ZINC000347523791 226174872 /nfs/dbraw/zinc/17/48/72/226174872.db2.gz SIWIBRWXDBIFTJ-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO COc1ncccc1C[N@@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000347598491 226212573 /nfs/dbraw/zinc/21/25/73/226212573.db2.gz LBTHIRLNYQHLKX-AWEZNQCLSA-N 1 2 288.416 3.735 20 0 CHADLO COc1ncccc1C[N@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000347598491 226212576 /nfs/dbraw/zinc/21/25/76/226212576.db2.gz LBTHIRLNYQHLKX-AWEZNQCLSA-N 1 2 288.416 3.735 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347704479 226240349 /nfs/dbraw/zinc/24/03/49/226240349.db2.gz ODISJJLBBZZSPU-CYBMUJFWSA-N 1 2 295.333 3.907 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347704479 226240352 /nfs/dbraw/zinc/24/03/52/226240352.db2.gz ODISJJLBBZZSPU-CYBMUJFWSA-N 1 2 295.333 3.907 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CC[C@@H]3CCC[C@@H]32)s1 ZINC000336496267 227063246 /nfs/dbraw/zinc/06/32/46/227063246.db2.gz JCGCEALTEMIEDO-IUCAKERBSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CC[C@@H]3CCC[C@@H]32)s1 ZINC000336496267 227063254 /nfs/dbraw/zinc/06/32/54/227063254.db2.gz JCGCEALTEMIEDO-IUCAKERBSA-N 1 2 276.327 3.536 20 0 CHADLO c1ccc(COc2ccc[nH+]c2NC[C@@H]2CCCCO2)cc1 ZINC000354508302 227018808 /nfs/dbraw/zinc/01/88/08/227018808.db2.gz MZDWIJMIHNOWHV-INIZCTEOSA-N 1 2 298.386 3.642 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cscc1C(F)(F)F ZINC000354518838 227021713 /nfs/dbraw/zinc/02/17/13/227021713.db2.gz CABOOBPKQKIYOU-SECBINFHSA-N 1 2 267.291 3.701 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cscc1C(F)(F)F ZINC000354518838 227021717 /nfs/dbraw/zinc/02/17/17/227021717.db2.gz CABOOBPKQKIYOU-SECBINFHSA-N 1 2 267.291 3.701 20 0 CHADLO CO[C@@H](CNc1[nH+]ccc2ccc(F)cc21)C(C)(C)C ZINC000354549918 227028930 /nfs/dbraw/zinc/02/89/30/227028930.db2.gz XRRQJXJPMKOFTL-AWEZNQCLSA-N 1 2 276.355 3.847 20 0 CHADLO Cc1ccc(CCCN2C[C@H](C)Oc3ccccc32)c[nH+]1 ZINC000354649420 227059762 /nfs/dbraw/zinc/05/97/62/227059762.db2.gz NPDIPPROKVOGML-HNNXBMFYSA-N 1 2 282.387 3.610 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@H](CC(F)(F)F)c1ccccc1 ZINC000352823584 227259240 /nfs/dbraw/zinc/25/92/40/227259240.db2.gz OADWLVAVFSTECD-GFCCVEGCSA-N 1 2 283.297 3.501 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@H](CC(F)(F)F)c1ccccc1 ZINC000352823584 227259244 /nfs/dbraw/zinc/25/92/44/227259244.db2.gz OADWLVAVFSTECD-GFCCVEGCSA-N 1 2 283.297 3.501 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC000192752166 227280941 /nfs/dbraw/zinc/28/09/41/227280941.db2.gz OKWVSRZQJIPTAJ-AWEZNQCLSA-N 1 2 297.402 3.864 20 0 CHADLO Cc1ccc([C@H](C)Nc2nc(N(C)C)nc(C(C)C)[nH+]2)cc1 ZINC000301955192 227741724 /nfs/dbraw/zinc/74/17/24/227741724.db2.gz DZKMAMXFUNRGDP-ZDUSSCGKSA-N 1 2 299.422 3.543 20 0 CHADLO Cc1ccc([C@H](C)Nc2nc(C(C)C)[nH+]c(N(C)C)n2)cc1 ZINC000301955192 227741728 /nfs/dbraw/zinc/74/17/28/227741728.db2.gz DZKMAMXFUNRGDP-ZDUSSCGKSA-N 1 2 299.422 3.543 20 0 CHADLO Cc1cc(NC(=O)C2CCC2)c[nH+]c1N1CCCC[C@@H]1C ZINC000355545629 227883494 /nfs/dbraw/zinc/88/34/94/227883494.db2.gz AJLJCWZTJSPQDL-ZDUSSCGKSA-N 1 2 287.407 3.507 20 0 CHADLO FC(F)(F)c1ccccc1COc1cc[nH+]cc1 ZINC000356096858 228119433 /nfs/dbraw/zinc/11/94/33/228119433.db2.gz OCAHCIPYKFKKKY-UHFFFAOYSA-N 1 2 253.223 3.679 20 0 CHADLO Cc1cc(NC(=O)c2ccc3[nH+]ccn3c2)ccc1C1CC1 ZINC000355936324 228057096 /nfs/dbraw/zinc/05/70/96/228057096.db2.gz HXVSCRWCBABFIA-UHFFFAOYSA-N 1 2 291.354 3.772 20 0 CHADLO FC1(F)CCC([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000330204811 228064905 /nfs/dbraw/zinc/06/49/05/228064905.db2.gz BYMNEYWYKFAKRU-CYBMUJFWSA-N 1 2 298.381 3.594 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+]1Cc1cc2ccccc2o1 ZINC000353201031 228068956 /nfs/dbraw/zinc/06/89/56/228068956.db2.gz KYQZHGYJRXTPSD-LBPRGKRZSA-N 1 2 251.276 3.662 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+]1Cc1cc2ccccc2o1 ZINC000353201031 228068957 /nfs/dbraw/zinc/06/89/57/228068957.db2.gz KYQZHGYJRXTPSD-LBPRGKRZSA-N 1 2 251.276 3.662 20 0 CHADLO Cc1cccc(NC(=O)Nc2ccn3cc[nH+]c3c2)c1C ZINC000353358612 228090817 /nfs/dbraw/zinc/09/08/17/228090817.db2.gz YEERLNNPEHLJBT-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1nccc2ccccc21 ZINC000353385464 228093873 /nfs/dbraw/zinc/09/38/73/228093873.db2.gz MJECFQPMBKKUGO-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1ccnn1-c1ccc(F)cc1 ZINC000353385760 228093976 /nfs/dbraw/zinc/09/39/76/228093976.db2.gz WOHCEWGEGCBYQK-CYBMUJFWSA-N 1 2 299.349 3.797 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1ccnn1-c1ccc(F)cc1 ZINC000353385760 228093977 /nfs/dbraw/zinc/09/39/77/228093977.db2.gz WOHCEWGEGCBYQK-CYBMUJFWSA-N 1 2 299.349 3.797 20 0 CHADLO Cc1ccc(Cc2noc(/C=C\c3ccc(F)cc3)n2)c[nH+]1 ZINC000356119939 228128803 /nfs/dbraw/zinc/12/88/03/228128803.db2.gz CLLDUXFZIYGOBW-TWGQIWQCSA-N 1 2 295.317 3.673 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccccc1)c1cncs1 ZINC000353651962 228139448 /nfs/dbraw/zinc/13/94/48/228139448.db2.gz VUYIRWTWHPUCBT-SNVBAGLBSA-N 1 2 268.332 3.586 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2ccc(C)c(C)c2)o1 ZINC000353681192 228144272 /nfs/dbraw/zinc/14/42/72/228144272.db2.gz LKPBGROGCMGNSG-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2ccc(C)c(C)c2)o1 ZINC000353681192 228144274 /nfs/dbraw/zinc/14/42/74/228144274.db2.gz LKPBGROGCMGNSG-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CCCC3(C)C)cc2[nH+]1 ZINC000332194732 228151418 /nfs/dbraw/zinc/15/14/18/228151418.db2.gz BRXYNPBGWRTXLR-GFCCVEGCSA-N 1 2 271.364 3.636 20 0 CHADLO Cc1cc(NC(=O)c2cc[nH]c2)ccc1Oc1cc[nH+]cc1 ZINC000192589855 228155253 /nfs/dbraw/zinc/15/52/53/228155253.db2.gz FKWYHOAFRAKVRD-UHFFFAOYSA-N 1 2 293.326 3.763 20 0 CHADLO C[C@H]([NH2+][C@H](c1nccn1C)C1CC1)c1cc(F)ccc1F ZINC000353844326 228158126 /nfs/dbraw/zinc/15/81/26/228158126.db2.gz KBRZCCPXIBOVFM-BONVTDFDSA-N 1 2 291.345 3.500 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CC[C@H](C)C[C@H]1C ZINC000351943983 228168089 /nfs/dbraw/zinc/16/80/89/228168089.db2.gz POZKWPPSYHNEOM-ZKYQVNSYSA-N 1 2 275.396 3.645 20 0 CHADLO FC1=CCC[N@H+](Cc2c[nH]nc2-c2cccc(Cl)c2)C1 ZINC000351988964 228171235 /nfs/dbraw/zinc/17/12/35/228171235.db2.gz ODKKILLWMPSNOP-UHFFFAOYSA-N 1 2 291.757 3.789 20 0 CHADLO FC1=CCC[N@@H+](Cc2c[nH]nc2-c2cccc(Cl)c2)C1 ZINC000351988964 228171236 /nfs/dbraw/zinc/17/12/36/228171236.db2.gz ODKKILLWMPSNOP-UHFFFAOYSA-N 1 2 291.757 3.789 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@]2(CC[C@@H](C)C2)C1 ZINC000335964101 228171840 /nfs/dbraw/zinc/17/18/40/228171840.db2.gz PJFXSDLLESNAHO-PXAZEXFGSA-N 1 2 287.407 3.742 20 0 CHADLO C[C@H](c1csnn1)[N@H+]1CC=C(c2ccc(F)cc2)CC1 ZINC000336204143 228213384 /nfs/dbraw/zinc/21/33/84/228213384.db2.gz MSOUBJIZRXCHAN-LLVKDONJSA-N 1 2 289.379 3.528 20 0 CHADLO C[C@H](c1csnn1)[N@@H+]1CC=C(c2ccc(F)cc2)CC1 ZINC000336204143 228213385 /nfs/dbraw/zinc/21/33/85/228213385.db2.gz MSOUBJIZRXCHAN-LLVKDONJSA-N 1 2 289.379 3.528 20 0 CHADLO Cc1ncsc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000336211674 228214552 /nfs/dbraw/zinc/21/45/52/228214552.db2.gz FIQUUJZRSZYSRK-AWEZNQCLSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1ncsc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000336211674 228214553 /nfs/dbraw/zinc/21/45/53/228214553.db2.gz FIQUUJZRSZYSRK-AWEZNQCLSA-N 1 2 274.389 3.772 20 0 CHADLO CN(C)c1ccc(NCc2c(F)cccc2Cl)c[nH+]1 ZINC000036989054 260061714 /nfs/dbraw/zinc/06/17/14/260061714.db2.gz VKYOPLTVQALQQM-UHFFFAOYSA-N 1 2 279.746 3.552 20 0 CHADLO CCN(CC)c1ccc(NCc2cccc(F)c2)c[nH+]1 ZINC000037003275 260062035 /nfs/dbraw/zinc/06/20/35/260062035.db2.gz ZXDRKBHKDZXALI-UHFFFAOYSA-N 1 2 273.355 3.679 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](c2ccccc2)C2CC2)cc[nH+]1 ZINC000179815553 260074829 /nfs/dbraw/zinc/07/48/29/260074829.db2.gz UVWMDOWGFYNRLO-INIZCTEOSA-N 1 2 281.359 3.663 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)CCC(C)(C)C)c1ccccc1 ZINC000312500357 260132231 /nfs/dbraw/zinc/13/22/31/260132231.db2.gz KIMKHPHDISIRGN-DZGCQCFKSA-N 1 2 277.408 3.705 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](C)c2c(F)cccc2F)o1 ZINC000312517959 260137529 /nfs/dbraw/zinc/13/75/29/260137529.db2.gz GNGIUQKQIHSWGK-SNVBAGLBSA-N 1 2 280.318 3.674 20 0 CHADLO Cc1noc(C)c1C[NH2+]Cc1cc(Cl)sc1Cl ZINC000312552992 260148217 /nfs/dbraw/zinc/14/82/17/260148217.db2.gz DLZDVURKPDPRGN-UHFFFAOYSA-N 1 2 291.203 3.950 20 0 CHADLO COc1ccc(OC)c([C@@H](C)[NH2+][C@@H](C)c2nccs2)c1 ZINC000080264947 260178857 /nfs/dbraw/zinc/17/88/57/260178857.db2.gz GROCDWJWAQBBOF-MNOVXSKESA-N 1 2 292.404 3.572 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)sc1Cl)c1cnccn1 ZINC000312782674 260201912 /nfs/dbraw/zinc/20/19/12/260201912.db2.gz QSJUMHBFJBGCMX-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO Fc1cccc2c1CC[C@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000317386229 260209380 /nfs/dbraw/zinc/20/93/80/260209380.db2.gz FHEJUMNCZIAGII-QGZVFWFLSA-N 1 2 297.377 3.920 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cccc(Cl)c2F)c1 ZINC000214088521 260228551 /nfs/dbraw/zinc/22/85/51/260228551.db2.gz QQRCKBPAMSICGD-UHFFFAOYSA-N 1 2 280.730 3.803 20 0 CHADLO CCC1(CNc2ccc3ccccc3[nH+]2)CCOCC1 ZINC000313046138 260241096 /nfs/dbraw/zinc/24/10/96/260241096.db2.gz SACUOOYENQMCHK-UHFFFAOYSA-N 1 2 270.376 3.854 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1ccc(Cl)s1 ZINC000040436066 260244714 /nfs/dbraw/zinc/24/47/14/260244714.db2.gz UXINHVPNRVHMTL-SSDOTTSWSA-N 1 2 258.799 3.709 20 0 CHADLO Cc1ncc(C[NH2+][C@@H](c2ccco2)c2ccccc2)s1 ZINC000040437469 260252414 /nfs/dbraw/zinc/25/24/14/260252414.db2.gz KJSWTJANLBERSB-MRXNPFEDSA-N 1 2 284.384 3.924 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1cccc(Cl)c1 ZINC000084221223 260361752 /nfs/dbraw/zinc/36/17/52/260361752.db2.gz SYNVFMPRIMCOGP-NSHDSACASA-N 1 2 283.799 3.502 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1cccc(Cl)c1 ZINC000084221223 260361753 /nfs/dbraw/zinc/36/17/53/260361753.db2.gz SYNVFMPRIMCOGP-NSHDSACASA-N 1 2 283.799 3.502 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(F)c(OC)c1)C(F)F ZINC000449363675 260450145 /nfs/dbraw/zinc/45/01/45/260450145.db2.gz QETNSCNKZXHSEW-LDYMZIIASA-N 1 2 261.287 3.529 20 0 CHADLO COc1cc(CSCCn2cc[nH+]c2)ccc1SC ZINC000090201306 260456910 /nfs/dbraw/zinc/45/69/10/260456910.db2.gz LMZMHCXKFZBHEQ-UHFFFAOYSA-N 1 2 294.445 3.547 20 0 CHADLO Cc1cccnc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC000093158534 260511307 /nfs/dbraw/zinc/51/13/07/260511307.db2.gz VZTLQKLDXVFLLI-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cccnc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000093158534 260511308 /nfs/dbraw/zinc/51/13/08/260511308.db2.gz VZTLQKLDXVFLLI-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO CC(C)n1cncc1C[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000317771774 260558993 /nfs/dbraw/zinc/55/89/93/260558993.db2.gz WEOXPJYCKSLGMR-GOSISDBHSA-N 1 2 295.386 3.936 20 0 CHADLO CC[C@@H]1CCCN(C(=O)Nc2ccc(C)[nH+]c2C)CC1 ZINC000152283580 261062806 /nfs/dbraw/zinc/06/28/06/261062806.db2.gz WEZOKLVZTDFTFY-CQSZACIVSA-N 1 2 275.396 3.742 20 0 CHADLO FC(F)(F)c1cc[nH+]c(N(Cc2cccnc2)C2CC2)c1 ZINC000153164193 261074344 /nfs/dbraw/zinc/07/43/44/261074344.db2.gz QGMFUBMWPKJCLE-UHFFFAOYSA-N 1 2 293.292 3.664 20 0 CHADLO Cn1cccc1C[N@H+](Cc1ccco1)Cc1cccs1 ZINC000153251001 261075839 /nfs/dbraw/zinc/07/58/39/261075839.db2.gz FBKLJVVAUDHGAY-UHFFFAOYSA-N 1 2 286.400 3.882 20 0 CHADLO Cn1cccc1C[N@@H+](Cc1ccco1)Cc1cccs1 ZINC000153251001 261075841 /nfs/dbraw/zinc/07/58/41/261075841.db2.gz FBKLJVVAUDHGAY-UHFFFAOYSA-N 1 2 286.400 3.882 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C)on1)c1ccccc1OC(F)F ZINC000153525033 261079655 /nfs/dbraw/zinc/07/96/55/261079655.db2.gz KTGWURBTGWXTBB-ZDUSSCGKSA-N 1 2 296.317 3.825 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)no1 ZINC000154341202 261090646 /nfs/dbraw/zinc/09/06/46/261090646.db2.gz NEATYIHFQACIRJ-ZDUSSCGKSA-N 1 2 299.418 3.923 20 0 CHADLO Cc1cc(C)c(NC(=O)C[NH+]2Cc3ccccc3C2)c(C)c1 ZINC000155521506 261102953 /nfs/dbraw/zinc/10/29/53/261102953.db2.gz MVMWLNWABAPFQJ-UHFFFAOYSA-N 1 2 294.398 3.566 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1Cc1ncc(C(C)(C)C)s1 ZINC000448333451 261117716 /nfs/dbraw/zinc/11/77/16/261117716.db2.gz UOMJFXBFLFBRGS-CYBMUJFWSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1Cc1ncc(C(C)(C)C)s1 ZINC000448333451 261117718 /nfs/dbraw/zinc/11/77/18/261117718.db2.gz UOMJFXBFLFBRGS-CYBMUJFWSA-N 1 2 296.480 3.688 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cc(F)cc(F)c1)c1ccc(C)o1 ZINC000156455711 261122972 /nfs/dbraw/zinc/12/29/72/261122972.db2.gz BUEIBWCDMWGHFO-NHYWBVRUSA-N 1 2 295.329 3.905 20 0 CHADLO Clc1cccc(N2CCCC2)c1C[NH2+]Cc1ccco1 ZINC000157953192 261156535 /nfs/dbraw/zinc/15/65/35/261156535.db2.gz ZHCGGUDMDJBDIX-UHFFFAOYSA-N 1 2 290.794 3.823 20 0 CHADLO c1c[nH+]c(CNc2cccc(C3SCCS3)c2)[nH]1 ZINC000158304998 261163580 /nfs/dbraw/zinc/16/35/80/261163580.db2.gz HTDIYBNMJBORKG-UHFFFAOYSA-N 1 2 277.418 3.500 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2cccs2)cs1 ZINC000158653503 261168911 /nfs/dbraw/zinc/16/89/11/261168911.db2.gz WQMLJPWURDOYSI-SECBINFHSA-N 1 2 252.408 3.706 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2cccs2)cs1 ZINC000158653503 261168912 /nfs/dbraw/zinc/16/89/12/261168912.db2.gz WQMLJPWURDOYSI-SECBINFHSA-N 1 2 252.408 3.706 20 0 CHADLO CC[C@]1(C)CCCN(c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000361181308 261170191 /nfs/dbraw/zinc/17/01/91/261170191.db2.gz ZOKFJWVXHPUBBB-MRXNPFEDSA-N 1 2 259.397 3.679 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cnn(C(C)(C)C)c1 ZINC000158891478 261173336 /nfs/dbraw/zinc/17/33/36/261173336.db2.gz DBFLRKKOFSPQAD-UHFFFAOYSA-N 1 2 297.406 3.620 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2ccccn2)cs1 ZINC000159106811 261177953 /nfs/dbraw/zinc/17/79/53/261177953.db2.gz LAHCWLGBZIUQTI-GHMZBOCLSA-N 1 2 261.394 3.512 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccc([C@@H]3C[C@H]3C)o2)s1 ZINC000174627301 261190789 /nfs/dbraw/zinc/19/07/89/261190789.db2.gz PFEJQPCQOLRZEC-ZWNOBZJWSA-N 1 2 276.405 3.712 20 0 CHADLO CCCC[N@H+](Cc1nccn1CC)Cc1ccccc1F ZINC000102656678 261266769 /nfs/dbraw/zinc/26/67/69/261266769.db2.gz MQMBEFXFTGYNES-UHFFFAOYSA-N 1 2 289.398 3.844 20 0 CHADLO CCCC[N@@H+](Cc1nccn1CC)Cc1ccccc1F ZINC000102656678 261266770 /nfs/dbraw/zinc/26/67/70/261266770.db2.gz MQMBEFXFTGYNES-UHFFFAOYSA-N 1 2 289.398 3.844 20 0 CHADLO CCCCN(Cc1[nH+]ccn1CC)Cc1ccccc1F ZINC000102656678 261266773 /nfs/dbraw/zinc/26/67/73/261266773.db2.gz MQMBEFXFTGYNES-UHFFFAOYSA-N 1 2 289.398 3.844 20 0 CHADLO CC(C)[C@@H]1C[N@H+](Cc2csc(C3CC3)n2)CCS1 ZINC000162091513 261268686 /nfs/dbraw/zinc/26/86/86/261268686.db2.gz AGGGKRRDXHIIRL-ZDUSSCGKSA-N 1 2 282.478 3.594 20 0 CHADLO CC(C)[C@@H]1C[N@@H+](Cc2csc(C3CC3)n2)CCS1 ZINC000162091513 261268687 /nfs/dbraw/zinc/26/86/87/261268687.db2.gz AGGGKRRDXHIIRL-ZDUSSCGKSA-N 1 2 282.478 3.594 20 0 CHADLO Cc1ccc([C@@H](N[C@@H](C)c2[nH]cc[nH+]2)c2ccccn2)cc1 ZINC000367600172 261273520 /nfs/dbraw/zinc/27/35/20/261273520.db2.gz ZPWWSYADGDEWMP-WMLDXEAASA-N 1 2 292.386 3.553 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2cnn(C(C)C)c2)cs1 ZINC000162406760 261280066 /nfs/dbraw/zinc/28/00/66/261280066.db2.gz OWUXDLFKVZEUGG-NWDGAFQWSA-N 1 2 292.452 3.895 20 0 CHADLO Cc1cccc([C@@H](C)[S@@](=O)Cc2cn3ccccc3[nH+]2)c1 ZINC000176517870 261293375 /nfs/dbraw/zinc/29/33/75/261293375.db2.gz JVCRXEBCLJOPKP-SZNDQCEHSA-N 1 2 298.411 3.653 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC[C@@H]2c2ccncc2)o1 ZINC000162758905 261293808 /nfs/dbraw/zinc/29/38/08/261293808.db2.gz ORKAEIPHOBZNPX-CQSZACIVSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC[C@@H]2c2ccncc2)o1 ZINC000162758905 261293811 /nfs/dbraw/zinc/29/38/11/261293811.db2.gz ORKAEIPHOBZNPX-CQSZACIVSA-N 1 2 285.391 3.704 20 0 CHADLO Cc1cc(N[C@H](C)c2cccc(O)c2)nc(C(C)C)[nH+]1 ZINC000302785585 261354621 /nfs/dbraw/zinc/35/46/21/261354621.db2.gz MSWHVFYGJFXCJH-GFCCVEGCSA-N 1 2 271.364 3.787 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@H](C)c2ccc(C)o2)cs1 ZINC000165274660 261368076 /nfs/dbraw/zinc/36/80/76/261368076.db2.gz ZRZXQHJWFKZOQJ-GHMZBOCLSA-N 1 2 280.393 3.603 20 0 CHADLO Cc1nc(C[N@H+]2CCCC[C@@H]2c2cccn2C)cs1 ZINC000175125297 261595913 /nfs/dbraw/zinc/59/59/13/261595913.db2.gz UKSCANFCOVXEIF-OAHLLOKOSA-N 1 2 275.421 3.517 20 0 CHADLO Cc1nc(C[N@@H+]2CCCC[C@@H]2c2cccn2C)cs1 ZINC000175125297 261595915 /nfs/dbraw/zinc/59/59/15/261595915.db2.gz UKSCANFCOVXEIF-OAHLLOKOSA-N 1 2 275.421 3.517 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](C)c2cc(C)cc(C)c2)n1 ZINC000182871837 261954323 /nfs/dbraw/zinc/95/43/23/261954323.db2.gz JNTWRVQJNBXTGX-CQSZACIVSA-N 1 2 287.407 3.880 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2noc(C(C)C)n2)c(C)s1 ZINC000182981830 261959205 /nfs/dbraw/zinc/95/92/05/261959205.db2.gz ZROUJVHLRHTYDC-JTQLQIEISA-N 1 2 279.409 3.722 20 0 CHADLO Cc1nc([C@H]([NH2+]CCCC(C)C)c2ccccc2F)no1 ZINC000363869046 261984238 /nfs/dbraw/zinc/98/42/38/261984238.db2.gz XGORWGFGARQQFB-OAHLLOKOSA-N 1 2 291.370 3.632 20 0 CHADLO COc1c(C)c[nH+]c(COc2ccsc2C(C)=O)c1C ZINC000184525021 262022293 /nfs/dbraw/zinc/02/22/93/262022293.db2.gz VSTLAGUQWJSHLX-UHFFFAOYSA-N 1 2 291.372 3.550 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1c2ccccc2CCC[C@@H]1C ZINC000185087720 262041296 /nfs/dbraw/zinc/04/12/96/262041296.db2.gz MSEFFKXLKZWCET-YVEFUNNKSA-N 1 2 269.392 3.521 20 0 CHADLO CC(C)c1cnc(NCc2cccc3[nH+]ccn32)s1 ZINC000185986477 262074369 /nfs/dbraw/zinc/07/43/69/262074369.db2.gz VRXVFZGUUSDZLQ-UHFFFAOYSA-N 1 2 272.377 3.526 20 0 CHADLO CCSc1cccc(C[N@H+](C)Cc2cc(C)on2)c1 ZINC000186187531 262083612 /nfs/dbraw/zinc/08/36/12/262083612.db2.gz RILJXZVOIRHICM-UHFFFAOYSA-N 1 2 276.405 3.727 20 0 CHADLO CCSc1cccc(C[N@@H+](C)Cc2cc(C)on2)c1 ZINC000186187531 262083613 /nfs/dbraw/zinc/08/36/13/262083613.db2.gz RILJXZVOIRHICM-UHFFFAOYSA-N 1 2 276.405 3.727 20 0 CHADLO Cc1ccoc1CNc1cccc(-c2[nH+]ccn2C)c1 ZINC000119959243 262099246 /nfs/dbraw/zinc/09/92/46/262099246.db2.gz FDDCKDUOTFVSNV-UHFFFAOYSA-N 1 2 267.332 3.601 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3ccc(C(C)(C)C)cc3C2)o1 ZINC000182246335 262102166 /nfs/dbraw/zinc/10/21/66/262102166.db2.gz DFLNXLBZOMPGGY-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3ccc(C(C)(C)C)cc3C2)o1 ZINC000182246335 262102168 /nfs/dbraw/zinc/10/21/68/262102168.db2.gz DFLNXLBZOMPGGY-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1ccccc1C[N@@H+]1CCSC[C@H]1c1nccs1 ZINC000186631875 262102596 /nfs/dbraw/zinc/10/25/96/262102596.db2.gz WSUDSTDEGHCGRT-AWEZNQCLSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1ccccc1C[N@H+]1CCSC[C@H]1c1nccs1 ZINC000186631875 262102598 /nfs/dbraw/zinc/10/25/98/262102598.db2.gz WSUDSTDEGHCGRT-AWEZNQCLSA-N 1 2 290.457 3.742 20 0 CHADLO O[C@H](C[NH+]1Cc2ccccc2C1)c1ccc(F)cc1Cl ZINC000186995724 262119892 /nfs/dbraw/zinc/11/98/92/262119892.db2.gz NSSDQKZBIHVAFQ-MRXNPFEDSA-N 1 2 291.753 3.528 20 0 CHADLO COc1cccc(C[N@H+](C)[C@H](C)c2ccccc2Cl)n1 ZINC000497436840 262160024 /nfs/dbraw/zinc/16/00/24/262160024.db2.gz HHGUKPDFZQVOIJ-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)n1 ZINC000497436840 262160025 /nfs/dbraw/zinc/16/00/25/262160025.db2.gz HHGUKPDFZQVOIJ-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO CC[C@H](C)[C@H]([NH2+]Cc1cccs1)C(=O)OC(C)(C)C ZINC000497606681 262180454 /nfs/dbraw/zinc/18/04/54/262180454.db2.gz HJLSEGLIJAWRNB-AAEUAGOBSA-N 1 2 283.437 3.594 20 0 CHADLO Cc1cnc(Nc2c[nH+]c(N3CCCC[C@@H]3C)c(C)c2)nc1 ZINC000503279362 262244688 /nfs/dbraw/zinc/24/46/88/262244688.db2.gz HSEHJNKHOYVQJL-AWEZNQCLSA-N 1 2 297.406 3.611 20 0 CHADLO CC(C)C1CC(Nc2ccc(-n3cc[nH+]c3)c(F)c2)C1 ZINC000488319898 262311303 /nfs/dbraw/zinc/31/13/03/262311303.db2.gz LRXPXAVVVABIGX-UHFFFAOYSA-N 1 2 273.355 3.858 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2ccc(Cl)cc2F)o1 ZINC000311856961 262342287 /nfs/dbraw/zinc/34/22/87/262342287.db2.gz QUHUNJPAUYKYCB-UHFFFAOYSA-N 1 2 282.746 3.628 20 0 CHADLO c1[nH+]c(SCc2nc3ccccc3o2)n2ccccc12 ZINC000021409007 262383805 /nfs/dbraw/zinc/38/38/05/262383805.db2.gz ZYCILOPNJQCHNC-UHFFFAOYSA-N 1 2 281.340 3.768 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCCc1scnc1C ZINC000391213570 262390977 /nfs/dbraw/zinc/39/09/77/262390977.db2.gz REUXOBAPCVYFJT-UHFFFAOYSA-N 1 2 299.399 3.663 20 0 CHADLO C[C@H](Nc1cccc([NH+]2CCCCCC2)c1)c1ccn(C)n1 ZINC000414100398 262804519 /nfs/dbraw/zinc/80/45/19/262804519.db2.gz AOLAKEZGZKJKGS-HNNXBMFYSA-N 1 2 298.434 3.974 20 0 CHADLO C[C@@H]1[C@H](CO)CCC[N@@H+]1Cc1csc(Cl)c1Cl ZINC000414589506 263001933 /nfs/dbraw/zinc/00/19/33/263001933.db2.gz WZGLDTHJURAABZ-BDAKNGLRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1[C@H](CO)CCC[N@H+]1Cc1csc(Cl)c1Cl ZINC000414589506 263001934 /nfs/dbraw/zinc/00/19/34/263001934.db2.gz WZGLDTHJURAABZ-BDAKNGLRSA-N 1 2 294.247 3.648 20 0 CHADLO CC[C@@H](Nc1nc(C)[nH+]c2c1CCCC2)c1ccncc1 ZINC000272840235 263009205 /nfs/dbraw/zinc/00/92/05/263009205.db2.gz IMJBLZNCXIMQKK-OAHLLOKOSA-N 1 2 282.391 3.622 20 0 CHADLO Fc1cccc(CNc2ccc3c(c2)CCC[NH2+]3)c1 ZINC000414846414 263062465 /nfs/dbraw/zinc/06/24/65/263062465.db2.gz HENMRGOCIOLOAD-UHFFFAOYSA-N 1 2 256.324 3.796 20 0 CHADLO COc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)cc1 ZINC000414853330 263070839 /nfs/dbraw/zinc/07/08/39/263070839.db2.gz RZOOVANQTUZACW-UHFFFAOYSA-N 1 2 268.360 3.665 20 0 CHADLO CN(C)c1ccccc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414854928 263071387 /nfs/dbraw/zinc/07/13/87/263071387.db2.gz VPIYRBXCNMMPHK-UHFFFAOYSA-N 1 2 281.403 3.723 20 0 CHADLO Cc1cccc(CNc2ccc3c(c2)CCC[NH2+]3)c1 ZINC000414856717 263073121 /nfs/dbraw/zinc/07/31/21/263073121.db2.gz ZAMOKWOKEWEBPV-UHFFFAOYSA-N 1 2 252.361 3.965 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cncc1F)c1ccccc1F ZINC000285496985 263093417 /nfs/dbraw/zinc/09/34/17/263093417.db2.gz MWJLTIFNDDOIAQ-NXEZZACHSA-N 1 2 280.293 3.911 20 0 CHADLO c1csc([C@H]([NH2+]CCCC2CCCC2)c2nnc[nH]2)c1 ZINC000293870397 263151157 /nfs/dbraw/zinc/15/11/57/263151157.db2.gz UWJNCWXRNQGWSY-AWEZNQCLSA-N 1 2 290.436 3.516 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)N(C)[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000293871199 263151554 /nfs/dbraw/zinc/15/15/54/263151554.db2.gz HCJMUYFMASPYIW-SQWLQELKSA-N 1 2 299.418 3.640 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccccc2OC2CC2)s1 ZINC000295069924 263171876 /nfs/dbraw/zinc/17/18/76/263171876.db2.gz ADWKHUNYIYFXKR-GFCCVEGCSA-N 1 2 288.416 3.844 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1ccccc1OCC(F)F ZINC000296268396 263189003 /nfs/dbraw/zinc/18/90/03/263189003.db2.gz BVKMRNORYGHMID-NSHDSACASA-N 1 2 295.333 3.720 20 0 CHADLO COCc1cnc(C[N@H+](C)[C@@H](C)c2ccc(C)cc2)s1 ZINC000342674087 263461826 /nfs/dbraw/zinc/46/18/26/263461826.db2.gz ICGKEAZVTCRINX-ZDUSSCGKSA-N 1 2 290.432 3.791 20 0 CHADLO COCc1cnc(C[N@@H+](C)[C@@H](C)c2ccc(C)cc2)s1 ZINC000342674087 263461827 /nfs/dbraw/zinc/46/18/27/263461827.db2.gz ICGKEAZVTCRINX-ZDUSSCGKSA-N 1 2 290.432 3.791 20 0 CHADLO CC[C@@H](NC(=O)C[C@H]1CCCCC1(C)C)c1[nH]cc[nH+]1 ZINC000331264741 264185611 /nfs/dbraw/zinc/18/56/11/264185611.db2.gz GPXFUBWGKRSRKQ-CHWSQXEVSA-N 1 2 277.412 3.584 20 0 CHADLO CC[C@@H](NC(=O)C[C@@H]1CCCCC1(C)C)c1[nH]cc[nH+]1 ZINC000331264743 264185768 /nfs/dbraw/zinc/18/57/68/264185768.db2.gz GPXFUBWGKRSRKQ-QWHCGFSZSA-N 1 2 277.412 3.584 20 0 CHADLO CC[C@H](NC(=O)[C@H](C)CC1CCCCC1)c1[nH]cc[nH+]1 ZINC000331294995 264197722 /nfs/dbraw/zinc/19/77/22/264197722.db2.gz YDCRNSAPFOQVPF-OCCSQVGLSA-N 1 2 277.412 3.584 20 0 CHADLO FC(F)CC1C[NH+](Cc2cscc2C(F)(F)F)C1 ZINC000425365850 264211308 /nfs/dbraw/zinc/21/13/08/264211308.db2.gz NKCLNUYAOHKQST-UHFFFAOYSA-N 1 2 285.281 3.854 20 0 CHADLO Cc1ccc(NC(=O)N2CCC(C)(C(C)C)CC2)c(C)[nH+]1 ZINC000189113827 264273572 /nfs/dbraw/zinc/27/35/72/264273572.db2.gz WJRGTRMKQKIEFM-UHFFFAOYSA-N 1 2 289.423 3.988 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nc(C(F)F)no2)cc1 ZINC000425391475 264273990 /nfs/dbraw/zinc/27/39/90/264273990.db2.gz YDQORKHGEJEGKY-GFCCVEGCSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nc(C(F)F)no2)cc1 ZINC000425391475 264273991 /nfs/dbraw/zinc/27/39/91/264273991.db2.gz YDQORKHGEJEGKY-GFCCVEGCSA-N 1 2 293.317 3.653 20 0 CHADLO COc1cccc2c(N(C)Cc3cnc(C)s3)cc[nH+]c12 ZINC000189212996 264278776 /nfs/dbraw/zinc/27/87/76/264278776.db2.gz WTJFMJRLVFWEHV-UHFFFAOYSA-N 1 2 299.399 3.645 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2nc(C(F)F)no2)c1 ZINC000425404081 264282878 /nfs/dbraw/zinc/28/28/78/264282878.db2.gz JEIQRLWRHUQODT-GFCCVEGCSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2nc(C(F)F)no2)c1 ZINC000425404081 264282881 /nfs/dbraw/zinc/28/28/81/264282881.db2.gz JEIQRLWRHUQODT-GFCCVEGCSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@H+](C)Cc2nc(C(F)F)no2)c1 ZINC000425405911 264283914 /nfs/dbraw/zinc/28/39/14/264283914.db2.gz ZMYVRACUHAGUOY-LLVKDONJSA-N 1 2 295.333 3.817 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@@H+](C)Cc2nc(C(F)F)no2)c1 ZINC000425405911 264283917 /nfs/dbraw/zinc/28/39/17/264283917.db2.gz ZMYVRACUHAGUOY-LLVKDONJSA-N 1 2 295.333 3.817 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cc1)c1nc(C(C)(C)C)no1 ZINC000073984350 264301153 /nfs/dbraw/zinc/30/11/53/264301153.db2.gz JGBPFXNRMOURIJ-SNVBAGLBSA-N 1 2 293.798 3.871 20 0 CHADLO FC(F)c1noc(C[N@@H+]2CCCC[C@H]2c2ccccc2)n1 ZINC000425430424 264310049 /nfs/dbraw/zinc/31/00/49/264310049.db2.gz FMPXVQFCNAIATO-LBPRGKRZSA-N 1 2 293.317 3.734 20 0 CHADLO FC(F)c1noc(C[N@H+]2CCCC[C@H]2c2ccccc2)n1 ZINC000425430424 264310052 /nfs/dbraw/zinc/31/00/52/264310052.db2.gz FMPXVQFCNAIATO-LBPRGKRZSA-N 1 2 293.317 3.734 20 0 CHADLO C[C@@H]([NH2+]Cc1csnn1)c1cc(Cl)ccc1Cl ZINC000189948121 264337927 /nfs/dbraw/zinc/33/79/27/264337927.db2.gz PLZNPWBTKYQBML-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO Cc1cc(Cl)cc(C(=O)NCc2c[nH+]c(C)cc2C)c1 ZINC000456843451 264357457 /nfs/dbraw/zinc/35/74/57/264357457.db2.gz QPKWJQZQFDAUQU-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO CCCC[C@@H](C)[NH2+][C@H](C(=O)OC)c1ccc(Cl)cc1 ZINC000428091501 264364854 /nfs/dbraw/zinc/36/48/54/264364854.db2.gz MEUORIQAKDHILP-RISCZKNCSA-N 1 2 283.799 3.722 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H]2C[C@@H](C)n3ccnc32)c(Cl)c1 ZINC000334519933 264803246 /nfs/dbraw/zinc/80/32/46/264803246.db2.gz UGGMBVWPGCRGCQ-YGRLFVJLSA-N 1 2 293.773 3.780 20 0 CHADLO COC(=O)c1occc1C[NH2+][C@@H](C)c1cc2ccccc2o1 ZINC000191363355 265199753 /nfs/dbraw/zinc/19/97/53/265199753.db2.gz SSBRSJWLHUIBJC-NSHDSACASA-N 1 2 299.326 3.663 20 0 CHADLO Clc1cccc2c(N3CCCSCC3)cc[nH+]c12 ZINC000519201572 265363339 /nfs/dbraw/zinc/36/33/39/265363339.db2.gz RGKWFVTZHQJTQY-UHFFFAOYSA-N 1 2 278.808 3.832 20 0 CHADLO Cc1cn2cc(NC(=O)[C@@H](C)CC(C)(C)C)ccc2[nH+]1 ZINC000355980483 266052930 /nfs/dbraw/zinc/05/29/30/266052930.db2.gz FRSIENUACRYSLX-NSHDSACASA-N 1 2 273.380 3.654 20 0 CHADLO Fc1ccc(C[NH2+]Cc2ncc(-c3ccccc3)s2)nc1 ZINC000356285339 266087592 /nfs/dbraw/zinc/08/75/92/266087592.db2.gz QEUJXKSSZJDNHJ-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO Cc1c[nH+]c(CN2CC[C@H](C)Sc3ccccc32)n1C ZINC000356297260 266090103 /nfs/dbraw/zinc/09/01/03/266090103.db2.gz FFFNMJFGNZGKKZ-ZDUSSCGKSA-N 1 2 287.432 3.619 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2cccc(N3CCCC3)c2)o1 ZINC000356312863 266093416 /nfs/dbraw/zinc/09/34/16/266093416.db2.gz QAVCHKCHDJJGSB-CABCVRRESA-N 1 2 299.418 3.995 20 0 CHADLO Cc1cc2cc(CNc3ccc(CO)c[nH+]3)oc2cc1C ZINC000356312375 266093901 /nfs/dbraw/zinc/09/39/01/266093901.db2.gz CHGPIOBLQLYMPZ-UHFFFAOYSA-N 1 2 282.343 3.549 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2ccccc2-n2cccn2)o1 ZINC000356314458 266094093 /nfs/dbraw/zinc/09/40/93/266094093.db2.gz SHZHNJHFDLEIOQ-ZIAGYGMSSA-N 1 2 296.374 3.581 20 0 CHADLO c1csc(-c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)c1 ZINC000356607868 266147404 /nfs/dbraw/zinc/14/74/04/266147404.db2.gz YTXMCKOSGCFJGA-UHFFFAOYSA-N 1 2 294.339 3.651 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)[C@H]2CCCOC2)c1 ZINC000356865919 266197681 /nfs/dbraw/zinc/19/76/81/266197681.db2.gz DRLSLXLKAWKHGV-OCCSQVGLSA-N 1 2 280.437 3.562 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2cc(-c3ccccc3)cs2)n1 ZINC000356895472 266207018 /nfs/dbraw/zinc/20/70/18/266207018.db2.gz PJJJCEJQVGSLAG-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3sccc3Cl)cn2c1 ZINC000356965142 266220378 /nfs/dbraw/zinc/22/03/78/266220378.db2.gz PSDWYOSFGKYMRK-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccc(Br)o2)o1 ZINC000356985700 266224923 /nfs/dbraw/zinc/22/49/23/266224923.db2.gz WFBFMIKAVQKVTM-SECBINFHSA-N 1 2 299.168 3.532 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccc(Br)o2)o1 ZINC000356985700 266224926 /nfs/dbraw/zinc/22/49/26/266224926.db2.gz WFBFMIKAVQKVTM-SECBINFHSA-N 1 2 299.168 3.532 20 0 CHADLO CCC[C@@]1(C)CCC[N@H+](Cc2ncn(-c3ccccc3)n2)C1 ZINC000356992157 266227067 /nfs/dbraw/zinc/22/70/67/266227067.db2.gz VYDUITVIOFZVDJ-SFHVURJKSA-N 1 2 298.434 3.670 20 0 CHADLO CCC[C@@]1(C)CCC[N@@H+](Cc2ncn(-c3ccccc3)n2)C1 ZINC000356992157 266227070 /nfs/dbraw/zinc/22/70/70/266227070.db2.gz VYDUITVIOFZVDJ-SFHVURJKSA-N 1 2 298.434 3.670 20 0 CHADLO CCC[C@]1(C)CCC[N@H+](Cc2ncn(-c3ccccc3)n2)C1 ZINC000356992156 266227132 /nfs/dbraw/zinc/22/71/32/266227132.db2.gz VYDUITVIOFZVDJ-GOSISDBHSA-N 1 2 298.434 3.670 20 0 CHADLO CCC[C@]1(C)CCC[N@@H+](Cc2ncn(-c3ccccc3)n2)C1 ZINC000356992156 266227134 /nfs/dbraw/zinc/22/71/34/266227134.db2.gz VYDUITVIOFZVDJ-GOSISDBHSA-N 1 2 298.434 3.670 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2cccc(OC(C)C)c2)o1 ZINC000356999527 266229048 /nfs/dbraw/zinc/22/90/48/266229048.db2.gz CDJGPUMNMXHWTN-CQSZACIVSA-N 1 2 288.391 3.963 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2cccc(OC(C)C)c2)o1 ZINC000356999527 266229049 /nfs/dbraw/zinc/22/90/49/266229049.db2.gz CDJGPUMNMXHWTN-CQSZACIVSA-N 1 2 288.391 3.963 20 0 CHADLO CC[C@H](CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1)c1ccccc1 ZINC000357115497 266250923 /nfs/dbraw/zinc/25/09/23/266250923.db2.gz GDRJRKGZWJJVBL-ZBFHGGJFSA-N 1 2 297.402 3.657 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(-c3ccco3)no2)cc1C ZINC000357962540 266389910 /nfs/dbraw/zinc/38/99/10/266389910.db2.gz CPWSWKCGUDHDCB-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(-c3ccco3)no2)cc1C ZINC000357962540 266389912 /nfs/dbraw/zinc/38/99/12/266389912.db2.gz CPWSWKCGUDHDCB-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1nc(N2CC[C@H](C)C3(CCCCC3)C2)cc[nH+]1 ZINC000360864812 266749173 /nfs/dbraw/zinc/74/91/73/266749173.db2.gz RGDPMNBLSOEWLS-ZDUSSCGKSA-N 1 2 259.397 3.582 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000361064009 266776586 /nfs/dbraw/zinc/77/65/86/266776586.db2.gz YKGRDERGJZYHCK-KGLIPLIRSA-N 1 2 280.371 3.590 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1)[N@H+]1Cc2ccccc2[C@H]1C ZINC000361064009 266776588 /nfs/dbraw/zinc/77/65/88/266776588.db2.gz YKGRDERGJZYHCK-KGLIPLIRSA-N 1 2 280.371 3.590 20 0 CHADLO CCCOc1ccc(C(C)(C)[NH2+]Cc2nccc(C)n2)cc1 ZINC000361567595 266850200 /nfs/dbraw/zinc/85/02/00/266850200.db2.gz VRKNGIPTIKKVKO-UHFFFAOYSA-N 1 2 299.418 3.599 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3cc(Cl)ccc3C2)c(C)s1 ZINC000361849942 266893655 /nfs/dbraw/zinc/89/36/55/266893655.db2.gz OOBFFZULAQTICV-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1nc(C[N@H+]2CCc3cc(Cl)ccc3C2)c(C)s1 ZINC000361849942 266893657 /nfs/dbraw/zinc/89/36/57/266893657.db2.gz OOBFFZULAQTICV-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO CCOc1ccc(CNc2ccc(C)[nH+]c2C)cc1F ZINC000362628003 267025232 /nfs/dbraw/zinc/02/52/32/267025232.db2.gz QYWKWMFIMAOIFX-UHFFFAOYSA-N 1 2 274.339 3.848 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)CCC3CCC3)ccc2[nH+]1 ZINC000362921303 267061141 /nfs/dbraw/zinc/06/11/41/267061141.db2.gz CAMBRUWUBJEFLP-UHFFFAOYSA-N 1 2 297.402 3.820 20 0 CHADLO FC(F)c1ccc(C[NH2+][C@H]2CCCc3[nH]ncc32)cc1 ZINC000367600666 267103553 /nfs/dbraw/zinc/10/35/53/267103553.db2.gz ZAFIGFOHGJEVJH-ZDUSSCGKSA-N 1 2 277.318 3.515 20 0 CHADLO Cc1cc(F)cc2[nH]c(C(=O)Nc3cc[nH+]cc3C)cc21 ZINC000116755769 267174891 /nfs/dbraw/zinc/17/48/91/267174891.db2.gz BOFIAJSTEASPIS-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO CCc1cccc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)c1 ZINC000117566896 267216682 /nfs/dbraw/zinc/21/66/82/267216682.db2.gz WQXXBNSSUCXDFN-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@H+](Cc2nccs2)C1 ZINC000369224050 267227393 /nfs/dbraw/zinc/22/73/93/267227393.db2.gz IUNJFDXGHNVDGI-HNNXBMFYSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@@H+](Cc2nccs2)C1 ZINC000369224050 267227396 /nfs/dbraw/zinc/22/73/96/267227396.db2.gz IUNJFDXGHNVDGI-HNNXBMFYSA-N 1 2 276.380 3.522 20 0 CHADLO C[C@@H]1COCC[N@H+](Cc2nc(C3CCCCC3)cs2)C1 ZINC000369320143 267235446 /nfs/dbraw/zinc/23/54/46/267235446.db2.gz VEMZQXHUZGQLTL-ZDUSSCGKSA-N 1 2 294.464 3.659 20 0 CHADLO C[C@@H]1COCC[N@@H+](Cc2nc(C3CCCCC3)cs2)C1 ZINC000369320143 267235448 /nfs/dbraw/zinc/23/54/48/267235448.db2.gz VEMZQXHUZGQLTL-ZDUSSCGKSA-N 1 2 294.464 3.659 20 0 CHADLO c1ccc(NCc2ccc(-c3ccncc3)cc2)[nH+]c1 ZINC000369398702 267239977 /nfs/dbraw/zinc/23/99/77/267239977.db2.gz PLKWYOLLXPPABI-UHFFFAOYSA-N 1 2 261.328 3.756 20 0 CHADLO FC1(c2cccc(Cl)c2)CC[NH+](Cc2cnc[nH]2)CC1 ZINC000369609752 267254806 /nfs/dbraw/zinc/25/48/06/267254806.db2.gz SMIMSUMDDQQTPJ-UHFFFAOYSA-N 1 2 293.773 3.524 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)o1 ZINC000369825774 267269951 /nfs/dbraw/zinc/26/99/51/267269951.db2.gz KSQDAHAUUUVLEL-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)o1 ZINC000369825774 267269954 /nfs/dbraw/zinc/26/99/54/267269954.db2.gz KSQDAHAUUUVLEL-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO COc1ccc(C)cc1[C@H](C)NC(=O)Nc1cc[nH+]c(C)c1 ZINC000119160391 267304988 /nfs/dbraw/zinc/30/49/88/267304988.db2.gz BSGBFVKBGPKNDS-ZDUSSCGKSA-N 1 2 299.374 3.590 20 0 CHADLO CCC[C@H](C)[C@@H]1CCCN1C(=O)Nc1cc[nH+]c(C)c1 ZINC000119321261 267321778 /nfs/dbraw/zinc/32/17/78/267321778.db2.gz OLAPUOXRQITHST-WFASDCNBSA-N 1 2 275.396 3.823 20 0 CHADLO CCC[C@H](C)C(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000371248537 267377420 /nfs/dbraw/zinc/37/74/20/267377420.db2.gz WEEZZJYZTFDLNB-NSHDSACASA-N 1 2 271.364 3.760 20 0 CHADLO C[C@H]([NH2+]Cc1ncoc1-c1ccccc1)c1ccco1 ZINC000371281952 267381134 /nfs/dbraw/zinc/38/11/34/267381134.db2.gz XONFIHVWSMXWCU-LBPRGKRZSA-N 1 2 268.316 3.785 20 0 CHADLO Cc1cc2cc(C[N@@H+]3CCC[C@@H]3c3ncon3)oc2cc1C ZINC000371375805 267396012 /nfs/dbraw/zinc/39/60/12/267396012.db2.gz WDZJVFPPISSXKL-OAHLLOKOSA-N 1 2 297.358 3.770 20 0 CHADLO Cc1cc2cc(C[N@H+]3CCC[C@@H]3c3ncon3)oc2cc1C ZINC000371375805 267396015 /nfs/dbraw/zinc/39/60/15/267396015.db2.gz WDZJVFPPISSXKL-OAHLLOKOSA-N 1 2 297.358 3.770 20 0 CHADLO CC(C)c1nc(N(Cc2cccc(F)c2)C2CC2)cc[nH+]1 ZINC000303655898 267696681 /nfs/dbraw/zinc/69/66/81/267696681.db2.gz XVPKJZJCWBYDLU-UHFFFAOYSA-N 1 2 285.366 3.908 20 0 CHADLO CCSc1cccc(C(=O)Nc2cc[nH+]cc2C)c1 ZINC000121696300 267706630 /nfs/dbraw/zinc/70/66/30/267706630.db2.gz CPQTZLZRFREICB-UHFFFAOYSA-N 1 2 272.373 3.754 20 0 CHADLO C[C@@H]([NH2+]Cc1ncoc1-c1ccccc1)c1ccccn1 ZINC000376820182 268021272 /nfs/dbraw/zinc/02/12/72/268021272.db2.gz ZQLASZXXXFUSFZ-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H]2C[C@@H]2C(C)C)[nH+]1 ZINC000376910184 268028814 /nfs/dbraw/zinc/02/88/14/268028814.db2.gz IMQQUBQJJDPCBS-ZIAGYGMSSA-N 1 2 283.375 3.616 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](c2ccccc2)[C@@H]2CCCO2)no1 ZINC000377086475 268046150 /nfs/dbraw/zinc/04/61/50/268046150.db2.gz LYDWKXRPQRNJBY-RRQGHBQHSA-N 1 2 286.375 3.554 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C2(C)CCCCC2)n1 ZINC000570787543 327619172 /nfs/dbraw/zinc/61/91/72/327619172.db2.gz JWEWZCSUTISBRR-UHFFFAOYSA-N 1 2 271.364 3.586 20 0 CHADLO Cc1cc(N(CC2CC2)CC2CC2)nc(C(C)C)[nH+]1 ZINC000301397441 328827716 /nfs/dbraw/zinc/82/77/16/328827716.db2.gz NTGSMVCAGGJTFQ-UHFFFAOYSA-N 1 2 259.397 3.535 20 0 CHADLO C[C@@H](Nc1[nH+]cnc2c1cnn2-c1ccccc1)C(C)(C)C ZINC000301592453 327716817 /nfs/dbraw/zinc/71/68/17/327716817.db2.gz YOJVFYVNDJHTGC-GFCCVEGCSA-N 1 2 295.390 3.662 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCOCc2ccccc2)c1 ZINC000301579842 327716958 /nfs/dbraw/zinc/71/69/58/327716958.db2.gz UJZUBSXYZSDPSQ-UHFFFAOYSA-N 1 2 296.292 3.729 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@H](C)c2cc3ccccc3o2)n1 ZINC000182624737 327814616 /nfs/dbraw/zinc/81/46/16/327814616.db2.gz XIVPGKNFOMFSDK-GFCCVEGCSA-N 1 2 299.374 3.865 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@H](C)c1ccco1 ZINC000122483428 327928400 /nfs/dbraw/zinc/92/84/00/327928400.db2.gz DYRQLGRCZWXRGO-GHMZBOCLSA-N 1 2 263.312 3.839 20 0 CHADLO CCCC[C@@H]([NH2+][C@H]1CCc2c1cccc2Cl)C(=O)OC ZINC000534243050 328041683 /nfs/dbraw/zinc/04/16/83/328041683.db2.gz JWNGFSVMQFHGLM-LSDHHAIUSA-N 1 2 295.810 3.649 20 0 CHADLO CCC(CC)CC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000531837141 328042530 /nfs/dbraw/zinc/04/25/30/328042530.db2.gz BICKHVMGINNSLM-UHFFFAOYSA-N 1 2 271.364 3.842 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)c1ccncc1)c1cscn1 ZINC000534329606 328046282 /nfs/dbraw/zinc/04/62/82/328046282.db2.gz OVJGCAXONVYJRA-SUMWQHHRSA-N 1 2 295.411 3.978 20 0 CHADLO Cc1ccc2[nH+]c(CNC(=O)CC3CCCCCC3)cn2c1 ZINC000563472938 328068629 /nfs/dbraw/zinc/06/86/29/328068629.db2.gz ILRAMQGKSSTDEQ-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO CC(C)[N@H+](CC(F)F)C[C@@H]1CCOc2ccccc21 ZINC000378368983 329047606 /nfs/dbraw/zinc/04/76/06/329047606.db2.gz IYRAZAIESNEHLH-LBPRGKRZSA-N 1 2 269.335 3.528 20 0 CHADLO CC(C)[N@@H+](CC(F)F)C[C@@H]1CCOc2ccccc21 ZINC000378368983 329047608 /nfs/dbraw/zinc/04/76/08/329047608.db2.gz IYRAZAIESNEHLH-LBPRGKRZSA-N 1 2 269.335 3.528 20 0 CHADLO Fc1ccc(-c2nc(C[N@H+]3CCC[C@H](F)C3)cs2)cc1 ZINC000571291187 328099886 /nfs/dbraw/zinc/09/98/86/328099886.db2.gz MKJLGBSDWVRDBF-ZDUSSCGKSA-N 1 2 294.370 3.883 20 0 CHADLO Fc1ccc(-c2nc(C[N@@H+]3CCC[C@H](F)C3)cs2)cc1 ZINC000571291187 328099888 /nfs/dbraw/zinc/09/98/88/328099888.db2.gz MKJLGBSDWVRDBF-ZDUSSCGKSA-N 1 2 294.370 3.883 20 0 CHADLO CC(C)c1nc(N2Cc3ccc(Cl)cc3C2)cc[nH+]1 ZINC000413463408 328146622 /nfs/dbraw/zinc/14/66/22/328146622.db2.gz YLQFQTDSCIXARY-UHFFFAOYSA-N 1 2 273.767 3.774 20 0 CHADLO Fc1cccc(F)c1-c1ccc(CNc2c[nH]c[nH+]2)o1 ZINC000571630208 328150006 /nfs/dbraw/zinc/15/00/06/328150006.db2.gz IRKKYEGWDVFZCJ-UHFFFAOYSA-N 1 2 275.258 3.560 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OC1CCCC1)c1ccn(C)n1 ZINC000414064483 328152688 /nfs/dbraw/zinc/15/26/88/328152688.db2.gz FVLLDDSYEMLFNV-AWEZNQCLSA-N 1 2 299.418 3.592 20 0 CHADLO CCc1noc(CC)c1C[NH2+][C@@H](C)c1cccnc1Cl ZINC000269093890 328189617 /nfs/dbraw/zinc/18/96/17/328189617.db2.gz RFAYBCKXBUQDGB-JTQLQIEISA-N 1 2 293.798 3.699 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N1Cc2ccccc2C(C)(C)C1 ZINC000271136897 328195150 /nfs/dbraw/zinc/19/51/50/328195150.db2.gz OWIBCYPZLJETBV-UHFFFAOYSA-N 1 2 295.386 3.715 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+][C@H](C)c2nnc(C)s2)c1 ZINC000274241573 328210265 /nfs/dbraw/zinc/21/02/65/328210265.db2.gz QUBNWCUKWHPMRV-WDEREUQCSA-N 1 2 291.420 3.657 20 0 CHADLO CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)c1cccc(C)c1 ZINC000281872869 328241363 /nfs/dbraw/zinc/24/13/63/328241363.db2.gz ROTAICFBFZJLRV-MRXNPFEDSA-N 1 2 297.402 3.579 20 0 CHADLO Cc1[nH+]c2ccccc2n1Cc1nc(CCC(C)(C)C)no1 ZINC000285130378 328247309 /nfs/dbraw/zinc/24/73/09/328247309.db2.gz NJZUMOLBUDILKE-UHFFFAOYSA-N 1 2 298.390 3.755 20 0 CHADLO COc1ccc(OCc2ccc(C)[nH+]c2C)cc1C ZINC000185373796 329271433 /nfs/dbraw/zinc/27/14/33/329271433.db2.gz FZTZYAKZFUPWJQ-UHFFFAOYSA-N 1 2 257.333 3.594 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CCCc2ccccc2)C2CCCCC2)n1 ZINC000293449495 328276098 /nfs/dbraw/zinc/27/60/98/328276098.db2.gz PHQSMJVQVPAGDY-QGZVFWFLSA-N 1 2 298.434 3.649 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+]Cc2c(F)cc(F)cc2F)cn1 ZINC000340485126 328283353 /nfs/dbraw/zinc/28/33/53/328283353.db2.gz DRPFTCZACJPOSB-JTQLQIEISA-N 1 2 297.324 3.732 20 0 CHADLO c1cc([C@@H]2CCC[N@H+]2Cc2cscn2)cs1 ZINC000298697162 328297164 /nfs/dbraw/zinc/29/71/64/328297164.db2.gz GFKONNGFTIDNJQ-LBPRGKRZSA-N 1 2 250.392 3.542 20 0 CHADLO c1cc([C@@H]2CCC[N@@H+]2Cc2cscn2)cs1 ZINC000298697162 328297165 /nfs/dbraw/zinc/29/71/65/328297165.db2.gz GFKONNGFTIDNJQ-LBPRGKRZSA-N 1 2 250.392 3.542 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(Cl)c1Cl)c1cnccn1 ZINC000531950045 328327483 /nfs/dbraw/zinc/32/74/83/328327483.db2.gz KJVWQOSFPMMEQB-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO Clc1snnc1C[N@@H+]1CCCC2(CCCCC2)C1 ZINC000111324065 328348411 /nfs/dbraw/zinc/34/84/11/328348411.db2.gz RKNAWWOAWPKPTI-UHFFFAOYSA-N 1 2 285.844 3.738 20 0 CHADLO Clc1snnc1C[N@H+]1CCCC2(CCCCC2)C1 ZINC000111324065 328348412 /nfs/dbraw/zinc/34/84/12/328348412.db2.gz RKNAWWOAWPKPTI-UHFFFAOYSA-N 1 2 285.844 3.738 20 0 CHADLO Fc1ccc(C[N@@H+]2CCOCC23CCCC3)c(Cl)c1 ZINC000534965001 328372025 /nfs/dbraw/zinc/37/20/25/328372025.db2.gz ICKFCMARMZHURQ-UHFFFAOYSA-N 1 2 283.774 3.624 20 0 CHADLO Fc1ccc(C[N@H+]2CCOCC23CCCC3)c(Cl)c1 ZINC000534965001 328372026 /nfs/dbraw/zinc/37/20/26/328372026.db2.gz ICKFCMARMZHURQ-UHFFFAOYSA-N 1 2 283.774 3.624 20 0 CHADLO Cc1[nH+]c[nH]c1CNC(=O)C/C=C/c1ccc(C(C)C)cc1 ZINC000535015388 328375877 /nfs/dbraw/zinc/37/58/77/328375877.db2.gz JVNZRKSQAIEFIJ-SNAWJCMRSA-N 1 2 297.402 3.561 20 0 CHADLO CCC[C@H]1[C@H](C)CCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535061083 328381752 /nfs/dbraw/zinc/38/17/52/328381752.db2.gz OTZJWLSGGLEWKZ-WBMJQRKESA-N 1 2 289.423 3.553 20 0 CHADLO CCC[C@H](C)[C@H]1CCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535061731 328382170 /nfs/dbraw/zinc/38/21/70/328382170.db2.gz HQXGBTWRBPACGZ-BLLLJJGKSA-N 1 2 289.423 3.553 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+][C@@H](C)c1cscn1 ZINC000535064099 328382300 /nfs/dbraw/zinc/38/23/00/328382300.db2.gz GCWCBBJAHYALJO-LBPRGKRZSA-N 1 2 276.405 3.781 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3cccc(F)c3[C@@H]2C)n1 ZINC000532036808 328398320 /nfs/dbraw/zinc/39/83/20/328398320.db2.gz ATFWOVUCRYKMSS-NSHDSACASA-N 1 2 276.380 3.710 20 0 CHADLO Cc1csc(C[N@H+]2CCc3cccc(F)c3[C@@H]2C)n1 ZINC000532036808 328398321 /nfs/dbraw/zinc/39/83/21/328398321.db2.gz ATFWOVUCRYKMSS-NSHDSACASA-N 1 2 276.380 3.710 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CSc3ccccc32)c(C)[nH+]1 ZINC000532033721 328396516 /nfs/dbraw/zinc/39/65/16/328396516.db2.gz AVMXDVKSYXNEGD-CQSZACIVSA-N 1 2 299.399 3.667 20 0 CHADLO CC(C)[C@@H](NCc1ccc(-n2cc[nH+]c2)cc1)C(F)(F)F ZINC000345417497 328565822 /nfs/dbraw/zinc/56/58/22/328565822.db2.gz AHRAAFGZFCVAMC-CQSZACIVSA-N 1 2 297.324 3.549 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1csnn1)c1cccc(C)c1 ZINC000398453954 328592721 /nfs/dbraw/zinc/59/27/21/328592721.db2.gz GJDAXVHIHAIBGE-DGCLKSJQSA-N 1 2 261.394 3.648 20 0 CHADLO Oc1ccc(SCc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000038079119 326870507 /nfs/dbraw/zinc/87/05/07/326870507.db2.gz IWPLCJHRJMBZAQ-UHFFFAOYSA-N 1 2 282.368 3.870 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)[C@H]1CC12CCCC2 ZINC000530862210 326893336 /nfs/dbraw/zinc/89/33/36/326893336.db2.gz MDFVTKUFFBDDCS-CQSZACIVSA-N 1 2 281.359 3.596 20 0 CHADLO Fc1cc(Br)ccc1CNc1cccc[nH+]1 ZINC000075826329 326922052 /nfs/dbraw/zinc/92/20/52/326922052.db2.gz BCIIDGWYFLOHNF-UHFFFAOYSA-N 1 2 281.128 3.595 20 0 CHADLO CC1(C)C[C@@H]1CNC(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000556932732 326984321 /nfs/dbraw/zinc/98/43/21/326984321.db2.gz CZZDPEXGIYIPCK-CYBMUJFWSA-N 1 2 296.370 3.650 20 0 CHADLO CC(C)CSCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000339360990 327010412 /nfs/dbraw/zinc/01/04/12/327010412.db2.gz LSGPNUANDQPVFL-UHFFFAOYSA-N 1 2 278.343 3.902 20 0 CHADLO Cc1ccc(NC(=O)C/C=C\c2ccc(F)cc2)c(C)[nH+]1 ZINC000255776461 327014943 /nfs/dbraw/zinc/01/49/43/327014943.db2.gz UPNPCISKUAXNIB-ARJAWSKDSA-N 1 2 284.334 3.880 20 0 CHADLO COC(C)(C)CN(C)c1cc[nH+]c2c(Cl)cccc12 ZINC000557628203 327017504 /nfs/dbraw/zinc/01/75/04/327017504.db2.gz ZDYPQPDOIGEDIC-UHFFFAOYSA-N 1 2 278.783 3.749 20 0 CHADLO CCc1ccc(CNc2ccc(N3CCCC3)c[nH+]2)s1 ZINC000557707579 327021611 /nfs/dbraw/zinc/02/16/11/327021611.db2.gz JKBXBSWKPLJYJJ-UHFFFAOYSA-N 1 2 287.432 3.918 20 0 CHADLO Cc1cc(N[C@H]2CSC[C@@H]2C)c2cccc(F)c2[nH+]1 ZINC000557737043 327023873 /nfs/dbraw/zinc/02/38/73/327023873.db2.gz OBKJEDDNZYPMAH-XPTSAGLGSA-N 1 2 276.380 3.846 20 0 CHADLO CCCOc1ccc(Cl)cc1NCc1c[nH+]cn1CC ZINC000090172360 327031849 /nfs/dbraw/zinc/03/18/49/327031849.db2.gz SRMNVEMAZQQVOC-UHFFFAOYSA-N 1 2 293.798 3.957 20 0 CHADLO O=C1CC[N@@H+](CCSc2ccccc2F)C2(CCC2)C1 ZINC000584121660 327044399 /nfs/dbraw/zinc/04/43/99/327044399.db2.gz UFOPUSJFHSBVRO-UHFFFAOYSA-N 1 2 293.407 3.505 20 0 CHADLO O=C1CC[N@H+](CCSc2ccccc2F)C2(CCC2)C1 ZINC000584121660 327044400 /nfs/dbraw/zinc/04/44/00/327044400.db2.gz UFOPUSJFHSBVRO-UHFFFAOYSA-N 1 2 293.407 3.505 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2c(F)cccc2F)c(F)c1 ZINC000404658804 327048394 /nfs/dbraw/zinc/04/83/94/327048394.db2.gz PHRMVPFTYCULLT-SNVBAGLBSA-N 1 2 295.304 3.963 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1c(F)ccc(Br)c1F ZINC000558618692 327108765 /nfs/dbraw/zinc/10/87/65/327108765.db2.gz HZQUOKZXUBRWNL-HTQZYQBOSA-N 1 2 290.151 3.710 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc(Cl)cn2)CCCCC1 ZINC000584247563 327126806 /nfs/dbraw/zinc/12/68/06/327126806.db2.gz JSIJODKCDTVIJH-UHFFFAOYSA-N 1 2 274.742 3.793 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@@H+]1CC[C@H](OC(F)F)C1 ZINC000558863660 327127731 /nfs/dbraw/zinc/12/77/31/327127731.db2.gz CCOFIDLAGIBGER-IUCAKERBSA-N 1 2 293.716 3.854 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@H+]1CC[C@H](OC(F)F)C1 ZINC000558863660 327127733 /nfs/dbraw/zinc/12/77/33/327127733.db2.gz CCOFIDLAGIBGER-IUCAKERBSA-N 1 2 293.716 3.854 20 0 CHADLO CCCOc1cccc2c(N3CCC[C@H]3CF)cc[nH+]c12 ZINC000558983901 327137022 /nfs/dbraw/zinc/13/70/22/327137022.db2.gz DXGALOQPZJOJBJ-ZDUSSCGKSA-N 1 2 288.366 3.962 20 0 CHADLO C[C@@H](NC(=O)[C@@H](C)c1ccc2ccccc2c1)c1[nH]cc[nH+]1 ZINC000518148363 327147259 /nfs/dbraw/zinc/14/72/59/327147259.db2.gz PPJOBAUTIJKWLM-QWHCGFSZSA-N 1 2 293.370 3.544 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cnccn1)c1cc(F)ccc1F ZINC000090078033 327177106 /nfs/dbraw/zinc/17/71/06/327177106.db2.gz OBGXDMJTMHOXBC-IINYFYTJSA-N 1 2 277.318 3.557 20 0 CHADLO CC[C@H]([NH2+][C@H](C)C(=O)OC(C)(C)C)c1cccs1 ZINC000090451504 327178529 /nfs/dbraw/zinc/17/85/29/327178529.db2.gz QPEZQZKOTIOUSZ-MNOVXSKESA-N 1 2 269.410 3.519 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccc(C)cc3F)cc2[nH+]1 ZINC000094203789 327182462 /nfs/dbraw/zinc/18/24/62/327182462.db2.gz NERURAUURARRHW-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO Cc1[nH]c2cc(NC(=O)c3ccc(C)cc3F)ccc2[nH+]1 ZINC000094203789 327182463 /nfs/dbraw/zinc/18/24/63/327182463.db2.gz NERURAUURARRHW-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2ccc(C)s2)o1 ZINC000093459737 327198169 /nfs/dbraw/zinc/19/81/69/327198169.db2.gz SVWVRWQVQSGKLY-AWEZNQCLSA-N 1 2 277.389 3.531 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2ccc(C)s2)o1 ZINC000093459737 327198170 /nfs/dbraw/zinc/19/81/70/327198170.db2.gz SVWVRWQVQSGKLY-AWEZNQCLSA-N 1 2 277.389 3.531 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2c(F)cccc2OC)s1 ZINC000224315473 327222593 /nfs/dbraw/zinc/22/25/93/327222593.db2.gz PTCPQXIQZLCNAF-JTQLQIEISA-N 1 2 294.395 3.704 20 0 CHADLO C[C@H]1c2ccsc2CCN1c1[nH]c2ccccc2[nH+]1 ZINC000536503465 327241821 /nfs/dbraw/zinc/24/18/21/327241821.db2.gz UPPYRBOCWLLXLM-JTQLQIEISA-N 1 2 269.373 3.748 20 0 CHADLO Cc1ccc(-c2noc(CCc3c[nH+]cc(C)c3)n2)c(F)c1 ZINC000426433004 327272194 /nfs/dbraw/zinc/27/21/94/327272194.db2.gz JZUIRWVBKONPNY-UHFFFAOYSA-N 1 2 297.333 3.673 20 0 CHADLO C[C@@H](O)[C@@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000560115378 327284752 /nfs/dbraw/zinc/28/47/52/327284752.db2.gz SBNNHNHADBTOIS-RKDXNWHRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H](O)[C@@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000560115378 327284753 /nfs/dbraw/zinc/28/47/53/327284753.db2.gz SBNNHNHADBTOIS-RKDXNWHRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H](O)[C@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000560115377 327284730 /nfs/dbraw/zinc/28/47/30/327284730.db2.gz SBNNHNHADBTOIS-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H](O)[C@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000560115377 327284731 /nfs/dbraw/zinc/28/47/31/327284731.db2.gz SBNNHNHADBTOIS-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO CC[C@](C)([NH2+]C[C@@H]1CCC(F)(F)C1)C(F)(F)F ZINC000560217495 327290907 /nfs/dbraw/zinc/29/09/07/327290907.db2.gz VLMWMWLNQDCWSD-BDAKNGLRSA-N 1 2 259.262 3.742 20 0 CHADLO Cc1ccc(Cc2noc(C3(C4CCC4)CCC3)n2)c[nH+]1 ZINC000560343004 327300781 /nfs/dbraw/zinc/30/07/81/327300781.db2.gz USJAQQBUEIOMMP-UHFFFAOYSA-N 1 2 283.375 3.586 20 0 CHADLO CC[C@@H]([NH2+]C[C@@H]1C[C@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC000560403748 327304770 /nfs/dbraw/zinc/30/47/70/327304770.db2.gz DUQLEAGRZBZDIE-HRCADAONSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1c[nH+]c(CCSC[C@H]2CCO[C@@H](C)C2)c(C)c1 ZINC000574400353 327326742 /nfs/dbraw/zinc/32/67/42/327326742.db2.gz PRBPHFFXKJDFNO-GJZGRUSLSA-N 1 2 279.449 3.789 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3cccc(F)c3[C@H]2C)s1 ZINC000560840876 327347206 /nfs/dbraw/zinc/34/72/06/327347206.db2.gz KMGXFVXWLJQFIW-LLVKDONJSA-N 1 2 276.380 3.710 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3cccc(F)c3[C@H]2C)s1 ZINC000560840876 327347207 /nfs/dbraw/zinc/34/72/07/327347207.db2.gz KMGXFVXWLJQFIW-LLVKDONJSA-N 1 2 276.380 3.710 20 0 CHADLO COc1ccccc1OCCNc1[nH+]ccc(C)c1Cl ZINC000561098907 327366273 /nfs/dbraw/zinc/36/62/73/327366273.db2.gz IGFUOBIHENMKOT-UHFFFAOYSA-N 1 2 292.766 3.543 20 0 CHADLO Cc1coc(-c2cc(NCc3[nH]c(C)c(C)[nH+]3)ccc2C)n1 ZINC000569622021 327380127 /nfs/dbraw/zinc/38/01/27/327380127.db2.gz DMKIBWHONPCOMA-UHFFFAOYSA-N 1 2 296.374 3.910 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@H](C)Sc2sccc21)c1csnn1 ZINC000398321069 327383804 /nfs/dbraw/zinc/38/38/04/327383804.db2.gz IJIDLZGBWGKBNS-OYNCUSHFSA-N 1 2 297.474 3.876 20 0 CHADLO CCc1ccc(NC(=O)C(C)(C)c2c[nH+]c[nH]2)cc1Cl ZINC000561327716 327386077 /nfs/dbraw/zinc/38/60/77/327386077.db2.gz XVKVSADRLRNZCG-UHFFFAOYSA-N 1 2 291.782 3.542 20 0 CHADLO CCc1ccc(NC(=O)C(C)(C)c2c[nH]c[nH+]2)cc1Cl ZINC000561327716 327386079 /nfs/dbraw/zinc/38/60/79/327386079.db2.gz XVKVSADRLRNZCG-UHFFFAOYSA-N 1 2 291.782 3.542 20 0 CHADLO CO[C@@H]1C[C@@H](c2ccccc2)CC[C@H]1Nc1cccc[nH+]1 ZINC000561408170 327393062 /nfs/dbraw/zinc/39/30/62/327393062.db2.gz IHEQZELCCBOMEW-GVDBMIGSSA-N 1 2 282.387 3.845 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cscn1)c1cnn(C2CCCC2)c1 ZINC000566501411 327401509 /nfs/dbraw/zinc/40/15/09/327401509.db2.gz QSVYDRGGGAOTHK-RYUDHWBXSA-N 1 2 290.436 3.867 20 0 CHADLO COc1cccc2c1CCC[C@@H]2[NH2+][C@@H](C)C(C)(F)F ZINC000564414221 327403374 /nfs/dbraw/zinc/40/33/74/327403374.db2.gz QUBKOIWKGRHTKJ-GWCFXTLKSA-N 1 2 269.335 3.706 20 0 CHADLO C[C@H]1Oc2cc(F)ccc2[C@H]1[NH2+]Cc1ccc(Cl)o1 ZINC000561748948 327416787 /nfs/dbraw/zinc/41/67/87/327416787.db2.gz RHTPOPUEZMOBQP-CLAHSXSESA-N 1 2 281.714 3.684 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)c2ccsc2)c(C)[nH+]1 ZINC000151622069 327483173 /nfs/dbraw/zinc/48/31/73/327483173.db2.gz WQPFAKDVHZZIGX-LLVKDONJSA-N 1 2 274.389 3.811 20 0 CHADLO Cc1nn(C)cc1[C@@H](C)[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000172682545 327517869 /nfs/dbraw/zinc/51/78/69/327517869.db2.gz CYYGOHUUGJZGOP-FZKQIMNGSA-N 1 2 295.386 3.762 20 0 CHADLO Cc1[nH+]c2ccccc2n1CC(=O)Nc1cc(C)cc(C)c1 ZINC000005627942 327536939 /nfs/dbraw/zinc/53/69/39/327536939.db2.gz HXPICTFHRYQXEX-UHFFFAOYSA-N 1 2 293.370 3.600 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccn(C(C)C)n2)oc1C ZINC000569989550 327560766 /nfs/dbraw/zinc/56/07/66/327560766.db2.gz GOSYBFBUKQHMOF-LBPRGKRZSA-N 1 2 261.369 3.525 20 0 CHADLO Cc1c[nH+]c(CCSc2ncccc2F)c(C)c1 ZINC000570161300 327572832 /nfs/dbraw/zinc/57/28/32/327572832.db2.gz UAZZDRKFPPBJBE-UHFFFAOYSA-N 1 2 262.353 3.567 20 0 CHADLO CSCc1cccc(NCc2c[nH+]cn2C(C)C)c1 ZINC000562966113 327583762 /nfs/dbraw/zinc/58/37/62/327583762.db2.gz IZTPBCZTFKBELV-UHFFFAOYSA-N 1 2 275.421 3.939 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)CN1c1[nH]c2ccccc2[nH+]1 ZINC000535693396 328613601 /nfs/dbraw/zinc/61/36/01/328613601.db2.gz MZKWBKYSJXRDMJ-UKRRQHHQSA-N 1 2 277.371 3.945 20 0 CHADLO Cc1cc(NC(=O)c2ccc3sccc3c2)c(C)c[nH+]1 ZINC000535748857 328625535 /nfs/dbraw/zinc/62/55/35/328625535.db2.gz NDADWFSMMQHGCD-UHFFFAOYSA-N 1 2 282.368 3.587 20 0 CHADLO C/C(Cl)=C\C[NH2+]C1(c2noc(C(C)C)n2)CCCC1 ZINC000528393149 328668591 /nfs/dbraw/zinc/66/85/91/328668591.db2.gz DQJOEXRCBCPTHY-IZZDOVSWSA-N 1 2 283.803 3.695 20 0 CHADLO CCc1ccc([C@H](C)CC(=O)Nc2cc[nH+]cc2CC)cc1 ZINC000532311779 328716369 /nfs/dbraw/zinc/71/63/69/328716369.db2.gz FJXATKSIKPBUEE-CQSZACIVSA-N 1 2 296.414 3.761 20 0 CHADLO Clc1cccc2c1CCN2Cc1cn2ccccc2[nH+]1 ZINC000507722553 332820688 /nfs/dbraw/zinc/82/06/88/332820688.db2.gz TZTQESPHRIZPRC-UHFFFAOYSA-N 1 2 283.762 3.550 20 0 CHADLO CC(C)N(Cc1cn2ccccc2[nH+]1)c1ccccc1 ZINC000532657699 328803631 /nfs/dbraw/zinc/80/36/31/328803631.db2.gz VFKRIDUWSRZDDH-UHFFFAOYSA-N 1 2 265.360 3.749 20 0 CHADLO Clc1ccc(CNc2ccc3ccccc3[nH+]2)cn1 ZINC000301111174 328826322 /nfs/dbraw/zinc/82/63/22/328826322.db2.gz VOVZAOHOVFAWLS-UHFFFAOYSA-N 1 2 269.735 3.895 20 0 CHADLO CSc1ccc(C[NH2+]Cc2noc3c2CCCC3)s1 ZINC000352880286 328827722 /nfs/dbraw/zinc/82/77/22/328827722.db2.gz AWHVLXRHRVCZSZ-UHFFFAOYSA-N 1 2 294.445 3.627 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(OC(F)F)cc1 ZINC000389456285 328846902 /nfs/dbraw/zinc/84/69/02/328846902.db2.gz ADNGQIXVRKJAET-IUCAKERBSA-N 1 2 279.277 3.982 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(-n2ccnc2)cc1 ZINC000389468270 328848817 /nfs/dbraw/zinc/84/88/17/328848817.db2.gz LQKQOZJGFGIELA-RYUDHWBXSA-N 1 2 279.334 3.567 20 0 CHADLO CCCCN(C(=O)Nc1c(C)cc(C)[nH+]c1C)C1CC1 ZINC000357525378 328871506 /nfs/dbraw/zinc/87/15/06/328871506.db2.gz JHFGAVPEUZVXKM-UHFFFAOYSA-N 1 2 275.396 3.803 20 0 CHADLO Cc1cc(NC2(Cc3ccccc3)CC2)nc(C2CC2)[nH+]1 ZINC000357529465 328871988 /nfs/dbraw/zinc/87/19/88/328871988.db2.gz JFQHYYGKMSLWPE-UHFFFAOYSA-N 1 2 279.387 3.850 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)NC2CCCCCC2)c1 ZINC000357599998 328872918 /nfs/dbraw/zinc/87/29/18/328872918.db2.gz QDRZMVKNNICXRQ-UHFFFAOYSA-N 1 2 297.402 3.633 20 0 CHADLO Cc1cc(NC[C@]2(C)CCOC2)c2cccc(F)c2[nH+]1 ZINC000360881384 328912398 /nfs/dbraw/zinc/91/23/98/328912398.db2.gz ABMDUTBAXDIGRX-INIZCTEOSA-N 1 2 274.339 3.521 20 0 CHADLO Cc1cccc(C(=O)Nc2cccc(-c3[nH+]ccn3C)c2)c1 ZINC000363212011 328932147 /nfs/dbraw/zinc/93/21/47/328932147.db2.gz CSZAXRRMUDFAIP-UHFFFAOYSA-N 1 2 291.354 3.648 20 0 CHADLO CC[C@@H](CC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1)C(C)C ZINC000369013797 328941977 /nfs/dbraw/zinc/94/19/77/328941977.db2.gz OZBQDBFUOSCIFV-UONOGXRCSA-N 1 2 277.412 3.536 20 0 CHADLO Cc1ccoc1CNc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000121344170 328973167 /nfs/dbraw/zinc/97/31/67/328973167.db2.gz JSGQKZTVHVHPKS-UHFFFAOYSA-N 1 2 271.295 3.525 20 0 CHADLO C[C@H](c1cnccn1)[N@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000375098821 329000654 /nfs/dbraw/zinc/00/06/54/329000654.db2.gz ZLCSEFWEDCKJAO-CYBMUJFWSA-N 1 2 299.805 3.980 20 0 CHADLO C[C@H](c1cnccn1)[N@@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000375098821 329000655 /nfs/dbraw/zinc/00/06/55/329000655.db2.gz ZLCSEFWEDCKJAO-CYBMUJFWSA-N 1 2 299.805 3.980 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2noc(C3CC3)n2)c1C ZINC000122306533 329000952 /nfs/dbraw/zinc/00/09/52/329000952.db2.gz QDGREUCDKLWIHY-INIZCTEOSA-N 1 2 297.402 3.901 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2noc(C3CC3)n2)c1C ZINC000122306533 329000954 /nfs/dbraw/zinc/00/09/54/329000954.db2.gz QDGREUCDKLWIHY-INIZCTEOSA-N 1 2 297.402 3.901 20 0 CHADLO Fc1cc(C[NH2+]Cc2noc3c2CCCC3)ccc1Cl ZINC000377380397 329013272 /nfs/dbraw/zinc/01/32/72/329013272.db2.gz DEEWNCCORUEPKS-UHFFFAOYSA-N 1 2 294.757 3.636 20 0 CHADLO C1=C[C@H]([N@H+]2CCCC[C@H]2c2noc(C3CC3)n2)CCC1 ZINC000377620637 329020932 /nfs/dbraw/zinc/02/09/32/329020932.db2.gz JTJUPFLECRTSFT-KBPBESRZSA-N 1 2 273.380 3.583 20 0 CHADLO C1=C[C@H]([N@@H+]2CCCC[C@H]2c2noc(C3CC3)n2)CCC1 ZINC000377620637 329020933 /nfs/dbraw/zinc/02/09/33/329020933.db2.gz JTJUPFLECRTSFT-KBPBESRZSA-N 1 2 273.380 3.583 20 0 CHADLO C1=C[C@@H]([N@H+]2CCCC[C@@H]2c2noc(C3CC3)n2)CCC1 ZINC000377620640 329021022 /nfs/dbraw/zinc/02/10/22/329021022.db2.gz JTJUPFLECRTSFT-ZIAGYGMSSA-N 1 2 273.380 3.583 20 0 CHADLO C1=C[C@@H]([N@@H+]2CCCC[C@@H]2c2noc(C3CC3)n2)CCC1 ZINC000377620640 329021024 /nfs/dbraw/zinc/02/10/24/329021024.db2.gz JTJUPFLECRTSFT-ZIAGYGMSSA-N 1 2 273.380 3.583 20 0 CHADLO CCCCC[C@@H](C)CC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000378078174 329038941 /nfs/dbraw/zinc/03/89/41/329038941.db2.gz NXFYAEBSMNMDQZ-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CCCCC[C@@H](C)CC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000378078174 329038942 /nfs/dbraw/zinc/03/89/42/329038942.db2.gz NXFYAEBSMNMDQZ-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CC(C)(C)c1ccc(C(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)cc1 ZINC000378409705 329049480 /nfs/dbraw/zinc/04/94/80/329049480.db2.gz DJADMWROQKFWKZ-HNNXBMFYSA-N 1 2 297.402 3.685 20 0 CHADLO CCCC(=CC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)CCC ZINC000378428021 329049969 /nfs/dbraw/zinc/04/99/69/329049969.db2.gz QWZDZZJLEDRDNK-UHFFFAOYSA-N 1 2 289.423 3.642 20 0 CHADLO CCCC(=CC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)CCC ZINC000378428021 329049970 /nfs/dbraw/zinc/04/99/70/329049970.db2.gz QWZDZZJLEDRDNK-UHFFFAOYSA-N 1 2 289.423 3.642 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(-c3ccc(C)cc3)o2)on1 ZINC000171765775 329080033 /nfs/dbraw/zinc/08/00/33/329080033.db2.gz UBCXDNJTZBRBFD-UHFFFAOYSA-N 1 2 282.343 3.841 20 0 CHADLO CCCCN(Cc1[nH+]ccn1C(C)C)CC(F)(F)F ZINC000171822818 329080440 /nfs/dbraw/zinc/08/04/40/329080440.db2.gz UZLZOYOMZDETST-UHFFFAOYSA-N 1 2 277.334 3.628 20 0 CHADLO CC(C)C(=O)Nc1cccc(N[C@H]2C[C@@H](C)n3cc[nH+]c32)c1 ZINC000393332300 329085673 /nfs/dbraw/zinc/08/56/73/329085673.db2.gz STMOREZPXHPJAW-DOMZBBRYSA-N 1 2 298.390 3.596 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccc(Br)cc1 ZINC000393921218 329091790 /nfs/dbraw/zinc/09/17/90/329091790.db2.gz IWBOUQHXYQJIOJ-MRVPVSSYSA-N 1 2 278.140 3.755 20 0 CHADLO Cc1cc(N2CCC3(CCCC3)C2)nc(-c2ccncc2)[nH+]1 ZINC000172787797 329138727 /nfs/dbraw/zinc/13/87/27/329138727.db2.gz NGLZZFRQKSGFAD-UHFFFAOYSA-N 1 2 294.402 3.618 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccnc1)c1nnc(-c2ccccc2)o1 ZINC000173367950 329146562 /nfs/dbraw/zinc/14/65/62/329146562.db2.gz YBONRFMKEMIHSS-STQMWFEESA-N 1 2 294.358 3.543 20 0 CHADLO Fc1ccc(SCc2ccc(-n3cc[nH+]c3)nc2)cc1 ZINC000175213884 329166737 /nfs/dbraw/zinc/16/67/37/329166737.db2.gz XYRSAPKXPIAWOZ-UHFFFAOYSA-N 1 2 285.347 3.699 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2cccc(Cl)c2)s1 ZINC000175511634 329169328 /nfs/dbraw/zinc/16/93/28/329169328.db2.gz QKPWTJDSRDPLAK-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2cccc(Cl)c2)s1 ZINC000175511634 329169330 /nfs/dbraw/zinc/16/93/30/329169330.db2.gz QKPWTJDSRDPLAK-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO O=C(CC1CCCCCC1)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000175961701 329172753 /nfs/dbraw/zinc/17/27/53/329172753.db2.gz LPZZKGFSMOOUAO-OAHLLOKOSA-N 1 2 289.423 3.824 20 0 CHADLO Cc1cccc2[nH+]c(CNc3ccc4scnc4c3)cn21 ZINC000177225106 329182389 /nfs/dbraw/zinc/18/23/89/329182389.db2.gz UNRJFGBRLXDELF-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO CCCCCC(C)(C)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000177630481 329188493 /nfs/dbraw/zinc/18/84/93/329188493.db2.gz IDYBCZYLCZDTGQ-UHFFFAOYSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2cc3ccccc3o2)o1 ZINC000178536910 329198138 /nfs/dbraw/zinc/19/81/38/329198138.db2.gz YEGSRBJKWAVLPI-MRXNPFEDSA-N 1 2 297.354 3.908 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2cc3ccccc3o2)o1 ZINC000178536910 329198140 /nfs/dbraw/zinc/19/81/40/329198140.db2.gz YEGSRBJKWAVLPI-MRXNPFEDSA-N 1 2 297.354 3.908 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+]1CCOC2(CCCCC2)C1 ZINC000179251286 329206801 /nfs/dbraw/zinc/20/68/01/329206801.db2.gz PMVGTVADVGPSAL-UHFFFAOYSA-N 1 2 292.448 3.556 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+]1CCOC2(CCCCC2)C1 ZINC000179251286 329206803 /nfs/dbraw/zinc/20/68/03/329206803.db2.gz PMVGTVADVGPSAL-UHFFFAOYSA-N 1 2 292.448 3.556 20 0 CHADLO Cc1cc(NCc2nccs2)c2cccc(F)c2[nH+]1 ZINC000180407353 329216178 /nfs/dbraw/zinc/21/61/78/329216178.db2.gz KVKZACKOISPFHO-UHFFFAOYSA-N 1 2 273.336 3.751 20 0 CHADLO CC(=O)c1ccc(NCc2cn3ccccc3[nH+]2)cc1Cl ZINC000181021719 329225274 /nfs/dbraw/zinc/22/52/74/329225274.db2.gz UEYMDGRWMNUWCO-UHFFFAOYSA-N 1 2 299.761 3.802 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1ncc(Cl)s1 ZINC000223046872 329232484 /nfs/dbraw/zinc/23/24/84/329232484.db2.gz WKQXWEWGYSBAAJ-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2cccc(F)c2)n1)c1cccnc1 ZINC000182889732 329245826 /nfs/dbraw/zinc/24/58/26/329245826.db2.gz MNKZKXAHUDTRMS-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CC[C@@H](C)[C@@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000183255043 329248901 /nfs/dbraw/zinc/24/89/01/329248901.db2.gz SLPAQQGLBUDHAR-VXGBXAGGSA-N 1 2 289.354 3.632 20 0 CHADLO Cc1ccc(CSCc2nc(C(C)(C)C)no2)c(C)[nH+]1 ZINC000184816000 329262924 /nfs/dbraw/zinc/26/29/24/329262924.db2.gz MLHKICVRQXLRSA-UHFFFAOYSA-N 1 2 291.420 3.812 20 0 CHADLO COc1cccc(CSCc2ccc(C)[nH+]c2C)n1 ZINC000184834209 329263218 /nfs/dbraw/zinc/26/32/18/329263218.db2.gz VPSLYBLNSOSVRP-UHFFFAOYSA-N 1 2 274.389 3.535 20 0 CHADLO CCOc1cccc(Cl)c1C[N@H+](C)Cc1ccccn1 ZINC000582913382 329287822 /nfs/dbraw/zinc/28/78/22/329287822.db2.gz HIAUJXCYUPTYSO-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1cccc(Cl)c1C[N@@H+](C)Cc1ccccn1 ZINC000582913382 329287824 /nfs/dbraw/zinc/28/78/24/329287824.db2.gz HIAUJXCYUPTYSO-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000582963428 329290812 /nfs/dbraw/zinc/29/08/12/329290812.db2.gz ZPWLBUGCCDJIHK-NEPJUHHUSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000582963428 329290814 /nfs/dbraw/zinc/29/08/14/329290814.db2.gz ZPWLBUGCCDJIHK-NEPJUHHUSA-N 1 2 281.346 3.669 20 0 CHADLO COC(=O)[C@H]([NH2+]C[C@@H]1CC(C)=C[C@H](C)C1)c1cccs1 ZINC000582995517 329294598 /nfs/dbraw/zinc/29/45/98/329294598.db2.gz AZGMTZROKCXMAP-CORIIIEPSA-N 1 2 293.432 3.544 20 0 CHADLO CCCCCOc1ccc([C@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000582975548 329297677 /nfs/dbraw/zinc/29/76/77/329297677.db2.gz HHBHNJNUVOLHGH-HNNXBMFYSA-N 1 2 299.418 3.896 20 0 CHADLO CC(C)[C@@]1(C)C[C@@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000185800548 329332171 /nfs/dbraw/zinc/33/21/71/329332171.db2.gz OAHDLXJMLNPAOO-RHSMWYFYSA-N 1 2 283.375 3.697 20 0 CHADLO c1ccc2[nH]c(C[N@@H+]3Cc4ccccc4C4(CC4)C3)nc2c1 ZINC000186199327 329339213 /nfs/dbraw/zinc/33/92/13/329339213.db2.gz LHEVKEWWFMIEIA-UHFFFAOYSA-N 1 2 289.382 3.610 20 0 CHADLO c1ccc2[nH]c(C[N@H+]3Cc4ccccc4C4(CC4)C3)nc2c1 ZINC000186199327 329339215 /nfs/dbraw/zinc/33/92/15/329339215.db2.gz LHEVKEWWFMIEIA-UHFFFAOYSA-N 1 2 289.382 3.610 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1Cc2ccccc2C2(CC2)C1 ZINC000186214976 329339754 /nfs/dbraw/zinc/33/97/54/329339754.db2.gz XFKIOAYUXWRSGZ-GFCCVEGCSA-N 1 2 295.386 3.555 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1Cc2ccccc2C2(CC2)C1 ZINC000186214976 329339755 /nfs/dbraw/zinc/33/97/55/329339755.db2.gz XFKIOAYUXWRSGZ-GFCCVEGCSA-N 1 2 295.386 3.555 20 0 CHADLO Cc1ccc(CSc2nncn2-c2ccccc2)c(C)[nH+]1 ZINC000186363913 329343599 /nfs/dbraw/zinc/34/35/99/329343599.db2.gz FLYGAIUIUCHMIQ-UHFFFAOYSA-N 1 2 296.399 3.571 20 0 CHADLO Cc1ccc(CSc2nnc(OC(C)C)s2)c(C)[nH+]1 ZINC000186594081 329345971 /nfs/dbraw/zinc/34/59/71/329345971.db2.gz WJZNSQGSMGALGN-UHFFFAOYSA-N 1 2 295.433 3.629 20 0 CHADLO Cc1ccc(C)c(C[S@@](=O)Cc2ccc(C)[nH+]c2C)c1 ZINC000187072939 329352174 /nfs/dbraw/zinc/35/21/74/329352174.db2.gz XNOAWFRNTQNBCL-FQEVSTJZSA-N 1 2 287.428 3.764 20 0 CHADLO Cc1ccc(CN2C[C@H]3CCC(=O)c4cccc2c43)c(C)[nH+]1 ZINC000187556814 329358999 /nfs/dbraw/zinc/35/89/99/329358999.db2.gz YFOMPJICUAMFEE-OAHLLOKOSA-N 1 2 292.382 3.779 20 0 CHADLO Cc1ccc(CNc2ccccc2OC(F)F)c(C)[nH+]1 ZINC000187705079 329362555 /nfs/dbraw/zinc/36/25/55/329362555.db2.gz UTEQYUZFXRJILQ-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CC[C@H](c2ccc(F)cc2)C1 ZINC000530429960 329390529 /nfs/dbraw/zinc/39/05/29/329390529.db2.gz MSZRXKILQJKUEA-AWEZNQCLSA-N 1 2 295.361 3.706 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccn(C)n1)c1cccc(Cl)c1F ZINC000459803980 329401574 /nfs/dbraw/zinc/40/15/74/329401574.db2.gz ZSCUBXWQSLAYKF-ZJUUUORDSA-N 1 2 281.762 3.624 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1nc(C2CCCC2)no1 ZINC000190769304 329402300 /nfs/dbraw/zinc/40/23/00/329402300.db2.gz LVBJVGFCWOUWGG-UHFFFAOYSA-N 1 2 287.363 3.572 20 0 CHADLO CCOc1ccccc1NCc1ccc(N(C)C(C)C)[nH+]c1 ZINC000191061356 329406430 /nfs/dbraw/zinc/40/64/30/329406430.db2.gz NLOJERVHWDKZRX-UHFFFAOYSA-N 1 2 299.418 3.937 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(Br)c(F)c1 ZINC000192149605 329429545 /nfs/dbraw/zinc/42/95/45/329429545.db2.gz QXRWOAIKWRVWQL-UHFFFAOYSA-N 1 2 299.118 3.734 20 0 CHADLO Fc1c[nH+]ccc1NCc1cnc(-c2ccco2)s1 ZINC000192757894 329435825 /nfs/dbraw/zinc/43/58/25/329435825.db2.gz WINWXGYVKLBLBS-UHFFFAOYSA-N 1 2 275.308 3.549 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccnc1)c1nc(-c2ccccc2)no1 ZINC000193119335 329437466 /nfs/dbraw/zinc/43/74/66/329437466.db2.gz VMRLEMHPIDYMSW-QWHCGFSZSA-N 1 2 294.358 3.543 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc(-c2ccccc2)no1)c1cccnc1 ZINC000193119324 329437588 /nfs/dbraw/zinc/43/75/88/329437588.db2.gz VMRLEMHPIDYMSW-OLZOCXBDSA-N 1 2 294.358 3.543 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2csc(C)n2)c(C)o1 ZINC000041010481 329560497 /nfs/dbraw/zinc/56/04/97/329560497.db2.gz BXPMGDCRBDTOIF-SECBINFHSA-N 1 2 250.367 3.512 20 0 CHADLO C[C@@H]([NH2+]Cc1cc[nH]n1)c1cc(F)c(Cl)cc1Cl ZINC000041015452 329560529 /nfs/dbraw/zinc/56/05/29/329560529.db2.gz YUXZLNVQJGWVCN-SSDOTTSWSA-N 1 2 288.153 3.706 20 0 CHADLO CC[C@H](C)CSCc1ccc(-n2cc[nH+]c2)nc1 ZINC000124088652 329566667 /nfs/dbraw/zinc/56/66/67/329566667.db2.gz ACNOIIUNYBLBNZ-LBPRGKRZSA-N 1 2 261.394 3.547 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC000132899566 329649344 /nfs/dbraw/zinc/64/93/44/329649344.db2.gz GWKSXWYDNZNMPY-YGRLFVJLSA-N 1 2 260.381 3.772 20 0 CHADLO CC(C)c1nc(N[C@@H]2CCOC3(CCCCC3)C2)cc[nH+]1 ZINC000133487398 329653212 /nfs/dbraw/zinc/65/32/12/329653212.db2.gz NYOPNNHYWGBULW-CQSZACIVSA-N 1 2 289.423 3.894 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1ncc[nH]1 ZINC000139083618 329678708 /nfs/dbraw/zinc/67/87/08/329678708.db2.gz BPYIRIPQVGWTNY-MRVPVSSYSA-N 1 2 270.163 3.567 20 0 CHADLO CCn1cc[nH+]c1CN(C)c1cccc(C(C)C)c1 ZINC000060378845 329679868 /nfs/dbraw/zinc/67/98/68/329679868.db2.gz OBBWUPIFPOTILC-UHFFFAOYSA-N 1 2 257.381 3.663 20 0 CHADLO Cc1cc[nH+]c(N[C@H]2CC=CCC2)c1Br ZINC000235943498 329684054 /nfs/dbraw/zinc/68/40/54/329684054.db2.gz ZBKBLRPPEQKJQA-JTQLQIEISA-N 1 2 267.170 3.673 20 0 CHADLO CCC[C@H](C)CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000195247194 329692518 /nfs/dbraw/zinc/69/25/18/329692518.db2.gz MVRNEWXTHJVSOP-ZDUSSCGKSA-N 1 2 271.364 3.637 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc(C3CC3)cc2)o1 ZINC000419363984 329720417 /nfs/dbraw/zinc/72/04/17/329720417.db2.gz WHTCPYNWGKIJRF-GFCCVEGCSA-N 1 2 256.349 3.711 20 0 CHADLO COc1c(C)c[nH+]c(CSCCOCC2CCC2)c1C ZINC000419457412 329731265 /nfs/dbraw/zinc/73/12/65/329731265.db2.gz WFLSPNGYPVZGRC-UHFFFAOYSA-N 1 2 295.448 3.757 20 0 CHADLO CC(C)CCCCc1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000420476225 329765744 /nfs/dbraw/zinc/76/57/44/329765744.db2.gz JBMDZVRKWPRMHQ-UHFFFAOYSA-N 1 2 298.390 3.677 20 0 CHADLO CCc1cc(-c2nc(Cc3ccc[nH+]c3C)no2)oc1CC ZINC000420510768 329772583 /nfs/dbraw/zinc/77/25/83/329772583.db2.gz LAUIUHVNFRHSSD-UHFFFAOYSA-N 1 2 297.358 3.749 20 0 CHADLO CC[C@H]1C[NH+](Cc2nc3ccccc3s2)C[C@H](CC)O1 ZINC000420959648 329791493 /nfs/dbraw/zinc/79/14/93/329791493.db2.gz QICHBEXXYYKIML-STQMWFEESA-N 1 2 290.432 3.686 20 0 CHADLO Cc1cc(CNC(=O)[C@H](c2ccccc2)C2CC2)cc(C)[nH+]1 ZINC000421579193 329843428 /nfs/dbraw/zinc/84/34/28/329843428.db2.gz STJFGYZNXAVUQE-GOSISDBHSA-N 1 2 294.398 3.508 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(-c2ccco2)n1)c1cccnc1 ZINC000067967260 329851446 /nfs/dbraw/zinc/85/14/46/329851446.db2.gz FNGVEFKAJXWOKD-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO COc1cc(C[NH+]2Cc3ccccc3C2)ccc1SC ZINC000068829407 329852773 /nfs/dbraw/zinc/85/27/73/329852773.db2.gz GRWNSBFSKIQNDZ-UHFFFAOYSA-N 1 2 285.412 3.933 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)Sc2ccccc2)cc[nH+]1 ZINC000072672394 329858411 /nfs/dbraw/zinc/85/84/11/329858411.db2.gz IXPOMZQRCBWOCJ-GFCCVEGCSA-N 1 2 272.373 3.509 20 0 CHADLO Cc1ccccc1CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000074830046 329865412 /nfs/dbraw/zinc/86/54/12/329865412.db2.gz DAPBLALHSAVOPF-UHFFFAOYSA-N 1 2 291.354 3.566 20 0 CHADLO CC[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(Cl)cc1 ZINC000393328460 329916798 /nfs/dbraw/zinc/91/67/98/329916798.db2.gz OKGSJODPWPZVNK-CYBMUJFWSA-N 1 2 298.217 3.968 20 0 CHADLO CC[C@H]1CC[C@H](C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)CC1 ZINC000252020549 329937511 /nfs/dbraw/zinc/93/75/11/329937511.db2.gz YQNVFEWTBUQDCY-RBSFLKMASA-N 1 2 289.423 3.680 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCc2cccs2)c1 ZINC000078591911 329967171 /nfs/dbraw/zinc/96/71/71/329967171.db2.gz PHZGLRQCONQUNG-UHFFFAOYSA-N 1 2 272.295 3.817 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1cc2cc(C)c(C)cc2o1 ZINC000079843538 330062951 /nfs/dbraw/zinc/06/29/51/330062951.db2.gz GSTXFZMEFWBRKU-UHFFFAOYSA-N 1 2 296.326 3.706 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1ccncc1Cl)c1ccc(C)o1 ZINC000421828003 330083978 /nfs/dbraw/zinc/08/39/78/330083978.db2.gz AMMDBISCKORGDP-BXUZGUMPSA-N 1 2 294.782 3.675 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccncc2Cl)s1 ZINC000421829683 330084261 /nfs/dbraw/zinc/08/42/61/330084261.db2.gz ADWFXWUVCICMPE-SECBINFHSA-N 1 2 296.827 3.561 20 0 CHADLO Fc1cccc(N[C@@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421831331 330085230 /nfs/dbraw/zinc/08/52/30/330085230.db2.gz DUYSJRCVJIYRCA-CQSZACIVSA-N 1 2 256.324 3.580 20 0 CHADLO CCOCc1ccc(N[C@@H]2CCc3ccc[nH+]c3CC2)cc1 ZINC000421834321 330091530 /nfs/dbraw/zinc/09/15/30/330091530.db2.gz KJAKWHJGPLGNPQ-GOSISDBHSA-N 1 2 296.414 3.978 20 0 CHADLO C[C@@H]([NH2+]CCN1[C@H](C)CCC[C@@H]1C)c1ccncc1Cl ZINC000421835546 330091658 /nfs/dbraw/zinc/09/16/58/330091658.db2.gz PXBKXZMSRQIWKS-HZSPNIEDSA-N 1 2 295.858 3.649 20 0 CHADLO c1cn(CCSc2ccc3c(c2)CCCC3)c[nH+]1 ZINC000421841712 330093659 /nfs/dbraw/zinc/09/36/59/330093659.db2.gz LGTXBKBGVKRMAF-UHFFFAOYSA-N 1 2 258.390 3.554 20 0 CHADLO COc1cc(N[C@H]2CCc3ccc[nH+]c3CC2)ccc1F ZINC000421845564 330094650 /nfs/dbraw/zinc/09/46/50/330094650.db2.gz XNVBKDYYQNDLRE-ZDUSSCGKSA-N 1 2 286.350 3.589 20 0 CHADLO COc1ccccc1SCCc1cc[nH+]cc1C ZINC000421847707 330095341 /nfs/dbraw/zinc/09/53/41/330095341.db2.gz YFRZUZARNKLGQM-UHFFFAOYSA-N 1 2 259.374 3.733 20 0 CHADLO CC(C)n1cc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)cn1 ZINC000423212941 330178400 /nfs/dbraw/zinc/17/84/00/330178400.db2.gz JHMXXZVVIYAEHB-GFCCVEGCSA-N 1 2 270.380 3.605 20 0 CHADLO CO[C@H](c1ccccc1)[C@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423215858 330178587 /nfs/dbraw/zinc/17/85/87/330178587.db2.gz NRAAEIJFXXLWIG-UGSOOPFHSA-N 1 2 282.387 3.843 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc(F)cc1 ZINC000423216315 330178672 /nfs/dbraw/zinc/17/86/72/330178672.db2.gz OJOWYHLOENLVCH-LLVKDONJSA-N 1 2 256.324 3.967 20 0 CHADLO Fc1ccc2c(c1)CC[C@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423220219 330179312 /nfs/dbraw/zinc/17/93/12/330179312.db2.gz VBPNRGLKWGOZPF-QGZVFWFLSA-N 1 2 268.335 3.893 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccccc1F ZINC000423221816 330179691 /nfs/dbraw/zinc/17/96/91/330179691.db2.gz YHNRATYBYYSKMK-NSHDSACASA-N 1 2 256.324 3.967 20 0 CHADLO COc1sc(C[N@H+]2[C@H](C)C[C@@H]2C)cc1Br ZINC000527238590 330240561 /nfs/dbraw/zinc/24/05/61/330240561.db2.gz IDADEKXMHAVRAS-OCAPTIKFSA-N 1 2 290.226 3.502 20 0 CHADLO COc1sc(C[N@@H+]2[C@H](C)C[C@@H]2C)cc1Br ZINC000527238590 330240562 /nfs/dbraw/zinc/24/05/62/330240562.db2.gz IDADEKXMHAVRAS-OCAPTIKFSA-N 1 2 290.226 3.502 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3nc(C(C)C)ncc3C2)c1F ZINC000527619700 330266093 /nfs/dbraw/zinc/26/60/93/330266093.db2.gz RYQDPIDANDTYKE-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3nc(C(C)C)ncc3C2)c1F ZINC000527619700 330266095 /nfs/dbraw/zinc/26/60/95/330266095.db2.gz RYQDPIDANDTYKE-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO CCN(CC)c1ccc(NCc2ccncc2Cl)c[nH+]1 ZINC000527680712 330270883 /nfs/dbraw/zinc/27/08/83/330270883.db2.gz GEBIKTWEWQPYSG-UHFFFAOYSA-N 1 2 290.798 3.588 20 0 CHADLO Cc1ccc2sc(C[N@@H+]3CCOC(C)(C)[C@H]3C)nc2c1 ZINC000528402219 330282387 /nfs/dbraw/zinc/28/23/87/330282387.db2.gz DOKADZMTWKQNNP-GFCCVEGCSA-N 1 2 290.432 3.604 20 0 CHADLO Cc1ccc2sc(C[N@H+]3CCOC(C)(C)[C@H]3C)nc2c1 ZINC000528402219 330282388 /nfs/dbraw/zinc/28/23/88/330282388.db2.gz DOKADZMTWKQNNP-GFCCVEGCSA-N 1 2 290.432 3.604 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](c1cccc(C)c1)C(C)C ZINC000527806751 330284491 /nfs/dbraw/zinc/28/44/91/330284491.db2.gz AHGPJNIMSSNKAB-INIZCTEOSA-N 1 2 257.381 3.513 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@H]2C[C@@H]2C)o1)c1ncccc1F ZINC000527826915 330286654 /nfs/dbraw/zinc/28/66/54/330286654.db2.gz XARYPZZAEXDDKS-GVXVVHGQSA-N 1 2 274.339 3.788 20 0 CHADLO C[C@H]1N(c2[nH+]ccc3ccccc32)CCC12CCOCC2 ZINC000527961739 330300762 /nfs/dbraw/zinc/30/07/62/330300762.db2.gz LKSSRDMXZJTGHD-CQSZACIVSA-N 1 2 282.387 3.630 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccc1F)c1ccc(Cl)cn1 ZINC000528036051 330302711 /nfs/dbraw/zinc/30/27/11/330302711.db2.gz ODSXMJXGQDSEEN-ZJUUUORDSA-N 1 2 279.746 3.681 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+][C@@H](C)c1ncccc1F ZINC000528036532 330302843 /nfs/dbraw/zinc/30/28/43/330302843.db2.gz RPRNKLCQTZUVIV-OLZOCXBDSA-N 1 2 288.366 3.950 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccc1F)c1ccncc1Cl ZINC000528039282 330303214 /nfs/dbraw/zinc/30/32/14/330303214.db2.gz ZEGJEJAKYKYXAK-ZJUUUORDSA-N 1 2 279.746 3.681 20 0 CHADLO CC[C@@H]1CN(c2[nH+]ccc3ccccc32)C[C@H](CC)O1 ZINC000528038787 330303295 /nfs/dbraw/zinc/30/32/95/330303295.db2.gz XUZPOFGNKWQMOD-GASCZTMLSA-N 1 2 270.376 3.629 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3ccccc32)CCC1(F)F ZINC000528022181 330304690 /nfs/dbraw/zinc/30/46/90/330304690.db2.gz HEBUKWDTLLKXOG-LLVKDONJSA-N 1 2 262.303 3.716 20 0 CHADLO CC(C)COc1ccc(NCc2c[nH+]cn2C(C)C)cn1 ZINC000424157806 330304963 /nfs/dbraw/zinc/30/49/63/330304963.db2.gz RNNBGWNXIWAUNM-UHFFFAOYSA-N 1 2 288.395 3.506 20 0 CHADLO CCOC1(C)CCN(c2[nH+]ccc3ccccc32)CC1 ZINC000528032190 330305342 /nfs/dbraw/zinc/30/53/42/330305342.db2.gz XXIMUWZPBGXVKY-UHFFFAOYSA-N 1 2 270.376 3.630 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2ccccc2o1)c1cscn1 ZINC000536873846 330306082 /nfs/dbraw/zinc/30/60/82/330306082.db2.gz AVJOXMIDELCIJX-SNVBAGLBSA-N 1 2 258.346 3.740 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3ccccc32)C[C@H](C)C1(F)F ZINC000528094563 330307745 /nfs/dbraw/zinc/30/77/45/330307745.db2.gz ULHCJUPJAIDNRJ-TXEJJXNPSA-N 1 2 276.330 3.962 20 0 CHADLO FC1(F)CC12C[C@H]1CC[C@@H](C2)N1c1cc[nH+]c(C2CC2)n1 ZINC000528101790 330308054 /nfs/dbraw/zinc/30/80/54/330308054.db2.gz NIKVUQNRGWFBRF-ODOQXGPZSA-N 1 2 291.345 3.511 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2c(F)cc(C)cc2F)o1 ZINC000424169990 330312151 /nfs/dbraw/zinc/31/21/51/330312151.db2.gz HSPCZLCBUIEQBR-UHFFFAOYSA-N 1 2 294.345 3.812 20 0 CHADLO Cc1nc(N2CC[C@H](c3ccc(F)cc3)C2(C)C)cc[nH+]1 ZINC000528198503 330312521 /nfs/dbraw/zinc/31/25/21/330312521.db2.gz REYWZFKOFNNXIE-OAHLLOKOSA-N 1 2 285.366 3.697 20 0 CHADLO C/C(Cl)=C/C[N@H+]1C[C@H](C)O[C@@H](c2ccccc2)C1 ZINC000528361256 330322363 /nfs/dbraw/zinc/32/23/63/330322363.db2.gz ZDJKBDGKVFOIRN-UGQYRENISA-N 1 2 265.784 3.591 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1C[C@H](C)O[C@@H](c2ccccc2)C1 ZINC000528361256 330322364 /nfs/dbraw/zinc/32/23/64/330322364.db2.gz ZDJKBDGKVFOIRN-UGQYRENISA-N 1 2 265.784 3.591 20 0 CHADLO C/C(Cl)=C\C[N@H+]1C[C@H](C)O[C@@H](c2ccccc2)C1 ZINC000528361254 330322369 /nfs/dbraw/zinc/32/23/69/330322369.db2.gz ZDJKBDGKVFOIRN-SIRQDLBWSA-N 1 2 265.784 3.591 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1C[C@H](C)O[C@@H](c2ccccc2)C1 ZINC000528361254 330322370 /nfs/dbraw/zinc/32/23/70/330322370.db2.gz ZDJKBDGKVFOIRN-SIRQDLBWSA-N 1 2 265.784 3.591 20 0 CHADLO CC[C@@H]([NH2+][C@H](c1ccc(Cl)cc1)C(C)C)C(=O)OC ZINC000106032194 330331316 /nfs/dbraw/zinc/33/13/16/330331316.db2.gz HCCMSTCKFCMDCI-KGLIPLIRSA-N 1 2 283.799 3.578 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2ccon2)c(Cl)c1 ZINC000424287584 330334252 /nfs/dbraw/zinc/33/42/52/330334252.db2.gz XUMCKYKZBUYGJB-VHSXEESVSA-N 1 2 280.755 3.748 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@H+](C)Cc1ccno1 ZINC000533100248 330335326 /nfs/dbraw/zinc/33/53/26/330335326.db2.gz PKCNSJOLKJKQCW-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@@H+](C)Cc1ccno1 ZINC000533100248 330335327 /nfs/dbraw/zinc/33/53/27/330335327.db2.gz PKCNSJOLKJKQCW-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)c(Cl)c1 ZINC000424332730 330342728 /nfs/dbraw/zinc/34/27/28/330342728.db2.gz LEWHFVVROHTGIC-BDAKNGLRSA-N 1 2 297.811 3.612 20 0 CHADLO Cc1ccc(Cc2noc([C@H]3CCCC[C@@H]3C)n2)c[nH+]1 ZINC000424341700 330344130 /nfs/dbraw/zinc/34/41/30/330344130.db2.gz VTRQKEJJNZQQLE-FZMZJTMJSA-N 1 2 271.364 3.658 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3sccc3C2)c(F)c1 ZINC000084860458 330363142 /nfs/dbraw/zinc/36/31/42/330363142.db2.gz FTORTLFXUAGCFF-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3sccc3C2)c(F)c1 ZINC000084860458 330363143 /nfs/dbraw/zinc/36/31/43/330363143.db2.gz FTORTLFXUAGCFF-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO CCC1(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CCCC1 ZINC000119017135 330368797 /nfs/dbraw/zinc/36/87/97/330368797.db2.gz CXFOBXPCYZYBKZ-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO Cc1ccc(NC(=O)c2cc3cc(F)ccc3o2)c(C)[nH+]1 ZINC000119175237 330370737 /nfs/dbraw/zinc/37/07/37/330370737.db2.gz JCWOUHUBFACQRS-UHFFFAOYSA-N 1 2 284.290 3.836 20 0 CHADLO COc1ccc(Cl)cc1C(=O)Nc1ccc(C)[nH+]c1C ZINC000119388995 330374323 /nfs/dbraw/zinc/37/43/23/330374323.db2.gz AJOUUTQDLLKLOC-UHFFFAOYSA-N 1 2 290.750 3.613 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(Cl)cc(Cl)c1 ZINC000090169744 330390765 /nfs/dbraw/zinc/39/07/65/330390765.db2.gz DLBAORBHWAJKMC-UHFFFAOYSA-N 1 2 270.163 3.822 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(Br)c(C)c1 ZINC000090169725 330390787 /nfs/dbraw/zinc/39/07/87/330390787.db2.gz INDAHNHOTSFDRW-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnccn1)c1c(F)cccc1Cl ZINC000090180422 330390907 /nfs/dbraw/zinc/39/09/07/330390907.db2.gz MJTALFRJLDCJNL-VHSXEESVSA-N 1 2 279.746 3.681 20 0 CHADLO CSc1ccccc1NCc1cn2cc(C)ccc2[nH+]1 ZINC000120750009 330390978 /nfs/dbraw/zinc/39/09/78/330390978.db2.gz XQSUNCSCUOVPIC-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(F)c(Cl)c1 ZINC000090207732 330391383 /nfs/dbraw/zinc/39/13/83/330391383.db2.gz GCSPVYWRBYRXMM-UHFFFAOYSA-N 1 2 267.735 3.869 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000121040643 330395364 /nfs/dbraw/zinc/39/53/64/330395364.db2.gz YSRJFXLDUZLRJL-BZNIZROVSA-N 1 2 293.313 3.692 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000121040643 330395365 /nfs/dbraw/zinc/39/53/65/330395365.db2.gz YSRJFXLDUZLRJL-BZNIZROVSA-N 1 2 293.313 3.692 20 0 CHADLO CCOC(=O)C[NH2+][C@@H](c1ccc(Cl)cc1)[C@@H](C)CC ZINC000537086277 330397692 /nfs/dbraw/zinc/39/76/92/330397692.db2.gz KKBDLSFZOVIBBK-XHDPSFHLSA-N 1 2 283.799 3.580 20 0 CHADLO CC[C@@H](Cc1ccccc1C)C(=O)Nc1c[nH+]ccc1OC ZINC000424623606 330429245 /nfs/dbraw/zinc/42/92/45/330429245.db2.gz DRKJDNHIQKOEOD-AWEZNQCLSA-N 1 2 298.386 3.606 20 0 CHADLO Cc1nn(C)c(C)c1Nc1cc[nH+]c2cc(Cl)ccc12 ZINC000514898073 330466884 /nfs/dbraw/zinc/46/68/84/330466884.db2.gz LUDMYAZRSPSFNW-UHFFFAOYSA-N 1 2 286.766 3.982 20 0 CHADLO CC[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)C1CC1 ZINC000086117266 330494105 /nfs/dbraw/zinc/49/41/05/330494105.db2.gz NSNRWRQKJXIYQC-HNNXBMFYSA-N 1 2 259.397 3.672 20 0 CHADLO CCCc1csc(CN2CCCC[C@H]2c2[nH]cc[nH+]2)n1 ZINC000426414534 330507548 /nfs/dbraw/zinc/50/75/48/330507548.db2.gz BRVZHYKITANKSI-ZDUSSCGKSA-N 1 2 290.436 3.546 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1C[C@H](C)[C@H]1C)c1ccc2ccccc2c1 ZINC000430080834 330558803 /nfs/dbraw/zinc/55/88/03/330558803.db2.gz OWXXXUBJYYEESS-QIZIZJAASA-N 1 2 297.398 3.688 20 0 CHADLO CO[C@@H](COc1cc[nH+]cc1)c1ccc(Cl)cc1 ZINC000431503117 330585792 /nfs/dbraw/zinc/58/57/92/330585792.db2.gz VEVAOCFRQTUXFA-AWEZNQCLSA-N 1 2 263.724 3.502 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@H]2C(C)C)nc(C2CC2)[nH+]1 ZINC000431507692 330585928 /nfs/dbraw/zinc/58/59/28/330585928.db2.gz UOLKDTPJGLZBAO-CQSZACIVSA-N 1 2 259.397 3.533 20 0 CHADLO Fc1cc(CNc2cccc[nH+]2)c(F)cc1Cl ZINC000432929164 330610763 /nfs/dbraw/zinc/61/07/63/330610763.db2.gz PSHDACBCQSWIGC-UHFFFAOYSA-N 1 2 254.667 3.625 20 0 CHADLO Clc1ccccc1-c1ccc(C[NH+]2CCOCC2)s1 ZINC000435236379 330651055 /nfs/dbraw/zinc/65/10/55/330651055.db2.gz RUGCXIMOYMHPOQ-UHFFFAOYSA-N 1 2 293.819 3.901 20 0 CHADLO C/C=C\CSCc1cn2cc(Br)ccc2[nH+]1 ZINC000439542944 330740242 /nfs/dbraw/zinc/74/02/42/330740242.db2.gz PVFXACVZFLWWTH-IHWYPQMZSA-N 1 2 297.221 3.906 20 0 CHADLO CCC[C@@H]([NH2+]CC(C)(C)c1ccccc1C)C(=O)OCC ZINC000439574535 330740816 /nfs/dbraw/zinc/74/08/16/330740816.db2.gz SKLPWJWQENZYRO-MRXNPFEDSA-N 1 2 291.435 3.594 20 0 CHADLO CCc1ccc(-c2noc([C@@H](C)[NH2+]C[C@@H](F)CC)n2)cc1 ZINC000440514380 330749699 /nfs/dbraw/zinc/74/96/99/330749699.db2.gz BWNBRJNEZRMMFW-RISCZKNCSA-N 1 2 291.370 3.698 20 0 CHADLO CO[C@@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)[C@@H](C)C1 ZINC000440651518 330753532 /nfs/dbraw/zinc/75/35/32/330753532.db2.gz PZRXQDCZUFFBCN-UONOGXRCSA-N 1 2 291.439 3.727 20 0 CHADLO CCc1ccc([C@H](C)CC(=O)N[C@H](CC)c2[nH]cc[nH+]2)cc1 ZINC000443104442 330795179 /nfs/dbraw/zinc/79/51/79/330795179.db2.gz AQURLHILMGNVBD-CZUORRHYSA-N 1 2 299.418 3.733 20 0 CHADLO CCc1ccc([C@@H](C)CC(=O)N[C@H](CC)c2[nH]cc[nH+]2)cc1 ZINC000443104444 330795191 /nfs/dbraw/zinc/79/51/91/330795191.db2.gz AQURLHILMGNVBD-XJKSGUPXSA-N 1 2 299.418 3.733 20 0 CHADLO CC[C@@H](NC(=O)Cc1ccc(C(C)(C)C)cc1)c1[nH]cc[nH+]1 ZINC000443116821 330795414 /nfs/dbraw/zinc/79/54/14/330795414.db2.gz IRBCTKVHFSUHBN-OAHLLOKOSA-N 1 2 299.418 3.517 20 0 CHADLO CC[C@H](NC(=O)c1coc2cc(C)c(C)cc12)c1[nH]cc[nH+]1 ZINC000443134821 330795575 /nfs/dbraw/zinc/79/55/75/330795575.db2.gz WZBNJTAFRZVGQM-AWEZNQCLSA-N 1 2 297.358 3.654 20 0 CHADLO C[C@@H](Nc1[nH+]c2ccccc2n1C)c1cc2ccccc2o1 ZINC000443521229 330800822 /nfs/dbraw/zinc/80/08/22/330800822.db2.gz HWDYWLYPIWVHJU-GFCCVEGCSA-N 1 2 291.354 3.915 20 0 CHADLO C[C@@H](c1cc(Cl)ccc1Cl)N1CCn2cc[nH+]c2C1 ZINC000443626791 330803511 /nfs/dbraw/zinc/80/35/11/330803511.db2.gz BVDDTAVOPMVISB-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO Cc1ccccc1[C@@H](CC(F)(F)F)[NH2+]Cc1cc[nH]n1 ZINC000444034452 330809708 /nfs/dbraw/zinc/80/97/08/330809708.db2.gz PTNLYPXLUGRUFF-CYBMUJFWSA-N 1 2 283.297 3.501 20 0 CHADLO C[C@]1(c2ccccc2)CCN(c2cc[nH+]c3ccncc32)C1 ZINC000446850095 330856513 /nfs/dbraw/zinc/85/65/13/330856513.db2.gz VMNARMNFNJGGAR-IBGZPJMESA-N 1 2 289.382 3.798 20 0 CHADLO Cc1cc(N2CC[C@](C)(c3ccccc3)C2)nc(C2CC2)[nH+]1 ZINC000446851493 330856688 /nfs/dbraw/zinc/85/66/88/330856688.db2.gz CWDNKIRSVIZWKR-IBGZPJMESA-N 1 2 293.414 3.830 20 0 CHADLO Cc1ccc(NC(=O)NCC(C)(C)CC(C)C)c(C)[nH+]1 ZINC000447169628 330862478 /nfs/dbraw/zinc/86/24/78/330862478.db2.gz XHTBVIWJIPKKDO-UHFFFAOYSA-N 1 2 277.412 3.892 20 0 CHADLO C[C@@H]1CC[C@H](C)[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000449319568 330914199 /nfs/dbraw/zinc/91/41/99/330914199.db2.gz KQQXRRMHFZBFPC-YCPHGPKFSA-N 1 2 284.407 3.563 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(Br)cs1)C(F)F ZINC000449361009 330918705 /nfs/dbraw/zinc/91/87/05/330918705.db2.gz KIJPYZLBCLLFKF-QMMMGPOBSA-N 1 2 284.169 3.644 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cc2ccccc2o1 ZINC000449562081 330937036 /nfs/dbraw/zinc/93/70/36/330937036.db2.gz GPTZOKKTKISMFB-LBPRGKRZSA-N 1 2 269.348 3.500 20 0 CHADLO CCCCOc1ccccc1C[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000449569004 330937760 /nfs/dbraw/zinc/93/77/60/330937760.db2.gz FLHZLXVSTQWZGA-GDBMZVCRSA-N 1 2 299.418 3.858 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccccc2Cl)o1 ZINC000449609694 330939288 /nfs/dbraw/zinc/93/92/88/330939288.db2.gz CQBHGXZPNIIUGG-UHFFFAOYSA-N 1 2 264.756 3.570 20 0 CHADLO CCc1ncc(C[NH2+]Cc2nc(-c3ccccc3)cs2)o1 ZINC000449610311 330939483 /nfs/dbraw/zinc/93/94/83/330939483.db2.gz QCCWFLUXOGLHCO-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000449701620 330944960 /nfs/dbraw/zinc/94/49/60/330944960.db2.gz WVRXQLUOZWAYQA-UHFFFAOYSA-N 1 2 280.730 3.634 20 0 CHADLO COc1cccc2c1cc[nH+]c2N(C)Cc1csc(C)n1 ZINC000450283099 330970648 /nfs/dbraw/zinc/97/06/48/330970648.db2.gz IWXGWVLODDGEEG-UHFFFAOYSA-N 1 2 299.399 3.645 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccc(F)cc1)C1CCC1)c1csnn1 ZINC000450549560 330985869 /nfs/dbraw/zinc/98/58/69/330985869.db2.gz BYPVWTFXGLQWGJ-ZUZCIYMTSA-N 1 2 291.395 3.869 20 0 CHADLO Cc1cc(N2CCC(CF)CC2)c2cccc(F)c2[nH+]1 ZINC000450687961 330993675 /nfs/dbraw/zinc/99/36/75/330993675.db2.gz PSSLRGLPTBIDAH-UHFFFAOYSA-N 1 2 276.330 3.868 20 0 CHADLO Cc1csc2nc(C)nc(NCCc3[nH+]cccc3C)c12 ZINC000450738007 330997121 /nfs/dbraw/zinc/99/71/21/330997121.db2.gz LNMFUBJCPSITCG-UHFFFAOYSA-N 1 2 298.415 3.666 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCCC(C)(F)F)c2c1 ZINC000450785303 330999688 /nfs/dbraw/zinc/99/96/88/330999688.db2.gz AHSIFRFHZZQRLT-UHFFFAOYSA-N 1 2 281.302 3.976 20 0 CHADLO Clc1cccc2c(N[C@H]3CC34CCOCC4)cc[nH+]c12 ZINC000450798773 331000180 /nfs/dbraw/zinc/00/01/80/331000180.db2.gz VQURZROSUROBAA-AWEZNQCLSA-N 1 2 288.778 3.869 20 0 CHADLO C[C@@H]1C[C@@H](Nc2nc3ccccc3s2)c2[nH+]ccn21 ZINC000450835987 331002025 /nfs/dbraw/zinc/00/20/25/331002025.db2.gz YHFGZOKTEMIAAU-MWLCHTKSSA-N 1 2 270.361 3.611 20 0 CHADLO CC(=O)c1ccc(C[N@H+](C)Cc2cc(C(C)(C)C)n[nH]2)cc1 ZINC000450881629 331004584 /nfs/dbraw/zinc/00/45/84/331004584.db2.gz ZFHSKBWFJAPJEG-UHFFFAOYSA-N 1 2 299.418 3.542 20 0 CHADLO CC(=O)c1ccc(C[N@@H+](C)Cc2cc(C(C)(C)C)n[nH]2)cc1 ZINC000450881629 331004585 /nfs/dbraw/zinc/00/45/85/331004585.db2.gz ZFHSKBWFJAPJEG-UHFFFAOYSA-N 1 2 299.418 3.542 20 0 CHADLO Cc1ccc2[nH]c([C@@H]3CCC[N@@H+]3CCC(F)(F)F)nc2c1 ZINC000451015127 331011798 /nfs/dbraw/zinc/01/17/98/331011798.db2.gz KUKYCALAFHIUDV-ZDUSSCGKSA-N 1 2 297.324 3.961 20 0 CHADLO Cc1ccc2[nH]c([C@@H]3CCC[N@H+]3CCC(F)(F)F)nc2c1 ZINC000451015127 331011799 /nfs/dbraw/zinc/01/17/99/331011799.db2.gz KUKYCALAFHIUDV-ZDUSSCGKSA-N 1 2 297.324 3.961 20 0 CHADLO Cc1ccc2nc([C@@H]3CCC[N@@H+]3CCC(F)(F)F)[nH]c2c1 ZINC000451015127 331011800 /nfs/dbraw/zinc/01/18/00/331011800.db2.gz KUKYCALAFHIUDV-ZDUSSCGKSA-N 1 2 297.324 3.961 20 0 CHADLO Cc1ccc2nc([C@@H]3CCC[N@H+]3CCC(F)(F)F)[nH]c2c1 ZINC000451015127 331011801 /nfs/dbraw/zinc/01/18/01/331011801.db2.gz KUKYCALAFHIUDV-ZDUSSCGKSA-N 1 2 297.324 3.961 20 0 CHADLO CCc1cc(CCC[N@@H+]2Cc3cccc(Cl)c3C2)on1 ZINC000451026078 331012307 /nfs/dbraw/zinc/01/23/07/331012307.db2.gz DNZKTWPTICXXKF-UHFFFAOYSA-N 1 2 290.794 3.839 20 0 CHADLO CCc1cc(CCC[N@H+]2Cc3cccc(Cl)c3C2)on1 ZINC000451026078 331012308 /nfs/dbraw/zinc/01/23/08/331012308.db2.gz DNZKTWPTICXXKF-UHFFFAOYSA-N 1 2 290.794 3.839 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ncc(-c3cccs3)o2)C1 ZINC000451114833 331016094 /nfs/dbraw/zinc/01/60/94/331016094.db2.gz MSDRAMWJEMUXAW-CQSZACIVSA-N 1 2 280.368 3.727 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ncc(-c3cccs3)o2)C1 ZINC000451114833 331016095 /nfs/dbraw/zinc/01/60/95/331016095.db2.gz MSDRAMWJEMUXAW-CQSZACIVSA-N 1 2 280.368 3.727 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC000451122752 331016504 /nfs/dbraw/zinc/01/65/04/331016504.db2.gz SOYKZTJJZOPBJU-INIZCTEOSA-N 1 2 274.339 3.666 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC000451122752 331016505 /nfs/dbraw/zinc/01/65/05/331016505.db2.gz SOYKZTJJZOPBJU-INIZCTEOSA-N 1 2 274.339 3.666 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ncccn2)C2CC2)cc1Cl ZINC000451354432 331025466 /nfs/dbraw/zinc/02/54/66/331025466.db2.gz NGPCESGIXQWDDV-OAHLLOKOSA-N 1 2 291.757 3.510 20 0 CHADLO O=C(CCC1CCCCC1)Nc1c[nH+]c2n1CCCC2 ZINC000452336863 331062652 /nfs/dbraw/zinc/06/26/52/331062652.db2.gz XEROTGLBOUBMEY-UHFFFAOYSA-N 1 2 275.396 3.518 20 0 CHADLO O=C(Nc1c[nH+]c2n1CCCC2)c1cccc(C2CCC2)c1 ZINC000452335514 331062713 /nfs/dbraw/zinc/06/27/13/331062713.db2.gz ZXEUXESNXDTNHM-UHFFFAOYSA-N 1 2 295.386 3.739 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000452549036 331068324 /nfs/dbraw/zinc/06/83/24/331068324.db2.gz LRCZNDPAYSAZRD-HNNXBMFYSA-N 1 2 297.402 3.737 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1cccc(O)c1 ZINC000194945874 331081349 /nfs/dbraw/zinc/08/13/49/331081349.db2.gz OABZRCYWVVSIET-AWEZNQCLSA-N 1 2 297.402 3.951 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@@H]2CC[N@@H+]3CCCC[C@@H]23)c2sccc21 ZINC000453070133 331086403 /nfs/dbraw/zinc/08/64/03/331086403.db2.gz ZZEMZJHAGNGXOS-OJLVUWQFSA-N 1 2 290.476 3.903 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@@H]2CC[N@H+]3CCCC[C@@H]23)c2sccc21 ZINC000453070133 331086404 /nfs/dbraw/zinc/08/64/04/331086404.db2.gz ZZEMZJHAGNGXOS-OJLVUWQFSA-N 1 2 290.476 3.903 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1cc(F)c(F)c(F)c1 ZINC000453164195 331096052 /nfs/dbraw/zinc/09/60/52/331096052.db2.gz UDVZDDLKUFKOOC-MWODSPESSA-N 1 2 295.308 3.657 20 0 CHADLO CCSCc1cccc(N[C@@H]2CCn3cc[nH+]c32)c1 ZINC000453187150 331098823 /nfs/dbraw/zinc/09/88/23/331098823.db2.gz CBRYOMMVVYSHGJ-CQSZACIVSA-N 1 2 273.405 3.693 20 0 CHADLO CC[C@H](C)n1ncc(N[C@@H](C)c2[nH+]ccn2CC)c1C ZINC000453200251 331100743 /nfs/dbraw/zinc/10/07/43/331100743.db2.gz ALPOUOXZEDHRIA-RYUDHWBXSA-N 1 2 275.400 3.552 20 0 CHADLO C[C@H](CCCc1cccnc1)[NH2+][C@@H](C1CC1)C(F)(F)F ZINC000453223546 331103321 /nfs/dbraw/zinc/10/33/21/331103321.db2.gz LNKKMUYGEFLUTR-RISCZKNCSA-N 1 2 286.341 3.723 20 0 CHADLO CC[C@@H](C)CCc1nc(CCc2[nH+]cccc2C)no1 ZINC000453228544 331104196 /nfs/dbraw/zinc/10/41/96/331104196.db2.gz QGZAGFWWLITPHT-GFCCVEGCSA-N 1 2 273.380 3.537 20 0 CHADLO CCc1nc2c(s1)[C@@H]([NH2+][C@@H](CC)C(F)F)CCC2 ZINC000453249384 331107444 /nfs/dbraw/zinc/10/74/44/331107444.db2.gz QJHACNDLTFUMCE-IUCAKERBSA-N 1 2 274.380 3.716 20 0 CHADLO CCc1nc(C)c([C@@H](C)[NH2+][C@H](CC)C(F)F)s1 ZINC000453250732 331107613 /nfs/dbraw/zinc/10/76/13/331107613.db2.gz SNHYNIVGANKGGT-VXNVDRBHSA-N 1 2 262.369 3.708 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cccc(OC(F)F)c1)C(F)F ZINC000453253286 331107995 /nfs/dbraw/zinc/10/79/95/331107995.db2.gz WPNPNOSXIDBLTK-GZMMTYOYSA-N 1 2 279.277 3.982 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cccc(OC(F)F)c1)C(F)F ZINC000453253291 331108008 /nfs/dbraw/zinc/10/80/08/331108008.db2.gz WPNPNOSXIDBLTK-LDYMZIIASA-N 1 2 279.277 3.982 20 0 CHADLO Cc1cc(Cl)c(N[C@@H](C)c2[nH+]ccn2C)cc1C ZINC000453289909 331111653 /nfs/dbraw/zinc/11/16/53/331111653.db2.gz MRLWWZDASYXODD-NSHDSACASA-N 1 2 263.772 3.863 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)C(C)(F)F)c(C)c1OC ZINC000453291428 331111779 /nfs/dbraw/zinc/11/17/79/331111779.db2.gz NDOGHZZWOFGKCY-MNOVXSKESA-N 1 2 287.350 3.707 20 0 CHADLO COc1ccc2c(c1)[C@@H]([NH2+][C@H](C)C(C)(F)F)CCS2 ZINC000453301765 331113335 /nfs/dbraw/zinc/11/33/35/331113335.db2.gz YCNMNMTVMVGYFO-SKDRFNHKSA-N 1 2 287.375 3.865 20 0 CHADLO Cc1cccc2c1[C@@H](C)C[C@H]2[NH2+]Cc1nn(C)cc1Cl ZINC000453317063 331115672 /nfs/dbraw/zinc/11/56/72/331115672.db2.gz IKDKUWFADNXGTB-SMDDNHRTSA-N 1 2 289.810 3.720 20 0 CHADLO CCCc1ncc(C[NH2+][C@H](C)c2cccc(F)c2F)o1 ZINC000453381207 331125747 /nfs/dbraw/zinc/12/57/47/331125747.db2.gz LGFKZMVCEOCOTK-SNVBAGLBSA-N 1 2 280.318 3.756 20 0 CHADLO CC(C)N(C)c1ccc([NH2+][C@H]2CCOC[C@H]2C)cc1F ZINC000195057161 331134166 /nfs/dbraw/zinc/13/41/66/331134166.db2.gz SRMCZNMVXQEKBQ-DOMZBBRYSA-N 1 2 280.387 3.507 20 0 CHADLO c1nc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)co1 ZINC000453620398 331136928 /nfs/dbraw/zinc/13/69/28/331136928.db2.gz VQHLFXYOCOKQDL-INIZCTEOSA-N 1 2 268.360 3.792 20 0 CHADLO c1nc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)co1 ZINC000453620398 331136929 /nfs/dbraw/zinc/13/69/29/331136929.db2.gz VQHLFXYOCOKQDL-INIZCTEOSA-N 1 2 268.360 3.792 20 0 CHADLO c1cn(CCCSc2nc(-c3ccccc3)co2)c[nH+]1 ZINC000195101788 331137835 /nfs/dbraw/zinc/13/78/35/331137835.db2.gz IVPUDCACRCXRLK-UHFFFAOYSA-N 1 2 285.372 3.721 20 0 CHADLO Cc1cc(C)c(CNC(=O)Nc2ccc3c(c2)CCC3)c[nH+]1 ZINC000454232809 331142427 /nfs/dbraw/zinc/14/24/27/331142427.db2.gz VPQWRQOESVHMIX-UHFFFAOYSA-N 1 2 295.386 3.509 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3=CC[C@H](C)CC3)cc2[nH+]1 ZINC000454441516 331146189 /nfs/dbraw/zinc/14/61/89/331146189.db2.gz SZCSPLDIHPKTSV-JTQLQIEISA-N 1 2 269.348 3.556 20 0 CHADLO C[C@@H]1CC=C(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000454494469 331147464 /nfs/dbraw/zinc/14/74/64/331147464.db2.gz AVXZJOQAIFQCDK-CYBMUJFWSA-N 1 2 281.359 3.557 20 0 CHADLO CC[C@@H](C)Oc1cccc(NC(=O)Nc2cc[nH+]cc2C)c1 ZINC000455040394 331159390 /nfs/dbraw/zinc/15/93/90/331159390.db2.gz WHFUHIJPOCHXQM-CYBMUJFWSA-N 1 2 299.374 3.633 20 0 CHADLO CCCCN(C(=O)Nc1cc[nH+]cc1C)C1CCCCC1 ZINC000455039736 331159424 /nfs/dbraw/zinc/15/94/24/331159424.db2.gz VLEBQOVRJSTSEJ-UHFFFAOYSA-N 1 2 289.423 3.779 20 0 CHADLO CCC[C@@H](NC(=O)NCc1c[nH+]c(C)cc1C)C(C)(C)C ZINC000455297724 331164759 /nfs/dbraw/zinc/16/47/59/331164759.db2.gz BBRPAEQASGKYIV-OAHLLOKOSA-N 1 2 291.439 3.712 20 0 CHADLO CC[C@@]1(C(C)C)C[C@@H]1NC(=O)Nc1c(C)cc[nH+]c1C ZINC000455433074 331169825 /nfs/dbraw/zinc/16/98/25/331169825.db2.gz FJAUEPVPUCIJNL-BBRMVZONSA-N 1 2 275.396 3.645 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@]1(C)CCCC[C@@H]1C ZINC000455439910 331169945 /nfs/dbraw/zinc/16/99/45/331169945.db2.gz MLLDTCLFOUGHAX-BLLLJJGKSA-N 1 2 275.396 3.789 20 0 CHADLO C/C=C/c1ccc(NC(=O)N[C@@H](C)c2[nH+]ccn2CC)cc1 ZINC000455446590 331170087 /nfs/dbraw/zinc/17/00/87/331170087.db2.gz LQLWFNNTGWAQNJ-BPJJOFIESA-N 1 2 298.390 3.819 20 0 CHADLO CC[C@@H](C)CN(CC)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455469981 331170375 /nfs/dbraw/zinc/17/03/75/331170375.db2.gz AGHJMTGJYQCITL-LLVKDONJSA-N 1 2 277.412 3.907 20 0 CHADLO CCC1(CNC(=O)Nc2c(C)cc(C)[nH+]c2C)CCC1 ZINC000455475663 331170586 /nfs/dbraw/zinc/17/05/86/331170586.db2.gz DNPUCKAGVPRTCI-UHFFFAOYSA-N 1 2 275.396 3.709 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC2(CC(C)C)CC2)c(C)[nH+]1 ZINC000455476678 331170619 /nfs/dbraw/zinc/17/06/19/331170619.db2.gz FATVEZMHYJMCEJ-UHFFFAOYSA-N 1 2 289.423 3.955 20 0 CHADLO Cc1ccc(NC(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000455480553 331170680 /nfs/dbraw/zinc/17/06/80/331170680.db2.gz OFHZTYYVQNJVCU-UHFFFAOYSA-N 1 2 269.348 3.959 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CCC(C)(C)C2)c(C)[nH+]1 ZINC000455507199 331171211 /nfs/dbraw/zinc/17/12/11/331171211.db2.gz YRPFEQOSTMBSFM-CYBMUJFWSA-N 1 2 275.396 3.707 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1sccc1C(C)C ZINC000456393060 331192115 /nfs/dbraw/zinc/19/21/15/331192115.db2.gz QGLYSRAFAMBQGS-NSHDSACASA-N 1 2 291.420 3.579 20 0 CHADLO C[C@H]1C[C@H](NC(=O)CCCC2CCCCC2)c2[nH+]ccn21 ZINC000456397842 331192142 /nfs/dbraw/zinc/19/21/42/331192142.db2.gz TZMPHDHVFRUKGR-ZFWWWQNUSA-N 1 2 289.423 3.756 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)c2csc3ccccc23)c2[nH+]ccn21 ZINC000456407504 331192227 /nfs/dbraw/zinc/19/22/27/331192227.db2.gz YRHBKZZPTXJMQT-GXFFZTMASA-N 1 2 297.383 3.534 20 0 CHADLO Cc1ccc(C[C@H](C)C(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000456842553 331207278 /nfs/dbraw/zinc/20/72/78/331207278.db2.gz PVVAQDQNGZTFSC-HNNXBMFYSA-N 1 2 296.414 3.502 20 0 CHADLO Cc1oc(C(C)C)cc1C(=O)NCc1c[nH+]c(C)cc1C ZINC000456845651 331207368 /nfs/dbraw/zinc/20/73/68/331207368.db2.gz SMVDPXPBPGGEPB-UHFFFAOYSA-N 1 2 286.375 3.653 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc(C)c(Cl)c2)c[nH+]1 ZINC000456848971 331207402 /nfs/dbraw/zinc/20/74/02/331207402.db2.gz VHSHVVZSOOQWLL-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO C[NH+](C)Cc1csc(NC(=O)CCCCC(C)(C)C)n1 ZINC000457304564 331218509 /nfs/dbraw/zinc/21/85/09/331218509.db2.gz DIFNXCIQKGCUQE-UHFFFAOYSA-N 1 2 297.468 3.750 20 0 CHADLO C[C@H](CC(F)(F)F)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000457497648 331224973 /nfs/dbraw/zinc/22/49/73/331224973.db2.gz FXRUTTUXIMBKDG-SECBINFHSA-N 1 2 297.280 3.604 20 0 CHADLO CC(C)CCCCC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000457557644 331226877 /nfs/dbraw/zinc/22/68/77/331226877.db2.gz FYEOQSRKYUORLR-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO C[C@H](C(=O)Nc1c[nH+]ccc1OC(C)(C)C)C1CCC1 ZINC000457677945 331230944 /nfs/dbraw/zinc/23/09/44/331230944.db2.gz SYYDLMMPNHACJE-NSHDSACASA-N 1 2 276.380 3.634 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)[C@]1(C)CC[C@H](C)C1(C)C ZINC000457876955 331236878 /nfs/dbraw/zinc/23/68/78/331236878.db2.gz NPTNOVIYFPOMTP-AHIWAGSCSA-N 1 2 291.439 3.543 20 0 CHADLO CCC[C@H](NC(=O)c1cccc(-n2cc[nH+]c2)c1)C1CCC1 ZINC000458334301 331249203 /nfs/dbraw/zinc/24/92/03/331249203.db2.gz PIUJSTLTBAFIKE-KRWDZBQOSA-N 1 2 297.402 3.571 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc(C)[nH+]c2)C12CCCC2 ZINC000164627819 331269107 /nfs/dbraw/zinc/26/91/07/331269107.db2.gz AMQZZVRMWSYMIV-HUUCEWRRSA-N 1 2 260.381 3.540 20 0 CHADLO COc1ccc(-c2ccccc2C)cc1C[NH+]1CCOCC1 ZINC000459278584 331274322 /nfs/dbraw/zinc/27/43/22/331274322.db2.gz JUCWNIPYLPFRBR-UHFFFAOYSA-N 1 2 297.398 3.503 20 0 CHADLO C/C(=C/c1ccccc1C(F)(F)F)C[NH2+]CC(F)F ZINC000459552388 331287401 /nfs/dbraw/zinc/28/74/01/331287401.db2.gz ZDWHKYWMYWRRKT-TWGQIWQCSA-N 1 2 279.252 3.963 20 0 CHADLO CCC[C@@H](NC(=O)Nc1c(C)cc[nH+]c1C)C1CCC1 ZINC000459552180 331287490 /nfs/dbraw/zinc/28/74/90/331287490.db2.gz SCGSNHTVJDQUJW-CQSZACIVSA-N 1 2 275.396 3.789 20 0 CHADLO CCOc1cc(C[NH2+][C@H](CC)C(F)F)cc(Cl)c1O ZINC000459563976 331288066 /nfs/dbraw/zinc/28/80/66/331288066.db2.gz HWNFOVTWPNFJQK-SNVBAGLBSA-N 1 2 293.741 3.578 20 0 CHADLO Clc1cnc(C[NH+]2CC(C3CCCCC3)C2)s1 ZINC000459588805 331289541 /nfs/dbraw/zinc/28/95/41/331289541.db2.gz GQFHUWMMEAJTOI-UHFFFAOYSA-N 1 2 270.829 3.809 20 0 CHADLO Clc1ccc(Cl)c(C[NH+]2CC3(C2)CCOCC3)c1 ZINC000459615189 331290561 /nfs/dbraw/zinc/29/05/61/331290561.db2.gz HVAKBCCTSFFARR-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(F)c1F)c1nc2c(s1)CCC2 ZINC000459637755 331291583 /nfs/dbraw/zinc/29/15/83/331291583.db2.gz LZLVCISJGCANGZ-VIFPVBQESA-N 1 2 294.370 3.761 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC000459674229 331293534 /nfs/dbraw/zinc/29/35/34/331293534.db2.gz IKEVWXIXWVVMEH-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CCc2cccc(Cl)c2C1 ZINC000459674229 331293535 /nfs/dbraw/zinc/29/35/35/331293535.db2.gz IKEVWXIXWVVMEH-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(Cl)c1Cl)c1ccn(C)n1 ZINC000459745179 331297799 /nfs/dbraw/zinc/29/77/99/331297799.db2.gz IGUAMPZHTSIHQH-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)sc1Cl)c1ccn(C)n1 ZINC000459748084 331297993 /nfs/dbraw/zinc/29/79/93/331297993.db2.gz GIBSQHQNLJOWLJ-SSDOTTSWSA-N 1 2 290.219 3.639 20 0 CHADLO Cc1nc(CC[N@@H+]2Cc3ccc(Cl)cc3C2)cs1 ZINC000459763135 331299211 /nfs/dbraw/zinc/29/92/11/331299211.db2.gz MMLWXYSGRLIAPN-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1nc(CC[N@H+]2Cc3ccc(Cl)cc3C2)cs1 ZINC000459763135 331299212 /nfs/dbraw/zinc/29/92/12/331299212.db2.gz MMLWXYSGRLIAPN-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)CC(F)(F)F)c(C)[nH+]1 ZINC000459917246 331303393 /nfs/dbraw/zinc/30/33/93/331303393.db2.gz DRSSCHBMCOUQNP-QMMMGPOBSA-N 1 2 274.286 3.534 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2cncs2)c[nH+]1 ZINC000165325261 331306124 /nfs/dbraw/zinc/30/61/24/331306124.db2.gz FUBDNGGGKNVUHL-NSHDSACASA-N 1 2 276.409 3.557 20 0 CHADLO CC[C@@H](CC(=O)Nc1cccc2[nH+]ccn21)c1ccccc1 ZINC000460342568 331309339 /nfs/dbraw/zinc/30/93/39/331309339.db2.gz IEBVSJNECDFFNC-AWEZNQCLSA-N 1 2 293.370 3.857 20 0 CHADLO CCC[C@@H]1C[C@H]1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000462330592 331319920 /nfs/dbraw/zinc/31/99/20/331319920.db2.gz GNSRDYOFRGVJPM-TZMCWYRMSA-N 1 2 283.375 3.760 20 0 CHADLO Cc1cc(N[C@H](C)C2CCCCC2)nc(-c2cccnc2)[nH+]1 ZINC000462875148 331333675 /nfs/dbraw/zinc/33/36/75/331333675.db2.gz RSLPBTCYQUQXQC-CQSZACIVSA-N 1 2 296.418 3.650 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C(C)C)n1)c1ccc(C)cc1 ZINC000462929710 331335324 /nfs/dbraw/zinc/33/53/24/331335324.db2.gz OIGLPLMKMDOWKT-HNNXBMFYSA-N 1 2 269.392 3.894 20 0 CHADLO C[C@@H](CCC1CC1)[NH2+]c1ccc(-n2cccn2)cc1 ZINC000469788612 331459140 /nfs/dbraw/zinc/45/91/40/331459140.db2.gz BEBUMRPHUZJVCD-ZDUSSCGKSA-N 1 2 255.365 3.863 20 0 CHADLO CCOC(=O)[C@@H]([NH2+]Cc1cccc(C(F)F)c1)[C@H](C)CC ZINC000470780091 331491143 /nfs/dbraw/zinc/49/11/43/331491143.db2.gz QFCPOUNAHHKMCR-RISCZKNCSA-N 1 2 299.361 3.692 20 0 CHADLO C[C@@H]1CCC[C@@H]1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000086673021 332918922 /nfs/dbraw/zinc/91/89/22/332918922.db2.gz LGOWKCFXQCOGEI-HIFRSBDPSA-N 1 2 259.397 3.672 20 0 CHADLO Fc1ccc(-c2nc(COc3cc[nH+]cc3)cs2)cc1 ZINC000476039166 331651829 /nfs/dbraw/zinc/65/18/29/331651829.db2.gz IPMRJOJAIGGPRU-UHFFFAOYSA-N 1 2 286.331 3.923 20 0 CHADLO CC1(C)CCC[C@@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000476216434 331657418 /nfs/dbraw/zinc/65/74/18/331657418.db2.gz AVIUXORHFODUDZ-CQSZACIVSA-N 1 2 283.375 3.842 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000477181446 331678624 /nfs/dbraw/zinc/67/86/24/331678624.db2.gz SMRZLKOHIJEFRB-DZGCQCFKSA-N 1 2 279.428 3.830 20 0 CHADLO CC(C)c1nc(N2CC[C@H](C)C2)cc(C(C)(C)C)[nH+]1 ZINC000477825923 331691217 /nfs/dbraw/zinc/69/12/17/331691217.db2.gz SMHLJDSXUZJKII-LBPRGKRZSA-N 1 2 261.413 3.744 20 0 CHADLO Cc1csc2c1ncnc2Sc1[nH+]cc2ccccn21 ZINC000477830311 331691223 /nfs/dbraw/zinc/69/12/23/331691223.db2.gz YRMKZSQQFTXWDJ-UHFFFAOYSA-N 1 2 298.396 3.799 20 0 CHADLO Cc1[nH+]cccc1NCc1cccc(C(=O)OC(C)(C)C)c1 ZINC000478353035 331704634 /nfs/dbraw/zinc/70/46/34/331704634.db2.gz ARTBSXOQTRSBQY-UHFFFAOYSA-N 1 2 298.386 3.957 20 0 CHADLO CC[C@@H](Sc1[nH+]cc2ccccn21)C(=O)OC(C)(C)C ZINC000478528700 331707873 /nfs/dbraw/zinc/70/78/73/331707873.db2.gz NWUYVOKHEZQSNI-GFCCVEGCSA-N 1 2 292.404 3.547 20 0 CHADLO CC(C)=CC[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000479289848 331723840 /nfs/dbraw/zinc/72/38/40/331723840.db2.gz WRYACKMVYGROBE-AWEZNQCLSA-N 1 2 253.336 3.809 20 0 CHADLO CCC[C@H](C(=O)NCc1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000479807054 331746277 /nfs/dbraw/zinc/74/62/77/331746277.db2.gz SCLQKJGVQQHXFG-KRWDZBQOSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1cc(NCCC2CCOCC2)c2cccc(F)c2[nH+]1 ZINC000482002042 331821230 /nfs/dbraw/zinc/82/12/30/331821230.db2.gz OLALLPXYNQXWFP-UHFFFAOYSA-N 1 2 288.366 3.911 20 0 CHADLO COC[C@@H](CC(C)C)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000484704139 331904363 /nfs/dbraw/zinc/90/43/63/331904363.db2.gz NTXFTIYGRWBZLD-MRXNPFEDSA-N 1 2 287.407 3.560 20 0 CHADLO Cc1cc(N2C[C@H](c3ccccc3)[C@H]3CCCC[C@H]32)nc[nH+]1 ZINC000486027750 331929468 /nfs/dbraw/zinc/92/94/68/331929468.db2.gz KGGRVFPHPSTPRO-KZNAEPCWSA-N 1 2 293.414 3.948 20 0 CHADLO Clc1ccc([C@H]2CCN2Cc2cn3ccccc3[nH+]2)cc1 ZINC000511551696 332935211 /nfs/dbraw/zinc/93/52/11/332935211.db2.gz DTIKLHXAEFIUNE-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO Cc1ccc2[nH+]c(CNC(=O)C3(C)CCCCCC3)cn2c1 ZINC000511571823 332935805 /nfs/dbraw/zinc/93/58/05/332935805.db2.gz HOOYSGUBRZTLNP-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO CCc1cc(CCCSc2[nH+]cc3ccccn32)on1 ZINC000487174083 331958207 /nfs/dbraw/zinc/95/82/07/331958207.db2.gz BPQHPDDUQNNQMU-UHFFFAOYSA-N 1 2 287.388 3.610 20 0 CHADLO Cc1cccc(CN(C)c2cc[nH+]c(C3CC3)n2)c1C ZINC000488446568 332009756 /nfs/dbraw/zinc/00/97/56/332009756.db2.gz HGQXUIJEOKSRPI-UHFFFAOYSA-N 1 2 267.376 3.607 20 0 CHADLO C[C@@]12CCN(c3[nH+]ccc4ccc(F)cc43)C[C@@H]1C2(F)F ZINC000488464664 332011791 /nfs/dbraw/zinc/01/17/91/332011791.db2.gz KHGNHQLODQZIQP-DZGCQCFKSA-N 1 2 292.304 3.855 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@@H]2CC(=O)Nc1cc[nH+]cc1C ZINC000488866918 332030303 /nfs/dbraw/zinc/03/03/03/332030303.db2.gz GRENTSWOIAJBAD-UKRRQHHQSA-N 1 2 294.398 3.740 20 0 CHADLO Cc1cccc2c1[C@@H](C)C[C@@H]2CC(=O)Nc1cc[nH+]cc1C ZINC000488866909 332030374 /nfs/dbraw/zinc/03/03/74/332030374.db2.gz GRENTSWOIAJBAD-DZGCQCFKSA-N 1 2 294.398 3.740 20 0 CHADLO CC[C@H]1CCN1c1cc(C(C)(C)C)[nH+]c(C(C)C)n1 ZINC000489049435 332039310 /nfs/dbraw/zinc/03/93/10/332039310.db2.gz VQHWXZKMWDVZTJ-LBPRGKRZSA-N 1 2 261.413 3.886 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+]Cc1ccn(C(F)F)n1 ZINC000491580562 332241175 /nfs/dbraw/zinc/24/11/75/332241175.db2.gz CNRNZZVIEJNTHT-UHFFFAOYSA-N 1 2 295.308 3.585 20 0 CHADLO c1cn(-c2cccc(-c3nc(C4CCCC4)no3)c2)c[nH+]1 ZINC000172316458 335100718 /nfs/dbraw/zinc/10/07/18/335100718.db2.gz LABRIBQZFCGMLI-UHFFFAOYSA-N 1 2 280.331 3.580 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccoc2Cl)c(C)[nH+]1 ZINC000192264708 335101056 /nfs/dbraw/zinc/10/10/56/335101056.db2.gz NSOIYFXUOYQVGA-UHFFFAOYSA-N 1 2 264.712 3.506 20 0 CHADLO COc1cc[nH+]cc1NCc1ccc2nc(C)sc2c1 ZINC000491976208 332377881 /nfs/dbraw/zinc/37/78/81/332377881.db2.gz FWRNCWYZFVCCHU-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(C)[nH+]c2C)c(Cl)c1 ZINC000192376576 335107559 /nfs/dbraw/zinc/10/75/59/335107559.db2.gz HASXHHWVRULRGJ-UHFFFAOYSA-N 1 2 274.751 3.913 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1cccc(C(C)C)c1 ZINC000492309568 332391887 /nfs/dbraw/zinc/39/18/87/332391887.db2.gz CWFBPRIFHLNYEN-HJWRWDBZSA-N 1 2 283.375 3.678 20 0 CHADLO CC(C)(C)[C@H]([NH2+]CC[C@H]1CCCCO1)C(F)(F)F ZINC000545214625 333969846 /nfs/dbraw/zinc/96/98/46/333969846.db2.gz HHESYCNIVFBDLU-MNOVXSKESA-N 1 2 267.335 3.512 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCCC[C@H]1c1nc2ccccc2n1C ZINC000575741619 335110835 /nfs/dbraw/zinc/11/08/35/335110835.db2.gz APMWRTJUZXAFLE-BBRMVZONSA-N 1 2 289.398 3.848 20 0 CHADLO CC[C@H](F)C[N@H+]1CCCC[C@H]1c1nc2ccccc2n1C ZINC000575741619 335110836 /nfs/dbraw/zinc/11/08/36/335110836.db2.gz APMWRTJUZXAFLE-BBRMVZONSA-N 1 2 289.398 3.848 20 0 CHADLO Cc1cc(N(Cc2ccccc2)CC(C)(C)C)nc[nH+]1 ZINC000495342584 332515319 /nfs/dbraw/zinc/51/53/19/332515319.db2.gz LKUFHDIFBDWXSX-UHFFFAOYSA-N 1 2 269.392 3.838 20 0 CHADLO c1[nH]cc(NCC(c2ccccc2)c2ccccc2)[nH+]1 ZINC000552029268 334278502 /nfs/dbraw/zinc/27/85/02/334278502.db2.gz YQOXNXBILFNVNK-UHFFFAOYSA-N 1 2 263.344 3.654 20 0 CHADLO Fc1cc(F)cc(CNc2ccc3ccccc3[nH+]2)c1 ZINC000503059617 332679931 /nfs/dbraw/zinc/67/99/31/332679931.db2.gz MOVLFOURVSWKKO-UHFFFAOYSA-N 1 2 270.282 3.547 20 0 CHADLO Cc1cnc(C[NH2+][C@]2(c3ccc(Cl)cc3)C[C@H]2C)o1 ZINC000503408352 332688666 /nfs/dbraw/zinc/68/86/66/332688666.db2.gz SJMUIZVARCIYHZ-MEBBXXQBSA-N 1 2 276.767 3.661 20 0 CHADLO CC[C@H]1C[C@@H](CNc2c[nH+]ccc2OC(C)(C)C)CCO1 ZINC000503582264 332693312 /nfs/dbraw/zinc/69/33/12/332693312.db2.gz XTBIPJUMBULDDI-KBPBESRZSA-N 1 2 292.423 3.876 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(C(F)F)CC2)cc(C)c1F ZINC000503929247 332699426 /nfs/dbraw/zinc/69/94/26/332699426.db2.gz PFMBQVRVOZPQIF-SNVBAGLBSA-N 1 2 257.299 3.891 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCC[C@H](C)F)c2c1 ZINC000505665765 332748675 /nfs/dbraw/zinc/74/86/75/332748675.db2.gz ROJKPLHUONPASQ-JTQLQIEISA-N 1 2 263.312 3.679 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(C(C)(C)C)o1)c1ccc2n[nH]cc2c1 ZINC000513210378 333008710 /nfs/dbraw/zinc/00/87/10/333008710.db2.gz PBWXQEDPJSSQJM-LLVKDONJSA-N 1 2 298.390 3.699 20 0 CHADLO O=C(CCC1CCC1)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000176464413 333042261 /nfs/dbraw/zinc/04/22/61/333042261.db2.gz WWWZBVMJMBHFMG-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO CCC[N@H+](CCCOc1ccc(F)cc1)CC(F)F ZINC000514003153 333059224 /nfs/dbraw/zinc/05/92/24/333059224.db2.gz IEBIVHIJEFADRR-UHFFFAOYSA-N 1 2 275.314 3.572 20 0 CHADLO CCC[N@@H+](CCCOc1ccc(F)cc1)CC(F)F ZINC000514003153 333059225 /nfs/dbraw/zinc/05/92/25/333059225.db2.gz IEBIVHIJEFADRR-UHFFFAOYSA-N 1 2 275.314 3.572 20 0 CHADLO CCc1ccccc1C[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000172681656 335118698 /nfs/dbraw/zinc/11/86/98/335118698.db2.gz NRLALVHGMBVNPU-GFCCVEGCSA-N 1 2 287.407 3.780 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cnc(C3CCCC3)s2)C1 ZINC000516974508 333088620 /nfs/dbraw/zinc/08/86/20/333088620.db2.gz BVYKEAPJCFEUKI-LBPRGKRZSA-N 1 2 268.401 3.735 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cnc(C3CCCC3)s2)C1 ZINC000516974508 333088621 /nfs/dbraw/zinc/08/86/21/333088621.db2.gz BVYKEAPJCFEUKI-LBPRGKRZSA-N 1 2 268.401 3.735 20 0 CHADLO COC(=O)c1sccc1C[N@H+](C)Cc1ccc(C)s1 ZINC000088505561 333089555 /nfs/dbraw/zinc/08/95/55/333089555.db2.gz NFMQLCBMELCTEB-UHFFFAOYSA-N 1 2 295.429 3.537 20 0 CHADLO COC(=O)c1sccc1C[N@@H+](C)Cc1ccc(C)s1 ZINC000088505561 333089556 /nfs/dbraw/zinc/08/95/56/333089556.db2.gz NFMQLCBMELCTEB-UHFFFAOYSA-N 1 2 295.429 3.537 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccc(OC(F)F)cc1 ZINC000517187603 333094155 /nfs/dbraw/zinc/09/41/55/333094155.db2.gz QFKPXLMIEOIDGU-MRVPVSSYSA-N 1 2 265.250 3.594 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccc(Cl)cn1 ZINC000517396064 333098800 /nfs/dbraw/zinc/09/88/00/333098800.db2.gz ZXEPPAZMQJASGR-BDAKNGLRSA-N 1 2 267.785 3.603 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C2=CCC(C)(C)CC2)n1 ZINC000517543621 333101923 /nfs/dbraw/zinc/10/19/23/333101923.db2.gz MXLMKRRETYQSQR-UHFFFAOYSA-N 1 2 283.375 3.957 20 0 CHADLO CC(C)c1nc(N2CCC[C@H]2Cc2ccc(F)cc2)cc[nH+]1 ZINC000517650277 333105098 /nfs/dbraw/zinc/10/50/98/333105098.db2.gz IPDDJHJZWCECSZ-INIZCTEOSA-N 1 2 299.393 3.951 20 0 CHADLO CC(C)Oc1ccc([C@H](C)Nc2cc[nH+]c(C(C)C)n2)cc1 ZINC000517650280 333105101 /nfs/dbraw/zinc/10/51/01/333105101.db2.gz IRJTTYUNKARXHH-AWEZNQCLSA-N 1 2 299.418 3.982 20 0 CHADLO Fc1cccc([C@@H]2CCN(c3[nH]c4ccccc4[nH+]3)C2)c1 ZINC000520852309 333106932 /nfs/dbraw/zinc/10/69/32/333106932.db2.gz IOEHMNBTPXCKMX-CYBMUJFWSA-N 1 2 281.334 3.696 20 0 CHADLO CC[C@H](C)[C@H](C)Nc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000336851080 335121295 /nfs/dbraw/zinc/12/12/95/335121295.db2.gz DQZRDWBCRFHSSY-STQMWFEESA-N 1 2 295.390 3.662 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1C[C@H](C)c2ccccc21)C(=O)OC(C)(C)C ZINC000564148657 333113131 /nfs/dbraw/zinc/11/31/31/333113131.db2.gz RQEVQKAXFFYIEF-APHBMKBZSA-N 1 2 289.419 3.945 20 0 CHADLO COc1cc(C)[nH+]c(CNc2c(C)cccc2C)c1 ZINC000214117006 333116439 /nfs/dbraw/zinc/11/64/39/333116439.db2.gz AIKMQZDLRIDQAJ-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO CC[C@H](C)[C@H](C)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000183221993 333119603 /nfs/dbraw/zinc/11/96/03/333119603.db2.gz PAAXCHNLTOEMNS-STQMWFEESA-N 1 2 285.391 3.708 20 0 CHADLO CC[C@@H](NC(=O)c1ccc(F)c2ccccc12)c1[nH]cc[nH+]1 ZINC000336862794 335121933 /nfs/dbraw/zinc/12/19/33/335121933.db2.gz LHASETQYOFJWDZ-OAHLLOKOSA-N 1 2 297.333 3.583 20 0 CHADLO CCCC[C@@H]([NH2+][C@@H](C)c1cc(C)sc1C)C(=O)OC ZINC000219844674 333157898 /nfs/dbraw/zinc/15/78/98/333157898.db2.gz YMUBFZBVBJFGND-SMDDNHRTSA-N 1 2 283.437 3.747 20 0 CHADLO C[C@H](CCC1CC1)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000186482776 333161791 /nfs/dbraw/zinc/16/17/91/333161791.db2.gz KFJPSMPVZNNCNA-OAHLLOKOSA-N 1 2 299.418 3.953 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+]([C@H](C)c1nc(C(C)C)no1)CC2 ZINC000186738057 333164826 /nfs/dbraw/zinc/16/48/26/333164826.db2.gz SKUFHKWQKLJHTB-CQSZACIVSA-N 1 2 299.418 3.929 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+]([C@H](C)c1nc(C(C)C)no1)CC2 ZINC000186738057 333164828 /nfs/dbraw/zinc/16/48/28/333164828.db2.gz SKUFHKWQKLJHTB-CQSZACIVSA-N 1 2 299.418 3.929 20 0 CHADLO COc1ccc([NH2+]C2CCN(c3ccccc3)CC2)cc1 ZINC000220509601 333166968 /nfs/dbraw/zinc/16/69/68/333166968.db2.gz VJKJHVZKVYMZAE-UHFFFAOYSA-N 1 2 282.387 3.776 20 0 CHADLO COc1cc(C)ccc1[NH2+][C@H](C)C1CCSCC1 ZINC000187507971 333171707 /nfs/dbraw/zinc/17/17/07/333171707.db2.gz DWSCHHPLEKUJLS-GFCCVEGCSA-N 1 2 265.422 3.947 20 0 CHADLO COc1ccc(F)cc1C[NH2+]Cc1cc(F)c(F)cc1F ZINC000189202278 333184656 /nfs/dbraw/zinc/18/46/56/333184656.db2.gz BYDUXEQMZVBFCD-UHFFFAOYSA-N 1 2 299.267 3.541 20 0 CHADLO Cn1c2ccccc2[nH+]c1N[C@@H]1CCCc2c(O)cccc21 ZINC000189568455 333195333 /nfs/dbraw/zinc/19/53/33/333195333.db2.gz NHPRIZPQEATACQ-CQSZACIVSA-N 1 2 293.370 3.768 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC(F)(F)[C@H](C)C2)cs1 ZINC000521884736 333195545 /nfs/dbraw/zinc/19/55/45/333195545.db2.gz CCJCUFFCLXCKQM-LLVKDONJSA-N 1 2 288.407 3.963 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC(F)(F)[C@H](C)C2)cs1 ZINC000521884736 333195546 /nfs/dbraw/zinc/19/55/46/333195546.db2.gz CCJCUFFCLXCKQM-LLVKDONJSA-N 1 2 288.407 3.963 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nncn1C)c1cccc(Cl)c1Cl ZINC000223136982 333204677 /nfs/dbraw/zinc/20/46/77/333204677.db2.gz VVEAAIZHFBXOJY-BDAKNGLRSA-N 1 2 299.205 3.534 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cccs1)C(=O)OC(C)(C)C ZINC000190499302 333207523 /nfs/dbraw/zinc/20/75/23/333207523.db2.gz DKUVYAMWTZVDHH-GHMZBOCLSA-N 1 2 269.410 3.519 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2nc(C)c(C)o2)cc1 ZINC000223667718 333210473 /nfs/dbraw/zinc/21/04/73/333210473.db2.gz WGBAOKHASQURKV-LLVKDONJSA-N 1 2 276.405 3.864 20 0 CHADLO Cc1cccn2cc(CNc3cccc4ccn(C)c43)[nH+]c12 ZINC000190804352 333210765 /nfs/dbraw/zinc/21/07/65/333210765.db2.gz RTMZSRBWWFWDAH-UHFFFAOYSA-N 1 2 290.370 3.747 20 0 CHADLO Cc1ccn2c(CNc3cccc4ccn(C)c43)c[nH+]c2c1 ZINC000190905122 333212109 /nfs/dbraw/zinc/21/21/09/333212109.db2.gz YKBQLTMZEMGFIJ-UHFFFAOYSA-N 1 2 290.370 3.747 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)C[C@H]3C=CCC3)ccc2[nH+]1 ZINC000195056482 333243909 /nfs/dbraw/zinc/24/39/09/333243909.db2.gz PAALVGAMOGSOQX-ZDUSSCGKSA-N 1 2 295.386 3.596 20 0 CHADLO C[C@H]1COCC[C@@H]1Nc1cccc([NH+]2CCCCCC2)c1 ZINC000195105228 333245478 /nfs/dbraw/zinc/24/54/78/333245478.db2.gz WRPVPJWPCWOBBM-YJBOKZPZSA-N 1 2 288.435 3.904 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](CC)c2ccc(Cl)cc2)no1 ZINC000193959013 333261698 /nfs/dbraw/zinc/26/16/98/333261698.db2.gz FGWSHDCKWNTTMG-ZDUSSCGKSA-N 1 2 293.798 3.916 20 0 CHADLO Cc1cc(C)c(NC(=O)CCOCc2ccccc2)c(C)[nH+]1 ZINC000194160254 333266491 /nfs/dbraw/zinc/26/64/91/333266491.db2.gz LFJSFYLEKNQRRH-UHFFFAOYSA-N 1 2 298.386 3.552 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CCCCC2(C)C)c(C)[nH+]1 ZINC000194378784 333270455 /nfs/dbraw/zinc/27/04/55/333270455.db2.gz JAAACZKMMFTRDL-ZDUSSCGKSA-N 1 2 260.381 3.853 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1ccc(Cl)o1 ZINC000227599751 333286550 /nfs/dbraw/zinc/28/65/50/333286550.db2.gz SIFFLJDGWZLURD-UHFFFAOYSA-N 1 2 286.158 3.885 20 0 CHADLO Cc1c[nH+]cc(NCc2cccc(OC(F)(F)F)c2)c1 ZINC000227603679 333286819 /nfs/dbraw/zinc/28/68/19/333286819.db2.gz VVRCDXMZQFKXBJ-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO Cc1cc(N2CCC[C@H](C(C)C)CC2)nc(C2CC2)[nH+]1 ZINC000522566449 333290299 /nfs/dbraw/zinc/29/02/99/333290299.db2.gz IELJPDNEXWEQQZ-AWEZNQCLSA-N 1 2 273.424 3.925 20 0 CHADLO COc1cc(C)[nH+]c(COc2cccc(C)c2C)c1 ZINC000230277129 333316182 /nfs/dbraw/zinc/31/61/82/333316182.db2.gz LYKQGEZDXTXLNH-UHFFFAOYSA-N 1 2 257.333 3.594 20 0 CHADLO C[C@H]([NH2+]Cc1nc2ccccc2[nH]1)c1cccc(F)c1F ZINC000231633012 333326487 /nfs/dbraw/zinc/32/64/87/333326487.db2.gz ZXSAJDDXCXLKSW-JTQLQIEISA-N 1 2 287.313 3.692 20 0 CHADLO CCOC(=O)[C@H]([NH2+][C@@H](C)C1CCCCC1)c1ccccc1 ZINC000231667023 333327402 /nfs/dbraw/zinc/32/74/02/333327402.db2.gz WZOOLYBEYLMVSA-WMLDXEAASA-N 1 2 289.419 3.849 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2cc(F)ccc2F)C[C@H](C)O1 ZINC000523607576 333334237 /nfs/dbraw/zinc/33/42/37/333334237.db2.gz KCYYWCUQAAYZPX-GMXVVIOVSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2cc(F)ccc2F)C[C@H](C)O1 ZINC000523607576 333334238 /nfs/dbraw/zinc/33/42/38/333334238.db2.gz KCYYWCUQAAYZPX-GMXVVIOVSA-N 1 2 269.335 3.525 20 0 CHADLO Cc1cc2[nH+]cn(C[C@H](O)CC3CCCC3)c2cc1C ZINC000232145887 333334381 /nfs/dbraw/zinc/33/43/81/333334381.db2.gz HYVULJINFFWTQG-OAHLLOKOSA-N 1 2 272.392 3.594 20 0 CHADLO COc1c(C)c[nH+]c(CN2CCCc3ccccc32)c1C ZINC000303247345 333339069 /nfs/dbraw/zinc/33/90/69/333339069.db2.gz MBFGOGBMJPPBGV-UHFFFAOYSA-N 1 2 282.387 3.660 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2c(F)cccc2F)C[C@H](C)O1 ZINC000303445244 333342262 /nfs/dbraw/zinc/34/22/62/333342262.db2.gz GTDOHWJNFBEXGG-SDDRHHMPSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2c(F)cccc2F)C[C@H](C)O1 ZINC000303445244 333342263 /nfs/dbraw/zinc/34/22/63/333342263.db2.gz GTDOHWJNFBEXGG-SDDRHHMPSA-N 1 2 269.335 3.525 20 0 CHADLO COC(=O)[C@H]([NH2+]CC[C@H]1CCC[C@H](C)C1)c1ccccc1 ZINC000524015728 333351342 /nfs/dbraw/zinc/35/13/42/333351342.db2.gz OJRXVYDZVQVITJ-ZMSDIMECSA-N 1 2 289.419 3.707 20 0 CHADLO CC[N@H+](Cc1nnc(C(C)C)o1)Cc1ccc(C)cc1 ZINC000524027710 333352165 /nfs/dbraw/zinc/35/21/65/333352165.db2.gz PHGHXZZRLYPXRF-UHFFFAOYSA-N 1 2 273.380 3.524 20 0 CHADLO CC[N@@H+](Cc1nnc(C(C)C)o1)Cc1ccc(C)cc1 ZINC000524027710 333352166 /nfs/dbraw/zinc/35/21/66/333352166.db2.gz PHGHXZZRLYPXRF-UHFFFAOYSA-N 1 2 273.380 3.524 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(C)c(F)c2)s1 ZINC000338273216 335135589 /nfs/dbraw/zinc/13/55/89/335135589.db2.gz FFKDQBIDNVETPR-ZJUUUORDSA-N 1 2 279.384 3.706 20 0 CHADLO Fc1ccccc1[C@H]1C[N@H+](C/C=C/c2ccccc2)CCO1 ZINC000524309755 333359764 /nfs/dbraw/zinc/35/97/64/333359764.db2.gz GIZKMWDOGPPEET-MBNRZODZSA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccccc1[C@H]1C[N@@H+](C/C=C/c2ccccc2)CCO1 ZINC000524309755 333359765 /nfs/dbraw/zinc/35/97/65/333359765.db2.gz GIZKMWDOGPPEET-MBNRZODZSA-N 1 2 297.373 3.912 20 0 CHADLO CC(C)(C)[C@@H]1CCCC[C@H]1NC(=O)c1ccc2[nH+]ccn2c1 ZINC000524444254 333362292 /nfs/dbraw/zinc/36/22/92/333362292.db2.gz SWQJZVLBZBOVLJ-HUUCEWRRSA-N 1 2 299.418 3.669 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@H](CC)C1 ZINC000524591256 333367725 /nfs/dbraw/zinc/36/77/25/333367725.db2.gz ADBYDFZSCBANGK-DOMZBBRYSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1CCO[C@H](CC)C1 ZINC000524591256 333367727 /nfs/dbraw/zinc/36/77/27/333367727.db2.gz ADBYDFZSCBANGK-DOMZBBRYSA-N 1 2 269.335 3.527 20 0 CHADLO Cc1ccc(CSc2nc(N)cc(C)[nH+]2)c(Cl)c1 ZINC000235861763 333372470 /nfs/dbraw/zinc/37/24/70/333372470.db2.gz JTQYGNQELNBVLQ-UHFFFAOYSA-N 1 2 279.796 3.621 20 0 CHADLO CCCc1ncc(CNc2[nH+]ccc3c(OC)cccc32)o1 ZINC000575987590 335138884 /nfs/dbraw/zinc/13/88/84/335138884.db2.gz PSBGYXFDOCJAIT-UHFFFAOYSA-N 1 2 297.358 3.796 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@H](C)c1ccc(Cl)cc1 ZINC000036980451 333448668 /nfs/dbraw/zinc/44/86/68/333448668.db2.gz SSQAPZLNXCJTFY-BXUZGUMPSA-N 1 2 283.799 3.578 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)c1cccc(F)c1 ZINC000036982272 333449122 /nfs/dbraw/zinc/44/91/22/333449122.db2.gz WAXNBWCNXDJQHT-CYBMUJFWSA-N 1 2 285.366 3.994 20 0 CHADLO Cc1cccc([C@H]([NH2+]Cc2noc(C(C)C)n2)C(C)C)c1 ZINC000528767782 333456381 /nfs/dbraw/zinc/45/63/81/333456381.db2.gz PWHMTDSBJZURKS-MRXNPFEDSA-N 1 2 287.407 3.988 20 0 CHADLO CC[C@H](C[C@@H](C)CC)[NH2+]c1ccc(NS(C)(=O)=O)cc1 ZINC000037077025 333474577 /nfs/dbraw/zinc/47/45/77/333474577.db2.gz PERVKJUELZVSOD-QWHCGFSZSA-N 1 2 298.452 3.685 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+][C@H](c1ccccc1)C(C)C ZINC000037283332 333530626 /nfs/dbraw/zinc/53/06/26/333530626.db2.gz DNVQKQJYVPUUJR-HOTGVXAUSA-N 1 2 277.408 3.561 20 0 CHADLO Oc1cccc(C[NH+]2Cc3ccccc3C2)c1Cl ZINC000328460880 333533120 /nfs/dbraw/zinc/53/31/20/333533120.db2.gz JRVQVVBLARHXPM-UHFFFAOYSA-N 1 2 259.736 3.561 20 0 CHADLO CS[C@@H](C)CCC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000538008473 333570930 /nfs/dbraw/zinc/57/09/30/333570930.db2.gz PRASPUMOEXYRGI-NSHDSACASA-N 1 2 289.404 3.547 20 0 CHADLO CC[C@H](C)[C@H]([NH2+]C[C@@H](C)C(F)(F)F)C(=O)OC(C)(C)C ZINC000538391827 333588080 /nfs/dbraw/zinc/58/80/80/333588080.db2.gz XCBCAOUUZCBZFS-AXFHLTTASA-N 1 2 297.361 3.531 20 0 CHADLO Cc1ncsc1C[NH2+][C@@H](COCC(C)C)c1ccco1 ZINC000174328695 335152694 /nfs/dbraw/zinc/15/26/94/335152694.db2.gz VXARFIYYNNGMEG-ZDUSSCGKSA-N 1 2 294.420 3.548 20 0 CHADLO CCCC1(C(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)CCC1 ZINC000541266672 333768871 /nfs/dbraw/zinc/76/88/71/333768871.db2.gz PHEFWGFNTXANST-UHFFFAOYSA-N 1 2 297.402 3.663 20 0 CHADLO OCc1ccccc1C[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000541553096 333779565 /nfs/dbraw/zinc/77/95/65/333779565.db2.gz ZWLBKYZQOLTKSA-IBGZPJMESA-N 1 2 293.366 3.651 20 0 CHADLO Clc1cccc(Cl)c1C[NH2+]Cc1ccoc1 ZINC000069832993 333793678 /nfs/dbraw/zinc/79/36/78/333793678.db2.gz HUEJSCRNLRIRDD-UHFFFAOYSA-N 1 2 256.132 3.876 20 0 CHADLO CCc1cc(C[NH2+]Cc2ccc(Oc3ccccc3)o2)on1 ZINC000542798853 333841582 /nfs/dbraw/zinc/84/15/82/333841582.db2.gz UZIBIFYENFRZAD-UHFFFAOYSA-N 1 2 298.342 3.912 20 0 CHADLO Cc1cc(N2C[C@H](C)[C@H]3CCCC[C@H]32)nc(C2CC2)[nH+]1 ZINC000542965235 333851221 /nfs/dbraw/zinc/85/12/21/333851221.db2.gz KEHUTHUVDJVOKM-NILFDRSVSA-N 1 2 271.408 3.677 20 0 CHADLO CCC[C@@H](C(=O)Nc1cc[nH+]c(C)c1)c1ccccc1 ZINC000072709889 333954233 /nfs/dbraw/zinc/95/42/33/333954233.db2.gz GNBLUWJQUYREJP-MRXNPFEDSA-N 1 2 268.360 3.912 20 0 CHADLO Cc1ccc(C)c(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000073120332 333968330 /nfs/dbraw/zinc/96/83/30/333968330.db2.gz WQCAQXCNOGOIKU-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1)c1nc(C(C)(C)C)no1 ZINC000073637184 333976142 /nfs/dbraw/zinc/97/61/42/333976142.db2.gz CADUDTOYIGOMPU-WDEREUQCSA-N 1 2 291.370 3.918 20 0 CHADLO Nc1nc(N2CCC[C@@H]2c2ccsc2)[nH+]c2ccccc12 ZINC000132349959 333978099 /nfs/dbraw/zinc/97/80/99/333978099.db2.gz DEGWIVZWDKGLOQ-CQSZACIVSA-N 1 2 296.399 3.615 20 0 CHADLO CCc1cccc(Cc2nc(Cc3ccc(C)[nH+]c3)no2)c1 ZINC000545633539 333992394 /nfs/dbraw/zinc/99/23/94/333992394.db2.gz JEFPVZDWAPMLRY-UHFFFAOYSA-N 1 2 293.370 3.517 20 0 CHADLO Cc1ccc(Cc2noc(-c3cc(Cl)ccc3C)n2)c[nH+]1 ZINC000545633846 333992451 /nfs/dbraw/zinc/99/24/51/333992451.db2.gz OPCSCNJCWLKNTN-UHFFFAOYSA-N 1 2 299.761 3.993 20 0 CHADLO Cc1sc(-c2ccco2)nc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000074290833 334002071 /nfs/dbraw/zinc/00/20/71/334002071.db2.gz SEXMUWLUTLWIFJ-UHFFFAOYSA-N 1 2 299.355 3.667 20 0 CHADLO CC(C)OC[C@@H]1C[N@@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000546031424 334013756 /nfs/dbraw/zinc/01/37/56/334013756.db2.gz IBAKTURNRHJUGU-KGLIPLIRSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@@H]1C[N@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000546031424 334013757 /nfs/dbraw/zinc/01/37/57/334013757.db2.gz IBAKTURNRHJUGU-KGLIPLIRSA-N 1 2 297.826 3.527 20 0 CHADLO CCC(CC)[NH2+][C@H](Cc1ccccc1)C(=O)OC(C)(C)C ZINC000546606650 334039902 /nfs/dbraw/zinc/03/99/02/334039902.db2.gz HBXYAQLREMABRX-MRXNPFEDSA-N 1 2 291.435 3.718 20 0 CHADLO CC1(C)C[N@H+](Cc2cnc(C3CCCCC3)s2)CCO1 ZINC000546706397 334047086 /nfs/dbraw/zinc/04/70/86/334047086.db2.gz IQPHGRIBRNCFIU-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnc(C3CCCCC3)s2)CCO1 ZINC000546706397 334047087 /nfs/dbraw/zinc/04/70/87/334047087.db2.gz IQPHGRIBRNCFIU-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2noc(Cc3ccccc3)n2)CC1(C)C ZINC000546834540 334051543 /nfs/dbraw/zinc/05/15/43/334051543.db2.gz WFCNVCCUMALHKN-CQSZACIVSA-N 1 2 299.418 3.528 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2noc(Cc3ccccc3)n2)CC1(C)C ZINC000546834540 334051545 /nfs/dbraw/zinc/05/15/45/334051545.db2.gz WFCNVCCUMALHKN-CQSZACIVSA-N 1 2 299.418 3.528 20 0 CHADLO C[C@@H](c1noc(CCc2[nH]c3ccccc3[nH+]2)n1)C(C)(C)C ZINC000547140356 334068847 /nfs/dbraw/zinc/06/88/47/334068847.db2.gz SHNWUOUSEMWOJL-NSHDSACASA-N 1 2 298.390 3.881 20 0 CHADLO Cn1c2ccc(Cl)cc2[nH+]c1NCc1ccsc1 ZINC000134429440 334090225 /nfs/dbraw/zinc/09/02/25/334090225.db2.gz HEFKQRAGBGPRES-UHFFFAOYSA-N 1 2 277.780 3.900 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)[N@H+]2[C@H](C)C[C@@H]2C)c(Cl)c1 ZINC000547768921 334116106 /nfs/dbraw/zinc/11/61/06/334116106.db2.gz SYTIBJAHQKHZET-FRRDWIJNSA-N 1 2 294.826 3.767 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)[N@@H+]2[C@H](C)C[C@@H]2C)c(Cl)c1 ZINC000547768921 334116107 /nfs/dbraw/zinc/11/61/07/334116107.db2.gz SYTIBJAHQKHZET-FRRDWIJNSA-N 1 2 294.826 3.767 20 0 CHADLO CC(C)CC[C@H]1CCC[N@@H+]1Cc1nnsc1Cl ZINC000135309914 334125369 /nfs/dbraw/zinc/12/53/69/334125369.db2.gz LTPMMEJMNDCGOJ-SNVBAGLBSA-N 1 2 273.833 3.592 20 0 CHADLO CC(C)CC[C@H]1CCC[N@H+]1Cc1nnsc1Cl ZINC000135309914 334125371 /nfs/dbraw/zinc/12/53/71/334125371.db2.gz LTPMMEJMNDCGOJ-SNVBAGLBSA-N 1 2 273.833 3.592 20 0 CHADLO Cc1ccc2[nH+]c(CN(C)c3ccc4cc[nH]c4c3)cn2c1 ZINC000548099434 334139779 /nfs/dbraw/zinc/13/97/79/334139779.db2.gz HJZVKESYLNOKQC-UHFFFAOYSA-N 1 2 290.370 3.760 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccc(Cl)cc1 ZINC000078883585 334141869 /nfs/dbraw/zinc/14/18/69/334141869.db2.gz KLXWAWBRTYUQJG-SNVBAGLBSA-N 1 2 293.798 3.871 20 0 CHADLO Cc1cc(NC(=O)NCc2cccc(C(C)C)c2)c(C)c[nH+]1 ZINC000548488911 334160209 /nfs/dbraw/zinc/16/02/09/334160209.db2.gz QNYVUJZWRVPYPY-UHFFFAOYSA-N 1 2 297.402 3.565 20 0 CHADLO Cc1cccc(NC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000079816520 334162823 /nfs/dbraw/zinc/16/28/23/334162823.db2.gz ZXTFGKALCINIPK-UHFFFAOYSA-N 1 2 292.342 3.825 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2nccs2)c(F)c1 ZINC000080265525 334168792 /nfs/dbraw/zinc/16/87/92/334168792.db2.gz LVBJHDLGLILBDP-ZJUUUORDSA-N 1 2 280.368 3.703 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ncc(C(C)(C)C)o2)CCS1 ZINC000245465963 334195018 /nfs/dbraw/zinc/19/50/18/334195018.db2.gz IZPQEOZBKALAJR-VXGBXAGGSA-N 1 2 282.453 3.861 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ncc(C(C)(C)C)o2)CCS1 ZINC000245465963 334195020 /nfs/dbraw/zinc/19/50/20/334195020.db2.gz IZPQEOZBKALAJR-VXGBXAGGSA-N 1 2 282.453 3.861 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccccc1OC1CCCC1 ZINC000549864045 334207111 /nfs/dbraw/zinc/20/71/11/334207111.db2.gz RADAATZVNPVJGU-UHFFFAOYSA-N 1 2 269.335 3.753 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]CC(C)(F)F)cc1F ZINC000551254923 334243264 /nfs/dbraw/zinc/24/32/64/334243264.db2.gz LHDQUCRLANUAGM-MRVPVSSYSA-N 1 2 263.328 3.853 20 0 CHADLO Cc1ccccc1C(C)(C)[NH2+]Cc1nnsc1Cl ZINC000550262304 334217739 /nfs/dbraw/zinc/21/77/39/334217739.db2.gz DCGBTHBPPQZYSL-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO CCC[C@@H](CNc1ccc(CO)c[nH+]1)c1ccccc1 ZINC000550956621 334234943 /nfs/dbraw/zinc/23/49/43/334234943.db2.gz HGMUKMKDMMBZGK-INIZCTEOSA-N 1 2 270.376 3.570 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]CC(C)(F)F)cc1F ZINC000551254924 334243220 /nfs/dbraw/zinc/24/32/20/334243220.db2.gz LHDQUCRLANUAGM-QMMMGPOBSA-N 1 2 263.328 3.853 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](c3ccccc3)[C@H](C)C2)no1 ZINC000246650119 334266172 /nfs/dbraw/zinc/26/61/72/334266172.db2.gz IPGXFYRFTGLEFU-GDBMZVCRSA-N 1 2 299.418 3.819 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](c3ccccc3)[C@H](C)C2)no1 ZINC000246650119 334266173 /nfs/dbraw/zinc/26/61/73/334266173.db2.gz IPGXFYRFTGLEFU-GDBMZVCRSA-N 1 2 299.418 3.819 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@H+](C)[C@@H]2C=CCCC2)cs1 ZINC000247461737 334282063 /nfs/dbraw/zinc/28/20/63/334282063.db2.gz ILUSWUIGXVEONL-GXTWGEPZSA-N 1 2 280.437 3.781 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@@H+](C)[C@@H]2C=CCCC2)cs1 ZINC000247461737 334282064 /nfs/dbraw/zinc/28/20/64/334282064.db2.gz ILUSWUIGXVEONL-GXTWGEPZSA-N 1 2 280.437 3.781 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2F)[C@@H]1c1ccncc1 ZINC000552064904 334284260 /nfs/dbraw/zinc/28/42/60/334284260.db2.gz XPIYCKWNEYPALZ-MRXNPFEDSA-N 1 2 288.341 3.943 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2F)[C@@H]1c1ccncc1 ZINC000552064904 334284262 /nfs/dbraw/zinc/28/42/62/334284262.db2.gz XPIYCKWNEYPALZ-MRXNPFEDSA-N 1 2 288.341 3.943 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1nnc(C2CC2)o1)C1CC1 ZINC000552155660 334296235 /nfs/dbraw/zinc/29/62/35/334296235.db2.gz ROVBUCHCTSTIRA-GFCCVEGCSA-N 1 2 283.375 3.673 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1nnc(C2CC2)o1)C1CC1 ZINC000552155660 334296236 /nfs/dbraw/zinc/29/62/36/334296236.db2.gz ROVBUCHCTSTIRA-GFCCVEGCSA-N 1 2 283.375 3.673 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000248374544 334299437 /nfs/dbraw/zinc/29/94/37/334299437.db2.gz RJVBGGGJFFLIIN-QMTHXVAHSA-N 1 2 271.763 3.651 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000248374544 334299438 /nfs/dbraw/zinc/29/94/38/334299438.db2.gz RJVBGGGJFFLIIN-QMTHXVAHSA-N 1 2 271.763 3.651 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CCOC(C)(C)[C@H]2C)c1 ZINC000552300510 334314901 /nfs/dbraw/zinc/31/49/01/334314901.db2.gz MDTCCEVVEIZJLD-GFCCVEGCSA-N 1 2 299.361 3.596 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CCOC(C)(C)[C@H]2C)c1 ZINC000552300510 334314902 /nfs/dbraw/zinc/31/49/02/334314902.db2.gz MDTCCEVVEIZJLD-GFCCVEGCSA-N 1 2 299.361 3.596 20 0 CHADLO COC1(C)CCN(c2[nH+]c3ccccc3cc2C)CC1 ZINC000552828410 334347061 /nfs/dbraw/zinc/34/70/61/334347061.db2.gz RUIIGYIRUDIGMS-UHFFFAOYSA-N 1 2 270.376 3.549 20 0 CHADLO Cc1cc(C)cc([C@H](C)Nc2cc(N3CCCC3)nc[nH+]2)c1 ZINC000553074694 334360196 /nfs/dbraw/zinc/36/01/96/334360196.db2.gz VWDVQDJWCSWNDJ-HNNXBMFYSA-N 1 2 296.418 3.867 20 0 CHADLO Cc1cc(C)cc([C@H](C)Nc2cc(N3CCCC3)[nH+]cn2)c1 ZINC000553074694 334360198 /nfs/dbraw/zinc/36/01/98/334360198.db2.gz VWDVQDJWCSWNDJ-HNNXBMFYSA-N 1 2 296.418 3.867 20 0 CHADLO C/C=C\C[C@@H](CO)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000553192002 334367852 /nfs/dbraw/zinc/36/78/52/334367852.db2.gz XCDFDNNOIFLENR-QUCGXOGASA-N 1 2 276.767 3.627 20 0 CHADLO Cc1cc(N2C[C@H](c3ccccc3)[C@@H]3CCC[C@@H]32)nc[nH+]1 ZINC000553264423 334372473 /nfs/dbraw/zinc/37/24/73/334372473.db2.gz MACUUWBSQDYEFY-BBWFWOEESA-N 1 2 279.387 3.558 20 0 CHADLO Cc1cc[nH+]c(NC[C@@H](CCO)c2ccccc2)c1Cl ZINC000553416589 334383468 /nfs/dbraw/zinc/38/34/68/334383468.db2.gz MBGHQAFHLGEVOB-CQSZACIVSA-N 1 2 290.794 3.622 20 0 CHADLO COc1nc(C)cc(C)c1Cn1c[nH+]c2cc(C)c(C)cc21 ZINC000553431815 334384603 /nfs/dbraw/zinc/38/46/03/334384603.db2.gz BSQDRVIPWHEFSV-UHFFFAOYSA-N 1 2 295.386 3.722 20 0 CHADLO FC(F)C1([NH2+][C@@H]2CCCc3c4ccccc4[nH]c32)CC1 ZINC000554742451 334450486 /nfs/dbraw/zinc/45/04/86/334450486.db2.gz WXOXMQJVHLATPI-CYBMUJFWSA-N 1 2 276.330 3.933 20 0 CHADLO Cc1ccc([C@H](C)N(C)C(=O)C[C@@H](C)n2cc[nH+]c2)c(C)c1 ZINC000565042943 334535950 /nfs/dbraw/zinc/53/59/50/334535950.db2.gz DMYQQNBSDGTNGF-CVEARBPZSA-N 1 2 299.418 3.671 20 0 CHADLO Cc1ccc2c(c1)CC[C@@H]2Nc1nc(C)[nH+]cc1C ZINC000565220769 334546112 /nfs/dbraw/zinc/54/61/12/334546112.db2.gz FQZWNYFLYJTSKX-HNNXBMFYSA-N 1 2 253.349 3.501 20 0 CHADLO CSCc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1 ZINC000565236539 334546888 /nfs/dbraw/zinc/54/68/88/334546888.db2.gz CDNSQRGGNZKQDZ-UHFFFAOYSA-N 1 2 261.394 3.502 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@H](C)c1ccncc1Cl)CO2 ZINC000565593351 334577126 /nfs/dbraw/zinc/57/71/26/334577126.db2.gz RMTZTBXGSANZBW-ABAIWWIYSA-N 1 2 288.778 3.828 20 0 CHADLO CCn1ccnc1C[NH2+][C@@H](C)c1cc2cccc(OC)c2o1 ZINC000265648979 334687299 /nfs/dbraw/zinc/68/72/99/334687299.db2.gz MLGKRXKBWAHEIM-LBPRGKRZSA-N 1 2 299.374 3.509 20 0 CHADLO Cc1cc(NC(=O)CCCc2ccc(F)c(F)c2)cc[nH+]1 ZINC000154366462 334693212 /nfs/dbraw/zinc/69/32/12/334693212.db2.gz LVQYZSMKNUXCSO-UHFFFAOYSA-N 1 2 290.313 3.630 20 0 CHADLO C[C@H]1CC[C@H](C)[NH+]1Cc1nc(C(F)(F)F)cs1 ZINC000155517438 334722923 /nfs/dbraw/zinc/72/29/23/334722923.db2.gz PWLHCLNXASGOPY-YUMQZZPRSA-N 1 2 264.316 3.535 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H]2CCCC[C@@H]2C2CC2)c[nH+]1 ZINC000567929833 334776475 /nfs/dbraw/zinc/77/64/75/334776475.db2.gz LLNFBIRIUGQTLI-IAGOWNOFSA-N 1 2 286.419 3.531 20 0 CHADLO C[C@@H](CC(=O)N(CC1CCC1)c1ccccc1)n1cc[nH+]c1 ZINC000567990920 334782145 /nfs/dbraw/zinc/78/21/45/334782145.db2.gz DLMVBNBZVLYKBC-HNNXBMFYSA-N 1 2 297.402 3.668 20 0 CHADLO C[C@H](C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1)c1cccs1 ZINC000158769253 334813837 /nfs/dbraw/zinc/81/38/37/334813837.db2.gz GIJXSPHOBLPNQO-NSHDSACASA-N 1 2 297.383 3.880 20 0 CHADLO Cc1nc(N2C[C@H](c3ccccc3)[C@@H]3CCC[C@@H]32)cc[nH+]1 ZINC000569015136 334851331 /nfs/dbraw/zinc/85/13/31/334851331.db2.gz CLQBXDANQHFKCM-BBWFWOEESA-N 1 2 279.387 3.558 20 0 CHADLO CCCN(CCCn1cc[nH+]c1)c1ccc(Cl)cc1 ZINC000573274640 334923659 /nfs/dbraw/zinc/92/36/59/334923659.db2.gz CNMJFOJWCWDALA-UHFFFAOYSA-N 1 2 277.799 3.843 20 0 CHADLO Cc1ccc(CCc2nc(Cc3ccc[nH+]c3C)no2)s1 ZINC000576332556 335190450 /nfs/dbraw/zinc/19/04/50/335190450.db2.gz UBZSYRYJHAGCPS-UHFFFAOYSA-N 1 2 299.399 3.519 20 0 CHADLO CO[C@@H](CSCCc1cccc(C)[nH+]1)CC(C)C ZINC000576465017 335207132 /nfs/dbraw/zinc/20/71/32/335207132.db2.gz ASTXGWLOXOYGPS-OAHLLOKOSA-N 1 2 267.438 3.727 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(C)[nH+]c2C)c(C2CC2)n1 ZINC000576482505 335210717 /nfs/dbraw/zinc/21/07/17/335210717.db2.gz DCWXLVWIDBSXDB-UHFFFAOYSA-N 1 2 281.359 3.532 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)NCC(C)(C)C3=CCCC3)ccn12 ZINC000576714677 335239079 /nfs/dbraw/zinc/23/90/79/335239079.db2.gz CSMKXLRHGNIOHD-UHFFFAOYSA-N 1 2 297.402 3.509 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)[C@@H]1CC2CCC1CC2 ZINC000576808496 335249969 /nfs/dbraw/zinc/24/99/69/335249969.db2.gz JDVRNIMCKYUYHL-SEEARECTSA-N 1 2 295.386 3.842 20 0 CHADLO FC(F)Oc1ccc(C[N@@H+]2CCC[C@@H](F)C2)cc1Cl ZINC000576830951 335253268 /nfs/dbraw/zinc/25/32/68/335253268.db2.gz YSJNIUQGMOGBIH-SNVBAGLBSA-N 1 2 293.716 3.875 20 0 CHADLO FC(F)Oc1ccc(C[N@H+]2CCC[C@@H](F)C2)cc1Cl ZINC000576830951 335253270 /nfs/dbraw/zinc/25/32/70/335253270.db2.gz YSJNIUQGMOGBIH-SNVBAGLBSA-N 1 2 293.716 3.875 20 0 CHADLO CC[C@@H](C)n1ncc(NCc2[nH]c(C)c(C)[nH+]2)c1C1CC1 ZINC000576887617 335261119 /nfs/dbraw/zinc/26/11/19/335261119.db2.gz LNLFVCXSICDVDD-SNVBAGLBSA-N 1 2 287.411 3.684 20 0 CHADLO Cc1ccccc1[C@H](NC(=O)C[C@H](C)n1cc[nH+]c1)C(C)C ZINC000576993707 335275196 /nfs/dbraw/zinc/27/51/96/335275196.db2.gz CAJQZATUEWIWFC-MAUKXSAKSA-N 1 2 299.418 3.656 20 0 CHADLO Cc1[nH]c(CNCc2ccc(Cl)cc2Cl)[nH+]c1C ZINC000577413267 335350539 /nfs/dbraw/zinc/35/05/39/335350539.db2.gz XQTQAMUMTRZLNQ-UHFFFAOYSA-N 1 2 284.190 3.623 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc(Cl)cc2Cl)[nH]c1C ZINC000577413267 335350541 /nfs/dbraw/zinc/35/05/41/335350541.db2.gz XQTQAMUMTRZLNQ-UHFFFAOYSA-N 1 2 284.190 3.623 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](C)c2cscn2)c(F)c1 ZINC000577964916 335441508 /nfs/dbraw/zinc/44/15/08/335441508.db2.gz YTFUIDJNNFWLRN-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO COc1cccc(CSCc2c[nH+]c3ccccn23)c1 ZINC000179831557 335523174 /nfs/dbraw/zinc/52/31/74/335523174.db2.gz CVWVYJSOXROCLN-UHFFFAOYSA-N 1 2 284.384 3.776 20 0 CHADLO c1c[nH+]c(COCCCc2cccc3ccccc32)[nH]1 ZINC000180054000 335545515 /nfs/dbraw/zinc/54/55/15/335545515.db2.gz CSNNTCKJZIMJFG-UHFFFAOYSA-N 1 2 266.344 3.712 20 0 CHADLO Cc1cnc(CC[NH2+][C@@H](c2ccccc2)C(F)F)c(C)c1 ZINC000578622416 335654956 /nfs/dbraw/zinc/65/49/56/335654956.db2.gz OJDPRNCCHYLBCT-INIZCTEOSA-N 1 2 290.357 3.837 20 0 CHADLO C[C@@H]([NH2+]Cc1sccc1Cl)c1cscn1 ZINC000578644087 335658462 /nfs/dbraw/zinc/65/84/62/335658462.db2.gz MQHLFWJYDMZXQS-SSDOTTSWSA-N 1 2 258.799 3.709 20 0 CHADLO C[C@@H]([C@H](C)NC(=O)C[C@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000578656196 335660205 /nfs/dbraw/zinc/66/02/05/335660205.db2.gz LXEVYEFQRXPVSI-KKUMJFAQSA-N 1 2 291.439 3.555 20 0 CHADLO c1cn(CCCS[C@@H]2CCc3ccccc3C2)c[nH+]1 ZINC000579377082 335743175 /nfs/dbraw/zinc/74/31/75/335743175.db2.gz IMYHZGWXHBEWGR-MRXNPFEDSA-N 1 2 272.417 3.564 20 0 CHADLO CN(CCCn1cc[nH+]c1)c1cccc2ccccc21 ZINC000182699591 335837698 /nfs/dbraw/zinc/83/76/98/335837698.db2.gz VGBHTGIRTKYSML-UHFFFAOYSA-N 1 2 265.360 3.563 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](C)c2cc(C)sc2C)no1 ZINC000182754784 335840567 /nfs/dbraw/zinc/84/05/67/335840567.db2.gz MPKMLLMDFROQER-JTQLQIEISA-N 1 2 279.409 3.551 20 0 CHADLO C[C@H]1Sc2ccccc2N(CCCn2cc[nH+]c2)[C@@H]1C ZINC000182945049 335852145 /nfs/dbraw/zinc/85/21/45/335852145.db2.gz ZAICWTZIKFXUAV-ZIAGYGMSSA-N 1 2 287.432 3.663 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)c1ccsc1)C(=O)OC(C)(C)C ZINC000183058730 335859676 /nfs/dbraw/zinc/85/96/76/335859676.db2.gz JQXFUGHSVVEVOE-YPMHNXCESA-N 1 2 283.437 3.765 20 0 CHADLO COc1cccc2c1cc[nH+]c2N1C[C@H](C)S[C@@H](C)C1 ZINC000581052778 336001255 /nfs/dbraw/zinc/00/12/55/336001255.db2.gz NJUZWCBJLTXHIM-RYUDHWBXSA-N 1 2 288.416 3.574 20 0 CHADLO Cc1nc(C(C)C)ccc1C[NH+]1CC(C(F)(F)F)C1 ZINC000581291428 336037929 /nfs/dbraw/zinc/03/79/29/336037929.db2.gz KYPZNRCNGCHQKJ-UHFFFAOYSA-N 1 2 272.314 3.508 20 0 CHADLO CC[C@H]([NH2+][C@H](C)CCC(F)(F)F)C(F)(F)F ZINC000381197334 336054411 /nfs/dbraw/zinc/05/44/11/336054411.db2.gz BJPGATNXIGXRPB-RQJHMYQMSA-N 1 2 251.214 3.648 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)c(C)[nH]1)c1ccc(F)cc1F ZINC000581560163 336103380 /nfs/dbraw/zinc/10/33/80/336103380.db2.gz YHMCYLZPCABFCK-CQSZACIVSA-N 1 2 279.334 3.546 20 0 CHADLO CC[C@@H](NCc1[nH]c(C)c(C)[nH+]1)c1ccc(F)cc1F ZINC000581560163 336103382 /nfs/dbraw/zinc/10/33/82/336103382.db2.gz YHMCYLZPCABFCK-CQSZACIVSA-N 1 2 279.334 3.546 20 0 CHADLO C[NH+](C)[C@H](c1nc(C(C)(C)C)no1)c1ccc(Cl)cc1 ZINC000581677344 336127393 /nfs/dbraw/zinc/12/73/93/336127393.db2.gz XUGDKFNRHMOMAJ-LBPRGKRZSA-N 1 2 293.798 3.672 20 0 CHADLO FC1(F)CC(CNc2[nH+]cnc3[nH]c4ccccc4c32)C1 ZINC000581707388 336132574 /nfs/dbraw/zinc/13/25/74/336132574.db2.gz SQAYWKMMJXIFEN-UHFFFAOYSA-N 1 2 288.301 3.568 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H](C)c2ccco2)n1 ZINC000128640872 519854955 /nfs/dbraw/zinc/85/49/55/519854955.db2.gz HIGDGAAHXPVFEA-JTQLQIEISA-N 1 2 250.367 3.710 20 0 CHADLO COc1ccc(F)c(C[N@@H+]2Cc3ccc(C)cc3C2)c1 ZINC000353289783 533903631 /nfs/dbraw/zinc/90/36/31/533903631.db2.gz VJZBKWFEJHECMG-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(F)c(C[N@H+]2Cc3ccc(C)cc3C2)c1 ZINC000353289783 533903641 /nfs/dbraw/zinc/90/36/41/533903641.db2.gz VJZBKWFEJHECMG-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1ccc(CN(Cc2ccco2)c2cc(C)[nH+]cn2)s1 ZINC000301250166 533907778 /nfs/dbraw/zinc/90/77/78/533907778.db2.gz IYFNRMDMXDEUEC-UHFFFAOYSA-N 1 2 299.399 3.955 20 0 CHADLO Cc1c(N[C@H]2CCC[C@@H](c3[nH+]cccc3C)C2)cnn1C ZINC000334617443 533927610 /nfs/dbraw/zinc/92/76/10/533927610.db2.gz YDEIBIMEUJIJHF-CABCVRRESA-N 1 2 284.407 3.570 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@H]2CC[C@H](C)C2)c(C)[nH+]1 ZINC000334702974 534073385 /nfs/dbraw/zinc/07/33/85/534073385.db2.gz WEHQPAQGHOYPMB-HZMBPMFUSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@@H]2C2CCC2)c(C)[nH+]1 ZINC000336145382 534073739 /nfs/dbraw/zinc/07/37/39/534073739.db2.gz NGDBSNHOYBDOHR-OAHLLOKOSA-N 1 2 287.407 3.803 20 0 CHADLO Cc1cc2[nH+]cn(CC[C@H]3CCCCO3)c2cc1C ZINC000352327146 534138849 /nfs/dbraw/zinc/13/88/49/534138849.db2.gz UWXKMFTWASBSAV-CQSZACIVSA-N 1 2 258.365 3.612 20 0 CHADLO CCc1cc(OCCOCC(F)(F)F)c2ccccc2[nH+]1 ZINC000541088419 517843984 /nfs/dbraw/zinc/84/39/84/517843984.db2.gz CZMVKAWWQGCDMQ-UHFFFAOYSA-N 1 2 299.292 3.755 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000336212357 534352327 /nfs/dbraw/zinc/35/23/27/534352327.db2.gz RKBGIMMWGZAOSP-HNNXBMFYSA-N 1 2 272.348 3.612 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000336212357 534352336 /nfs/dbraw/zinc/35/23/36/534352336.db2.gz RKBGIMMWGZAOSP-HNNXBMFYSA-N 1 2 272.348 3.612 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1noc(C2CC2)n1)c1ccccc1 ZINC000064932847 518930863 /nfs/dbraw/zinc/93/08/63/518930863.db2.gz KVADAGHYJORCBR-HNNXBMFYSA-N 1 2 285.391 3.824 20 0 CHADLO CC(C)C[C@@H]([NH2+]CC(=O)OC(C)(C)C)c1ccccc1 ZINC000164114702 519248630 /nfs/dbraw/zinc/24/86/30/519248630.db2.gz YYWHNOIOFAAKPK-OAHLLOKOSA-N 1 2 277.408 3.705 20 0 CHADLO Fc1cc(C[NH2+]Cc2ccco2)c(F)cc1Cl ZINC000167550201 534440926 /nfs/dbraw/zinc/44/09/26/534440926.db2.gz CWUXESOSBNFFNL-UHFFFAOYSA-N 1 2 257.667 3.501 20 0 CHADLO CC(C)[N@@H+](Cc1ccn(-c2ccccc2)n1)Cc1ccco1 ZINC000338939695 519662629 /nfs/dbraw/zinc/66/26/29/519662629.db2.gz XGJGAUPWEZMZBW-UHFFFAOYSA-N 1 2 295.386 3.876 20 0 CHADLO CC(C)[N@H+](Cc1ccn(-c2ccccc2)n1)Cc1ccco1 ZINC000338939695 519662632 /nfs/dbraw/zinc/66/26/32/519662632.db2.gz XGJGAUPWEZMZBW-UHFFFAOYSA-N 1 2 295.386 3.876 20 0 CHADLO CC(C)C[C@@H](C)CC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000263915706 519771075 /nfs/dbraw/zinc/77/10/75/519771075.db2.gz KAEJPWZZOYCWNI-KGLIPLIRSA-N 1 2 277.412 3.536 20 0 CHADLO CC(C)O[C@H](C[N@H+](C)Cc1nccs1)c1ccccc1 ZINC000292354254 519777286 /nfs/dbraw/zinc/77/72/86/519777286.db2.gz FBXUKBSYEJQUGK-OAHLLOKOSA-N 1 2 290.432 3.741 20 0 CHADLO CC(C)O[C@H](C[N@@H+](C)Cc1nccs1)c1ccccc1 ZINC000292354254 519777287 /nfs/dbraw/zinc/77/72/87/519777287.db2.gz FBXUKBSYEJQUGK-OAHLLOKOSA-N 1 2 290.432 3.741 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000279349596 519802600 /nfs/dbraw/zinc/80/26/00/519802600.db2.gz FVBLFZHXGNZUGV-VIFPVBQESA-N 1 2 278.343 3.782 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000279349596 519802601 /nfs/dbraw/zinc/80/26/01/519802601.db2.gz FVBLFZHXGNZUGV-VIFPVBQESA-N 1 2 278.343 3.782 20 0 CHADLO CC(C)[C@H](NC(=O)CC(C)(C)c1ccccc1)c1[nH]cc[nH+]1 ZINC000278698974 519818162 /nfs/dbraw/zinc/81/81/62/519818162.db2.gz RVYCWTFBWYVVAS-INIZCTEOSA-N 1 2 299.418 3.591 20 0 CHADLO CC(C)c1ccc(C(=O)N[C@H](c2[nH]cc[nH+]2)C(C)C)cc1 ZINC000276650058 519835160 /nfs/dbraw/zinc/83/51/60/519835160.db2.gz RWPPMFLZVKANGV-HNNXBMFYSA-N 1 2 285.391 3.660 20 0 CHADLO CC(C)c1ccc([C@@H]2CCCC[N@@H+]2Cc2ncnn2C)cc1 ZINC000125888508 519839461 /nfs/dbraw/zinc/83/94/61/519839461.db2.gz KFUHQAQRCHBJLD-KRWDZBQOSA-N 1 2 298.434 3.666 20 0 CHADLO CC(C)c1ccc([C@@H]2CCCC[N@H+]2Cc2ncnn2C)cc1 ZINC000125888508 519839462 /nfs/dbraw/zinc/83/94/62/519839462.db2.gz KFUHQAQRCHBJLD-KRWDZBQOSA-N 1 2 298.434 3.666 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H]2c3ccccc3O[C@@H]2C)s1 ZINC000367967247 519851446 /nfs/dbraw/zinc/85/14/46/519851446.db2.gz DBWOKSBOOCPYFV-BDJLRTHQSA-N 1 2 288.416 3.878 20 0 CHADLO CC(C)c1nnc([C@@H](C)[NH2+]Cc2cc(F)cc(Cl)c2)[nH]1 ZINC000275013159 519865865 /nfs/dbraw/zinc/86/58/65/519865865.db2.gz RAGWOBPDMMRXAA-SECBINFHSA-N 1 2 296.777 3.571 20 0 CHADLO CC(C)c1nc2ccc(NCc3c[nH+]cn3C)cc2s1 ZINC000125636182 519869370 /nfs/dbraw/zinc/86/93/70/519869370.db2.gz KQKDPLMNRJMYMD-UHFFFAOYSA-N 1 2 286.404 3.765 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccco2)o1 ZINC000076184287 519886657 /nfs/dbraw/zinc/88/66/57/519886657.db2.gz FPOWURKVWZNJFA-OLZOCXBDSA-N 1 2 289.379 3.759 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccco2)o1 ZINC000076184287 519886660 /nfs/dbraw/zinc/88/66/60/519886660.db2.gz FPOWURKVWZNJFA-OLZOCXBDSA-N 1 2 289.379 3.759 20 0 CHADLO CCCn1ncnc1C[N@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000279556472 519892088 /nfs/dbraw/zinc/89/20/88/519892088.db2.gz RSJRIDPMVQXSEH-GFCCVEGCSA-N 1 2 292.814 3.535 20 0 CHADLO CCCn1ncnc1C[N@@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000279556472 519892095 /nfs/dbraw/zinc/89/20/95/519892095.db2.gz RSJRIDPMVQXSEH-GFCCVEGCSA-N 1 2 292.814 3.535 20 0 CHADLO CC(C)c1noc(C[N@H+](Cc2cccc(F)c2)C(C)C)n1 ZINC000299134049 519903137 /nfs/dbraw/zinc/90/31/37/519903137.db2.gz OAWBDNXMZHSYJK-UHFFFAOYSA-N 1 2 291.370 3.743 20 0 CHADLO CC(C)c1noc(C[N@@H+](Cc2cccc(F)c2)C(C)C)n1 ZINC000299134049 519903141 /nfs/dbraw/zinc/90/31/41/519903141.db2.gz OAWBDNXMZHSYJK-UHFFFAOYSA-N 1 2 291.370 3.743 20 0 CHADLO CC(C)c1noc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)n1 ZINC000076803726 519903194 /nfs/dbraw/zinc/90/31/94/519903194.db2.gz HCDHSJIEHOSLCT-CYBMUJFWSA-N 1 2 289.379 3.903 20 0 CHADLO CC(C)c1noc(C[N@H+]2CCCCC[C@@H]2c2ccco2)n1 ZINC000076803726 519903197 /nfs/dbraw/zinc/90/31/97/519903197.db2.gz HCDHSJIEHOSLCT-CYBMUJFWSA-N 1 2 289.379 3.903 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccc(Cl)s1)CC2 ZINC000351979783 534455323 /nfs/dbraw/zinc/45/53/23/534455323.db2.gz WVBMYURUHAHQPL-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccc(Cl)s1)CC2 ZINC000351979783 534455328 /nfs/dbraw/zinc/45/53/28/534455328.db2.gz WVBMYURUHAHQPL-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO CC(C)n1cc(C[NH2+][C@@H](C)c2c(F)cccc2Cl)nn1 ZINC000289783783 519955538 /nfs/dbraw/zinc/95/55/38/519955538.db2.gz QCZRXADVFNVTJG-JTQLQIEISA-N 1 2 296.777 3.502 20 0 CHADLO CC(C)n1cc(C[NH2+][C@H](C)c2c(F)cccc2Cl)nn1 ZINC000289783790 519959872 /nfs/dbraw/zinc/95/98/72/519959872.db2.gz QCZRXADVFNVTJG-SNVBAGLBSA-N 1 2 296.777 3.502 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCc3sccc3[C@@H]2C)n1 ZINC000265321318 520004535 /nfs/dbraw/zinc/00/45/35/520004535.db2.gz ILMBBWKWOMBJRW-LBPRGKRZSA-N 1 2 275.421 3.645 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCc3sccc3[C@@H]2C)n1 ZINC000265321318 520004537 /nfs/dbraw/zinc/00/45/37/520004537.db2.gz ILMBBWKWOMBJRW-LBPRGKRZSA-N 1 2 275.421 3.645 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@@H]1c1ccsc1 ZINC000299546906 520025436 /nfs/dbraw/zinc/02/54/36/520025436.db2.gz BHKOBHNNWHDRLR-CQSZACIVSA-N 1 2 275.421 3.863 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@@H]1c1ccsc1 ZINC000299546906 520025446 /nfs/dbraw/zinc/02/54/46/520025446.db2.gz BHKOBHNNWHDRLR-CQSZACIVSA-N 1 2 275.421 3.863 20 0 CHADLO CC(C)n1ccnc1C[N@H+](C)[C@@H](C)c1ccc(F)cc1 ZINC000299647780 520027883 /nfs/dbraw/zinc/02/78/83/520027883.db2.gz XLMYDIAZRQZILW-ZDUSSCGKSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1ccnc1C[N@@H+](C)[C@@H](C)c1ccc(F)cc1 ZINC000299647780 520027889 /nfs/dbraw/zinc/02/78/89/520027889.db2.gz XLMYDIAZRQZILW-ZDUSSCGKSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000248339622 520031409 /nfs/dbraw/zinc/03/14/09/520031409.db2.gz IHEOGINJMZIXDU-RHSMWYFYSA-N 1 2 269.392 3.657 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000248339622 520031415 /nfs/dbraw/zinc/03/14/15/520031415.db2.gz IHEOGINJMZIXDU-RHSMWYFYSA-N 1 2 269.392 3.657 20 0 CHADLO c1cc(N2CCC[C@H]2C2CCCC2)nc(C2CC2)[nH+]1 ZINC000112553324 534472789 /nfs/dbraw/zinc/47/27/89/534472789.db2.gz CULKNPZJCZAOPN-AWEZNQCLSA-N 1 2 257.381 3.513 20 0 CHADLO CC1(C)CCc2ccccc2[C@@H]([NH2+]Cc2ccn[nH]2)C1 ZINC000280907206 520413196 /nfs/dbraw/zinc/41/31/96/520413196.db2.gz RSUYIWOLWHKLOT-INIZCTEOSA-N 1 2 269.392 3.603 20 0 CHADLO Cc1nocc1C[N@@H+]1CC[C@](F)(c2cccc(Cl)c2)C1 ZINC000353360773 534494464 /nfs/dbraw/zinc/49/44/64/534494464.db2.gz LSZMSCRRHPJVAI-OAHLLOKOSA-N 1 2 294.757 3.707 20 0 CHADLO Cc1nocc1C[N@H+]1CC[C@](F)(c2cccc(Cl)c2)C1 ZINC000353360773 534494468 /nfs/dbraw/zinc/49/44/68/534494468.db2.gz LSZMSCRRHPJVAI-OAHLLOKOSA-N 1 2 294.757 3.707 20 0 CHADLO c1ccc(NCCCCNc2ccc3ccccc3n2)[nH+]c1 ZINC000301293980 534497436 /nfs/dbraw/zinc/49/74/36/534497436.db2.gz XOAVHFGHFVQSRL-UHFFFAOYSA-N 1 2 292.386 3.934 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+]Cc3ccc([C@@H]4C[C@H]4C)o3)nc2c1 ZINC000344741633 534503504 /nfs/dbraw/zinc/50/35/04/534503504.db2.gz ODCGESCQLDXGMK-TZMCWYRMSA-N 1 2 295.386 3.878 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccc([C@@H]4C[C@H]4C)o3)[nH]c2c1 ZINC000344741633 534503510 /nfs/dbraw/zinc/50/35/10/534503510.db2.gz ODCGESCQLDXGMK-TZMCWYRMSA-N 1 2 295.386 3.878 20 0 CHADLO CC1(CNc2[nH+]ccc3ccc(F)cc32)CCOCC1 ZINC000354536537 520738783 /nfs/dbraw/zinc/73/87/83/520738783.db2.gz JIFOCPHXJWRTEW-UHFFFAOYSA-N 1 2 274.339 3.603 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)C2CC3(CCC3)C2)[nH+]1 ZINC000335564152 534520888 /nfs/dbraw/zinc/52/08/88/534520888.db2.gz BTPVAWOJUJWDMU-UHFFFAOYSA-N 1 2 295.386 3.904 20 0 CHADLO CCC[C@@](C)(CC)C(=O)NCCCCNc1cccc[nH+]1 ZINC000280529413 520906384 /nfs/dbraw/zinc/90/63/84/520906384.db2.gz NKWPRRSSUKFPHR-QGZVFWFLSA-N 1 2 291.439 3.606 20 0 CHADLO CCCCSCCC(=O)Nc1cc[nH+]cc1CC ZINC000266035053 520955317 /nfs/dbraw/zinc/95/53/17/520955317.db2.gz RSAZYPFUAPTUGU-UHFFFAOYSA-N 1 2 266.410 3.506 20 0 CHADLO CCOc1ccc2c(c1)CC[N@@H+](Cc1ncc(C3CC3)o1)C2 ZINC000340701779 521288107 /nfs/dbraw/zinc/28/81/07/521288107.db2.gz ZQLVWVOEKSUXKH-UHFFFAOYSA-N 1 2 298.386 3.509 20 0 CHADLO CCOc1ccc2c(c1)CC[N@H+](Cc1ncc(C3CC3)o1)C2 ZINC000340701779 521288115 /nfs/dbraw/zinc/28/81/15/521288115.db2.gz ZQLVWVOEKSUXKH-UHFFFAOYSA-N 1 2 298.386 3.509 20 0 CHADLO CC[N@H+](Cc1nonc1C)[C@H](C)c1cc2ccccc2o1 ZINC000265147169 521469731 /nfs/dbraw/zinc/46/97/31/521469731.db2.gz RVFSHYBCSZMKNU-GFCCVEGCSA-N 1 2 285.347 3.707 20 0 CHADLO CC[N@@H+](Cc1nonc1C)[C@H](C)c1cc2ccccc2o1 ZINC000265147169 521469743 /nfs/dbraw/zinc/46/97/43/521469743.db2.gz RVFSHYBCSZMKNU-GFCCVEGCSA-N 1 2 285.347 3.707 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cnc([C@H]2CCCO2)s1 ZINC000352670309 534565720 /nfs/dbraw/zinc/56/57/20/534565720.db2.gz DTFYOVFIKZXKBC-CQSZACIVSA-N 1 2 292.404 3.528 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cnc([C@H]2CCCO2)s1 ZINC000352670309 534565727 /nfs/dbraw/zinc/56/57/27/534565727.db2.gz DTFYOVFIKZXKBC-CQSZACIVSA-N 1 2 292.404 3.528 20 0 CHADLO CCN(c1cc(C)[nH+]c(-c2ccncc2)n1)C1CCCC1 ZINC000112964728 521478988 /nfs/dbraw/zinc/47/89/88/521478988.db2.gz QKRGLCMJDUWQIJ-UHFFFAOYSA-N 1 2 282.391 3.616 20 0 CHADLO CCCc1nc(C[N@H+](C)[C@H](C)c2ccccc2C)no1 ZINC000299099056 521504289 /nfs/dbraw/zinc/50/42/89/521504289.db2.gz QMTKCARZTPLHCW-CYBMUJFWSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1nc(C[N@@H+](C)[C@H](C)c2ccccc2C)no1 ZINC000299099056 521504299 /nfs/dbraw/zinc/50/42/99/521504299.db2.gz QMTKCARZTPLHCW-CYBMUJFWSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1noc(C[N@H+](Cc2ccc(F)cc2)C(C)C)n1 ZINC000299420214 521581698 /nfs/dbraw/zinc/58/16/98/521581698.db2.gz NHLOEZREQRXTEO-UHFFFAOYSA-N 1 2 291.370 3.572 20 0 CHADLO CCCc1noc(C[N@@H+](Cc2ccc(F)cc2)C(C)C)n1 ZINC000299420214 521581700 /nfs/dbraw/zinc/58/17/00/521581700.db2.gz NHLOEZREQRXTEO-UHFFFAOYSA-N 1 2 291.370 3.572 20 0 CHADLO CCCc1occc1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000336936347 521610220 /nfs/dbraw/zinc/61/02/20/521610220.db2.gz DXMGJKOPYYIPRO-UHFFFAOYSA-N 1 2 295.342 3.875 20 0 CHADLO CCC(CC)[C@H](NC(=O)c1c[nH+]c(C)[nH]1)c1cccs1 ZINC000339270618 521619165 /nfs/dbraw/zinc/61/91/65/521619165.db2.gz OUWBPSNVLCUHBQ-AWEZNQCLSA-N 1 2 291.420 3.687 20 0 CHADLO CCC1(C)CN(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000291131010 521682315 /nfs/dbraw/zinc/68/23/15/521682315.db2.gz WGRUXDJPOCHZIH-UHFFFAOYSA-N 1 2 296.370 3.746 20 0 CHADLO CCS[C@H](C)c1noc([C@H](c2ccccc2)[NH+](C)C)n1 ZINC000290171757 521729900 /nfs/dbraw/zinc/72/99/00/521729900.db2.gz UNYUPYIYXXFDIK-YPMHNXCESA-N 1 2 291.420 3.535 20 0 CHADLO COc1c(C)c[nH+]c(CSc2ccccc2O)c1C ZINC000050046972 521815249 /nfs/dbraw/zinc/81/52/49/521815249.db2.gz PELGCBBYKOGNSX-UHFFFAOYSA-N 1 2 275.373 3.705 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CSc1ccc(C)cc1 ZINC000265037291 522078673 /nfs/dbraw/zinc/07/86/73/522078673.db2.gz VDPKRGGZYPOMKF-UHFFFAOYSA-N 1 2 286.400 3.683 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CSc1ccccc1C ZINC000264975092 522080691 /nfs/dbraw/zinc/08/06/91/522080691.db2.gz FQQUVGBOOBSTAO-UHFFFAOYSA-N 1 2 286.400 3.683 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2cc(C)ccc2F)[nH]1 ZINC000277392970 522439009 /nfs/dbraw/zinc/43/90/09/522439009.db2.gz JSZWICLOULLDNZ-NEPJUHHUSA-N 1 2 290.386 3.617 20 0 CHADLO Clc1cccc(-c2nc(COc3cc[nH+]cc3)co2)c1 ZINC000356101910 534641595 /nfs/dbraw/zinc/64/15/95/534641595.db2.gz VPEICCSPIYBDAM-UHFFFAOYSA-N 1 2 286.718 3.969 20 0 CHADLO COC1(C[C@@H](C)Nc2cccc(-n3cc[nH+]c3)c2)CCC1 ZINC000277089120 522638272 /nfs/dbraw/zinc/63/82/72/522638272.db2.gz KJLALHHKZJIKJV-CQSZACIVSA-N 1 2 285.391 3.632 20 0 CHADLO CC[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1cc(F)ccc1F ZINC000297129437 522726433 /nfs/dbraw/zinc/72/64/33/522726433.db2.gz YYBLQMNTJJCJCS-WKPIXPDZSA-N 1 2 291.345 3.908 20 0 CHADLO CCc1nnc(C[NH2+][C@H](CCC(C)C)c2ccoc2)s1 ZINC000290253239 522747085 /nfs/dbraw/zinc/74/70/85/522747085.db2.gz ULFCBTCALDHNSI-CYBMUJFWSA-N 1 2 293.436 3.961 20 0 CHADLO COC[C@H]([NH2+]Cc1cc(F)ccc1Cl)c1ccco1 ZINC000189436446 522749105 /nfs/dbraw/zinc/74/91/05/522749105.db2.gz KCIALYHAFXOTRZ-ZDUSSCGKSA-N 1 2 283.730 3.549 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cc(C)oc1C)c1ccc(C)o1 ZINC000278387444 522759469 /nfs/dbraw/zinc/75/94/69/522759469.db2.gz DKWZFNZPXUUCSN-WFASDCNBSA-N 1 2 277.364 3.836 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1nccs1)c1cccc(Cl)c1 ZINC000289834716 522761829 /nfs/dbraw/zinc/76/18/29/522761829.db2.gz APESWHVSZGTNMD-GWCFXTLKSA-N 1 2 296.823 3.835 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(SC)cc2)o1 ZINC000337225635 522791902 /nfs/dbraw/zinc/79/19/02/522791902.db2.gz QCXFIZFQURIRCE-MNOVXSKESA-N 1 2 291.420 3.766 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@H](CC)c1nc(C)cs1 ZINC000273501998 522847902 /nfs/dbraw/zinc/84/79/02/522847902.db2.gz MTQNKBCZNMGQSM-CYBMUJFWSA-N 1 2 279.409 3.551 20 0 CHADLO C[N@H+](CCSc1ccc(Cl)cc1)Cc1ccon1 ZINC000265781145 522923878 /nfs/dbraw/zinc/92/38/78/522923878.db2.gz KODFPKZFFLFHBO-UHFFFAOYSA-N 1 2 282.796 3.552 20 0 CHADLO C[N@@H+](CCSc1ccc(Cl)cc1)Cc1ccon1 ZINC000265781145 522923884 /nfs/dbraw/zinc/92/38/84/522923884.db2.gz KODFPKZFFLFHBO-UHFFFAOYSA-N 1 2 282.796 3.552 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CC[C@@H](C)[S@](=O)CC1 ZINC000277063657 522929150 /nfs/dbraw/zinc/92/91/50/522929150.db2.gz DHTGVWMIDHBYDF-KPAZRMRSSA-N 1 2 299.867 3.634 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CC[C@@H](C)[S@](=O)CC1 ZINC000277063657 522929153 /nfs/dbraw/zinc/92/91/53/522929153.db2.gz DHTGVWMIDHBYDF-KPAZRMRSSA-N 1 2 299.867 3.634 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+](CC)Cc1noc(C2CC2)n1 ZINC000299401579 522942525 /nfs/dbraw/zinc/94/25/25/522942525.db2.gz MEBUWAHYNFVSDT-OAHLLOKOSA-N 1 2 285.391 3.920 20 0 CHADLO CC[C@H](c1ccccc1)[N@@H+](CC)Cc1noc(C2CC2)n1 ZINC000299401579 522942530 /nfs/dbraw/zinc/94/25/30/522942530.db2.gz MEBUWAHYNFVSDT-OAHLLOKOSA-N 1 2 285.391 3.920 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1noc(C)n1)c1ccc(Cl)s1 ZINC000289569867 522943715 /nfs/dbraw/zinc/94/37/15/522943715.db2.gz QIEFNXJQCHVPHA-VXNVDRBHSA-N 1 2 285.800 3.895 20 0 CHADLO COCc1ccc(C[N@@H+]2CCC[C@H]2c2csc(C)n2)o1 ZINC000275107532 522971356 /nfs/dbraw/zinc/97/13/56/522971356.db2.gz BIBMQRCRBUEJNU-HNNXBMFYSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1ccc(C[N@H+]2CCC[C@H]2c2csc(C)n2)o1 ZINC000275107532 522971367 /nfs/dbraw/zinc/97/13/67/522971367.db2.gz BIBMQRCRBUEJNU-HNNXBMFYSA-N 1 2 292.404 3.528 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCC(=O)N1CCCCC[C@@H]1C ZINC000353632327 534676946 /nfs/dbraw/zinc/67/69/46/534676946.db2.gz RZHGINMCALUFRD-AWEZNQCLSA-N 1 2 299.418 3.526 20 0 CHADLO CCn1cc(C[N@H+](C)[C@H](C)c2ccccc2Cl)cn1 ZINC000072980768 523367193 /nfs/dbraw/zinc/36/71/93/523367193.db2.gz CQPBWRFREPRGKD-GFCCVEGCSA-N 1 2 277.799 3.749 20 0 CHADLO CCn1cc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)cn1 ZINC000072980768 523367202 /nfs/dbraw/zinc/36/72/02/523367202.db2.gz CQPBWRFREPRGKD-GFCCVEGCSA-N 1 2 277.799 3.749 20 0 CHADLO C[N@@H+](Cc1coc(-c2ccccc2)n1)Cc1ccco1 ZINC000067131522 523522542 /nfs/dbraw/zinc/52/25/42/523522542.db2.gz FFEYVUXTDAVCHC-UHFFFAOYSA-N 1 2 268.316 3.567 20 0 CHADLO C[N@H+](Cc1coc(-c2ccccc2)n1)Cc1ccco1 ZINC000067131522 523522551 /nfs/dbraw/zinc/52/25/51/523522551.db2.gz FFEYVUXTDAVCHC-UHFFFAOYSA-N 1 2 268.316 3.567 20 0 CHADLO Cn1ccnc1C[N@H+](C)Cc1cccc(-c2ccccc2)c1 ZINC000337320684 523592763 /nfs/dbraw/zinc/59/27/63/523592763.db2.gz ZEJLMFSLFYNZNR-UHFFFAOYSA-N 1 2 291.398 3.719 20 0 CHADLO Cn1ccnc1C[N@@H+](C)Cc1cccc(-c2ccccc2)c1 ZINC000337320684 523592770 /nfs/dbraw/zinc/59/27/70/523592770.db2.gz ZEJLMFSLFYNZNR-UHFFFAOYSA-N 1 2 291.398 3.719 20 0 CHADLO CCc1ccc(C[N@H+](Cc2ncnn2C(C)C)C2CC2)cc1 ZINC000280038653 523922145 /nfs/dbraw/zinc/92/21/45/523922145.db2.gz NYBQZVWSVRCCKW-UHFFFAOYSA-N 1 2 298.434 3.586 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2ncnn2C(C)C)C2CC2)cc1 ZINC000280038653 523922150 /nfs/dbraw/zinc/92/21/50/523922150.db2.gz NYBQZVWSVRCCKW-UHFFFAOYSA-N 1 2 298.434 3.586 20 0 CHADLO CCc1ccc(C[NH2+]Cc2coc(-c3cccs3)n2)o1 ZINC000131231471 523944677 /nfs/dbraw/zinc/94/46/77/523944677.db2.gz MFCLYBFWNUXGJP-UHFFFAOYSA-N 1 2 288.372 3.848 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2nnc(C(C)C)o2)cc1 ZINC000102767754 524026379 /nfs/dbraw/zinc/02/63/79/524026379.db2.gz XMNOPLLQIHEXKV-GFCCVEGCSA-N 1 2 273.380 3.606 20 0 CHADLO COc1cccc([C@@H](C)CC(=O)Nc2cc[nH+]cc2C)c1 ZINC000084600297 524085969 /nfs/dbraw/zinc/08/59/69/524085969.db2.gz MTMLKTUUYZJUCN-LBPRGKRZSA-N 1 2 284.359 3.531 20 0 CHADLO COc1ccc(C[C@H](C)C(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000125716139 524147932 /nfs/dbraw/zinc/14/79/32/524147932.db2.gz MQEYQOBNZDSEJR-LBPRGKRZSA-N 1 2 298.386 3.524 20 0 CHADLO C[C@@H]1CCC[C@@H](C)N(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000150800497 524273509 /nfs/dbraw/zinc/27/35/09/524273509.db2.gz BOQGRFCZPJLQQY-HUUCEWRRSA-N 1 2 297.402 3.523 20 0 CHADLO COc1ccc(F)c(C[NH2+][C@H](C)c2c(F)cccc2F)c1 ZINC000293524497 524281243 /nfs/dbraw/zinc/28/12/43/524281243.db2.gz IWGMOVWGLRQFLF-SNVBAGLBSA-N 1 2 295.304 3.963 20 0 CHADLO Cc1ccc(CCCNc2ccc3c(c2)CCC3)c[nH+]1 ZINC000354193970 524320917 /nfs/dbraw/zinc/32/09/17/524320917.db2.gz WHORPIDKNWNONR-UHFFFAOYSA-N 1 2 266.388 3.923 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1ncc(C3CC3)o1)CC2 ZINC000274985938 524344845 /nfs/dbraw/zinc/34/48/45/524344845.db2.gz KVQOQSOCKZQFNF-UHFFFAOYSA-N 1 2 282.387 3.727 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1ncc(C3CC3)o1)CC2 ZINC000274985938 524344852 /nfs/dbraw/zinc/34/48/52/524344852.db2.gz KVQOQSOCKZQFNF-UHFFFAOYSA-N 1 2 282.387 3.727 20 0 CHADLO C[C@H](COCC(F)(F)F)Nc1ccc2ccccc2[nH+]1 ZINC000299345270 524578286 /nfs/dbraw/zinc/57/82/86/524578286.db2.gz PLTVHUJXLHIKRU-SNVBAGLBSA-N 1 2 284.281 3.614 20 0 CHADLO C[C@H]1CN(c2cc[nH+]c(C3CC3)n2)[C@@H]1c1ccccc1 ZINC000266222529 524674228 /nfs/dbraw/zinc/67/42/28/524674228.db2.gz QTGRRNIBPBBIHU-LRDDRELGSA-N 1 2 265.360 3.551 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccc(Br)cc2)no1 ZINC000044296208 524765663 /nfs/dbraw/zinc/76/56/63/524765663.db2.gz JPKBKJSLTPYSGU-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO Cc1csc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)n1 ZINC000336212071 534808031 /nfs/dbraw/zinc/80/80/31/534808031.db2.gz YELYGVVVASYRSW-AWEZNQCLSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1csc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)n1 ZINC000336212071 534808037 /nfs/dbraw/zinc/80/80/37/534808037.db2.gz YELYGVVVASYRSW-AWEZNQCLSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2noc(C3CC3)n2)c1 ZINC000053641585 524897971 /nfs/dbraw/zinc/89/79/71/524897971.db2.gz DVFQDGPDAUMUSW-OAHLLOKOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2noc(C3CC3)n2)c1 ZINC000053641585 524897978 /nfs/dbraw/zinc/89/79/78/524897978.db2.gz DVFQDGPDAUMUSW-OAHLLOKOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cccc2nc(C[NH2+]Cc3ccc([C@@H]4C[C@H]4C)o3)cn21 ZINC000068996795 524988645 /nfs/dbraw/zinc/98/86/45/524988645.db2.gz XOQXSNBHIFSOTA-MLGOLLRUSA-N 1 2 295.386 3.649 20 0 CHADLO C[C@@H]1C[N@H+](Cc2coc(-c3cccs3)n2)CCC1(F)F ZINC000289811200 525026014 /nfs/dbraw/zinc/02/60/14/525026014.db2.gz NLQPKFFSPKUCSW-SNVBAGLBSA-N 1 2 298.358 3.880 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2coc(-c3cccs3)n2)CCC1(F)F ZINC000289811200 525026022 /nfs/dbraw/zinc/02/60/22/525026022.db2.gz NLQPKFFSPKUCSW-SNVBAGLBSA-N 1 2 298.358 3.880 20 0 CHADLO Cc1cc(N2Cc3ccccc3[C@H]2C)nc(C(C)C)[nH+]1 ZINC000340788336 525099977 /nfs/dbraw/zinc/09/99/77/525099977.db2.gz VQEKIKGVMQDTMD-CYBMUJFWSA-N 1 2 267.376 3.990 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(OC(C)(C)C)cn2)c(C)[nH+]1 ZINC000293141975 525241062 /nfs/dbraw/zinc/24/10/62/525241062.db2.gz WFDGLSXBJIWMOX-UHFFFAOYSA-N 1 2 299.374 3.523 20 0 CHADLO COc1ccc([C@H](C)N(C)C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000119428896 525299265 /nfs/dbraw/zinc/29/92/65/525299265.db2.gz ZVCVYGDKFMOQGE-ZDUSSCGKSA-N 1 2 299.374 3.624 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccc(Cl)cc1)c1cn[nH]c1 ZINC000297247007 525417791 /nfs/dbraw/zinc/41/77/91/525417791.db2.gz IXINSBZABVHGEW-SECBINFHSA-N 1 2 285.725 3.506 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1Cl)c1nccs1 ZINC000080265788 525429323 /nfs/dbraw/zinc/42/93/23/525429323.db2.gz AFZVVNDYECHUHZ-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)c(Cl)cc1F)c1nccs1 ZINC000278900552 525430309 /nfs/dbraw/zinc/43/03/09/525430309.db2.gz UWHFBWRLNHNXSQ-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(O)c1Cl)c1c(F)cncc1F ZINC000339400357 525459102 /nfs/dbraw/zinc/45/91/02/525459102.db2.gz MMMYAOOSIKERFD-QMMMGPOBSA-N 1 2 298.720 3.570 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ncc(C3CC3)o2)ccc1F ZINC000271686299 525467426 /nfs/dbraw/zinc/46/74/26/525467426.db2.gz CXQWXLIJNRMLTK-LLVKDONJSA-N 1 2 274.339 3.850 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](c2ncccc2C)C(C)C)no1 ZINC000289841324 525470897 /nfs/dbraw/zinc/47/08/97/525470897.db2.gz QSHQGVQRAXHJOI-UKRRQHHQSA-N 1 2 273.380 3.734 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](c2nc3ccccc3[nH]2)C(C)C)no1 ZINC000289600487 525472645 /nfs/dbraw/zinc/47/26/45/525472645.db2.gz FWIUZAAZDGUHIE-MLGOLLRUSA-N 1 2 298.390 3.907 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2CCCOc3ccccc32)no1 ZINC000289629638 525473251 /nfs/dbraw/zinc/47/32/51/525473251.db2.gz HFBQWIYWVKVABB-TZMCWYRMSA-N 1 2 272.348 3.548 20 0 CHADLO Cc1cc([C@@H](C)Nc2nc[nH+]c(N)c2Cl)c(C)s1 ZINC000235220736 525478202 /nfs/dbraw/zinc/47/82/02/525478202.db2.gz QLIJMUUGFLFENL-SSDOTTSWSA-N 1 2 282.800 3.564 20 0 CHADLO Cc1cc([C@@H](C)Nc2[nH+]cnc(N)c2Cl)c(C)s1 ZINC000235220736 525478214 /nfs/dbraw/zinc/47/82/14/525478214.db2.gz QLIJMUUGFLFENL-SSDOTTSWSA-N 1 2 282.800 3.564 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(Cl)n1)c1cccc(F)c1F ZINC000289506513 525556854 /nfs/dbraw/zinc/55/68/54/525556854.db2.gz DZXIEKNRNFKXCZ-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1cccc(C2CC2)c1 ZINC000295432324 525559068 /nfs/dbraw/zinc/55/90/68/525559068.db2.gz OFFKYPKIROBEDN-LLVKDONJSA-N 1 2 283.375 3.675 20 0 CHADLO C[C@@H]([NH2+]Cc1noc(C2CC2)n1)c1cccc(C2CC2)c1 ZINC000295325339 525566533 /nfs/dbraw/zinc/56/65/33/525566533.db2.gz GXIJBPSIFXPWOX-LLVKDONJSA-N 1 2 283.375 3.675 20 0 CHADLO Cc1cc2cc([C@H](C)[NH2+][C@@H](C)c3ncn(C)n3)oc2cc1C ZINC000275624402 525647638 /nfs/dbraw/zinc/64/76/38/525647638.db2.gz AHTSWIPKFWXARM-STQMWFEESA-N 1 2 298.390 3.590 20 0 CHADLO Cc1ccc(SCC(=O)Nc2cc[nH+]cc2C)c(C)c1 ZINC000264381677 525649864 /nfs/dbraw/zinc/64/98/64/525649864.db2.gz FOHNOMXGWPONKR-UHFFFAOYSA-N 1 2 286.400 3.738 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CSc2ccccc21)c1nccs1 ZINC000156278489 525651843 /nfs/dbraw/zinc/65/18/43/525651843.db2.gz CLMHYZGGCCPRHR-MWLCHTKSSA-N 1 2 262.403 3.641 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1ccccn1 ZINC000037102294 525656316 /nfs/dbraw/zinc/65/63/16/525656316.db2.gz ABIIOYBMJBZSBX-AWEZNQCLSA-N 1 2 267.376 3.855 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1Cc1cnn(C)c1C(F)(F)F ZINC000336838143 525721975 /nfs/dbraw/zinc/72/19/75/525721975.db2.gz GQQMRMRBWQYWGV-SNVBAGLBSA-N 1 2 295.308 3.516 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1Cc1cnn(C)c1C(F)(F)F ZINC000336838143 525721981 /nfs/dbraw/zinc/72/19/81/525721981.db2.gz GQQMRMRBWQYWGV-SNVBAGLBSA-N 1 2 295.308 3.516 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1ccc(F)c([C@@H](C)O)c1 ZINC000289774536 525733704 /nfs/dbraw/zinc/73/37/04/525733704.db2.gz RJMYPKJQQLRNBT-CYBMUJFWSA-N 1 2 288.366 3.627 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nccn2Cc2ccccc2)o1 ZINC000114758305 525736450 /nfs/dbraw/zinc/73/64/50/525736450.db2.gz AXWINSUWASIDIM-OAHLLOKOSA-N 1 2 295.386 3.684 20 0 CHADLO CS[C@@H](C)C(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000299504900 525782654 /nfs/dbraw/zinc/78/26/54/525782654.db2.gz HIIRAYYUSNRKKU-VIFPVBQESA-N 1 2 289.404 3.520 20 0 CHADLO CS[C@@H]1CC[C@H](Nc2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000226803883 525842770 /nfs/dbraw/zinc/84/27/70/525842770.db2.gz CNNDJLMWKXGRRY-VHSXEESVSA-N 1 2 276.327 3.796 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ccn(C3CCCC3)n2)o1 ZINC000069992982 525931446 /nfs/dbraw/zinc/93/14/46/525931446.db2.gz AYSPBGFFRRODCS-ZDUSSCGKSA-N 1 2 273.380 3.750 20 0 CHADLO Cc1cn2cc(NC(=O)C[C@@H]3CCC[C@H](C)C3)ccc2[nH+]1 ZINC000339272614 525948718 /nfs/dbraw/zinc/94/87/18/525948718.db2.gz IRUAVSQPHCHUAQ-GXTWGEPZSA-N 1 2 285.391 3.798 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@@H](C)[C@H]2c2cccc(F)c2)nc1 ZINC000340691624 526022934 /nfs/dbraw/zinc/02/29/34/526022934.db2.gz GMUWUCVSIZRJND-DYVFJYSZSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@@H](C)[C@H]2c2cccc(F)c2)nc1 ZINC000340691624 526022938 /nfs/dbraw/zinc/02/29/38/526022938.db2.gz GMUWUCVSIZRJND-DYVFJYSZSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2F)nc1 ZINC000340696509 526025741 /nfs/dbraw/zinc/02/57/41/526025741.db2.gz MSQHVIQZUDJCGF-WBMJQRKESA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2F)nc1 ZINC000340696509 526025747 /nfs/dbraw/zinc/02/57/47/526025747.db2.gz MSQHVIQZUDJCGF-WBMJQRKESA-N 1 2 285.366 3.507 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccccn1 ZINC000264272204 526036549 /nfs/dbraw/zinc/03/65/49/526036549.db2.gz MMQDUXOHZUDZQU-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccccn1 ZINC000264272204 526036563 /nfs/dbraw/zinc/03/65/63/526036563.db2.gz MMQDUXOHZUDZQU-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccc(C(F)(F)F)cc1)[N@H+](C)Cc1ccon1 ZINC000265791987 526044420 /nfs/dbraw/zinc/04/44/20/526044420.db2.gz MLMKFWUSQXWNTI-SNVBAGLBSA-N 1 2 284.281 3.886 20 0 CHADLO C[C@H](c1ccc(C(F)(F)F)cc1)[N@@H+](C)Cc1ccon1 ZINC000265791987 526044427 /nfs/dbraw/zinc/04/44/27/526044427.db2.gz MLMKFWUSQXWNTI-SNVBAGLBSA-N 1 2 284.281 3.886 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+](C)Cc1cscn1 ZINC000253606055 526056788 /nfs/dbraw/zinc/05/67/88/526056788.db2.gz IMJLTUSZUVKGPW-SECBINFHSA-N 1 2 268.332 3.614 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+](C)Cc1cscn1 ZINC000253606055 526056792 /nfs/dbraw/zinc/05/67/92/526056792.db2.gz IMJLTUSZUVKGPW-SECBINFHSA-N 1 2 268.332 3.614 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1cnc[nH]1 ZINC000280562555 526074719 /nfs/dbraw/zinc/07/47/19/526074719.db2.gz VHOOUGKPUIQQMN-SNVBAGLBSA-N 1 2 283.297 3.622 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1cnc[nH]1 ZINC000280562555 526074727 /nfs/dbraw/zinc/07/47/27/526074727.db2.gz VHOOUGKPUIQQMN-SNVBAGLBSA-N 1 2 283.297 3.622 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127927706 526111467 /nfs/dbraw/zinc/11/14/67/526111467.db2.gz VMUQWZWBACNQAX-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127927706 526111479 /nfs/dbraw/zinc/11/14/79/526111479.db2.gz VMUQWZWBACNQAX-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1ccc(F)cn1 ZINC000276338067 526115845 /nfs/dbraw/zinc/11/58/45/526115845.db2.gz IAAULOIWUZYHEQ-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1ccc(F)cn1 ZINC000276338067 526115850 /nfs/dbraw/zinc/11/58/50/526115850.db2.gz IAAULOIWUZYHEQ-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cc3c(ccc(C)c3C)[nH]2)o1 ZINC000337250005 526125916 /nfs/dbraw/zinc/12/59/16/526125916.db2.gz JURKWIITRAFTGO-CYBMUJFWSA-N 1 2 283.375 3.932 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cnc(C(C)(C)C)s2)o1 ZINC000227555782 526126316 /nfs/dbraw/zinc/12/63/16/526126316.db2.gz GMNPVJNEAOXTNG-SNVBAGLBSA-N 1 2 279.409 3.588 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000053428020 526153914 /nfs/dbraw/zinc/15/39/14/526153914.db2.gz SFGUAUDBWLGRQQ-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000053428020 526153918 /nfs/dbraw/zinc/15/39/18/526153918.db2.gz SFGUAUDBWLGRQQ-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1cccc(F)c1F ZINC000127652692 526154250 /nfs/dbraw/zinc/15/42/50/526154250.db2.gz WXOSNKUVBKCRTL-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1cccc(F)c1F ZINC000127652692 526154258 /nfs/dbraw/zinc/15/42/58/526154258.db2.gz WXOSNKUVBKCRTL-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127471917 526160321 /nfs/dbraw/zinc/16/03/21/526160321.db2.gz AABYUYIDLAWIIM-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127471917 526160330 /nfs/dbraw/zinc/16/03/30/526160330.db2.gz AABYUYIDLAWIIM-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO Cc1cnccc1SCc1ccc(-n2cc[nH+]c2)cc1 ZINC000338619851 526243967 /nfs/dbraw/zinc/24/39/67/526243967.db2.gz USLMGWPBTHBNLF-UHFFFAOYSA-N 1 2 281.384 3.868 20 0 CHADLO Cc1cnccc1SCc1c[nH+]cn1Cc1ccccc1 ZINC000338627431 526244628 /nfs/dbraw/zinc/24/46/28/526244628.db2.gz WOUOXGDNLRLZHH-UHFFFAOYSA-N 1 2 295.411 3.927 20 0 CHADLO CC(C)(C)OC(=O)CCC[N@@H+]1Cc2cccc(Cl)c2C1 ZINC000347444986 526353217 /nfs/dbraw/zinc/35/32/17/526353217.db2.gz GYXPDXKFOCMXML-UHFFFAOYSA-N 1 2 295.810 3.778 20 0 CHADLO CC(C)(C)OC(=O)CCC[N@H+]1Cc2cccc(Cl)c2C1 ZINC000347444986 526353224 /nfs/dbraw/zinc/35/32/24/526353224.db2.gz GYXPDXKFOCMXML-UHFFFAOYSA-N 1 2 295.810 3.778 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2nccn2C(F)F)C2CC2)c1 ZINC000046066651 526384820 /nfs/dbraw/zinc/38/48/20/526384820.db2.gz SWGRSQGDSDMERN-UHFFFAOYSA-N 1 2 295.308 3.582 20 0 CHADLO Fc1cccc(C[N@H+](Cc2nccn2C(F)F)C2CC2)c1 ZINC000046066651 526384825 /nfs/dbraw/zinc/38/48/25/526384825.db2.gz SWGRSQGDSDMERN-UHFFFAOYSA-N 1 2 295.308 3.582 20 0 CHADLO Fc1ccccc1C[N@@H+]1CCc2c(F)ccc(F)c2C1 ZINC000354035814 526412368 /nfs/dbraw/zinc/41/23/68/526412368.db2.gz FKARBGPTMUIPHK-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1ccccc1C[N@H+]1CCc2c(F)ccc(F)c2C1 ZINC000354035814 526412371 /nfs/dbraw/zinc/41/23/71/526412371.db2.gz FKARBGPTMUIPHK-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO c1ccc2[nH+]c(N[C@H]3CCCC34CCOCC4)ccc2c1 ZINC000336922902 526518616 /nfs/dbraw/zinc/51/86/16/526518616.db2.gz IPWOQCFKISPDPG-INIZCTEOSA-N 1 2 282.387 3.996 20 0 CHADLO c1cc2c(cccc2CNc2ccc(-n3cc[nH+]c3)cc2)[nH]1 ZINC000155950538 526523757 /nfs/dbraw/zinc/52/37/57/526523757.db2.gz VNVIEYSTAWYRBF-UHFFFAOYSA-N 1 2 288.354 3.966 20 0 CHADLO Cc1noc(C)c1C[C@@H](C)Nc1ccc2ccccc2[nH+]1 ZINC000265549262 526533569 /nfs/dbraw/zinc/53/35/69/526533569.db2.gz GKZMURRXIVXSBL-LLVKDONJSA-N 1 2 281.359 3.883 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](c1ccccc1)C(C)(C)C ZINC000036994788 526556466 /nfs/dbraw/zinc/55/64/66/526556466.db2.gz STVUJCKGYHWGKV-OAHLLOKOSA-N 1 2 257.381 3.595 20 0 CHADLO c1nc(CNc2cccc([NH+]3CCCCCC3)c2)co1 ZINC000192333138 526599795 /nfs/dbraw/zinc/59/97/95/526599795.db2.gz JGKRNOXYOHBSAR-UHFFFAOYSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000293493746 526667635 /nfs/dbraw/zinc/66/76/35/526667635.db2.gz GSVFDNBPJONFCZ-GOSISDBHSA-N 1 2 298.434 3.964 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000293493746 526667643 /nfs/dbraw/zinc/66/76/43/526667643.db2.gz GSVFDNBPJONFCZ-GOSISDBHSA-N 1 2 298.434 3.964 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+](C)Cc1nccs1 ZINC000292819420 526667857 /nfs/dbraw/zinc/66/78/57/526667857.db2.gz NUYYIUBCHURJBD-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+](C)Cc1nccs1 ZINC000292819420 526667860 /nfs/dbraw/zinc/66/78/60/526667860.db2.gz NUYYIUBCHURJBD-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1nocc1C[NH2+][C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000293533460 526695223 /nfs/dbraw/zinc/69/52/23/526695223.db2.gz JOTAXDFYNHAECQ-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1nc(C[N@@H+]([C@@H](C)c2ccco2)C2CC2)cs1 ZINC000086233864 526848570 /nfs/dbraw/zinc/84/85/70/526848570.db2.gz MFHXCUPVLKARRF-JTQLQIEISA-N 1 2 262.378 3.770 20 0 CHADLO Cc1nc(C[N@H+]([C@@H](C)c2ccco2)C2CC2)cs1 ZINC000086233864 526848579 /nfs/dbraw/zinc/84/85/79/526848579.db2.gz MFHXCUPVLKARRF-JTQLQIEISA-N 1 2 262.378 3.770 20 0 CHADLO Cc1sccc1C[NH2+][C@@H](C(=O)OC(C)(C)C)C(C)C ZINC000339295965 526917664 /nfs/dbraw/zinc/91/76/64/526917664.db2.gz KSANFXMLYKLXTC-CYBMUJFWSA-N 1 2 283.437 3.512 20 0 CHADLO Cc1sccc1CNc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000339300986 526921331 /nfs/dbraw/zinc/92/13/31/526921331.db2.gz FRJXPNMZLPVHMD-UHFFFAOYSA-N 1 2 287.363 3.993 20 0 CHADLO Clc1nc(C[N@@H+]2CCS[C@H]3CCCC[C@H]32)cs1 ZINC000289994861 527004043 /nfs/dbraw/zinc/00/40/43/527004043.db2.gz KSSSXHGTPMSNML-MNOVXSKESA-N 1 2 288.869 3.657 20 0 CHADLO Clc1nc(C[N@H+]2CCS[C@H]3CCCC[C@H]32)cs1 ZINC000289994861 527004048 /nfs/dbraw/zinc/00/40/48/527004048.db2.gz KSSSXHGTPMSNML-MNOVXSKESA-N 1 2 288.869 3.657 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2ccc(C(C)C)cc2)no1 ZINC000273257384 527065720 /nfs/dbraw/zinc/06/57/20/527065720.db2.gz BPPIKCUCXCJKAM-NEPJUHHUSA-N 1 2 273.380 3.913 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2CCCc3occc32)cs1 ZINC000036915498 527067476 /nfs/dbraw/zinc/06/74/76/527067476.db2.gz JFIJHBHFLWQLFY-CABZTGNLSA-N 1 2 262.378 3.773 20 0 CHADLO Cc1[nH]c(C(=O)N[C@@H](C)c2ccc(Cl)c(Cl)c2)c[nH+]1 ZINC000339223656 527237999 /nfs/dbraw/zinc/23/79/99/527237999.db2.gz NLMCLCJRABTKBG-ZETCQYMHSA-N 1 2 298.173 3.516 20 0 CHADLO Cc1nc(N2CC3(CCC3)[C@H]2c2ccccc2)cc[nH+]1 ZINC000293323369 527343971 /nfs/dbraw/zinc/34/39/71/527343971.db2.gz ZVHADURDEOOLHE-MRXNPFEDSA-N 1 2 265.360 3.517 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1cc(C(C)(C)C)on1 ZINC000366709591 527406418 /nfs/dbraw/zinc/40/64/18/527406418.db2.gz CRNVUBJZIPIORW-HNNXBMFYSA-N 1 2 287.407 3.648 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1cc(C(C)(C)C)on1 ZINC000366709591 527406422 /nfs/dbraw/zinc/40/64/22/527406422.db2.gz CRNVUBJZIPIORW-HNNXBMFYSA-N 1 2 287.407 3.648 20 0 CHADLO Cc1ncsc1C[N@@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000066562543 527500021 /nfs/dbraw/zinc/50/00/21/527500021.db2.gz IKKSMHCNQKNUDY-JTQLQIEISA-N 1 2 282.359 3.923 20 0 CHADLO Cc1ncsc1C[N@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000066562543 527500026 /nfs/dbraw/zinc/50/00/26/527500026.db2.gz IKKSMHCNQKNUDY-JTQLQIEISA-N 1 2 282.359 3.923 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2cnc(Cl)s2)CC1 ZINC000094194917 527664176 /nfs/dbraw/zinc/66/41/76/527664176.db2.gz JWNBABZDMOYTHD-UHFFFAOYSA-N 1 2 284.734 3.571 20 0 CHADLO FC(F)[C@@H]([NH2+]CCCOCC(F)(F)F)c1ccccc1 ZINC000292795924 527698182 /nfs/dbraw/zinc/69/81/82/527698182.db2.gz RAYGCYWUTLQDLP-NSHDSACASA-N 1 2 297.267 3.551 20 0 CHADLO CC(C)c1ccc(/C=C\CC(=O)N(C)Cc2[nH]cc[nH+]2)cc1 ZINC000344566813 528057386 /nfs/dbraw/zinc/05/73/86/528057386.db2.gz ADGYDVSSCWZFTP-PLNGDYQASA-N 1 2 297.402 3.595 20 0 CHADLO CC1=C(C(=O)N[C@H]2C[C@@H](C)n3cc[nH+]c32)CCC(C)(C)C1 ZINC000334612379 528079728 /nfs/dbraw/zinc/07/97/28/528079728.db2.gz VOXOHUGFFGKLTI-OCCSQVGLSA-N 1 2 287.407 3.532 20 0 CHADLO CCOC1CC(CCNc2ccc3ccccc3[nH+]2)C1 ZINC000302634468 528494677 /nfs/dbraw/zinc/49/46/77/528494677.db2.gz CQACTDPRYPOWGW-UHFFFAOYSA-N 1 2 270.376 3.852 20 0 CHADLO CC(C)[C@@H](NC(=O)c1ccc2ccccc2c1)c1[nH]cc[nH+]1 ZINC000352136588 528573028 /nfs/dbraw/zinc/57/30/28/528573028.db2.gz ZVZCTGHIQBBQEE-MRXNPFEDSA-N 1 2 293.370 3.690 20 0 CHADLO CC(C)C[C@H]([NH2+]Cc1nc(C2CC2)no1)c1cccs1 ZINC000347602244 528573364 /nfs/dbraw/zinc/57/33/64/528573364.db2.gz CHUJBJZXSFYVTJ-LBPRGKRZSA-N 1 2 291.420 3.886 20 0 CHADLO CC(C)Cc1ccc(C[N@H+](C)Cc2nccs2)cc1 ZINC000353768541 528649944 /nfs/dbraw/zinc/64/99/44/528649944.db2.gz OPAGQNVMDPYODF-UHFFFAOYSA-N 1 2 274.433 3.974 20 0 CHADLO CC(C)Cc1ccc(C[N@@H+](C)Cc2nccs2)cc1 ZINC000353768541 528649947 /nfs/dbraw/zinc/64/99/47/528649947.db2.gz OPAGQNVMDPYODF-UHFFFAOYSA-N 1 2 274.433 3.974 20 0 CHADLO CC/C=C(/C)c1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000356014630 528762891 /nfs/dbraw/zinc/76/28/91/528762891.db2.gz RZVDRCQWROWPOJ-ACAGNQJTSA-N 1 2 294.358 3.795 20 0 CHADLO CCCC[C@@H](CC)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000344384357 528767452 /nfs/dbraw/zinc/76/74/52/528767452.db2.gz HDECRKDUKZXXNR-ZIAGYGMSSA-N 1 2 277.412 3.680 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCCc3occc3C2)n1 ZINC000344261948 528895956 /nfs/dbraw/zinc/89/59/56/528895956.db2.gz KWOMJRNYUVWFFJ-UHFFFAOYSA-N 1 2 287.407 3.786 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCCc3occc3C2)n1 ZINC000344261948 528895962 /nfs/dbraw/zinc/89/59/62/528895962.db2.gz KWOMJRNYUVWFFJ-UHFFFAOYSA-N 1 2 287.407 3.786 20 0 CHADLO CCCC[C@H](CC)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000344384356 528898794 /nfs/dbraw/zinc/89/87/94/528898794.db2.gz HDECRKDUKZXXNR-UONOGXRCSA-N 1 2 277.412 3.680 20 0 CHADLO CCOC[C@@H](Nc1[nH+]ccc2ccc(F)cc21)C(C)C ZINC000352587367 528898789 /nfs/dbraw/zinc/89/87/89/528898789.db2.gz CJXYZSSVIGKKED-OAHLLOKOSA-N 1 2 276.355 3.847 20 0 CHADLO CC1(C)CCC([S@](=O)Cc2cn3ccccc3[nH+]2)CC1 ZINC000336605431 528909111 /nfs/dbraw/zinc/90/91/11/528909111.db2.gz JVMHCLYMQAQLMX-HXUWFJFHSA-N 1 2 290.432 3.552 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)CC3CC3)cc2[nH+]1 ZINC000344285415 529045529 /nfs/dbraw/zinc/04/55/29/529045529.db2.gz RFMFETXTUASCOP-UHFFFAOYSA-N 1 2 271.364 3.644 20 0 CHADLO CC1(C)CN(c2[nH+]ccc3ccc(F)cc32)CCS1 ZINC000354532544 529129242 /nfs/dbraw/zinc/12/92/42/529129242.db2.gz BMMFAYGYBUGMPG-UHFFFAOYSA-N 1 2 276.380 3.706 20 0 CHADLO CC[C@H](c1ccncc1)N(C)C(=O)Nc1c(C)cc[nH+]c1C ZINC000351930336 529186110 /nfs/dbraw/zinc/18/61/10/529186110.db2.gz FUMKHHLUJHQIRB-OAHLLOKOSA-N 1 2 298.390 3.708 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@H+](C)Cc1cscn1 ZINC000347548710 529258618 /nfs/dbraw/zinc/25/86/18/529258618.db2.gz ZQCMNEMRWKEOHF-AWEZNQCLSA-N 1 2 276.405 3.735 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@@H+](C)Cc1cscn1 ZINC000347548710 529258619 /nfs/dbraw/zinc/25/86/19/529258619.db2.gz ZQCMNEMRWKEOHF-AWEZNQCLSA-N 1 2 276.405 3.735 20 0 CHADLO CC[C@H](C)n1ncc(N[C@@H](C)c2[nH+]ccn2C)c1C1CC1 ZINC000347331340 529294488 /nfs/dbraw/zinc/29/44/88/529294488.db2.gz GONHRKDTFMOSFD-RYUDHWBXSA-N 1 2 287.411 3.638 20 0 CHADLO CC[C@@H]1CCCN(C(=O)c2ccc3[nH+]c(C)n(C)c3c2)CC1 ZINC000356113191 529296348 /nfs/dbraw/zinc/29/63/48/529296348.db2.gz DYKPXCDDUFJBCK-CQSZACIVSA-N 1 2 299.418 3.534 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cscc2C(F)(F)F)C[C@@H](C)O1 ZINC000356059822 529298657 /nfs/dbraw/zinc/29/86/57/529298657.db2.gz RHEXDRPOBRRXIH-KOLCDFICSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cscc2C(F)(F)F)C[C@@H](C)O1 ZINC000356059822 529298659 /nfs/dbraw/zinc/29/86/59/529298659.db2.gz RHEXDRPOBRRXIH-KOLCDFICSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@H](CC(=O)N[C@H](CC)c1[nH]cc[nH+]1)c1ccccc1 ZINC000347945769 529306211 /nfs/dbraw/zinc/30/62/11/529306211.db2.gz DSUITZKUFNJXQI-UKRRQHHQSA-N 1 2 285.391 3.561 20 0 CHADLO COc1cc[nH+]cc1CSCc1ccc(F)cc1 ZINC000341961734 537121083 /nfs/dbraw/zinc/12/10/83/537121083.db2.gz SRKNYNDLFMRYRA-UHFFFAOYSA-N 1 2 263.337 3.663 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1ccc(C)o1)c1nnc2ccccn21 ZINC000129398538 1125473900 /nfs/dbraw/zinc/47/39/00/1125473900.db2.gz NOFUMBUDPQIVJA-KGLIPLIRSA-N 1 2 298.390 3.823 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2ccnc(Cl)c2)C1 ZINC000111146013 1125480839 /nfs/dbraw/zinc/48/08/39/1125480839.db2.gz XWOHDYABONMSBU-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2ccnc(Cl)c2)C1 ZINC000111146013 1125480842 /nfs/dbraw/zinc/48/08/42/1125480842.db2.gz XWOHDYABONMSBU-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)OCCCn1cc[nH+]c1 ZINC000830090576 1131445096 /nfs/dbraw/zinc/44/50/96/1131445096.db2.gz HCVMLDLLQHZOAM-HNNXBMFYSA-N 1 2 278.396 3.589 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)CC(C)(C)O1 ZINC000065427750 1118333966 /nfs/dbraw/zinc/33/39/66/1118333966.db2.gz ACERIIBZLDYNJO-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)CC(C)(C)O1 ZINC000065427750 1118333969 /nfs/dbraw/zinc/33/39/69/1118333969.db2.gz ACERIIBZLDYNJO-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000112516306 1125486496 /nfs/dbraw/zinc/48/64/96/1125486496.db2.gz GCTSDEONDGQDMX-BDAKNGLRSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1c[nH+]c(CN(C)Cc2cc(Cl)cc(Cl)c2)n1C ZINC000342801786 1119173778 /nfs/dbraw/zinc/17/37/78/1119173778.db2.gz YNFFDHUAMWIMDW-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1c[nH+]c(CN(C)Cc2ccc(Cl)c(Cl)c2)n1C ZINC000342791674 1119171594 /nfs/dbraw/zinc/17/15/94/1119171594.db2.gz OVNKXIPYNOJSMM-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCCC[C@H]2c2ccco2)o1 ZINC000112669888 1125489227 /nfs/dbraw/zinc/48/92/27/1125489227.db2.gz HUAQUDXKOLUERO-ZDUSSCGKSA-N 1 2 289.379 3.903 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCCC[C@H]2c2ccco2)o1 ZINC000112669888 1125489230 /nfs/dbraw/zinc/48/92/30/1125489230.db2.gz HUAQUDXKOLUERO-ZDUSSCGKSA-N 1 2 289.379 3.903 20 0 CHADLO Cl/C=C\C[NH2+][C@@H](c1nccs1)c1ccccc1 ZINC000255761963 1119740673 /nfs/dbraw/zinc/74/06/73/1119740673.db2.gz XDVKXMYJHZWXPM-DJFZKKHWSA-N 1 2 264.781 3.575 20 0 CHADLO COc1ccc(CCOc2ccccc2-n2cc[nH+]c2)cc1 ZINC000536617840 1125502348 /nfs/dbraw/zinc/50/23/48/1125502348.db2.gz YFUQYOLUKWFCLY-UHFFFAOYSA-N 1 2 294.354 3.502 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H](C)c2cccnc2Cl)n1 ZINC000162338136 1120402155 /nfs/dbraw/zinc/40/21/55/1120402155.db2.gz ZUSYLJSJXLYJAK-NXEZZACHSA-N 1 2 281.812 3.912 20 0 CHADLO COCc1cc[nH+]c(NC(C2CCC2)C2CCC2)c1 ZINC000652331085 1120829836 /nfs/dbraw/zinc/82/98/36/1120829836.db2.gz XQTMTZJLFVMZFH-UHFFFAOYSA-N 1 2 260.381 3.609 20 0 CHADLO Cc1nc(C[N@H+](C)CCSCc2ccccc2)cs1 ZINC000351474079 1121123937 /nfs/dbraw/zinc/12/39/37/1121123937.db2.gz XXZZHKGLGYLYJI-UHFFFAOYSA-N 1 2 292.473 3.817 20 0 CHADLO Cc1nc(C[N@@H+](C)CCSCc2ccccc2)cs1 ZINC000351474079 1121123938 /nfs/dbraw/zinc/12/39/38/1121123938.db2.gz XXZZHKGLGYLYJI-UHFFFAOYSA-N 1 2 292.473 3.817 20 0 CHADLO Cc1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)cc1 ZINC001175712135 1121435488 /nfs/dbraw/zinc/43/54/88/1121435488.db2.gz GPUTZJUBKPXKAL-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1ncoc1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000894058072 1121463488 /nfs/dbraw/zinc/46/34/88/1121463488.db2.gz HFKNNELIRXPBCZ-ZDUSSCGKSA-N 1 2 262.740 3.583 20 0 CHADLO Cc1ncoc1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000894058072 1121463493 /nfs/dbraw/zinc/46/34/93/1121463493.db2.gz HFKNNELIRXPBCZ-ZDUSSCGKSA-N 1 2 262.740 3.583 20 0 CHADLO COC1(C[C@@H](C)Nc2ccc([NH+](C)C)cc2C)CCC1 ZINC000759997978 1121568961 /nfs/dbraw/zinc/56/89/61/1121568961.db2.gz DRQOUTWFFBLYGQ-CQSZACIVSA-N 1 2 276.424 3.821 20 0 CHADLO COC1(C[C@@H](C)[NH2+]c2ccc(N(C)C)cc2C)CCC1 ZINC000759997978 1121568966 /nfs/dbraw/zinc/56/89/66/1121568966.db2.gz DRQOUTWFFBLYGQ-CQSZACIVSA-N 1 2 276.424 3.821 20 0 CHADLO Cc1nc(C[NH2+][C@H](CC(F)F)c2ccccc2)cs1 ZINC000420987145 1122053292 /nfs/dbraw/zinc/05/32/92/1122053292.db2.gz OCXXHKBPGLIFEM-CYBMUJFWSA-N 1 2 282.359 3.938 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCC[C@H]2C(F)F)c(C)c1 ZINC001193022879 1122670615 /nfs/dbraw/zinc/67/06/15/1122670615.db2.gz WZWJISUUQMEOKL-AWEZNQCLSA-N 1 2 253.336 3.841 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCC[C@H]2C(F)F)c(C)c1 ZINC001193022879 1122670621 /nfs/dbraw/zinc/67/06/21/1122670621.db2.gz WZWJISUUQMEOKL-AWEZNQCLSA-N 1 2 253.336 3.841 20 0 CHADLO Cn1cccc1C[N@@H+](C)Cc1cccc(Cl)c1Cl ZINC000506758096 1122825341 /nfs/dbraw/zinc/82/53/41/1122825341.db2.gz JTEWJJCINIIWCP-UHFFFAOYSA-N 1 2 283.202 3.964 20 0 CHADLO c1nnc(C[NH+]2CCC(CC3CCCCC3)CC2)s1 ZINC001198209967 1122984007 /nfs/dbraw/zinc/98/40/07/1122984007.db2.gz COKNUFBOBHTQGM-UHFFFAOYSA-N 1 2 279.453 3.721 20 0 CHADLO Cc1nc2ccc(C[NH+]3CC(C(F)(F)F)C3)cc2s1 ZINC000894891571 1123042500 /nfs/dbraw/zinc/04/25/00/1123042500.db2.gz JAEOIXQMPQPTPI-UHFFFAOYSA-N 1 2 286.322 3.599 20 0 CHADLO CC[N@H+](Cc1csc(Cl)n1)Cc1ccccc1 ZINC000289450895 1123201487 /nfs/dbraw/zinc/20/14/87/1123201487.db2.gz XGXKHOWTFGJARL-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1csc(Cl)n1)Cc1ccccc1 ZINC000289450895 1123201489 /nfs/dbraw/zinc/20/14/89/1123201489.db2.gz XGXKHOWTFGJARL-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CCCC[C@@H](CC)CCC[N@H+](C)Cn1ccsc1=O ZINC000853630906 1123444970 /nfs/dbraw/zinc/44/49/70/1123444970.db2.gz RXEBFQWHINHGEW-CQSZACIVSA-N 1 2 284.469 3.796 20 0 CHADLO CCCC[C@@H](CC)CCC[N@@H+](C)Cn1ccsc1=O ZINC000853630906 1123444974 /nfs/dbraw/zinc/44/49/74/1123444974.db2.gz RXEBFQWHINHGEW-CQSZACIVSA-N 1 2 284.469 3.796 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccc(F)cc1)[C@H]1CC1(F)F ZINC000639327901 1123471608 /nfs/dbraw/zinc/47/16/08/1123471608.db2.gz DOZLOJHPLTVSNA-LDYMZIIASA-N 1 2 279.252 3.551 20 0 CHADLO CC[C@H]([NH2+]C/C(C)=C/c1ccccc1COC)C(F)F ZINC000449358912 1124709906 /nfs/dbraw/zinc/70/99/06/1124709906.db2.gz GTDJERHKHNZVAC-RZXPCSSPSA-N 1 2 283.362 3.870 20 0 CHADLO FC1CC2(C1)CCC[N@H+](Cc1ncc(Cl)s1)CC2 ZINC001137111628 1124972383 /nfs/dbraw/zinc/97/23/83/1124972383.db2.gz DPWSGBFLEGECAD-UHFFFAOYSA-N 1 2 288.819 3.901 20 0 CHADLO FC1CC2(C1)CCC[N@@H+](Cc1ncc(Cl)s1)CC2 ZINC001137111628 1124972386 /nfs/dbraw/zinc/97/23/86/1124972386.db2.gz DPWSGBFLEGECAD-UHFFFAOYSA-N 1 2 288.819 3.901 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)[C@H]1CC1(F)F ZINC000877159433 1125015577 /nfs/dbraw/zinc/01/55/77/1125015577.db2.gz FPSJJDAQZKZFJW-ZWNOBZJWSA-N 1 2 266.335 3.525 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)[C@H]1CC1(F)F ZINC000877159433 1125015587 /nfs/dbraw/zinc/01/55/87/1125015587.db2.gz FPSJJDAQZKZFJW-ZWNOBZJWSA-N 1 2 266.335 3.525 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137881333 1125208949 /nfs/dbraw/zinc/20/89/49/1125208949.db2.gz MJXJPLXLDAFGCN-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137881333 1125208954 /nfs/dbraw/zinc/20/89/54/1125208954.db2.gz MJXJPLXLDAFGCN-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1cc(NCc2ncc(C(F)(F)F)s2)c[nH+]c1C ZINC000883341575 1125398935 /nfs/dbraw/zinc/39/89/35/1125398935.db2.gz UJVDWHGHEPMGFI-UHFFFAOYSA-N 1 2 287.310 3.786 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)C2)cs1 ZINC001138886816 1131557476 /nfs/dbraw/zinc/55/74/76/1131557476.db2.gz GIJIGIJQSUXJBG-SECBINFHSA-N 1 2 278.343 3.651 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](C(F)(F)F)C2)cs1 ZINC001138886816 1131557479 /nfs/dbraw/zinc/55/74/79/1131557479.db2.gz GIJIGIJQSUXJBG-SECBINFHSA-N 1 2 278.343 3.651 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1c(Cl)ccnc1Cl ZINC001139476410 1131610513 /nfs/dbraw/zinc/61/05/13/1131610513.db2.gz NFTRZFZQRRWNBY-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1c(Cl)ccnc1Cl ZINC001139476410 1131610516 /nfs/dbraw/zinc/61/05/16/1131610516.db2.gz NFTRZFZQRRWNBY-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccc(C)nc1Cl)c1nccs1 ZINC000838895405 1132156522 /nfs/dbraw/zinc/15/65/22/1132156522.db2.gz NZVQXMYWDJPABY-AWEZNQCLSA-N 1 2 295.839 3.915 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCCC[C@@H](F)C2)cc1F ZINC001232419880 1132467900 /nfs/dbraw/zinc/46/79/00/1132467900.db2.gz KVRCJPRPLMVLGR-GFCCVEGCSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCCC[C@@H](F)C2)cc1F ZINC001232419880 1132467903 /nfs/dbraw/zinc/46/79/03/1132467903.db2.gz KVRCJPRPLMVLGR-GFCCVEGCSA-N 1 2 257.299 3.597 20 0 CHADLO C[N@H+](Cc1c(Br)ccc(F)c1F)C(C)(C)C ZINC001143335107 1132536165 /nfs/dbraw/zinc/53/61/65/1132536165.db2.gz LPRZMZPNHPHQAQ-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO C[N@@H+](Cc1c(Br)ccc(F)c1F)C(C)(C)C ZINC001143335107 1132536169 /nfs/dbraw/zinc/53/61/69/1132536169.db2.gz LPRZMZPNHPHQAQ-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO C[C@H]1CCC[C@H](C)[NH+]1Cc1c(Cl)ncnc1Cl ZINC001233407092 1132615547 /nfs/dbraw/zinc/61/55/47/1132615547.db2.gz QOVIAIVLFUHRNB-IUCAKERBSA-N 1 2 274.195 3.546 20 0 CHADLO Cc1ccc(Nc2c(Cl)cnnc2Cl)c(C)[nH+]1 ZINC001203370200 1133319287 /nfs/dbraw/zinc/31/92/87/1133319287.db2.gz HQUDFDCUBVPVKA-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO CC(C)[C@@H]1COCC[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001203886705 1133380178 /nfs/dbraw/zinc/38/01/78/1133380178.db2.gz JINBEVYTPXUGPW-AWEZNQCLSA-N 1 2 287.325 3.562 20 0 CHADLO CC(C)[C@@H]1COCC[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001203886705 1133380183 /nfs/dbraw/zinc/38/01/83/1133380183.db2.gz JINBEVYTPXUGPW-AWEZNQCLSA-N 1 2 287.325 3.562 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1ccc(C(F)(F)F)cc1F ZINC001204462955 1133449815 /nfs/dbraw/zinc/44/98/15/1133449815.db2.gz BYLFDBSFQNKKKT-LDYMZIIASA-N 1 2 279.252 3.777 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1ccc(C(F)(F)F)cc1F ZINC001204462955 1133449817 /nfs/dbraw/zinc/44/98/17/1133449817.db2.gz BYLFDBSFQNKKKT-LDYMZIIASA-N 1 2 279.252 3.777 20 0 CHADLO CSc1cc(Nc2[nH+]c(C)ccc2O)ccc1F ZINC001215794554 1134687935 /nfs/dbraw/zinc/68/79/35/1134687935.db2.gz XUIWIVPOAVYRHH-UHFFFAOYSA-N 1 2 264.325 3.700 20 0 CHADLO Cc1ccc(N2CCCC2)c(C(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000116760993 1125511465 /nfs/dbraw/zinc/51/14/65/1125511465.db2.gz RQGFUWZUPVGEHN-UHFFFAOYSA-N 1 2 295.386 3.551 20 0 CHADLO Cc1c(C)c(C)c(C[N@@H+](C)Cc2nccn2C)c(C)c1C ZINC000348309433 1125519719 /nfs/dbraw/zinc/51/97/19/1125519719.db2.gz GLMZNWPMTZXFHP-UHFFFAOYSA-N 1 2 285.435 3.594 20 0 CHADLO Cc1c(C)c(C)c(C[N@H+](C)Cc2nccn2C)c(C)c1C ZINC000348309433 1125519724 /nfs/dbraw/zinc/51/97/24/1125519724.db2.gz GLMZNWPMTZXFHP-UHFFFAOYSA-N 1 2 285.435 3.594 20 0 CHADLO Cc1cccc(NC(=O)NCc2c[nH+]cn2C2CCC2)c1C ZINC001202710287 1125529413 /nfs/dbraw/zinc/52/94/13/1125529413.db2.gz KATSNTGOTSMCKQ-UHFFFAOYSA-N 1 2 298.390 3.547 20 0 CHADLO CC[N@H+](C[C@H]1C[C@@]12CCOC2)c1ccc(C)cc1C ZINC001307534461 1125532849 /nfs/dbraw/zinc/53/28/49/1125532849.db2.gz ASCGYOIZJVHMQJ-NVXWUHKLSA-N 1 2 259.393 3.556 20 0 CHADLO CC[N@@H+](C[C@H]1C[C@@]12CCOC2)c1ccc(C)cc1C ZINC001307534461 1125532853 /nfs/dbraw/zinc/53/28/53/1125532853.db2.gz ASCGYOIZJVHMQJ-NVXWUHKLSA-N 1 2 259.393 3.556 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2cscn2)cc1C ZINC000120195536 1125543102 /nfs/dbraw/zinc/54/31/02/1125543102.db2.gz VRGACNKOGRDGLJ-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2cscn2)cc1C ZINC000120195536 1125543106 /nfs/dbraw/zinc/54/31/06/1125543106.db2.gz VRGACNKOGRDGLJ-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO COc1ncc(Nc2c[nH+]c(C)cc2C)cc1C(F)(F)F ZINC001212799816 1125550207 /nfs/dbraw/zinc/55/02/07/1125550207.db2.gz XOVZFAHSODMORI-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@@H+]1Cc1ccc[nH]1 ZINC001204011416 1125585801 /nfs/dbraw/zinc/58/58/01/1125585801.db2.gz RCGURDMVXPXVPJ-AWEZNQCLSA-N 1 2 262.303 3.630 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@H+]1Cc1ccc[nH]1 ZINC001204011416 1125585803 /nfs/dbraw/zinc/58/58/03/1125585803.db2.gz RCGURDMVXPXVPJ-AWEZNQCLSA-N 1 2 262.303 3.630 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)o1 ZINC000245769597 1125593277 /nfs/dbraw/zinc/59/32/77/1125593277.db2.gz RNIJONYUYAQHLF-SUYBPPKGSA-N 1 2 287.359 3.570 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+]2CCO[C@@H](c3ccco3)C2)o1 ZINC000245769597 1125593282 /nfs/dbraw/zinc/59/32/82/1125593282.db2.gz RNIJONYUYAQHLF-SUYBPPKGSA-N 1 2 287.359 3.570 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2CCOc3c(Cl)cccc32)no1 ZINC000282937108 1125609684 /nfs/dbraw/zinc/60/96/84/1125609684.db2.gz YQUGDCUOKYPKSC-GXFFZTMASA-N 1 2 292.766 3.811 20 0 CHADLO Cc1c(F)cccc1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000073393655 1125638022 /nfs/dbraw/zinc/63/80/22/1125638022.db2.gz FCCZHFZZPKRPQU-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CCCC[N@H+](C)c1ccc(NCc2n[nH]c(C)c2C)cc1 ZINC000886034809 1125639487 /nfs/dbraw/zinc/63/94/87/1125639487.db2.gz GMUWRBWFEFJAPU-UHFFFAOYSA-N 1 2 286.423 3.875 20 0 CHADLO CCCC[N@@H+](C)c1ccc(NCc2n[nH]c(C)c2C)cc1 ZINC000886034809 1125639490 /nfs/dbraw/zinc/63/94/90/1125639490.db2.gz GMUWRBWFEFJAPU-UHFFFAOYSA-N 1 2 286.423 3.875 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)Cc3cccc(Cl)c3)cc2[nH+]1 ZINC000074819720 1125642581 /nfs/dbraw/zinc/64/25/81/1125642581.db2.gz TVLAHAXODWCVAG-UHFFFAOYSA-N 1 2 299.761 3.706 20 0 CHADLO Cc1n[nH]c(CNc2[nH+]c3ccccc3n2C(C)C)c1C ZINC000886186399 1125660232 /nfs/dbraw/zinc/66/02/32/1125660232.db2.gz XSTWBJRWJIKFGU-UHFFFAOYSA-N 1 2 283.379 3.569 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@H]1CC[C@@H](C3CC3)O1)C2 ZINC000886206317 1125661949 /nfs/dbraw/zinc/66/19/49/1125661949.db2.gz QCMMSPQMZGLDHX-CJNGLKHVSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@H]1CC[C@@H](C3CC3)O1)C2 ZINC000886206317 1125661951 /nfs/dbraw/zinc/66/19/51/1125661951.db2.gz QCMMSPQMZGLDHX-CJNGLKHVSA-N 1 2 277.795 3.613 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1N1CCCCC1)c1ncco1 ZINC000886275513 1125665303 /nfs/dbraw/zinc/66/53/03/1125665303.db2.gz BLEFTHHUFLPHOL-AWEZNQCLSA-N 1 2 285.391 3.516 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)cc(Cl)c1)c1ncco1 ZINC000886273933 1125665505 /nfs/dbraw/zinc/66/55/05/1125665505.db2.gz JGCZHJTYRNSUBH-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)cc(Cl)c1)c1ncco1 ZINC000886273934 1125665686 /nfs/dbraw/zinc/66/56/86/1125665686.db2.gz JGCZHJTYRNSUBH-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO CC[C@H]1C[N@H+](Cc2csc(C(C)C)n2)CCS1 ZINC000076073625 1125666233 /nfs/dbraw/zinc/66/62/33/1125666233.db2.gz PWGNAEBOFWWYMO-LBPRGKRZSA-N 1 2 270.467 3.594 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2csc(C(C)C)n2)CCS1 ZINC000076073625 1125666237 /nfs/dbraw/zinc/66/62/37/1125666237.db2.gz PWGNAEBOFWWYMO-LBPRGKRZSA-N 1 2 270.467 3.594 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+]Cc2nn(C)cc2Cl)c1 ZINC000393315008 1125685514 /nfs/dbraw/zinc/68/55/14/1125685514.db2.gz VSMBRFSWRHDRFF-LBPRGKRZSA-N 1 2 277.799 3.541 20 0 CHADLO C[NH2+]c1ccc(NCc2cccc3c2CCC3)cc1 ZINC000629387455 1125702901 /nfs/dbraw/zinc/70/29/01/1125702901.db2.gz APTIOJYUQXYZIR-UHFFFAOYSA-N 1 2 252.361 3.829 20 0 CHADLO COC(=O)c1coc([C@H](C)[NH2+]Cc2cscc2Cl)c1 ZINC000886658129 1125704164 /nfs/dbraw/zinc/70/41/64/1125704164.db2.gz QQOSRIXUHWVDHI-QMMMGPOBSA-N 1 2 299.779 3.632 20 0 CHADLO CC(C)c1noc([C@H](C)[NH2+][C@H]2CCc3c2cccc3F)n1 ZINC000886921060 1125724797 /nfs/dbraw/zinc/72/47/97/1125724797.db2.gz WETGIYLGXFZVSH-HZMBPMFUSA-N 1 2 289.354 3.670 20 0 CHADLO CCc1cc(OCc2noc(C3CC3)n2)c2ccccc2[nH+]1 ZINC000090368571 1125740505 /nfs/dbraw/zinc/74/05/05/1125740505.db2.gz WFOPTUDIBJGXCS-UHFFFAOYSA-N 1 2 295.342 3.637 20 0 CHADLO Clc1ccncc1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC001137237931 1125753157 /nfs/dbraw/zinc/75/31/57/1125753157.db2.gz LLIUGAGDFWPLIK-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccncc1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC001137237931 1125753162 /nfs/dbraw/zinc/75/31/62/1125753162.db2.gz LLIUGAGDFWPLIK-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccncc1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC001137237930 1125753668 /nfs/dbraw/zinc/75/36/68/1125753668.db2.gz LLIUGAGDFWPLIK-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccncc1C[N@H+]1CC[C@H]1c1ccccc1 ZINC001137237930 1125753675 /nfs/dbraw/zinc/75/36/75/1125753675.db2.gz LLIUGAGDFWPLIK-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Fc1ccc(/C=C/C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)cc1 ZINC000092729485 1125760437 /nfs/dbraw/zinc/76/04/37/1125760437.db2.gz QXYUYXAKWQGJAT-QDLOVBKTSA-N 1 2 285.366 3.789 20 0 CHADLO Fc1ccc(/C=C/C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)cc1 ZINC000092729485 1125760440 /nfs/dbraw/zinc/76/04/40/1125760440.db2.gz QXYUYXAKWQGJAT-QDLOVBKTSA-N 1 2 285.366 3.789 20 0 CHADLO c1ncc(C[N@@H+]2CCCC[C@@H]2c2cc3ccccc3[nH]2)[nH]1 ZINC000093105487 1125765033 /nfs/dbraw/zinc/76/50/33/1125765033.db2.gz VEGZLJZWMQCHGC-QGZVFWFLSA-N 1 2 280.375 3.618 20 0 CHADLO c1ncc(C[N@H+]2CCCC[C@@H]2c2cc3ccccc3[nH]2)[nH]1 ZINC000093105487 1125765039 /nfs/dbraw/zinc/76/50/39/1125765039.db2.gz VEGZLJZWMQCHGC-QGZVFWFLSA-N 1 2 280.375 3.618 20 0 CHADLO c1nc(C[N@@H+]2CCCC[C@@H]2c2cc3ccccc3[nH]2)c[nH]1 ZINC000093105487 1125765042 /nfs/dbraw/zinc/76/50/42/1125765042.db2.gz VEGZLJZWMQCHGC-QGZVFWFLSA-N 1 2 280.375 3.618 20 0 CHADLO c1nc(C[N@H+]2CCCC[C@@H]2c2cc3ccccc3[nH]2)c[nH]1 ZINC000093105487 1125765049 /nfs/dbraw/zinc/76/50/49/1125765049.db2.gz VEGZLJZWMQCHGC-QGZVFWFLSA-N 1 2 280.375 3.618 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@@H](C)c1nccs1 ZINC000093406249 1125768569 /nfs/dbraw/zinc/76/85/69/1125768569.db2.gz LZOGKOMKQBXVLJ-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CCCCOc1ncccc1C[NH2+][C@@H](C)c1nccs1 ZINC000093401211 1125769460 /nfs/dbraw/zinc/76/94/60/1125769460.db2.gz GCQLRMXJXJXBOI-LBPRGKRZSA-N 1 2 291.420 3.568 20 0 CHADLO CC(C)COc1ccc(C[N@H+](C)Cc2nccs2)cc1 ZINC000093582333 1125771541 /nfs/dbraw/zinc/77/15/41/1125771541.db2.gz LTFJRMUBUCOVOP-UHFFFAOYSA-N 1 2 290.432 3.810 20 0 CHADLO CC(C)COc1ccc(C[N@@H+](C)Cc2nccs2)cc1 ZINC000093582333 1125771549 /nfs/dbraw/zinc/77/15/49/1125771549.db2.gz LTFJRMUBUCOVOP-UHFFFAOYSA-N 1 2 290.432 3.810 20 0 CHADLO CCC[C@H]1CCC[N@H+](Cn2nc(C)n(CCC)c2=S)C1 ZINC000089651545 1129245139 /nfs/dbraw/zinc/24/51/39/1129245139.db2.gz OWFBPTGDWCPAFG-AWEZNQCLSA-N 1 2 296.484 3.602 20 0 CHADLO CCC[C@H]1CCC[N@@H+](Cn2nc(C)n(CCC)c2=S)C1 ZINC000089651545 1129245143 /nfs/dbraw/zinc/24/51/43/1129245143.db2.gz OWFBPTGDWCPAFG-AWEZNQCLSA-N 1 2 296.484 3.602 20 0 CHADLO Cc1ccsc1[C@H]1C[C@H]1C(=O)Nc1cc[nH+]c(C)c1 ZINC000122554968 1125788002 /nfs/dbraw/zinc/78/80/02/1125788002.db2.gz MSQKZKSDOLBUEV-QWHCGFSZSA-N 1 2 272.373 3.502 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC001238695228 1131232201 /nfs/dbraw/zinc/23/22/01/1131232201.db2.gz LRLSRNTZXDABTO-INIZCTEOSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+]1CCC[C@](C)(F)C1 ZINC001238695228 1131232203 /nfs/dbraw/zinc/23/22/03/1131232203.db2.gz LRLSRNTZXDABTO-INIZCTEOSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)O[C@@H](CNc1cccc[nH+]1)c1ccccc1 ZINC000638395162 1129257105 /nfs/dbraw/zinc/25/71/05/1129257105.db2.gz SFERJICNOMJQEE-HNNXBMFYSA-N 1 2 256.349 3.660 20 0 CHADLO CCOc1cncc(C[N@H+](C)[C@@H](C)c2ccccc2F)c1 ZINC000621711108 1129183688 /nfs/dbraw/zinc/18/36/88/1129183688.db2.gz FLCZVRZNYRVPRL-ZDUSSCGKSA-N 1 2 288.366 3.812 20 0 CHADLO CCOc1cncc(C[N@@H+](C)[C@@H](C)c2ccccc2F)c1 ZINC000621711108 1129183691 /nfs/dbraw/zinc/18/36/91/1129183691.db2.gz FLCZVRZNYRVPRL-ZDUSSCGKSA-N 1 2 288.366 3.812 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1ccc(C)nc1Cl ZINC000689122520 1129188068 /nfs/dbraw/zinc/18/80/68/1129188068.db2.gz PSPUQCYKRFQGBW-UHFFFAOYSA-N 1 2 280.721 3.818 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1ccc(C)nc1Cl ZINC000689122520 1129188070 /nfs/dbraw/zinc/18/80/70/1129188070.db2.gz PSPUQCYKRFQGBW-UHFFFAOYSA-N 1 2 280.721 3.818 20 0 CHADLO CC[C@@H](CO)[N@H+](C/C(Cl)=C\Cl)Cc1ccccc1 ZINC000767825931 1129816197 /nfs/dbraw/zinc/81/61/97/1129816197.db2.gz JCOFLZKLINLTOX-CZAWJFPGSA-N 1 2 288.218 3.579 20 0 CHADLO CC[C@@H](CO)[N@@H+](C/C(Cl)=C\Cl)Cc1ccccc1 ZINC000767825931 1129816204 /nfs/dbraw/zinc/81/62/04/1129816204.db2.gz JCOFLZKLINLTOX-CZAWJFPGSA-N 1 2 288.218 3.579 20 0 CHADLO CC(C)CCC[C@H](C)[NH2+]CC(F)(F)C(F)(F)F ZINC001170057953 1130095403 /nfs/dbraw/zinc/09/54/03/1130095403.db2.gz RECCMFMJNRUOCC-VIFPVBQESA-N 1 2 261.278 3.988 20 0 CHADLO c1cc(C[NH2+]Cc2csc(-c3ccccc3)n2)co1 ZINC000338180724 1126722178 /nfs/dbraw/zinc/72/21/78/1126722178.db2.gz AOFGMEQSLAWQCX-UHFFFAOYSA-N 1 2 270.357 3.693 20 0 CHADLO CCO[C@@H](CSCc1[nH+]cc(C)c(OC)c1C)C1CC1 ZINC000600625075 1126727087 /nfs/dbraw/zinc/72/70/87/1126727087.db2.gz JJUCIHQPMAYVRS-HNNXBMFYSA-N 1 2 295.448 3.755 20 0 CHADLO C[C@@H](c1ccc2ccccc2c1)[N@H+](C)Cc1ncc[nH]1 ZINC000600629632 1126728823 /nfs/dbraw/zinc/72/88/23/1126728823.db2.gz HANWOYQXPXRUIG-ZDUSSCGKSA-N 1 2 265.360 3.756 20 0 CHADLO C[C@@H](c1ccc2ccccc2c1)[N@@H+](C)Cc1ncc[nH]1 ZINC000600629632 1126728827 /nfs/dbraw/zinc/72/88/27/1126728827.db2.gz HANWOYQXPXRUIG-ZDUSSCGKSA-N 1 2 265.360 3.756 20 0 CHADLO CCO[C@H](CSCCc1[nH+]cc(C)cc1C)C1CC1 ZINC000600637347 1126729510 /nfs/dbraw/zinc/72/95/10/1126729510.db2.gz LCXCNASCTOJXHR-MRXNPFEDSA-N 1 2 279.449 3.789 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000600643073 1126731095 /nfs/dbraw/zinc/73/10/95/1126731095.db2.gz FNSKRINNNKJVET-ZDUSSCGKSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000600643073 1126731098 /nfs/dbraw/zinc/73/10/98/1126731098.db2.gz FNSKRINNNKJVET-ZDUSSCGKSA-N 1 2 277.371 3.682 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1ccc2c(c1)CCO2 ZINC000338329246 1126734480 /nfs/dbraw/zinc/73/44/80/1126734480.db2.gz KRJWUGXSDRWIQE-UHFFFAOYSA-N 1 2 298.386 3.806 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1ccccc1 ZINC000338334936 1126734699 /nfs/dbraw/zinc/73/46/99/1126734699.db2.gz UIIZZLDIBQNKPW-UHFFFAOYSA-N 1 2 256.349 3.871 20 0 CHADLO CC(C)Sc1ccccc1NCc1c[nH+]cn1C ZINC000150779851 1126745858 /nfs/dbraw/zinc/74/58/58/1126745858.db2.gz UNZKPCSXOLKZKS-UHFFFAOYSA-N 1 2 261.394 3.533 20 0 CHADLO COC[C@H]([NH2+]Cc1cccc(Cl)c1F)c1ccco1 ZINC000151061614 1126750864 /nfs/dbraw/zinc/75/08/64/1126750864.db2.gz ANKPYXGWCDDDNA-LBPRGKRZSA-N 1 2 283.730 3.549 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccn(C3CCCC3)n1)CC2 ZINC000429565316 1126760824 /nfs/dbraw/zinc/76/08/24/1126760824.db2.gz RSJMFDIYTJQFGR-UHFFFAOYSA-N 1 2 295.430 3.865 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccn(C3CCCC3)n1)CC2 ZINC000429565316 1126760826 /nfs/dbraw/zinc/76/08/26/1126760826.db2.gz RSJMFDIYTJQFGR-UHFFFAOYSA-N 1 2 295.430 3.865 20 0 CHADLO Cc1ncc(Nc2ccc[nH+]c2N2CCCCC2)s1 ZINC001212125650 1126761870 /nfs/dbraw/zinc/76/18/70/1126761870.db2.gz GFYIWGVQAYNCHB-UHFFFAOYSA-N 1 2 274.393 3.580 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[NH+]1CC2(C1)CC(F)(F)C2 ZINC000679217483 1126766092 /nfs/dbraw/zinc/76/60/92/1126766092.db2.gz APELVCQWKIHDIB-JTQLQIEISA-N 1 2 278.302 3.620 20 0 CHADLO Cc1cc(COc2cc(C)[nH+]c3ccc(C)cc32)on1 ZINC000902692802 1126774386 /nfs/dbraw/zinc/77/43/86/1126774386.db2.gz BOHULXPBAGAJMS-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)cc(Cl)c1)c1nccs1 ZINC000160945240 1126789475 /nfs/dbraw/zinc/78/94/75/1126789475.db2.gz HNWNWUQMACBWLF-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679221871 1130504031 /nfs/dbraw/zinc/50/40/31/1130504031.db2.gz HSVMJWYNIOOOKN-ISTVAULSSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679221871 1130504035 /nfs/dbraw/zinc/50/40/35/1130504035.db2.gz HSVMJWYNIOOOKN-ISTVAULSSA-N 1 2 257.299 3.706 20 0 CHADLO CC[C@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccc(C)s1 ZINC000483595794 1126793520 /nfs/dbraw/zinc/79/35/20/1126793520.db2.gz ULOACZAMSAGQFI-CYBMUJFWSA-N 1 2 283.437 3.609 20 0 CHADLO CC[C@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccc(C)s1 ZINC000483595794 1126793524 /nfs/dbraw/zinc/79/35/24/1126793524.db2.gz ULOACZAMSAGQFI-CYBMUJFWSA-N 1 2 283.437 3.609 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccc([C@@H]2C[C@@H]2C)o1)c1ccc(C)o1 ZINC000177565403 1126802406 /nfs/dbraw/zinc/80/24/06/1126802406.db2.gz BENCBTDJQKHMMO-NILFDRSVSA-N 1 2 289.375 3.782 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(Cl)n1C)c1ccc(F)cc1F ZINC000178448853 1126813810 /nfs/dbraw/zinc/81/38/10/1126813810.db2.gz ZJUABVNSWBZQRZ-LBPRGKRZSA-N 1 2 299.752 3.593 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cnc(C(C)(C)C)s2)s1 ZINC000179383395 1126826823 /nfs/dbraw/zinc/82/68/23/1126826823.db2.gz VCJRTRJJSXSKGO-UHFFFAOYSA-N 1 2 295.477 3.838 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cnc(C(C)(C)C)s2)s1 ZINC000179383395 1126826830 /nfs/dbraw/zinc/82/68/30/1126826830.db2.gz VCJRTRJJSXSKGO-UHFFFAOYSA-N 1 2 295.477 3.838 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cc(Cl)ccc2F)s1 ZINC000179383195 1126827588 /nfs/dbraw/zinc/82/75/88/1126827588.db2.gz ZSHKJTWRFVXBSF-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cc(Cl)ccc2F)s1 ZINC000179383195 1126827590 /nfs/dbraw/zinc/82/75/90/1126827590.db2.gz ZSHKJTWRFVXBSF-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccccn2)ccc1[NH+](C)C ZINC000179612008 1126830815 /nfs/dbraw/zinc/83/08/15/1126830815.db2.gz XMSOFYISCUZGSR-ZDUSSCGKSA-N 1 2 255.365 3.629 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1C(C)C)c1cc(F)ccc1F ZINC000179786577 1126833707 /nfs/dbraw/zinc/83/37/07/1126833707.db2.gz QJFUDNFPFRIVAX-OAHLLOKOSA-N 1 2 293.361 3.983 20 0 CHADLO C[C@H]1COCCN1c1ccc([NH2+]C(C2CC2)C2CC2)cc1 ZINC000179944571 1126836939 /nfs/dbraw/zinc/83/69/39/1126836939.db2.gz CCIRMWOABFJSJO-ZDUSSCGKSA-N 1 2 286.419 3.512 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2ccc(F)cc2)C2CCCC2)o1 ZINC000180296274 1126842355 /nfs/dbraw/zinc/84/23/55/1126842355.db2.gz SKWJYRNBFYMZCK-MRXNPFEDSA-N 1 2 289.354 3.538 20 0 CHADLO COc1c(C)c[nH+]c(CSCc2nc(C)cs2)c1C ZINC000180402074 1126843563 /nfs/dbraw/zinc/84/35/63/1126843563.db2.gz BZNMPVMPVOYYBS-UHFFFAOYSA-N 1 2 294.445 3.905 20 0 CHADLO CC(C)n1cc(C[N@H+](C)Cc2cc(F)c(F)c(F)c2)cn1 ZINC000181012369 1126851058 /nfs/dbraw/zinc/85/10/58/1126851058.db2.gz QXYPLGUERKCQJN-UHFFFAOYSA-N 1 2 297.324 3.513 20 0 CHADLO CC(C)n1cc(C[N@@H+](C)Cc2cc(F)c(F)c(F)c2)cn1 ZINC000181012369 1126851061 /nfs/dbraw/zinc/85/10/61/1126851061.db2.gz QXYPLGUERKCQJN-UHFFFAOYSA-N 1 2 297.324 3.513 20 0 CHADLO Fc1cc2cc[nH]c2cc1-c1ccn2cc[nH+]c2c1 ZINC001240508505 1126858932 /nfs/dbraw/zinc/85/89/32/1126858932.db2.gz AANFXNVBZUCCCV-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1nccn1C ZINC000182188831 1126868693 /nfs/dbraw/zinc/86/86/93/1126868693.db2.gz SVJPOGTXWOKQGT-ZDUSSCGKSA-N 1 2 298.217 3.968 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1cccnc1 ZINC000182955974 1126879992 /nfs/dbraw/zinc/87/99/92/1126879992.db2.gz XRUJAUHXEWFAMN-ZDUSSCGKSA-N 1 2 267.376 3.637 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1cccnc1 ZINC000182955974 1126879997 /nfs/dbraw/zinc/87/99/97/1126879997.db2.gz XRUJAUHXEWFAMN-ZDUSSCGKSA-N 1 2 267.376 3.637 20 0 CHADLO Cn1cc(C[N@H+](C)Cc2ccco2)c(C2CCCCC2)n1 ZINC000182954432 1126880024 /nfs/dbraw/zinc/88/00/24/1126880024.db2.gz CQKWKFFMPHVXES-UHFFFAOYSA-N 1 2 287.407 3.693 20 0 CHADLO Cn1cc(C[N@@H+](C)Cc2ccco2)c(C2CCCCC2)n1 ZINC000182954432 1126880027 /nfs/dbraw/zinc/88/00/27/1126880027.db2.gz CQKWKFFMPHVXES-UHFFFAOYSA-N 1 2 287.407 3.693 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1cccnc1 ZINC000182955990 1126880069 /nfs/dbraw/zinc/88/00/69/1126880069.db2.gz XRUJAUHXEWFAMN-CYBMUJFWSA-N 1 2 267.376 3.637 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1cccnc1 ZINC000182955990 1126880074 /nfs/dbraw/zinc/88/00/74/1126880074.db2.gz XRUJAUHXEWFAMN-CYBMUJFWSA-N 1 2 267.376 3.637 20 0 CHADLO CC(C)n1cc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC000183150277 1126883204 /nfs/dbraw/zinc/88/32/04/1126883204.db2.gz RAQDZXMJWVYIGG-CQSZACIVSA-N 1 2 299.422 3.632 20 0 CHADLO c1[nH+]cc(N[C@H]2CCCSCC2)c2c1CCCC2 ZINC000668439585 1126891186 /nfs/dbraw/zinc/89/11/86/1126891186.db2.gz BBNZQWPIFKJGOD-ZDUSSCGKSA-N 1 2 262.422 3.658 20 0 CHADLO Cc1csc(CNc2[nH+]c3ccccc3n2CC2CC2)n1 ZINC000905430319 1126902243 /nfs/dbraw/zinc/90/22/43/1126902243.db2.gz UMQCQOGUKXRKKQ-UHFFFAOYSA-N 1 2 298.415 3.823 20 0 CHADLO CC/C=C/CC[N@@H+]1CCSC[C@H]1c1nccs1 ZINC000187060946 1126908257 /nfs/dbraw/zinc/90/82/57/1126908257.db2.gz RTNJPPNQULKYDR-PCAWENJQSA-N 1 2 268.451 3.589 20 0 CHADLO CC/C=C/CC[N@H+]1CCSC[C@H]1c1nccs1 ZINC000187060946 1126908261 /nfs/dbraw/zinc/90/82/61/1126908261.db2.gz RTNJPPNQULKYDR-PCAWENJQSA-N 1 2 268.451 3.589 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@@H+]1Cc1cc(F)c(F)c(F)c1F ZINC001235354038 1130735699 /nfs/dbraw/zinc/73/56/99/1130735699.db2.gz HUJIKGQFLNSCRQ-DTWKUNHWSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@H+]1Cc1cc(F)c(F)c(F)c1F ZINC001235354038 1130735704 /nfs/dbraw/zinc/73/57/04/1130735704.db2.gz HUJIKGQFLNSCRQ-DTWKUNHWSA-N 1 2 275.289 3.863 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+]Cc1ccc(Cl)cc1Cl ZINC000187679031 1126913206 /nfs/dbraw/zinc/91/32/06/1126913206.db2.gz QXXHLIQBISFOQK-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO CCc1cccc(C[NH2+][C@@H](c2nc(C3CC3)no2)C(C)C)c1 ZINC000189867268 1126936411 /nfs/dbraw/zinc/93/64/11/1126936411.db2.gz KMTWWNFRDKJDKM-MRXNPFEDSA-N 1 2 299.418 3.996 20 0 CHADLO CCC(CC)(CC)[S@](=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000190593967 1126943135 /nfs/dbraw/zinc/94/31/35/1126943135.db2.gz BYNZEOSIGVEDBE-HXUWFJFHSA-N 1 2 292.448 3.860 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H]2CCc3c2cccc3F)c(C)[nH+]1 ZINC000906392665 1126950877 /nfs/dbraw/zinc/95/08/77/1126950877.db2.gz QTTQPIIFXHQHQM-MRXNPFEDSA-N 1 2 298.361 3.563 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cc(Cl)cs1 ZINC000485960265 1126964161 /nfs/dbraw/zinc/96/41/61/1126964161.db2.gz YFMHLKRZHDZITP-UHFFFAOYSA-N 1 2 291.807 3.805 20 0 CHADLO CS[C@@H](CNC(=O)c1c(C)cc(C)[nH+]c1C)C(C)(C)C ZINC000907322355 1126977061 /nfs/dbraw/zinc/97/70/61/1126977061.db2.gz CZIXIKJCVPSNLE-ZDUSSCGKSA-N 1 2 294.464 3.514 20 0 CHADLO Cc1nc(N[C@H]2CCSc3ccc(F)cc32)cc[nH+]1 ZINC000266133722 1126979996 /nfs/dbraw/zinc/97/99/96/1126979996.db2.gz DPFMDNUOTFAAIU-LBPRGKRZSA-N 1 2 275.352 3.573 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccccc2F)CC(C)C)o1 ZINC000266519004 1126983300 /nfs/dbraw/zinc/98/33/00/1126983300.db2.gz HVTFVFFXCAQQDD-UHFFFAOYSA-N 1 2 276.355 3.780 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccccc2F)CC(C)C)o1 ZINC000266519004 1126983301 /nfs/dbraw/zinc/98/33/01/1126983301.db2.gz HVTFVFFXCAQQDD-UHFFFAOYSA-N 1 2 276.355 3.780 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)N(c3cccc[nH+]3)C2)cc1 ZINC000486493431 1126985366 /nfs/dbraw/zinc/98/53/66/1126985366.db2.gz BPINEWPRGCXRBQ-ZBFHGGJFSA-N 1 2 252.361 3.772 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)N(c3cccc[nH+]3)C2)cc1 ZINC000486493429 1126985437 /nfs/dbraw/zinc/98/54/37/1126985437.db2.gz BPINEWPRGCXRBQ-HOCLYGCPSA-N 1 2 252.361 3.772 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1cc(-c2ccco2)on1 ZINC000266717660 1126986431 /nfs/dbraw/zinc/98/64/31/1126986431.db2.gz LAKOWGGHTXBFPX-LBPRGKRZSA-N 1 2 283.331 3.523 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1cc(-c2ccco2)on1 ZINC000266717660 1126986433 /nfs/dbraw/zinc/98/64/33/1126986433.db2.gz LAKOWGGHTXBFPX-LBPRGKRZSA-N 1 2 283.331 3.523 20 0 CHADLO Clc1ccc([C@H]2CC[N@@H+]2Cc2ccccn2)cc1 ZINC000267320983 1126997400 /nfs/dbraw/zinc/99/74/00/1126997400.db2.gz GSQDLUHFQHSZGS-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccc([C@H]2CC[N@H+]2Cc2ccccn2)cc1 ZINC000267320983 1126997405 /nfs/dbraw/zinc/99/74/05/1126997405.db2.gz GSQDLUHFQHSZGS-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccco2)C(C)C)sc1C ZINC000268048049 1127010692 /nfs/dbraw/zinc/01/06/92/1127010692.db2.gz TUTJXBMKRVBZOI-UHFFFAOYSA-N 1 2 264.394 3.764 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccco2)C(C)C)sc1C ZINC000268048049 1127010695 /nfs/dbraw/zinc/01/06/95/1127010695.db2.gz TUTJXBMKRVBZOI-UHFFFAOYSA-N 1 2 264.394 3.764 20 0 CHADLO Clc1cccc(C[NH2+][C@H]2CCCc3cn[nH]c32)c1Cl ZINC000268827544 1127025412 /nfs/dbraw/zinc/02/54/12/1127025412.db2.gz JNLIJEHLSOIPEP-LBPRGKRZSA-N 1 2 296.201 3.884 20 0 CHADLO Clc1cccc(C[NH2+][C@H]2CCCc3c[nH]nc32)c1Cl ZINC000268827544 1127025415 /nfs/dbraw/zinc/02/54/15/1127025415.db2.gz JNLIJEHLSOIPEP-LBPRGKRZSA-N 1 2 296.201 3.884 20 0 CHADLO Cc1c(C[N@H+](C)Cc2cccc(Cl)c2Cl)cnn1C ZINC000268860859 1127026362 /nfs/dbraw/zinc/02/63/62/1127026362.db2.gz GDPWDLYZEUKBEE-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1c(C[N@@H+](C)Cc2cccc(Cl)c2Cl)cnn1C ZINC000268860859 1127026363 /nfs/dbraw/zinc/02/63/63/1127026363.db2.gz GDPWDLYZEUKBEE-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2scnc2C)cs1 ZINC000268914921 1127028287 /nfs/dbraw/zinc/02/82/87/1127028287.db2.gz NQTQYCIEULGBSA-WPRPVWTQSA-N 1 2 281.450 3.882 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+]Cc1ccn(C(C)C)n1 ZINC000269024705 1127033045 /nfs/dbraw/zinc/03/30/45/1127033045.db2.gz QOZHYMJCYAHCGQ-AWEZNQCLSA-N 1 2 287.407 3.714 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)[C@H](C)c2cccs2)c1 ZINC000270243279 1127051960 /nfs/dbraw/zinc/05/19/60/1127051960.db2.gz HZKPTIROXIJVSS-GFCCVEGCSA-N 1 2 276.405 3.653 20 0 CHADLO Oc1cccc(C[N@@H+](Cc2ncc(C3CC3)o2)CC2CC2)c1 ZINC000270620333 1127058772 /nfs/dbraw/zinc/05/87/72/1127058772.db2.gz OUKGQARFNHLBSF-UHFFFAOYSA-N 1 2 298.386 3.670 20 0 CHADLO Oc1cccc(C[N@H+](Cc2ncc(C3CC3)o2)CC2CC2)c1 ZINC000270620333 1127058775 /nfs/dbraw/zinc/05/87/75/1127058775.db2.gz OUKGQARFNHLBSF-UHFFFAOYSA-N 1 2 298.386 3.670 20 0 CHADLO CCCn1c(C)nnc1C[N@H+]([C@H](C)c1ccccc1)C1CC1 ZINC000270856160 1127062882 /nfs/dbraw/zinc/06/28/82/1127062882.db2.gz YNWAYASQLVSHLB-CQSZACIVSA-N 1 2 298.434 3.722 20 0 CHADLO CCCn1c(C)nnc1C[N@@H+]([C@H](C)c1ccccc1)C1CC1 ZINC000270856160 1127062886 /nfs/dbraw/zinc/06/28/86/1127062886.db2.gz YNWAYASQLVSHLB-CQSZACIVSA-N 1 2 298.434 3.722 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000271046971 1127065377 /nfs/dbraw/zinc/06/53/77/1127065377.db2.gz MOFNBWDRQYNMNH-RISCZKNCSA-N 1 2 292.404 3.781 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000271046971 1127065381 /nfs/dbraw/zinc/06/53/81/1127065381.db2.gz MOFNBWDRQYNMNH-RISCZKNCSA-N 1 2 292.404 3.781 20 0 CHADLO CC(C)c1nnc([C@H](C)[NH2+][C@H](C)c2ccccc2Cl)[nH]1 ZINC000271453162 1127082753 /nfs/dbraw/zinc/08/27/53/1127082753.db2.gz GKTSGNVWPASQKG-MNOVXSKESA-N 1 2 292.814 3.993 20 0 CHADLO Cc1nnc(C[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)s1 ZINC000271521123 1127088914 /nfs/dbraw/zinc/08/89/14/1127088914.db2.gz HAIGPFVFQHDPTR-UHFFFAOYSA-N 1 2 299.443 3.753 20 0 CHADLO Cc1nnc(C[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)s1 ZINC000271521123 1127088915 /nfs/dbraw/zinc/08/89/15/1127088915.db2.gz HAIGPFVFQHDPTR-UHFFFAOYSA-N 1 2 299.443 3.753 20 0 CHADLO COCC[N@H+](Cc1ncc(C)s1)[C@H](C)c1ccccc1 ZINC000271588065 1127093859 /nfs/dbraw/zinc/09/38/59/1127093859.db2.gz WHHWTFJQFGICQU-CQSZACIVSA-N 1 2 290.432 3.661 20 0 CHADLO COCC[N@@H+](Cc1ncc(C)s1)[C@H](C)c1ccccc1 ZINC000271588065 1127093862 /nfs/dbraw/zinc/09/38/62/1127093862.db2.gz WHHWTFJQFGICQU-CQSZACIVSA-N 1 2 290.432 3.661 20 0 CHADLO CCn1nc(C[N@H+](C)[C@@H](C)c2ccco2)c2ccccc21 ZINC000274462629 1127119897 /nfs/dbraw/zinc/11/98/97/1127119897.db2.gz BYTACKYHKKTOSL-ZDUSSCGKSA-N 1 2 283.375 3.842 20 0 CHADLO CCn1nc(C[N@@H+](C)[C@@H](C)c2ccco2)c2ccccc21 ZINC000274462629 1127119898 /nfs/dbraw/zinc/11/98/98/1127119898.db2.gz BYTACKYHKKTOSL-ZDUSSCGKSA-N 1 2 283.375 3.842 20 0 CHADLO CCn1nc(C[N@H+](C)[C@@H](C)c2ccccn2)c2ccccc21 ZINC000274460039 1127120033 /nfs/dbraw/zinc/12/00/33/1127120033.db2.gz HEERGLZVVJXSDW-AWEZNQCLSA-N 1 2 294.402 3.644 20 0 CHADLO CCn1nc(C[N@@H+](C)[C@@H](C)c2ccccn2)c2ccccc21 ZINC000274460039 1127120036 /nfs/dbraw/zinc/12/00/36/1127120036.db2.gz HEERGLZVVJXSDW-AWEZNQCLSA-N 1 2 294.402 3.644 20 0 CHADLO C[C@@H]1CC(Nc2ccc(Cn3cc[nH+]c3)cn2)C[C@@H](C)C1 ZINC000348835290 1127130257 /nfs/dbraw/zinc/13/02/57/1127130257.db2.gz SWDUWQNGDOUOSU-KBPBESRZSA-N 1 2 284.407 3.563 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1Cc1cccc(-c2ccncc2)c1 ZINC000348836793 1127130591 /nfs/dbraw/zinc/13/05/91/1127130591.db2.gz YCOTVUSLMXCBHQ-MRXNPFEDSA-N 1 2 288.341 3.978 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1Cc1cccc(-c2ccncc2)c1 ZINC000348836793 1127130592 /nfs/dbraw/zinc/13/05/92/1127130592.db2.gz YCOTVUSLMXCBHQ-MRXNPFEDSA-N 1 2 288.341 3.978 20 0 CHADLO Clc1ccc2c(c1Cl)CCN(c1cccc[nH+]1)C2 ZINC000586829149 1127142814 /nfs/dbraw/zinc/14/28/14/1127142814.db2.gz RPFFEKOFNPERIT-UHFFFAOYSA-N 1 2 279.170 3.951 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccon1)c1ccc(F)cc1F ZINC000349232332 1127144088 /nfs/dbraw/zinc/14/40/88/1127144088.db2.gz BNGCAHASWGKPPU-NOZJJQNGSA-N 1 2 266.291 3.755 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(Cl)c1)c1ccon1 ZINC000349238492 1127144366 /nfs/dbraw/zinc/14/43/66/1127144366.db2.gz KBNOWPJZBCQVLP-UWVGGRQHSA-N 1 2 250.729 3.740 20 0 CHADLO C[C@H]([NH2+]Cc1ncnn1C(C)(C)C)c1ccc(Cl)s1 ZINC000283500176 1127140899 /nfs/dbraw/zinc/14/08/99/1127140899.db2.gz LQPJAXKFZVTMNR-VIFPVBQESA-N 1 2 298.843 3.599 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2cnn(-c3ccccc3)c2)o1 ZINC000349654658 1127156483 /nfs/dbraw/zinc/15/64/83/1127156483.db2.gz NFSISBPWTHNLAE-UHFFFAOYSA-N 1 2 295.386 3.660 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2cnn(-c3ccccc3)c2)o1 ZINC000349654658 1127156484 /nfs/dbraw/zinc/15/64/84/1127156484.db2.gz NFSISBPWTHNLAE-UHFFFAOYSA-N 1 2 295.386 3.660 20 0 CHADLO Cc1ccc(CCCC(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC000350178356 1127175258 /nfs/dbraw/zinc/17/52/58/1127175258.db2.gz XTHDBWKAAXZKLA-UHFFFAOYSA-N 1 2 293.370 3.604 20 0 CHADLO CCCN(C)c1cc[nH+]c2c(Br)cccc12 ZINC000588162703 1127175906 /nfs/dbraw/zinc/17/59/06/1127175906.db2.gz VLGNIDSTOSCTCO-UHFFFAOYSA-N 1 2 279.181 3.844 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC(=O)CC12CCC2 ZINC000572055769 1127190447 /nfs/dbraw/zinc/19/04/47/1127190447.db2.gz MACRVDRAENDPGY-UHFFFAOYSA-N 1 2 277.795 3.736 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC(=O)CC12CCC2 ZINC000572055769 1127190451 /nfs/dbraw/zinc/19/04/51/1127190451.db2.gz MACRVDRAENDPGY-UHFFFAOYSA-N 1 2 277.795 3.736 20 0 CHADLO CC(C)COc1cccc(C[NH2+][C@H](C)c2ccon2)c1 ZINC000351591553 1127196374 /nfs/dbraw/zinc/19/63/74/1127196374.db2.gz FPAVCZBCPKRUCR-CYBMUJFWSA-N 1 2 274.364 3.560 20 0 CHADLO Cc1ncsc1C[NH2+][C@@H](C)c1ncc(-c2ccccc2)o1 ZINC000351603875 1127197511 /nfs/dbraw/zinc/19/75/11/1127197511.db2.gz BNWPJBDNASOBEB-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO CCC[C@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000572487543 1127201824 /nfs/dbraw/zinc/20/18/24/1127201824.db2.gz JKILJKLJONCOLA-ZBFHGGJFSA-N 1 2 291.439 3.699 20 0 CHADLO CCC[C@@H](NC(=O)C[C@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000572487541 1127201957 /nfs/dbraw/zinc/20/19/57/1127201957.db2.gz JKILJKLJONCOLA-GOEBONIOSA-N 1 2 291.439 3.699 20 0 CHADLO Cc1sccc1C[N@@H+]1CCc2ccc(F)c(F)c2C1 ZINC000351808673 1127210338 /nfs/dbraw/zinc/21/03/38/1127210338.db2.gz KEHITNNVGUHBTD-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1sccc1C[N@H+]1CCc2ccc(F)c(F)c2C1 ZINC000351808673 1127210339 /nfs/dbraw/zinc/21/03/39/1127210339.db2.gz KEHITNNVGUHBTD-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO CCSc1cccc(C[N@H+](C)[C@H](C)C(=O)OC(C)C)c1 ZINC000352010785 1127215879 /nfs/dbraw/zinc/21/58/79/1127215879.db2.gz JOUUAAKVFIEHKZ-CYBMUJFWSA-N 1 2 295.448 3.571 20 0 CHADLO CCSc1cccc(C[N@@H+](C)[C@H](C)C(=O)OC(C)C)c1 ZINC000352010785 1127215884 /nfs/dbraw/zinc/21/58/84/1127215884.db2.gz JOUUAAKVFIEHKZ-CYBMUJFWSA-N 1 2 295.448 3.571 20 0 CHADLO Cc1ccc2c(Nc3ccncc3Cl)cccc2[nH+]1 ZINC001212157616 1127221230 /nfs/dbraw/zinc/22/12/30/1127221230.db2.gz VBLZHFCLOCXUEP-UHFFFAOYSA-N 1 2 269.735 3.757 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC=C(c3ccco3)C2)o1 ZINC000285263835 1127221332 /nfs/dbraw/zinc/22/13/32/1127221332.db2.gz IBIJSAGQDJGXEZ-UHFFFAOYSA-N 1 2 286.375 3.854 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC=C(c3ccco3)C2)o1 ZINC000285263835 1127221334 /nfs/dbraw/zinc/22/13/34/1127221334.db2.gz IBIJSAGQDJGXEZ-UHFFFAOYSA-N 1 2 286.375 3.854 20 0 CHADLO CCCC[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000589707138 1127234311 /nfs/dbraw/zinc/23/43/11/1127234311.db2.gz WUDMLKQFSFSDCL-AWEZNQCLSA-N 1 2 271.763 3.653 20 0 CHADLO CCCC[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000589707138 1127234316 /nfs/dbraw/zinc/23/43/16/1127234316.db2.gz WUDMLKQFSFSDCL-AWEZNQCLSA-N 1 2 271.763 3.653 20 0 CHADLO Clc1csc(C[NH2+][C@H]2CCCc3occc32)n1 ZINC000876928903 1127234916 /nfs/dbraw/zinc/23/49/16/1127234916.db2.gz CYPUIIFXXMGRTC-VIFPVBQESA-N 1 2 268.769 3.557 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)o1 ZINC000285780263 1127242997 /nfs/dbraw/zinc/24/29/97/1127242997.db2.gz UMJJULRUNQXUKM-ZJUUUORDSA-N 1 2 280.318 3.927 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(C(=O)OC)cc1 ZINC000590254524 1127252904 /nfs/dbraw/zinc/25/29/04/1127252904.db2.gz KBSCBGAZGVCGAM-UONOGXRCSA-N 1 2 297.345 3.702 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](C)c1ccc(C)nc1 ZINC001224965892 1127258071 /nfs/dbraw/zinc/25/80/71/1127258071.db2.gz NMGDBBXZUQRGCO-ZDUSSCGKSA-N 1 2 264.369 3.652 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc([NH+](C)C)cc2)c1 ZINC000286218154 1127263620 /nfs/dbraw/zinc/26/36/20/1127263620.db2.gz SECZKBFBCQOGIX-CYBMUJFWSA-N 1 2 255.365 3.629 20 0 CHADLO COc1ccc([C@H](C)Nc2cccc[nH+]2)c(C)c1OC ZINC000286366235 1127266602 /nfs/dbraw/zinc/26/66/02/1127266602.db2.gz ZZFWXPSAHRFRGX-LBPRGKRZSA-N 1 2 272.348 3.580 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cccc(F)c1 ZINC000057667875 1127272159 /nfs/dbraw/zinc/27/21/59/1127272159.db2.gz ILVJFSQXKQRPGP-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO C[C@@H]1C[C@@H]1CC(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000353781823 1127274809 /nfs/dbraw/zinc/27/48/09/1127274809.db2.gz YGINXIKGYKAOJV-GHMZBOCLSA-N 1 2 289.766 3.510 20 0 CHADLO CC[C@H](CC(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000591141783 1127286925 /nfs/dbraw/zinc/28/69/25/1127286925.db2.gz XAZTVQUCWUSIHP-CQSZACIVSA-N 1 2 285.391 3.883 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)[C@@H]1C[C@H]1c1cccs1)C(=O)OC(C)(C)C ZINC000591348217 1127298994 /nfs/dbraw/zinc/29/89/94/1127298994.db2.gz DXRITVUSGMDDQA-QNWHQSFQSA-N 1 2 295.448 3.560 20 0 CHADLO COc1cc(C)[nH+]c(CNc2c(C)cc(C)cc2C)c1 ZINC000354236987 1127299191 /nfs/dbraw/zinc/29/91/91/1127299191.db2.gz JNPWCFDUORTFEE-UHFFFAOYSA-N 1 2 270.376 3.936 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)[C@H](C)c1nc(C)no1 ZINC000591373513 1127299907 /nfs/dbraw/zinc/29/99/07/1127299907.db2.gz XKSIXDNTXAHSOE-LLVKDONJSA-N 1 2 299.374 3.880 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)[C@H](C)c1nc(C)no1 ZINC000591373513 1127299908 /nfs/dbraw/zinc/29/99/08/1127299908.db2.gz XKSIXDNTXAHSOE-LLVKDONJSA-N 1 2 299.374 3.880 20 0 CHADLO Cc1nnsc1[C@@H](C)[NH2+][C@@H](C)c1c(F)cccc1F ZINC000930192024 1127314988 /nfs/dbraw/zinc/31/49/88/1127314988.db2.gz GMWHSTGSDFSMKN-JGVFFNPUSA-N 1 2 283.347 3.537 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1csc(C2CC2)n1 ZINC000696017184 1127336149 /nfs/dbraw/zinc/33/61/49/1127336149.db2.gz VIEHIDQEMKHHCW-NSHDSACASA-N 1 2 288.416 3.880 20 0 CHADLO COC[C@@H]([NH2+]Cc1nc(C)cs1)c1cccc(Cl)c1 ZINC000288509293 1127343799 /nfs/dbraw/zinc/34/37/99/1127343799.db2.gz IRICYHYPFTTWGN-CYBMUJFWSA-N 1 2 296.823 3.582 20 0 CHADLO C1=CC[C@H](Nc2cc(N[C@H]3CC=CCC3)[nH+]cn2)CC1 ZINC000355494063 1127344535 /nfs/dbraw/zinc/34/45/35/1127344535.db2.gz BPQUNQDOHPVSFI-KBPBESRZSA-N 1 2 270.380 3.518 20 0 CHADLO Cc1c[nH+]c(CCSCCOC(C)(C)C)c(C)c1 ZINC000592452061 1127348804 /nfs/dbraw/zinc/34/88/04/1127348804.db2.gz ARUFKYRXXDUKKZ-UHFFFAOYSA-N 1 2 267.438 3.789 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cnc(C2CCC2)s1 ZINC000355592722 1127352870 /nfs/dbraw/zinc/35/28/70/1127352870.db2.gz BJNFQSNOCIXHMO-UHFFFAOYSA-N 1 2 287.432 3.746 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cnc(C2CCC2)s1 ZINC000355592722 1127352873 /nfs/dbraw/zinc/35/28/73/1127352873.db2.gz BJNFQSNOCIXHMO-UHFFFAOYSA-N 1 2 287.432 3.746 20 0 CHADLO CC(C)(C)CCCC[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000289323799 1127380836 /nfs/dbraw/zinc/38/08/36/1127380836.db2.gz UXOHEDHGLCSVRL-HNNXBMFYSA-N 1 2 286.423 3.700 20 0 CHADLO C[C@@H](Cn1cc[nH+]c1)Nc1ncc(-c2ccccc2)s1 ZINC000357315270 1127389501 /nfs/dbraw/zinc/38/95/01/1127389501.db2.gz MZSWSCGPONBALH-LBPRGKRZSA-N 1 2 284.388 3.507 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CC[C@@H](C)C1 ZINC000357904971 1127396026 /nfs/dbraw/zinc/39/60/26/1127396026.db2.gz SBEOVLRMPLLKGN-BXUZGUMPSA-N 1 2 283.375 3.760 20 0 CHADLO Fc1cccc(C[NH2+]Cc2ccc(Cl)o2)c1F ZINC000594049000 1127406608 /nfs/dbraw/zinc/40/66/08/1127406608.db2.gz CJVMJFIWRSOHTA-UHFFFAOYSA-N 1 2 257.667 3.501 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ccoc2)c2cccnc2)cc1 ZINC000358728873 1127432951 /nfs/dbraw/zinc/43/29/51/1127432951.db2.gz SLBMNCSDIBDYMS-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO Cc1ccc(C[S@@](=O)CCCC(C)(C)C)c(C)[nH+]1 ZINC000359101700 1127449523 /nfs/dbraw/zinc/44/95/23/1127449523.db2.gz DGWYUOWJPHQRMK-SFHVURJKSA-N 1 2 267.438 3.773 20 0 CHADLO Cc1ccc(NCc2ccnn2-c2ccc(F)cc2)c(C)[nH+]1 ZINC000359296673 1127457286 /nfs/dbraw/zinc/45/72/86/1127457286.db2.gz CTVPRQGJABCKIJ-UHFFFAOYSA-N 1 2 296.349 3.635 20 0 CHADLO Cc1nc(N[C@H]2CCCC(F)(F)C2)c2c([nH+]1)CCCC2 ZINC000359727502 1127475677 /nfs/dbraw/zinc/47/56/77/1127475677.db2.gz KFYFXWZDCBQJRQ-NSHDSACASA-N 1 2 281.350 3.654 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cc(OC)ccc1F)c1nccs1 ZINC000293551194 1127505258 /nfs/dbraw/zinc/50/52/58/1127505258.db2.gz LDONKAUAGVNFCI-OAHLLOKOSA-N 1 2 294.395 3.706 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1cccc(O)c1Cl ZINC000293583261 1127507205 /nfs/dbraw/zinc/50/72/05/1127507205.db2.gz JWFLAUUTPKGRIJ-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1cccc(O)c1Cl ZINC000293583261 1127507207 /nfs/dbraw/zinc/50/72/07/1127507207.db2.gz JWFLAUUTPKGRIJ-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO COc1ccc(F)c(C[NH2+]C2(c3ccccc3F)CC2)c1 ZINC000293720993 1127515001 /nfs/dbraw/zinc/51/50/01/1127515001.db2.gz YMZAFPPCUFIRKX-UHFFFAOYSA-N 1 2 289.325 3.752 20 0 CHADLO Cc1nc(N[C@H]2C[C@@H]2c2ccccc2C(F)(F)F)cc[nH+]1 ZINC000360988152 1127526964 /nfs/dbraw/zinc/52/69/64/1127526964.db2.gz WVZWEPUFBXDRDI-YPMHNXCESA-N 1 2 293.292 3.772 20 0 CHADLO C[C@H]1CCCC[N@H+](Cc2ncc(Br)s2)C1 ZINC000361055647 1127533801 /nfs/dbraw/zinc/53/38/01/1127533801.db2.gz UCNPEJAHKBDVBM-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO C[C@H]1CCCC[N@@H+](Cc2ncc(Br)s2)C1 ZINC000361055647 1127533804 /nfs/dbraw/zinc/53/38/04/1127533804.db2.gz UCNPEJAHKBDVBM-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO CCN(C(=O)C[N@@H+]1Cc2ccccc2[C@H]1C)c1ccccc1 ZINC000361059557 1127534586 /nfs/dbraw/zinc/53/45/86/1127534586.db2.gz GQYQBDDPXJMBHT-OAHLLOKOSA-N 1 2 294.398 3.616 20 0 CHADLO CCN(C(=O)C[N@H+]1Cc2ccccc2[C@H]1C)c1ccccc1 ZINC000361059557 1127534589 /nfs/dbraw/zinc/53/45/89/1127534589.db2.gz GQYQBDDPXJMBHT-OAHLLOKOSA-N 1 2 294.398 3.616 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000361064010 1127534704 /nfs/dbraw/zinc/53/47/04/1127534704.db2.gz YKGRDERGJZYHCK-UONOGXRCSA-N 1 2 280.371 3.590 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000361064010 1127534708 /nfs/dbraw/zinc/53/47/08/1127534708.db2.gz YKGRDERGJZYHCK-UONOGXRCSA-N 1 2 280.371 3.590 20 0 CHADLO Cc1ccccc1NC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000361071695 1127535866 /nfs/dbraw/zinc/53/58/66/1127535866.db2.gz CCEMGDKEEXFMMC-GJZGRUSLSA-N 1 2 294.398 3.899 20 0 CHADLO Cc1ccccc1NC(=O)[C@H](C)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000361071695 1127535868 /nfs/dbraw/zinc/53/58/68/1127535868.db2.gz CCEMGDKEEXFMMC-GJZGRUSLSA-N 1 2 294.398 3.899 20 0 CHADLO CC[C@]1(C)COCC[N@@H+]1Cc1cnc(C2CCCC2)s1 ZINC000361155818 1127543035 /nfs/dbraw/zinc/54/30/35/1127543035.db2.gz QLGXILHKOOURTL-MRXNPFEDSA-N 1 2 294.464 3.802 20 0 CHADLO CC[C@]1(C)COCC[N@H+]1Cc1cnc(C2CCCC2)s1 ZINC000361155818 1127543038 /nfs/dbraw/zinc/54/30/38/1127543038.db2.gz QLGXILHKOOURTL-MRXNPFEDSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1nc2ccccc2n1CCCCC[N@@H+](C)CC(F)F ZINC000361296498 1127554597 /nfs/dbraw/zinc/55/45/97/1127554597.db2.gz NTPYGUJFNJCRHG-UHFFFAOYSA-N 1 2 295.377 3.712 20 0 CHADLO Cc1nc2ccccc2n1CCCCC[N@H+](C)CC(F)F ZINC000361296498 1127554599 /nfs/dbraw/zinc/55/45/99/1127554599.db2.gz NTPYGUJFNJCRHG-UHFFFAOYSA-N 1 2 295.377 3.712 20 0 CHADLO CC[N@@H+](Cc1noc(C2CCCCC2)n1)CC1CCC1 ZINC000361480843 1127566569 /nfs/dbraw/zinc/56/65/69/1127566569.db2.gz GYUSKNDYQBQCAD-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO CC[N@H+](Cc1noc(C2CCCCC2)n1)CC1CCC1 ZINC000361480843 1127566572 /nfs/dbraw/zinc/56/65/72/1127566572.db2.gz GYUSKNDYQBQCAD-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO CC[N@H+](Cc1ccc2ccccc2c1)Cc1ncccn1 ZINC000361700740 1127582619 /nfs/dbraw/zinc/58/26/19/1127582619.db2.gz YRMORZOEGMYFIE-UHFFFAOYSA-N 1 2 277.371 3.652 20 0 CHADLO CC[N@@H+](Cc1ccc2ccccc2c1)Cc1ncccn1 ZINC000361700740 1127582623 /nfs/dbraw/zinc/58/26/23/1127582623.db2.gz YRMORZOEGMYFIE-UHFFFAOYSA-N 1 2 277.371 3.652 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H]2c2cccs2)c(F)cn1 ZINC000294575655 1127583571 /nfs/dbraw/zinc/58/35/71/1127583571.db2.gz PIZKDSDPIOZQTB-LBPRGKRZSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H]2c2cccs2)c(F)cn1 ZINC000294575655 1127583572 /nfs/dbraw/zinc/58/35/72/1127583572.db2.gz PIZKDSDPIOZQTB-LBPRGKRZSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(-c2ccccc2)o1 ZINC000361802133 1127589937 /nfs/dbraw/zinc/58/99/37/1127589937.db2.gz YBMPSBTWBJRWNL-UHFFFAOYSA-N 1 2 268.291 3.515 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)[C@@H]2CC2(F)F)c1 ZINC000602575185 1127595774 /nfs/dbraw/zinc/59/57/74/1127595774.db2.gz CTYQNTBQUHOMCN-KOLCDFICSA-N 1 2 272.364 3.790 20 0 CHADLO Cc1cc(N[C@@H]2CCOC3(CCC3)C2)ccc1[NH+](C)C ZINC000294743210 1127595911 /nfs/dbraw/zinc/59/59/11/1127595911.db2.gz KATQBNBSFUYRCZ-OAHLLOKOSA-N 1 2 274.408 3.575 20 0 CHADLO Cc1cc([NH2+][C@@H]2CCOC3(CCC3)C2)ccc1N(C)C ZINC000294743210 1127595915 /nfs/dbraw/zinc/59/59/15/1127595915.db2.gz KATQBNBSFUYRCZ-OAHLLOKOSA-N 1 2 274.408 3.575 20 0 CHADLO Cc1cc(C[NH2+]Cc2cc(COC(C)(C)C)on2)cs1 ZINC000294937756 1127609458 /nfs/dbraw/zinc/60/94/58/1127609458.db2.gz CLDWQYIIGLGECD-UHFFFAOYSA-N 1 2 294.420 3.649 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1ccc(Cl)cc1F ZINC000295241617 1127628945 /nfs/dbraw/zinc/62/89/45/1127628945.db2.gz PCXIEMBPWFAEMM-JTQLQIEISA-N 1 2 281.762 3.546 20 0 CHADLO Cn1c[nH+]cc1CN(c1ccc(F)cc1)C1CCCC1 ZINC000362450758 1127636412 /nfs/dbraw/zinc/63/64/12/1127636412.db2.gz RLVMDBMYZXWHMW-UHFFFAOYSA-N 1 2 273.355 3.508 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2c(C3CC3)nc3sccn23)C1 ZINC000295608306 1127648548 /nfs/dbraw/zinc/64/85/48/1127648548.db2.gz DOTNVHJBCGRLMR-HNNXBMFYSA-N 1 2 293.411 3.597 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2c(C3CC3)nc3sccn23)C1 ZINC000295608306 1127648549 /nfs/dbraw/zinc/64/85/49/1127648549.db2.gz DOTNVHJBCGRLMR-HNNXBMFYSA-N 1 2 293.411 3.597 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+]Cc2csc(C)c2)cs1 ZINC000295757683 1127651814 /nfs/dbraw/zinc/65/18/14/1127651814.db2.gz PZWUPIQWULYQMI-JTQLQIEISA-N 1 2 282.434 3.510 20 0 CHADLO CSC[C@H]1CCCN(c2[nH+]ccc3ccccc32)C1 ZINC000599083742 1127656091 /nfs/dbraw/zinc/65/60/91/1127656091.db2.gz WLMLTGNQNRTXDD-ZDUSSCGKSA-N 1 2 272.417 3.814 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H]3CSC[C@@H]3C)cc2)c1C ZINC000295963052 1127658651 /nfs/dbraw/zinc/65/86/51/1127658651.db2.gz HZHPOHHFVWQUEQ-ZBEGNZNMSA-N 1 2 287.432 3.653 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3CSC[C@H]3C)cc2)c1C ZINC000295963047 1127658972 /nfs/dbraw/zinc/65/89/72/1127658972.db2.gz HZHPOHHFVWQUEQ-BDJLRTHQSA-N 1 2 287.432 3.653 20 0 CHADLO Cc1ccc(CCCC(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000603785855 1127672408 /nfs/dbraw/zinc/67/24/08/1127672408.db2.gz MMGMZNTXMHOORI-UHFFFAOYSA-N 1 2 293.370 3.604 20 0 CHADLO Cc1ccccc1[C@H](C)[NH2+]Cc1ncc(C(F)(F)F)cn1 ZINC000296558646 1127676304 /nfs/dbraw/zinc/67/63/04/1127676304.db2.gz SBVPPXNDVLCGAW-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO CC(C)CC[C@@H]([NH2+]Cc1nnc(C(C)C)[nH]1)c1ccoc1 ZINC000296586276 1127676801 /nfs/dbraw/zinc/67/68/01/1127676801.db2.gz USJARSBNKQKLGR-CQSZACIVSA-N 1 2 290.411 3.788 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCn2ccnc21)c1cc(Cl)ccc1Cl ZINC000296621515 1127678479 /nfs/dbraw/zinc/67/84/79/1127678479.db2.gz ZFKHEWQBWRDYNL-NOZJJQNGSA-N 1 2 296.201 3.986 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](CO)c2c(F)cccc2F)cs1 ZINC000296966984 1127686424 /nfs/dbraw/zinc/68/64/24/1127686424.db2.gz RJCVRRSXBZSBBT-IINYFYTJSA-N 1 2 297.370 3.719 20 0 CHADLO CC[C@H](c1ccc(F)cc1)N1CCn2c(C)c(C)[nH+]c2C1 ZINC000364322233 1127697091 /nfs/dbraw/zinc/69/70/91/1127697091.db2.gz LUBHKRIPZFBVTJ-MRXNPFEDSA-N 1 2 287.382 3.606 20 0 CHADLO Fc1ccccc1[C@H]1CC[N@H+](Cc2csc(Cl)n2)C1 ZINC000297474075 1127697742 /nfs/dbraw/zinc/69/77/42/1127697742.db2.gz LBMVRDRTUVFWOH-JTQLQIEISA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccccc1[C@H]1CC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000297474075 1127697744 /nfs/dbraw/zinc/69/77/44/1127697744.db2.gz LBMVRDRTUVFWOH-JTQLQIEISA-N 1 2 296.798 3.925 20 0 CHADLO C/C(=C\C(=O)NCc1c[nH+]c(C)cc1C)c1ccc(F)cc1 ZINC001275910001 1127701929 /nfs/dbraw/zinc/70/19/29/1127701929.db2.gz DEXODADYVHLMPM-UKTHLTGXSA-N 1 2 298.361 3.557 20 0 CHADLO COCc1cc(C[N@H+](Cc2ccc(C)s2)C(C)C)no1 ZINC000297640796 1127702293 /nfs/dbraw/zinc/70/22/93/1127702293.db2.gz IKFPPJWHUHOZBZ-UHFFFAOYSA-N 1 2 294.420 3.602 20 0 CHADLO COCc1cc(C[N@@H+](Cc2ccc(C)s2)C(C)C)no1 ZINC000297640796 1127702296 /nfs/dbraw/zinc/70/22/96/1127702296.db2.gz IKFPPJWHUHOZBZ-UHFFFAOYSA-N 1 2 294.420 3.602 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cccc3ccsc32)c[nH+]1 ZINC001275917431 1127703781 /nfs/dbraw/zinc/70/37/81/1127703781.db2.gz STIWUKJJHMWCKF-UHFFFAOYSA-N 1 2 296.395 3.843 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1nc(Cl)ccc1Cl ZINC000061630310 1127707261 /nfs/dbraw/zinc/70/72/61/1127707261.db2.gz TZHIYCHQFAUKTR-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1nc(Cl)ccc1Cl ZINC000061630310 1127707263 /nfs/dbraw/zinc/70/72/63/1127707263.db2.gz TZHIYCHQFAUKTR-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(-c3ccccc3)c[nH]2)CCC1(F)F ZINC001118882380 1131240645 /nfs/dbraw/zinc/24/06/45/1131240645.db2.gz QCEDFBXYOYPJEK-LBPRGKRZSA-N 1 2 291.345 3.554 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(-c3ccccc3)c[nH]2)CCC1(F)F ZINC001118882380 1131240651 /nfs/dbraw/zinc/24/06/51/1131240651.db2.gz QCEDFBXYOYPJEK-LBPRGKRZSA-N 1 2 291.345 3.554 20 0 CHADLO CCc1noc([C@@H](C)[N@H+](C)Cc2ccc3ccccc3c2)n1 ZINC000298192784 1127716396 /nfs/dbraw/zinc/71/63/96/1127716396.db2.gz BDTCYMFPMJXUPJ-CYBMUJFWSA-N 1 2 295.386 3.978 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)n1 ZINC000298192784 1127716399 /nfs/dbraw/zinc/71/63/99/1127716399.db2.gz BDTCYMFPMJXUPJ-CYBMUJFWSA-N 1 2 295.386 3.978 20 0 CHADLO Cc1cc(NC(=O)/C=C/c2ccc(Cl)s2)cc[nH+]1 ZINC000171805499 1127729360 /nfs/dbraw/zinc/72/93/60/1127729360.db2.gz SIETUXDDNQGZCR-HWKANZROSA-N 1 2 278.764 3.757 20 0 CHADLO CCc1noc([C@H](C)[N@@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000298737164 1127731116 /nfs/dbraw/zinc/73/11/16/1127731116.db2.gz IVLHFGZMJWVQFV-JQWIXIFHSA-N 1 2 277.393 3.592 20 0 CHADLO CCc1noc([C@H](C)[N@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000298737164 1127731119 /nfs/dbraw/zinc/73/11/19/1127731119.db2.gz IVLHFGZMJWVQFV-JQWIXIFHSA-N 1 2 277.393 3.592 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)o1)c1nc2ccccc2n1C ZINC000605435118 1127736092 /nfs/dbraw/zinc/73/60/92/1127736092.db2.gz UOFJOVVRARZGIM-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO C(=C\c1ccccc1)\COc1ccccc1-n1cc[nH+]c1 ZINC000605434121 1127736465 /nfs/dbraw/zinc/73/64/65/1127736465.db2.gz CATFBQXMPYYSCK-TWGQIWQCSA-N 1 2 276.339 3.965 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2c1cccc2Cl)c1ccco1 ZINC000366265148 1127744970 /nfs/dbraw/zinc/74/49/70/1127744970.db2.gz GZVHAVOOESKBTH-JOYOIKCWSA-N 1 2 263.724 3.717 20 0 CHADLO Clc1ccccc1C[NH2+]Cc1nc2ccccc2o1 ZINC000606338986 1127760319 /nfs/dbraw/zinc/76/03/19/1127760319.db2.gz YGPRDEGVVLCTJR-UHFFFAOYSA-N 1 2 272.735 3.771 20 0 CHADLO FC(F)(F)c1ccccc1[C@@H]1C[N@H+](CC2CCC2)CCO1 ZINC000543808744 1127765106 /nfs/dbraw/zinc/76/51/06/1127765106.db2.gz YDLIOQYBRPOLJD-HNNXBMFYSA-N 1 2 299.336 3.879 20 0 CHADLO FC(F)(F)c1ccccc1[C@@H]1C[N@@H+](CC2CCC2)CCO1 ZINC000543808744 1127765107 /nfs/dbraw/zinc/76/51/07/1127765107.db2.gz YDLIOQYBRPOLJD-HNNXBMFYSA-N 1 2 299.336 3.879 20 0 CHADLO CCc1cccc(NC(=O)Nc2ccc3[nH+]c(C)cn3c2)c1 ZINC000606570824 1127767501 /nfs/dbraw/zinc/76/75/01/1127767501.db2.gz XXFPARUWHZZAME-UHFFFAOYSA-N 1 2 294.358 3.849 20 0 CHADLO C[C@H](CCC(C)(C)C)NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606580222 1127767880 /nfs/dbraw/zinc/76/78/80/1127767880.db2.gz FNOPFRHVEMSEFH-GFCCVEGCSA-N 1 2 288.395 3.671 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnc(F)c(C)c2)cc1 ZINC001212193286 1127812314 /nfs/dbraw/zinc/81/23/14/1127812314.db2.gz WYULXQFLSZFNAH-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnc(F)c(C)c2)cc1 ZINC001212193286 1127812318 /nfs/dbraw/zinc/81/23/18/1127812318.db2.gz WYULXQFLSZFNAH-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@H](C)C[C@H]2c2cccnc2)cs1 ZINC000372953704 1127819303 /nfs/dbraw/zinc/81/93/03/1127819303.db2.gz KORGKTPXPNJLOB-DOMZBBRYSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1nc(C[N@H+]2C[C@H](C)C[C@H]2c2cccnc2)cs1 ZINC000372953704 1127819306 /nfs/dbraw/zinc/81/93/06/1127819306.db2.gz KORGKTPXPNJLOB-DOMZBBRYSA-N 1 2 287.432 3.684 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000607748050 1127824018 /nfs/dbraw/zinc/82/40/18/1127824018.db2.gz IGPAMHKQWMBYLF-ZDUSSCGKSA-N 1 2 284.403 3.919 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000607748050 1127824025 /nfs/dbraw/zinc/82/40/25/1127824025.db2.gz IGPAMHKQWMBYLF-ZDUSSCGKSA-N 1 2 284.403 3.919 20 0 CHADLO CC(C)c1ccc(C(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000607715007 1127825311 /nfs/dbraw/zinc/82/53/11/1127825311.db2.gz ZWUVGLPGOHRCFI-UHFFFAOYSA-N 1 2 279.343 3.710 20 0 CHADLO CC[N@H+](Cc1ccc2ccccc2c1)[C@H](C)c1nnc(C)[nH]1 ZINC000411186343 1127846087 /nfs/dbraw/zinc/84/60/87/1127846087.db2.gz QGPOTUSAPDDOAN-CYBMUJFWSA-N 1 2 294.402 3.849 20 0 CHADLO CC[N@@H+](Cc1ccc2ccccc2c1)[C@H](C)c1nnc(C)[nH]1 ZINC000411186343 1127846090 /nfs/dbraw/zinc/84/60/90/1127846090.db2.gz QGPOTUSAPDDOAN-CYBMUJFWSA-N 1 2 294.402 3.849 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)c1ccccc1C ZINC000373703530 1127857382 /nfs/dbraw/zinc/85/73/82/1127857382.db2.gz VUAHNIGSZIWAPX-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@H]2c2cccc(F)c2)o1 ZINC000608493019 1127869373 /nfs/dbraw/zinc/86/93/73/1127869373.db2.gz VUKCJVOPBVONSI-HNNXBMFYSA-N 1 2 274.339 3.713 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@H]2c2cccc(F)c2)o1 ZINC000608493019 1127869377 /nfs/dbraw/zinc/86/93/77/1127869377.db2.gz VUKCJVOPBVONSI-HNNXBMFYSA-N 1 2 274.339 3.713 20 0 CHADLO CC(C)[C@@H](Cc1ccc(F)cc1)[N@H+](C)CC(F)F ZINC000608510496 1127873456 /nfs/dbraw/zinc/87/34/56/1127873456.db2.gz GBZUCHVVBOSTAB-CYBMUJFWSA-N 1 2 259.315 3.590 20 0 CHADLO CC(C)[C@@H](Cc1ccc(F)cc1)[N@@H+](C)CC(F)F ZINC000608510496 1127873459 /nfs/dbraw/zinc/87/34/59/1127873459.db2.gz GBZUCHVVBOSTAB-CYBMUJFWSA-N 1 2 259.315 3.590 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)CC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000374180341 1127876963 /nfs/dbraw/zinc/87/69/63/1127876963.db2.gz ZUAFSBMOHZZOGF-CZUORRHYSA-N 1 2 297.402 3.715 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)CC[N@H+]1Cc1noc(C2CC2)n1 ZINC000374180341 1127876967 /nfs/dbraw/zinc/87/69/67/1127876967.db2.gz ZUAFSBMOHZZOGF-CZUORRHYSA-N 1 2 297.402 3.715 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@@H](C2CCC2)C1 ZINC000411880780 1127878291 /nfs/dbraw/zinc/87/82/91/1127878291.db2.gz WLHHJOZPNOSGJK-MEDUHNTESA-N 1 2 281.346 3.527 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@@H](C2CCC2)C1 ZINC000411880780 1127878294 /nfs/dbraw/zinc/87/82/94/1127878294.db2.gz WLHHJOZPNOSGJK-MEDUHNTESA-N 1 2 281.346 3.527 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](CCCOc1ccccc1)C2 ZINC000411927866 1127880291 /nfs/dbraw/zinc/88/02/91/1127880291.db2.gz SZBWOJRLZMBIJY-UHFFFAOYSA-N 1 2 295.382 3.674 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](CCCOc1ccccc1)C2 ZINC000411927866 1127880296 /nfs/dbraw/zinc/88/02/96/1127880296.db2.gz SZBWOJRLZMBIJY-UHFFFAOYSA-N 1 2 295.382 3.674 20 0 CHADLO CCC[C@@H]1CCC[N@H+](Cn2nc(C)n(CCC)c2=S)C1 ZINC000089651544 1127904224 /nfs/dbraw/zinc/90/42/24/1127904224.db2.gz OWFBPTGDWCPAFG-CQSZACIVSA-N 1 2 296.484 3.602 20 0 CHADLO CCC[C@@H]1CCC[N@@H+](Cn2nc(C)n(CCC)c2=S)C1 ZINC000089651544 1127904227 /nfs/dbraw/zinc/90/42/27/1127904227.db2.gz OWFBPTGDWCPAFG-CQSZACIVSA-N 1 2 296.484 3.602 20 0 CHADLO C[C@H](Nc1cc(N2CCCCC2)nc[nH+]1)c1ccsc1 ZINC000669827385 1127926004 /nfs/dbraw/zinc/92/60/04/1127926004.db2.gz OJGPWNGAZBOTQP-LBPRGKRZSA-N 1 2 288.420 3.702 20 0 CHADLO C[C@H](Nc1cc(N2CCCCC2)[nH+]cn1)c1ccsc1 ZINC000669827385 1127926006 /nfs/dbraw/zinc/92/60/06/1127926006.db2.gz OJGPWNGAZBOTQP-LBPRGKRZSA-N 1 2 288.420 3.702 20 0 CHADLO CCSc1cccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000375355052 1127942638 /nfs/dbraw/zinc/94/26/38/1127942638.db2.gz VQOCQHZMURHCTQ-OAHLLOKOSA-N 1 2 287.432 3.859 20 0 CHADLO CCSc1cccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000375355052 1127942641 /nfs/dbraw/zinc/94/26/41/1127942641.db2.gz VQOCQHZMURHCTQ-OAHLLOKOSA-N 1 2 287.432 3.859 20 0 CHADLO c1cc2c(s1)CCC[C@@H]2Nc1cc(NC2CC2)[nH+]cn1 ZINC000413226675 1127945003 /nfs/dbraw/zinc/94/50/03/1127945003.db2.gz HJKNFJOBAUPQCC-LBPRGKRZSA-N 1 2 286.404 3.602 20 0 CHADLO c1cc2c(s1)CCC[C@@H]2Nc1cc(NC2CC2)nc[nH+]1 ZINC000413226675 1127945004 /nfs/dbraw/zinc/94/50/04/1127945004.db2.gz HJKNFJOBAUPQCC-LBPRGKRZSA-N 1 2 286.404 3.602 20 0 CHADLO C[C@@H](CCCC(F)(F)F)Nc1cc(NC2CC2)nc[nH+]1 ZINC000413260763 1127946344 /nfs/dbraw/zinc/94/63/44/1127946344.db2.gz JSSMVRDCTGLDFM-VIFPVBQESA-N 1 2 288.317 3.584 20 0 CHADLO C[C@@H](CCCC(F)(F)F)Nc1cc(NC2CC2)[nH+]cn1 ZINC000413260763 1127946347 /nfs/dbraw/zinc/94/63/47/1127946347.db2.gz JSSMVRDCTGLDFM-VIFPVBQESA-N 1 2 288.317 3.584 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1cccc(Cl)c1Cl ZINC000539833279 1127946506 /nfs/dbraw/zinc/94/65/06/1127946506.db2.gz WAZRTXOSBBVHQG-VIFPVBQESA-N 1 2 276.232 3.931 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1cccc(Cl)c1Cl ZINC000539833279 1127946508 /nfs/dbraw/zinc/94/65/08/1127946508.db2.gz WAZRTXOSBBVHQG-VIFPVBQESA-N 1 2 276.232 3.931 20 0 CHADLO CC(C)n1ccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001203164639 1127948188 /nfs/dbraw/zinc/94/81/88/1127948188.db2.gz DJXLCSMERODMJN-UHFFFAOYSA-N 1 2 266.348 3.998 20 0 CHADLO CCOc1cccc(C[N@@H+]2CCOC[C@@H]2c2ccccc2)c1 ZINC000539851274 1127948199 /nfs/dbraw/zinc/94/81/99/1127948199.db2.gz PSOFAODSCSSOKH-LJQANCHMSA-N 1 2 297.398 3.659 20 0 CHADLO CCOc1cccc(C[N@H+]2CCOC[C@@H]2c2ccccc2)c1 ZINC000539851274 1127948200 /nfs/dbraw/zinc/94/82/00/1127948200.db2.gz PSOFAODSCSSOKH-LJQANCHMSA-N 1 2 297.398 3.659 20 0 CHADLO C[C@H](Nc1[nH+]c2ccccc2n1C)c1cc2ccccc2o1 ZINC000467006340 1127953133 /nfs/dbraw/zinc/95/31/33/1127953133.db2.gz HWDYWLYPIWVHJU-LBPRGKRZSA-N 1 2 291.354 3.915 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCO[C@H](C(C)C)C1 ZINC000540037993 1127957988 /nfs/dbraw/zinc/95/79/88/1127957988.db2.gz HTTSGZCTUAQZJS-WBVHZDCISA-N 1 2 284.403 3.581 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCO[C@H](C(C)C)C1 ZINC000540037992 1127958416 /nfs/dbraw/zinc/95/84/16/1127958416.db2.gz HTTSGZCTUAQZJS-RDJZCZTQSA-N 1 2 284.403 3.581 20 0 CHADLO C[C@H]([NH2+]Cc1cnc2ccccc2c1)c1cscn1 ZINC000540172671 1127965481 /nfs/dbraw/zinc/96/54/81/1127965481.db2.gz RMUZUZOXBGIPRX-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO Cc1ccc(COc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC000467791873 1127980920 /nfs/dbraw/zinc/98/09/20/1127980920.db2.gz XQRYFZKIYLHVEA-UHFFFAOYSA-N 1 2 264.328 3.760 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2ccc(F)cc2)c1 ZINC000540723954 1127991986 /nfs/dbraw/zinc/99/19/86/1127991986.db2.gz OULVEALEJIZDIA-UHFFFAOYSA-N 1 2 277.364 3.971 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[NH2+]CC(C)(C)c1cccs1 ZINC000541076448 1128006598 /nfs/dbraw/zinc/00/65/98/1128006598.db2.gz MCUUBIGVUQGYOG-CYBMUJFWSA-N 1 2 297.464 3.593 20 0 CHADLO Cc1nc(C)c(CNc2ccc([NH+](C)C)cc2C)s1 ZINC000088867528 1128030856 /nfs/dbraw/zinc/03/08/56/1128030856.db2.gz RCAMXPKJLYDFBX-UHFFFAOYSA-N 1 2 275.421 3.746 20 0 CHADLO C[C@@H]1COc2ccccc2C[N@@H+]1Cc1ccc(F)c(F)c1 ZINC000542012160 1128048124 /nfs/dbraw/zinc/04/81/24/1128048124.db2.gz OQLVDYDKHQHFIC-GFCCVEGCSA-N 1 2 289.325 3.748 20 0 CHADLO C[C@@H]1COc2ccccc2C[N@H+]1Cc1ccc(F)c(F)c1 ZINC000542012160 1128048128 /nfs/dbraw/zinc/04/81/28/1128048128.db2.gz OQLVDYDKHQHFIC-GFCCVEGCSA-N 1 2 289.325 3.748 20 0 CHADLO CC[C@@H]1C[C@@H](C)C[N@@H+]1Cc1ncc(Br)s1 ZINC000542167386 1128054823 /nfs/dbraw/zinc/05/48/23/1128054823.db2.gz MRYLFAMSCHBCKG-RKDXNWHRSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1C[C@@H](C)C[N@H+]1Cc1ncc(Br)s1 ZINC000542167386 1128054827 /nfs/dbraw/zinc/05/48/27/1128054827.db2.gz MRYLFAMSCHBCKG-RKDXNWHRSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470908476 1128079992 /nfs/dbraw/zinc/07/99/92/1128079992.db2.gz IPYNHKRUYOMHMD-GLKRBJQHSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470908476 1128079997 /nfs/dbraw/zinc/07/99/97/1128079997.db2.gz IPYNHKRUYOMHMD-GLKRBJQHSA-N 1 2 281.346 3.525 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC[C@@](F)(c3ccccc3)C2)o1 ZINC000669942815 1128081389 /nfs/dbraw/zinc/08/13/89/1128081389.db2.gz LPLWMTMQZUYBPV-BBRMVZONSA-N 1 2 274.339 3.615 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC[C@@](F)(c3ccccc3)C2)o1 ZINC000669942815 1128081393 /nfs/dbraw/zinc/08/13/93/1128081393.db2.gz LPLWMTMQZUYBPV-BBRMVZONSA-N 1 2 274.339 3.615 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H]2CCCc3oc(C)nc32)o1 ZINC000924564643 1128105573 /nfs/dbraw/zinc/10/55/73/1128105573.db2.gz OSMKMFLJXIZKPQ-ZYHUDNBSSA-N 1 2 260.337 3.613 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+][C@@H](C)c2ccc(Cl)s2)nn1 ZINC000924610437 1128107441 /nfs/dbraw/zinc/10/74/41/1128107441.db2.gz QPZPVZTWWNEGGX-UWVGGRQHSA-N 1 2 298.843 3.986 20 0 CHADLO Cc1[nH]c(CN2CCCC[C@H]2c2ccc(F)cc2)c(C)[nH+]1 ZINC000929788763 1128117005 /nfs/dbraw/zinc/11/70/05/1128117005.db2.gz KZCMQILOBQNUAA-KRWDZBQOSA-N 1 2 287.382 3.893 20 0 CHADLO Cc1ccccc1CC(N)=[NH+]OCc1ccc(CF)cc1 ZINC000777541966 1128119365 /nfs/dbraw/zinc/11/93/65/1128119365.db2.gz QCWUNRDDZYJNNB-UHFFFAOYSA-N 1 2 286.350 3.706 20 0 CHADLO CC(C)[C@H]1COCC[C@@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000925728803 1128138955 /nfs/dbraw/zinc/13/89/55/1128138955.db2.gz WMANVOLBFPVTDD-MSOLQXFVSA-N 1 2 288.435 3.760 20 0 CHADLO CC(C)[C@H]1COCC[C@@H]1[NH2+]c1ccc(N2CCCC2)cc1 ZINC000925728803 1128138957 /nfs/dbraw/zinc/13/89/57/1128138957.db2.gz WMANVOLBFPVTDD-MSOLQXFVSA-N 1 2 288.435 3.760 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000777572277 1128137047 /nfs/dbraw/zinc/13/70/47/1128137047.db2.gz HLERALHFAKOGTB-INIZCTEOSA-N 1 2 292.386 3.713 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(-c2ccccc2)n[nH]1)c1ccccc1 ZINC000777572277 1128137051 /nfs/dbraw/zinc/13/70/51/1128137051.db2.gz HLERALHFAKOGTB-INIZCTEOSA-N 1 2 292.386 3.713 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NC[C@H]2CC(C)(C)O2)c1 ZINC001168460088 1128152820 /nfs/dbraw/zinc/15/28/20/1128152820.db2.gz LLHPRZOAHZGGKT-OAHLLOKOSA-N 1 2 276.424 3.821 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NC[C@@H]2CC(C)(C)O2)c1 ZINC001168460084 1128152996 /nfs/dbraw/zinc/15/29/96/1128152996.db2.gz LLHPRZOAHZGGKT-HNNXBMFYSA-N 1 2 276.424 3.821 20 0 CHADLO CCCc1csc(C[NH2+]Cc2cc(F)ccc2F)n1 ZINC000494025681 1128154137 /nfs/dbraw/zinc/15/41/37/1128154137.db2.gz DHHLYYYEWMYSJZ-UHFFFAOYSA-N 1 2 282.359 3.664 20 0 CHADLO CC(F)(F)CCNc1[nH+]cnc2[nH]c3cc(F)ccc3c21 ZINC000642268746 1128161978 /nfs/dbraw/zinc/16/19/78/1128161978.db2.gz CDMQYAYVOHEEBF-UHFFFAOYSA-N 1 2 294.280 3.707 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2ccc(F)cc21)c1ncco1 ZINC000926336441 1128166972 /nfs/dbraw/zinc/16/69/72/1128166972.db2.gz YSLBOLGKNOMOBM-IINYFYTJSA-N 1 2 260.312 3.542 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@H](C)c2nonc2C)cc1 ZINC000926352835 1128168547 /nfs/dbraw/zinc/16/85/47/1128168547.db2.gz GPXFVBCAMNCNCG-NXEZZACHSA-N 1 2 277.393 3.512 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@@H](C)c2nonc2C)cc1 ZINC000926352836 1128168774 /nfs/dbraw/zinc/16/87/74/1128168774.db2.gz GPXFVBCAMNCNCG-ZJUUUORDSA-N 1 2 277.393 3.512 20 0 CHADLO COc1cc([C@@H](C)[NH2+]Cc2ccc(C3CC3)cc2F)on1 ZINC000926469926 1128174281 /nfs/dbraw/zinc/17/42/81/1128174281.db2.gz NJZAXKUGOALPAA-SNVBAGLBSA-N 1 2 290.338 3.551 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1c(F)cc(F)cc1F ZINC000926490040 1128174689 /nfs/dbraw/zinc/17/46/89/1128174689.db2.gz VDTVNSLWPHRLPV-SSDOTTSWSA-N 1 2 284.281 3.560 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@H](C)c1cccc(C2CC2)c1 ZINC000926492775 1128175350 /nfs/dbraw/zinc/17/53/50/1128175350.db2.gz FRASSKVEQLWAHT-MNOVXSKESA-N 1 2 271.364 3.667 20 0 CHADLO Cc1cccc(C2([NH2+][C@H](C)c3ncco3)CCC2)c1 ZINC000926632504 1128184756 /nfs/dbraw/zinc/18/47/56/1128184756.db2.gz BLENTAVJSDFDFG-CYBMUJFWSA-N 1 2 256.349 3.713 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nn(CC)nc1C)c1ccccc1F ZINC000926659888 1128186641 /nfs/dbraw/zinc/18/66/41/1128186641.db2.gz IWKUAXLJLQNXOB-XHDPSFHLSA-N 1 2 290.386 3.547 20 0 CHADLO FC1(F)CC2(C[NH+](Cc3sccc3Cl)C2)C1 ZINC000670084669 1128188510 /nfs/dbraw/zinc/18/85/10/1128188510.db2.gz RBHKKYOKIUXYAR-UHFFFAOYSA-N 1 2 263.740 3.633 20 0 CHADLO CCc1noc(C)c1[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000777604589 1128192957 /nfs/dbraw/zinc/19/29/57/1128192957.db2.gz IYHDGEAAXVGJIV-NSHDSACASA-N 1 2 273.380 3.785 20 0 CHADLO CC[C@@H]1CCC[C@@H]1[NH2+]c1ccc(N2CCOC[C@H]2C)cc1 ZINC000777694731 1128245974 /nfs/dbraw/zinc/24/59/74/1128245974.db2.gz QBMOHNDPZMIZFG-RKVPGOIHSA-N 1 2 288.435 3.902 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1c(F)cncc1F ZINC000929325276 1128269014 /nfs/dbraw/zinc/26/90/14/1128269014.db2.gz ICFDLIDCYBDEHT-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1c(F)cncc1F ZINC000929325276 1128269019 /nfs/dbraw/zinc/26/90/19/1128269019.db2.gz ICFDLIDCYBDEHT-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO COC/C(C)=C/C[N@H+](C)Cc1c(F)cccc1Cl ZINC000929138776 1128259890 /nfs/dbraw/zinc/25/98/90/1128259890.db2.gz LYMVQORQMCGXLO-YRNVUSSQSA-N 1 2 271.763 3.504 20 0 CHADLO COC/C(C)=C/C[N@@H+](C)Cc1c(F)cccc1Cl ZINC000929138776 1128259894 /nfs/dbraw/zinc/25/98/94/1128259894.db2.gz LYMVQORQMCGXLO-YRNVUSSQSA-N 1 2 271.763 3.504 20 0 CHADLO C[C@@H](c1ncccn1)[N@H+](C)Cc1ccc(C(F)(F)F)cc1 ZINC000929138459 1128260217 /nfs/dbraw/zinc/26/02/17/1128260217.db2.gz JRSIPGPACJESRN-NSHDSACASA-N 1 2 295.308 3.688 20 0 CHADLO C[C@@H](c1ncccn1)[N@@H+](C)Cc1ccc(C(F)(F)F)cc1 ZINC000929138459 1128260221 /nfs/dbraw/zinc/26/02/21/1128260221.db2.gz JRSIPGPACJESRN-NSHDSACASA-N 1 2 295.308 3.688 20 0 CHADLO CC[N@H+](Cc1cnn(CCF)c1)Cc1ccccc1Cl ZINC000929254779 1128266141 /nfs/dbraw/zinc/26/61/41/1128266141.db2.gz LYEDNDRMRNDFRM-UHFFFAOYSA-N 1 2 295.789 3.528 20 0 CHADLO CC[N@@H+](Cc1cnn(CCF)c1)Cc1ccccc1Cl ZINC000929254779 1128266143 /nfs/dbraw/zinc/26/61/43/1128266143.db2.gz LYEDNDRMRNDFRM-UHFFFAOYSA-N 1 2 295.789 3.528 20 0 CHADLO c1nc2c(s1)CCC[C@H]2[NH2+][C@@H]1CCCc2cccnc21 ZINC000927062319 1128213705 /nfs/dbraw/zinc/21/37/05/1128213705.db2.gz LHEFDNIXNUNMMB-CHWSQXEVSA-N 1 2 285.416 3.583 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1c(F)cc(C)cc1F ZINC000927093835 1128216734 /nfs/dbraw/zinc/21/67/34/1128216734.db2.gz UEWVWBVAVRCGHK-SECBINFHSA-N 1 2 280.318 3.729 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C2CC2)n(C)n1)c1ccccc1F ZINC000927109671 1128218335 /nfs/dbraw/zinc/21/83/35/1128218335.db2.gz VFANRBVGMKCFSJ-INIZCTEOSA-N 1 2 287.382 3.678 20 0 CHADLO C[C@H](Cc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1)C1CC1 ZINC000762076802 1128266825 /nfs/dbraw/zinc/26/68/25/1128266825.db2.gz NXRCUBAKPVGRCL-GFCCVEGCSA-N 1 2 294.358 3.511 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)[C@@H](C)c1ncccn1 ZINC000929280559 1128267655 /nfs/dbraw/zinc/26/76/55/1128267655.db2.gz VJDLMYQIPSLJHR-LBPRGKRZSA-N 1 2 275.783 3.713 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)[C@@H](C)c1ncccn1 ZINC000929280559 1128267656 /nfs/dbraw/zinc/26/76/56/1128267656.db2.gz VJDLMYQIPSLJHR-LBPRGKRZSA-N 1 2 275.783 3.713 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2C[C@H](C)O[C@@H](C)C2)cc1)C1CC1 ZINC000777659136 1128234360 /nfs/dbraw/zinc/23/43/60/1128234360.db2.gz JDJSGUNAACAFPD-DEYYWGMASA-N 1 2 288.435 3.901 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2c(F)ccc(C)c2F)on1 ZINC000929289684 1128268211 /nfs/dbraw/zinc/26/82/11/1128268211.db2.gz YYXUMCYFDOLUPY-CQSZACIVSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2c(F)ccc(C)c2F)on1 ZINC000929289684 1128268214 /nfs/dbraw/zinc/26/82/14/1128268214.db2.gz YYXUMCYFDOLUPY-CQSZACIVSA-N 1 2 292.329 3.907 20 0 CHADLO FC(F)(F)[C@H]1CCC[C@H](C[NH+]2Cc3ccccc3C2)O1 ZINC000929585091 1128278875 /nfs/dbraw/zinc/27/88/75/1128278875.db2.gz BRCACFMPPNVMCG-ZIAGYGMSSA-N 1 2 285.309 3.502 20 0 CHADLO CCSc1nn(C[N@H+](C)[C@H](C)C(C)C)c(=S)s1 ZINC000915208839 1128291583 /nfs/dbraw/zinc/29/15/83/1128291583.db2.gz IARUPWFENQDQRA-SECBINFHSA-N 1 2 291.511 3.720 20 0 CHADLO CCSc1nn(C[N@@H+](C)[C@H](C)C(C)C)c(=S)s1 ZINC000915208839 1128291586 /nfs/dbraw/zinc/29/15/86/1128291586.db2.gz IARUPWFENQDQRA-SECBINFHSA-N 1 2 291.511 3.720 20 0 CHADLO CC(C)c1ccc([C@H]2CCC[N@@H+]2Cc2nncs2)cc1 ZINC000930105745 1128299986 /nfs/dbraw/zinc/29/99/86/1128299986.db2.gz IVBZBHHQRSMLGZ-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1ccc([C@H]2CCC[N@H+]2Cc2nncs2)cc1 ZINC000930105745 1128299988 /nfs/dbraw/zinc/29/99/88/1128299988.db2.gz IVBZBHHQRSMLGZ-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1c(F)cncc1F)C(C)C ZINC000929981022 1128296232 /nfs/dbraw/zinc/29/62/32/1128296232.db2.gz MXANEPUCVPOQFB-OAHLLOKOSA-N 1 2 291.345 3.550 20 0 CHADLO CCc1ncc(C[NH2+]C2(c3nc(C)cs3)CCCC2)o1 ZINC000930009401 1128298302 /nfs/dbraw/zinc/29/83/02/1128298302.db2.gz GKWZWTRQLVYBQL-UHFFFAOYSA-N 1 2 291.420 3.561 20 0 CHADLO CN(C)c1cc(COc2ccc(Cl)c(F)c2)cc[nH+]1 ZINC000762477008 1128302108 /nfs/dbraw/zinc/30/21/08/1128302108.db2.gz JTQGGKDUWBUGCH-UHFFFAOYSA-N 1 2 280.730 3.519 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@@H](C)c2ncccn2)cc1 ZINC000930313050 1128313867 /nfs/dbraw/zinc/31/38/67/1128313867.db2.gz QVQIBLMIYNZCMG-RYUDHWBXSA-N 1 2 273.405 3.610 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@@H+]1CCS[C@H](C)C1 ZINC000171694555 1128317253 /nfs/dbraw/zinc/31/72/53/1128317253.db2.gz YHHSIOPEEPOYLN-SNVBAGLBSA-N 1 2 294.445 3.649 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@H+]1CCS[C@H](C)C1 ZINC000171694555 1128317255 /nfs/dbraw/zinc/31/72/55/1128317255.db2.gz YHHSIOPEEPOYLN-SNVBAGLBSA-N 1 2 294.445 3.649 20 0 CHADLO C[C@H](c1ncccn1)[N@@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000930823964 1128336415 /nfs/dbraw/zinc/33/64/15/1128336415.db2.gz ABSNUSJMNHNZSV-NVXWUHKLSA-N 1 2 291.398 3.931 20 0 CHADLO C[C@H](c1ncccn1)[N@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000930823964 1128336418 /nfs/dbraw/zinc/33/64/18/1128336418.db2.gz ABSNUSJMNHNZSV-NVXWUHKLSA-N 1 2 291.398 3.931 20 0 CHADLO CC1CCC(N(C(=O)c2cc3c[nH+]ccc3[nH]2)C2CC2)CC1 ZINC000930943046 1128341274 /nfs/dbraw/zinc/34/12/74/1128341274.db2.gz JBDLKOPLHSQCQC-UHFFFAOYSA-N 1 2 297.402 3.746 20 0 CHADLO CCC[N@H+](Cc1ccccc1F)[C@@H](C)c1snnc1C ZINC000931022541 1128344177 /nfs/dbraw/zinc/34/41/77/1128344177.db2.gz XSLWCPGOKYLESY-LBPRGKRZSA-N 1 2 293.411 3.959 20 0 CHADLO CCC[N@@H+](Cc1ccccc1F)[C@@H](C)c1snnc1C ZINC000931022541 1128344181 /nfs/dbraw/zinc/34/41/81/1128344181.db2.gz XSLWCPGOKYLESY-LBPRGKRZSA-N 1 2 293.411 3.959 20 0 CHADLO Cc1cccn2cc(Cc3noc(C4=CCCCC4)n3)[nH+]c12 ZINC000561878409 1128359341 /nfs/dbraw/zinc/35/93/41/1128359341.db2.gz BHQAATLAPZVVJP-UHFFFAOYSA-N 1 2 294.358 3.574 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[NH+]1CC(C(F)(F)F)C1 ZINC000561945101 1128363837 /nfs/dbraw/zinc/36/38/37/1128363837.db2.gz ZTPYLMQDDQJHMB-LBPRGKRZSA-N 1 2 261.262 3.771 20 0 CHADLO O=C(C=C(C1CC1)C1CC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000055409061 1128370152 /nfs/dbraw/zinc/37/01/52/1128370152.db2.gz BTXHYOAYAGJHBU-UHFFFAOYSA-N 1 2 293.370 3.557 20 0 CHADLO Clc1ccccc1[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000507809228 1128370320 /nfs/dbraw/zinc/37/03/20/1128370320.db2.gz UVPHEKSOHLKVMO-QGZVFWFLSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1ccccc1[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000507809228 1128370325 /nfs/dbraw/zinc/37/03/25/1128370325.db2.gz UVPHEKSOHLKVMO-QGZVFWFLSA-N 1 2 287.790 3.914 20 0 CHADLO CC(C)(C)[C@H]1CSCC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000931597695 1128371707 /nfs/dbraw/zinc/37/17/07/1128371707.db2.gz WJMVTSFSVVGFAQ-CYBMUJFWSA-N 1 2 280.437 3.516 20 0 CHADLO CC(C)(C)[C@H]1CSCC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000931597695 1128371711 /nfs/dbraw/zinc/37/17/11/1128371711.db2.gz WJMVTSFSVVGFAQ-CYBMUJFWSA-N 1 2 280.437 3.516 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(C(C)(C)C)s2)CCC1(F)F ZINC000562206958 1128386521 /nfs/dbraw/zinc/38/65/21/1128386521.db2.gz GGRFAIMYAXFBMU-SNVBAGLBSA-N 1 2 288.407 3.918 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(C(C)(C)C)s2)CCC1(F)F ZINC000562206958 1128386525 /nfs/dbraw/zinc/38/65/25/1128386525.db2.gz GGRFAIMYAXFBMU-SNVBAGLBSA-N 1 2 288.407 3.918 20 0 CHADLO Cc1ncc(C[NH2+]Cc2cccc(Cl)c2Cl)o1 ZINC000397886952 1128387380 /nfs/dbraw/zinc/38/73/80/1128387380.db2.gz DJLZBMKPDZZBON-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Clc1cnc(C[N@@H+]2C[C@H]3C[C@@]3(c3ccccc3)C2)s1 ZINC000931925174 1128388199 /nfs/dbraw/zinc/38/81/99/1128388199.db2.gz JSOHTAGLKXUTNF-DOMZBBRYSA-N 1 2 290.819 3.570 20 0 CHADLO Clc1cnc(C[N@H+]2C[C@H]3C[C@@]3(c3ccccc3)C2)s1 ZINC000931925174 1128388204 /nfs/dbraw/zinc/38/82/04/1128388204.db2.gz JSOHTAGLKXUTNF-DOMZBBRYSA-N 1 2 290.819 3.570 20 0 CHADLO Cn1nccc1[C@@H]1CCC[N@@H+]1Cc1cc(F)ccc1Cl ZINC000932263478 1128401795 /nfs/dbraw/zinc/40/17/95/1128401795.db2.gz DKECZWPEFGQPQK-HNNXBMFYSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@@H]1CCC[N@H+]1Cc1cc(F)ccc1Cl ZINC000932263478 1128401797 /nfs/dbraw/zinc/40/17/97/1128401797.db2.gz DKECZWPEFGQPQK-HNNXBMFYSA-N 1 2 293.773 3.550 20 0 CHADLO Cc1ccc(CNc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC000036988535 1128403469 /nfs/dbraw/zinc/40/34/69/1128403469.db2.gz SMIVGKVSCPPPGM-UHFFFAOYSA-N 1 2 281.403 3.992 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@H](c2ccccn2)C2CC2)n1 ZINC000932383169 1128406147 /nfs/dbraw/zinc/40/61/47/1128406147.db2.gz LMRFCZJAKYWUMU-SFHVURJKSA-N 1 2 298.434 3.880 20 0 CHADLO Fc1cccc(F)c1C[NH2+][C@H](c1ccccn1)C1CC1 ZINC000932380716 1128406194 /nfs/dbraw/zinc/40/61/94/1128406194.db2.gz DQHFHKLLNCRIIQ-INIZCTEOSA-N 1 2 274.314 3.601 20 0 CHADLO c1cn(C2CCCC2)nc1C[NH2+][C@@H](c1ccccn1)C1CC1 ZINC000932379247 1128406539 /nfs/dbraw/zinc/40/65/39/1128406539.db2.gz XIMRQTRQBITIBE-GOSISDBHSA-N 1 2 296.418 3.634 20 0 CHADLO Cc1cc(C[N@H+](Cc2cccc(F)c2)C2CCC2)no1 ZINC000932406384 1128408700 /nfs/dbraw/zinc/40/87/00/1128408700.db2.gz RWXPBHHEHKVJMF-UHFFFAOYSA-N 1 2 274.339 3.677 20 0 CHADLO Cc1cc(C[N@@H+](Cc2cccc(F)c2)C2CCC2)no1 ZINC000932406384 1128408702 /nfs/dbraw/zinc/40/87/02/1128408702.db2.gz RWXPBHHEHKVJMF-UHFFFAOYSA-N 1 2 274.339 3.677 20 0 CHADLO Cc1csc(C[C@H]2CC[N@H+](C/C(Cl)=C/Cl)C2)n1 ZINC000933122980 1128430597 /nfs/dbraw/zinc/43/05/97/1128430597.db2.gz JETDWNMSZYFGKN-KMFOOYFMSA-N 1 2 291.247 3.635 20 0 CHADLO Cc1csc(C[C@H]2CC[N@@H+](C/C(Cl)=C/Cl)C2)n1 ZINC000933122980 1128430600 /nfs/dbraw/zinc/43/06/00/1128430600.db2.gz JETDWNMSZYFGKN-KMFOOYFMSA-N 1 2 291.247 3.635 20 0 CHADLO Cc1cccc(C)c1C[N@@H+](C)[C@H](C)c1nc(C2CC2)no1 ZINC000933765981 1128442794 /nfs/dbraw/zinc/44/27/94/1128442794.db2.gz CLGMKVHJXFLOHH-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1cccc(C)c1C[N@H+](C)[C@H](C)c1nc(C2CC2)no1 ZINC000933765981 1128442796 /nfs/dbraw/zinc/44/27/96/1128442796.db2.gz CLGMKVHJXFLOHH-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc(C)ccc2C)cs1 ZINC000065301681 1128461933 /nfs/dbraw/zinc/46/19/33/1128461933.db2.gz ZWAUEOCIXOEUNF-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc(C)ccc2C)cs1 ZINC000065301681 1128461936 /nfs/dbraw/zinc/46/19/36/1128461936.db2.gz ZWAUEOCIXOEUNF-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO CCC[C@H](C)CC[C@H](C)[N@@H+]1C[C@H](CO)CC(F)(F)C1 ZINC001257475896 1128479475 /nfs/dbraw/zinc/47/94/75/1128479475.db2.gz IAGCRYSEQSXHJW-MELADBBJSA-N 1 2 277.399 3.541 20 0 CHADLO CCC[C@H](C)CC[C@H](C)[N@H+]1C[C@H](CO)CC(F)(F)C1 ZINC001257475896 1128479477 /nfs/dbraw/zinc/47/94/77/1128479477.db2.gz IAGCRYSEQSXHJW-MELADBBJSA-N 1 2 277.399 3.541 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cc2cc(C)ccc2o1 ZINC000563858991 1128482482 /nfs/dbraw/zinc/48/24/82/1128482482.db2.gz FDGCZZZBGWCWSL-CYBMUJFWSA-N 1 2 283.375 3.808 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1coc(-c2ccccc2)n1 ZINC000127982977 1128485080 /nfs/dbraw/zinc/48/50/80/1128485080.db2.gz CYLKXLYPIDHRLO-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1coc(-c2ccccc2)n1 ZINC000127982977 1128485084 /nfs/dbraw/zinc/48/50/84/1128485084.db2.gz CYLKXLYPIDHRLO-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO Cc1cc(C[NH2+]CC(C)(F)F)ccc1C(F)(F)F ZINC000564713633 1128514153 /nfs/dbraw/zinc/51/41/53/1128514153.db2.gz RTFIOFBSLOHURM-UHFFFAOYSA-N 1 2 267.241 3.759 20 0 CHADLO C[C@H](CC(=O)N(C)[C@H]1CCC[C@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000564803713 1128515258 /nfs/dbraw/zinc/51/52/58/1128515258.db2.gz SWPBLVRUWONBRZ-KFWWJZLASA-N 1 2 291.439 3.507 20 0 CHADLO C[C@H](CC(=O)N(C)[C@@H]1CCC[C@@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000564803716 1128515705 /nfs/dbraw/zinc/51/57/05/1128515705.db2.gz SWPBLVRUWONBRZ-QLFBSQMISA-N 1 2 291.439 3.507 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)N(C)CCCn1cc[nH+]c1 ZINC000565027386 1128518490 /nfs/dbraw/zinc/51/84/90/1128518490.db2.gz PRCRVWXYWCOIGY-INIZCTEOSA-N 1 2 291.439 3.504 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1cccc(C2CC2)c1 ZINC000565534836 1128528377 /nfs/dbraw/zinc/52/83/77/1128528377.db2.gz MXNNWJVHNRTWFK-SECBINFHSA-N 1 2 293.317 3.735 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2ncc(Cl)n2C)c(C)c1 ZINC000918024107 1128530809 /nfs/dbraw/zinc/53/08/09/1128530809.db2.gz TVSFNUDOMSOHPF-ZDUSSCGKSA-N 1 2 291.826 3.883 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2ncc(Cl)n2C)c(C)c1 ZINC000918024107 1128530813 /nfs/dbraw/zinc/53/08/13/1128530813.db2.gz TVSFNUDOMSOHPF-ZDUSSCGKSA-N 1 2 291.826 3.883 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(Cl)c2F)[C@@H]1[C@@H]1CCCO1 ZINC000565709359 1128531239 /nfs/dbraw/zinc/53/12/39/1128531239.db2.gz SVIFWEGFVGIUFI-DZGCQCFKSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(Cl)c2F)[C@@H]1[C@@H]1CCCO1 ZINC000565709359 1128531242 /nfs/dbraw/zinc/53/12/42/1128531242.db2.gz SVIFWEGFVGIUFI-DZGCQCFKSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(Cl)c2F)[C@H]1[C@H]1CCCO1 ZINC000565709365 1128531368 /nfs/dbraw/zinc/53/13/68/1128531368.db2.gz SVIFWEGFVGIUFI-HIFRSBDPSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(Cl)c2F)[C@H]1[C@H]1CCCO1 ZINC000565709365 1128531372 /nfs/dbraw/zinc/53/13/72/1128531372.db2.gz SVIFWEGFVGIUFI-HIFRSBDPSA-N 1 2 297.801 3.869 20 0 CHADLO CCCCCc1ccc(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)cc1 ZINC000566433539 1128544362 /nfs/dbraw/zinc/54/43/62/1128544362.db2.gz VJRMUFCGDVRTFY-CQSZACIVSA-N 1 2 299.418 3.960 20 0 CHADLO CCCCCc1ccc(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)cc1 ZINC000566433539 1128544364 /nfs/dbraw/zinc/54/43/64/1128544364.db2.gz VJRMUFCGDVRTFY-CQSZACIVSA-N 1 2 299.418 3.960 20 0 CHADLO CC[C@H](c1ccccc1)N(CC)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000566436458 1128544475 /nfs/dbraw/zinc/54/44/75/1128544475.db2.gz KMMDQAYCHNXDFY-WMLDXEAASA-N 1 2 299.418 3.588 20 0 CHADLO CC[C@H](c1ccccc1)N(CC)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000566436458 1128544477 /nfs/dbraw/zinc/54/44/77/1128544477.db2.gz KMMDQAYCHNXDFY-WMLDXEAASA-N 1 2 299.418 3.588 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1nsc2ccccc12 ZINC000660141446 1128553267 /nfs/dbraw/zinc/55/32/67/1128553267.db2.gz VENUOWOPEGXYFE-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1nsc2ccccc12 ZINC000660141446 1128553269 /nfs/dbraw/zinc/55/32/69/1128553269.db2.gz VENUOWOPEGXYFE-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1CCOc1ccc(Cl)cc1Cl ZINC000567295529 1128562189 /nfs/dbraw/zinc/56/21/89/1128562189.db2.gz GZHRLVCMCANUPU-NSHDSACASA-N 1 2 292.181 3.806 20 0 CHADLO FC[C@@H]1CCC[N@H+]1CCOc1ccc(Cl)cc1Cl ZINC000567295529 1128562191 /nfs/dbraw/zinc/56/21/91/1128562191.db2.gz GZHRLVCMCANUPU-NSHDSACASA-N 1 2 292.181 3.806 20 0 CHADLO CC(C)(C)[C@H]1CN(c2[nH+]ccc3cc(F)ccc32)CCO1 ZINC000567747284 1128571483 /nfs/dbraw/zinc/57/14/83/1128571483.db2.gz BUTBKEYBTLBLRQ-OAHLLOKOSA-N 1 2 288.366 3.625 20 0 CHADLO C[C@@H](c1cccc(F)c1)[NH+]1CCN(Cc2ccccc2)CC1 ZINC000556276454 1128573389 /nfs/dbraw/zinc/57/33/89/1128573389.db2.gz KURPARBKOHNNNI-INIZCTEOSA-N 1 2 298.405 3.705 20 0 CHADLO CCc1nocc1COc1cc(C)[nH+]c2ccc(OC)cc21 ZINC000568240589 1128581411 /nfs/dbraw/zinc/58/14/11/1128581411.db2.gz SPVWWSZGDGEEPQ-UHFFFAOYSA-N 1 2 298.342 3.681 20 0 CHADLO CC(C)[C@@H]1CN(C(=O)C[C@@H](C)n2cc[nH+]c2)c2ccccc21 ZINC000568396509 1128584388 /nfs/dbraw/zinc/58/43/88/1128584388.db2.gz KUBPAIPNCAXQSJ-ZBFHGGJFSA-N 1 2 297.402 3.621 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+]Cc1ncc(CC)s1 ZINC000130623492 1128589746 /nfs/dbraw/zinc/58/97/46/1128589746.db2.gz OUOUCTZPJPIHGG-LBPRGKRZSA-N 1 2 290.432 3.955 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cc3cccc(F)c3o2)[nH]c1C ZINC000569141083 1128599431 /nfs/dbraw/zinc/59/94/31/1128599431.db2.gz SHDZCNIZZLIMBG-LLVKDONJSA-N 1 2 287.338 3.763 20 0 CHADLO Cc1cc(NC(=O)Cc2ccc(C(F)(F)F)cc2)cc[nH+]1 ZINC000073069543 1128639240 /nfs/dbraw/zinc/63/92/40/1128639240.db2.gz BAENHKCFUFMMFB-UHFFFAOYSA-N 1 2 294.276 3.590 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3sccc3C2)cc1F ZINC000073368823 1128642523 /nfs/dbraw/zinc/64/25/23/1128642523.db2.gz LVDGHNQTDXEKBN-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3sccc3C2)cc1F ZINC000073368823 1128642525 /nfs/dbraw/zinc/64/25/25/1128642525.db2.gz LVDGHNQTDXEKBN-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2ccc(Cl)cc2C)n1 ZINC000572175544 1128642951 /nfs/dbraw/zinc/64/29/51/1128642951.db2.gz NFDNSTPBZJZKRM-JTQLQIEISA-N 1 2 279.771 3.533 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2ccc(Cl)cc2C)n1 ZINC000572175544 1128642955 /nfs/dbraw/zinc/64/29/55/1128642955.db2.gz NFDNSTPBZJZKRM-JTQLQIEISA-N 1 2 279.771 3.533 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)s1)Cc1snnc1C ZINC000572344541 1128644841 /nfs/dbraw/zinc/64/48/41/1128644841.db2.gz WTFBZXARRSECSU-UHFFFAOYSA-N 1 2 287.841 3.584 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)s1)Cc1snnc1C ZINC000572344541 1128644843 /nfs/dbraw/zinc/64/48/43/1128644843.db2.gz WTFBZXARRSECSU-UHFFFAOYSA-N 1 2 287.841 3.584 20 0 CHADLO Cc1ccccc1C[N@@H+](C)[C@H](C)c1nc(C(C)(C)C)no1 ZINC000073858377 1128649042 /nfs/dbraw/zinc/64/90/42/1128649042.db2.gz GJMDKAUZWKPBFN-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccccc1C[N@H+](C)[C@H](C)c1nc(C(C)(C)C)no1 ZINC000073858377 1128649043 /nfs/dbraw/zinc/64/90/43/1128649043.db2.gz GJMDKAUZWKPBFN-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)cc1Cl ZINC000556967007 1128653352 /nfs/dbraw/zinc/65/33/52/1128653352.db2.gz BTSLEEXQJRZITB-IAGOWNOFSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)cc1Cl ZINC000556967007 1128653355 /nfs/dbraw/zinc/65/33/55/1128653355.db2.gz BTSLEEXQJRZITB-IAGOWNOFSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1ccc(Cl)c(NC(=O)C[C@@H](C)n2cc[nH+]c2)c1C ZINC000574162939 1128662150 /nfs/dbraw/zinc/66/21/50/1128662150.db2.gz TZNHNBCAOJAEQG-LLVKDONJSA-N 1 2 291.782 3.743 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cc3cnc(Cl)cn3)CC2)c1 ZINC000799151223 1128674397 /nfs/dbraw/zinc/67/43/97/1128674397.db2.gz VSZSKOCAEZOWMX-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cc3cnc(Cl)cn3)CC2)c1 ZINC000799151223 1128674399 /nfs/dbraw/zinc/67/43/99/1128674399.db2.gz VSZSKOCAEZOWMX-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Clc1cnn(C[N@H+](Cc2ccc(Cl)cc2)C2CC2)c1 ZINC000921186412 1128702622 /nfs/dbraw/zinc/70/26/22/1128702622.db2.gz FRPFDZLGQDGUFT-UHFFFAOYSA-N 1 2 296.201 3.812 20 0 CHADLO Clc1cnn(C[N@@H+](Cc2ccc(Cl)cc2)C2CC2)c1 ZINC000921186412 1128702625 /nfs/dbraw/zinc/70/26/25/1128702625.db2.gz FRPFDZLGQDGUFT-UHFFFAOYSA-N 1 2 296.201 3.812 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+](C)Cc2ccc(C)s2)n1 ZINC000076342863 1128703231 /nfs/dbraw/zinc/70/32/31/1128703231.db2.gz CECFZHXPSFGYMV-LBPRGKRZSA-N 1 2 293.436 3.975 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+](C)Cc2ccc(C)s2)n1 ZINC000076342863 1128703235 /nfs/dbraw/zinc/70/32/35/1128703235.db2.gz CECFZHXPSFGYMV-LBPRGKRZSA-N 1 2 293.436 3.975 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(C)c3ccccc3n2)s1 ZINC000076342249 1128703338 /nfs/dbraw/zinc/70/33/38/1128703338.db2.gz HYGBOTXXIMEHKX-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(C)c3ccccc3n2)s1 ZINC000076342249 1128703342 /nfs/dbraw/zinc/70/33/42/1128703342.db2.gz HYGBOTXXIMEHKX-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO CCn1c(=S)n(C[N@H+](C)CCC(C)(C)C)nc1C1CC1 ZINC000842630370 1128710289 /nfs/dbraw/zinc/71/02/89/1128710289.db2.gz CJJDZJROVOKJJY-UHFFFAOYSA-N 1 2 296.484 3.637 20 0 CHADLO CCn1c(=S)n(C[N@@H+](C)CCC(C)(C)C)nc1C1CC1 ZINC000842630370 1128710291 /nfs/dbraw/zinc/71/02/91/1128710291.db2.gz CJJDZJROVOKJJY-UHFFFAOYSA-N 1 2 296.484 3.637 20 0 CHADLO Nc1ccc(-c2cc3cc[nH]c3c(C(F)(F)F)c2)c[nH+]1 ZINC001236314552 1128713862 /nfs/dbraw/zinc/71/38/62/1128713862.db2.gz BDUYEBWMUDSKLF-UHFFFAOYSA-N 1 2 277.249 3.831 20 0 CHADLO Cc1nocc1C[NH2+][C@@H](c1ccc(C)cc1)c1ccccn1 ZINC000339377645 1117766232 /nfs/dbraw/zinc/76/62/32/1117766232.db2.gz NELWMUOEMPJYJA-SFHVURJKSA-N 1 2 293.370 3.566 20 0 CHADLO CN(C[C@@H]1CCCO[C@@H]1c1ccccc1)c1cccc[nH+]1 ZINC000622815304 1117773095 /nfs/dbraw/zinc/77/30/95/1117773095.db2.gz FWXNIIFUHVJLMC-FUHWJXTLSA-N 1 2 282.387 3.686 20 0 CHADLO Cc1c[nH+]c(CCSCc2ccc(CO)cc2)c(C)c1 ZINC000578987844 1128735013 /nfs/dbraw/zinc/73/50/13/1128735013.db2.gz FHOGPFVLUKUNGJ-UHFFFAOYSA-N 1 2 287.428 3.667 20 0 CHADLO COc1ccc([C@H]2C[C@@H]2[C@@H](C)Nc2[nH+]cccc2OC)cc1 ZINC000671299563 1117816291 /nfs/dbraw/zinc/81/62/91/1117816291.db2.gz FLTFVJCLIUAZEA-DAXOMENPSA-N 1 2 298.386 3.703 20 0 CHADLO Cc1ccc(CNc2cccc[nH+]2)cc1Br ZINC000118947141 1117819423 /nfs/dbraw/zinc/81/94/23/1117819423.db2.gz DSZOBNTWCHQWIC-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO c1cn(-c2cccc(NCC3Cc4ccccc4C3)c2)c[nH+]1 ZINC000579048384 1128736759 /nfs/dbraw/zinc/73/67/59/1128736759.db2.gz YDSQGHGBRWACIM-UHFFFAOYSA-N 1 2 289.382 3.699 20 0 CHADLO Fc1cc(F)cc(C[NH2+]Cc2c(F)cc(F)cc2F)c1 ZINC000339523031 1117824549 /nfs/dbraw/zinc/82/45/49/1117824549.db2.gz PNNQPODRZGDUFT-UHFFFAOYSA-N 1 2 287.231 3.672 20 0 CHADLO CS[C@@H](C)CC[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001208147435 1117825645 /nfs/dbraw/zinc/82/56/45/1117825645.db2.gz HWXVXVZQGHIUTO-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CS[C@@H](C)CC[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001208147435 1117825651 /nfs/dbraw/zinc/82/56/51/1117825651.db2.gz HWXVXVZQGHIUTO-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO Cc1cc(C)c(Nc2cc(Cl)nnc2Cl)c[nH+]1 ZINC001213505802 1117831220 /nfs/dbraw/zinc/83/12/20/1117831220.db2.gz QDVAXQUIAWIOSM-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)CCCn1cc[nH+]c1 ZINC000623293148 1117831444 /nfs/dbraw/zinc/83/14/44/1117831444.db2.gz MYEVRWYYCNNGSB-UHFFFAOYSA-N 1 2 299.418 3.891 20 0 CHADLO COc1cc(C)ccc1C[N@@H+]1CCC[C@H]1c1nccs1 ZINC001206769952 1117853370 /nfs/dbraw/zinc/85/33/70/1117853370.db2.gz OQKPDRDCXAUHOQ-AWEZNQCLSA-N 1 2 288.416 3.797 20 0 CHADLO COc1cc(C)ccc1C[N@H+]1CCC[C@H]1c1nccs1 ZINC001206769952 1117853375 /nfs/dbraw/zinc/85/33/75/1117853375.db2.gz OQKPDRDCXAUHOQ-AWEZNQCLSA-N 1 2 288.416 3.797 20 0 CHADLO Cc1cnc(F)c(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001211496341 1117856646 /nfs/dbraw/zinc/85/66/46/1117856646.db2.gz YURYRKDLMGJVLD-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2n[nH]c3ccccc32)C1 ZINC000670272146 1117870422 /nfs/dbraw/zinc/87/04/22/1117870422.db2.gz NGTKKIPVHWYERS-SFHVURJKSA-N 1 2 295.361 3.634 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2n[nH]c3ccccc32)C1 ZINC000670272146 1117870431 /nfs/dbraw/zinc/87/04/31/1117870431.db2.gz NGTKKIPVHWYERS-SFHVURJKSA-N 1 2 295.361 3.634 20 0 CHADLO CC[C@@H](CSc1ccc(Cl)cc1)[NH2+]Cc1ccn[nH]1 ZINC000177958423 1117882525 /nfs/dbraw/zinc/88/25/25/1117882525.db2.gz HCVUWYPWSWQUFL-LBPRGKRZSA-N 1 2 295.839 3.724 20 0 CHADLO Fc1cccc(C[NH2+]C2(C(F)F)CCCCC2)c1 ZINC000510094360 1117884086 /nfs/dbraw/zinc/88/40/86/1117884086.db2.gz URAWHBHYHYJRJL-UHFFFAOYSA-N 1 2 257.299 3.883 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cccc(-c2cccnc2)c1 ZINC000623679772 1117889120 /nfs/dbraw/zinc/88/91/20/1117889120.db2.gz JEIZFGUTKYJVEG-UHFFFAOYSA-N 1 2 276.330 3.884 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(C3CC3)cc2)o1 ZINC000579213043 1128742045 /nfs/dbraw/zinc/74/20/45/1128742045.db2.gz QWIFBPLMIFZJDF-QWRGUYRKSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2cccnc2Cl)n1 ZINC000160248211 1117894646 /nfs/dbraw/zinc/89/46/46/1117894646.db2.gz PSQPYBFIOKIQOX-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2cccnc2Cl)n1 ZINC000160248211 1117894652 /nfs/dbraw/zinc/89/46/52/1117894652.db2.gz PSQPYBFIOKIQOX-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO COc1ccc[nH+]c1NCC1(C(F)(F)F)CCCC1 ZINC000624706927 1117911671 /nfs/dbraw/zinc/91/16/71/1117911671.db2.gz JJJPSJDSMIUVJO-UHFFFAOYSA-N 1 2 274.286 3.625 20 0 CHADLO C[NH+](C)[C@@H](c1nc(C2(C)CCCC2)no1)c1ccccc1 ZINC000624757960 1117915653 /nfs/dbraw/zinc/91/56/53/1117915653.db2.gz JWIGBWQPEINTNA-CQSZACIVSA-N 1 2 285.391 3.552 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCc3ccccc32)cc1 ZINC000036335427 1117916366 /nfs/dbraw/zinc/91/63/66/1117916366.db2.gz CBNRMCCYTNYSBO-KRWDZBQOSA-N 1 2 252.361 3.852 20 0 CHADLO C[C@H]([NH2+]Cc1ncccn1)c1ccc(OC(C)(C)C)cc1 ZINC000579860954 1117937657 /nfs/dbraw/zinc/93/76/57/1117937657.db2.gz YLLMMDWJGVLKEF-ZDUSSCGKSA-N 1 2 285.391 3.505 20 0 CHADLO Clc1ccccc1C[NH2+][C@H]1CCCc2scnc21 ZINC000623848880 1117943037 /nfs/dbraw/zinc/94/30/37/1117943037.db2.gz NTMGPORXRDOHDM-LBPRGKRZSA-N 1 2 278.808 3.964 20 0 CHADLO Cl/C=C(\Cl)C[NH2+][C@@H](c1ccccn1)C1CCC1 ZINC000859564826 1117944575 /nfs/dbraw/zinc/94/45/75/1117944575.db2.gz PKALPRXGLJSMTH-BIRHUZIMSA-N 1 2 271.191 3.831 20 0 CHADLO Cl/C=C(/Cl)C[NH2+][C@@H](c1ccccn1)C1CCC1 ZINC000859564827 1117945455 /nfs/dbraw/zinc/94/54/55/1117945455.db2.gz PKALPRXGLJSMTH-RUNBWSAHSA-N 1 2 271.191 3.831 20 0 CHADLO CCc1ccc(C[N@H+](Cc2nccc(C)n2)C2CC2)cc1 ZINC000339650710 1117947657 /nfs/dbraw/zinc/94/76/57/1117947657.db2.gz NTMMDZHKBHIUEX-UHFFFAOYSA-N 1 2 281.403 3.512 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2nccc(C)n2)C2CC2)cc1 ZINC000339650710 1117947659 /nfs/dbraw/zinc/94/76/59/1117947659.db2.gz NTMMDZHKBHIUEX-UHFFFAOYSA-N 1 2 281.403 3.512 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2nccc(C)n2)o1 ZINC000339662488 1117949252 /nfs/dbraw/zinc/94/92/52/1117949252.db2.gz JTHNFCWVCVIESB-CJNGLKHVSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2nccc(C)n2)o1 ZINC000339662488 1117949254 /nfs/dbraw/zinc/94/92/54/1117949254.db2.gz JTHNFCWVCVIESB-CJNGLKHVSA-N 1 2 299.418 3.914 20 0 CHADLO CC(C)c1cccc(N(C)C(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000579360566 1128746097 /nfs/dbraw/zinc/74/60/97/1128746097.db2.gz FOKPBJSQVDJGSY-AWEZNQCLSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H]2COc3c2ccc(C)c3C)n1 ZINC000623911773 1117953654 /nfs/dbraw/zinc/95/36/54/1117953654.db2.gz NBRMSPMHYIWPHN-TZMCWYRMSA-N 1 2 288.416 3.853 20 0 CHADLO C(C1CC1)[C@@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000339686488 1117954312 /nfs/dbraw/zinc/95/43/12/1117954312.db2.gz NKOUKFLAUFKELA-AWEZNQCLSA-N 1 2 289.423 3.739 20 0 CHADLO C(C1CC1)[C@@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000339686488 1117954314 /nfs/dbraw/zinc/95/43/14/1117954314.db2.gz NKOUKFLAUFKELA-AWEZNQCLSA-N 1 2 289.423 3.739 20 0 CHADLO c1cnn(-c2ccc([NH2+]C[C@@H]3CC=CCC3)cc2)c1 ZINC000036977635 1117954432 /nfs/dbraw/zinc/95/44/32/1117954432.db2.gz MMCGHKIJKHETHB-CQSZACIVSA-N 1 2 253.349 3.641 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCc2scnc21)c1c(C)noc1C ZINC000623925626 1117957334 /nfs/dbraw/zinc/95/73/34/1117957334.db2.gz KRXGHEOMHNAMRI-NWDGAFQWSA-N 1 2 291.420 3.866 20 0 CHADLO C[C@@H](C[N@@H+]1CCc2cc(F)c(F)cc2C1)C(F)(F)F ZINC001208897734 1117958592 /nfs/dbraw/zinc/95/85/92/1117958592.db2.gz HKDXAFHXNYEJRH-QMMMGPOBSA-N 1 2 279.252 3.521 20 0 CHADLO C[C@@H](C[N@H+]1CCc2cc(F)c(F)cc2C1)C(F)(F)F ZINC001208897734 1117958594 /nfs/dbraw/zinc/95/85/94/1117958594.db2.gz HKDXAFHXNYEJRH-QMMMGPOBSA-N 1 2 279.252 3.521 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2CCC[C@H](F)C2)ccc1F ZINC001238461405 1117960749 /nfs/dbraw/zinc/96/07/49/1117960749.db2.gz VPYUPRAMZLOXQE-ZDUSSCGKSA-N 1 2 269.335 3.547 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2CCC[C@H](F)C2)ccc1F ZINC001238461405 1117960752 /nfs/dbraw/zinc/96/07/52/1117960752.db2.gz VPYUPRAMZLOXQE-ZDUSSCGKSA-N 1 2 269.335 3.547 20 0 CHADLO COc1ccc[nH+]c1NC[C@H](OC)c1ccccc1Cl ZINC000624039536 1117973671 /nfs/dbraw/zinc/97/36/71/1117973671.db2.gz NREKCVGVUZKUHI-AWEZNQCLSA-N 1 2 292.766 3.543 20 0 CHADLO COc1cc(C)nc(C[N@@H+]2CCc3sccc3[C@H]2C)c1 ZINC000339832417 1117982269 /nfs/dbraw/zinc/98/22/69/1117982269.db2.gz ADGMCEMOWCDLQG-GFCCVEGCSA-N 1 2 288.416 3.579 20 0 CHADLO COc1cc(C)nc(C[N@H+]2CCc3sccc3[C@H]2C)c1 ZINC000339832417 1117982274 /nfs/dbraw/zinc/98/22/74/1117982274.db2.gz ADGMCEMOWCDLQG-GFCCVEGCSA-N 1 2 288.416 3.579 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc2c(c1)OCCCO2 ZINC000624147838 1117989252 /nfs/dbraw/zinc/98/92/52/1117989252.db2.gz MCRKKUHRJSULFJ-LLVKDONJSA-N 1 2 285.334 3.544 20 0 CHADLO CCOCC[N@H+](C)Cc1csc(-c2ccc(C)cc2)n1 ZINC000181036252 1117992964 /nfs/dbraw/zinc/99/29/64/1117992964.db2.gz RYCIYZDJGVNIQY-UHFFFAOYSA-N 1 2 290.432 3.587 20 0 CHADLO CCOCC[N@@H+](C)Cc1csc(-c2ccc(C)cc2)n1 ZINC000181036252 1117992966 /nfs/dbraw/zinc/99/29/66/1117992966.db2.gz RYCIYZDJGVNIQY-UHFFFAOYSA-N 1 2 290.432 3.587 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000181163325 1117994993 /nfs/dbraw/zinc/99/49/93/1117994993.db2.gz YDVGQHXLERMFCY-RISCZKNCSA-N 1 2 287.325 3.877 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000181163325 1117994996 /nfs/dbraw/zinc/99/49/96/1117994996.db2.gz YDVGQHXLERMFCY-RISCZKNCSA-N 1 2 287.325 3.877 20 0 CHADLO CCc1nnc(C[N@@H+](CC)[C@H](C)c2cc3ccccc3o2)[nH]1 ZINC000181656165 1118003113 /nfs/dbraw/zinc/00/31/13/1118003113.db2.gz BBZGDJOKBSQABL-GFCCVEGCSA-N 1 2 298.390 3.696 20 0 CHADLO CCc1nnc(C[N@H+](CC)[C@H](C)c2cc3ccccc3o2)[nH]1 ZINC000181656165 1118003118 /nfs/dbraw/zinc/00/31/18/1118003118.db2.gz BBZGDJOKBSQABL-GFCCVEGCSA-N 1 2 298.390 3.696 20 0 CHADLO CC(C)[C@H]1CCC[N@H+](Cc2noc(C3CCC3)n2)CC1 ZINC000625171570 1118005230 /nfs/dbraw/zinc/00/52/30/1118005230.db2.gz YEBDWYZCQBRAKN-ZDUSSCGKSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+](Cc2noc(C3CCC3)n2)CC1 ZINC000625171570 1118005234 /nfs/dbraw/zinc/00/52/34/1118005234.db2.gz YEBDWYZCQBRAKN-ZDUSSCGKSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)(C)c1cc(C[NH+]2CCC3(CC3(F)F)CC2)no1 ZINC000649773718 1118006591 /nfs/dbraw/zinc/00/65/91/1118006591.db2.gz CSFRJDNEIQJRCI-UHFFFAOYSA-N 1 2 284.350 3.593 20 0 CHADLO CCOc1cccc2cc([C@@H](C)[NH2+]Cc3ccon3)oc21 ZINC000181853095 1118008234 /nfs/dbraw/zinc/00/82/34/1118008234.db2.gz MNVWWVYWYRXJBV-LLVKDONJSA-N 1 2 286.331 3.670 20 0 CHADLO C[C@H]([NH2+]Cc1cn2ccsc2n1)c1cccc(F)c1F ZINC000181999407 1118011275 /nfs/dbraw/zinc/01/12/75/1118011275.db2.gz QTELFAFJQOCADT-VIFPVBQESA-N 1 2 293.342 3.525 20 0 CHADLO C[C@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1cccnc1 ZINC000182912104 1118031886 /nfs/dbraw/zinc/03/18/86/1118031886.db2.gz DZOXEEUPMGKPML-LBPRGKRZSA-N 1 2 282.322 3.580 20 0 CHADLO CC[C@@H](C)[C@H](C)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000182940678 1118033248 /nfs/dbraw/zinc/03/32/48/1118033248.db2.gz NNJAJWKJESMSGA-CABCVRRESA-N 1 2 287.407 3.809 20 0 CHADLO Cc1cccc(-c2noc([C@@H](CC(C)C)n3cc[nH+]c3)n2)c1 ZINC000923771986 1118039923 /nfs/dbraw/zinc/03/99/23/1118039923.db2.gz KBUWBSXBDOMFCE-OAHLLOKOSA-N 1 2 296.374 3.877 20 0 CHADLO Cc1cc([C@H](Nc2cc[nH+]c(C)n2)C2CC2)ccc1F ZINC000340083950 1118044049 /nfs/dbraw/zinc/04/40/49/1118044049.db2.gz XFFOWAYDEKZUDM-MRXNPFEDSA-N 1 2 271.339 3.796 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncn(-c2ccccc2)n1)c1cccs1 ZINC000184070355 1118051120 /nfs/dbraw/zinc/05/11/20/1118051120.db2.gz TWSKXSHFXSDOKA-CQSZACIVSA-N 1 2 298.415 3.570 20 0 CHADLO Cc1cccc2nc(C[NH2+]C3(c4ccccc4F)CC3)cn21 ZINC000179652933 1128752877 /nfs/dbraw/zinc/75/28/77/1128752877.db2.gz JZWBSWQUKCZNTK-UHFFFAOYSA-N 1 2 295.361 3.561 20 0 CHADLO CCC[C@H]([NH2+][C@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000368607179 1118058523 /nfs/dbraw/zinc/05/85/23/1118058523.db2.gz GXBIBWSCXVKJRM-HOTGVXAUSA-N 1 2 269.392 3.918 20 0 CHADLO CCCC[N@H+](Cc1cocn1)[C@H](C)c1ccc(C)o1 ZINC000683350474 1118063905 /nfs/dbraw/zinc/06/39/05/1118063905.db2.gz JTWNJVUDXVMSAG-CYBMUJFWSA-N 1 2 262.353 3.939 20 0 CHADLO CCCC[N@@H+](Cc1cocn1)[C@H](C)c1ccc(C)o1 ZINC000683350474 1118063910 /nfs/dbraw/zinc/06/39/10/1118063910.db2.gz JTWNJVUDXVMSAG-CYBMUJFWSA-N 1 2 262.353 3.939 20 0 CHADLO Cc1noc(C[NH2+][C@@H](c2ccc(C(C)C)cc2)C(C)C)n1 ZINC000127042031 1118065520 /nfs/dbraw/zinc/06/55/20/1118065520.db2.gz FZSKLWNPRSAGKN-QGZVFWFLSA-N 1 2 287.407 3.988 20 0 CHADLO CCCCC[C@H](C(=O)Nc1cc[nH+]c(C)c1)C(C)C ZINC001127730083 1118070063 /nfs/dbraw/zinc/07/00/63/1118070063.db2.gz JZFXUFKOHDJCMD-HNNXBMFYSA-N 1 2 262.397 3.603 20 0 CHADLO CCC[N@H+](CC(=O)OCC)Cc1ccccc1SCC ZINC001167191922 1118091754 /nfs/dbraw/zinc/09/17/54/1118091754.db2.gz BPRXMOPDBDWAED-UHFFFAOYSA-N 1 2 295.448 3.574 20 0 CHADLO CCC[N@@H+](CC(=O)OCC)Cc1ccccc1SCC ZINC001167191922 1118091758 /nfs/dbraw/zinc/09/17/58/1118091758.db2.gz BPRXMOPDBDWAED-UHFFFAOYSA-N 1 2 295.448 3.574 20 0 CHADLO C[C@H]([NH2+]Cc1ncoc1-c1ccccc1)c1ccncc1F ZINC000340265336 1118127655 /nfs/dbraw/zinc/12/76/55/1118127655.db2.gz PUUXCYZGEJBGTH-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO Clc1ccc(C[NH+]2CC(c3cccnc3)C2)c(Cl)c1 ZINC001203393287 1118140630 /nfs/dbraw/zinc/14/06/30/1118140630.db2.gz ZHMJFKDGNOKYBJ-UHFFFAOYSA-N 1 2 293.197 3.988 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(C)c2F)c[nH+]1 ZINC001210037870 1118140872 /nfs/dbraw/zinc/14/08/72/1118140872.db2.gz WLRHEEGXLKCPHY-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CC(=O)Nc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1 ZINC001210042138 1118141646 /nfs/dbraw/zinc/14/16/46/1118141646.db2.gz WITLMWUZYKDKKZ-UHFFFAOYSA-N 1 2 283.375 3.763 20 0 CHADLO CNc1ccc(Nc2ccc(C(=O)C(F)(F)F)cc2)c[nH+]1 ZINC001203456624 1118142400 /nfs/dbraw/zinc/14/24/00/1118142400.db2.gz NMGFXMPRMNLTQA-UHFFFAOYSA-N 1 2 295.264 3.612 20 0 CHADLO COc1ccc[nH+]c1NCC[C@H](C)c1ccccc1 ZINC000128030657 1118156339 /nfs/dbraw/zinc/15/63/39/1118156339.db2.gz WRUCGSWEMZFJSZ-ZDUSSCGKSA-N 1 2 256.349 3.696 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(OC(C)C)cc2)o1 ZINC000171977949 1118163058 /nfs/dbraw/zinc/16/30/58/1118163058.db2.gz ALLGEVLTLVRITA-VXGBXAGGSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(-c3cccs3)cc2)n1 ZINC000683521975 1118168896 /nfs/dbraw/zinc/16/88/96/1118168896.db2.gz OLLUGLKZHLEYHO-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(-c3ccsc3)cc2)n1 ZINC000683521643 1118168924 /nfs/dbraw/zinc/16/89/24/1118168924.db2.gz KBMGEWPVTHNMFY-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2cc(C)ccc2F)nc1 ZINC000683526725 1118169918 /nfs/dbraw/zinc/16/99/18/1118169918.db2.gz IKSCYIGSTQXTDV-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2cc(C)ccc2F)nc1 ZINC000683526725 1118169920 /nfs/dbraw/zinc/16/99/20/1118169920.db2.gz IKSCYIGSTQXTDV-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO Cc1cc(C)cc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000024050157 1118181573 /nfs/dbraw/zinc/18/15/73/1118181573.db2.gz IEWVMEXZMMESQZ-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2csc(C3CC3)n2)c1 ZINC000650242749 1118189280 /nfs/dbraw/zinc/18/92/80/1118189280.db2.gz XOCYFFSMLUQCEE-UHFFFAOYSA-N 1 2 259.378 3.644 20 0 CHADLO CNc1cc(-c2cccc(C(=O)C(F)(F)F)c2)cc[nH+]1 ZINC001239391349 1118191850 /nfs/dbraw/zinc/19/18/50/1118191850.db2.gz FGZQEKHAYPFYPJ-UHFFFAOYSA-N 1 2 280.249 3.535 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(OC)cc2Cl)c1 ZINC000650244524 1118192052 /nfs/dbraw/zinc/19/20/52/1118192052.db2.gz CIKXQJQNILGDLW-UHFFFAOYSA-N 1 2 292.766 3.502 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccnn1C1CCC1 ZINC000921563332 1118199263 /nfs/dbraw/zinc/19/92/63/1118199263.db2.gz ZRRVCSXFDOJLFP-UHFFFAOYSA-N 1 2 284.407 3.595 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@H]2CCc3cc(F)ccc32)n1 ZINC000921615295 1118206027 /nfs/dbraw/zinc/20/60/27/1118206027.db2.gz UENJPPCDVHDLST-AWEZNQCLSA-N 1 2 288.366 3.888 20 0 CHADLO COc1c(C)cccc1C[NH2+][C@@H](C)c1csc(C)n1 ZINC000655812254 1118219687 /nfs/dbraw/zinc/21/96/87/1118219687.db2.gz NVZFBPYBVNRQTM-NSHDSACASA-N 1 2 276.405 3.619 20 0 CHADLO COc1c(C)cccc1C[NH2+][C@@H](C)c1nc(C)sc1C ZINC000655810533 1118219735 /nfs/dbraw/zinc/21/97/35/1118219735.db2.gz FMOIKMZOYOBTCV-NSHDSACASA-N 1 2 290.432 3.928 20 0 CHADLO COc1c(C)cccc1C[NH2+][C@H](C)c1csc(C)n1 ZINC000655812253 1118220227 /nfs/dbraw/zinc/22/02/27/1118220227.db2.gz NVZFBPYBVNRQTM-LLVKDONJSA-N 1 2 276.405 3.619 20 0 CHADLO CNc1ccc(Nc2cc(Cl)c(F)cc2F)c[nH+]1 ZINC001203458293 1118221099 /nfs/dbraw/zinc/22/10/99/1118221099.db2.gz IYPVCPVZTJEEPS-UHFFFAOYSA-N 1 2 269.682 3.799 20 0 CHADLO CNc1ccc(Nc2cc(F)c(F)cc2Cl)c[nH+]1 ZINC001203458356 1118222071 /nfs/dbraw/zinc/22/20/71/1118222071.db2.gz LPEIAPDVPMCQDN-UHFFFAOYSA-N 1 2 269.682 3.799 20 0 CHADLO CC(C)c1ccc(C[N@H+](Cc2ccon2)C2CC2)cc1 ZINC000077247532 1118225004 /nfs/dbraw/zinc/22/50/04/1118225004.db2.gz ZHCRGELEOCUVPQ-UHFFFAOYSA-N 1 2 270.376 3.963 20 0 CHADLO CC(C)c1ccc(C[N@@H+](Cc2ccon2)C2CC2)cc1 ZINC000077247532 1118225007 /nfs/dbraw/zinc/22/50/07/1118225007.db2.gz ZHCRGELEOCUVPQ-UHFFFAOYSA-N 1 2 270.376 3.963 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2cc3ccccc3[nH]2)n1 ZINC000921622083 1118228942 /nfs/dbraw/zinc/22/89/42/1118228942.db2.gz CATNWDKCOVCJCF-UHFFFAOYSA-N 1 2 283.375 3.743 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Cl)cc2F)cc1N ZINC001210287766 1118234427 /nfs/dbraw/zinc/23/44/27/1118234427.db2.gz DMPZJYXXCQKFQZ-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO CNc1ccc(Nc2cccc(C(=O)OC(C)(C)C)c2)c[nH+]1 ZINC001203459156 1118241940 /nfs/dbraw/zinc/24/19/40/1118241940.db2.gz XTEGCJZMKRGVEX-UHFFFAOYSA-N 1 2 299.374 3.822 20 0 CHADLO CC1(C)CC[C@@H]1c1nc(-c2ccc(-n3cc[nH+]c3)cc2)no1 ZINC001212351952 1118242010 /nfs/dbraw/zinc/24/20/10/1118242010.db2.gz ZXZBSIPCGMQZJL-CQSZACIVSA-N 1 2 294.358 3.826 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)c(C)c1 ZINC000045052914 1118252943 /nfs/dbraw/zinc/25/29/43/1118252943.db2.gz YTHCSFAELHKNSA-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1nn(-c2ccccc2Cl)cc1CNc1cccc[nH+]1 ZINC001648856151 1118260678 /nfs/dbraw/zinc/26/06/78/1118260678.db2.gz VEZPNFHPVBYKOO-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO CCc1ccc(C[N@H+](Cc2cscn2)C2CC2)cc1 ZINC000058518077 1118289473 /nfs/dbraw/zinc/28/94/73/1118289473.db2.gz GVFIMXNQEBBDGZ-UHFFFAOYSA-N 1 2 272.417 3.870 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2cscn2)C2CC2)cc1 ZINC000058518077 1118289477 /nfs/dbraw/zinc/28/94/77/1118289477.db2.gz GVFIMXNQEBBDGZ-UHFFFAOYSA-N 1 2 272.417 3.870 20 0 CHADLO CC(C)([NH2+]C/C=C\c1ccc(F)c(F)c1)C(F)F ZINC000671719320 1118297313 /nfs/dbraw/zinc/29/73/13/1118297313.db2.gz FJFQTRBINMEATP-ARJAWSKDSA-N 1 2 261.262 3.611 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OCOC)cc1C ZINC001210490282 1118297434 /nfs/dbraw/zinc/29/74/34/1118297434.db2.gz UMFGFNJNPODCTJ-UHFFFAOYSA-N 1 2 288.347 3.515 20 0 CHADLO C[C@@H](O)c1cccc(Nc2cccc(C3CC3)[nH+]2)c1 ZINC001210509544 1118304824 /nfs/dbraw/zinc/30/48/24/1118304824.db2.gz WOOCLOOFDCOFOP-LLVKDONJSA-N 1 2 254.333 3.756 20 0 CHADLO C[C@@H]1CCCC[C@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000022152804 1118304871 /nfs/dbraw/zinc/30/48/71/1118304871.db2.gz YDYCNKMVKHTIJO-RHSMWYFYSA-N 1 2 274.408 3.514 20 0 CHADLO CN1CCCc2cc([NH2+]C3C[C@H]4CC[C@@H](C3)S4)ccc21 ZINC000926065752 1118305109 /nfs/dbraw/zinc/30/51/09/1118305109.db2.gz FZIJACLRWSSPJP-MQVJKMGUSA-N 1 2 288.460 3.908 20 0 CHADLO C[N@@H+]1CCCc2cc(NC3C[C@H]4CC[C@@H](C3)S4)ccc21 ZINC000926065752 1118305116 /nfs/dbraw/zinc/30/51/16/1118305116.db2.gz FZIJACLRWSSPJP-MQVJKMGUSA-N 1 2 288.460 3.908 20 0 CHADLO C[N@H+]1CCCc2cc(NC3C[C@H]4CC[C@@H](C3)S4)ccc21 ZINC000926065752 1118305120 /nfs/dbraw/zinc/30/51/20/1118305120.db2.gz FZIJACLRWSSPJP-MQVJKMGUSA-N 1 2 288.460 3.908 20 0 CHADLO COc1ccc(CC[C@@H](C)Nc2cccc[nH+]2)cc1 ZINC000064114805 1118323058 /nfs/dbraw/zinc/32/30/58/1118323058.db2.gz PVTWSZKJYZBWMW-CYBMUJFWSA-N 1 2 256.349 3.523 20 0 CHADLO CC(C)CC(=O)Nc1cccc(CNc2cccc[nH+]2)c1 ZINC000064387645 1118325156 /nfs/dbraw/zinc/32/51/56/1118325156.db2.gz IFKFRKNGRYXNHE-UHFFFAOYSA-N 1 2 283.375 3.678 20 0 CHADLO CC(C)(C)OCC[N@@H+]1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000065951027 1118340444 /nfs/dbraw/zinc/34/04/44/1118340444.db2.gz MBEHOQWUCBLODE-OAHLLOKOSA-N 1 2 297.826 3.528 20 0 CHADLO CC(C)(C)OCC[N@H+]1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000065951027 1118340448 /nfs/dbraw/zinc/34/04/48/1118340448.db2.gz MBEHOQWUCBLODE-OAHLLOKOSA-N 1 2 297.826 3.528 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](Cc1ccccc1)C1CC1 ZINC000066157096 1118342810 /nfs/dbraw/zinc/34/28/10/1118342810.db2.gz ZDQSAUXUGLHTKE-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](Cc1ccccc1)C1CC1 ZINC000066157096 1118342813 /nfs/dbraw/zinc/34/28/13/1118342813.db2.gz ZDQSAUXUGLHTKE-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO COc1cccc2c(C[NH2+]CC(C)(F)F)cccc12 ZINC000580035965 1118344516 /nfs/dbraw/zinc/34/45/16/1118344516.db2.gz XIFAZLGTRHHQFV-UHFFFAOYSA-N 1 2 265.303 3.593 20 0 CHADLO Cc1cn2cccc(Nc3ccc(C(C)(C)O)cc3)c2[nH+]1 ZINC001210616215 1118349860 /nfs/dbraw/zinc/34/98/60/1118349860.db2.gz BMWDYKLHSMLQSX-UHFFFAOYSA-N 1 2 281.359 3.614 20 0 CHADLO COc1c(C)[nH+]c(C2CC2)nc1NCc1ccc(Cl)o1 ZINC000656105612 1118361916 /nfs/dbraw/zinc/36/19/16/1118361916.db2.gz KDAJZLXWKJSDKZ-UHFFFAOYSA-N 1 2 293.754 3.530 20 0 CHADLO CC[C@H]([NH2+]Cc1csc(C)n1)c1ccccc1F ZINC000163472107 1128772580 /nfs/dbraw/zinc/77/25/80/1128772580.db2.gz PCHBBJIGQIAEDN-AWEZNQCLSA-N 1 2 264.369 3.832 20 0 CHADLO CC(C)([NH2+]Cc1nnc(C2CC2)o1)c1ccc(Cl)cc1 ZINC000072603311 1118374719 /nfs/dbraw/zinc/37/47/19/1118374719.db2.gz SGVUMYVDULUMEE-UHFFFAOYSA-N 1 2 291.782 3.625 20 0 CHADLO CCn1cc2cc(Nc3cc(C)[nH+]c(OC)c3)ccc2n1 ZINC001210780967 1118385069 /nfs/dbraw/zinc/38/50/69/1118385069.db2.gz LHTFCKAROLQTOW-UHFFFAOYSA-N 1 2 282.347 3.512 20 0 CHADLO C/C=C/C[C@H]1CCCN(C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC000626548357 1128774644 /nfs/dbraw/zinc/77/46/44/1128774644.db2.gz DXDXSGKJHBOWAI-HSWBROFVSA-N 1 2 298.390 3.544 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)[C@H]1CCCC12CC2 ZINC000624448975 1118404439 /nfs/dbraw/zinc/40/44/39/1118404439.db2.gz VCNDDZZINBTMDF-CYBMUJFWSA-N 1 2 299.349 3.530 20 0 CHADLO Fc1ccccc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239555243 1118409734 /nfs/dbraw/zinc/40/97/34/1118409734.db2.gz XKCDZVFBYMTEKL-UHFFFAOYSA-N 1 2 252.292 3.738 20 0 CHADLO COc1c(F)cc(F)cc1Nc1[nH+]c2ccccc2n1C ZINC001210865789 1118412172 /nfs/dbraw/zinc/41/21/72/1118412172.db2.gz SXJJICXGSZIIDU-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2nccs2)oc1C ZINC001204532196 1118417271 /nfs/dbraw/zinc/41/72/71/1118417271.db2.gz AIHGHZCTHMHJFR-CYBMUJFWSA-N 1 2 262.378 3.690 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2nccs2)oc1C ZINC001204532196 1118417274 /nfs/dbraw/zinc/41/72/74/1118417274.db2.gz AIHGHZCTHMHJFR-CYBMUJFWSA-N 1 2 262.378 3.690 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2C(=O)OC(C)(C)C)oc1C ZINC001204534493 1118428281 /nfs/dbraw/zinc/42/82/81/1118428281.db2.gz IEFGTPLCNKTZLH-OAHLLOKOSA-N 1 2 293.407 3.593 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2C(=O)OC(C)(C)C)oc1C ZINC001204534493 1118428282 /nfs/dbraw/zinc/42/82/82/1118428282.db2.gz IEFGTPLCNKTZLH-OAHLLOKOSA-N 1 2 293.407 3.593 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1ccns1 ZINC000926100137 1118439411 /nfs/dbraw/zinc/43/94/11/1118439411.db2.gz RSJBXQFPTXMZJP-GFCCVEGCSA-N 1 2 288.420 3.702 20 0 CHADLO Cc1cc(C[N@H+](C)C/C=C\c2ccc(Cl)cc2)no1 ZINC000255495230 1118442004 /nfs/dbraw/zinc/44/20/04/1118442004.db2.gz BYKNOWYCSARIJD-ARJAWSKDSA-N 1 2 276.767 3.782 20 0 CHADLO Cc1cc(C[N@@H+](C)C/C=C\c2ccc(Cl)cc2)no1 ZINC000255495230 1118442009 /nfs/dbraw/zinc/44/20/09/1118442009.db2.gz BYKNOWYCSARIJD-ARJAWSKDSA-N 1 2 276.767 3.782 20 0 CHADLO Cc1ccc(NCc2cc3ccccc3[nH]2)c(C)[nH+]1 ZINC000683923656 1118443165 /nfs/dbraw/zinc/44/31/65/1118443165.db2.gz AJDPWFWLMFDFCW-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO C[N@H+](Cc1c(F)cccc1OC(F)F)C1CC(F)(F)C1 ZINC001207112047 1118474220 /nfs/dbraw/zinc/47/42/20/1118474220.db2.gz UMZAZJBTAAUEIE-UHFFFAOYSA-N 1 2 295.251 3.657 20 0 CHADLO C[N@@H+](Cc1c(F)cccc1OC(F)F)C1CC(F)(F)C1 ZINC001207112047 1118474222 /nfs/dbraw/zinc/47/42/22/1118474222.db2.gz UMZAZJBTAAUEIE-UHFFFAOYSA-N 1 2 295.251 3.657 20 0 CHADLO COC(=O)c1cc(C)cc(Nc2c[nH+]c(C)cc2C)c1 ZINC001211174867 1118475105 /nfs/dbraw/zinc/47/51/05/1118475105.db2.gz FJTZTTLUTUZGPG-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO C=CCCC[N@@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC000075023264 1118475315 /nfs/dbraw/zinc/47/53/15/1118475315.db2.gz UQGGOTOSMMDSBK-LLVKDONJSA-N 1 2 271.813 3.741 20 0 CHADLO C=CCCC[N@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC000075023264 1118475317 /nfs/dbraw/zinc/47/53/17/1118475317.db2.gz UQGGOTOSMMDSBK-LLVKDONJSA-N 1 2 271.813 3.741 20 0 CHADLO CC[N@H+](Cc1cc(C)on1)Cc1cccc(Cl)c1 ZINC000047958424 1118481438 /nfs/dbraw/zinc/48/14/38/1118481438.db2.gz YMQBMZFEBDHERE-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1cc(C)on1)Cc1cccc(Cl)c1 ZINC000047958424 1118481440 /nfs/dbraw/zinc/48/14/40/1118481440.db2.gz YMQBMZFEBDHERE-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO FC(F)(F)c1ccccc1C[NH+]1CC2(CC2(F)F)C1 ZINC000656267049 1118487352 /nfs/dbraw/zinc/48/73/52/1118487352.db2.gz PNOWFSKEBAQHPV-UHFFFAOYSA-N 1 2 277.236 3.546 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C)ccc1OC(C)C ZINC001211278319 1118497012 /nfs/dbraw/zinc/49/70/12/1118497012.db2.gz OBNSUNOFJZPGNU-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO COCC[N@H+](Cc1csc(C2CCCCC2)n1)C1CC1 ZINC000048022992 1118502944 /nfs/dbraw/zinc/50/29/44/1118502944.db2.gz KZBPBKBTUKQHNP-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO COCC[N@@H+](Cc1csc(C2CCCCC2)n1)C1CC1 ZINC000048022992 1118502947 /nfs/dbraw/zinc/50/29/47/1118502947.db2.gz KZBPBKBTUKQHNP-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO Fc1cc(Br)ccc1C[N@@H+]1CCCC12CC2 ZINC001204549601 1118525316 /nfs/dbraw/zinc/52/53/16/1118525316.db2.gz YATNSNBGUXZOSY-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Fc1cc(Br)ccc1C[N@H+]1CCCC12CC2 ZINC001204549601 1118525318 /nfs/dbraw/zinc/52/53/18/1118525318.db2.gz YATNSNBGUXZOSY-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc(C)c(F)c(C)c1)C2 ZINC001143385534 1118525430 /nfs/dbraw/zinc/52/54/30/1118525430.db2.gz VXJDYHKLESUYGF-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc(C)c(F)c(C)c1)C2 ZINC001143385534 1118525434 /nfs/dbraw/zinc/52/54/34/1118525434.db2.gz VXJDYHKLESUYGF-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO CCCC[N@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC000080657749 1118533439 /nfs/dbraw/zinc/53/34/39/1118533439.db2.gz CXUJMRFSICOTNH-JTQLQIEISA-N 1 2 259.802 3.575 20 0 CHADLO CCCC[N@@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC000080657749 1118533436 /nfs/dbraw/zinc/53/34/36/1118533436.db2.gz CXUJMRFSICOTNH-JTQLQIEISA-N 1 2 259.802 3.575 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C(F)(F)F)[C@@H](C)[C@@H](C)O1 ZINC000352412361 1118533551 /nfs/dbraw/zinc/53/35/51/1118533551.db2.gz FDCMYEJJLKZWFM-GRYCIOLGSA-N 1 2 287.325 3.703 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)[C@@H](C)[C@@H](C)O1 ZINC000352412361 1118533552 /nfs/dbraw/zinc/53/35/52/1118533552.db2.gz FDCMYEJJLKZWFM-GRYCIOLGSA-N 1 2 287.325 3.703 20 0 CHADLO CC(C)n1cncc1C[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000644901975 1118559780 /nfs/dbraw/zinc/55/97/80/1118559780.db2.gz RDOIJDDOGKZUEK-MRXNPFEDSA-N 1 2 273.355 3.550 20 0 CHADLO CC(C)n1cncc1C[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000644901975 1118559781 /nfs/dbraw/zinc/55/97/81/1118559781.db2.gz RDOIJDDOGKZUEK-MRXNPFEDSA-N 1 2 273.355 3.550 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3ccc(=O)[nH]c32)c1C ZINC001213537256 1118561672 /nfs/dbraw/zinc/56/16/72/1118561672.db2.gz YJBSIZYETFCKOT-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c[nH+]c(Nc2ccccc2C(F)(F)F)c(N)c1 ZINC000090676504 1118572550 /nfs/dbraw/zinc/57/25/50/1118572550.db2.gz SXHIXWIWNVHFBK-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1cc(CNC(=O)C2[C@@H]3CCCCCC[C@@H]23)cc(C)[nH+]1 ZINC000616363070 1128787231 /nfs/dbraw/zinc/78/72/31/1128787231.db2.gz FZWVQGPNPFRWGX-HZPDHXFCSA-N 1 2 286.419 3.531 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000341036718 1118592879 /nfs/dbraw/zinc/59/28/79/1118592879.db2.gz RBUXVDRMEFLWSY-OLZOCXBDSA-N 1 2 299.418 3.923 20 0 CHADLO COCc1nc(C[NH2+][C@@H](C)c2cccc(Cl)c2)cs1 ZINC000341072940 1118599836 /nfs/dbraw/zinc/59/98/36/1118599836.db2.gz NDMNSWFKMHEPLV-JTQLQIEISA-N 1 2 296.823 3.794 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCSc3ccc(F)cc32)co1 ZINC000834901664 1118619815 /nfs/dbraw/zinc/61/98/15/1118619815.db2.gz XOXVWSSEPSBBAX-ZDUSSCGKSA-N 1 2 292.379 3.703 20 0 CHADLO COc1ccc(Nc2[nH+]c(C)ccc2O)cc1SC ZINC001215931509 1118634231 /nfs/dbraw/zinc/63/42/31/1118634231.db2.gz DWJKYLKBLGFZKM-UHFFFAOYSA-N 1 2 276.361 3.570 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1csc(-c3ccccc3)n1)C2 ZINC000656694573 1118638083 /nfs/dbraw/zinc/63/80/83/1118638083.db2.gz WEBOBDZIRJKINE-UHFFFAOYSA-N 1 2 288.391 3.744 20 0 CHADLO Fc1cc(-c2ccncc2)ccc1C[NH+]1CC(C(F)F)C1 ZINC000656689861 1118639083 /nfs/dbraw/zinc/63/90/83/1118639083.db2.gz BTFJRXPNSMVDFP-UHFFFAOYSA-N 1 2 292.304 3.585 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1cscc1C(F)(F)F)C2 ZINC000656693629 1118639651 /nfs/dbraw/zinc/63/96/51/1118639651.db2.gz OFRPPWXWWPVAAH-UHFFFAOYSA-N 1 2 279.302 3.701 20 0 CHADLO CCOCCCNc1cc[nH+]c2c(Cl)cccc12 ZINC000341254103 1118642043 /nfs/dbraw/zinc/64/20/43/1118642043.db2.gz FHBCAIIAKRACPG-UHFFFAOYSA-N 1 2 264.756 3.727 20 0 CHADLO Clc1cccc2c(NCc3ccccn3)cc[nH+]c12 ZINC000341260804 1118644605 /nfs/dbraw/zinc/64/46/05/1118644605.db2.gz CJFXONPLYPVTDP-UHFFFAOYSA-N 1 2 269.735 3.895 20 0 CHADLO Cc1c[nH+]c(CNc2ccccc2CCC(F)(F)F)n1C ZINC000341281527 1118652009 /nfs/dbraw/zinc/65/20/09/1118652009.db2.gz LGHPMPAWHAEEIV-UHFFFAOYSA-N 1 2 297.324 3.836 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1cccs1 ZINC000157859059 1118654343 /nfs/dbraw/zinc/65/43/43/1118654343.db2.gz HNEKATSKAJKJIF-LLVKDONJSA-N 1 2 297.383 3.880 20 0 CHADLO CCCCOc1ccc(C[NH2+]C(C)(C)C(F)F)cc1 ZINC000684414312 1118687679 /nfs/dbraw/zinc/68/76/79/1118687679.db2.gz YLFDGRYKJJDDHK-UHFFFAOYSA-N 1 2 271.351 3.999 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+](C)Cc1ccns1 ZINC000639809386 1118687766 /nfs/dbraw/zinc/68/77/66/1118687766.db2.gz SNHOJJURMSMDKO-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+](C)Cc1ccns1 ZINC000639809386 1118687770 /nfs/dbraw/zinc/68/77/70/1118687770.db2.gz SNHOJJURMSMDKO-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO CC(C)Oc1ccc(C[NH2+]C(C)(C)C(F)F)cc1 ZINC000684414010 1118688504 /nfs/dbraw/zinc/68/85/04/1118688504.db2.gz CIPKFNNRGXXOPZ-UHFFFAOYSA-N 1 2 257.324 3.607 20 0 CHADLO Cn1ncc(C[N@H+](C)Cc2ccccc2Cl)c1C1CC1 ZINC000891477196 1118691413 /nfs/dbraw/zinc/69/14/13/1118691413.db2.gz COIQVHKPOZERCT-UHFFFAOYSA-N 1 2 289.810 3.583 20 0 CHADLO Cn1ncc(C[N@@H+](C)Cc2ccccc2Cl)c1C1CC1 ZINC000891477196 1118691414 /nfs/dbraw/zinc/69/14/14/1118691414.db2.gz COIQVHKPOZERCT-UHFFFAOYSA-N 1 2 289.810 3.583 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=Cc3ccsc3)cc2[nH+]1 ZINC000051224521 1118696248 /nfs/dbraw/zinc/69/62/48/1118696248.db2.gz OEHUSWWHWUGBMY-DJWKRKHSSA-N 1 2 283.356 3.585 20 0 CHADLO Cc1cc(N[C@@H](c2ccccc2)C2CC2)nc(C2CC2)[nH+]1 ZINC000341511368 1118717744 /nfs/dbraw/zinc/71/77/44/1118717744.db2.gz QSJZAERUMMETSZ-KRWDZBQOSA-N 1 2 279.387 3.648 20 0 CHADLO COc1cccc2c1CC[N@H+](Cc1cc(F)cc(F)c1)C2 ZINC000341521512 1118719023 /nfs/dbraw/zinc/71/90/23/1118719023.db2.gz CCOJANOCWLSDKG-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc2c1CC[N@@H+](Cc1cc(F)cc(F)c1)C2 ZINC000341521512 1118719024 /nfs/dbraw/zinc/71/90/24/1118719024.db2.gz CCOJANOCWLSDKG-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2csc(C(C)C)n2)s1 ZINC000090408644 1118725821 /nfs/dbraw/zinc/72/58/21/1118725821.db2.gz SPRDNZJLVAEYFY-SECBINFHSA-N 1 2 281.450 3.882 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1nccn1C1CC1 ZINC000891627230 1118726040 /nfs/dbraw/zinc/72/60/40/1118726040.db2.gz WRAUXTVUBXOCLI-UHFFFAOYSA-N 1 2 275.421 3.690 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1nccn1C1CC1 ZINC000891627230 1118726043 /nfs/dbraw/zinc/72/60/43/1118726043.db2.gz WRAUXTVUBXOCLI-UHFFFAOYSA-N 1 2 275.421 3.690 20 0 CHADLO Cc1sc(Cn2cc[nH+]c2)nc1C1(c2ccccc2)CC1 ZINC000663218632 1118754778 /nfs/dbraw/zinc/75/47/78/1118754778.db2.gz BJQDYQJKOHHQIF-UHFFFAOYSA-N 1 2 295.411 3.776 20 0 CHADLO CC(C)COC1CC[NH+](Cc2nc(C(C)(C)C)co2)CC1 ZINC000891756813 1118758147 /nfs/dbraw/zinc/75/81/47/1118758147.db2.gz YPLHYLOMXZXPJG-UHFFFAOYSA-N 1 2 294.439 3.609 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1C/C=C\c1ccncc1 ZINC000430867989 1118779505 /nfs/dbraw/zinc/77/95/05/1118779505.db2.gz MJAHFPCKDGOVCA-OSJSJHBBSA-N 1 2 296.418 3.538 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1C/C=C\c1ccncc1 ZINC000430867989 1118779508 /nfs/dbraw/zinc/77/95/08/1118779508.db2.gz MJAHFPCKDGOVCA-OSJSJHBBSA-N 1 2 296.418 3.538 20 0 CHADLO Cc1cc(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)c(C)[nH]1 ZINC000684519441 1118782955 /nfs/dbraw/zinc/78/29/55/1118782955.db2.gz WVBQQRDDBIOBNZ-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO Clc1oc2ccccc2c1C[N@@H+]1CCO[C@@H]2CCC[C@H]21 ZINC000510674547 1118785792 /nfs/dbraw/zinc/78/57/92/1118785792.db2.gz JXALBJKDQDNLDT-UKRRQHHQSA-N 1 2 291.778 3.840 20 0 CHADLO Clc1oc2ccccc2c1C[N@H+]1CCO[C@@H]2CCC[C@H]21 ZINC000510674547 1118785796 /nfs/dbraw/zinc/78/57/96/1118785796.db2.gz JXALBJKDQDNLDT-UKRRQHHQSA-N 1 2 291.778 3.840 20 0 CHADLO CSCc1ccc(C[N@H+](C)Cc2cscn2)cc1 ZINC000891813426 1118788160 /nfs/dbraw/zinc/78/81/60/1118788160.db2.gz AVVVFNYFKQSTSD-UHFFFAOYSA-N 1 2 278.446 3.638 20 0 CHADLO CSCc1ccc(C[N@@H+](C)Cc2cscn2)cc1 ZINC000891813426 1118788164 /nfs/dbraw/zinc/78/81/64/1118788164.db2.gz AVVVFNYFKQSTSD-UHFFFAOYSA-N 1 2 278.446 3.638 20 0 CHADLO COCc1nc(C[N@H+](C)Cc2c(C)cccc2C)cs1 ZINC000933767275 1118791718 /nfs/dbraw/zinc/79/17/18/1118791718.db2.gz KLECPZPEANHJSY-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO COCc1nc(C[N@@H+](C)Cc2c(C)cccc2C)cs1 ZINC000933767275 1118791719 /nfs/dbraw/zinc/79/17/19/1118791719.db2.gz KLECPZPEANHJSY-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2c(C)cccc2C)s1 ZINC000933764673 1118791789 /nfs/dbraw/zinc/79/17/89/1118791789.db2.gz WVBZYERQSDAROK-LBPRGKRZSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2c(C)cccc2C)s1 ZINC000933764673 1118791791 /nfs/dbraw/zinc/79/17/91/1118791791.db2.gz WVBZYERQSDAROK-LBPRGKRZSA-N 1 2 275.421 3.656 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC(C)(C)[C@H]2c2ccco2)o1 ZINC000639928200 1118812427 /nfs/dbraw/zinc/81/24/27/1118812427.db2.gz IMZWTFAHTLNBOU-OAHLLOKOSA-N 1 2 274.364 3.722 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC(C)(C)[C@H]2c2ccco2)o1 ZINC000639928200 1118812433 /nfs/dbraw/zinc/81/24/33/1118812433.db2.gz IMZWTFAHTLNBOU-OAHLLOKOSA-N 1 2 274.364 3.722 20 0 CHADLO Cc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2ccco2)nc1 ZINC000639929799 1118812578 /nfs/dbraw/zinc/81/25/78/1118812578.db2.gz PXXMLJVKIPNARR-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2ccco2)nc1 ZINC000639929799 1118812585 /nfs/dbraw/zinc/81/25/85/1118812585.db2.gz PXXMLJVKIPNARR-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Clc1ccc(C[NH+]2CCN(c3ccccc3)CC2)s1 ZINC000048613507 1118922104 /nfs/dbraw/zinc/92/21/04/1118922104.db2.gz HWZIJOOHQGXEAT-UHFFFAOYSA-N 1 2 292.835 3.724 20 0 CHADLO FC(F)c1ccnc(C[NH2+]Cc2ccc3ccccc3c2)n1 ZINC000342255674 1118957971 /nfs/dbraw/zinc/95/79/71/1118957971.db2.gz VMTUFNATGJJBCT-UHFFFAOYSA-N 1 2 299.324 3.857 20 0 CHADLO Fc1ccccc1C1CC[NH+](Cc2nccn2C2CC2)CC1 ZINC000892082422 1118959572 /nfs/dbraw/zinc/95/95/72/1118959572.db2.gz BIDLCFFGRCEPRN-UHFFFAOYSA-N 1 2 299.393 3.737 20 0 CHADLO CC(C)(CF)[NH2+]Cc1csc(-c2ccccc2)n1 ZINC000472135507 1118987136 /nfs/dbraw/zinc/98/71/36/1118987136.db2.gz ZQVOMTUNYVSEBO-UHFFFAOYSA-N 1 2 264.369 3.648 20 0 CHADLO CCc1nc(N2Cc3ccc(Cl)cc3C2)cc(C)[nH+]1 ZINC000413441905 1118992975 /nfs/dbraw/zinc/99/29/75/1118992975.db2.gz DDNZVCHNPYWQPK-UHFFFAOYSA-N 1 2 273.767 3.521 20 0 CHADLO COc1ccccc1C[N@H+](Cc1cnc(C2CC2)o1)C1CC1 ZINC000628131198 1128815467 /nfs/dbraw/zinc/81/54/67/1128815467.db2.gz TWNJJISDNRRIPT-UHFFFAOYSA-N 1 2 298.386 3.725 20 0 CHADLO COc1ccccc1C[N@@H+](Cc1cnc(C2CC2)o1)C1CC1 ZINC000628131198 1128815470 /nfs/dbraw/zinc/81/54/70/1128815470.db2.gz TWNJJISDNRRIPT-UHFFFAOYSA-N 1 2 298.386 3.725 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(F)c(F)c1F)c1nccs1 ZINC000657370527 1119036045 /nfs/dbraw/zinc/03/60/45/1119036045.db2.gz IBIWZCSWTNRLMA-JTQLQIEISA-N 1 2 286.322 3.801 20 0 CHADLO Cc1cc(N2CC[C@H](CC(C)C)C2)nc(C2CC2)[nH+]1 ZINC000342461036 1119037421 /nfs/dbraw/zinc/03/74/21/1119037421.db2.gz UYYMPGFPQGGKPN-CYBMUJFWSA-N 1 2 259.397 3.535 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H](F)C2)cc1OC(F)(F)F ZINC001143444244 1119043039 /nfs/dbraw/zinc/04/30/39/1119043039.db2.gz ZKBDZSYQXOQMBP-JTQLQIEISA-N 1 2 295.251 3.658 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H](F)C2)cc1OC(F)(F)F ZINC001143444244 1119043043 /nfs/dbraw/zinc/04/30/43/1119043043.db2.gz ZKBDZSYQXOQMBP-JTQLQIEISA-N 1 2 295.251 3.658 20 0 CHADLO CCN(C)c1ccc(Nc2cc(Cl)ccc2O)c[nH+]1 ZINC001216398898 1119046775 /nfs/dbraw/zinc/04/67/75/1119046775.db2.gz LWLRLMYVIADNHV-UHFFFAOYSA-N 1 2 277.755 3.640 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)cc1 ZINC000353395698 1128818669 /nfs/dbraw/zinc/81/86/69/1128818669.db2.gz QWDBCFPHFVHVAY-DYVFJYSZSA-N 1 2 299.418 3.925 20 0 CHADLO Cc1cc(-c2cccc(OC(F)(F)F)c2)c[nH+]c1N ZINC000116930515 1128819388 /nfs/dbraw/zinc/81/93/88/1128819388.db2.gz QYJLOYREDVLYQW-UHFFFAOYSA-N 1 2 268.238 3.538 20 0 CHADLO Cc1cc(NC(=O)CCc2ccc([C@@H]3C[C@H]3C)o2)cc[nH+]1 ZINC000073069507 1119099589 /nfs/dbraw/zinc/09/95/89/1119099589.db2.gz OUSXAGDFSQRBHJ-IAQYHMDHSA-N 1 2 284.359 3.678 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1csc(C(F)(F)F)c1 ZINC000628175176 1128821680 /nfs/dbraw/zinc/82/16/80/1128821680.db2.gz MCFYKERUEAJKTE-QMMMGPOBSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1csc(C(F)(F)F)c1 ZINC000628175176 1128821684 /nfs/dbraw/zinc/82/16/84/1128821684.db2.gz MCFYKERUEAJKTE-QMMMGPOBSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(C2CC2)n1)c1cccc(O)c1 ZINC000113336412 1119109587 /nfs/dbraw/zinc/10/95/87/1119109587.db2.gz FZYWZZLKQUXLGV-SNVBAGLBSA-N 1 2 274.389 3.577 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](C)c2cccc(Cl)c2)n1 ZINC000114745872 1119127035 /nfs/dbraw/zinc/12/70/35/1119127035.db2.gz PBYBMJAAOUSKPW-VHSXEESVSA-N 1 2 279.771 3.697 20 0 CHADLO CCc1cccc2cc(C[NH2+]Cc3ccn(CC)n3)oc21 ZINC000657490910 1119128557 /nfs/dbraw/zinc/12/85/57/1119128557.db2.gz PEYDWJPKEVAPNC-UHFFFAOYSA-N 1 2 283.375 3.501 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2nc(C3CC3)cs2)c1 ZINC000342695942 1119129267 /nfs/dbraw/zinc/12/92/67/1119129267.db2.gz HARAOFZTTOSSBB-UHFFFAOYSA-N 1 2 288.416 3.661 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2nc(C3CC3)cs2)c1 ZINC000342695942 1119129269 /nfs/dbraw/zinc/12/92/69/1119129269.db2.gz HARAOFZTTOSSBB-UHFFFAOYSA-N 1 2 288.416 3.661 20 0 CHADLO CC(C)(O)[C@H]1CCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000342701054 1119131296 /nfs/dbraw/zinc/13/12/96/1119131296.db2.gz MKTZNUGXTSNBIA-CQSZACIVSA-N 1 2 290.794 3.628 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@H](C)C[C@H]2c2cccc(F)c2)[nH]c1C ZINC000628180492 1128823771 /nfs/dbraw/zinc/82/37/71/1128823771.db2.gz RDAPRCDMKKZQHX-BZNIZROVSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@H+]2C[C@H](C)C[C@H]2c2cccc(F)c2)[nH]c1C ZINC000628180492 1128823775 /nfs/dbraw/zinc/82/37/75/1128823775.db2.gz RDAPRCDMKKZQHX-BZNIZROVSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2CCCc3cccnc32)cs1 ZINC000684955480 1119140398 /nfs/dbraw/zinc/14/03/98/1119140398.db2.gz NOIRQOZOMKQYEV-GWCFXTLKSA-N 1 2 273.405 3.575 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628189299 1128825038 /nfs/dbraw/zinc/82/50/38/1128825038.db2.gz XFDNGRIDYKZDNG-DOMZBBRYSA-N 1 2 287.407 3.847 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628189299 1128825042 /nfs/dbraw/zinc/82/50/42/1128825042.db2.gz XFDNGRIDYKZDNG-DOMZBBRYSA-N 1 2 287.407 3.847 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2nc(C)c(C)[nH]2)o1 ZINC000628189305 1128825259 /nfs/dbraw/zinc/82/52/59/1128825259.db2.gz XHWUHEMFVFXFMX-IAQYHMDHSA-N 1 2 287.407 3.901 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2nc(C)c(C)[nH]2)o1 ZINC000628189305 1128825264 /nfs/dbraw/zinc/82/52/64/1128825264.db2.gz XHWUHEMFVFXFMX-IAQYHMDHSA-N 1 2 287.407 3.901 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CCN2Cc2[nH]c(C)c(C)[nH+]2)o1 ZINC000628189305 1128825269 /nfs/dbraw/zinc/82/52/69/1128825269.db2.gz XHWUHEMFVFXFMX-IAQYHMDHSA-N 1 2 287.407 3.901 20 0 CHADLO Cc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n(C(C)C)n1 ZINC001213085320 1119171093 /nfs/dbraw/zinc/17/10/93/1119171093.db2.gz CAFLILFHASBNMX-UHFFFAOYSA-N 1 2 281.363 3.906 20 0 CHADLO C[C@H](CCC(C)(C)C)[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000342796167 1119174064 /nfs/dbraw/zinc/17/40/64/1119174064.db2.gz JFQLRBLHNFNEOF-HIFRSBDPSA-N 1 2 286.423 3.699 20 0 CHADLO Cc1cn2c([nH+]1)[C@@H](CNc1nccc(C)c1Cl)CCC2 ZINC000342801723 1119174640 /nfs/dbraw/zinc/17/46/40/1119174640.db2.gz FLNNUCKQYQKBES-GFCCVEGCSA-N 1 2 290.798 3.538 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2)o1 ZINC000075595437 1119178075 /nfs/dbraw/zinc/17/80/75/1119178075.db2.gz OAUGAHWLLCASGZ-OAHLLOKOSA-N 1 2 274.339 3.713 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2)o1 ZINC000075595437 1119178077 /nfs/dbraw/zinc/17/80/77/1119178077.db2.gz OAUGAHWLLCASGZ-OAHLLOKOSA-N 1 2 274.339 3.713 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)[C@H](C)c1nc2ccccc2o1 ZINC000180966818 1128827370 /nfs/dbraw/zinc/82/73/70/1128827370.db2.gz IZEIMWRMZPGRNM-LLVKDONJSA-N 1 2 285.347 3.626 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)[C@H](C)c1nc2ccccc2o1 ZINC000180966818 1128827376 /nfs/dbraw/zinc/82/73/76/1128827376.db2.gz IZEIMWRMZPGRNM-LLVKDONJSA-N 1 2 285.347 3.626 20 0 CHADLO CN(Cc1cc(Br)cs1)c1cccc[nH+]1 ZINC000076803229 1119187885 /nfs/dbraw/zinc/18/78/85/1119187885.db2.gz MJEXNMHQJFEGLS-UHFFFAOYSA-N 1 2 283.194 3.542 20 0 CHADLO CCc1cnc(C[NH+]2CCC(CC(F)(F)F)CC2)s1 ZINC000342821368 1119194592 /nfs/dbraw/zinc/19/45/92/1119194592.db2.gz MVEJAKKBXMATMH-UHFFFAOYSA-N 1 2 292.370 3.870 20 0 CHADLO c1ccc(N2CCC(c3nc4ccccc4o3)CC2)[nH+]c1 ZINC000078247107 1119201750 /nfs/dbraw/zinc/20/17/50/1119201750.db2.gz ZNISIFWTMJTIRS-UHFFFAOYSA-N 1 2 279.343 3.607 20 0 CHADLO CCOc1cc(Nc2ccccc2OCOC)cc(C)[nH+]1 ZINC001211556331 1119203341 /nfs/dbraw/zinc/20/33/41/1119203341.db2.gz IPVCAEMDJJEFRT-UHFFFAOYSA-N 1 2 288.347 3.515 20 0 CHADLO CC(C)(C)c1nnc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)o1 ZINC001213085720 1119225091 /nfs/dbraw/zinc/22/50/91/1119225091.db2.gz TYXQXENBUZIMCF-UHFFFAOYSA-N 1 2 283.335 3.501 20 0 CHADLO c1csc(C2([NH2+]Cc3cnc(C4CC4)o3)CCCC2)n1 ZINC000628217047 1128830394 /nfs/dbraw/zinc/83/03/94/1128830394.db2.gz ITDQEZVJBTZBRU-UHFFFAOYSA-N 1 2 289.404 3.568 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc2c(c1)C=CCCC2 ZINC000657679789 1119242942 /nfs/dbraw/zinc/24/29/42/1119242942.db2.gz FDYOZFAYOHKSOF-UHFFFAOYSA-N 1 2 267.376 3.865 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccc(O)c2)CC2CC2)cs1 ZINC000120579102 1119243638 /nfs/dbraw/zinc/24/36/38/1119243638.db2.gz HTIJIBKCYMNZIN-UHFFFAOYSA-N 1 2 288.416 3.569 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccc(O)c2)CC2CC2)cs1 ZINC000120579102 1119243642 /nfs/dbraw/zinc/24/36/42/1119243642.db2.gz HTIJIBKCYMNZIN-UHFFFAOYSA-N 1 2 288.416 3.569 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccc(C(C)(C)C)cc3C2)nn1C ZINC000511217375 1119260244 /nfs/dbraw/zinc/26/02/44/1119260244.db2.gz QCTKUXMLAILZRL-UHFFFAOYSA-N 1 2 297.446 3.584 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccc(C(C)(C)C)cc3C2)nn1C ZINC000511217375 1119260247 /nfs/dbraw/zinc/26/02/47/1119260247.db2.gz QCTKUXMLAILZRL-UHFFFAOYSA-N 1 2 297.446 3.584 20 0 CHADLO CCOc1ncc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1F ZINC001213086398 1119262244 /nfs/dbraw/zinc/26/22/44/1119262244.db2.gz PFCHYUHZEZXROX-UHFFFAOYSA-N 1 2 298.321 3.753 20 0 CHADLO Cc1cn2c(cccc2Nc2ccn(C(C)C)c2)[nH+]1 ZINC001203157864 1119265761 /nfs/dbraw/zinc/26/57/61/1119265761.db2.gz WKNHNHASYYZPNP-UHFFFAOYSA-N 1 2 254.337 3.769 20 0 CHADLO CCc1nc(C[N@H+](C)[C@@H](C)c2cccs2)cs1 ZINC000042631994 1119267501 /nfs/dbraw/zinc/26/75/01/1119267501.db2.gz WAXAWZBMZHKXAE-JTQLQIEISA-N 1 2 266.435 3.960 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@@H](C)c2cccs2)cs1 ZINC000042631994 1119267506 /nfs/dbraw/zinc/26/75/06/1119267506.db2.gz WAXAWZBMZHKXAE-JTQLQIEISA-N 1 2 266.435 3.960 20 0 CHADLO Cc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n(CC2CC2)n1 ZINC001213086993 1119295254 /nfs/dbraw/zinc/29/52/54/1119295254.db2.gz GEEAQFVGFUWONM-UHFFFAOYSA-N 1 2 293.374 3.735 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1Cl)c1cc2n(n1)CCCC2 ZINC000647280121 1119317211 /nfs/dbraw/zinc/31/72/11/1119317211.db2.gz WMLZAQMGLAPBKM-LBPRGKRZSA-N 1 2 289.810 3.724 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3cc(C)c(F)c(C)c3)cc2[nH+]1 ZINC000343124361 1119320393 /nfs/dbraw/zinc/32/03/93/1119320393.db2.gz ZOLFGKVILQTFGM-UHFFFAOYSA-N 1 2 297.333 3.880 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc(F)c(F)c(F)c1)c1ccon1 ZINC000349856886 1119324194 /nfs/dbraw/zinc/32/41/94/1119324194.db2.gz IDTYDOSUTYJGRU-JGVFFNPUSA-N 1 2 270.254 3.504 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000155253438 1119329691 /nfs/dbraw/zinc/32/96/91/1119329691.db2.gz WTVDLCDAXRAUBD-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccoc1C[N@H+]1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000155253438 1119329693 /nfs/dbraw/zinc/32/96/93/1119329693.db2.gz WTVDLCDAXRAUBD-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Clc1ccc([C@H]2C[N@H+](Cc3ccsc3)CCO2)cc1 ZINC000155264086 1119330137 /nfs/dbraw/zinc/33/01/37/1119330137.db2.gz IFVUFDBXTXIEFO-OAHLLOKOSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccc([C@H]2C[N@@H+](Cc3ccsc3)CCO2)cc1 ZINC000155264086 1119330140 /nfs/dbraw/zinc/33/01/40/1119330140.db2.gz IFVUFDBXTXIEFO-OAHLLOKOSA-N 1 2 293.819 3.975 20 0 CHADLO Cc1cn2cccc(Nc3ccc4nc(C)oc4c3)c2[nH+]1 ZINC001213063791 1119346610 /nfs/dbraw/zinc/34/66/10/1119346610.db2.gz DEQVPJLMRVGIPD-UHFFFAOYSA-N 1 2 278.315 3.836 20 0 CHADLO Cc1noc([C@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)n1 ZINC000092822336 1119349115 /nfs/dbraw/zinc/34/91/15/1119349115.db2.gz NFAWJXIUBPJYCI-ZDUSSCGKSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1noc([C@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)n1 ZINC000092822336 1119349118 /nfs/dbraw/zinc/34/91/18/1119349118.db2.gz NFAWJXIUBPJYCI-ZDUSSCGKSA-N 1 2 283.375 3.537 20 0 CHADLO COc1cccc2c1CCC[C@@H]2[NH2+][C@H](C)C(C)(F)F ZINC000564414228 1119354736 /nfs/dbraw/zinc/35/47/36/1119354736.db2.gz QUBKOIWKGRHTKJ-MFKMUULPSA-N 1 2 269.335 3.706 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@H](C)c1cc(C)ccn1 ZINC000583646802 1119389407 /nfs/dbraw/zinc/38/94/07/1119389407.db2.gz LHDYLXMUVWNDSY-OLZOCXBDSA-N 1 2 288.366 3.950 20 0 CHADLO CCCCn1c(S[C@@H](C)CC)nnc1[C@@H](CC)[NH+](C)C ZINC000151201586 1119435900 /nfs/dbraw/zinc/43/59/00/1119435900.db2.gz YOQOEKJFRUWWTR-QWHCGFSZSA-N 1 2 298.500 3.982 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](CO)c2cccc(Cl)c2F)o1 ZINC000343506436 1119436999 /nfs/dbraw/zinc/43/69/99/1119436999.db2.gz NWXHVAMWISKQRX-GWCFXTLKSA-N 1 2 297.757 3.765 20 0 CHADLO Cc1cscc1NC(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000151272208 1119446679 /nfs/dbraw/zinc/44/66/79/1119446679.db2.gz FBGWHNIIJCFSBC-UHFFFAOYSA-N 1 2 297.383 3.554 20 0 CHADLO CCCC[C@@H](C(=O)OC)[N@H+](C)Cc1ccc(Cl)cc1 ZINC000168672929 1119468928 /nfs/dbraw/zinc/46/89/28/1119468928.db2.gz ZCLNRPGSEBYJMN-AWEZNQCLSA-N 1 2 283.799 3.504 20 0 CHADLO CCCC[C@@H](C(=O)OC)[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000168672929 1119468930 /nfs/dbraw/zinc/46/89/30/1119468930.db2.gz ZCLNRPGSEBYJMN-AWEZNQCLSA-N 1 2 283.799 3.504 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[NH2+]Cc1cscn1 ZINC000127305543 1119476480 /nfs/dbraw/zinc/47/64/80/1119476480.db2.gz ZTZMCBNLFNHTPU-SECBINFHSA-N 1 2 281.450 3.882 20 0 CHADLO Cn1cc(Cl)c(C[NH2+][C@H]2CCc3cc(Cl)ccc32)n1 ZINC000335619188 1119490816 /nfs/dbraw/zinc/49/08/16/1119490816.db2.gz WQDBSCSHBFFAAA-ZDUSSCGKSA-N 1 2 296.201 3.504 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cccc(F)c2F)s1 ZINC000134907829 1119490879 /nfs/dbraw/zinc/49/08/79/1119490879.db2.gz TXMJPNCNPYICEJ-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO c1sc(C[N@@H+]2CCC[C@@H]2c2ccncc2)nc1C1CC1 ZINC000343707725 1119490895 /nfs/dbraw/zinc/49/08/95/1119490895.db2.gz BNYIRXLQLMSBOQ-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO c1sc(C[N@H+]2CCC[C@@H]2c2ccncc2)nc1C1CC1 ZINC000343707725 1119490897 /nfs/dbraw/zinc/49/08/97/1119490897.db2.gz BNYIRXLQLMSBOQ-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO CC(C)[N@@H+](Cc1cccc2cccnc21)CC(F)F ZINC000135393851 1119499405 /nfs/dbraw/zinc/49/94/05/1119499405.db2.gz NVCLGZLCPPIACT-UHFFFAOYSA-N 1 2 264.319 3.710 20 0 CHADLO CC(C)[N@H+](Cc1cccc2cccnc21)CC(F)F ZINC000135393851 1119499407 /nfs/dbraw/zinc/49/94/07/1119499407.db2.gz NVCLGZLCPPIACT-UHFFFAOYSA-N 1 2 264.319 3.710 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc3[nH]ccc3c2)s1 ZINC000628186158 1119499807 /nfs/dbraw/zinc/49/98/07/1119499807.db2.gz AFRJOQWEAQTCCN-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc3[nH]ccc3c2)s1 ZINC000628186158 1119499809 /nfs/dbraw/zinc/49/98/09/1119499809.db2.gz AFRJOQWEAQTCCN-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2ccc(OC(C)C)cc2)[nH+]1 ZINC000080946783 1119507075 /nfs/dbraw/zinc/50/70/75/1119507075.db2.gz XRNICXJLGCROEU-UHFFFAOYSA-N 1 2 296.374 3.666 20 0 CHADLO C[C@@H]([N@H+](C)Cn1nc(C(C)(C)C)oc1=S)C1(C)CC1 ZINC000176831029 1119508074 /nfs/dbraw/zinc/50/80/74/1119508074.db2.gz MDESIHSQBRZZJM-SNVBAGLBSA-N 1 2 283.441 3.581 20 0 CHADLO C[C@@H]([N@@H+](C)Cn1nc(C(C)(C)C)oc1=S)C1(C)CC1 ZINC000176831029 1119508075 /nfs/dbraw/zinc/50/80/75/1119508075.db2.gz MDESIHSQBRZZJM-SNVBAGLBSA-N 1 2 283.441 3.581 20 0 CHADLO Cc1cc(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)no1 ZINC000090073521 1119543376 /nfs/dbraw/zinc/54/33/76/1119543376.db2.gz JSLNONILDPIKGN-UHFFFAOYSA-N 1 2 274.368 3.632 20 0 CHADLO CC(C)c1cc(NCCc2cscn2)nc(C(C)C)[nH+]1 ZINC000090093984 1119545806 /nfs/dbraw/zinc/54/58/06/1119545806.db2.gz RSIYKEFPXDYRHU-UHFFFAOYSA-N 1 2 290.436 3.835 20 0 CHADLO CC(C)c1cc(NCc2ncccc2F)nc(C(C)C)[nH+]1 ZINC000090139036 1119546342 /nfs/dbraw/zinc/54/63/42/1119546342.db2.gz LIQQOFPOEGLLRU-UHFFFAOYSA-N 1 2 288.370 3.870 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccc1F)c1ccc(F)cc1Cl ZINC000090721324 1119549036 /nfs/dbraw/zinc/54/90/36/1119549036.db2.gz OXMNWYMGFKLTFE-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO Fc1cc([C@H]2OCC[C@@H]2Nc2cccc[nH+]2)ccc1Cl ZINC000091025207 1119551960 /nfs/dbraw/zinc/55/19/60/1119551960.db2.gz SSUFQTMYUKCHIE-DZGCQCFKSA-N 1 2 292.741 3.816 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](c3cccs3)C2)cc1F ZINC000092594874 1119565958 /nfs/dbraw/zinc/56/59/58/1119565958.db2.gz UJPROSAUBZUFSV-HNNXBMFYSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](c3cccs3)C2)cc1F ZINC000092594874 1119565962 /nfs/dbraw/zinc/56/59/62/1119565962.db2.gz UJPROSAUBZUFSV-HNNXBMFYSA-N 1 2 291.391 3.769 20 0 CHADLO CCn1cc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000093686015 1119571419 /nfs/dbraw/zinc/57/14/19/1119571419.db2.gz WCZGIDAHPJNBBF-HNNXBMFYSA-N 1 2 275.783 3.503 20 0 CHADLO CCn1cc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000093686015 1119571423 /nfs/dbraw/zinc/57/14/23/1119571423.db2.gz WCZGIDAHPJNBBF-HNNXBMFYSA-N 1 2 275.783 3.503 20 0 CHADLO CCSc1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000096733825 1119576930 /nfs/dbraw/zinc/57/69/30/1119576930.db2.gz XJYHJYRXDTYBQH-UHFFFAOYSA-N 1 2 272.373 3.754 20 0 CHADLO Clc1cccc([C@H]2C[N@H+](Cc3ccccc3)CCO2)c1 ZINC000196052671 1119585926 /nfs/dbraw/zinc/58/59/26/1119585926.db2.gz IRIVXYVHDRTKOP-QGZVFWFLSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1cccc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)c1 ZINC000196052671 1119585928 /nfs/dbraw/zinc/58/59/28/1119585928.db2.gz IRIVXYVHDRTKOP-QGZVFWFLSA-N 1 2 287.790 3.914 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccccc1)[C@@H](C)c1ccccc1 ZINC000197163545 1119586292 /nfs/dbraw/zinc/58/62/92/1119586292.db2.gz OXRTVEAXTJGLET-INIZCTEOSA-N 1 2 297.398 3.813 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccccc1)[C@@H](C)c1ccccc1 ZINC000197163545 1119586294 /nfs/dbraw/zinc/58/62/94/1119586294.db2.gz OXRTVEAXTJGLET-INIZCTEOSA-N 1 2 297.398 3.813 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(C(F)F)nc2)cs1 ZINC000657877667 1119590317 /nfs/dbraw/zinc/59/03/17/1119590317.db2.gz DRJDJCNMFMPDMQ-SECBINFHSA-N 1 2 297.374 3.889 20 0 CHADLO COc1ccc([C@@H](Cc2ccccc2)[NH2+]CC(F)F)cc1 ZINC000685598005 1119636309 /nfs/dbraw/zinc/63/63/09/1119636309.db2.gz RURLRWIMXIYFFZ-MRXNPFEDSA-N 1 2 291.341 3.834 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@H](c1ccccc1)c1cccc(F)c1 ZINC000685618031 1119639513 /nfs/dbraw/zinc/63/95/13/1119639513.db2.gz OZTKVBOGUPVFOA-GOSISDBHSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@H](c1ccccc1)c1cccc(F)c1 ZINC000685618031 1119639515 /nfs/dbraw/zinc/63/95/15/1119639515.db2.gz OZTKVBOGUPVFOA-GOSISDBHSA-N 1 2 295.361 3.736 20 0 CHADLO CC1=CCC[C@@H](C)[C@@H]1C[NH2+]CC(F)(F)Br ZINC000309143382 1119645268 /nfs/dbraw/zinc/64/52/68/1119645268.db2.gz UHAMXMAZZJCITM-NXEZZACHSA-N 1 2 282.172 3.556 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccsc1 ZINC000223039383 1119653806 /nfs/dbraw/zinc/65/38/06/1119653806.db2.gz FEQQZJYUIUXZRP-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccsc1 ZINC000223039321 1119653853 /nfs/dbraw/zinc/65/38/53/1119653853.db2.gz FEQQZJYUIUXZRP-SSDOTTSWSA-N 1 2 258.799 3.709 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+][C@@H]1C[C@@H](C)n2ncnc21 ZINC000658095827 1119682007 /nfs/dbraw/zinc/68/20/07/1119682007.db2.gz AESWHSWPVFYUNJ-SCDSUCTJSA-N 1 2 296.374 3.689 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+][C@H]3C[C@H](C)n4ncnc43)oc2c1 ZINC000658103237 1119686462 /nfs/dbraw/zinc/68/64/62/1119686462.db2.gz UKSXNKNIFXUXLV-OBJOEFQTSA-N 1 2 296.374 3.689 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+][C@@H]3C[C@@H](C)n4ncnc43)oc2c1 ZINC000658103236 1119686532 /nfs/dbraw/zinc/68/65/32/1119686532.db2.gz UKSXNKNIFXUXLV-MBNYWOFBSA-N 1 2 296.374 3.689 20 0 CHADLO Nc1cc(Nc2cccc(C3CCC3)[nH+]2)ccc1F ZINC001212334549 1128863328 /nfs/dbraw/zinc/86/33/28/1128863328.db2.gz JLFGLDCOUSRVIL-UHFFFAOYSA-N 1 2 257.312 3.814 20 0 CHADLO CCn1nc(C)c([C@H](C)[NH2+][C@H](C)c2ccccc2Cl)n1 ZINC000924527364 1119711074 /nfs/dbraw/zinc/71/10/74/1119711074.db2.gz XWDAKAGSVXUWER-MNOVXSKESA-N 1 2 292.814 3.672 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H]1CCCc2c(C)cccc21 ZINC000658338330 1119727252 /nfs/dbraw/zinc/72/72/52/1119727252.db2.gz KVBLDMRRJZRBBK-YVEFUNNKSA-N 1 2 269.392 3.755 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)C(C)(C)C)c1ccc2[nH]c(=O)oc2c1 ZINC000658340185 1119728338 /nfs/dbraw/zinc/72/83/38/1119728338.db2.gz ZAVAKJDQKWJEHC-SECBINFHSA-N 1 2 298.333 3.865 20 0 CHADLO Cc1cc(N2CC[C@H](c3ccco3)C2)nc(C2CCC2)[nH+]1 ZINC000892455364 1119743247 /nfs/dbraw/zinc/74/32/47/1119743247.db2.gz ZVCHEBVXQHOXKH-AWEZNQCLSA-N 1 2 283.375 3.639 20 0 CHADLO C[C@@H](c1ccc(OC(F)(F)F)cc1)[N@H+](C)Cc1ncc[nH]1 ZINC000677803032 1119749303 /nfs/dbraw/zinc/74/93/03/1119749303.db2.gz WPVOESXPEGNAJH-JTQLQIEISA-N 1 2 299.296 3.501 20 0 CHADLO C[C@@H](c1ccc(OC(F)(F)F)cc1)[N@@H+](C)Cc1ncc[nH]1 ZINC000677803032 1119749306 /nfs/dbraw/zinc/74/93/06/1119749306.db2.gz WPVOESXPEGNAJH-JTQLQIEISA-N 1 2 299.296 3.501 20 0 CHADLO C[C@H]([NH2+]C1(c2ccccc2Cl)CCC1)c1cn(C)cn1 ZINC000926642758 1119764100 /nfs/dbraw/zinc/76/41/00/1119764100.db2.gz NZRGMVHTCTZALQ-LBPRGKRZSA-N 1 2 289.810 3.804 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1cnccn1 ZINC000151543535 1119776377 /nfs/dbraw/zinc/77/63/77/1119776377.db2.gz GYGVHAGSKBFRQZ-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)c1 ZINC000375895117 1119779725 /nfs/dbraw/zinc/77/97/25/1119779725.db2.gz JNTDYVFMHZBRQO-MLGOLLRUSA-N 1 2 285.391 3.537 20 0 CHADLO C[C@H]1CC(C)(C)C[C@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000235593216 1119784469 /nfs/dbraw/zinc/78/44/69/1119784469.db2.gz AYJQWVGZFAJHRD-WMLDXEAASA-N 1 2 288.435 3.760 20 0 CHADLO Cc1ncc(C[N@@H+]2CC(C)(C)[C@H]2c2cccs2)o1 ZINC000628282164 1119790502 /nfs/dbraw/zinc/79/05/02/1119790502.db2.gz RVVJEDQBZNATHU-CYBMUJFWSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1ncc(C[N@H+]2CC(C)(C)[C@H]2c2cccs2)o1 ZINC000628282164 1119790503 /nfs/dbraw/zinc/79/05/03/1119790503.db2.gz RVVJEDQBZNATHU-CYBMUJFWSA-N 1 2 262.378 3.628 20 0 CHADLO C/C=C\C[C@H]1CCC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000626162834 1119795244 /nfs/dbraw/zinc/79/52/44/1119795244.db2.gz ZONCLFNLVAWLRC-SZZPACECSA-N 1 2 277.412 3.545 20 0 CHADLO C/C=C\C[C@H]1CCC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000626162834 1119795248 /nfs/dbraw/zinc/79/52/48/1119795248.db2.gz ZONCLFNLVAWLRC-SZZPACECSA-N 1 2 277.412 3.545 20 0 CHADLO COCOc1ccc(Nc2[nH+]cccc2C(C)C)cc1 ZINC001211637324 1119855735 /nfs/dbraw/zinc/85/57/35/1119855735.db2.gz GWCLQUOWJDFIPL-UHFFFAOYSA-N 1 2 272.348 3.931 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccn(C)n1)c1cc(F)ccc1F ZINC000414092103 1119892565 /nfs/dbraw/zinc/89/25/65/1119892565.db2.gz LMCRTQAFWIPCQN-YGRLFVJLSA-N 1 2 279.334 3.500 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cn1)c1nc2c(s1)CCC2 ZINC000414133009 1119910901 /nfs/dbraw/zinc/91/09/01/1119910901.db2.gz AETREFAKUAUYGN-NXEZZACHSA-N 1 2 291.395 3.578 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@@H+]1Cc1csc(Cc2ccccc2)n1 ZINC000564231989 1119913611 /nfs/dbraw/zinc/91/36/11/1119913611.db2.gz RUELTOPTUCVQAQ-QWHCGFSZSA-N 1 2 272.417 3.574 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@H+]1Cc1csc(Cc2ccccc2)n1 ZINC000564231989 1119913614 /nfs/dbraw/zinc/91/36/14/1119913614.db2.gz RUELTOPTUCVQAQ-QWHCGFSZSA-N 1 2 272.417 3.574 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1cccc(Cl)n1 ZINC000780385901 1119944541 /nfs/dbraw/zinc/94/45/41/1119944541.db2.gz VRYJVWBULFRYMF-VHSXEESVSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1cccc(Cl)n1 ZINC000780385901 1119944537 /nfs/dbraw/zinc/94/45/37/1119944537.db2.gz VRYJVWBULFRYMF-VHSXEESVSA-N 1 2 292.732 3.898 20 0 CHADLO Cc1nnsc1C[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000584508043 1119953176 /nfs/dbraw/zinc/95/31/76/1119953176.db2.gz OLNSSEMQWSNCPY-JTQLQIEISA-N 1 2 281.812 3.693 20 0 CHADLO Cc1nnsc1C[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000584508043 1119953178 /nfs/dbraw/zinc/95/31/78/1119953178.db2.gz OLNSSEMQWSNCPY-JTQLQIEISA-N 1 2 281.812 3.693 20 0 CHADLO Cc1ccc(C[NH2+]Cc2csc(-c3ccccc3)n2)nc1 ZINC000134248976 1119974891 /nfs/dbraw/zinc/97/48/91/1119974891.db2.gz VHIAJXVGBBLMRW-UHFFFAOYSA-N 1 2 295.411 3.803 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2cccc(Cl)n2)C1 ZINC000801587766 1128881674 /nfs/dbraw/zinc/88/16/74/1128881674.db2.gz AJIMFLOEMFBJEE-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC000801587766 1128881678 /nfs/dbraw/zinc/88/16/78/1128881678.db2.gz AJIMFLOEMFBJEE-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)nn1 ZINC000414369889 1120002609 /nfs/dbraw/zinc/00/26/09/1120002609.db2.gz KEQJGPUFQBAQRM-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)nn1 ZINC000414369889 1120002611 /nfs/dbraw/zinc/00/26/11/1120002611.db2.gz KEQJGPUFQBAQRM-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO CC(C)n1cc2c(n1)[C@@H]([NH2+][C@@H](C)c1ccccn1)CCC2 ZINC000765815322 1120056372 /nfs/dbraw/zinc/05/63/72/1120056372.db2.gz GWMVEWAHCJYUCA-BBRMVZONSA-N 1 2 284.407 3.587 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)/C=C/C3CC3)cc2)[nH+]c1C ZINC000921659835 1120057067 /nfs/dbraw/zinc/05/70/67/1120057067.db2.gz GIBHDAYKOUDLKW-BJMVGYQFSA-N 1 2 281.359 3.598 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C=CC3CC3)cc2)[nH+]c1C ZINC000921659835 1120057073 /nfs/dbraw/zinc/05/70/73/1120057073.db2.gz GIBHDAYKOUDLKW-BJMVGYQFSA-N 1 2 281.359 3.598 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C3=CCCC3)cc2)[nH+]c1C ZINC000921659688 1120058850 /nfs/dbraw/zinc/05/88/50/1120058850.db2.gz HUDNHBLBVBDAGX-UHFFFAOYSA-N 1 2 281.359 3.742 20 0 CHADLO c1sc(C[NH+]2CC3(C2)CCCO3)cc1-c1ccccc1 ZINC000628426773 1120064178 /nfs/dbraw/zinc/06/41/78/1120064178.db2.gz KTOGUIAKUXQGTB-UHFFFAOYSA-N 1 2 285.412 3.780 20 0 CHADLO C[C@H]([NH2+][C@H](c1ncccn1)C1CC1)c1ccc(Cl)s1 ZINC000903333717 1120084075 /nfs/dbraw/zinc/08/40/75/1120084075.db2.gz SZMNGOASNUMRGY-ZANVPECISA-N 1 2 293.823 3.993 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(Cl)c(C)c2Cl)on1 ZINC000921688780 1120114082 /nfs/dbraw/zinc/11/40/82/1120114082.db2.gz GBEBJVWSVOVCAZ-UHFFFAOYSA-N 1 2 285.174 3.888 20 0 CHADLO COc1cc(C[N@H+](C)[C@@H](C)c2ccc(F)c(F)c2)sn1 ZINC000659821035 1120117158 /nfs/dbraw/zinc/11/71/58/1120117158.db2.gz WXPYTCZOZHPKIH-VIFPVBQESA-N 1 2 298.358 3.623 20 0 CHADLO COc1cc(C[N@@H+](C)[C@@H](C)c2ccc(F)c(F)c2)sn1 ZINC000659821035 1120117161 /nfs/dbraw/zinc/11/71/61/1120117161.db2.gz WXPYTCZOZHPKIH-VIFPVBQESA-N 1 2 298.358 3.623 20 0 CHADLO CCCc1nc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2)no1 ZINC000353200643 1120118430 /nfs/dbraw/zinc/11/84/30/1120118430.db2.gz JYBBTRAPFNKVNJ-HIFRSBDPSA-N 1 2 285.391 3.605 20 0 CHADLO CCCc1nc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2)no1 ZINC000353200643 1120118432 /nfs/dbraw/zinc/11/84/32/1120118432.db2.gz JYBBTRAPFNKVNJ-HIFRSBDPSA-N 1 2 285.391 3.605 20 0 CHADLO c1cc2cc(N[C@@H]3CCNc4ccccc43)[nH+]cc2[nH]1 ZINC001168638329 1120121712 /nfs/dbraw/zinc/12/17/12/1120121712.db2.gz KEKBOKTXOLGLBR-CQSZACIVSA-N 1 2 264.332 3.532 20 0 CHADLO C[Si](C)(C)c1ccc[nH+]c1N[C@H]1CCNc2ccccc21 ZINC001168640581 1120127955 /nfs/dbraw/zinc/12/79/55/1120127955.db2.gz KJFLDMQQSKRSRV-HNNXBMFYSA-N 1 2 297.478 3.596 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2ncco2)c(C)s1 ZINC000924602353 1120132038 /nfs/dbraw/zinc/13/20/38/1120132038.db2.gz LXMHZIYKNHJWIW-UWVGGRQHSA-N 1 2 250.367 3.765 20 0 CHADLO Cc1cc(N[C@H]2CCNc3ccccc32)c[nH+]c1C ZINC001168643677 1120133918 /nfs/dbraw/zinc/13/39/18/1120133918.db2.gz AFGQRUAJINYADP-INIZCTEOSA-N 1 2 253.349 3.667 20 0 CHADLO Cc1cc(N[C@@H]2CCNc3ccccc32)c[nH+]c1C ZINC001168643685 1120134020 /nfs/dbraw/zinc/13/40/20/1120134020.db2.gz AFGQRUAJINYADP-MRXNPFEDSA-N 1 2 253.349 3.667 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2c(Cl)n[nH]c2C2CC2)c1 ZINC000921697060 1120136733 /nfs/dbraw/zinc/13/67/33/1120136733.db2.gz GKOMTIWIXAFVTJ-UHFFFAOYSA-N 1 2 297.736 3.509 20 0 CHADLO C[NH+](C)[C@@H](c1nc(C2C(C)(C)C2(C)C)no1)c1ccccc1 ZINC000624744479 1120139297 /nfs/dbraw/zinc/13/92/97/1120139297.db2.gz HQTYSHHUHIPOJQ-CYBMUJFWSA-N 1 2 299.418 3.870 20 0 CHADLO CCOc1ccc(F)c(F)c1C[NH+]1CC2(C1)CCCC2 ZINC001143487703 1120140305 /nfs/dbraw/zinc/14/03/05/1120140305.db2.gz ODESQHDSAHNFHX-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO CCc1ccc(C[NH2+][C@@H]2CCCc3cn(C(C)C)nc32)o1 ZINC001116510930 1120143668 /nfs/dbraw/zinc/14/36/68/1120143668.db2.gz DKMNDXNBKNLYGB-MRXNPFEDSA-N 1 2 287.407 3.787 20 0 CHADLO CCOc1cc(Nc2cc(Cl)ccc2N)cc(C)[nH+]1 ZINC001212430737 1120146935 /nfs/dbraw/zinc/14/69/35/1120146935.db2.gz BWDPJHQZMDLKMU-UHFFFAOYSA-N 1 2 277.755 3.768 20 0 CHADLO Cc1cc(NC[C@@H](C)c2ccncc2)nc(C2CCC2)[nH+]1 ZINC000892836927 1120148989 /nfs/dbraw/zinc/14/89/89/1120148989.db2.gz PTXLNQADVMNION-GFCCVEGCSA-N 1 2 282.391 3.663 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2ccon2)o1 ZINC000174448884 1120169432 /nfs/dbraw/zinc/16/94/32/1120169432.db2.gz VNBDGXOBQQKZMA-SWLSCSKDSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2ccon2)o1 ZINC000174448884 1120169435 /nfs/dbraw/zinc/16/94/35/1120169435.db2.gz VNBDGXOBQQKZMA-SWLSCSKDSA-N 1 2 274.364 3.803 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2CSc3ccccc32)n1 ZINC000156226658 1120186729 /nfs/dbraw/zinc/18/67/29/1120186729.db2.gz LIDQXXQRSAEKQY-JQWIXIFHSA-N 1 2 276.430 3.949 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@H](c2ccccc2)O1 ZINC000659855237 1120196233 /nfs/dbraw/zinc/19/62/33/1120196233.db2.gz WULXEOCPMHGUAY-SCLBCKFNSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@H](c2ccccc2)O1 ZINC000659855237 1120196237 /nfs/dbraw/zinc/19/62/37/1120196237.db2.gz WULXEOCPMHGUAY-SCLBCKFNSA-N 1 2 298.386 3.514 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2noc3c2CCCC3)c1C ZINC000644704473 1120203740 /nfs/dbraw/zinc/20/37/40/1120203740.db2.gz YQJTVQBHEYFJIC-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2noc3c2CCCC3)c1C ZINC000644704473 1120203743 /nfs/dbraw/zinc/20/37/43/1120203743.db2.gz YQJTVQBHEYFJIC-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO COCc1cccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)c1 ZINC001239937834 1120214545 /nfs/dbraw/zinc/21/45/45/1120214545.db2.gz UVEYDWRDENPDSX-UHFFFAOYSA-N 1 2 291.341 3.840 20 0 CHADLO CNc1ccc(Nc2cc(CCc3ccccc3)no2)c[nH+]1 ZINC001203447170 1120215723 /nfs/dbraw/zinc/21/57/23/1120215723.db2.gz JXQIALYWERALRQ-UHFFFAOYSA-N 1 2 294.358 3.640 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(C2CC2)n1)c1ccc(C)cc1 ZINC000462915973 1120220727 /nfs/dbraw/zinc/22/07/27/1120220727.db2.gz AEYQAVMXBSQGCD-MRXNPFEDSA-N 1 2 281.403 3.956 20 0 CHADLO CC(C)OCCCNc1[nH+]cccc1-c1ccccc1 ZINC001168676896 1120231626 /nfs/dbraw/zinc/23/16/26/1120231626.db2.gz JVOQRMKGNDPFQU-UHFFFAOYSA-N 1 2 270.376 3.976 20 0 CHADLO COc1ccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)c(F)c1 ZINC001240024521 1120232442 /nfs/dbraw/zinc/23/24/42/1120232442.db2.gz FZALIONZAIFXLI-UHFFFAOYSA-N 1 2 295.304 3.841 20 0 CHADLO Cc1[nH+]c(C(C)(C)C)nc(NCCCOC(C)C)c1C ZINC001168676939 1120232516 /nfs/dbraw/zinc/23/25/16/1120232516.db2.gz KLXCULWOMQWEPC-UHFFFAOYSA-N 1 2 279.428 3.618 20 0 CHADLO Cc1cn2cccc(Nc3cc(F)c(O)c(Cl)c3)c2[nH+]1 ZINC001214479640 1120238231 /nfs/dbraw/zinc/23/82/31/1120238231.db2.gz CHVZHZMKUDMHKI-UHFFFAOYSA-N 1 2 291.713 3.884 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1ccc(Cl)cc1C(F)(F)F ZINC001143503198 1120246885 /nfs/dbraw/zinc/24/68/85/1120246885.db2.gz NHPPHXKOTCDOSJ-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1ccc(Cl)cc1C(F)(F)F ZINC001143503198 1120246889 /nfs/dbraw/zinc/24/68/89/1120246889.db2.gz NHPPHXKOTCDOSJ-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO COc1ccc(C[NH2+]Cc2nc(C(C)(C)C)co2)c(C)c1 ZINC000921855112 1120253387 /nfs/dbraw/zinc/25/33/87/1120253387.db2.gz FIHHTOGSCVHSEF-UHFFFAOYSA-N 1 2 288.391 3.579 20 0 CHADLO c1cc2cc(NC[C@H]3CCOc4ccccc43)[nH+]cc2[nH]1 ZINC000672211881 1120254533 /nfs/dbraw/zinc/25/45/33/1120254533.db2.gz BERKKJZMFVNLFE-CYBMUJFWSA-N 1 2 279.343 3.541 20 0 CHADLO c1cc2cc(NC[C@@H]3C[C@@H]3c3ccccc3)[nH+]cc2[nH]1 ZINC000672213397 1120255617 /nfs/dbraw/zinc/25/56/17/1120255617.db2.gz IULXFCDCORNTOG-LSDHHAIUSA-N 1 2 263.344 3.779 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC001168690345 1120255848 /nfs/dbraw/zinc/25/58/48/1120255848.db2.gz ODAOWWPTTCNEQB-KGLIPLIRSA-N 1 2 272.396 3.563 20 0 CHADLO Clc1ccc(N2CC[C@@H](CNc3cccc[nH+]3)C2)cc1 ZINC000253491530 1120269957 /nfs/dbraw/zinc/26/99/57/1120269957.db2.gz MYPKEERGDNNTGH-ZDUSSCGKSA-N 1 2 287.794 3.673 20 0 CHADLO CC(C)c1cc(N2CC3(C2)CC(F)(F)C3)nc(C(C)C)[nH+]1 ZINC000672275375 1120273081 /nfs/dbraw/zinc/27/30/81/1120273081.db2.gz RHMCNZVYIPCNBF-UHFFFAOYSA-N 1 2 295.377 3.959 20 0 CHADLO Cc1ccccc1[C@H](C(C)C)N(C)C(=O)CCc1c[nH]c[nH+]1 ZINC000355987731 1120293055 /nfs/dbraw/zinc/29/30/55/1120293055.db2.gz IPRUWSMTTWNPMO-SFHVURJKSA-N 1 2 299.418 3.506 20 0 CHADLO Cc1ccccc1[C@H](C(C)C)N(C)C(=O)CCc1c[nH+]c[nH]1 ZINC000355987731 1120293061 /nfs/dbraw/zinc/29/30/61/1120293061.db2.gz IPRUWSMTTWNPMO-SFHVURJKSA-N 1 2 299.418 3.506 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cccc(F)c2F)s1 ZINC000181969618 1120311754 /nfs/dbraw/zinc/31/17/54/1120311754.db2.gz PKABHBURVLAQSP-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nnc(-c3ccccc3)o2)s1 ZINC000077210759 1120318386 /nfs/dbraw/zinc/31/83/86/1120318386.db2.gz RMJLUDFGIWDBCX-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nnc(-c3ccccc3)o2)s1 ZINC000077210759 1120318390 /nfs/dbraw/zinc/31/83/90/1120318390.db2.gz RMJLUDFGIWDBCX-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2c(F)cccc2F)CC1 ZINC000182809963 1120329866 /nfs/dbraw/zinc/32/98/66/1120329866.db2.gz HVMIAHGBPZFEAM-UHFFFAOYSA-N 1 2 277.289 3.883 20 0 CHADLO C[N@H+](Cc1csc(Cc2ccc(Cl)cc2)n1)C1CC1 ZINC000042123776 1120334511 /nfs/dbraw/zinc/33/45/11/1120334511.db2.gz XDPQPLXLCIYHJT-UHFFFAOYSA-N 1 2 292.835 3.982 20 0 CHADLO C[N@@H+](Cc1csc(Cc2ccc(Cl)cc2)n1)C1CC1 ZINC000042123776 1120334513 /nfs/dbraw/zinc/33/45/13/1120334513.db2.gz XDPQPLXLCIYHJT-UHFFFAOYSA-N 1 2 292.835 3.982 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+][C@@H]1CCCOc2ccccc21 ZINC000672622260 1120336327 /nfs/dbraw/zinc/33/63/27/1120336327.db2.gz DWAOYABJXMXGPE-TZMCWYRMSA-N 1 2 267.319 3.678 20 0 CHADLO COCCOc1ccc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000672622109 1120336477 /nfs/dbraw/zinc/33/64/77/1120336477.db2.gz RWTMQCSPEBVXJH-IUODEOHRSA-N 1 2 299.361 3.550 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+][C@H]1CSCCc2ccccc21 ZINC000672622667 1120337164 /nfs/dbraw/zinc/33/71/64/1120337164.db2.gz PNXCQNLDZMHHCW-UONOGXRCSA-N 1 2 283.387 3.794 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H]1C[C@@H](Oc2ccccc2)C1(C)C ZINC000672624259 1120337354 /nfs/dbraw/zinc/33/73/54/1120337354.db2.gz VJWMPBUJYZCNLK-OAUYIBNBSA-N 1 2 299.418 3.615 20 0 CHADLO CCCn1cc(C[NH2+][C@@H](c2ccco2)c2ccccc2)cn1 ZINC000116912602 1120348804 /nfs/dbraw/zinc/34/88/04/1120348804.db2.gz ZKRVTKKTBORHAP-GOSISDBHSA-N 1 2 295.386 3.765 20 0 CHADLO CC[C@@H](Sc1nc(N)cc(C)[nH+]1)c1ccc(F)cc1 ZINC000077910749 1120355682 /nfs/dbraw/zinc/35/56/82/1120355682.db2.gz OCNUPVKHYMRBNQ-GFCCVEGCSA-N 1 2 277.368 3.750 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](CCSc1ccncc1)C2 ZINC000930702062 1120378057 /nfs/dbraw/zinc/37/80/57/1120378057.db2.gz IWDDCNBBVUNDEE-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](CCSc1ccncc1)C2 ZINC000930702062 1120378061 /nfs/dbraw/zinc/37/80/61/1120378061.db2.gz IWDDCNBBVUNDEE-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO COc1c(F)ccc(Nc2cccn3cc(C)[nH+]c23)c1F ZINC001212494532 1120386073 /nfs/dbraw/zinc/38/60/73/1120386073.db2.gz OYWQLJUCUYGGNE-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Cc1cc(C)c(/C=C\C[NH2+][C@H](C)c2csnn2)c(C)c1 ZINC000631158451 1120396751 /nfs/dbraw/zinc/39/67/51/1120396751.db2.gz AXKQMFTYAZECJQ-GEXIGZQTSA-N 1 2 287.432 3.827 20 0 CHADLO COc1cccc(C[NH+]2CC3(C2)CC(F)(F)C3)c1Cl ZINC000660145990 1120397460 /nfs/dbraw/zinc/39/74/60/1120397460.db2.gz CFVSGDVNBJDCNO-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@H](C)c1c(F)cccc1F ZINC000078679195 1120399016 /nfs/dbraw/zinc/39/90/16/1120399016.db2.gz HQQGZLBXHAZJND-DTWKUNHWSA-N 1 2 280.318 3.981 20 0 CHADLO Cc1nc(C[N@H+]2CC=C(c3cccc(C)c3)CC2)co1 ZINC000660166120 1120408297 /nfs/dbraw/zinc/40/82/97/1120408297.db2.gz JTLZSDHCZJKYPE-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO Cc1nc(C[N@@H+]2CC=C(c3cccc(C)c3)CC2)co1 ZINC000660166120 1120408298 /nfs/dbraw/zinc/40/82/98/1120408298.db2.gz JTLZSDHCZJKYPE-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@H+](Cc2cc(C(C)(C)C)on2)C1 ZINC000368594919 1120417435 /nfs/dbraw/zinc/41/74/35/1120417435.db2.gz UZTPOVNVMHUVHR-XJKSGUPXSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@@H+](Cc2cc(C(C)(C)C)on2)C1 ZINC000368594919 1120417438 /nfs/dbraw/zinc/41/74/38/1120417438.db2.gz UZTPOVNVMHUVHR-XJKSGUPXSA-N 1 2 299.418 3.950 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)co1 ZINC000660180532 1120421129 /nfs/dbraw/zinc/42/11/29/1120421129.db2.gz UUPRDMONLFVNAZ-LBPRGKRZSA-N 1 2 274.364 3.621 20 0 CHADLO C[N@H+]1Cc2ccccc2[C@@H](NCc2ccsc2Cl)C1 ZINC000682943603 1120431201 /nfs/dbraw/zinc/43/12/01/1120431201.db2.gz WHUVURDCURFPDS-AWEZNQCLSA-N 1 2 292.835 3.678 20 0 CHADLO C[N@@H+]1Cc2ccccc2[C@@H](NCc2ccsc2Cl)C1 ZINC000682943603 1120431202 /nfs/dbraw/zinc/43/12/02/1120431202.db2.gz WHUVURDCURFPDS-AWEZNQCLSA-N 1 2 292.835 3.678 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@H]3CCC[C@H]32)nc(C2CC2)[nH+]1 ZINC000338813104 1120432507 /nfs/dbraw/zinc/43/25/07/1120432507.db2.gz KHZZSUSNCLJEGJ-UONOGXRCSA-N 1 2 271.408 3.677 20 0 CHADLO Cc1ccc2cc(NC3(C4CCOCC4)CC3)[nH+]cc2c1 ZINC001167743912 1120450547 /nfs/dbraw/zinc/45/05/47/1120450547.db2.gz JDTKIDXLOSHGLX-UHFFFAOYSA-N 1 2 282.387 3.914 20 0 CHADLO c1nc([C@H](C2CCCCC2)[N@H+](C2CC2)C2CCCC2)n[nH]1 ZINC000637899676 1129234832 /nfs/dbraw/zinc/23/48/32/1129234832.db2.gz KGXZXZLDKPFWRZ-INIZCTEOSA-N 1 2 288.439 3.833 20 0 CHADLO Cc1cc(NC(=O)C[C@@H](C)c2ccc(F)cc2)cc[nH+]1 ZINC000080266157 1120464230 /nfs/dbraw/zinc/46/42/30/1120464230.db2.gz DSMUUPKBXDFAOI-LLVKDONJSA-N 1 2 272.323 3.661 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C2CC2)no1)c1nc(C)cs1 ZINC000660251940 1120466813 /nfs/dbraw/zinc/46/68/13/1120466813.db2.gz FHQFKWTZKPXHBL-LBPRGKRZSA-N 1 2 277.393 3.558 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccccc2F)C2CC2)cn1 ZINC000189287014 1120479529 /nfs/dbraw/zinc/47/95/29/1120479529.db2.gz YJTIZRCPZKLQGS-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccccc2F)C2CC2)cn1 ZINC000189287014 1120479531 /nfs/dbraw/zinc/47/95/31/1120479531.db2.gz YJTIZRCPZKLQGS-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO CCn1c[nH+]cc1CN(C)[C@@H](c1ccccc1)C(F)(F)F ZINC000417774760 1120480687 /nfs/dbraw/zinc/48/06/87/1120480687.db2.gz ZTXCLYYFJFLNON-AWEZNQCLSA-N 1 2 297.324 3.638 20 0 CHADLO COc1cccc(C[NH2+]Cc2nc(C(C)(C)C)co2)c1C ZINC000922267814 1120490684 /nfs/dbraw/zinc/49/06/84/1120490684.db2.gz SKHLZUKFOYZWLD-UHFFFAOYSA-N 1 2 288.391 3.579 20 0 CHADLO C[C@@H](OCCn1cc[nH+]c1)c1ccc(C(F)(F)F)cc1 ZINC001222135509 1120491578 /nfs/dbraw/zinc/49/15/78/1120491578.db2.gz ZERHRPRONPIONP-LLVKDONJSA-N 1 2 284.281 3.680 20 0 CHADLO CC1(c2noc([C@@H]3CC[N@@H+]3C3CCCC3)n2)CCCC1 ZINC000924146067 1120502570 /nfs/dbraw/zinc/50/25/70/1120502570.db2.gz UMQNCCFCZJANBU-ZDUSSCGKSA-N 1 2 275.396 3.591 20 0 CHADLO CC1(c2noc([C@@H]3CC[N@H+]3C3CCCC3)n2)CCCC1 ZINC000924146067 1120502573 /nfs/dbraw/zinc/50/25/73/1120502573.db2.gz UMQNCCFCZJANBU-ZDUSSCGKSA-N 1 2 275.396 3.591 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)ccc1Cl ZINC001141232743 1120505135 /nfs/dbraw/zinc/50/51/35/1120505135.db2.gz JTSZKQXZJVZHOI-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)ccc1Cl ZINC001141232743 1120505138 /nfs/dbraw/zinc/50/51/38/1120505138.db2.gz JTSZKQXZJVZHOI-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO FC(F)(F)c1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000397977634 1120539188 /nfs/dbraw/zinc/53/91/88/1120539188.db2.gz DLSMSZQKKBLXBY-NSHDSACASA-N 1 2 279.252 3.983 20 0 CHADLO CSc1ccc(C[NH2+][C@@H](c2ncccn2)C2CC2)s1 ZINC000922580137 1120554522 /nfs/dbraw/zinc/55/45/22/1120554522.db2.gz YDHYJZKYKGBLAO-CYBMUJFWSA-N 1 2 291.445 3.501 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cn(C)c2ccccc12 ZINC001203415939 1120556679 /nfs/dbraw/zinc/55/66/79/1120556679.db2.gz PQOXSHMXOIDXOU-UHFFFAOYSA-N 1 2 267.332 3.716 20 0 CHADLO Fc1ccc2c(c1)CC[C@H]2[NH2+][C@H]1CCCC1(F)F ZINC000398035110 1120557578 /nfs/dbraw/zinc/55/75/78/1120557578.db2.gz MXSKJWVMIXBOQA-OLZOCXBDSA-N 1 2 255.283 3.590 20 0 CHADLO FC(F)(F)Oc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000398033314 1120559172 /nfs/dbraw/zinc/55/91/72/1120559172.db2.gz HLKKBFCYXYQIDE-NSHDSACASA-N 1 2 295.251 3.863 20 0 CHADLO Cc1cc(NC(=O)[C@@H](CC(C)C)c2ccccc2)cc[nH+]1 ZINC000500303542 1120564493 /nfs/dbraw/zinc/56/44/93/1120564493.db2.gz NYYSMESCQKKZFW-KRWDZBQOSA-N 1 2 282.387 3.580 20 0 CHADLO CC[C@H]([NH2+]Cc1cscn1)c1cc(F)ccc1OC ZINC000922619774 1120565851 /nfs/dbraw/zinc/56/58/51/1120565851.db2.gz JNWYBLHKGBQYIX-ZDUSSCGKSA-N 1 2 280.368 3.532 20 0 CHADLO CC1(CC(=O)Nc2ccc(-n3cc[nH+]c3)c(Cl)c2)CC1 ZINC000428918859 1120567073 /nfs/dbraw/zinc/56/70/73/1120567073.db2.gz HCIXOCZRZRWWIE-UHFFFAOYSA-N 1 2 289.766 3.654 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(OC)cc1 ZINC000398097092 1120567706 /nfs/dbraw/zinc/56/77/06/1120567706.db2.gz XYCLPNZKWITWJQ-KBPBESRZSA-N 1 2 269.335 3.924 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+]1Cc2ccc(C)cc2C1 ZINC000428958876 1120586641 /nfs/dbraw/zinc/58/66/41/1120586641.db2.gz WUNYOTOTTNRISM-UHFFFAOYSA-N 1 2 270.376 3.931 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+]1Cc2ccc(C)cc2C1 ZINC000428958876 1120586645 /nfs/dbraw/zinc/58/66/45/1120586645.db2.gz WUNYOTOTTNRISM-UHFFFAOYSA-N 1 2 270.376 3.931 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3nc(C4CC4)cs3)CC2)c1 ZINC000343867874 1120597369 /nfs/dbraw/zinc/59/73/69/1120597369.db2.gz XMFCMVZSYILNLX-UHFFFAOYSA-N 1 2 288.391 3.939 20 0 CHADLO Cc1nc2cc(CNc3[nH+]cccc3OC(C)C)ccc2o1 ZINC001167761032 1120597700 /nfs/dbraw/zinc/59/77/00/1120597700.db2.gz SQXGNYBTCLEMOU-UHFFFAOYSA-N 1 2 297.358 3.931 20 0 CHADLO Fc1cc2cccnc2c(C[NH2+][C@H]2CCCC2(F)F)c1 ZINC000673618434 1120597858 /nfs/dbraw/zinc/59/78/58/1120597858.db2.gz GDNPROAYTQQCKZ-ZDUSSCGKSA-N 1 2 280.293 3.651 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccc2occc2c1 ZINC000673617837 1120600861 /nfs/dbraw/zinc/60/08/61/1120600861.db2.gz KUFXFGJHESKQDV-CYBMUJFWSA-N 1 2 251.276 3.710 20 0 CHADLO Fc1ccc(/C=C\C[NH2+][C@@H]2CCCC2(F)F)c(F)c1 ZINC000673617902 1120601964 /nfs/dbraw/zinc/60/19/64/1120601964.db2.gz MUNUONPKQPYFGO-XQJDBVBESA-N 1 2 273.273 3.755 20 0 CHADLO CC(C)CCn1cccc1C[NH2+][C@@H]1CCCC1(F)F ZINC000673618614 1120603047 /nfs/dbraw/zinc/60/30/47/1120603047.db2.gz VDEUPROGCNIDTE-CQSZACIVSA-N 1 2 270.367 3.812 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H]2CCCc3cccnc32)s1 ZINC000922880456 1120617260 /nfs/dbraw/zinc/61/72/60/1120617260.db2.gz CGODPUPDIIKTGZ-ZDUSSCGKSA-N 1 2 287.432 3.829 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2ccccc2Cl)nn1C ZINC000282408976 1120625926 /nfs/dbraw/zinc/62/59/26/1120625926.db2.gz YDOIYPWCSYKWRI-RYUDHWBXSA-N 1 2 277.799 3.794 20 0 CHADLO CC(C)C[N@H+](Cc1noc(C2CCC2)n1)Cc1ccccc1 ZINC000625118988 1120636205 /nfs/dbraw/zinc/63/62/05/1120636205.db2.gz DQZKAIDWIYCSRN-UHFFFAOYSA-N 1 2 299.418 3.995 20 0 CHADLO CC(C)C[N@@H+](Cc1noc(C2CCC2)n1)Cc1ccccc1 ZINC000625118988 1120636208 /nfs/dbraw/zinc/63/62/08/1120636208.db2.gz DQZKAIDWIYCSRN-UHFFFAOYSA-N 1 2 299.418 3.995 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(C)c(F)c2F)c(C)[nH+]1 ZINC000636581863 1120646192 /nfs/dbraw/zinc/64/61/92/1120646192.db2.gz NCLSGROSCIEXTN-UHFFFAOYSA-N 1 2 290.313 3.846 20 0 CHADLO C[C@]1(c2ccccc2)CC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625221271 1120650652 /nfs/dbraw/zinc/65/06/52/1120650652.db2.gz AXGCERWGHYTBFL-SFHVURJKSA-N 1 2 297.402 3.501 20 0 CHADLO C[C@]1(c2ccccc2)CC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625221271 1120650655 /nfs/dbraw/zinc/65/06/55/1120650655.db2.gz AXGCERWGHYTBFL-SFHVURJKSA-N 1 2 297.402 3.501 20 0 CHADLO Cc1cccc([C@@H]2CC[N@H+](Cc3noc(C4CCC4)n3)C2)c1 ZINC000625312488 1120667952 /nfs/dbraw/zinc/66/79/52/1120667952.db2.gz FXPUQKULQBIAFS-MRXNPFEDSA-N 1 2 297.402 3.635 20 0 CHADLO Cc1cccc([C@@H]2CC[N@@H+](Cc3noc(C4CCC4)n3)C2)c1 ZINC000625312488 1120667954 /nfs/dbraw/zinc/66/79/54/1120667954.db2.gz FXPUQKULQBIAFS-MRXNPFEDSA-N 1 2 297.402 3.635 20 0 CHADLO Cc1nc(SCc2cn3cc(C)ccc3[nH+]2)oc1C ZINC000047558620 1120669746 /nfs/dbraw/zinc/66/97/46/1120669746.db2.gz SJQWUXQHRHYQLN-UHFFFAOYSA-N 1 2 273.361 3.540 20 0 CHADLO CCC1(CC)CC[NH+](Cc2noc(C3CCC3)n2)CC1 ZINC000625341611 1120670799 /nfs/dbraw/zinc/67/07/99/1120670799.db2.gz SHOORXTYNFAXDC-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO c1cc(-c2cc[nH+]c(NC(C3CC3)C3CC3)c2)ccn1 ZINC001168742476 1120675887 /nfs/dbraw/zinc/67/58/87/1120675887.db2.gz LFHSUGWEOUHLOT-UHFFFAOYSA-N 1 2 265.360 3.744 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3O[C@H](C)C2)sc1C ZINC000429148679 1120680364 /nfs/dbraw/zinc/68/03/64/1120680364.db2.gz IHAGBFCPFUXQAR-LLVKDONJSA-N 1 2 288.416 3.543 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3O[C@H](C)C2)sc1C ZINC000429148679 1120680368 /nfs/dbraw/zinc/68/03/68/1120680368.db2.gz IHAGBFCPFUXQAR-LLVKDONJSA-N 1 2 288.416 3.543 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1nc2c(s1)CCCC2 ZINC000673857329 1120684795 /nfs/dbraw/zinc/68/47/95/1120684795.db2.gz GBKKZIFJIJDSJS-LBPRGKRZSA-N 1 2 287.432 3.610 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1nc2c(s1)CCCC2 ZINC000673857329 1120684798 /nfs/dbraw/zinc/68/47/98/1120684798.db2.gz GBKKZIFJIJDSJS-LBPRGKRZSA-N 1 2 287.432 3.610 20 0 CHADLO CC[C@]1(C)CC[N@@H+]([C@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001307583887 1120696214 /nfs/dbraw/zinc/69/62/14/1120696214.db2.gz RZNWTRLGIQBSIE-GOEBONIOSA-N 1 2 295.810 3.676 20 0 CHADLO CC[C@]1(C)CC[N@H+]([C@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001307583887 1120696216 /nfs/dbraw/zinc/69/62/16/1120696216.db2.gz RZNWTRLGIQBSIE-GOEBONIOSA-N 1 2 295.810 3.676 20 0 CHADLO CCc1nocc1C[NH2+]C1(c2ccccc2Cl)CC1 ZINC000660901556 1120697892 /nfs/dbraw/zinc/69/78/92/1120697892.db2.gz OLPRWILCBVZHFT-UHFFFAOYSA-N 1 2 276.767 3.669 20 0 CHADLO CC(C)N(C(=O)CCCn1cc[nH+]c1)[C@@H](C)c1ccccc1 ZINC000661045649 1120712207 /nfs/dbraw/zinc/71/22/07/1120712207.db2.gz FMBDXOYWXFVBEC-INIZCTEOSA-N 1 2 299.418 3.662 20 0 CHADLO CC(C)(C)c1cc(C[NH+]2CCC(c3ccco3)CC2)no1 ZINC000661161549 1120729482 /nfs/dbraw/zinc/72/94/82/1120729482.db2.gz UHVPQMVAVFRWRF-UHFFFAOYSA-N 1 2 288.391 3.945 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661170817 1120731110 /nfs/dbraw/zinc/73/11/10/1120731110.db2.gz RWSFHQZYVOYWFD-MEDUHNTESA-N 1 2 281.346 3.527 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661170817 1120731113 /nfs/dbraw/zinc/73/11/13/1120731113.db2.gz RWSFHQZYVOYWFD-MEDUHNTESA-N 1 2 281.346 3.527 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@@H+](Cc1nccs1)CC2 ZINC000661373009 1120758190 /nfs/dbraw/zinc/75/81/90/1120758190.db2.gz FGKKQMIBLQGGIV-UHFFFAOYSA-N 1 2 272.417 3.825 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@H+](Cc1nccs1)CC2 ZINC000661373009 1120758193 /nfs/dbraw/zinc/75/81/93/1120758193.db2.gz FGKKQMIBLQGGIV-UHFFFAOYSA-N 1 2 272.417 3.825 20 0 CHADLO Cc1cc(C[NH2+][C@@H](c2ccccc2F)C(C)C)no1 ZINC000661484631 1120770704 /nfs/dbraw/zinc/77/07/04/1120770704.db2.gz MCCUDBQYLMNIEP-OAHLLOKOSA-N 1 2 262.328 3.609 20 0 CHADLO CCOc1cccc(C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)n1 ZINC000661515117 1120772694 /nfs/dbraw/zinc/77/26/94/1120772694.db2.gz WJZVTQIZJHSHIS-MRXNPFEDSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1cccc(C[N@H+]2CC[C@@H]2c2ccc(F)cc2)n1 ZINC000661515117 1120772696 /nfs/dbraw/zinc/77/26/96/1120772696.db2.gz WJZVTQIZJHSHIS-MRXNPFEDSA-N 1 2 286.350 3.566 20 0 CHADLO CCc1ccc(C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)nc1 ZINC000661514125 1120772734 /nfs/dbraw/zinc/77/27/34/1120772734.db2.gz PTVUQUUXAURGHQ-QGZVFWFLSA-N 1 2 270.351 3.730 20 0 CHADLO CCc1ccc(C[N@H+]2CC[C@@H]2c2ccc(F)cc2)nc1 ZINC000661514125 1120772737 /nfs/dbraw/zinc/77/27/37/1120772737.db2.gz PTVUQUUXAURGHQ-QGZVFWFLSA-N 1 2 270.351 3.730 20 0 CHADLO CC/C=C\CC[N@@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000661538717 1120776190 /nfs/dbraw/zinc/77/61/90/1120776190.db2.gz ZQRJPEKSTVHCTA-VSQXVHSFSA-N 1 2 299.340 3.989 20 0 CHADLO CC/C=C\CC[N@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000661538717 1120776192 /nfs/dbraw/zinc/77/61/92/1120776192.db2.gz ZQRJPEKSTVHCTA-VSQXVHSFSA-N 1 2 299.340 3.989 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)[C@H](C)CO1 ZINC000651930795 1120784064 /nfs/dbraw/zinc/78/40/64/1120784064.db2.gz CNOYEPDDGZJBFD-OCCSQVGLSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)[C@H](C)CO1 ZINC000651930795 1120784067 /nfs/dbraw/zinc/78/40/67/1120784067.db2.gz CNOYEPDDGZJBFD-OCCSQVGLSA-N 1 2 294.464 3.800 20 0 CHADLO C[N@H+](Cc1cc(Cl)sc1Cl)CC1=CCCOC1 ZINC000661651551 1120789947 /nfs/dbraw/zinc/78/99/47/1120789947.db2.gz AONVPWUEBJZFFA-UHFFFAOYSA-N 1 2 292.231 3.833 20 0 CHADLO C[N@@H+](Cc1cc(Cl)sc1Cl)CC1=CCCOC1 ZINC000661651551 1120789952 /nfs/dbraw/zinc/78/99/52/1120789952.db2.gz AONVPWUEBJZFFA-UHFFFAOYSA-N 1 2 292.231 3.833 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCc3ccccc32)c1 ZINC000652329704 1120828378 /nfs/dbraw/zinc/82/83/78/1120828378.db2.gz FFKQUSUKSHUUMX-MRXNPFEDSA-N 1 2 268.360 3.718 20 0 CHADLO CC[C@@H](Nc1cc(COC)cc[nH+]1)c1cccs1 ZINC000652330786 1120828646 /nfs/dbraw/zinc/82/86/46/1120828646.db2.gz PUCXEMWGCHSKAL-GFCCVEGCSA-N 1 2 262.378 3.853 20 0 CHADLO COCc1cc[nH+]c(N[C@H](c2cccnc2)C2CCC2)c1 ZINC000652330796 1120828999 /nfs/dbraw/zinc/82/89/99/1120828999.db2.gz PWCJDKCTFUFOON-KRWDZBQOSA-N 1 2 283.375 3.576 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CC(C)(C)Cc3occc32)c1 ZINC000652331144 1120829626 /nfs/dbraw/zinc/82/96/26/1120829626.db2.gz ZGPSGIUSJOVZRS-CQSZACIVSA-N 1 2 286.375 3.947 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+](Cc1noc(C)n1)C(C)C ZINC000662108620 1120878365 /nfs/dbraw/zinc/87/83/65/1120878365.db2.gz OIQCDBAJGSPKFJ-HNNXBMFYSA-N 1 2 273.380 3.740 20 0 CHADLO CC[C@@H](c1ccccc1)[N@@H+](Cc1noc(C)n1)C(C)C ZINC000662108620 1120878366 /nfs/dbraw/zinc/87/83/66/1120878366.db2.gz OIQCDBAJGSPKFJ-HNNXBMFYSA-N 1 2 273.380 3.740 20 0 CHADLO CN(C)c1ccc(NCc2ccccc2C2CC2)c[nH+]1 ZINC000094518582 1120883109 /nfs/dbraw/zinc/88/31/09/1120883109.db2.gz UWYWAYHYQQUXFY-UHFFFAOYSA-N 1 2 267.376 3.637 20 0 CHADLO CC[C@@H]1CCN(C(=O)Nc2c(C)cc(C)[nH+]c2C)[C@@H]1C ZINC001672529181 1120892434 /nfs/dbraw/zinc/89/24/34/1120892434.db2.gz DEJZXKVPWBSGEZ-ZIAGYGMSSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](CC(F)(F)F)C2)c(C)s1 ZINC000662229321 1120906283 /nfs/dbraw/zinc/90/62/83/1120906283.db2.gz TYMFPPDYYGRZNL-GFCCVEGCSA-N 1 2 293.354 3.518 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](CC(F)(F)F)C2)c(C)s1 ZINC000662229321 1120906287 /nfs/dbraw/zinc/90/62/87/1120906287.db2.gz TYMFPPDYYGRZNL-GFCCVEGCSA-N 1 2 293.354 3.518 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1occc1C ZINC000162053803 1120934992 /nfs/dbraw/zinc/93/49/92/1120934992.db2.gz AXMZHFRPAJSTFT-UHFFFAOYSA-N 1 2 273.311 3.709 20 0 CHADLO COc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2cccs2)nc1 ZINC000286742747 1120951313 /nfs/dbraw/zinc/95/13/13/1120951313.db2.gz IEMYEIZTWKXHLD-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO COc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2cccs2)nc1 ZINC000286742747 1120951317 /nfs/dbraw/zinc/95/13/17/1120951317.db2.gz IEMYEIZTWKXHLD-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1occc1C ZINC000311618847 1120955012 /nfs/dbraw/zinc/95/50/12/1120955012.db2.gz WYDKCBITUWQHDC-NSHDSACASA-N 1 2 263.312 3.587 20 0 CHADLO Cc1cc(N2CCC=C(c3ccncc3)C2)nc(C(C)C)[nH+]1 ZINC000343909173 1120957786 /nfs/dbraw/zinc/95/77/86/1120957786.db2.gz BKGQUNBUHPYQSW-UHFFFAOYSA-N 1 2 294.402 3.597 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)c2cccc(C)c2)c1C ZINC000303146797 1120989282 /nfs/dbraw/zinc/98/92/82/1120989282.db2.gz FKPKPEKQMCNUJD-UHFFFAOYSA-N 1 2 270.376 3.652 20 0 CHADLO C[C@H](c1nc(C(C)(C)c2ccccc2Cl)no1)[NH+](C)C ZINC000924032944 1120990802 /nfs/dbraw/zinc/99/08/02/1120990802.db2.gz CMCXIBYWXWHHQR-SNVBAGLBSA-N 1 2 293.798 3.672 20 0 CHADLO Cc1ccc(CNc2ccc([NH+](C)C)cc2C)cc1 ZINC000057667882 1120997867 /nfs/dbraw/zinc/99/78/67/1120997867.db2.gz PZCHBUXRKLHSDL-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@H]2CCc3c2cccc3F)co1 ZINC000931755647 1121010874 /nfs/dbraw/zinc/01/08/74/1121010874.db2.gz WGDNDRNHMIPLFC-HNNXBMFYSA-N 1 2 288.366 3.888 20 0 CHADLO CC(C)(C)CC(C)(C)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000931770323 1121020294 /nfs/dbraw/zinc/02/02/94/1121020294.db2.gz AAXZLBIOPGNJOV-CYBMUJFWSA-N 1 2 291.439 3.578 20 0 CHADLO CC(C)Oc1ccc(Nc2ccccc2-n2cc[nH+]c2)cn1 ZINC001174762054 1121021691 /nfs/dbraw/zinc/02/16/91/1121021691.db2.gz HHSFLAPZPXCYQH-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO CCCO[C@@H]1CCCN(c2[nH+]ccc3c(N)cccc32)CC1 ZINC000663578918 1121023963 /nfs/dbraw/zinc/02/39/63/1121023963.db2.gz RNWBKMMEMXVGBL-CQSZACIVSA-N 1 2 299.418 3.603 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(C3CC3)n2)C[C@H](C)C1(F)F ZINC000625652165 1121046299 /nfs/dbraw/zinc/04/62/99/1121046299.db2.gz BXCRBJAPINFTTO-AOOOYVTPSA-N 1 2 286.391 3.744 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(C3CC3)n2)C[C@H](C)C1(F)F ZINC000625652165 1121046307 /nfs/dbraw/zinc/04/63/07/1121046307.db2.gz BXCRBJAPINFTTO-AOOOYVTPSA-N 1 2 286.391 3.744 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(OC)c(C)c2)c[nH+]1 ZINC001174829225 1121049462 /nfs/dbraw/zinc/04/94/62/1121049462.db2.gz ZPPFWILJULQNHN-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC(C)(C)CCC2(C)C)no1 ZINC000625722862 1121071143 /nfs/dbraw/zinc/07/11/43/1121071143.db2.gz IYLAVKHGSXQDEA-UHFFFAOYSA-N 1 2 265.401 3.594 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC(C)(C)CCC2(C)C)no1 ZINC000625722862 1121071151 /nfs/dbraw/zinc/07/11/51/1121071151.db2.gz IYLAVKHGSXQDEA-UHFFFAOYSA-N 1 2 265.401 3.594 20 0 CHADLO Cc1cc2c(cccc2N)n1-c1ccc([NH+](C)C)cc1 ZINC001174879444 1121078297 /nfs/dbraw/zinc/07/82/97/1121078297.db2.gz VZHWPFGRISBQOU-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO Cc1ccc2c(Nc3cccc(O)c3)cccc2[nH+]1 ZINC001174893753 1121082789 /nfs/dbraw/zinc/08/27/89/1121082789.db2.gz AOEYJLOFCOAVKI-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc2ncccc21 ZINC001174903412 1121085018 /nfs/dbraw/zinc/08/50/18/1121085018.db2.gz FBMWGZJZWXFWIJ-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO CC(C)=CCC[C@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348829850 1121086529 /nfs/dbraw/zinc/08/65/29/1121086529.db2.gz AORXLIYKGLQYFH-HNNXBMFYSA-N 1 2 284.407 3.873 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc(-n3cccn3)cc2)CCCC1 ZINC000674143922 1121092684 /nfs/dbraw/zinc/09/26/84/1121092684.db2.gz DYSPCNSUKFAPBF-UHFFFAOYSA-N 1 2 291.345 3.540 20 0 CHADLO COCc1ccc(Nc2[nH+]cccc2N2CCCC2)cc1 ZINC001174919840 1121093414 /nfs/dbraw/zinc/09/34/14/1121093414.db2.gz OIUCSHSFSZBOPS-UHFFFAOYSA-N 1 2 283.375 3.572 20 0 CHADLO COc1ccc(C[NH2+]C2(C(F)F)CCCC2)cc1F ZINC000674135172 1121094011 /nfs/dbraw/zinc/09/40/11/1121094011.db2.gz BILURBICIJCUEW-UHFFFAOYSA-N 1 2 273.298 3.502 20 0 CHADLO COCc1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1 ZINC001174918345 1121094281 /nfs/dbraw/zinc/09/42/81/1121094281.db2.gz YZJRVRVFSNMIHN-UHFFFAOYSA-N 1 2 283.375 3.572 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1N ZINC001174933531 1121102146 /nfs/dbraw/zinc/10/21/46/1121102146.db2.gz HHCYWMCBZSOZFH-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO CCOc1cc(Nc2ccc3occc(=O)c3c2)cc(C)[nH+]1 ZINC001174943225 1121106271 /nfs/dbraw/zinc/10/62/71/1121106271.db2.gz VPKCGBXFHGXMLU-UHFFFAOYSA-N 1 2 296.326 3.639 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(C(C)C)c(C)s2)o1 ZINC000344158535 1121107006 /nfs/dbraw/zinc/10/70/06/1121107006.db2.gz VZOUPYZUUNGXBN-UHFFFAOYSA-N 1 2 264.394 3.766 20 0 CHADLO CCC(CC)N(C(=O)Nc1ccc2[nH+]ccn2c1)C1CC1 ZINC000674282465 1121112008 /nfs/dbraw/zinc/11/20/08/1121112008.db2.gz HATVKOJORASFCT-UHFFFAOYSA-N 1 2 286.379 3.519 20 0 CHADLO CC(C)COC1CC[NH+](Cc2cc(C(C)(C)C)on2)CC1 ZINC000351438935 1121117826 /nfs/dbraw/zinc/11/78/26/1121117826.db2.gz GEGAAOBKSFYINK-UHFFFAOYSA-N 1 2 294.439 3.609 20 0 CHADLO C[C@H]1CCC[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000344212957 1121120804 /nfs/dbraw/zinc/12/08/04/1121120804.db2.gz SXGUARPVMXHIJY-GOEBONIOSA-N 1 2 297.402 3.571 20 0 CHADLO COc1cccc(F)c1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001174992384 1121120953 /nfs/dbraw/zinc/12/09/53/1121120953.db2.gz GDMBUXYGEPWLGY-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1noc2c1CCCC2 ZINC000171730820 1121127724 /nfs/dbraw/zinc/12/77/24/1121127724.db2.gz LYQRQHIIYPYIGR-LBPRGKRZSA-N 1 2 288.366 3.886 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1noc2c1CCCC2 ZINC000171730820 1121127727 /nfs/dbraw/zinc/12/77/27/1121127727.db2.gz LYQRQHIIYPYIGR-LBPRGKRZSA-N 1 2 288.366 3.886 20 0 CHADLO COc1cc(F)cc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001175010555 1121132422 /nfs/dbraw/zinc/13/24/22/1121132422.db2.gz WJOLRHJVMFKBCF-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Cc1ccc(Nc2c(F)ccc(F)c2F)c(C)[nH+]1 ZINC001175025376 1121138715 /nfs/dbraw/zinc/13/87/15/1121138715.db2.gz JORKNDBJSMVJPU-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO CC[N@H+](Cc1ccccc1F)Cc1cccc(F)c1OC ZINC001143529940 1121143817 /nfs/dbraw/zinc/14/38/17/1121143817.db2.gz IVFQEZSYUSFVIR-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1ccccc1F)Cc1cccc(F)c1OC ZINC001143529940 1121143823 /nfs/dbraw/zinc/14/38/23/1121143823.db2.gz IVFQEZSYUSFVIR-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]ccn32)cc1F ZINC001175066106 1121145984 /nfs/dbraw/zinc/14/59/84/1121145984.db2.gz JKRWVNNUKBBRAM-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOc1ccc(F)c(Nc2cccc3[nH+]ccn32)c1F ZINC001175040826 1121155858 /nfs/dbraw/zinc/15/58/58/1121155858.db2.gz UXXFJWOMLAPFAK-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CCOC(=O)Cc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001212520035 1121161904 /nfs/dbraw/zinc/16/19/04/1121161904.db2.gz UEDDCVNETOIRLP-UHFFFAOYSA-N 1 2 296.370 3.808 20 0 CHADLO COc1ccc(F)c(Nc2cccn3cc(C)[nH+]c23)c1F ZINC001175117221 1121172419 /nfs/dbraw/zinc/17/24/19/1121172419.db2.gz CCZNEVRMUJKUHK-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CC1(CNc2ccc(-n3cc[nH+]c3)c(F)c2)CCC1 ZINC000434774023 1121172483 /nfs/dbraw/zinc/17/24/83/1121172483.db2.gz KXXFYEDPRKAEFA-UHFFFAOYSA-N 1 2 259.328 3.614 20 0 CHADLO CCOc1ccc(F)cc1Nc1[nH+]cc(C)cc1C ZINC001175079019 1121174044 /nfs/dbraw/zinc/17/40/44/1121174044.db2.gz DJTDUXRBDMUGGR-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2cccc3c2OCC3)c(C)s1 ZINC000692917372 1121174820 /nfs/dbraw/zinc/17/48/20/1121174820.db2.gz XCWUGSBAFDUUFJ-SNVBAGLBSA-N 1 2 288.416 3.546 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OC)c(F)c1F ZINC001175150204 1121185431 /nfs/dbraw/zinc/18/54/31/1121185431.db2.gz JCDVTUXDLATSBL-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cc(CO)ccc1F ZINC001175130892 1121195238 /nfs/dbraw/zinc/19/52/38/1121195238.db2.gz YUNQZQGGWBRFQS-UHFFFAOYSA-N 1 2 274.339 3.888 20 0 CHADLO Cc1n[nH]cc1CCCNc1[nH+]c2ccccc2cc1C ZINC000063106111 1121197873 /nfs/dbraw/zinc/19/78/73/1121197873.db2.gz HUDNCAWIPMFMLU-UHFFFAOYSA-N 1 2 280.375 3.619 20 0 CHADLO Cc1cc(NC(=O)c2cc(C(F)(F)F)ccc2F)cc[nH+]1 ZINC000094598025 1121213793 /nfs/dbraw/zinc/21/37/93/1121213793.db2.gz PTPFYVFKVWUPGX-UHFFFAOYSA-N 1 2 298.239 3.800 20 0 CHADLO CCN(CC)c1ncc(CNc2ccc(C)[nH+]c2C)s1 ZINC000094664378 1121218920 /nfs/dbraw/zinc/21/89/20/1121218920.db2.gz GHMYPQALAFTNMO-UHFFFAOYSA-N 1 2 290.436 3.613 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(OCC)c(F)cc1F ZINC001212529913 1121219079 /nfs/dbraw/zinc/21/90/79/1121219079.db2.gz ZCOGWRAYNHGCTJ-UHFFFAOYSA-N 1 2 294.301 3.901 20 0 CHADLO CCOc1ccc(OC)cc1Nc1cccn2cc(C)[nH+]c12 ZINC001175211968 1121225591 /nfs/dbraw/zinc/22/55/91/1121225591.db2.gz MFEGWWCDKGYVII-UHFFFAOYSA-N 1 2 297.358 3.794 20 0 CHADLO C[N@H+](Cc1cccc(F)c1)Cc1cc(F)ccc1F ZINC000051377706 1121240640 /nfs/dbraw/zinc/24/06/40/1121240640.db2.gz GITNNQOFNHMILE-UHFFFAOYSA-N 1 2 265.278 3.736 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1)Cc1cc(F)ccc1F ZINC000051377706 1121240656 /nfs/dbraw/zinc/24/06/56/1121240656.db2.gz GITNNQOFNHMILE-UHFFFAOYSA-N 1 2 265.278 3.736 20 0 CHADLO CCOc1c(F)cccc1Nc1cccc2[nH+]c(C)cn21 ZINC001212533305 1121242566 /nfs/dbraw/zinc/24/25/66/1121242566.db2.gz BGFAHEMMKAUMDQ-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+]Cc1ncc(C)s1 ZINC000123010173 1121259728 /nfs/dbraw/zinc/25/97/28/1121259728.db2.gz GMTFEAIXTSWFAC-GFCCVEGCSA-N 1 2 276.405 3.701 20 0 CHADLO C[N@H+](CC(=O)c1c[nH]c2ccccc12)Cc1cccc(F)c1 ZINC000123140554 1121261738 /nfs/dbraw/zinc/26/17/38/1121261738.db2.gz NSCNIRUCJRGOIW-UHFFFAOYSA-N 1 2 296.345 3.622 20 0 CHADLO C[N@@H+](CC(=O)c1c[nH]c2ccccc12)Cc1cccc(F)c1 ZINC000123140554 1121261743 /nfs/dbraw/zinc/26/17/43/1121261743.db2.gz NSCNIRUCJRGOIW-UHFFFAOYSA-N 1 2 296.345 3.622 20 0 CHADLO COc1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1Cl ZINC001175343417 1121272628 /nfs/dbraw/zinc/27/26/28/1121272628.db2.gz IDNKNVZCKNTCQH-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO Cc1ccc2cc(Nc3ccc(N(C)C)[nH+]c3)ccc2n1 ZINC001175343505 1121272954 /nfs/dbraw/zinc/27/29/54/1121272954.db2.gz LSWIAHJJQFAIKT-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C(C)=O)ccc2F)c[nH+]1 ZINC001175272820 1121273023 /nfs/dbraw/zinc/27/30/23/1121273023.db2.gz XOKFFCKJFLWCOJ-UHFFFAOYSA-N 1 2 287.338 3.623 20 0 CHADLO CN(C)c1ccc(Nc2ccc(F)c(Cl)c2F)c[nH+]1 ZINC001175344115 1121273542 /nfs/dbraw/zinc/27/35/42/1121273542.db2.gz VDKZCAQAXRZWMH-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO Cc1ccc(Nc2ccc(N(C)C)[nH+]c2)c2cccnc12 ZINC001175344151 1121274549 /nfs/dbraw/zinc/27/45/49/1121274549.db2.gz XLMARFHTPALPLD-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO Cc1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1Cl ZINC001175344416 1121274584 /nfs/dbraw/zinc/27/45/84/1121274584.db2.gz YHTRVEKISAQNND-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO Cc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(Cl)c1O ZINC001175344287 1121274601 /nfs/dbraw/zinc/27/46/01/1121274601.db2.gz CUFTULNCTGNPDF-UHFFFAOYSA-N 1 2 277.755 3.559 20 0 CHADLO FC(F)(F)c1cc(Cl)cc(C[N@@H+]2CCCOCC2)c1 ZINC000437595863 1121292390 /nfs/dbraw/zinc/29/23/90/1121292390.db2.gz TWLZUNLRZBRULT-UHFFFAOYSA-N 1 2 293.716 3.581 20 0 CHADLO FC(F)(F)c1cc(Cl)cc(C[N@H+]2CCCOCC2)c1 ZINC000437595863 1121292395 /nfs/dbraw/zinc/29/23/95/1121292395.db2.gz TWLZUNLRZBRULT-UHFFFAOYSA-N 1 2 293.716 3.581 20 0 CHADLO Oc1ccc(Cl)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175352630 1121294111 /nfs/dbraw/zinc/29/41/11/1121294111.db2.gz YQFLAYBQWGBAMA-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO O=C1CCc2ccc(Nc3ccc(N4CCCC4)[nH+]c3)cc21 ZINC001175351871 1121294295 /nfs/dbraw/zinc/29/42/95/1121294295.db2.gz WHQMDJRLLSXNQO-UHFFFAOYSA-N 1 2 293.370 3.554 20 0 CHADLO Fc1ccc([C@@H]2CCCC[N@@H+]2Cc2cocn2)cc1 ZINC000432725322 1121296650 /nfs/dbraw/zinc/29/66/50/1121296650.db2.gz MQAHKCKTAUFLHR-HNNXBMFYSA-N 1 2 260.312 3.541 20 0 CHADLO Fc1ccc([C@@H]2CCCC[N@H+]2Cc2cocn2)cc1 ZINC000432725322 1121296659 /nfs/dbraw/zinc/29/66/59/1121296659.db2.gz MQAHKCKTAUFLHR-HNNXBMFYSA-N 1 2 260.312 3.541 20 0 CHADLO COc1cc(Nc2ccc3ncccc3c2)cc(C)[nH+]1 ZINC001175375442 1121304569 /nfs/dbraw/zinc/30/45/69/1121304569.db2.gz HOKJNDYSQUUFBQ-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1nc2ccc(F)cc2s1 ZINC000432737255 1121304658 /nfs/dbraw/zinc/30/46/58/1121304658.db2.gz JZGHUYMCNQFWAO-UHFFFAOYSA-N 1 2 292.301 3.820 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1nc2ccc(F)cc2s1 ZINC000432737255 1121304663 /nfs/dbraw/zinc/30/46/63/1121304663.db2.gz JZGHUYMCNQFWAO-UHFFFAOYSA-N 1 2 292.301 3.820 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2cccc(-n3cc[nH+]c3)c2)C12CCC2 ZINC000124701101 1121318192 /nfs/dbraw/zinc/31/81/92/1121318192.db2.gz UPLJJQLOUFYTID-DLBZAZTESA-N 1 2 297.402 3.632 20 0 CHADLO Cn1ncc2cc(Nc3ccc(-n4cc[nH+]c4)cc3)ccc21 ZINC001175420354 1121318822 /nfs/dbraw/zinc/31/88/22/1121318822.db2.gz QSGLAXMECLIYLU-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CCC(CC)(CC)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000675516726 1121323395 /nfs/dbraw/zinc/32/33/95/1121323395.db2.gz GABSTFJNZKJYLI-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)cc(OC)c1 ZINC001211722792 1121336171 /nfs/dbraw/zinc/33/61/71/1121336171.db2.gz HNAHFKKIJDMUGE-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CC(C)(C)c1ccc(C(=O)Nc2ccc3[nH+]ccn3c2)s1 ZINC000675637577 1121336372 /nfs/dbraw/zinc/33/63/72/1121336372.db2.gz QTRUMSLUWUXHLE-UHFFFAOYSA-N 1 2 299.399 3.946 20 0 CHADLO C[C@@H]1CC[C@@H]([N@H+](C)Cc2noc(C3CCCCC3)n2)C1 ZINC000429584734 1121340888 /nfs/dbraw/zinc/34/08/88/1121340888.db2.gz GIXJQPOMCHZQEF-TZMCWYRMSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]1CC[C@@H]([N@@H+](C)Cc2noc(C3CCCCC3)n2)C1 ZINC000429584734 1121340897 /nfs/dbraw/zinc/34/08/97/1121340897.db2.gz GIXJQPOMCHZQEF-TZMCWYRMSA-N 1 2 277.412 3.738 20 0 CHADLO CC(C)(C)OC(=O)Nc1cc(Nc2cncs2)cc[nH+]1 ZINC001175495089 1121342777 /nfs/dbraw/zinc/34/27/77/1121342777.db2.gz FESBQODEGBBFEA-UHFFFAOYSA-N 1 2 292.364 3.629 20 0 CHADLO COc1cncc(Nc2cc(C3CC3)c[nH+]c2C)c1C ZINC001213491131 1121343753 /nfs/dbraw/zinc/34/37/53/1121343753.db2.gz AAWCXPFXTYZAND-UHFFFAOYSA-N 1 2 269.348 3.723 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccc(C(C)C)nc2C)o1 ZINC000339086399 1121346495 /nfs/dbraw/zinc/34/64/95/1121346495.db2.gz VITULGJHLUEHDJ-CYBMUJFWSA-N 1 2 273.380 3.661 20 0 CHADLO CC1(C[N@@H+]2CCC[C@H]2c2nc(-c3ccccc3)n[nH]2)CCC1 ZINC000432784763 1121347059 /nfs/dbraw/zinc/34/70/59/1121347059.db2.gz PVOYERJDYVBUNW-HNNXBMFYSA-N 1 2 296.418 3.799 20 0 CHADLO CC1(C[N@H+]2CCC[C@H]2c2nc(-c3ccccc3)n[nH]2)CCC1 ZINC000432784763 1121347065 /nfs/dbraw/zinc/34/70/65/1121347065.db2.gz PVOYERJDYVBUNW-HNNXBMFYSA-N 1 2 296.418 3.799 20 0 CHADLO Cc1cn2cc(NC(=O)NC(C)(C)C(C)(C)C)ccc2[nH+]1 ZINC000432853554 1121405913 /nfs/dbraw/zinc/40/59/13/1121405913.db2.gz KEOGCFMRSXQWRZ-UHFFFAOYSA-N 1 2 288.395 3.589 20 0 CHADLO CSc1ccc(Nc2ccc[nH+]c2N2CCCC2)cn1 ZINC001175650911 1121408450 /nfs/dbraw/zinc/40/84/50/1121408450.db2.gz FQWADKXSBBNYOB-UHFFFAOYSA-N 1 2 286.404 3.542 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3nn(C)cc3c2)c1 ZINC001175619967 1121415256 /nfs/dbraw/zinc/41/52/56/1121415256.db2.gz QMRIAUKTPYCHOV-UHFFFAOYSA-N 1 2 266.348 3.664 20 0 CHADLO COc1cc(C)cc(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001211730415 1121417109 /nfs/dbraw/zinc/41/71/09/1121417109.db2.gz VQFVGRSXBYQFHB-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO Cc1[nH]nc2cc(Nc3[nH+]c4ccccc4n3C)ccc21 ZINC001175659951 1121424959 /nfs/dbraw/zinc/42/49/59/1121424959.db2.gz RMIMYVGFRVQMEL-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO COCc1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)cc1 ZINC001175714343 1121435103 /nfs/dbraw/zinc/43/51/03/1121435103.db2.gz NPRKXIQHJKXBRB-UHFFFAOYSA-N 1 2 297.280 3.573 20 0 CHADLO Cc1c(C)c(Nc2c[nH+]c(N)cc2C(F)(F)F)ccc1O ZINC001175713566 1121435242 /nfs/dbraw/zinc/43/52/42/1121435242.db2.gz DVESQWKCBHPIHA-UHFFFAOYSA-N 1 2 297.280 3.749 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cccc3cnoc32)c[nH+]1 ZINC001175713850 1121435378 /nfs/dbraw/zinc/43/53/78/1121435378.db2.gz NFZMZFYMBXYMNH-UHFFFAOYSA-N 1 2 294.236 3.567 20 0 CHADLO Cc1cc(Nc2c[nH+]c(N)cc2C(F)(F)F)c(C)cc1N ZINC001175713894 1121435441 /nfs/dbraw/zinc/43/54/41/1121435441.db2.gz FRGNNDLHJLXKRF-UHFFFAOYSA-N 1 2 296.296 3.625 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CCC[C@@H]2c2cccs2)n1 ZINC000893905299 1121438139 /nfs/dbraw/zinc/43/81/39/1121438139.db2.gz KSECMYOPAVXWBW-CYBMUJFWSA-N 1 2 275.421 3.932 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CCC[C@@H]2c2cccs2)n1 ZINC000893905299 1121438144 /nfs/dbraw/zinc/43/81/44/1121438144.db2.gz KSECMYOPAVXWBW-CYBMUJFWSA-N 1 2 275.421 3.932 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)cc(OC)cc2F)c[nH+]1 ZINC001175723274 1121439288 /nfs/dbraw/zinc/43/92/88/1121439288.db2.gz CCFSIUFOANDDII-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO CCCn1nc(C)cc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001175800496 1121451263 /nfs/dbraw/zinc/45/12/63/1121451263.db2.gz UERUBWFJPDIFLV-UHFFFAOYSA-N 1 2 289.770 3.646 20 0 CHADLO Clc1cc(Nc2cnn(C3CCC3)c2)cn2cc[nH+]c12 ZINC001175760246 1121456363 /nfs/dbraw/zinc/45/63/63/1121456363.db2.gz MNFQPWXXWFWTRG-UHFFFAOYSA-N 1 2 287.754 3.653 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnn(C3CCC3)c2)cc1 ZINC001175763119 1121457333 /nfs/dbraw/zinc/45/73/33/1121457333.db2.gz VZDIFYLYVIXLOL-UHFFFAOYSA-N 1 2 270.380 3.808 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnn(C3CCC3)c2)cc1 ZINC001175763119 1121457337 /nfs/dbraw/zinc/45/73/37/1121457337.db2.gz VZDIFYLYVIXLOL-UHFFFAOYSA-N 1 2 270.380 3.808 20 0 CHADLO Cc1conc1C[NH2+][C@@H](C)c1oc2ccccc2c1C ZINC000708244507 1121464908 /nfs/dbraw/zinc/46/49/08/1121464908.db2.gz HZRPIVUWRHGTHG-LBPRGKRZSA-N 1 2 270.332 3.888 20 0 CHADLO CSc1ccc(C[NH2+]Cc2c(F)cc(C)cc2F)o1 ZINC000922946815 1121496129 /nfs/dbraw/zinc/49/61/29/1121496129.db2.gz MGHSTPKULVGCEV-UHFFFAOYSA-N 1 2 283.343 3.878 20 0 CHADLO Cc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)cc(C)c1N ZINC001175932708 1121500221 /nfs/dbraw/zinc/50/02/21/1121500221.db2.gz ZQVYAPVIHWWETO-UHFFFAOYSA-N 1 2 278.359 3.815 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)C[N@@H+]1CCC12CCCC2 ZINC000649657615 1128990577 /nfs/dbraw/zinc/99/05/77/1128990577.db2.gz HBRFYRMISBCVKO-UHFFFAOYSA-N 1 2 292.810 3.605 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)C[N@H+]1CCC12CCCC2 ZINC000649657615 1128990588 /nfs/dbraw/zinc/99/05/88/1128990588.db2.gz HBRFYRMISBCVKO-UHFFFAOYSA-N 1 2 292.810 3.605 20 0 CHADLO C[C@@H]1CC2(CCC2)C[N@@H+]1Cc1ncc(Cl)s1 ZINC000433021580 1121521794 /nfs/dbraw/zinc/52/17/94/1121521794.db2.gz GSGJRWXPZSXHSY-SECBINFHSA-N 1 2 256.802 3.561 20 0 CHADLO C[C@@H]1CC2(CCC2)C[N@H+]1Cc1ncc(Cl)s1 ZINC000433021580 1121521801 /nfs/dbraw/zinc/52/18/01/1121521801.db2.gz GSGJRWXPZSXHSY-SECBINFHSA-N 1 2 256.802 3.561 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1sccc1Cl ZINC000429406051 1121566511 /nfs/dbraw/zinc/56/65/11/1121566511.db2.gz WYNHGROGVNZRBG-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1sccc1Cl ZINC000429406051 1121566513 /nfs/dbraw/zinc/56/65/13/1121566513.db2.gz WYNHGROGVNZRBG-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO c1c[nH+]c(Nc2cccc(N3CCOCC3)c2)c(C2CC2)c1 ZINC001176017551 1121526624 /nfs/dbraw/zinc/52/66/24/1121526624.db2.gz OLZGHRRVYYBDBW-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc2c1OCC2 ZINC001176074071 1121529313 /nfs/dbraw/zinc/52/93/13/1121529313.db2.gz MTULIFJYDZHTKJ-UHFFFAOYSA-N 1 2 254.333 3.631 20 0 CHADLO CCOC(=O)c1c(C(C)C)csc1Nc1[nH]cc[nH+]1 ZINC001176080362 1121533690 /nfs/dbraw/zinc/53/36/90/1121533690.db2.gz PXYWSPMXYXFKGS-UHFFFAOYSA-N 1 2 279.365 3.515 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000711470013 1121535261 /nfs/dbraw/zinc/53/52/61/1121535261.db2.gz JLLROBUTXXWIQN-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000711470013 1121535269 /nfs/dbraw/zinc/53/52/69/1121535269.db2.gz JLLROBUTXXWIQN-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H](c3ccccc3)C2)no1 ZINC000433083374 1121555198 /nfs/dbraw/zinc/55/51/98/1121555198.db2.gz ONIDGGPFUOCYGN-OAHLLOKOSA-N 1 2 285.391 3.573 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H](c3ccccc3)C2)no1 ZINC000433083374 1121555200 /nfs/dbraw/zinc/55/52/00/1121555200.db2.gz ONIDGGPFUOCYGN-OAHLLOKOSA-N 1 2 285.391 3.573 20 0 CHADLO COc1cc[nH+]cc1Nc1nc2ccc(C)cc2s1 ZINC001176117211 1121556122 /nfs/dbraw/zinc/55/61/22/1121556122.db2.gz VQFUCTWIPASSAQ-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc2cc(F)c(F)cc2c1 ZINC001176119675 1121556201 /nfs/dbraw/zinc/55/62/01/1121556201.db2.gz YVMYJODXLYYZFT-UHFFFAOYSA-N 1 2 287.269 3.660 20 0 CHADLO CCn1nc(-c2ccccc2)cc1Nc1c[nH+]ccc1OC ZINC001176118135 1121556246 /nfs/dbraw/zinc/55/62/46/1121556246.db2.gz CPDYSUUVTHMFCD-UHFFFAOYSA-N 1 2 294.358 3.717 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(C)(C)C)ns1 ZINC001176119458 1121557464 /nfs/dbraw/zinc/55/74/64/1121557464.db2.gz TXMZHOKMMCESEE-UHFFFAOYSA-N 1 2 263.366 3.588 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(-n2nc(C)cc2C)cc1 ZINC001176123744 1121557813 /nfs/dbraw/zinc/55/78/13/1121557813.db2.gz DWVDZXPLXZUMPT-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(-c2cccc(C)c2)nn1C ZINC001176121415 1121558478 /nfs/dbraw/zinc/55/84/78/1121558478.db2.gz HQYQMWKIUSZMRN-UHFFFAOYSA-N 1 2 294.358 3.543 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(Br)c1C ZINC001176121437 1121558609 /nfs/dbraw/zinc/55/86/09/1121558609.db2.gz UOYISNXJIUQWNX-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2c(cnn2C(C)C)c1 ZINC001176122982 1121559031 /nfs/dbraw/zinc/55/90/31/1121559031.db2.gz FFKNZNGKVMTKGI-UHFFFAOYSA-N 1 2 282.347 3.764 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2nc(C)ccc2c1 ZINC001176121485 1121559377 /nfs/dbraw/zinc/55/93/77/1121559377.db2.gz WAAOVNUVVHTDIP-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO O=c1ccc(Nc2cc[nH+]c3cc(Cl)ccc23)c[nH]1 ZINC001176126256 1121560082 /nfs/dbraw/zinc/56/00/82/1121560082.db2.gz CQUNHZOXTCWNDU-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(F)c1OC(C)C ZINC001176124467 1121560354 /nfs/dbraw/zinc/56/03/54/1121560354.db2.gz PUXMJQBQKNDJOK-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OCC(F)(F)F)cc1 ZINC001176125344 1121560475 /nfs/dbraw/zinc/56/04/75/1121560475.db2.gz ZRGJBQNZEBUYMB-UHFFFAOYSA-N 1 2 298.264 3.775 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)c(C)cc1F ZINC001176125346 1121560830 /nfs/dbraw/zinc/56/08/30/1121560830.db2.gz ZVBLAIQGIMJQJT-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1C(=O)C(F)(F)F ZINC001176124595 1121560942 /nfs/dbraw/zinc/56/09/42/1121560942.db2.gz OQGREXKROFVKCQ-UHFFFAOYSA-N 1 2 296.248 3.579 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@H](C)OC(C)(C)C1 ZINC000645138946 1121563188 /nfs/dbraw/zinc/56/31/88/1121563188.db2.gz RYBLHTVSYOXQPW-ZDUSSCGKSA-N 1 2 270.376 3.547 20 0 CHADLO Cc1cc(COc2cc(C)[nH+]c3c(C)cccc23)nc(C)n1 ZINC000664001457 1121567174 /nfs/dbraw/zinc/56/71/74/1121567174.db2.gz MEHXGRMULGSUDE-UHFFFAOYSA-N 1 2 293.370 3.837 20 0 CHADLO Oc1cccc2c1CC[N@H+](Cc1ccc(Cl)s1)C2 ZINC000626025595 1121582567 /nfs/dbraw/zinc/58/25/67/1121582567.db2.gz FVLDTRDDSLZQHS-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1cccc2c1CC[N@@H+](Cc1ccc(Cl)s1)C2 ZINC000626025595 1121582572 /nfs/dbraw/zinc/58/25/72/1121582572.db2.gz FVLDTRDDSLZQHS-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO c1cn(-c2ccc(Nc3cncc(C4CC4)c3)cc2)c[nH+]1 ZINC001176285398 1121588288 /nfs/dbraw/zinc/58/82/88/1121588288.db2.gz KPIFMBOETRHNPM-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO Cc1cc(NC(=O)c2ccc(OC3CCCC3)cc2)cc[nH+]1 ZINC000074948175 1121606255 /nfs/dbraw/zinc/60/62/55/1121606255.db2.gz HFKGVOBFFRVHBX-UHFFFAOYSA-N 1 2 296.370 3.964 20 0 CHADLO c1ccc2cc(NCc3noc4c3CCCC4)[nH+]cc2c1 ZINC000631283312 1128996664 /nfs/dbraw/zinc/99/66/64/1128996664.db2.gz CKMWWGINOLDDAZ-UHFFFAOYSA-N 1 2 279.343 3.714 20 0 CHADLO Cc1ccc(Nc2ccc3cc(O)ccc3c2)[nH+]c1 ZINC001176384345 1121607919 /nfs/dbraw/zinc/60/79/19/1121607919.db2.gz ONGIEGKDMVHHLP-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO Cc1[nH+]c2cc(OCc3ncc(C(C)(C)C)o3)ccc2n1C ZINC000664052923 1121609289 /nfs/dbraw/zinc/60/92/89/1121609289.db2.gz HHMLDMJHLUTIEG-UHFFFAOYSA-N 1 2 299.374 3.746 20 0 CHADLO CCc1ncc(C[NH2+][C@H](CF)c2ccc(F)cc2)s1 ZINC000631283045 1128996967 /nfs/dbraw/zinc/99/69/67/1128996967.db2.gz GKCNVTZMZQDFJI-CYBMUJFWSA-N 1 2 282.359 3.645 20 0 CHADLO OCc1cc(Nc2ccc([NH+]3CCCCC3)cc2)cs1 ZINC001176369570 1121616295 /nfs/dbraw/zinc/61/62/95/1121616295.db2.gz DBCKSVWWWCVLQW-UHFFFAOYSA-N 1 2 288.416 3.974 20 0 CHADLO c1ccc2cc(N3CCC[C@H]3[C@H]3CCCOC3)[nH+]cc2c1 ZINC000631319282 1128998032 /nfs/dbraw/zinc/99/80/32/1128998032.db2.gz MFRWJVCJGDOWLX-IRXDYDNUSA-N 1 2 282.387 3.630 20 0 CHADLO CCSc1cc(C[NH2+]Cc2nc(Cl)cs2)ccn1 ZINC000877439058 1121627260 /nfs/dbraw/zinc/62/72/60/1121627260.db2.gz SSESFTCRASRZLX-UHFFFAOYSA-N 1 2 299.852 3.593 20 0 CHADLO CCOc1cc[nH+]cc1NC=Cc1ccc(C)cc1 ZINC001176424946 1121632338 /nfs/dbraw/zinc/63/23/38/1121632338.db2.gz VPKMNXXPBNCOOP-DHZHZOJOSA-N 1 2 254.333 3.872 20 0 CHADLO COc1ccc(C[N@@H+]2CCCC[C@H]2c2cc(C)[nH]n2)cc1C ZINC001176484918 1121637338 /nfs/dbraw/zinc/63/73/38/1121637338.db2.gz KHIGHMYYHXDNFU-KRWDZBQOSA-N 1 2 299.418 3.762 20 0 CHADLO COc1ccc(C[N@H+]2CCCC[C@H]2c2cc(C)[nH]n2)cc1C ZINC001176484918 1121637341 /nfs/dbraw/zinc/63/73/41/1121637341.db2.gz KHIGHMYYHXDNFU-KRWDZBQOSA-N 1 2 299.418 3.762 20 0 CHADLO Cc1ccc(Nc2cn(C)nc2-c2ccccc2)c(C)[nH+]1 ZINC001176562080 1121654579 /nfs/dbraw/zinc/65/45/79/1121654579.db2.gz BIHCCAGCOWDNFR-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO COc1cc(Nc2cn(C)nc2-c2ccccc2)[nH+]cc1C ZINC001176568049 1121656351 /nfs/dbraw/zinc/65/63/51/1121656351.db2.gz ABNABAXRDIDBBR-UHFFFAOYSA-N 1 2 294.358 3.543 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(O)cc(Cl)c1 ZINC001176622394 1121663270 /nfs/dbraw/zinc/66/32/70/1121663270.db2.gz RTKYTPQNJUXTRS-UHFFFAOYSA-N 1 2 264.712 3.583 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc(OC(F)(F)F)cc1 ZINC001176641909 1121667481 /nfs/dbraw/zinc/66/74/81/1121667481.db2.gz OZRHDBUFMVSQBI-UHFFFAOYSA-N 1 2 297.280 3.790 20 0 CHADLO c1coc(CSCCNc2cc3cc[nH]c3c[nH+]2)c1 ZINC001164215879 1121671945 /nfs/dbraw/zinc/67/19/45/1121671945.db2.gz NWTLAPHFSWQCBR-UHFFFAOYSA-N 1 2 273.361 3.501 20 0 CHADLO CCCCc1nc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2)no1 ZINC000513265491 1121676997 /nfs/dbraw/zinc/67/69/97/1121676997.db2.gz FLYUDUXWXDOPGA-ZBFHGGJFSA-N 1 2 299.418 3.995 20 0 CHADLO CCCCc1nc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2)no1 ZINC000513265491 1121677002 /nfs/dbraw/zinc/67/70/02/1121677002.db2.gz FLYUDUXWXDOPGA-ZBFHGGJFSA-N 1 2 299.418 3.995 20 0 CHADLO Nc1ccc[nH+]c1NC=Cc1ccc(C(F)(F)F)cc1 ZINC001176661048 1121677313 /nfs/dbraw/zinc/67/73/13/1121677313.db2.gz INPWFBZTCYBECL-VQHVLOKHSA-N 1 2 279.265 3.765 20 0 CHADLO Cc1cn2c(cccc2Nc2cccnc2OC(C)C)[nH+]1 ZINC001176730634 1121683071 /nfs/dbraw/zinc/68/30/71/1121683071.db2.gz SITYSIUAHJPYQO-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO FC(F)c1ccc(Nc2ccc[nH+]c2N2CCCC2)nc1 ZINC001176749059 1121686331 /nfs/dbraw/zinc/68/63/31/1121686331.db2.gz RFWHGHZXRGHICM-UHFFFAOYSA-N 1 2 290.317 3.758 20 0 CHADLO c1nc2cc(Nc3ccc[nH+]c3N3CCCC3)ccc2o1 ZINC001176749002 1121686579 /nfs/dbraw/zinc/68/65/79/1121686579.db2.gz NQCHYEHGDQRHDC-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2ccc3c(c2)CCC3)c1 ZINC001176748764 1121686952 /nfs/dbraw/zinc/68/69/52/1121686952.db2.gz AAYJQVJFKRFBSU-UHFFFAOYSA-N 1 2 279.387 3.914 20 0 CHADLO Cc1ccnc(Cl)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001176742882 1121687076 /nfs/dbraw/zinc/68/70/76/1121687076.db2.gz AMSVKPGUGMZHMR-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO CCCCCC[N@H+](Cc1ccco1)[C@@H](C)C(=O)OCC ZINC001176823339 1121695406 /nfs/dbraw/zinc/69/54/06/1121695406.db2.gz AYMICJPHADDWHI-AWEZNQCLSA-N 1 2 281.396 3.614 20 0 CHADLO CCCCCC[N@@H+](Cc1ccco1)[C@@H](C)C(=O)OCC ZINC001176823339 1121695409 /nfs/dbraw/zinc/69/54/09/1121695409.db2.gz AYMICJPHADDWHI-AWEZNQCLSA-N 1 2 281.396 3.614 20 0 CHADLO Cc1ncc([C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)s1 ZINC000182848760 1129004550 /nfs/dbraw/zinc/00/45/50/1129004550.db2.gz VTCDZWDRNHKNHN-LLVKDONJSA-N 1 2 275.421 3.999 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)c2cccc(C)c2C)c1C ZINC000727585524 1121725487 /nfs/dbraw/zinc/72/54/87/1121725487.db2.gz BUFMKKKDYPHVKQ-UHFFFAOYSA-N 1 2 284.403 3.960 20 0 CHADLO Clc1ccc2sc(CNc3cccc[nH+]3)cc2n1 ZINC001177371107 1121725977 /nfs/dbraw/zinc/72/59/77/1121725977.db2.gz RWCATKBBOWLDKQ-UHFFFAOYSA-N 1 2 275.764 3.957 20 0 CHADLO COc1c(C)ccc(NCc2c[nH+]c3c(C)cccn23)c1C ZINC001177424188 1121729254 /nfs/dbraw/zinc/72/92/54/1121729254.db2.gz CDEPZHBTMGWVHT-UHFFFAOYSA-N 1 2 295.386 3.880 20 0 CHADLO COc1c(C)ccc(NCc2cc(C)[nH+]c(C)c2)c1C ZINC001177424995 1121730092 /nfs/dbraw/zinc/73/00/92/1121730092.db2.gz MOBVEGAUQMGQQW-UHFFFAOYSA-N 1 2 270.376 3.936 20 0 CHADLO Cc1ccn2cc(C[NH2+]Cc3ccc(C)cc3Cl)nc2c1 ZINC001177733927 1121748378 /nfs/dbraw/zinc/74/83/78/1121748378.db2.gz XXFBXQHAJDDVHV-UHFFFAOYSA-N 1 2 299.805 3.894 20 0 CHADLO c1cn2c(cccc2CNc2ccc(OCC3CC3)cc2)[nH+]1 ZINC001177835115 1121752081 /nfs/dbraw/zinc/75/20/81/1121752081.db2.gz IRBIKZNFJRYEGI-UHFFFAOYSA-N 1 2 293.370 3.735 20 0 CHADLO C[C@H](C(=O)Nc1cccc2c1[C@@H](C)CC2(C)C)n1cc[nH+]c1 ZINC001177927004 1121758637 /nfs/dbraw/zinc/75/86/37/1121758637.db2.gz RVZSXEWRIAVBLL-QWHCGFSZSA-N 1 2 297.402 3.868 20 0 CHADLO CCC(=O)Nc1ccc(NCc2cc(C)[nH+]c(C)c2)cc1 ZINC001178021059 1121762368 /nfs/dbraw/zinc/76/23/68/1121762368.db2.gz OMWOSUOEDKBPEB-UHFFFAOYSA-N 1 2 283.375 3.659 20 0 CHADLO Clc1ccc(CNc2ccc([NH+]3CCCC3)cc2)cn1 ZINC001178125324 1121770017 /nfs/dbraw/zinc/77/00/17/1121770017.db2.gz XKADPWQXELPAFY-UHFFFAOYSA-N 1 2 287.794 3.947 20 0 CHADLO Cl/C=C(/Cl)C[NH+]1CCC2(CC1)OCc1ccccc12 ZINC000763587708 1129008397 /nfs/dbraw/zinc/00/83/97/1129008397.db2.gz HRVWLBIOJLZHPT-UKTHLTGXSA-N 1 2 298.213 3.827 20 0 CHADLO CSCc1cc[nH+]c(N2CCC[C@@H](C)[C@H]2C)c1 ZINC001166613652 1121778421 /nfs/dbraw/zinc/77/84/21/1121778421.db2.gz GUSDVQHGJWKJCE-VXGBXAGGSA-N 1 2 250.411 3.569 20 0 CHADLO FC1(F)CCC[N@H+](C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000649696150 1129009503 /nfs/dbraw/zinc/00/95/03/1129009503.db2.gz XCVLZGQDOAEOHM-KBPBESRZSA-N 1 2 251.320 3.521 20 0 CHADLO FC1(F)CCC[N@@H+](C[C@@H]2C[C@H]2c2ccccc2)C1 ZINC000649696150 1129009507 /nfs/dbraw/zinc/00/95/07/1129009507.db2.gz XCVLZGQDOAEOHM-KBPBESRZSA-N 1 2 251.320 3.521 20 0 CHADLO c1cn(Cc2ccccc2OCc2ccccc2)c[nH+]1 ZINC000039335193 1121804302 /nfs/dbraw/zinc/80/43/02/1121804302.db2.gz GLBLNVHBFBJLOJ-UHFFFAOYSA-N 1 2 264.328 3.510 20 0 CHADLO Fc1ccccc1-c1ccc(C[NH2+][C@H]2CCn3ccnc32)o1 ZINC001178778725 1121819581 /nfs/dbraw/zinc/81/95/81/1121819581.db2.gz VHVZOMJODKZRID-HNNXBMFYSA-N 1 2 297.333 3.517 20 0 CHADLO Cc1ccc(C)c(CO[NH+]=C(N)Cc2cccs2)c1 ZINC000731029490 1121841743 /nfs/dbraw/zinc/84/17/43/1121841743.db2.gz VFSDYTDFIVIWLJ-UHFFFAOYSA-N 1 2 274.389 3.606 20 0 CHADLO Cc1ccccc1[C@@H](C)CC(=O)NCc1c[nH]c(C(C)C)[nH+]1 ZINC001179091674 1121850120 /nfs/dbraw/zinc/85/01/20/1121850120.db2.gz ABZNIRQAYSZYCE-AWEZNQCLSA-N 1 2 299.418 3.652 20 0 CHADLO Cc1ccccc1[C@@H](C)CC(=O)NCc1c[nH+]c(C(C)C)[nH]1 ZINC001179091674 1121850135 /nfs/dbraw/zinc/85/01/35/1121850135.db2.gz ABZNIRQAYSZYCE-AWEZNQCLSA-N 1 2 299.418 3.652 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(F)cc1F)c1nc2c(s1)CCC2 ZINC000345302645 1121870694 /nfs/dbraw/zinc/87/06/94/1121870694.db2.gz KPHDCZRQMATWNA-SECBINFHSA-N 1 2 294.370 3.761 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+][C@@]2(C)CCCc3ccccc32)o1 ZINC000731667378 1121880048 /nfs/dbraw/zinc/88/00/48/1121880048.db2.gz YDHYYAFRYAYOIW-SFHVURJKSA-N 1 2 299.418 3.708 20 0 CHADLO Cc1cc(CNc2[nH+]c3ccccc3n2C(C)C)sn1 ZINC001179478784 1121883948 /nfs/dbraw/zinc/88/39/48/1121883948.db2.gz DQYGFWJKZOQPNN-UHFFFAOYSA-N 1 2 286.404 3.994 20 0 CHADLO CCC[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1cc(C)ccn1 ZINC000631631786 1129015785 /nfs/dbraw/zinc/01/57/85/1129015785.db2.gz KDWPTPDUBRXGEG-YCPHGPKFSA-N 1 2 284.407 3.723 20 0 CHADLO Fc1ccc(-c2cc(C[NH2+]Cc3ccoc3)no2)c(F)c1 ZINC001179501681 1121885667 /nfs/dbraw/zinc/88/56/67/1121885667.db2.gz CBQNXJXTGXUIGL-UHFFFAOYSA-N 1 2 290.269 3.503 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@H]3CC[C@H](C)C3)cc2[nH+]1 ZINC000345531997 1121892627 /nfs/dbraw/zinc/89/26/27/1121892627.db2.gz ALMAENQIVWZBCB-JQWIXIFHSA-N 1 2 271.364 3.636 20 0 CHADLO O=C(CCc1ccccc1Cl)Nc1ccn2cc[nH+]c2c1 ZINC000350176357 1121893825 /nfs/dbraw/zinc/89/38/25/1121893825.db2.gz LYUSMMBRXBOJGV-UHFFFAOYSA-N 1 2 299.761 3.559 20 0 CHADLO Cc1[nH+]c[nH]c1CC(=O)Nc1cccc(-c2ccccc2)c1 ZINC000345645673 1121902133 /nfs/dbraw/zinc/90/21/33/1121902133.db2.gz DUIFBLJGFSVXNQ-UHFFFAOYSA-N 1 2 291.354 3.566 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc(C(C)(C)C)nc1 ZINC001179741400 1121903072 /nfs/dbraw/zinc/90/30/72/1121903072.db2.gz XZAGMJMBRUYZDZ-UHFFFAOYSA-N 1 2 272.396 3.598 20 0 CHADLO CC[N@H+](Cc1ccc(F)cn1)Cc1ccccc1Cl ZINC000345795512 1121918036 /nfs/dbraw/zinc/91/80/36/1121918036.db2.gz UVXXEVWARBNRSB-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cn1)Cc1ccccc1Cl ZINC000345795512 1121918042 /nfs/dbraw/zinc/91/80/42/1121918042.db2.gz UVXXEVWARBNRSB-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO O=C(Nc1ccccc1F)Nc1ccccc1-n1cc[nH+]c1 ZINC000039338994 1121940579 /nfs/dbraw/zinc/94/05/79/1121940579.db2.gz PSILPZWMBAOEQD-UHFFFAOYSA-N 1 2 296.305 3.655 20 0 CHADLO Cc1csc2nc(C)c(C[N@H+](C)Cc3ccoc3C)n12 ZINC001180175803 1121957241 /nfs/dbraw/zinc/95/72/41/1121957241.db2.gz XVYSLKUTXXUADK-UHFFFAOYSA-N 1 2 289.404 3.546 20 0 CHADLO Cc1csc2nc(C)c(C[N@@H+](C)Cc3ccoc3C)n12 ZINC001180175803 1121957244 /nfs/dbraw/zinc/95/72/44/1121957244.db2.gz XVYSLKUTXXUADK-UHFFFAOYSA-N 1 2 289.404 3.546 20 0 CHADLO O=C(CCC(F)=C(F)F)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001180273704 1121971249 /nfs/dbraw/zinc/97/12/49/1121971249.db2.gz NSAXANXOBDXAAS-UHFFFAOYSA-N 1 2 295.264 3.669 20 0 CHADLO CC[N@H+](Cc1ncc(C(C)C)o1)Cc1ccccc1 ZINC000733303643 1121971686 /nfs/dbraw/zinc/97/16/86/1121971686.db2.gz AQLBCEYLUUPCOW-UHFFFAOYSA-N 1 2 258.365 3.820 20 0 CHADLO CC[N@@H+](Cc1ncc(C(C)C)o1)Cc1ccccc1 ZINC000733303643 1121971692 /nfs/dbraw/zinc/97/16/92/1121971692.db2.gz AQLBCEYLUUPCOW-UHFFFAOYSA-N 1 2 258.365 3.820 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733307197 1121974905 /nfs/dbraw/zinc/97/49/05/1121974905.db2.gz KLMYPTWRIGFWFJ-CYBMUJFWSA-N 1 2 288.391 4.000 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733307197 1121974909 /nfs/dbraw/zinc/97/49/09/1121974909.db2.gz KLMYPTWRIGFWFJ-CYBMUJFWSA-N 1 2 288.391 4.000 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CCOc2ccccc2C1 ZINC000615346784 1129022932 /nfs/dbraw/zinc/02/29/32/1129022932.db2.gz AVLCCLVIXAVUFU-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CCOc2ccccc2C1 ZINC000615346784 1129022937 /nfs/dbraw/zinc/02/29/37/1129022937.db2.gz AVLCCLVIXAVUFU-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO COc1nccc(C[N@H+](C)Cc2ccc(Cl)cc2)c1F ZINC001180533081 1121995890 /nfs/dbraw/zinc/99/58/90/1121995890.db2.gz XZLRFQQTLNGJMD-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1nccc(C[N@@H+](C)Cc2ccc(Cl)cc2)c1F ZINC001180533081 1121995896 /nfs/dbraw/zinc/99/58/96/1121995896.db2.gz XZLRFQQTLNGJMD-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO FC(F)(F)CCCNc1c[nH+]c2c(c1)CCCC2 ZINC001180834803 1122016661 /nfs/dbraw/zinc/01/66/61/1122016661.db2.gz GKVPZDGFECXJEI-UHFFFAOYSA-N 1 2 258.287 3.715 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nc(C)cs1)c1cccc(OC)n1 ZINC000924925450 1122023199 /nfs/dbraw/zinc/02/31/99/1122023199.db2.gz LPVISLSRVVAKSM-VXGBXAGGSA-N 1 2 291.420 3.657 20 0 CHADLO CN(C)CC[N@H+](Cc1ccc(Cl)cc1F)C1CCCC1 ZINC001180984659 1122028700 /nfs/dbraw/zinc/02/87/00/1122028700.db2.gz CYVZQHFPDKBAKY-UHFFFAOYSA-N 1 2 298.833 3.785 20 0 CHADLO CN(C)CC[N@@H+](Cc1ccc(Cl)cc1F)C1CCCC1 ZINC001180984659 1122028706 /nfs/dbraw/zinc/02/87/06/1122028706.db2.gz CYVZQHFPDKBAKY-UHFFFAOYSA-N 1 2 298.833 3.785 20 0 CHADLO CC(C)n1cc(C[N@H+](C(C)C)[C@H](C)c2ccsc2)nn1 ZINC000421004977 1122060707 /nfs/dbraw/zinc/06/07/07/1122060707.db2.gz IYUNBRUQZFXZTL-CYBMUJFWSA-N 1 2 292.452 3.892 20 0 CHADLO CC(C)n1cc(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)nn1 ZINC000421004977 1122060714 /nfs/dbraw/zinc/06/07/14/1122060714.db2.gz IYUNBRUQZFXZTL-CYBMUJFWSA-N 1 2 292.452 3.892 20 0 CHADLO C[NH2+]Cc1noc(C2(c3ccc(C(C)C)cc3)CCCC2)n1 ZINC000414496014 1122069099 /nfs/dbraw/zinc/06/90/99/1122069099.db2.gz COUGGQRCKGHLFR-UHFFFAOYSA-N 1 2 299.418 3.773 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2cccc(C3CC3)c2)n1 ZINC000421353978 1122098772 /nfs/dbraw/zinc/09/87/72/1122098772.db2.gz GQQJCKQVUGFMGM-KRWDZBQOSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2cccc(C3CC3)c2)n1 ZINC000421353978 1122098779 /nfs/dbraw/zinc/09/87/79/1122098779.db2.gz GQQJCKQVUGFMGM-KRWDZBQOSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccc(Nc2cnccc2OC(F)(F)F)c(C)[nH+]1 ZINC001203368616 1122100324 /nfs/dbraw/zinc/10/03/24/1122100324.db2.gz BEBSQDAPUTXLQL-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1ccc(CSc2nccn2C2CC2)c(C)[nH+]1 ZINC000774005062 1122117469 /nfs/dbraw/zinc/11/74/69/1122117469.db2.gz POIQENGSHLOBAA-UHFFFAOYSA-N 1 2 259.378 3.522 20 0 CHADLO C[C@@H](c1ccc(C(C)(C)C)cc1)[N@H+](C)Cc1nccn1C ZINC000153351988 1129033732 /nfs/dbraw/zinc/03/37/32/1129033732.db2.gz XUQJJLSJSOVSPI-AWEZNQCLSA-N 1 2 285.435 3.911 20 0 CHADLO C[C@@H](c1ccc(C(C)(C)C)cc1)[N@@H+](C)Cc1nccn1C ZINC000153351988 1129033736 /nfs/dbraw/zinc/03/37/36/1129033736.db2.gz XUQJJLSJSOVSPI-AWEZNQCLSA-N 1 2 285.435 3.911 20 0 CHADLO c1ccc(-c2nnc([C@@H]3CCC[N@@H+]3[C@@H]3C=CCCC3)[nH]2)cc1 ZINC000503159748 1122170161 /nfs/dbraw/zinc/17/01/61/1122170161.db2.gz LDLYULPPGFTSFE-CVEARBPZSA-N 1 2 294.402 3.717 20 0 CHADLO c1ccc(-c2nnc([C@@H]3CCC[N@H+]3[C@@H]3C=CCCC3)[nH]2)cc1 ZINC000503159748 1122170163 /nfs/dbraw/zinc/17/01/63/1122170163.db2.gz LDLYULPPGFTSFE-CVEARBPZSA-N 1 2 294.402 3.717 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)cc1C ZINC000271745288 1122173632 /nfs/dbraw/zinc/17/36/32/1122173632.db2.gz JMRKBCLINBDGPN-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO Cc1ccc(Nc2ccccc2-n2cccn2)c(C)[nH+]1 ZINC001203373374 1122182919 /nfs/dbraw/zinc/18/29/19/1122182919.db2.gz MDBSOEQLQXZDFM-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](c2ccccc2C)C2CC2)n1 ZINC000272084652 1122189313 /nfs/dbraw/zinc/18/93/13/1122189313.db2.gz WWLOYQQPVQSZTK-QGZVFWFLSA-N 1 2 285.391 3.571 20 0 CHADLO C[C@@H]([NH2+]C1(c2cccc(F)c2)CC1)c1cscn1 ZINC000272169962 1122192226 /nfs/dbraw/zinc/19/22/26/1122192226.db2.gz PNFHPYKVWHFOIE-SNVBAGLBSA-N 1 2 262.353 3.622 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+]Cc2cc(F)ccc2C)cs1 ZINC000272138323 1122192570 /nfs/dbraw/zinc/19/25/70/1122192570.db2.gz BIGDQWFYILVQPE-NSHDSACASA-N 1 2 294.395 3.588 20 0 CHADLO CCCCCOc1cc(C)ccc1C[NH2+]Cc1cc[nH]n1 ZINC000152516720 1122192751 /nfs/dbraw/zinc/19/27/51/1122192751.db2.gz BCWPATXUZMFIPV-UHFFFAOYSA-N 1 2 287.407 3.577 20 0 CHADLO CN(C)c1ccc(Cc2ccccc2C(F)(F)F)c[nH+]1 ZINC001250100302 1122202391 /nfs/dbraw/zinc/20/23/91/1122202391.db2.gz MMWPQTCUSUIJDB-UHFFFAOYSA-N 1 2 280.293 3.757 20 0 CHADLO Cc1cc(-c2noc([C@@H](C)[NH2+]C/C=C/Cl)n2)ccc1F ZINC000273329830 1122227508 /nfs/dbraw/zinc/22/75/08/1122227508.db2.gz SDROGEVEANFOFI-QLCVYAKKSA-N 1 2 295.745 3.587 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)cc2c1OCC2)c1cscn1 ZINC000273389670 1122231264 /nfs/dbraw/zinc/23/12/64/1122231264.db2.gz DHSJLLWIAZJXHG-SECBINFHSA-N 1 2 294.807 3.582 20 0 CHADLO COc1nscc1C[N@H+](C)Cc1ccc2occc2c1 ZINC001183992973 1122251787 /nfs/dbraw/zinc/25/17/87/1122251787.db2.gz XFHRZCVFZNJEDZ-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO COc1nscc1C[N@@H+](C)Cc1ccc2occc2c1 ZINC001183992973 1122251791 /nfs/dbraw/zinc/25/17/91/1122251791.db2.gz XFHRZCVFZNJEDZ-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO CC[C@@H](C)Cc1noc(-c2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000632246824 1129044328 /nfs/dbraw/zinc/04/43/28/1129044328.db2.gz FOPUWBJBUFGLPQ-CYBMUJFWSA-N 1 2 296.374 3.570 20 0 CHADLO C[C@H]([NH2+]Cc1cnns1)c1cccc(Cl)c1Cl ZINC000289019320 1129045235 /nfs/dbraw/zinc/04/52/35/1129045235.db2.gz AOKVOCSIDQGZFP-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO Oc1cccc(Cl)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001184968020 1122304394 /nfs/dbraw/zinc/30/43/94/1122304394.db2.gz JTRCJMQAXHCQKH-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO CCc1ccc(O)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001184967416 1122304549 /nfs/dbraw/zinc/30/45/49/1122304549.db2.gz BTURBGXHRDUTGD-UHFFFAOYSA-N 1 2 283.375 3.693 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+](C)Cc1ccns1 ZINC000637884698 1122308107 /nfs/dbraw/zinc/30/81/07/1122308107.db2.gz FTKSTVQZYMDEBL-VIFPVBQESA-N 1 2 268.332 3.614 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+](C)Cc1ccns1 ZINC000637884698 1122308114 /nfs/dbraw/zinc/30/81/14/1122308114.db2.gz FTKSTVQZYMDEBL-VIFPVBQESA-N 1 2 268.332 3.614 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccccc2)c(Cl)n1 ZINC000688567007 1129045905 /nfs/dbraw/zinc/04/59/05/1129045905.db2.gz VAQQEVSMJOBRCR-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccccc2)c(Cl)n1 ZINC000688567007 1129045910 /nfs/dbraw/zinc/04/59/10/1129045910.db2.gz VAQQEVSMJOBRCR-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO COc1cc(F)cc(OC)c1C[N@H+](C)[C@H](C)c1ccco1 ZINC000637901880 1122313370 /nfs/dbraw/zinc/31/33/70/1122313370.db2.gz ILZFPICCKJPLBL-LLVKDONJSA-N 1 2 293.338 3.629 20 0 CHADLO COc1cc(F)cc(OC)c1C[N@@H+](C)[C@H](C)c1ccco1 ZINC000637901880 1122313380 /nfs/dbraw/zinc/31/33/80/1122313380.db2.gz ILZFPICCKJPLBL-LLVKDONJSA-N 1 2 293.338 3.629 20 0 CHADLO CCc1cc(Nc2ccc(NC3CCCC3)[nH+]c2)nc(C)n1 ZINC001185169780 1122322368 /nfs/dbraw/zinc/32/23/68/1122322368.db2.gz CWYDAXLZXWHIAE-UHFFFAOYSA-N 1 2 297.406 3.841 20 0 CHADLO CC[C@H]1CCC[C@@H]1Nc1nc2ccccc2n2c[nH+]cc12 ZINC001185801503 1122360310 /nfs/dbraw/zinc/36/03/10/1122360310.db2.gz JLQXFRLUWILBLS-STQMWFEESA-N 1 2 280.375 3.873 20 0 CHADLO CNc1ccc(Nc2nc3cc(C)ccc3s2)c[nH+]1 ZINC001185818789 1122362572 /nfs/dbraw/zinc/36/25/72/1122362572.db2.gz DGDKXIASNDYEPL-UHFFFAOYSA-N 1 2 270.361 3.785 20 0 CHADLO Cc1nc(N2CC[C@H](C)C3(CCC3)C2)c2c([nH+]1)CCCC2 ZINC001185940455 1122367477 /nfs/dbraw/zinc/36/74/77/1122367477.db2.gz WATYHRKSDMDWGB-ZDUSSCGKSA-N 1 2 285.435 3.680 20 0 CHADLO CCc1cc(N2CC=C(C(C)(C)C)CC2)nc(CC)[nH+]1 ZINC001186014022 1122371506 /nfs/dbraw/zinc/37/15/06/1122371506.db2.gz DXPDHDNRWLLFAN-UHFFFAOYSA-N 1 2 273.424 3.784 20 0 CHADLO Cc1nc(N2CC(C)(C)CC(C)(C)C2)c(C)c(C)[nH+]1 ZINC001186034446 1122372149 /nfs/dbraw/zinc/37/21/49/1122372149.db2.gz OITNRMFXSPDARE-UHFFFAOYSA-N 1 2 261.413 3.664 20 0 CHADLO CCc1nc(N2Cc3ccccc3C[C@H]2CC)cc(C)[nH+]1 ZINC001186034006 1122372332 /nfs/dbraw/zinc/37/23/32/1122372332.db2.gz HGEASMLURFOQBL-MRXNPFEDSA-N 1 2 281.403 3.689 20 0 CHADLO Cc1c[nH+]cc(Cc2cc(F)c(F)c(F)c2)c1C ZINC001250234953 1122381423 /nfs/dbraw/zinc/38/14/23/1122381423.db2.gz LXVWUNXPCUKEPH-UHFFFAOYSA-N 1 2 251.251 3.707 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+]1CCOc2c(F)cccc2C1 ZINC000434611088 1122382237 /nfs/dbraw/zinc/38/22/37/1122382237.db2.gz CQYQOMLUZCJTMC-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+]1CCOc2c(F)cccc2C1 ZINC000434611088 1122382239 /nfs/dbraw/zinc/38/22/39/1122382239.db2.gz CQYQOMLUZCJTMC-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(F)ncc2F)c1C ZINC000824422994 1122401905 /nfs/dbraw/zinc/40/19/05/1122401905.db2.gz IXAOOPKZDRFPIB-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(F)ncc2F)c1C ZINC000824422994 1122401908 /nfs/dbraw/zinc/40/19/08/1122401908.db2.gz IXAOOPKZDRFPIB-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnc(C(F)(F)F)c2)c1 ZINC001203654036 1122419214 /nfs/dbraw/zinc/41/92/14/1122419214.db2.gz BLHSYSBYHSDZKW-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1cc(NCC(F)(F)C(C)(C)C)nc(C2CC2)[nH+]1 ZINC001187123133 1122420933 /nfs/dbraw/zinc/42/09/33/1122420933.db2.gz DXYGQRMGBJHQDS-UHFFFAOYSA-N 1 2 269.339 3.756 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Br)cnc2F)c1 ZINC001203654947 1122422091 /nfs/dbraw/zinc/42/20/91/1122422091.db2.gz ULKZXQNHMIXRIO-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnn2Cc2ccccc2)c1 ZINC001203655207 1122422575 /nfs/dbraw/zinc/42/25/75/1122422575.db2.gz XVIYJGBBLOVIQQ-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434980827 1122425526 /nfs/dbraw/zinc/42/55/26/1122425526.db2.gz BTLKQKNKKOMYHW-VIFPVBQESA-N 1 2 278.343 3.926 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434980827 1122425528 /nfs/dbraw/zinc/42/55/28/1122425528.db2.gz BTLKQKNKKOMYHW-VIFPVBQESA-N 1 2 278.343 3.926 20 0 CHADLO COC(=O)CCCc1ccc(Nc2cc(C)c[nH+]c2C)cc1 ZINC001203663426 1122428918 /nfs/dbraw/zinc/42/89/18/1122428918.db2.gz JVSNNZPBRJUEFM-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO Cc1nc(N2Cc3ccccc3[C@H](C)C2)c2c([nH+]1)CCCC2 ZINC001187525550 1122430139 /nfs/dbraw/zinc/43/01/39/1122430139.db2.gz QUXDCRQMBVDXHU-CYBMUJFWSA-N 1 2 293.414 3.788 20 0 CHADLO CCOC(=O)CCCCC[N@H+](CC)c1ccc(C)cc1 ZINC000071293349 1129054859 /nfs/dbraw/zinc/05/48/59/1129054859.db2.gz MBQNIXKEOBWNNJ-UHFFFAOYSA-N 1 2 277.408 3.945 20 0 CHADLO CCOC(=O)CCCCC[N@@H+](CC)c1ccc(C)cc1 ZINC000071293349 1129054861 /nfs/dbraw/zinc/05/48/61/1129054861.db2.gz MBQNIXKEOBWNNJ-UHFFFAOYSA-N 1 2 277.408 3.945 20 0 CHADLO COc1ccccc1[C@H](C)[N@H+](C)Cc1ccncc1Cl ZINC000075637262 1122442335 /nfs/dbraw/zinc/44/23/35/1122442335.db2.gz WSCSCDKWTSHYJZ-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccccc1[C@H](C)[N@@H+](C)Cc1ccncc1Cl ZINC000075637262 1122442338 /nfs/dbraw/zinc/44/23/38/1122442338.db2.gz WSCSCDKWTSHYJZ-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1ccc(C[N@@H+]2CCn3cccc3[C@@H]2C)cc1F ZINC000505630561 1122445126 /nfs/dbraw/zinc/44/51/26/1122445126.db2.gz DZDLFQSJYKOAIR-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1ccc(C[N@H+]2CCn3cccc3[C@@H]2C)cc1F ZINC000505630561 1122445132 /nfs/dbraw/zinc/44/51/32/1122445132.db2.gz DZDLFQSJYKOAIR-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO CC(C)(C)c1ccc(NC(=O)CCCn2cc[nH+]c2)cc1 ZINC000620409181 1129055645 /nfs/dbraw/zinc/05/56/45/1129055645.db2.gz AYXMTEKISWDRSE-UHFFFAOYSA-N 1 2 285.391 3.600 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1c[nH]nc1-c1cccs1 ZINC000505655718 1122454908 /nfs/dbraw/zinc/45/49/08/1122454908.db2.gz AQWDPSKOOFKERH-GFCCVEGCSA-N 1 2 298.415 3.517 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1c[nH]nc1-c1cccs1 ZINC000505655718 1122454911 /nfs/dbraw/zinc/45/49/11/1122454911.db2.gz AQWDPSKOOFKERH-GFCCVEGCSA-N 1 2 298.415 3.517 20 0 CHADLO CCC(CC)N(C(=O)NCc1cc(C)[nH+]c(C)c1)C1CC1 ZINC000515412377 1122497627 /nfs/dbraw/zinc/49/76/27/1122497627.db2.gz MKXQGNNTFIJCOZ-UHFFFAOYSA-N 1 2 289.423 3.561 20 0 CHADLO C[C@H]([NH2+]Cc1cscc1Br)c1ccccn1 ZINC000285469800 1122511442 /nfs/dbraw/zinc/51/14/42/1122511442.db2.gz NRNNMCBKWHPHIV-VIFPVBQESA-N 1 2 297.221 3.756 20 0 CHADLO Cc1cc([C@H]2CCN(c3cccc[nH+]3)C2)c(O)cc1Cl ZINC000664526519 1122514485 /nfs/dbraw/zinc/51/44/85/1122514485.db2.gz VWDGRIHKIAGQME-LBPRGKRZSA-N 1 2 288.778 3.743 20 0 CHADLO CC1=CCC[N@H+](Cc2ccc(Br)c(F)c2)C1 ZINC000436266061 1122527270 /nfs/dbraw/zinc/52/72/70/1122527270.db2.gz ZDXLSDHZORDQNU-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CCC[N@@H+](Cc2ccc(Br)c(F)c2)C1 ZINC000436266061 1122527275 /nfs/dbraw/zinc/52/72/75/1122527275.db2.gz ZDXLSDHZORDQNU-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CC[C@@H](C)C[C@H]2C)no1 ZINC000425523563 1122540602 /nfs/dbraw/zinc/54/06/02/1122540602.db2.gz PBWXUGLWDWXVBI-UKRRQHHQSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CC[C@@H](C)C[C@H]2C)no1 ZINC000425523563 1122540604 /nfs/dbraw/zinc/54/06/04/1122540604.db2.gz PBWXUGLWDWXVBI-UKRRQHHQSA-N 1 2 299.418 3.589 20 0 CHADLO CC[C@@H](NC(=O)Nc1c(C)cc(C)[nH+]c1C)c1ccncc1 ZINC000436493416 1122554818 /nfs/dbraw/zinc/55/48/18/1122554818.db2.gz LMHWUAFLLZLOMR-OAHLLOKOSA-N 1 2 298.390 3.675 20 0 CHADLO CC(C)c1noc(C[N@@H+]2CCC[C@H]2/C=C/c2ccccc2)n1 ZINC000505844649 1122558756 /nfs/dbraw/zinc/55/87/56/1122558756.db2.gz HSKULOQTNJXNBS-OFAQMXQXSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)c1noc(C[N@H+]2CCC[C@H]2/C=C/c2ccccc2)n1 ZINC000505844649 1122558761 /nfs/dbraw/zinc/55/87/61/1122558761.db2.gz HSKULOQTNJXNBS-OFAQMXQXSA-N 1 2 297.402 3.871 20 0 CHADLO Cc1ncoc1C[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000894322725 1122592817 /nfs/dbraw/zinc/59/28/17/1122592817.db2.gz CUMQWYSOEDMUMN-SECBINFHSA-N 1 2 268.719 3.626 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3cc(F)ccc3C)ccc2[nH+]1 ZINC001191378781 1122594162 /nfs/dbraw/zinc/59/41/62/1122594162.db2.gz YZVVEDNCEJIXMX-UHFFFAOYSA-N 1 2 298.321 3.734 20 0 CHADLO CCCCC[C@H]1CCCCN1C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000620566624 1129065341 /nfs/dbraw/zinc/06/53/41/1129065341.db2.gz LZVZWYDDYLTHRK-HOCLYGCPSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCC[C@H]1CCCCN1C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000620566624 1129065343 /nfs/dbraw/zinc/06/53/43/1129065343.db2.gz LZVZWYDDYLTHRK-HOCLYGCPSA-N 1 2 291.439 3.550 20 0 CHADLO CCC[N@H+](Cc1noc(Cc2ccccc2C)n1)C(C)C ZINC000516488842 1122604436 /nfs/dbraw/zinc/60/44/36/1122604436.db2.gz MXTJXYTZSYTKFS-UHFFFAOYSA-N 1 2 287.407 3.589 20 0 CHADLO CCC[N@@H+](Cc1noc(Cc2ccccc2C)n1)C(C)C ZINC000516488842 1122604439 /nfs/dbraw/zinc/60/44/39/1122604439.db2.gz MXTJXYTZSYTKFS-UHFFFAOYSA-N 1 2 287.407 3.589 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3C[C@@H](C)O[C@@H](C)C3)cc2)c1C ZINC000516538498 1122610487 /nfs/dbraw/zinc/61/04/87/1122610487.db2.gz GGMUMMVCGLYZFD-FVCICTRLSA-N 1 2 299.418 3.857 20 0 CHADLO CCC[C@H]1CCc2ccccc2N1CCCn1cc[nH+]c1 ZINC001191920754 1122621515 /nfs/dbraw/zinc/62/15/15/1122621515.db2.gz OKTKDJQXNCAESR-KRWDZBQOSA-N 1 2 283.419 3.895 20 0 CHADLO CC[N@H+](Cc1ncc(C(C)(C)C)o1)Cc1ccccc1 ZINC000506080740 1122646681 /nfs/dbraw/zinc/64/66/81/1122646681.db2.gz OTAUAUJZRAOVFS-UHFFFAOYSA-N 1 2 272.392 3.994 20 0 CHADLO CC[N@@H+](Cc1ncc(C(C)(C)C)o1)Cc1ccccc1 ZINC000506080740 1122646684 /nfs/dbraw/zinc/64/66/84/1122646684.db2.gz OTAUAUJZRAOVFS-UHFFFAOYSA-N 1 2 272.392 3.994 20 0 CHADLO CC[C@H]1CC[C@H](C(=O)Nc2ccc3[nH+]c(C)cn3c2)CC1 ZINC000437814125 1122657589 /nfs/dbraw/zinc/65/75/89/1122657589.db2.gz FIQFVKFDEVAROB-HDJSIYSDSA-N 1 2 285.391 3.798 20 0 CHADLO CC1=CC[N@H+](Cc2cc(F)ccc2OC(F)F)CC1 ZINC001193002796 1122668053 /nfs/dbraw/zinc/66/80/53/1122668053.db2.gz NXPJLYIMNWOSLB-UHFFFAOYSA-N 1 2 271.282 3.579 20 0 CHADLO CC1=CC[N@@H+](Cc2cc(F)ccc2OC(F)F)CC1 ZINC001193002796 1122668056 /nfs/dbraw/zinc/66/80/56/1122668056.db2.gz NXPJLYIMNWOSLB-UHFFFAOYSA-N 1 2 271.282 3.579 20 0 CHADLO CCCC[C@H](C)NC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC001193024468 1122671029 /nfs/dbraw/zinc/67/10/29/1122671029.db2.gz UFTDHKUWWKSHBD-ZDUSSCGKSA-N 1 2 286.379 3.573 20 0 CHADLO CC[C@H](C)C[C@H](C)NC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000438124487 1122680041 /nfs/dbraw/zinc/68/00/41/1122680041.db2.gz GGICMTMSZDHBRM-RYUDHWBXSA-N 1 2 288.395 3.589 20 0 CHADLO Fc1ccc(NCc2c[nH+]cn2Cc2ccccc2)cc1F ZINC000438318718 1122690766 /nfs/dbraw/zinc/69/07/66/1122690766.db2.gz VAWLSFUOKZCSKW-UHFFFAOYSA-N 1 2 299.324 3.822 20 0 CHADLO c1[nH+]cn(Cc2ccccc2)c1CNc1ccccc1 ZINC000438325279 1122691022 /nfs/dbraw/zinc/69/10/22/1122691022.db2.gz GMCQMFDWRHSACA-UHFFFAOYSA-N 1 2 263.344 3.544 20 0 CHADLO Cc1cn2cc(NC(=O)OC3CCCCC3)ccc2[nH+]1 ZINC001193607925 1122701422 /nfs/dbraw/zinc/70/14/22/1122701422.db2.gz FFVDJQDTZJSUEV-UHFFFAOYSA-N 1 2 273.336 3.524 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](c3ccccc3)C2)cc1F ZINC000506355483 1122724301 /nfs/dbraw/zinc/72/43/01/1122724301.db2.gz JERQWAAQTJOFEW-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](c3ccccc3)C2)cc1F ZINC000506355483 1122724305 /nfs/dbraw/zinc/72/43/05/1122724305.db2.gz JERQWAAQTJOFEW-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2c(C)cccc2Cl)s1 ZINC000295697553 1122754924 /nfs/dbraw/zinc/75/49/24/1122754924.db2.gz IXORUJBREPBRPZ-SECBINFHSA-N 1 2 281.812 3.659 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cccc3cc[nH]c32)CC1(F)F ZINC000426400948 1122784193 /nfs/dbraw/zinc/78/41/93/1122784193.db2.gz FAMOINUHXDTUNE-NSHDSACASA-N 1 2 264.319 3.645 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cccc3cc[nH]c32)CC1(F)F ZINC000426400948 1122784198 /nfs/dbraw/zinc/78/41/98/1122784198.db2.gz FAMOINUHXDTUNE-NSHDSACASA-N 1 2 264.319 3.645 20 0 CHADLO Cc1cc(N(CCC(F)(F)F)CC2CC2)nc(C2CC2)[nH+]1 ZINC000439603423 1122793804 /nfs/dbraw/zinc/79/38/04/1122793804.db2.gz LFZCQOUZYJWOQO-UHFFFAOYSA-N 1 2 299.340 3.831 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2CCCSCC(C)C)o1 ZINC000153877037 1129078758 /nfs/dbraw/zinc/07/87/58/1129078758.db2.gz PSWVENLPIHXMOO-HNNXBMFYSA-N 1 2 297.464 3.741 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2CCCSCC(C)C)o1 ZINC000153877037 1129078761 /nfs/dbraw/zinc/07/87/61/1129078761.db2.gz PSWVENLPIHXMOO-HNNXBMFYSA-N 1 2 297.464 3.741 20 0 CHADLO CC[C@@H](C)COC(=O)Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001194801306 1122804059 /nfs/dbraw/zinc/80/40/59/1122804059.db2.gz UWNSSCUHRZNIPP-CYBMUJFWSA-N 1 2 287.363 3.526 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)c(Cl)c2)[C@H]1[C@H]1CCCO1 ZINC000519170606 1122820840 /nfs/dbraw/zinc/82/08/40/1122820840.db2.gz XVDLBYSDPUPMBN-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)c(Cl)c2)[C@H]1[C@H]1CCCO1 ZINC000519170606 1122820847 /nfs/dbraw/zinc/82/08/47/1122820847.db2.gz XVDLBYSDPUPMBN-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO Cn1cccc1C[N@H+](C)Cc1cccc(Cl)c1Cl ZINC000506758096 1122825334 /nfs/dbraw/zinc/82/53/34/1122825334.db2.gz JTEWJJCINIIWCP-UHFFFAOYSA-N 1 2 283.202 3.964 20 0 CHADLO Fc1ccc(-c2cccc3[nH+]ccn32)c(Cl)c1F ZINC001205681909 1122829326 /nfs/dbraw/zinc/82/93/26/1122829326.db2.gz JTKVTUYMKNNNQA-UHFFFAOYSA-N 1 2 264.662 3.933 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)c1ccc2c(c1)CCO2)C(F)F ZINC000834828680 1122853246 /nfs/dbraw/zinc/85/32/46/1122853246.db2.gz WUBUEHINZXRZSR-QWHCGFSZSA-N 1 2 269.335 3.706 20 0 CHADLO Cc1nsc(C)c1C[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664828284 1122870679 /nfs/dbraw/zinc/87/06/79/1122870679.db2.gz DWWHHACEKRGNTM-HNNXBMFYSA-N 1 2 287.432 3.738 20 0 CHADLO Cc1nsc(C)c1C[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000664828284 1122870683 /nfs/dbraw/zinc/87/06/83/1122870683.db2.gz DWWHHACEKRGNTM-HNNXBMFYSA-N 1 2 287.432 3.738 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CC[C@@H](C(F)(F)F)C2(C)C)n1 ZINC000894536881 1122872596 /nfs/dbraw/zinc/87/25/96/1122872596.db2.gz FUQATJVFRNZEKD-LLVKDONJSA-N 1 2 289.345 3.696 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CC[C@@H](C(F)(F)F)C2(C)C)n1 ZINC000894536881 1122872601 /nfs/dbraw/zinc/87/26/01/1122872601.db2.gz FUQATJVFRNZEKD-LLVKDONJSA-N 1 2 289.345 3.696 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC3CCC(C)(O)CC3)cc2)c1C ZINC000894571531 1122875053 /nfs/dbraw/zinc/87/50/53/1122875053.db2.gz TVYUMYWKAJLUQD-UHFFFAOYSA-N 1 2 299.418 3.595 20 0 CHADLO c1cn(C2CC2)c(C[N@@H+]2CC3(CCC3)[C@H]2c2ccccc2)n1 ZINC000894610077 1122879622 /nfs/dbraw/zinc/87/96/22/1122879622.db2.gz IRDQMBDIFYEEMX-GOSISDBHSA-N 1 2 293.414 3.945 20 0 CHADLO c1cn(C2CC2)c(C[N@H+]2CC3(CCC3)[C@H]2c2ccccc2)n1 ZINC000894610077 1122879628 /nfs/dbraw/zinc/87/96/28/1122879628.db2.gz IRDQMBDIFYEEMX-GOSISDBHSA-N 1 2 293.414 3.945 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000519582885 1122910714 /nfs/dbraw/zinc/91/07/14/1122910714.db2.gz PPTAQAJLONGCAW-NHYWBVRUSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000519582885 1122910718 /nfs/dbraw/zinc/91/07/18/1122910718.db2.gz PPTAQAJLONGCAW-NHYWBVRUSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc3ccccc3o2)C[C@](C)(C(F)F)O1 ZINC001196273993 1122915168 /nfs/dbraw/zinc/91/51/68/1122915168.db2.gz CCOXEZQVCVBSFP-MEDUHNTESA-N 1 2 295.329 3.677 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc3ccccc3o2)C[C@](C)(C(F)F)O1 ZINC001196273993 1122915172 /nfs/dbraw/zinc/91/51/72/1122915172.db2.gz CCOXEZQVCVBSFP-MEDUHNTESA-N 1 2 295.329 3.677 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)CCCc1cccc2ccccc21 ZINC001196757769 1122933021 /nfs/dbraw/zinc/93/30/21/1122933021.db2.gz ARPRSTUOLSWMEC-UHFFFAOYSA-N 1 2 293.414 3.936 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)CCCc1cccc2ccccc21 ZINC001196757769 1122933026 /nfs/dbraw/zinc/93/30/26/1122933026.db2.gz ARPRSTUOLSWMEC-UHFFFAOYSA-N 1 2 293.414 3.936 20 0 CHADLO c1n[nH]c2c1C[N@@H+](Cc1ccc(C3CCC3)cc1)CCC2 ZINC000664862906 1122944088 /nfs/dbraw/zinc/94/40/88/1122944088.db2.gz WHTIIGXRZQXBBW-UHFFFAOYSA-N 1 2 281.403 3.626 20 0 CHADLO CC(C)C(=O)Nc1ccc(Nc2cccn3cc[nH+]c23)cc1 ZINC001197665850 1122964027 /nfs/dbraw/zinc/96/40/27/1122964027.db2.gz JUFWXISVIXKRCB-UHFFFAOYSA-N 1 2 294.358 3.672 20 0 CHADLO CC(=O)NCCCCC[N@H+](c1ccccc1)[C@@H](C)C(C)C ZINC001197719001 1122965802 /nfs/dbraw/zinc/96/58/02/1122965802.db2.gz CMCFWQSKLRGOHA-INIZCTEOSA-N 1 2 290.451 3.844 20 0 CHADLO CC(=O)NCCCCC[N@@H+](c1ccccc1)[C@@H](C)C(C)C ZINC001197719001 1122965803 /nfs/dbraw/zinc/96/58/03/1122965803.db2.gz CMCFWQSKLRGOHA-INIZCTEOSA-N 1 2 290.451 3.844 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2ccc(Cl)cn2)C1 ZINC000334172295 1122968430 /nfs/dbraw/zinc/96/84/30/1122968430.db2.gz BRDQPXRNKZGCKJ-SECBINFHSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2ccc(Cl)cn2)C1 ZINC000334172295 1122968433 /nfs/dbraw/zinc/96/84/33/1122968433.db2.gz BRDQPXRNKZGCKJ-SECBINFHSA-N 1 2 278.705 3.509 20 0 CHADLO C[N@H+](Cc1csc(C(F)(F)F)n1)C1CC(C)(C)C1 ZINC000894860841 1122995504 /nfs/dbraw/zinc/99/55/04/1122995504.db2.gz INGPHLNBBDBCBW-UHFFFAOYSA-N 1 2 278.343 3.782 20 0 CHADLO C[N@@H+](Cc1csc(C(F)(F)F)n1)C1CC(C)(C)C1 ZINC000894860841 1122995506 /nfs/dbraw/zinc/99/55/06/1122995506.db2.gz INGPHLNBBDBCBW-UHFFFAOYSA-N 1 2 278.343 3.782 20 0 CHADLO Clc1ccc2c(c1Cl)OC[C@@H]2[NH2+]Cc1ccccn1 ZINC000334398911 1123005711 /nfs/dbraw/zinc/00/57/11/1123005711.db2.gz DOJNEBHCTDZMRG-LBPRGKRZSA-N 1 2 295.169 3.612 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@]1(F)c1ccccc1)c1cn2ccccc2n1 ZINC000519659211 1123012036 /nfs/dbraw/zinc/01/20/36/1123012036.db2.gz WPNNSLWFCOYGQT-QANKJYHBSA-N 1 2 295.361 3.622 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2CCCOc3ccc(F)cc32)no1 ZINC000334431338 1123012472 /nfs/dbraw/zinc/01/24/72/1123012472.db2.gz KHQIJWMJRVYXSB-FZMZJTMJSA-N 1 2 290.338 3.687 20 0 CHADLO CCc1cnc(C[N@@H+]2CCc3cc(C(C)C)ccc3C2)o1 ZINC001198844370 1123012513 /nfs/dbraw/zinc/01/25/13/1123012513.db2.gz LBJLMAWJGZNZSA-UHFFFAOYSA-N 1 2 284.403 3.919 20 0 CHADLO CCc1cnc(C[N@H+]2CCc3cc(C(C)C)ccc3C2)o1 ZINC001198844370 1123012514 /nfs/dbraw/zinc/01/25/14/1123012514.db2.gz LBJLMAWJGZNZSA-UHFFFAOYSA-N 1 2 284.403 3.919 20 0 CHADLO C[C@H](C(=O)OC(C)(C)C)[N@H+](Cc1ccc(F)cc1)C1CC1 ZINC000519728283 1123019234 /nfs/dbraw/zinc/01/92/34/1123019234.db2.gz QSDHWWQSLSTQMK-GFCCVEGCSA-N 1 2 293.382 3.520 20 0 CHADLO C[C@H](C(=O)OC(C)(C)C)[N@@H+](Cc1ccc(F)cc1)C1CC1 ZINC000519728283 1123019236 /nfs/dbraw/zinc/01/92/36/1123019236.db2.gz QSDHWWQSLSTQMK-GFCCVEGCSA-N 1 2 293.382 3.520 20 0 CHADLO Cc1nnc(C[NH2+]Cc2ccc(C3CCCC3)cc2)s1 ZINC000519736954 1123020914 /nfs/dbraw/zinc/02/09/14/1123020914.db2.gz PJTBUSSTXZJRFQ-UHFFFAOYSA-N 1 2 287.432 3.794 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cc2cnccc2o1 ZINC001199471993 1123030396 /nfs/dbraw/zinc/03/03/96/1123030396.db2.gz DPJIOGOWUBXDAK-UHFFFAOYSA-N 1 2 281.359 3.814 20 0 CHADLO COc1ccc(NCc2cc(C)[nH+]c(C)c2)cc1Cl ZINC001199506501 1123031969 /nfs/dbraw/zinc/03/19/69/1123031969.db2.gz YKFWVXNEXQKYHZ-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)C[N@H+](C)[C@H](C)c1ccco1 ZINC000519903646 1123038265 /nfs/dbraw/zinc/03/82/65/1123038265.db2.gz QYQXZHVWHQZWIF-LSDHHAIUSA-N 1 2 294.439 3.603 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)C[N@@H+](C)[C@H](C)c1ccco1 ZINC000519903646 1123038270 /nfs/dbraw/zinc/03/82/70/1123038270.db2.gz QYQXZHVWHQZWIF-LSDHHAIUSA-N 1 2 294.439 3.603 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2CCOC[C@@H]2c2ccccc2)c1 ZINC001143580507 1123065529 /nfs/dbraw/zinc/06/55/29/1123065529.db2.gz MABWTBWUVJUYCH-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2CCOC[C@@H]2c2ccccc2)c1 ZINC001143580507 1123065531 /nfs/dbraw/zinc/06/55/31/1123065531.db2.gz MABWTBWUVJUYCH-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO CC(C)[C@@H]1CCC[C@@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000520235291 1123065793 /nfs/dbraw/zinc/06/57/93/1123065793.db2.gz WOFFQZDIWHOHSH-BZUAXINKSA-N 1 2 291.439 3.555 20 0 CHADLO Cc1cc(C)cc(NC(=S)Nc2ccc3[nH+]ccn3c2)c1 ZINC001201196518 1123077701 /nfs/dbraw/zinc/07/77/01/1123077701.db2.gz KRAVTDBCMXZYPT-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO CC(C)Sc1ccccc1Nc1ccc(N)[nH+]c1 ZINC001201291749 1123083506 /nfs/dbraw/zinc/08/35/06/1123083506.db2.gz BAGROBMBYVZQOC-UHFFFAOYSA-N 1 2 259.378 3.908 20 0 CHADLO Nc1ccc(Nc2cccc(Oc3cccnc3)c2)c[nH+]1 ZINC001201291993 1123083629 /nfs/dbraw/zinc/08/36/29/1123083629.db2.gz SDXKZSNXEBDXJK-UHFFFAOYSA-N 1 2 278.315 3.595 20 0 CHADLO Cc1ccc(-c2ccccc2Nc2ccc(N)[nH+]c2)o1 ZINC001201291789 1123084498 /nfs/dbraw/zinc/08/44/98/1123084498.db2.gz CIWBVOWJDKELHA-UHFFFAOYSA-N 1 2 265.316 3.976 20 0 CHADLO COc1cc(Nc2ccc(N)[nH+]c2)cc2ccccc21 ZINC001201294520 1123084798 /nfs/dbraw/zinc/08/47/98/1123084798.db2.gz COSFLMMVDMCCFF-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO Nc1ccc(Nc2cccc(F)c2OC2CCCC2)c[nH+]1 ZINC001201295809 1123084812 /nfs/dbraw/zinc/08/48/12/1123084812.db2.gz SBAIJQWICAKLKH-UHFFFAOYSA-N 1 2 287.338 3.868 20 0 CHADLO CC(C)COc1ccc(F)cc1Nc1ccc(N)[nH+]c1 ZINC001201295215 1123084958 /nfs/dbraw/zinc/08/49/58/1123084958.db2.gz MEPPWPHVIGGEPU-UHFFFAOYSA-N 1 2 275.327 3.581 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CC[C@H](C3CCC3)C2)cs1 ZINC000894946768 1123090147 /nfs/dbraw/zinc/09/01/47/1123090147.db2.gz BJVFKHMGGZDXNV-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CC[C@H](C3CCC3)C2)cs1 ZINC000894946768 1123090150 /nfs/dbraw/zinc/09/01/50/1123090150.db2.gz BJVFKHMGGZDXNV-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO C[C@@H]([NH2+]Cc1csnn1)c1csc2ccccc12 ZINC000776169867 1123101013 /nfs/dbraw/zinc/10/10/13/1123101013.db2.gz RBSBBGRECCLQSZ-SECBINFHSA-N 1 2 275.402 3.604 20 0 CHADLO COc1nc(C(C)C)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001201436963 1123104014 /nfs/dbraw/zinc/10/40/14/1123104014.db2.gz OABSCSDBNNMBFA-UHFFFAOYSA-N 1 2 282.347 3.605 20 0 CHADLO CCn1cc(Nc2ccc([NH2+]C(C)C)cc2)c(C)n1 ZINC001201486949 1123107292 /nfs/dbraw/zinc/10/72/92/1123107292.db2.gz CSWRZQIULFXJEC-UHFFFAOYSA-N 1 2 258.369 3.775 20 0 CHADLO Cc1cc(Nc2ccc([NH2+]C(C)C)cc2)n(CCF)n1 ZINC001201490647 1123109487 /nfs/dbraw/zinc/10/94/87/1123109487.db2.gz IMADDQLYHUZCCL-UHFFFAOYSA-N 1 2 276.359 3.725 20 0 CHADLO CCCC[C@H](O)CNc1cc[nH+]c2c(Cl)cccc12 ZINC001252080044 1123129994 /nfs/dbraw/zinc/12/99/94/1123129994.db2.gz DGNJKHBSYUDHGS-NSHDSACASA-N 1 2 278.783 3.851 20 0 CHADLO CC[C@H](C)Nc1cc[nH+]c2[nH]cc(C(F)(F)F)c21 ZINC001167998257 1123139105 /nfs/dbraw/zinc/13/91/05/1123139105.db2.gz BXHBAYOEEQGKEH-ZETCQYMHSA-N 1 2 257.259 3.792 20 0 CHADLO c1cn2c(cccc2CNc2ccc3ncsc3c2)[nH+]1 ZINC001201750349 1123144943 /nfs/dbraw/zinc/14/49/43/1123144943.db2.gz JGPRJQUVJMADIK-UHFFFAOYSA-N 1 2 280.356 3.556 20 0 CHADLO Clc1ccccc1C[N@@H+]1CCc2nc[nH]c2C12CCC2 ZINC000895068057 1123145766 /nfs/dbraw/zinc/14/57/66/1123145766.db2.gz GWCSEBMMKOPUHP-UHFFFAOYSA-N 1 2 287.794 3.501 20 0 CHADLO Clc1ccccc1C[N@H+]1CCc2nc[nH]c2C12CCC2 ZINC000895068057 1123145768 /nfs/dbraw/zinc/14/57/68/1123145768.db2.gz GWCSEBMMKOPUHP-UHFFFAOYSA-N 1 2 287.794 3.501 20 0 CHADLO Cc1cc(CNc2cc3c(cc2Cl)OCO3)cc(C)[nH+]1 ZINC001201873902 1123157124 /nfs/dbraw/zinc/15/71/24/1123157124.db2.gz ZTOSANVALQVAMR-UHFFFAOYSA-N 1 2 290.750 3.693 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCS[C@@H](C)[C@H]2C)cs1 ZINC000118415012 1123169860 /nfs/dbraw/zinc/16/98/60/1123169860.db2.gz ONOGBFZMQMAELF-MNOVXSKESA-N 1 2 270.467 3.592 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCS[C@@H](C)[C@H]2C)cs1 ZINC000118415012 1123169862 /nfs/dbraw/zinc/16/98/62/1123169862.db2.gz ONOGBFZMQMAELF-MNOVXSKESA-N 1 2 270.467 3.592 20 0 CHADLO Fc1cccc2c1OCC[C@@H]2[NH2+]Cc1nc2ccccc2o1 ZINC001202040533 1123172809 /nfs/dbraw/zinc/17/28/09/1123172809.db2.gz RSLLIEAFLMJCAY-ZDUSSCGKSA-N 1 2 298.317 3.580 20 0 CHADLO Cc1ccccc1NC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001202187485 1123183901 /nfs/dbraw/zinc/18/39/01/1123183901.db2.gz MZVGSOITQIRNAS-UHFFFAOYSA-N 1 2 292.342 3.825 20 0 CHADLO Clc1ccc([C@H]2CC[N@H+](Cc3ncc[nH]3)C2)cc1Cl ZINC001203983053 1123186449 /nfs/dbraw/zinc/18/64/49/1123186449.db2.gz FAIJNNSTLXKDLY-NSHDSACASA-N 1 2 296.201 3.706 20 0 CHADLO Clc1ccc([C@H]2CC[N@@H+](Cc3ncc[nH]3)C2)cc1Cl ZINC001203983053 1123186453 /nfs/dbraw/zinc/18/64/53/1123186453.db2.gz FAIJNNSTLXKDLY-NSHDSACASA-N 1 2 296.201 3.706 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(Cl)s2)no1 ZINC000336781713 1123207617 /nfs/dbraw/zinc/20/76/17/1123207617.db2.gz VJGUFEKRXNMMOA-QMMMGPOBSA-N 1 2 256.758 3.549 20 0 CHADLO C[C@H]([NH2+]C[C@@H](O)c1ccsc1)C(F)(F)c1ccccc1 ZINC000925112198 1123233757 /nfs/dbraw/zinc/23/37/57/1123233757.db2.gz TXXJVJGPBQGNEY-SMDDNHRTSA-N 1 2 297.370 3.552 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(Cl)c(Cl)c2)nn1C ZINC000487402278 1123235086 /nfs/dbraw/zinc/23/50/86/1123235086.db2.gz QLIBMDGVQBYXTQ-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(Cl)c(Cl)c2)nn1C ZINC000487402278 1123235088 /nfs/dbraw/zinc/23/50/88/1123235088.db2.gz QLIBMDGVQBYXTQ-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cnc([C@H](C)SCc2ccc(C)[nH+]c2C)cn1 ZINC000427674988 1123239171 /nfs/dbraw/zinc/23/91/71/1123239171.db2.gz UEJRIWFLYJTPBR-ZDUSSCGKSA-N 1 2 273.405 3.791 20 0 CHADLO CC[C@]1(C)Oc2ccc(NCc3[nH]c(C)c(C)[nH+]3)cc2O1 ZINC000850270136 1123250545 /nfs/dbraw/zinc/25/05/45/1123250545.db2.gz SZATXIJZSKLHJV-MRXNPFEDSA-N 1 2 287.363 3.536 20 0 CHADLO CSc1cccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000334552476 1123251863 /nfs/dbraw/zinc/25/18/63/1123251863.db2.gz CHVNDKMGFBIPLG-UHFFFAOYSA-N 1 2 286.400 3.981 20 0 CHADLO C[C@@H](CSCCF)Nc1ccc([NH+]2CCCC2)cc1 ZINC000850450284 1123268459 /nfs/dbraw/zinc/26/84/59/1123268459.db2.gz MHMOJARNRFLRTA-ZDUSSCGKSA-N 1 2 282.428 3.790 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2nc(Cl)ccc21)c1cscn1 ZINC000850511971 1123278873 /nfs/dbraw/zinc/27/88/73/1123278873.db2.gz RSEUCXGRQJUCTR-WCBMZHEXSA-N 1 2 279.796 3.530 20 0 CHADLO CC1(C)C[N@H+](Cc2ccns2)[C@H]1c1cccs1 ZINC000639184467 1123279676 /nfs/dbraw/zinc/27/96/76/1123279676.db2.gz QBJOBZHVXMKFDY-LBPRGKRZSA-N 1 2 264.419 3.788 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccns2)[C@H]1c1cccs1 ZINC000639184467 1123279679 /nfs/dbraw/zinc/27/96/79/1123279679.db2.gz QBJOBZHVXMKFDY-LBPRGKRZSA-N 1 2 264.419 3.788 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCc3[nH]ncc31)[C@H](C)C2 ZINC000850544419 1123289223 /nfs/dbraw/zinc/28/92/23/1123289223.db2.gz WTAZNUPHVDAVHJ-PUECVXPYSA-N 1 2 281.403 3.619 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc(Cl)cn1 ZINC000335058095 1123290476 /nfs/dbraw/zinc/29/04/76/1123290476.db2.gz XXKSRMDXXUNSHH-SNVBAGLBSA-N 1 2 273.767 3.876 20 0 CHADLO Cc1cc(CNC(=O)c2cccc(C)c2Cl)cc(C)[nH+]1 ZINC000335075714 1123294215 /nfs/dbraw/zinc/29/42/15/1123294215.db2.gz VAFQFWVRSHWMMX-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2noc(C3CCCCC3)n2)CC1 ZINC000156391800 1129112469 /nfs/dbraw/zinc/11/24/69/1129112469.db2.gz NGOXKLKEZHOFIJ-CYBMUJFWSA-N 1 2 277.412 3.739 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2noc(C3CCCCC3)n2)CC1 ZINC000156391800 1129112473 /nfs/dbraw/zinc/11/24/73/1129112473.db2.gz NGOXKLKEZHOFIJ-CYBMUJFWSA-N 1 2 277.412 3.739 20 0 CHADLO Cc1cc(F)cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000335223353 1123323604 /nfs/dbraw/zinc/32/36/04/1123323604.db2.gz HXEDMYDWLIBPGQ-UHFFFAOYSA-N 1 2 272.323 3.707 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@H](C)c1ccon1 ZINC000442878630 1123347044 /nfs/dbraw/zinc/34/70/44/1123347044.db2.gz UETPBGMLJRCYJA-GHMZBOCLSA-N 1 2 262.378 3.808 20 0 CHADLO Cc1c2cc3c(cc2[nH+]c2c1C[C@H](C(F)F)CC2)OCO3 ZINC000443032444 1123352603 /nfs/dbraw/zinc/35/26/03/1123352603.db2.gz JSBYFLBYDIDTBS-SECBINFHSA-N 1 2 291.297 3.642 20 0 CHADLO CC(C)c1cccc(NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)c1 ZINC000335328741 1123359161 /nfs/dbraw/zinc/35/91/61/1123359161.db2.gz FCQYRPAFAFOUPH-AWEZNQCLSA-N 1 2 283.375 3.592 20 0 CHADLO CCO[C@@H]1CCN(c2[nH+]ccc3cc(F)ccc32)C[C@H]1C ZINC000335348969 1123362098 /nfs/dbraw/zinc/36/20/98/1123362098.db2.gz CVPKSXBGKMSVDH-MLGOLLRUSA-N 1 2 288.366 3.625 20 0 CHADLO CCC[C@H](CC1CCCCC1)C(=O)NCc1[nH]c[nH+]c1C ZINC000443226169 1123365604 /nfs/dbraw/zinc/36/56/04/1123365604.db2.gz PUJUREVCCHGJRP-OAHLLOKOSA-N 1 2 291.439 3.721 20 0 CHADLO COc1ccc(C[N@H+](C)CC=C(Cl)Cl)cc1F ZINC000851716023 1123373895 /nfs/dbraw/zinc/37/38/95/1123373895.db2.gz HJRKVRRJBJZVSR-UHFFFAOYSA-N 1 2 278.154 3.585 20 0 CHADLO COc1ccc(C[N@@H+](C)CC=C(Cl)Cl)cc1F ZINC000851716023 1123373898 /nfs/dbraw/zinc/37/38/98/1123373898.db2.gz HJRKVRRJBJZVSR-UHFFFAOYSA-N 1 2 278.154 3.585 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@@H](C)c2cc(F)cc(F)c2)n1 ZINC000522053327 1123385095 /nfs/dbraw/zinc/38/50/95/1123385095.db2.gz MNYXALRRDPHWFT-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@@H+]1Cc1cccc(F)n1 ZINC000851887417 1123387229 /nfs/dbraw/zinc/38/72/29/1123387229.db2.gz OOVZOSLFLFJEQE-VXGBXAGGSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@H+]1Cc1cccc(F)n1 ZINC000851887417 1123387232 /nfs/dbraw/zinc/38/72/32/1123387232.db2.gz OOVZOSLFLFJEQE-VXGBXAGGSA-N 1 2 288.341 3.868 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@](C)(c3ccccc3)C2)no1 ZINC000113264687 1123389827 /nfs/dbraw/zinc/38/98/27/1123389827.db2.gz SZQJJLNWGZFRKK-SFHVURJKSA-N 1 2 299.418 3.747 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@](C)(c3ccccc3)C2)no1 ZINC000113264687 1123389832 /nfs/dbraw/zinc/38/98/32/1123389832.db2.gz SZQJJLNWGZFRKK-SFHVURJKSA-N 1 2 299.418 3.747 20 0 CHADLO C[C@H]([NH2+]Cc1nccs1)c1csc(Cl)c1 ZINC000191193996 1123395370 /nfs/dbraw/zinc/39/53/70/1123395370.db2.gz XLGLSFWBCAHGJE-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(CSC)cc2)c1 ZINC000895186772 1123402047 /nfs/dbraw/zinc/40/20/47/1123402047.db2.gz OPKZWXDBUVSEAD-UHFFFAOYSA-N 1 2 288.416 3.703 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)nc(Cl)c1)c1cscn1 ZINC000852418515 1123404507 /nfs/dbraw/zinc/40/45/07/1123404507.db2.gz XDAZXDNPZPWLAH-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO CCCCc1cc(N2CCC[C@H]2c2[nH]c(C)c(C)[nH+]2)ncn1 ZINC000895194133 1123404939 /nfs/dbraw/zinc/40/49/39/1123404939.db2.gz BRTVPEJEAFFETM-HNNXBMFYSA-N 1 2 299.422 3.501 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+][C@@H](C)c2csnn2)c1 ZINC000398478099 1123406686 /nfs/dbraw/zinc/40/66/86/1123406686.db2.gz QLDHEFNVSHXUFG-RYUDHWBXSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)c(C)s1 ZINC000335700761 1123412886 /nfs/dbraw/zinc/41/28/86/1123412886.db2.gz MJBUIDVJPPXSKW-SNVBAGLBSA-N 1 2 278.343 3.534 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)c(C)s1 ZINC000335700761 1123412882 /nfs/dbraw/zinc/41/28/82/1123412882.db2.gz MJBUIDVJPPXSKW-SNVBAGLBSA-N 1 2 278.343 3.534 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cn1ncc(Cl)c1C1CC1 ZINC000853494331 1123442438 /nfs/dbraw/zinc/44/24/38/1123442438.db2.gz YWFYZVPGCZTIBR-UHFFFAOYSA-N 1 2 295.736 3.646 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cn1ncc(Cl)c1C1CC1 ZINC000853494331 1123442440 /nfs/dbraw/zinc/44/24/40/1123442440.db2.gz YWFYZVPGCZTIBR-UHFFFAOYSA-N 1 2 295.736 3.646 20 0 CHADLO C[C@H]1CCCC[C@@H]1CO[NH+]=C(N)Cc1cccc(F)c1 ZINC000853695069 1123447684 /nfs/dbraw/zinc/44/76/84/1123447684.db2.gz QLNLKZRPXVMSMX-GXTWGEPZSA-N 1 2 278.371 3.693 20 0 CHADLO Cc1[nH+]c2cc(OCCSC(C)(C)C)ccc2n1C ZINC000853707252 1123448327 /nfs/dbraw/zinc/44/83/27/1123448327.db2.gz ZDXVFUGMNNAOHL-UHFFFAOYSA-N 1 2 278.421 3.792 20 0 CHADLO Cc1cccc(NC(=O)[C@H]2CCc3[nH+]c(C(C)C)[nH]c3C2)c1 ZINC000335884285 1123458365 /nfs/dbraw/zinc/45/83/65/1123458365.db2.gz KAIUQMIAVPWBOY-ZDUSSCGKSA-N 1 2 297.402 3.585 20 0 CHADLO Cc1cccc(NC(=O)[C@H]2CCc3[nH]c(C(C)C)[nH+]c3C2)c1 ZINC000335884285 1123458368 /nfs/dbraw/zinc/45/83/68/1123458368.db2.gz KAIUQMIAVPWBOY-ZDUSSCGKSA-N 1 2 297.402 3.585 20 0 CHADLO Cc1nc(N[C@@H]2c3ccccc3CCC2(C)C)cc[nH+]1 ZINC000443708309 1123475196 /nfs/dbraw/zinc/47/51/96/1123475196.db2.gz LVXBLVLNZQPHDF-MRXNPFEDSA-N 1 2 267.376 3.911 20 0 CHADLO C[N@H+](Cc1ccns1)Cc1cscc1C(F)(F)F ZINC000895452701 1123482537 /nfs/dbraw/zinc/48/25/37/1123482537.db2.gz SALLNIXUGPAZKI-UHFFFAOYSA-N 1 2 292.351 3.855 20 0 CHADLO C[N@@H+](Cc1ccns1)Cc1cscc1C(F)(F)F ZINC000895452701 1123482540 /nfs/dbraw/zinc/48/25/40/1123482540.db2.gz SALLNIXUGPAZKI-UHFFFAOYSA-N 1 2 292.351 3.855 20 0 CHADLO CC(C)(C)c1coc(C[NH+]2CC(Cc3ccsc3)C2)n1 ZINC000895516925 1123487786 /nfs/dbraw/zinc/48/77/86/1123487786.db2.gz ZPYSKBFLRDNWCX-UHFFFAOYSA-N 1 2 290.432 3.708 20 0 CHADLO COc1ccc(C[NH2+]C2(c3c(F)cccc3F)CCC2)o1 ZINC000895673182 1123504810 /nfs/dbraw/zinc/50/48/10/1123504810.db2.gz IMJQOCPGSFAGJT-UHFFFAOYSA-N 1 2 293.313 3.735 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1ccc(F)cn1 ZINC000147722953 1123508337 /nfs/dbraw/zinc/50/83/37/1123508337.db2.gz YHRMJXDPKRNMPA-CYBMUJFWSA-N 1 2 285.366 3.994 20 0 CHADLO CCCc1nc(C)c(C[N@@H+]2CCc3c(F)cccc3C2)o1 ZINC000428296541 1123522767 /nfs/dbraw/zinc/52/27/67/1123522767.db2.gz PYJDGBQEOXSAGQ-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO CCCc1nc(C)c(C[N@H+]2CCc3c(F)cccc3C2)o1 ZINC000428296541 1123522771 /nfs/dbraw/zinc/52/27/71/1123522771.db2.gz PYJDGBQEOXSAGQ-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO Cc1cc(C)c2cc(N[C@H](C)[C@@H]3CCOC3)ccc2[nH+]1 ZINC000895873481 1123523548 /nfs/dbraw/zinc/52/35/48/1123523548.db2.gz BLTNNQZBRTZDBP-ZIAGYGMSSA-N 1 2 270.376 3.689 20 0 CHADLO Cc1cc(C)c2cc(N[C@@H]3CCO[C@@H](C)C3)ccc2[nH+]1 ZINC000895873980 1123524399 /nfs/dbraw/zinc/52/43/99/1123524399.db2.gz DIQSAYNXYODEHD-DZGCQCFKSA-N 1 2 270.376 3.831 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C/c2cccc(F)c2)c(C)[nH+]1 ZINC000097039968 1123530149 /nfs/dbraw/zinc/53/01/49/1123530149.db2.gz GQDPFOMXTSNLGW-BQYQJAHWSA-N 1 2 284.334 3.798 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1coc2ccc(F)cc12 ZINC001141854557 1123531081 /nfs/dbraw/zinc/53/10/81/1123531081.db2.gz GWENMJNOXSPVSA-GFCCVEGCSA-N 1 2 284.334 3.950 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1coc2ccc(F)cc12 ZINC001141854557 1123531083 /nfs/dbraw/zinc/53/10/83/1123531083.db2.gz GWENMJNOXSPVSA-GFCCVEGCSA-N 1 2 284.334 3.950 20 0 CHADLO CCC(CC)CCCN(C)C(=O)c1cccc2[nH+]ccn21 ZINC000856169778 1123549228 /nfs/dbraw/zinc/54/92/28/1123549228.db2.gz UFWQDUOWMRNGIY-UHFFFAOYSA-N 1 2 287.407 3.623 20 0 CHADLO COc1ccc[nH+]c1NC[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000896129805 1123551724 /nfs/dbraw/zinc/55/17/24/1123551724.db2.gz LMEQYCLYFQONMG-TZMCWYRMSA-N 1 2 290.313 3.551 20 0 CHADLO C[N@H+](Cc1c(Cl)ccc(Br)c1F)C1CC1 ZINC001141887917 1123575328 /nfs/dbraw/zinc/57/53/28/1123575328.db2.gz YZSAISVVMAZVQB-UHFFFAOYSA-N 1 2 292.579 3.836 20 0 CHADLO C[N@@H+](Cc1c(Cl)ccc(Br)c1F)C1CC1 ZINC001141887917 1123575331 /nfs/dbraw/zinc/57/53/31/1123575331.db2.gz YZSAISVVMAZVQB-UHFFFAOYSA-N 1 2 292.579 3.836 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+][C@@H]1CCCc2cn[nH]c21 ZINC000857867969 1123623053 /nfs/dbraw/zinc/62/30/53/1123623053.db2.gz MKFHRYYFGMRQJV-MRXNPFEDSA-N 1 2 285.366 3.593 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+][C@@H]1CCCc2c[nH]nc21 ZINC000857867969 1123623055 /nfs/dbraw/zinc/62/30/55/1123623055.db2.gz MKFHRYYFGMRQJV-MRXNPFEDSA-N 1 2 285.366 3.593 20 0 CHADLO CC(C)c1cc(C[NH2+][C@@H]2CCc3ccc(Cl)nc32)on1 ZINC000857905129 1123625568 /nfs/dbraw/zinc/62/55/68/1123625568.db2.gz YHBZMQDBKFKRBW-GFCCVEGCSA-N 1 2 291.782 3.624 20 0 CHADLO COc1cccc(C[NH2+]Cc2c(F)cc(C)cc2F)c1F ZINC000858210367 1123638299 /nfs/dbraw/zinc/63/82/99/1123638299.db2.gz OFNJQJPKKKYKDU-UHFFFAOYSA-N 1 2 295.304 3.711 20 0 CHADLO Cc1occc1C[N@H+]1CCO[C@H](c2cccc(F)c2)[C@H]1C ZINC000648067091 1123641097 /nfs/dbraw/zinc/64/10/97/1123641097.db2.gz ANKTWMXUUYLNAX-PXAZEXFGSA-N 1 2 289.350 3.689 20 0 CHADLO Cc1occc1C[N@@H+]1CCO[C@H](c2cccc(F)c2)[C@H]1C ZINC000648067091 1123641098 /nfs/dbraw/zinc/64/10/98/1123641098.db2.gz ANKTWMXUUYLNAX-PXAZEXFGSA-N 1 2 289.350 3.689 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2c(s1)CCCC2)c1ccns1 ZINC000858576012 1123664072 /nfs/dbraw/zinc/66/40/72/1123664072.db2.gz HFXJPUPVWNCNCB-ZJUUUORDSA-N 1 2 293.461 3.890 20 0 CHADLO CCc1cnc(C[N@@H+]2CCCC[C@@H]2c2cccn2C)s1 ZINC000346173017 1123670136 /nfs/dbraw/zinc/67/01/36/1123670136.db2.gz PCJZIRCDOVMJFJ-OAHLLOKOSA-N 1 2 289.448 3.771 20 0 CHADLO CCc1cnc(C[N@H+]2CCCC[C@@H]2c2cccn2C)s1 ZINC000346173017 1123670139 /nfs/dbraw/zinc/67/01/39/1123670139.db2.gz PCJZIRCDOVMJFJ-OAHLLOKOSA-N 1 2 289.448 3.771 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@H](C)[C@H]2c2ccccc2)s1 ZINC000346204157 1123671865 /nfs/dbraw/zinc/67/18/65/1123671865.db2.gz PBWBOUHQHHSRMH-LRDDRELGSA-N 1 2 272.417 3.899 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@H](C)[C@H]2c2ccccc2)s1 ZINC000346204157 1123671866 /nfs/dbraw/zinc/67/18/66/1123671866.db2.gz PBWBOUHQHHSRMH-LRDDRELGSA-N 1 2 272.417 3.899 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccnc(F)c1 ZINC000859079958 1123683731 /nfs/dbraw/zinc/68/37/31/1123683731.db2.gz VIVUGPJPAGHWIH-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccnc(F)c1 ZINC000859079958 1123683737 /nfs/dbraw/zinc/68/37/37/1123683737.db2.gz VIVUGPJPAGHWIH-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2cc(Cl)n(C)n2)c1 ZINC000859088720 1123683791 /nfs/dbraw/zinc/68/37/91/1123683791.db2.gz WBHHDHIYDOMJSG-HNNXBMFYSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2cc(Cl)n(C)n2)c1 ZINC000859088720 1123683792 /nfs/dbraw/zinc/68/37/92/1123683792.db2.gz WBHHDHIYDOMJSG-HNNXBMFYSA-N 1 2 289.810 3.719 20 0 CHADLO CC(C)c1cnc(C[NH+]2C[C@H](C)C(F)(F)[C@@H](C)C2)o1 ZINC000859412019 1123696945 /nfs/dbraw/zinc/69/69/45/1123696945.db2.gz PVCBRQSAIHWAQF-QWRGUYRKSA-N 1 2 272.339 3.521 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@H+]1Cc1ccc(F)cn1 ZINC000346616016 1123698020 /nfs/dbraw/zinc/69/80/20/1123698020.db2.gz OIXBMAVZLWWSSK-NWDGAFQWSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@@H+]1Cc1ccc(F)cn1 ZINC000346616016 1123698021 /nfs/dbraw/zinc/69/80/21/1123698021.db2.gz OIXBMAVZLWWSSK-NWDGAFQWSA-N 1 2 288.341 3.868 20 0 CHADLO Cc1nn(C(C)C)cc1C[NH2+]Cc1nc(C(C)C)cs1 ZINC000281425541 1123708012 /nfs/dbraw/zinc/70/80/12/1123708012.db2.gz RDPRHSVVTMPTEV-UHFFFAOYSA-N 1 2 292.452 3.642 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@H](C)c2ccc(C)o2)c(F)cn1 ZINC000287093511 1123715893 /nfs/dbraw/zinc/71/58/93/1123715893.db2.gz WHCYNCUQEZTLMV-GHMZBOCLSA-N 1 2 278.327 3.543 20 0 CHADLO C[C@@H](Nc1ccc(-n2cc[nH+]c2)c(Cl)c1)[C@H]1CCCO1 ZINC000346834227 1123724981 /nfs/dbraw/zinc/72/49/81/1123724981.db2.gz NXVZDKSJYBGPPI-IAQYHMDHSA-N 1 2 291.782 3.505 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)Cc3c(C)cccc3C)cc2[nH+]1 ZINC000346913649 1123732421 /nfs/dbraw/zinc/73/24/21/1123732421.db2.gz DESJBBWKSVJNAJ-UHFFFAOYSA-N 1 2 293.370 3.669 20 0 CHADLO C[C@@H]1CCC[C@@H]1CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000346948999 1123735974 /nfs/dbraw/zinc/73/59/74/1123735974.db2.gz LGWAQFAZMLZUGH-ZIAGYGMSSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc3cc[nH]c3c2)cs1 ZINC000862215611 1123793051 /nfs/dbraw/zinc/79/30/51/1123793051.db2.gz MMPQWIWJZDDPHH-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc3cc[nH]c3c2)cs1 ZINC000862215611 1123793055 /nfs/dbraw/zinc/79/30/55/1123793055.db2.gz MMPQWIWJZDDPHH-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@H+](Cn2nc(C)sc2=S)C1 ZINC000863339719 1123861061 /nfs/dbraw/zinc/86/10/61/1123861061.db2.gz GWXKTUITNKATGH-VSQXVHSFSA-N 1 2 283.466 3.618 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@@H+](Cn2nc(C)sc2=S)C1 ZINC000863339719 1123861065 /nfs/dbraw/zinc/86/10/65/1123861065.db2.gz GWXKTUITNKATGH-VSQXVHSFSA-N 1 2 283.466 3.618 20 0 CHADLO COCc1ccc(C[N@H+](CC(F)F)CC2CCC2)cc1 ZINC000339058730 1123875889 /nfs/dbraw/zinc/87/58/89/1123875889.db2.gz VSBJKJUHRKOOAN-UHFFFAOYSA-N 1 2 283.362 3.700 20 0 CHADLO COCc1ccc(C[N@@H+](CC(F)F)CC2CCC2)cc1 ZINC000339058730 1123875895 /nfs/dbraw/zinc/87/58/95/1123875895.db2.gz VSBJKJUHRKOOAN-UHFFFAOYSA-N 1 2 283.362 3.700 20 0 CHADLO CCc1nc(CCNc2cc(C)[nH+]c(C(C)C)n2)cs1 ZINC000301205661 1123881186 /nfs/dbraw/zinc/88/11/86/1123881186.db2.gz YYXAAQOMTXGWHS-UHFFFAOYSA-N 1 2 290.436 3.582 20 0 CHADLO Cc1cc(N[C@@H]2CCN(c3ccccc3)C2)nc(C(C)C)[nH+]1 ZINC000301199547 1123881384 /nfs/dbraw/zinc/88/13/84/1123881384.db2.gz PKDQFEJCTFAVKA-OAHLLOKOSA-N 1 2 296.418 3.599 20 0 CHADLO C[C@H]1C[C@@H](c2ccc(F)cc2)CN1c1cccc[nH+]1 ZINC000301319213 1123891077 /nfs/dbraw/zinc/89/10/77/1123891077.db2.gz DNEJNJKIZUKKQR-GXTWGEPZSA-N 1 2 256.324 3.603 20 0 CHADLO Cc1cc(N[C@@H]2CCCC[C@@H]2n2cccn2)nc(C(C)C)[nH+]1 ZINC000301414736 1123898467 /nfs/dbraw/zinc/89/84/67/1123898467.db2.gz BHIVTRPVNXEPGQ-CABCVRRESA-N 1 2 299.422 3.701 20 0 CHADLO Cc1nc(NCc2cc3ccccc3[nH]2)c2c([nH+]1)CCCC2 ZINC000301564357 1123913111 /nfs/dbraw/zinc/91/31/11/1123913111.db2.gz LMOBBYDKQVSKTR-UHFFFAOYSA-N 1 2 292.386 3.757 20 0 CHADLO Cc1ccc(CNc2cccc[nH+]2)c(OCC(F)(F)F)c1 ZINC000301579499 1123914772 /nfs/dbraw/zinc/91/47/72/1123914772.db2.gz SICKTGSZZZTJLR-UHFFFAOYSA-N 1 2 296.292 3.943 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H](O)c1ccccc1F ZINC000301590809 1123915705 /nfs/dbraw/zinc/91/57/05/1123915705.db2.gz XWNFEOTZMSLEQQ-KRWDZBQOSA-N 1 2 296.345 3.828 20 0 CHADLO Cc1nn(C)c(C)c1CCNc1[nH+]c2ccccc2cc1C ZINC000301682632 1123923254 /nfs/dbraw/zinc/92/32/54/1123923254.db2.gz GQPJVWGGRKSBBH-UHFFFAOYSA-N 1 2 294.402 3.548 20 0 CHADLO COC[C@@H](Nc1cc(C)[nH+]c(C(C)C)n1)c1ccccc1 ZINC000301691918 1123924598 /nfs/dbraw/zinc/92/45/98/1123924598.db2.gz ZADXIGGLOFRVEV-OAHLLOKOSA-N 1 2 285.391 3.708 20 0 CHADLO Cc1nc(N2C[C@@H](c3ccc(F)cc3)C[C@@H]2C)c(C)c(C)[nH+]1 ZINC000301715349 1123927029 /nfs/dbraw/zinc/92/70/29/1123927029.db2.gz ZXQKPVDGZIHVFP-ZBEGNZNMSA-N 1 2 299.393 3.923 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2cccc[nH+]2)C12CCCCC2 ZINC000301753907 1123930219 /nfs/dbraw/zinc/93/02/19/1123930219.db2.gz CEDFYSJRNUEUMS-UONOGXRCSA-N 1 2 260.381 3.621 20 0 CHADLO Cc1ccc(C[S@@](=O)C[C@@H](C)C(C)(C)C)c(C)[nH+]1 ZINC000864473537 1123930659 /nfs/dbraw/zinc/93/06/59/1123930659.db2.gz CATHUUDVKROOHJ-ZMZPIMSZSA-N 1 2 267.438 3.629 20 0 CHADLO COC[C@H](Nc1cccc[nH+]1)c1cccc(C(F)(F)F)c1 ZINC000301780128 1123932673 /nfs/dbraw/zinc/93/26/73/1123932673.db2.gz SHWRYBFAXHZIQQ-ZDUSSCGKSA-N 1 2 296.292 3.900 20 0 CHADLO CCN(C[C@@H]1CCCO1)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301809872 1123934541 /nfs/dbraw/zinc/93/45/41/1123934541.db2.gz GPIXAMFUNJJSCU-AWEZNQCLSA-N 1 2 291.439 3.729 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](CC)c2nccs2)o1 ZINC000428345201 1123935803 /nfs/dbraw/zinc/93/58/03/1123935803.db2.gz DNJNIPBNIFYOGI-LLVKDONJSA-N 1 2 279.409 3.633 20 0 CHADLO CSCCCNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301860496 1123939162 /nfs/dbraw/zinc/93/91/62/1123939162.db2.gz KRUBYNFHBJVNBP-UHFFFAOYSA-N 1 2 267.442 3.888 20 0 CHADLO C[C@@H](c1ccc(F)cc1)N(C)c1nc(N)c2ccccc2[nH+]1 ZINC000301871594 1123940217 /nfs/dbraw/zinc/94/02/17/1123940217.db2.gz IVNSUPXRBDVUAJ-NSHDSACASA-N 1 2 296.349 3.549 20 0 CHADLO Cc1cccc([C@@H](Nc2cccc[nH+]2)c2ccccn2)c1 ZINC000301894081 1123941454 /nfs/dbraw/zinc/94/14/54/1123941454.db2.gz PIECFWWKQJEBQM-GOSISDBHSA-N 1 2 275.355 3.987 20 0 CHADLO CC(C)c1cc(N(C)CCc2ccccn2)nc(C(C)C)[nH+]1 ZINC000301891671 1123941962 /nfs/dbraw/zinc/94/19/62/1123941962.db2.gz VCTFBLWBFZHBPJ-UHFFFAOYSA-N 1 2 298.434 3.797 20 0 CHADLO Cc1ccc(C[C@H](C)Nc2nc(N)c3ccccc3[nH+]2)s1 ZINC000301926191 1123944019 /nfs/dbraw/zinc/94/40/19/1123944019.db2.gz YYIPURIIJJTCDZ-JTQLQIEISA-N 1 2 298.415 3.625 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1cccc(C(F)F)c1 ZINC000794721604 1123944058 /nfs/dbraw/zinc/94/40/58/1123944058.db2.gz SJKOOECPHFBMKH-UHFFFAOYSA-N 1 2 296.342 3.927 20 0 CHADLO Cc1nsc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC000301924643 1123944416 /nfs/dbraw/zinc/94/44/16/1123944416.db2.gz ICRBTZBOMPPDBZ-UHFFFAOYSA-N 1 2 274.393 3.580 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2cccc(OC)c2)nc(C)[nH+]1 ZINC000302030533 1123950594 /nfs/dbraw/zinc/95/05/94/1123950594.db2.gz BSCDFUNMJRJSGL-QGZVFWFLSA-N 1 2 297.402 3.698 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2cccc(C)c2)nc(C)[nH+]1 ZINC000302062636 1123953355 /nfs/dbraw/zinc/95/33/55/1123953355.db2.gz UMXQEXGDMTWPPX-QGZVFWFLSA-N 1 2 281.403 3.997 20 0 CHADLO CC(C)OCCNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000302153020 1123958115 /nfs/dbraw/zinc/95/81/15/1123958115.db2.gz UWEBFAXOGANGKK-UHFFFAOYSA-N 1 2 265.401 3.560 20 0 CHADLO Cc1cc(C)c2c(c1)CN(c1nc(C)[nH+]c(C)c1C)CC2 ZINC000302378129 1123966924 /nfs/dbraw/zinc/96/69/24/1123966924.db2.gz DCRBEGKEIGDKGD-UHFFFAOYSA-N 1 2 281.403 3.581 20 0 CHADLO COc1cccc2c(N(C)Cc3csc(C)n3)cc[nH+]c12 ZINC000302447631 1123968577 /nfs/dbraw/zinc/96/85/77/1123968577.db2.gz OITCOEIXBIOWJF-UHFFFAOYSA-N 1 2 299.399 3.645 20 0 CHADLO FC(F)(F)[C@H](CNc1cccc[nH+]1)c1ccccc1 ZINC000302508643 1123970380 /nfs/dbraw/zinc/97/03/80/1123970380.db2.gz WTUXZKNLHKUUGG-GFCCVEGCSA-N 1 2 266.266 3.840 20 0 CHADLO CC/C(C)=C(/C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000779851865 1129156875 /nfs/dbraw/zinc/15/68/75/1129156875.db2.gz YQYODRSBBPCXLD-SEYXRHQNSA-N 1 2 269.348 3.557 20 0 CHADLO CO[C@@H](C)[C@H](C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000302618182 1123974573 /nfs/dbraw/zinc/97/45/73/1123974573.db2.gz DMBYBVIGMWPAJI-RYUDHWBXSA-N 1 2 265.401 3.559 20 0 CHADLO COc1cccc2c(NCc3sc(C)nc3C)cc[nH+]c12 ZINC000302615770 1123974858 /nfs/dbraw/zinc/97/48/58/1123974858.db2.gz CMNFJWHHOYRYKQ-UHFFFAOYSA-N 1 2 299.399 3.929 20 0 CHADLO CC(C)(C)c1cn(Cc2ccc(F)cc2F)c[nH+]1 ZINC000865376241 1123976480 /nfs/dbraw/zinc/97/64/80/1123976480.db2.gz FYNRTXFPUWDKBK-UHFFFAOYSA-N 1 2 250.292 3.507 20 0 CHADLO COc1cccc2c(NCc3csc(C)n3)c(C)c[nH+]c12 ZINC000302674472 1123977402 /nfs/dbraw/zinc/97/74/02/1123977402.db2.gz TWGGLCATHIOBFL-UHFFFAOYSA-N 1 2 299.399 3.929 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2cc3c(cc[nH]c3=O)o2)o1 ZINC000865417972 1123982113 /nfs/dbraw/zinc/98/21/13/1123982113.db2.gz FLYHAWYGJORMEU-ZWNOBZJWSA-N 1 2 298.342 3.540 20 0 CHADLO COc1cccc2c(N3CCS[C@H](C)CC3)cc[nH+]c12 ZINC000302766278 1123982645 /nfs/dbraw/zinc/98/26/45/1123982645.db2.gz YRNDNSCMLJELGE-GFCCVEGCSA-N 1 2 288.416 3.575 20 0 CHADLO Cc1cc(N2CCC(c3ccncc3)CC2)nc(C(C)C)[nH+]1 ZINC000302771998 1123983075 /nfs/dbraw/zinc/98/30/75/1123983075.db2.gz CLNOBHVEMXUZAN-UHFFFAOYSA-N 1 2 296.418 3.687 20 0 CHADLO CCOc1cc(F)cc(C[NH2+]Cc2csc(CC)n2)c1 ZINC000865455771 1123986261 /nfs/dbraw/zinc/98/62/61/1123986261.db2.gz BIFNZBKHHSJXFR-UHFFFAOYSA-N 1 2 294.395 3.533 20 0 CHADLO COc1cccc2c(NCC3(SC)CCC3)cc[nH+]c12 ZINC000302859020 1123986704 /nfs/dbraw/zinc/98/67/04/1123986704.db2.gz NVBMAMDOSVZBHH-UHFFFAOYSA-N 1 2 288.416 3.941 20 0 CHADLO CCC[C@](C)(CC)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000475537235 1124007717 /nfs/dbraw/zinc/00/77/17/1124007717.db2.gz MKOCJZNBMZYOTA-INIZCTEOSA-N 1 2 273.380 3.798 20 0 CHADLO CCCNc1cc(N[C@@H](C)c2ccc(Cl)cn2)nc[nH+]1 ZINC000865742351 1124009863 /nfs/dbraw/zinc/00/98/63/1124009863.db2.gz YRSFCNXTBYIAIA-JTQLQIEISA-N 1 2 291.786 3.520 20 0 CHADLO CCCNc1cc(N[C@@H](C)c2ccc(Cl)cn2)[nH+]cn1 ZINC000865742351 1124009868 /nfs/dbraw/zinc/00/98/68/1124009868.db2.gz YRSFCNXTBYIAIA-JTQLQIEISA-N 1 2 291.786 3.520 20 0 CHADLO Cn1nc(C[NH2+]Cc2ccc(C(C)(C)C)o2)c2ccccc21 ZINC000865814227 1124016945 /nfs/dbraw/zinc/01/69/45/1124016945.db2.gz FZBSRGXLLANQTM-UHFFFAOYSA-N 1 2 297.402 3.754 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@H]1F)c1ccccc1 ZINC000866214043 1124037282 /nfs/dbraw/zinc/03/72/82/1124037282.db2.gz GUAMEWCSTCJSNL-XGWLTEMNSA-N 1 2 271.335 3.809 20 0 CHADLO Cc1cccc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)c1 ZINC000866221860 1124038212 /nfs/dbraw/zinc/03/82/12/1124038212.db2.gz UMOAISSOFBVHKW-RDJZCZTQSA-N 1 2 271.335 3.556 20 0 CHADLO CCCNc1cc(N[C@H](C)c2cc3cnccc3o2)nc[nH+]1 ZINC000866265320 1124043859 /nfs/dbraw/zinc/04/38/59/1124043859.db2.gz JVZOHNBHGYENBJ-LLVKDONJSA-N 1 2 297.362 3.613 20 0 CHADLO CCCNc1cc(N[C@H](C)c2cc3cnccc3o2)[nH+]cn1 ZINC000866265320 1124043864 /nfs/dbraw/zinc/04/38/64/1124043864.db2.gz JVZOHNBHGYENBJ-LLVKDONJSA-N 1 2 297.362 3.613 20 0 CHADLO COc1ccccc1[C@@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC000866272193 1124045142 /nfs/dbraw/zinc/04/51/42/1124045142.db2.gz KHHNQTFYZNZLBO-OAHLLOKOSA-N 1 2 297.402 3.568 20 0 CHADLO Cc1cc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)c(C)s1 ZINC000866271609 1124045562 /nfs/dbraw/zinc/04/55/62/1124045562.db2.gz BCAIAIMOOBVXRT-ZBFHGGJFSA-N 1 2 291.391 3.926 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@@H]3CCCc4ncccc43)ccc21 ZINC000866332358 1124052687 /nfs/dbraw/zinc/05/26/87/1124052687.db2.gz YXKZJUPBTACMIY-GOSISDBHSA-N 1 2 293.414 3.954 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@@H]3CCCc4ncccc43)ccc21 ZINC000866332358 1124052694 /nfs/dbraw/zinc/05/26/94/1124052694.db2.gz YXKZJUPBTACMIY-GOSISDBHSA-N 1 2 293.414 3.954 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2c3ccccc3OC[C@H]2F)cc1 ZINC000866330002 1124052764 /nfs/dbraw/zinc/05/27/64/1124052764.db2.gz JOTNLUWSEATVKG-WBVHZDCISA-N 1 2 286.350 3.636 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2c3ccccc3OC[C@H]2F)cc1 ZINC000866329998 1124052870 /nfs/dbraw/zinc/05/28/70/1124052870.db2.gz JOTNLUWSEATVKG-NVXWUHKLSA-N 1 2 286.350 3.636 20 0 CHADLO Cn1ccnc1[C@@H]([NH2+]Cc1ccc(C(C)(C)C)o1)C1CC1 ZINC000866573025 1124084420 /nfs/dbraw/zinc/08/44/20/1124084420.db2.gz FWHQWPRBITZBDA-HNNXBMFYSA-N 1 2 287.407 3.552 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCOC[C@H]2c2cccn2C)cc1 ZINC000445796707 1124103060 /nfs/dbraw/zinc/10/30/60/1124103060.db2.gz AFVRYDPUJJDMNJ-IBGZPJMESA-N 1 2 298.430 3.551 20 0 CHADLO CCCc1ccc(C[N@H+]2CCOC[C@H]2c2cccn2C)cc1 ZINC000445796707 1124103062 /nfs/dbraw/zinc/10/30/62/1124103062.db2.gz AFVRYDPUJJDMNJ-IBGZPJMESA-N 1 2 298.430 3.551 20 0 CHADLO C[C@H]([NH2+]Cc1cccnc1Cl)c1cccc(F)c1F ZINC000121857125 1124103916 /nfs/dbraw/zinc/10/39/16/1124103916.db2.gz IYQOFHLVCQVCKP-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nnc(C(C)C)[nH]2)cc1 ZINC000428440619 1124113423 /nfs/dbraw/zinc/11/34/23/1124113423.db2.gz FLOVVZVEQYWADT-HNNXBMFYSA-N 1 2 284.407 3.574 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)cc1 ZINC000428440619 1124113425 /nfs/dbraw/zinc/11/34/25/1124113425.db2.gz FLOVVZVEQYWADT-HNNXBMFYSA-N 1 2 284.407 3.574 20 0 CHADLO COc1ccc(C)cc1C[N@@H+]1CCOC[C@H]1c1ccccc1 ZINC000525269303 1124120981 /nfs/dbraw/zinc/12/09/81/1124120981.db2.gz HKXHGXBUTLKLRK-SFHVURJKSA-N 1 2 297.398 3.577 20 0 CHADLO COc1ccc(C)cc1C[N@H+]1CCOC[C@H]1c1ccccc1 ZINC000525269303 1124120982 /nfs/dbraw/zinc/12/09/82/1124120982.db2.gz HKXHGXBUTLKLRK-SFHVURJKSA-N 1 2 297.398 3.577 20 0 CHADLO CCn1cncc1C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000418110875 1124122901 /nfs/dbraw/zinc/12/29/01/1124122901.db2.gz FZIFWNFISDIEBR-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cncc1C[N@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000418110875 1124122902 /nfs/dbraw/zinc/12/29/02/1124122902.db2.gz FZIFWNFISDIEBR-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CC(C)c1nnc(C[N@H+](C)Cc2cccc3ccccc32)[nH]1 ZINC000428458273 1124128269 /nfs/dbraw/zinc/12/82/69/1124128269.db2.gz BIAPXGZGPSZWAK-UHFFFAOYSA-N 1 2 294.402 3.713 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C)Cc2cccc3ccccc32)[nH]1 ZINC000428458273 1124128270 /nfs/dbraw/zinc/12/82/70/1124128270.db2.gz BIAPXGZGPSZWAK-UHFFFAOYSA-N 1 2 294.402 3.713 20 0 CHADLO COc1cc(-c2ccccc2)ccc1C[N@@H+]1CCOC[C@H]1C ZINC000446910304 1124128506 /nfs/dbraw/zinc/12/85/06/1124128506.db2.gz KBBYMKUQJXIFOR-OAHLLOKOSA-N 1 2 297.398 3.583 20 0 CHADLO COc1cc(-c2ccccc2)ccc1C[N@H+]1CCOC[C@H]1C ZINC000446910304 1124128508 /nfs/dbraw/zinc/12/85/08/1124128508.db2.gz KBBYMKUQJXIFOR-OAHLLOKOSA-N 1 2 297.398 3.583 20 0 CHADLO C[N@H+](Cc1cc(C(C)(C)C)n[nH]1)Cc1ccc(F)c(F)c1 ZINC000447096675 1124132994 /nfs/dbraw/zinc/13/29/94/1124132994.db2.gz IVGDRGBYGPJEDZ-UHFFFAOYSA-N 1 2 293.361 3.617 20 0 CHADLO C[N@@H+](Cc1cc(C(C)(C)C)n[nH]1)Cc1ccc(F)c(F)c1 ZINC000447096675 1124132995 /nfs/dbraw/zinc/13/29/95/1124132995.db2.gz IVGDRGBYGPJEDZ-UHFFFAOYSA-N 1 2 293.361 3.617 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CC[N@@H+]2C2CCCC2)c(Cl)c1 ZINC000635068950 1129171714 /nfs/dbraw/zinc/17/17/14/1129171714.db2.gz NJSAVVGVTRLCQI-OAHLLOKOSA-N 1 2 292.810 3.604 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CC[N@H+]2C2CCCC2)c(Cl)c1 ZINC000635068950 1129171717 /nfs/dbraw/zinc/17/17/17/1129171717.db2.gz NJSAVVGVTRLCQI-OAHLLOKOSA-N 1 2 292.810 3.604 20 0 CHADLO Cc1ccccc1[C@@H](C)NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000635112937 1129172843 /nfs/dbraw/zinc/17/28/43/1129172843.db2.gz OUBDXBPDDGNJDC-WBVHZDCISA-N 1 2 299.418 3.656 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2ccncc2)cc1Cl ZINC001238788911 1131247979 /nfs/dbraw/zinc/24/79/79/1131247979.db2.gz RFWVXBOVOMIKJJ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2ccncc2)cc1Cl ZINC001238788911 1131247982 /nfs/dbraw/zinc/24/79/82/1131247982.db2.gz RFWVXBOVOMIKJJ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+]1CCOC[C@@H]1C(C)C ZINC001238785865 1131248284 /nfs/dbraw/zinc/24/82/84/1131248284.db2.gz VRKNGWDHWQDEAV-OAHLLOKOSA-N 1 2 285.790 3.644 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+]1CCOC[C@@H]1C(C)C ZINC001238785865 1131248288 /nfs/dbraw/zinc/24/82/88/1131248288.db2.gz VRKNGWDHWQDEAV-OAHLLOKOSA-N 1 2 285.790 3.644 20 0 CHADLO COc1cc(OC)cc([C@H](C)Nc2c[nH+]cc(C)c2C)c1 ZINC001170933500 1131257452 /nfs/dbraw/zinc/25/74/52/1131257452.db2.gz LIXYPJJEUXACEO-ZDUSSCGKSA-N 1 2 286.375 3.889 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cc3ccccc3[nH]c2=O)c1 ZINC001238881743 1131263987 /nfs/dbraw/zinc/26/39/87/1131263987.db2.gz HBMCARHRZLMCLQ-UHFFFAOYSA-N 1 2 292.338 3.969 20 0 CHADLO CC[C@@H]1c2ccccc2CN1c1nc(N2CCCC2)cc[nH+]1 ZINC000897353694 1124467918 /nfs/dbraw/zinc/46/79/18/1124467918.db2.gz DIODTJBQDZLALD-MRXNPFEDSA-N 1 2 294.402 3.548 20 0 CHADLO Cc1nc(SCc2c[nH+]cn2Cc2ccccc2)oc1C ZINC000525832386 1124471182 /nfs/dbraw/zinc/47/11/82/1124471182.db2.gz MDEUUIHAXSHHID-UHFFFAOYSA-N 1 2 299.399 3.829 20 0 CHADLO CN(Cc1c[nH+]cn1Cc1ccccc1)c1ccccc1 ZINC000526083648 1124504956 /nfs/dbraw/zinc/50/49/56/1124504956.db2.gz INHBIGASJOZUCK-UHFFFAOYSA-N 1 2 277.371 3.568 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2ccc3c(c2)CCC3)n1 ZINC001119930940 1131265975 /nfs/dbraw/zinc/26/59/75/1131265975.db2.gz FPHOJRYZNZGAPC-UHFFFAOYSA-N 1 2 284.403 3.751 20 0 CHADLO CC(C)Oc1cnc(F)c(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001238893466 1131267331 /nfs/dbraw/zinc/26/73/31/1131267331.db2.gz OKGTYLMGAWMNRC-UHFFFAOYSA-N 1 2 297.333 3.861 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1ccc(Cl)cc1F ZINC000527108164 1124553122 /nfs/dbraw/zinc/55/31/22/1124553122.db2.gz FOPNSOOTLBALND-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1ccc(Cl)cc1F ZINC000527108164 1124553130 /nfs/dbraw/zinc/55/31/30/1124553130.db2.gz FOPNSOOTLBALND-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO Cc1cc(-c2cc(OC(C)C)cnc2F)cn2cc[nH+]c12 ZINC001238899358 1131268220 /nfs/dbraw/zinc/26/82/20/1131268220.db2.gz QJBIROMUIOOCEN-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)[C@@H](C)c1ccc(F)cc1F ZINC000123048234 1124557697 /nfs/dbraw/zinc/55/76/97/1124557697.db2.gz BFFRXAZWGVORCQ-JTQLQIEISA-N 1 2 280.318 3.763 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)[C@@H](C)c1ccc(F)cc1F ZINC000123048234 1124557708 /nfs/dbraw/zinc/55/77/08/1124557708.db2.gz BFFRXAZWGVORCQ-JTQLQIEISA-N 1 2 280.318 3.763 20 0 CHADLO CC(C)OC(=O)[C@H](C)[N@H+](C)C/C=C\c1ccc(Cl)cc1 ZINC000527297602 1124567537 /nfs/dbraw/zinc/56/75/37/1124567537.db2.gz RFVSSAYZUHXUJG-SZZPACECSA-N 1 2 295.810 3.625 20 0 CHADLO CC(C)OC(=O)[C@H](C)[N@@H+](C)C/C=C\c1ccc(Cl)cc1 ZINC000527297602 1124567545 /nfs/dbraw/zinc/56/75/45/1124567545.db2.gz RFVSSAYZUHXUJG-SZZPACECSA-N 1 2 295.810 3.625 20 0 CHADLO C[N@H+](Cc1ccnn1-c1ccccc1)Cc1ccccc1F ZINC000448211424 1124570815 /nfs/dbraw/zinc/57/08/15/1124570815.db2.gz GMGIFCZSUZQXNM-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO C[N@@H+](Cc1ccnn1-c1ccccc1)Cc1ccccc1F ZINC000448211424 1124570828 /nfs/dbraw/zinc/57/08/28/1124570828.db2.gz GMGIFCZSUZQXNM-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1ccnn1-c1ccccc1 ZINC000448225382 1124574617 /nfs/dbraw/zinc/57/46/17/1124574617.db2.gz VTUHWLAUCJBHIR-AWEZNQCLSA-N 1 2 281.359 3.658 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1ccnn1-c1ccccc1 ZINC000448225382 1124574620 /nfs/dbraw/zinc/57/46/20/1124574620.db2.gz VTUHWLAUCJBHIR-AWEZNQCLSA-N 1 2 281.359 3.658 20 0 CHADLO C[C@@H]1C[C@H](CO)CC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000626121749 1124583392 /nfs/dbraw/zinc/58/33/92/1124583392.db2.gz SVLRRQDFFKTTKA-RKDXNWHRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1C[C@H](CO)CC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000626121749 1124583399 /nfs/dbraw/zinc/58/33/99/1124583399.db2.gz SVLRRQDFFKTTKA-RKDXNWHRSA-N 1 2 294.247 3.648 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@]3(C)CCC[C@@H]3C)cc2[nH+]1 ZINC000448625363 1124599470 /nfs/dbraw/zinc/59/94/70/1124599470.db2.gz NESCMXBQANYJMM-MGPLVRAMSA-N 1 2 271.364 3.636 20 0 CHADLO C[C@@]1(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CC=CCC1 ZINC000448841479 1124620384 /nfs/dbraw/zinc/62/03/84/1124620384.db2.gz UCSGBVFHVVXIFM-QGZVFWFLSA-N 1 2 281.359 3.762 20 0 CHADLO C/C(Cl)=C/C[N@H+]1C[C@H](c2ccccc2)OC[C@H]1C ZINC000528368231 1124649382 /nfs/dbraw/zinc/64/93/82/1124649382.db2.gz LTBZEMNUDXWBEW-MKGJVGOLSA-N 1 2 265.784 3.591 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1C[C@H](c2ccccc2)OC[C@H]1C ZINC000528368231 1124649385 /nfs/dbraw/zinc/64/93/85/1124649385.db2.gz LTBZEMNUDXWBEW-MKGJVGOLSA-N 1 2 265.784 3.591 20 0 CHADLO C[C@H]([NH2+]Cc1ccncc1F)c1nc(C(C)(C)C)cs1 ZINC000449129727 1124652909 /nfs/dbraw/zinc/65/29/09/1124652909.db2.gz WDRWTKOOCKRPTB-JTQLQIEISA-N 1 2 293.411 3.826 20 0 CHADLO CC(C)(C)c1cn(C[C@@H](O)c2cccc(Cl)c2)c[nH+]1 ZINC000871989624 1124656063 /nfs/dbraw/zinc/65/60/63/1124656063.db2.gz QJIYATFGNOGNOQ-CYBMUJFWSA-N 1 2 278.783 3.568 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H](C)c2cc(O)ccc2F)n1 ZINC000872031184 1124661850 /nfs/dbraw/zinc/66/18/50/1124661850.db2.gz HDMOSQRZAOUDAD-UWVGGRQHSA-N 1 2 280.368 3.708 20 0 CHADLO CC[C@H](Nc1ccc([NH+](C)C)cc1C)[C@H]1CCCOC1 ZINC000872056379 1124668411 /nfs/dbraw/zinc/66/84/11/1124668411.db2.gz QYDRQXACMWJKPH-HOCLYGCPSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N(C)C)cc1C)[C@H]1CCCOC1 ZINC000872056379 1124668415 /nfs/dbraw/zinc/66/84/15/1124668415.db2.gz QYDRQXACMWJKPH-HOCLYGCPSA-N 1 2 276.424 3.678 20 0 CHADLO COCC[C@H]([NH2+][C@H](C)c1cc(O)ccc1F)c1ccco1 ZINC000872059500 1124670183 /nfs/dbraw/zinc/67/01/83/1124670183.db2.gz GGTCAEFMQCHULZ-ABAIWWIYSA-N 1 2 293.338 3.553 20 0 CHADLO Cc1noc(C)c1CN(C)c1[nH+]ccc2cc(F)ccc21 ZINC000825573823 1124675109 /nfs/dbraw/zinc/67/51/09/1124675109.db2.gz GQJSKDRDYPRLAX-UHFFFAOYSA-N 1 2 285.322 3.615 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@H+](C)Cc1ccncc1F ZINC000449331177 1124690938 /nfs/dbraw/zinc/69/09/38/1124690938.db2.gz NJTHPRBIQLBCAF-MRXNPFEDSA-N 1 2 288.366 3.812 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@@H+](C)Cc1ccncc1F ZINC000449331177 1124690940 /nfs/dbraw/zinc/69/09/40/1124690940.db2.gz NJTHPRBIQLBCAF-MRXNPFEDSA-N 1 2 288.366 3.812 20 0 CHADLO CC[C@@H](C)c1ccc([C@H](C)[NH2+]Cc2nonc2C)cc1 ZINC000872134223 1124692977 /nfs/dbraw/zinc/69/29/77/1124692977.db2.gz ALCKRHDTHCTHGW-NEPJUHHUSA-N 1 2 273.380 3.742 20 0 CHADLO CCc1c2cc(C)ccc2oc1[C@H](C)[NH2+]Cc1nonc1C ZINC000872137939 1124694387 /nfs/dbraw/zinc/69/43/87/1124694387.db2.gz XZXNNPTVXCRAPY-LBPRGKRZSA-N 1 2 299.374 3.846 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ccccc2OC(F)F)C1 ZINC000449352614 1124698264 /nfs/dbraw/zinc/69/82/64/1124698264.db2.gz VWLMDWHBDMLXIV-CQSZACIVSA-N 1 2 273.298 3.612 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ccccc2OC(F)F)C1 ZINC000449352614 1124698267 /nfs/dbraw/zinc/69/82/67/1124698267.db2.gz VWLMDWHBDMLXIV-CQSZACIVSA-N 1 2 273.298 3.612 20 0 CHADLO CC(C)(C)c1ncc(C[N@@H+]2CCC[C@@](C)(F)C2)s1 ZINC000449350818 1124698338 /nfs/dbraw/zinc/69/83/38/1124698338.db2.gz NNDWQSURGJBACF-CQSZACIVSA-N 1 2 270.417 3.765 20 0 CHADLO CC(C)(C)c1ncc(C[N@H+]2CCC[C@@](C)(F)C2)s1 ZINC000449350818 1124698340 /nfs/dbraw/zinc/69/83/40/1124698340.db2.gz NNDWQSURGJBACF-CQSZACIVSA-N 1 2 270.417 3.765 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cc3c(cccc3F)[nH]2)C1 ZINC000449353079 1124707625 /nfs/dbraw/zinc/70/76/25/1124707625.db2.gz XURMVEFMQGBPHK-OAHLLOKOSA-N 1 2 264.319 3.631 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cc3c(cccc3F)[nH]2)C1 ZINC000449353079 1124707634 /nfs/dbraw/zinc/70/76/34/1124707634.db2.gz XURMVEFMQGBPHK-OAHLLOKOSA-N 1 2 264.319 3.631 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2csnn2)C2CC2)cc1Cl ZINC000449453622 1124745394 /nfs/dbraw/zinc/74/53/94/1124745394.db2.gz XHSMZKUNXRUULF-ZDUSSCGKSA-N 1 2 297.786 3.572 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+]Cc1cc(Cl)ccc1Cl ZINC000873186582 1124747873 /nfs/dbraw/zinc/74/78/73/1124747873.db2.gz CDKFADRAGVNSTM-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO CCOc1cc(C)c(-c2ccc(-c3c[nH+]cn3C)cc2)cn1 ZINC001238978471 1131284302 /nfs/dbraw/zinc/28/43/02/1131284302.db2.gz VLOAQFLWPLIKOU-UHFFFAOYSA-N 1 2 293.370 3.856 20 0 CHADLO Cc1cc(F)cc(N2CCC(CC(F)(F)F)CC2)[nH+]1 ZINC001120932285 1131286506 /nfs/dbraw/zinc/28/65/06/1131286506.db2.gz DFFRSFQADAAIAN-UHFFFAOYSA-N 1 2 276.277 3.698 20 0 CHADLO Cc1cc(Cl)c(C(=O)Nc2c(C)c[nH+]cc2C)cc1C ZINC001121141062 1131289433 /nfs/dbraw/zinc/28/94/33/1131289433.db2.gz XQUCSOIUAMWOEE-UHFFFAOYSA-N 1 2 288.778 3.643 20 0 CHADLO CCCCc1ccc(N(C)C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)cc1 ZINC000820562472 1131293731 /nfs/dbraw/zinc/29/37/31/1131293731.db2.gz ZBJCRSARYPPUBC-HZPDHXFCSA-N 1 2 297.402 3.519 20 0 CHADLO CCCCc1ccc(N(C)C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)cc1 ZINC000820562472 1131293734 /nfs/dbraw/zinc/29/37/34/1131293734.db2.gz ZBJCRSARYPPUBC-HZPDHXFCSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3coc4ccccc34)nn2)c1C ZINC001239055592 1131296910 /nfs/dbraw/zinc/29/69/10/1131296910.db2.gz IIQMBIZXKURTQE-UHFFFAOYSA-N 1 2 290.326 3.692 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@H+](Cc1ccncc1)CC2 ZINC000528795433 1124809808 /nfs/dbraw/zinc/80/98/08/1124809808.db2.gz UNNBVGRKWSEXSV-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@@H+](Cc1ccncc1)CC2 ZINC000528795433 1124809815 /nfs/dbraw/zinc/80/98/15/1124809815.db2.gz UNNBVGRKWSEXSV-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO CCCn1cc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000449632815 1124827522 /nfs/dbraw/zinc/82/75/22/1124827522.db2.gz NVAFEGWRCGHTLG-MRXNPFEDSA-N 1 2 285.391 3.613 20 0 CHADLO CCCn1cc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000449632815 1124827531 /nfs/dbraw/zinc/82/75/31/1124827531.db2.gz NVAFEGWRCGHTLG-MRXNPFEDSA-N 1 2 285.391 3.613 20 0 CHADLO CC(C)Cn1cc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000449637434 1124831916 /nfs/dbraw/zinc/83/19/16/1124831916.db2.gz GNSCJIGUEZSKAS-KRWDZBQOSA-N 1 2 299.418 3.859 20 0 CHADLO CC(C)Cn1cc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000449637434 1124831923 /nfs/dbraw/zinc/83/19/23/1124831923.db2.gz GNSCJIGUEZSKAS-KRWDZBQOSA-N 1 2 299.418 3.859 20 0 CHADLO c1coc([C@H]2[N@H+](Cc3cnn4ccccc34)CC23CCC3)c1 ZINC000449635855 1124832343 /nfs/dbraw/zinc/83/23/43/1124832343.db2.gz VBQPKHZTICYNNP-QGZVFWFLSA-N 1 2 293.370 3.655 20 0 CHADLO c1coc([C@H]2[N@@H+](Cc3cnn4ccccc34)CC23CCC3)c1 ZINC000449635855 1124832348 /nfs/dbraw/zinc/83/23/48/1124832348.db2.gz VBQPKHZTICYNNP-QGZVFWFLSA-N 1 2 293.370 3.655 20 0 CHADLO CCCC[C@H]([NH2+][C@@H](c1ccccc1)C1CCC1)C(=O)OC ZINC001168112414 1124850068 /nfs/dbraw/zinc/85/00/68/1124850068.db2.gz JASFBOJJGSBBMR-IRXDYDNUSA-N 1 2 289.419 3.849 20 0 CHADLO CCn1cc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2F)cn1 ZINC000449736611 1124855337 /nfs/dbraw/zinc/85/53/37/1124855337.db2.gz WSYLNIBKMOOXAS-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2F)cn1 ZINC000449736611 1124855343 /nfs/dbraw/zinc/85/53/43/1124855343.db2.gz WSYLNIBKMOOXAS-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO COc1cnc(F)c(C[N@H+](C)[C@H](C)c2ccc(F)cc2)c1 ZINC000876554584 1124959669 /nfs/dbraw/zinc/95/96/69/1124959669.db2.gz OUIZWXAZHHZUPS-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1cnc(F)c(C[N@@H+](C)[C@H](C)c2ccc(F)cc2)c1 ZINC000876554584 1124959672 /nfs/dbraw/zinc/95/96/72/1124959672.db2.gz OUIZWXAZHHZUPS-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1cnc(F)c(C[N@@H+]2CCC[C@H]2c2ccsc2)c1 ZINC000876579619 1124960703 /nfs/dbraw/zinc/96/07/03/1124960703.db2.gz IVMYYEBPGNMDGB-AWEZNQCLSA-N 1 2 292.379 3.628 20 0 CHADLO COc1cnc(F)c(C[N@H+]2CCC[C@H]2c2ccsc2)c1 ZINC000876579619 1124960708 /nfs/dbraw/zinc/96/07/08/1124960708.db2.gz IVMYYEBPGNMDGB-AWEZNQCLSA-N 1 2 292.379 3.628 20 0 CHADLO Clc1csc(C[N@H+](Cc2ccccc2)C2CC2)n1 ZINC000876562352 1124961592 /nfs/dbraw/zinc/96/15/92/1124961592.db2.gz ZNARVIPBARXRBT-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Clc1csc(C[N@@H+](Cc2ccccc2)C2CC2)n1 ZINC000876562352 1124961596 /nfs/dbraw/zinc/96/15/96/1124961596.db2.gz ZNARVIPBARXRBT-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2ccns2)cc1F ZINC000876734896 1124972595 /nfs/dbraw/zinc/97/25/95/1124972595.db2.gz BJYVZQINQGBOPX-AWEZNQCLSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2ccns2)cc1F ZINC000876734896 1124972600 /nfs/dbraw/zinc/97/26/00/1124972600.db2.gz BJYVZQINQGBOPX-AWEZNQCLSA-N 1 2 280.343 3.758 20 0 CHADLO Clc1csc(C[N@@H+]2CCS[C@@H]3CCCC[C@@H]32)n1 ZINC000876771291 1124978082 /nfs/dbraw/zinc/97/80/82/1124978082.db2.gz PREDVEPXMATZSA-VHSXEESVSA-N 1 2 288.869 3.657 20 0 CHADLO Clc1csc(C[N@H+]2CCS[C@@H]3CCCC[C@@H]32)n1 ZINC000876771291 1124978089 /nfs/dbraw/zinc/97/80/89/1124978089.db2.gz PREDVEPXMATZSA-VHSXEESVSA-N 1 2 288.869 3.657 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@H]1CC1(F)F ZINC000876820747 1124980689 /nfs/dbraw/zinc/98/06/89/1124980689.db2.gz SMSPMANDFUMTTQ-FZMZJTMJSA-N 1 2 266.335 3.742 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)[C@@H]1CC1(F)F ZINC000877159427 1125014937 /nfs/dbraw/zinc/01/49/37/1125014937.db2.gz FPSJJDAQZKZFJW-GWCFXTLKSA-N 1 2 266.335 3.525 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)[C@@H]1CC1(F)F ZINC000877159427 1125014942 /nfs/dbraw/zinc/01/49/42/1125014942.db2.gz FPSJJDAQZKZFJW-GWCFXTLKSA-N 1 2 266.335 3.525 20 0 CHADLO Clc1csc(C[NH+]2CCC(c3cccnc3)CC2)n1 ZINC000877525245 1125038040 /nfs/dbraw/zinc/03/80/40/1125038040.db2.gz WQJAPMFCYJOAME-UHFFFAOYSA-N 1 2 293.823 3.571 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000450485660 1125043823 /nfs/dbraw/zinc/04/38/23/1125043823.db2.gz JXTYCIRCNSPWRP-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000450485660 1125043832 /nfs/dbraw/zinc/04/38/32/1125043832.db2.gz JXTYCIRCNSPWRP-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO OCCCCCNc1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450489942 1125044529 /nfs/dbraw/zinc/04/45/29/1125044529.db2.gz JJFAFFOVBJTHEA-UHFFFAOYSA-N 1 2 298.308 3.828 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877917966 1125101248 /nfs/dbraw/zinc/10/12/48/1125101248.db2.gz LAJNYKUVEMJVBV-CYBMUJFWSA-N 1 2 270.376 3.746 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877917966 1125101249 /nfs/dbraw/zinc/10/12/49/1125101249.db2.gz LAJNYKUVEMJVBV-CYBMUJFWSA-N 1 2 270.376 3.746 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1scnc1Cl ZINC000877918785 1125102705 /nfs/dbraw/zinc/10/27/05/1125102705.db2.gz VKSIOVMRQYSHBL-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1scnc1Cl ZINC000877918785 1125102709 /nfs/dbraw/zinc/10/27/09/1125102709.db2.gz VKSIOVMRQYSHBL-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1cc(C(F)(F)F)co1 ZINC000878204152 1125130387 /nfs/dbraw/zinc/13/03/87/1125130387.db2.gz JFWAKDYSQGUUPZ-CQSZACIVSA-N 1 2 298.308 3.974 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1cc(C(F)(F)F)co1 ZINC000878204152 1125130391 /nfs/dbraw/zinc/13/03/91/1125130391.db2.gz JFWAKDYSQGUUPZ-CQSZACIVSA-N 1 2 298.308 3.974 20 0 CHADLO FC(F)(F)c1coc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000878214081 1125133155 /nfs/dbraw/zinc/13/31/55/1125133155.db2.gz RUUGAZJYZIRIIM-CYBMUJFWSA-N 1 2 284.281 3.964 20 0 CHADLO FC(F)(F)c1coc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000878214081 1125133156 /nfs/dbraw/zinc/13/31/56/1125133156.db2.gz RUUGAZJYZIRIIM-CYBMUJFWSA-N 1 2 284.281 3.964 20 0 CHADLO Cc1conc1C[NH2+]C1(c2cccc(C(F)(F)F)c2)CC1 ZINC000878235688 1125134336 /nfs/dbraw/zinc/13/43/36/1125134336.db2.gz UADGRKIOYRXVMG-UHFFFAOYSA-N 1 2 296.292 3.781 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1nc2c(o1)CCCC2)C(C)C ZINC000878247558 1125134405 /nfs/dbraw/zinc/13/44/05/1125134405.db2.gz AQMUPBKWVUTBOC-KRWDZBQOSA-N 1 2 299.418 3.744 20 0 CHADLO FC(F)(F)c1coc(C[N@H+](Cc2ccccn2)C2CC2)c1 ZINC000878338974 1125141693 /nfs/dbraw/zinc/14/16/93/1125141693.db2.gz FTBQATQBFWXRPE-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1coc(C[N@@H+](Cc2ccccn2)C2CC2)c1 ZINC000878338974 1125141698 /nfs/dbraw/zinc/14/16/98/1125141698.db2.gz FTBQATQBFWXRPE-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO Fc1ccc(-c2cc[nH+]c(N3CCCCC3)c2)cc1 ZINC001239473646 1131334502 /nfs/dbraw/zinc/33/45/02/1131334502.db2.gz CVMSOGXKRXXNKS-UHFFFAOYSA-N 1 2 256.324 3.878 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc3c(o2)CCCC3)cc1 ZINC000878348606 1125142899 /nfs/dbraw/zinc/14/28/99/1125142899.db2.gz QLDSJKPWDSJCDL-ZDUSSCGKSA-N 1 2 270.376 3.713 20 0 CHADLO CC[C@@H]([NH2+]Cc1nocc1C)c1ccccc1OC(F)F ZINC000878353114 1125143628 /nfs/dbraw/zinc/14/36/28/1125143628.db2.gz RYJYMZLRVMTCDY-GFCCVEGCSA-N 1 2 296.317 3.825 20 0 CHADLO CC(C)([NH2+]Cc1nc2c(o1)CCCC2)c1cccc(F)c1 ZINC000878363838 1125144436 /nfs/dbraw/zinc/14/44/36/1125144436.db2.gz AVTCOQLRHYSZJX-UHFFFAOYSA-N 1 2 288.366 3.717 20 0 CHADLO COc1ccccc1[C@H](CC(C)C)[NH2+]Cc1nocc1C ZINC000878372005 1125145863 /nfs/dbraw/zinc/14/58/63/1125145863.db2.gz XJQWIRIHYZDFIB-HNNXBMFYSA-N 1 2 288.391 3.869 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001137134223 1125162544 /nfs/dbraw/zinc/16/25/44/1125162544.db2.gz LFLSNKPBBNJKPY-CVEARBPZSA-N 1 2 283.362 3.746 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001137134223 1125162545 /nfs/dbraw/zinc/16/25/45/1125162545.db2.gz LFLSNKPBBNJKPY-CVEARBPZSA-N 1 2 283.362 3.746 20 0 CHADLO c1ccc([C@H]2CCC[C@@H](C[N@@H+]3Cc4ccncc4C3)O2)cc1 ZINC000878609370 1125165644 /nfs/dbraw/zinc/16/56/44/1125165644.db2.gz YNHXVPWGVUURLV-RBUKOAKNSA-N 1 2 294.398 3.708 20 0 CHADLO c1ccc([C@H]2CCC[C@@H](C[N@H+]3Cc4ccncc4C3)O2)cc1 ZINC000878609370 1125165646 /nfs/dbraw/zinc/16/56/46/1125165646.db2.gz YNHXVPWGVUURLV-RBUKOAKNSA-N 1 2 294.398 3.708 20 0 CHADLO CC(C)CC(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000354121100 1125190951 /nfs/dbraw/zinc/19/09/51/1125190951.db2.gz BXNYURFLVMKOTJ-UHFFFAOYSA-N 1 2 277.755 3.510 20 0 CHADLO Cc1cc(NC(=O)Nc2ccc(O)c(C(C)C)c2)c(C)c[nH+]1 ZINC000879075417 1125195103 /nfs/dbraw/zinc/19/51/03/1125195103.db2.gz KDZFOAHLKMBWBH-UHFFFAOYSA-N 1 2 299.374 3.593 20 0 CHADLO Cl/C=C(\Cl)C[NH+]1CCC(Cc2nccs2)CC1 ZINC000879208796 1125202934 /nfs/dbraw/zinc/20/29/34/1125202934.db2.gz VUNILYRTFCVVSJ-FLIBITNWSA-N 1 2 291.247 3.717 20 0 CHADLO C[C@H]1C[N@@H+](Cc2noc(C3CCCCC3)n2)CC[C@@H]1C ZINC000123016169 1125220484 /nfs/dbraw/zinc/22/04/84/1125220484.db2.gz DPNNIPVFKGBNKP-STQMWFEESA-N 1 2 277.412 3.595 20 0 CHADLO C[C@H]1C[N@H+](Cc2noc(C3CCCCC3)n2)CC[C@@H]1C ZINC000123016169 1125220488 /nfs/dbraw/zinc/22/04/88/1125220488.db2.gz DPNNIPVFKGBNKP-STQMWFEESA-N 1 2 277.412 3.595 20 0 CHADLO Cc1cccc([C@@H](Nc2cc[nH+]c(C)n2)c2ccccn2)c1 ZINC000123069293 1125222261 /nfs/dbraw/zinc/22/22/61/1125222261.db2.gz BDUUCLJILRFCFK-GOSISDBHSA-N 1 2 290.370 3.690 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+](C)Cc2ccc3cc[nH]c3c2)n1 ZINC000879727967 1125227782 /nfs/dbraw/zinc/22/77/82/1125227782.db2.gz MJTDWZQQFQFYCI-LBPRGKRZSA-N 1 2 298.390 3.867 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+](C)Cc2ccc3cc[nH]c3c2)n1 ZINC000879727967 1125227788 /nfs/dbraw/zinc/22/77/88/1125227788.db2.gz MJTDWZQQFQFYCI-LBPRGKRZSA-N 1 2 298.390 3.867 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2cncc(Cl)c2)c1 ZINC000879752548 1125230845 /nfs/dbraw/zinc/23/08/45/1125230845.db2.gz RHJGSOHDADBCFE-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2cncc(Cl)c2)c1 ZINC000879752548 1125230850 /nfs/dbraw/zinc/23/08/50/1125230850.db2.gz RHJGSOHDADBCFE-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO FC1CC[NH+](Cc2csc(C3CCCC3)n2)CC1 ZINC000880241800 1125259581 /nfs/dbraw/zinc/25/95/81/1125259581.db2.gz JPWUZVLJMFQEDK-UHFFFAOYSA-N 1 2 268.401 3.735 20 0 CHADLO CC[C@@H]1CCC[C@@]1(C)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000880321563 1125266318 /nfs/dbraw/zinc/26/63/18/1125266318.db2.gz XDNHHIGRHIGUKO-NVXWUHKLSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3ccsc3[C@@H]2C)n1 ZINC000880376517 1125269406 /nfs/dbraw/zinc/26/94/06/1125269406.db2.gz IGZPTAJICUYFTG-JTQLQIEISA-N 1 2 264.419 3.632 20 0 CHADLO Cc1csc(C[N@H+]2CCc3ccsc3[C@@H]2C)n1 ZINC000880376517 1125269409 /nfs/dbraw/zinc/26/94/09/1125269409.db2.gz IGZPTAJICUYFTG-JTQLQIEISA-N 1 2 264.419 3.632 20 0 CHADLO c1nc(C[N@@H+]2C[C@@H]3CC[C@H]2c2ccccc23)oc1C1CC1 ZINC000880538908 1125279530 /nfs/dbraw/zinc/27/95/30/1125279530.db2.gz OYXYEEPBOADPSX-BBRMVZONSA-N 1 2 280.371 3.986 20 0 CHADLO c1nc(C[N@H+]2C[C@@H]3CC[C@H]2c2ccccc23)oc1C1CC1 ZINC000880538908 1125279532 /nfs/dbraw/zinc/27/95/32/1125279532.db2.gz OYXYEEPBOADPSX-BBRMVZONSA-N 1 2 280.371 3.986 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc(F)cc(F)c1)CC2 ZINC000220914581 1125284444 /nfs/dbraw/zinc/28/44/44/1125284444.db2.gz RSXDHIXBSSLBGT-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc(F)cc(F)c1)CC2 ZINC000220914581 1125284449 /nfs/dbraw/zinc/28/44/49/1125284449.db2.gz RSXDHIXBSSLBGT-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1cn(C)cn1)c1ccc(F)cc1F ZINC000925264490 1125301715 /nfs/dbraw/zinc/30/17/15/1125301715.db2.gz NNCXUWXLASCRDJ-IAQYHMDHSA-N 1 2 293.361 3.890 20 0 CHADLO Cc1cc(N[C@H](c2ccncc2)C2CC2)nc(C2CC2)[nH+]1 ZINC000897424276 1125335128 /nfs/dbraw/zinc/33/51/28/1125335128.db2.gz ZNSFLPZRSVXPIE-INIZCTEOSA-N 1 2 280.375 3.621 20 0 CHADLO CCC[C@@H](CC)C(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882871026 1125359016 /nfs/dbraw/zinc/35/90/16/1125359016.db2.gz XCJWZDKITHOHJF-NVXWUHKLSA-N 1 2 299.418 3.567 20 0 CHADLO Cc1ccc(NC(=O)CCCn2cc[nH+]c2)c(Cl)c1C ZINC000648492495 1125363069 /nfs/dbraw/zinc/36/30/69/1125363069.db2.gz GJUKGKOCBPMIPN-UHFFFAOYSA-N 1 2 291.782 3.572 20 0 CHADLO CCc1csc(N[C@H](Cn2cc[nH+]c2)c2ccccc2)n1 ZINC000883003614 1125367573 /nfs/dbraw/zinc/36/75/73/1125367573.db2.gz XEBDUKNNQJYGFO-OAHLLOKOSA-N 1 2 298.415 3.755 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]C2(c3cccc(Cl)c3)CC2)n1 ZINC000883016634 1125368325 /nfs/dbraw/zinc/36/83/25/1125368325.db2.gz HCENYRPLDZFKAF-UHFFFAOYSA-N 1 2 289.810 3.965 20 0 CHADLO Cc1cnc(=S)n(C[N@@H+](C)Cc2ccc(Cl)cc2)c1 ZINC000130741978 1125368435 /nfs/dbraw/zinc/36/84/35/1125368435.db2.gz JNNGBYMJPWFPER-UHFFFAOYSA-N 1 2 293.823 3.664 20 0 CHADLO Cc1cnc(=S)n(C[N@H+](C)Cc2ccc(Cl)cc2)c1 ZINC000130741978 1125368439 /nfs/dbraw/zinc/36/84/39/1125368439.db2.gz JNNGBYMJPWFPER-UHFFFAOYSA-N 1 2 293.823 3.664 20 0 CHADLO CC(C)c1noc(C[N@H+](Cc2ccco2)C2CCCC2)n1 ZINC000052076703 1125374093 /nfs/dbraw/zinc/37/40/93/1125374093.db2.gz FUNOXCKNFMFQPM-UHFFFAOYSA-N 1 2 289.379 3.731 20 0 CHADLO CC(C)c1noc(C[N@@H+](Cc2ccco2)C2CCCC2)n1 ZINC000052076703 1125374095 /nfs/dbraw/zinc/37/40/95/1125374095.db2.gz FUNOXCKNFMFQPM-UHFFFAOYSA-N 1 2 289.379 3.731 20 0 CHADLO CC(C)c1c[nH]c(CNc2[nH]c3ccc(Cl)cc3[nH+]2)n1 ZINC000883203733 1125377232 /nfs/dbraw/zinc/37/72/32/1125377232.db2.gz JXECSECXLZWKAE-UHFFFAOYSA-N 1 2 289.770 3.675 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](CC)c2sccc2Cl)[nH]1 ZINC000883208187 1125377957 /nfs/dbraw/zinc/37/79/57/1125377957.db2.gz AQEKWKBRANOXDN-SCZZXKLOSA-N 1 2 298.843 3.884 20 0 CHADLO CC[C@H]([NH2+]Cc1cocn1)c1sccc1Cl ZINC000883211156 1125378415 /nfs/dbraw/zinc/37/84/15/1125378415.db2.gz IDNVICRCFLSSGH-JTQLQIEISA-N 1 2 256.758 3.630 20 0 CHADLO c1ccc2c(c1)[nH+]c(NCc1cnccn1)n2C1CCCC1 ZINC000883214861 1125378592 /nfs/dbraw/zinc/37/85/92/1125378592.db2.gz NTGWUNRXXIZYBM-UHFFFAOYSA-N 1 2 293.374 3.554 20 0 CHADLO C[C@@H](Cc1nc([C@@H]2CCCC(C)(C)C2)no1)n1cc[nH+]c1 ZINC000351463783 1125382693 /nfs/dbraw/zinc/38/26/93/1125382693.db2.gz PLRRHSWHCQNVKO-QWHCGFSZSA-N 1 2 288.395 3.754 20 0 CHADLO CC(C)Oc1cc(Cl)ccc1C[NH2+][C@H](C)c1ncc[nH]1 ZINC000883266549 1125389505 /nfs/dbraw/zinc/38/95/05/1125389505.db2.gz CVGKODVMAPGETE-LLVKDONJSA-N 1 2 293.798 3.701 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2cccnc2Cl)c(Cl)n1 ZINC000883301756 1125392338 /nfs/dbraw/zinc/39/23/38/1125392338.db2.gz HYZSJOPRCXKABC-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]C1(c2ncccn2)CCC1 ZINC000883311831 1125393894 /nfs/dbraw/zinc/39/38/94/1125393894.db2.gz LZOUAEGFXXJBBK-CYBMUJFWSA-N 1 2 285.366 3.654 20 0 CHADLO CC(C)([NH2+]Cc1cocn1)c1ccc(C(F)(F)F)cc1 ZINC000883324403 1125396016 /nfs/dbraw/zinc/39/60/16/1125396016.db2.gz RYRYDTSVPFEYFJ-UHFFFAOYSA-N 1 2 284.281 3.718 20 0 CHADLO Cc1cc(NCc2cnc([C@@H]3CCCO3)s2)c[nH+]c1C ZINC000883341219 1125397818 /nfs/dbraw/zinc/39/78/18/1125397818.db2.gz KRTQHDQUJIFTSM-AWEZNQCLSA-N 1 2 289.404 3.619 20 0 CHADLO Cc1cc2ncc([C@@H](C)Nc3c[nH+]c(C)c(C)c3)c(C)n2n1 ZINC000883342289 1125398327 /nfs/dbraw/zinc/39/83/27/1125398327.db2.gz RKDBALORLWWOCW-CYBMUJFWSA-N 1 2 295.390 3.531 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ncc(CC)s1 ZINC000883377995 1125405105 /nfs/dbraw/zinc/40/51/05/1125405105.db2.gz WUUAZDIKRDDRPG-UHFFFAOYSA-N 1 2 293.461 3.966 20 0 CHADLO CC(C)n1cncc1C[NH2+][C@@H]1c2ccccc2CC[C@@H]1F ZINC000883381685 1125406047 /nfs/dbraw/zinc/40/60/47/1125406047.db2.gz RBTQAFBQARKUCE-DLBZAZTESA-N 1 2 287.382 3.579 20 0 CHADLO COCc1ccc(C[NH2+][C@H]2c3ccccc3CC[C@@H]2F)o1 ZINC000883383253 1125407552 /nfs/dbraw/zinc/40/75/52/1125407552.db2.gz WBLLBKINABGUKD-IRXDYDNUSA-N 1 2 289.350 3.541 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2nc(Cl)ccc2s1)C(F)F ZINC000883407482 1125409879 /nfs/dbraw/zinc/40/98/79/1125409879.db2.gz WUMRNUVYLVDDGA-ZCFIWIBFSA-N 1 2 276.739 3.693 20 0 CHADLO Cc1ccc2c(Nc3nccnc3C3CC3)cccc2[nH+]1 ZINC001212795179 1125416053 /nfs/dbraw/zinc/41/60/53/1125416053.db2.gz SLSRXNFQKOKGAO-UHFFFAOYSA-N 1 2 276.343 3.954 20 0 CHADLO CCc1nocc1C[NH2+]C1(c2c(F)cccc2F)CCC1 ZINC000626179057 1125420545 /nfs/dbraw/zinc/42/05/45/1125420545.db2.gz TWZACFGXIBGNGI-UHFFFAOYSA-N 1 2 292.329 3.684 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCC[C@H](c3ccn[nH]3)C2)o1 ZINC000121237481 1125429008 /nfs/dbraw/zinc/42/90/08/1125429008.db2.gz XCOXPCQTGQFOEQ-IPYPFGDCSA-N 1 2 285.391 3.506 20 0 CHADLO c1csc(-c2cnc(C[N@@H+]3CCc4ccccc4C3)o2)c1 ZINC000041646309 1125434354 /nfs/dbraw/zinc/43/43/54/1125434354.db2.gz ZRPSKLMHXUBWNG-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO c1csc(-c2cnc(C[N@H+]3CCc4ccccc4C3)o2)c1 ZINC000041646309 1125434355 /nfs/dbraw/zinc/43/43/55/1125434355.db2.gz ZRPSKLMHXUBWNG-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO Fc1cc(F)cc(C[N@@H+]2CCc3sccc3C2)c1 ZINC000124272614 1125436183 /nfs/dbraw/zinc/43/61/83/1125436183.db2.gz URFAOIZYYJMWJX-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1cc(F)cc(C[N@H+]2CCc3sccc3C2)c1 ZINC000124272614 1125436186 /nfs/dbraw/zinc/43/61/86/1125436186.db2.gz URFAOIZYYJMWJX-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(Cl)nc2)cs1 ZINC000179123522 1125439365 /nfs/dbraw/zinc/43/93/65/1125439365.db2.gz BOWCVHFDUFGWLK-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO Cc1ccc(C[NH2+]Cc2csc(-c3ccco3)n2)o1 ZINC000124814569 1125442786 /nfs/dbraw/zinc/44/27/86/1125442786.db2.gz FHUKAABBEVKCOL-UHFFFAOYSA-N 1 2 274.345 3.594 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2coc3ccccc23)cs1 ZINC000125012485 1125444114 /nfs/dbraw/zinc/44/41/14/1125444114.db2.gz FMYNQVSFBACOBE-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2coc3ccccc23)cs1 ZINC000125012485 1125444115 /nfs/dbraw/zinc/44/41/15/1125444115.db2.gz FMYNQVSFBACOBE-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO CCc1cccc(C)c1NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000635396401 1129180931 /nfs/dbraw/zinc/18/09/31/1129180931.db2.gz JHPSHFRHGXQSKH-MRXNPFEDSA-N 1 2 299.418 3.980 20 0 CHADLO CC(C)Cc1noc(C[N@H+](C)[C@@H]2CCCc3ccccc32)n1 ZINC000635530315 1129184775 /nfs/dbraw/zinc/18/47/75/1129184775.db2.gz HNGFUTPCNSDRAM-MRXNPFEDSA-N 1 2 299.418 3.778 20 0 CHADLO CC(C)Cc1noc(C[N@@H+](C)[C@@H]2CCCc3ccccc32)n1 ZINC000635530315 1129184777 /nfs/dbraw/zinc/18/47/77/1129184777.db2.gz HNGFUTPCNSDRAM-MRXNPFEDSA-N 1 2 299.418 3.778 20 0 CHADLO CCCc1ccc(CNc2cc(COC)cc[nH+]2)s1 ZINC000621732367 1129187505 /nfs/dbraw/zinc/18/75/05/1129187505.db2.gz YOHHIQVIHALELL-UHFFFAOYSA-N 1 2 276.405 3.854 20 0 CHADLO CC(C)C[C@H](C(=O)Nc1ccc2occc2c1)n1cc[nH+]c1 ZINC000636279771 1129199735 /nfs/dbraw/zinc/19/97/35/1129199735.db2.gz BILDMJOSNGGRTK-OAHLLOKOSA-N 1 2 297.358 3.855 20 0 CHADLO COc1ccc([C@@H]2C[C@H]2Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000795255098 1129202439 /nfs/dbraw/zinc/20/24/39/1129202439.db2.gz DKQZTULIOOKKHM-JKSUJKDBSA-N 1 2 295.386 3.639 20 0 CHADLO CSc1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)co1 ZINC000636581578 1129205064 /nfs/dbraw/zinc/20/50/64/1129205064.db2.gz FTISQNFVWSJJDL-UHFFFAOYSA-N 1 2 276.361 3.574 20 0 CHADLO Cn1cc(C[NH+]2Cc3ccccc3-c3ccccc3C2)cn1 ZINC000171103051 1129208705 /nfs/dbraw/zinc/20/87/05/1129208705.db2.gz QNXUFXBTMCTSCI-UHFFFAOYSA-N 1 2 289.382 3.603 20 0 CHADLO CO[C@@H]1CC=C(Nc2cc[nH+]c3ccc(Cl)cc23)CC1 ZINC001212873519 1129230393 /nfs/dbraw/zinc/23/03/93/1129230393.db2.gz NLFOWWUERHFKEL-CYBMUJFWSA-N 1 2 288.778 3.805 20 0 CHADLO c1nc([C@H](C2CCCCC2)[N@@H+](C2CC2)C2CCCC2)n[nH]1 ZINC000637899676 1129234830 /nfs/dbraw/zinc/23/48/30/1129234830.db2.gz KGXZXZLDKPFWRZ-INIZCTEOSA-N 1 2 288.439 3.833 20 0 CHADLO Clc1cc(NCCC[C@H]2CCO2)ccc1-n1cc[nH+]c1 ZINC000638245673 1129250596 /nfs/dbraw/zinc/25/05/96/1129250596.db2.gz WVMFZNDCFSPDES-ZDUSSCGKSA-N 1 2 291.782 3.507 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000684361973 1129261026 /nfs/dbraw/zinc/26/10/26/1129261026.db2.gz YKXMQLVZFJVMOD-MRXNPFEDSA-N 1 2 273.355 3.550 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000684361973 1129261028 /nfs/dbraw/zinc/26/10/28/1129261028.db2.gz YKXMQLVZFJVMOD-MRXNPFEDSA-N 1 2 273.355 3.550 20 0 CHADLO O=C(/C=C\c1ccc(Cl)cc1)Nc1ccc2[nH+]ccn2c1 ZINC000733881500 1129272209 /nfs/dbraw/zinc/27/22/09/1129272209.db2.gz QPKTXMJZZKGISU-BAQGIRSFSA-N 1 2 297.745 3.640 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)[C@H]1CC1(F)F ZINC000638888070 1129274283 /nfs/dbraw/zinc/27/42/83/1129274283.db2.gz GZPMNSOQJDGWAD-WCQYABFASA-N 1 2 281.350 3.528 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2c(c1)OC(C)(C)O2 ZINC000090207873 1129274801 /nfs/dbraw/zinc/27/48/01/1129274801.db2.gz NWQRPTFVXOAPQO-UHFFFAOYSA-N 1 2 287.363 3.583 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccnc(Cl)c2)Cc2ccccc2O1 ZINC000795430181 1129275123 /nfs/dbraw/zinc/27/51/23/1129275123.db2.gz IOMFQHVWDSPKJQ-GFCCVEGCSA-N 1 2 288.778 3.518 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccnc(Cl)c2)Cc2ccccc2O1 ZINC000795430181 1129275125 /nfs/dbraw/zinc/27/51/25/1129275125.db2.gz IOMFQHVWDSPKJQ-GFCCVEGCSA-N 1 2 288.778 3.518 20 0 CHADLO C[C@]12CCCC[C@H]1C[N@@H+]2Cc1noc(-c2ccsc2)n1 ZINC000626106002 1129281475 /nfs/dbraw/zinc/28/14/75/1129281475.db2.gz XXCQGAMFTPBSID-WFASDCNBSA-N 1 2 289.404 3.563 20 0 CHADLO C[C@]12CCCC[C@H]1C[N@H+]2Cc1noc(-c2ccsc2)n1 ZINC000626106002 1129281477 /nfs/dbraw/zinc/28/14/77/1129281477.db2.gz XXCQGAMFTPBSID-WFASDCNBSA-N 1 2 289.404 3.563 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1cccc(F)c1)[C@@H]1CC1(F)F ZINC000639307324 1129302039 /nfs/dbraw/zinc/30/20/39/1129302039.db2.gz VCZJRXMMEMBKRV-KWQFWETISA-N 1 2 279.252 3.551 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@@H]2[NH2+][C@@H](C)c1nonc1C ZINC000825151521 1129314073 /nfs/dbraw/zinc/31/40/73/1129314073.db2.gz WPSUIDREAXHPDI-GWCFXTLKSA-N 1 2 289.379 3.644 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(C3CCC3)no2)c(C)s1 ZINC000639561006 1129324451 /nfs/dbraw/zinc/32/44/51/1129324451.db2.gz FYUMSNFQHHKZRR-SNVBAGLBSA-N 1 2 291.420 3.866 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(CC3CC3)no2)c(C)s1 ZINC000639563068 1129324488 /nfs/dbraw/zinc/32/44/88/1129324488.db2.gz UAOBRWZSBSAWPO-SNVBAGLBSA-N 1 2 291.420 3.551 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nc(CC2CC2)no1 ZINC000639633734 1129326718 /nfs/dbraw/zinc/32/67/18/1129326718.db2.gz PZWZCTBDIAATAU-UVTDQMKNSA-N 1 2 297.402 3.557 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nc(CC2CC2)no1 ZINC000639633734 1129326723 /nfs/dbraw/zinc/32/67/23/1129326723.db2.gz PZWZCTBDIAATAU-UVTDQMKNSA-N 1 2 297.402 3.557 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nc(CC2CC2)no1 ZINC000639633733 1129326841 /nfs/dbraw/zinc/32/68/41/1129326841.db2.gz PZWZCTBDIAATAU-GXDHUFHOSA-N 1 2 297.402 3.557 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nc(CC2CC2)no1 ZINC000639633733 1129326845 /nfs/dbraw/zinc/32/68/45/1129326845.db2.gz PZWZCTBDIAATAU-GXDHUFHOSA-N 1 2 297.402 3.557 20 0 CHADLO COc1c(F)ccc(F)c1-c1cccc2[nH+]c(C)cn21 ZINC001240722988 1129331273 /nfs/dbraw/zinc/33/12/73/1129331273.db2.gz IKTYFGYTQGQCPZ-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)[C@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC001124929766 1131367343 /nfs/dbraw/zinc/36/73/43/1131367343.db2.gz XGZGXAYGUKDMKG-NHYWBVRUSA-N 1 2 274.408 3.658 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc3c(s2)CCCC3)c(F)c1 ZINC000719563077 1129345055 /nfs/dbraw/zinc/34/50/55/1129345055.db2.gz NZXOXAXVMMBUMY-UHFFFAOYSA-N 1 2 290.407 3.759 20 0 CHADLO O=C(/C=C/C1CCCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000735432074 1129345542 /nfs/dbraw/zinc/34/55/42/1129345542.db2.gz VFXIPUMCPXKIQI-MDZDMXLPSA-N 1 2 295.386 3.947 20 0 CHADLO Cn1ncc2c1nc[nH+]c2NC(C1CCCC1)C1CCCC1 ZINC000735490281 1129346997 /nfs/dbraw/zinc/34/69/97/1129346997.db2.gz QUCJVCMBQPSEPC-UHFFFAOYSA-N 1 2 299.422 3.524 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C)CC2CCC2)c(C)[nH+]1 ZINC000640141663 1129350321 /nfs/dbraw/zinc/35/03/21/1129350321.db2.gz QTKNESZIMWVBMG-GFCCVEGCSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CCCc2cccnc21 ZINC000091660632 1129367571 /nfs/dbraw/zinc/36/75/71/1129367571.db2.gz FRJAEVSTMCTSFS-KRWDZBQOSA-N 1 2 281.403 3.946 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCCc3cccnc32)cc1 ZINC000091660593 1129368039 /nfs/dbraw/zinc/36/80/39/1129368039.db2.gz UQEWQYRHUNPREU-MRXNPFEDSA-N 1 2 267.376 3.637 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(CO)c(Cl)c2)c1 ZINC001212890975 1129373458 /nfs/dbraw/zinc/37/34/58/1129373458.db2.gz KJZLEMHMOLOVFB-UHFFFAOYSA-N 1 2 276.767 3.923 20 0 CHADLO CC[C@@H](C)[C@H](C)[N@H+](CC(F)(F)C(F)F)C1CC1 ZINC000736618841 1129376753 /nfs/dbraw/zinc/37/67/53/1129376753.db2.gz HWLJOLBESKQNSC-BDAKNGLRSA-N 1 2 255.299 3.786 20 0 CHADLO CC[C@@H](C)[C@H](C)[N@@H+](CC(F)(F)C(F)F)C1CC1 ZINC000736618841 1129376755 /nfs/dbraw/zinc/37/67/55/1129376755.db2.gz HWLJOLBESKQNSC-BDAKNGLRSA-N 1 2 255.299 3.786 20 0 CHADLO CC[C@@H](C)[C@@H](C)[N@H+](CC(F)(F)C(F)F)C1CC1 ZINC000736618850 1129377728 /nfs/dbraw/zinc/37/77/28/1129377728.db2.gz HWLJOLBESKQNSC-RKDXNWHRSA-N 1 2 255.299 3.786 20 0 CHADLO CC[C@@H](C)[C@@H](C)[N@@H+](CC(F)(F)C(F)F)C1CC1 ZINC000736618850 1129377730 /nfs/dbraw/zinc/37/77/30/1129377730.db2.gz HWLJOLBESKQNSC-RKDXNWHRSA-N 1 2 255.299 3.786 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+](CC(F)(F)C(F)F)CC1 ZINC000736620991 1129377989 /nfs/dbraw/zinc/37/79/89/1129377989.db2.gz FIMYCOCUHOEMAR-SNVBAGLBSA-N 1 2 255.299 3.645 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+](CC(F)(F)C(F)F)CC1 ZINC000736620991 1129377993 /nfs/dbraw/zinc/37/79/93/1129377993.db2.gz FIMYCOCUHOEMAR-SNVBAGLBSA-N 1 2 255.299 3.645 20 0 CHADLO CC(C)[C@H]1CCC[N@H+](CC(F)(F)C(F)F)CC1 ZINC000736620988 1129378084 /nfs/dbraw/zinc/37/80/84/1129378084.db2.gz FIMYCOCUHOEMAR-JTQLQIEISA-N 1 2 255.299 3.645 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+](CC(F)(F)C(F)F)CC1 ZINC000736620988 1129378087 /nfs/dbraw/zinc/37/80/87/1129378087.db2.gz FIMYCOCUHOEMAR-JTQLQIEISA-N 1 2 255.299 3.645 20 0 CHADLO OCc1cc[nH+]c(NCc2cccc(OC3CCCC3)c2)c1 ZINC000641325100 1129378733 /nfs/dbraw/zinc/37/87/33/1129378733.db2.gz UGAKLJBSOUMRMO-UHFFFAOYSA-N 1 2 298.386 3.507 20 0 CHADLO Cc1cccc(NC2CCN(c3cc[nH+]cc3F)CC2)c1 ZINC000641389892 1129380248 /nfs/dbraw/zinc/38/02/48/1129380248.db2.gz RTZLWUMTBSFNEQ-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO CCOc1cc(Nc2cccc(OCOC)c2)cc(C)[nH+]1 ZINC001212896964 1129381146 /nfs/dbraw/zinc/38/11/46/1129381146.db2.gz OJVWOQLIRGCAAU-UHFFFAOYSA-N 1 2 288.347 3.515 20 0 CHADLO COCOc1cccc(Nc2cc[nH+]c(SC)c2)c1 ZINC001212899227 1129383663 /nfs/dbraw/zinc/38/36/63/1129383663.db2.gz HIIALDRGEHIGNK-UHFFFAOYSA-N 1 2 276.361 3.530 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(F)F)c1ccc(OCC(F)(F)F)cc1 ZINC000641791265 1129392241 /nfs/dbraw/zinc/39/22/41/1129392241.db2.gz GCFGUNRTLOUVES-BDAKNGLRSA-N 1 2 297.267 3.932 20 0 CHADLO CC(C)c1ccccc1NC(=O)C[C@H](C)[NH2+][C@H](C)C(F)F ZINC000641789891 1129392269 /nfs/dbraw/zinc/39/22/69/1129392269.db2.gz DIXZNFXKBFAXQJ-NWDGAFQWSA-N 1 2 298.377 3.770 20 0 CHADLO CC[C@H]1C[N@@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000642094599 1129405670 /nfs/dbraw/zinc/40/56/70/1129405670.db2.gz KENSQCQIFIBSQQ-NEPJUHHUSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@H]1C[N@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000642094599 1129405671 /nfs/dbraw/zinc/40/56/71/1129405671.db2.gz KENSQCQIFIBSQQ-NEPJUHHUSA-N 1 2 253.773 3.512 20 0 CHADLO Cc1nc(N[C@@H]2CCCC[C@H]2F)cc(C(C)C)[nH+]1 ZINC001155057905 1129410559 /nfs/dbraw/zinc/41/05/59/1129410559.db2.gz MPKZJPLLIQHXEB-VXGBXAGGSA-N 1 2 251.349 3.601 20 0 CHADLO Cc1nc2[nH]ccc2c(NC[C@H]2CCCC[C@@H]2C)[nH+]1 ZINC001155072695 1129416682 /nfs/dbraw/zinc/41/66/82/1129416682.db2.gz MVIFOBKEWYOBHU-CMPLNLGQSA-N 1 2 258.369 3.505 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@H+]1Cn1nc(-c2ccccc2)oc1=S ZINC000740860506 1129421152 /nfs/dbraw/zinc/42/11/52/1129421152.db2.gz PYFLYAPKKUZUIS-NWDGAFQWSA-N 1 2 289.404 3.560 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@@H+]1Cn1nc(-c2ccccc2)oc1=S ZINC000740860506 1129421154 /nfs/dbraw/zinc/42/11/54/1129421154.db2.gz PYFLYAPKKUZUIS-NWDGAFQWSA-N 1 2 289.404 3.560 20 0 CHADLO Cc1cc(C)cc(C2=CC[N@H+](Cn3cc[nH]c3=S)CC2)c1 ZINC000093913632 1129430033 /nfs/dbraw/zinc/43/00/33/1129430033.db2.gz OPADUJZODNDWKC-UHFFFAOYSA-N 1 2 299.443 3.909 20 0 CHADLO Cc1cc(C)cc(C2=CC[N@@H+](Cn3cc[nH]c3=S)CC2)c1 ZINC000093913632 1129430035 /nfs/dbraw/zinc/43/00/35/1129430035.db2.gz OPADUJZODNDWKC-UHFFFAOYSA-N 1 2 299.443 3.909 20 0 CHADLO Fc1cccc(OC(F)(F)F)c1C[NH+]1CC(C2CC2)C1 ZINC000643345974 1129439644 /nfs/dbraw/zinc/43/96/44/1129439644.db2.gz WBIDLGMHEGRBOS-UHFFFAOYSA-N 1 2 289.272 3.566 20 0 CHADLO COc1ccc([C@@H]([NH2+][C@@H](C)c2cn[nH]c2C)C(C)C)cc1 ZINC000180020515 1129444330 /nfs/dbraw/zinc/44/43/30/1129444330.db2.gz NFNQVFLFBDHECQ-SJCJKPOMSA-N 1 2 287.407 3.775 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccnc(Cl)c1)c1cscn1 ZINC000795835686 1129451270 /nfs/dbraw/zinc/45/12/70/1129451270.db2.gz LIEHOQKCYQLVLV-IUCAKERBSA-N 1 2 267.785 3.603 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2ncc(C)s2)c(Cl)c1 ZINC000643883522 1129453258 /nfs/dbraw/zinc/45/32/58/1129453258.db2.gz DAHIWUXJIJVZNJ-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO CN(C)c1ccc([NH2+]CCCc2ccc3c(c2)CCO3)cc1 ZINC000795889124 1129454988 /nfs/dbraw/zinc/45/49/88/1129454988.db2.gz PKKIXPXDKDPBGU-UHFFFAOYSA-N 1 2 296.414 3.732 20 0 CHADLO Cc1nn(C[N@@H+]2CCC3(C2)CCCCC3)c(=S)s1 ZINC000742166007 1129468533 /nfs/dbraw/zinc/46/85/33/1129468533.db2.gz JPTFKDLTANEMRP-UHFFFAOYSA-N 1 2 283.466 3.596 20 0 CHADLO Cc1nn(C[N@H+]2CCC3(C2)CCCCC3)c(=S)s1 ZINC000742166007 1129468535 /nfs/dbraw/zinc/46/85/35/1129468535.db2.gz JPTFKDLTANEMRP-UHFFFAOYSA-N 1 2 283.466 3.596 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)C[C@@H]1C ZINC000644544334 1129469490 /nfs/dbraw/zinc/46/94/90/1129469490.db2.gz ZYRXTALUUDOKHW-BFJAYTPKSA-N 1 2 290.455 3.840 20 0 CHADLO Cc1ccc(-c2ccc(C[N@@H+]3CCO[C@@H]4C[C@@H]43)s2)cc1 ZINC000644836306 1129478983 /nfs/dbraw/zinc/47/89/83/1129478983.db2.gz BYWJHXMNRFGXBA-JKSUJKDBSA-N 1 2 285.412 3.697 20 0 CHADLO Cc1ccc(-c2ccc(C[N@H+]3CCO[C@@H]4C[C@@H]43)s2)cc1 ZINC000644836306 1129478985 /nfs/dbraw/zinc/47/89/85/1129478985.db2.gz BYWJHXMNRFGXBA-JKSUJKDBSA-N 1 2 285.412 3.697 20 0 CHADLO c1cc(Sc2ccccn2)oc1C[NH2+]Cc1ccccn1 ZINC000644883097 1129479668 /nfs/dbraw/zinc/47/96/68/1129479668.db2.gz DWQBQDQCXWDRAE-UHFFFAOYSA-N 1 2 297.383 3.511 20 0 CHADLO COCc1ccccc1/C=C(/C)C[NH2+]C(C)(C)C(F)F ZINC000644889343 1129479790 /nfs/dbraw/zinc/47/97/90/1129479790.db2.gz PUVYHRSILRYBOR-XFXZXTDPSA-N 1 2 283.362 3.870 20 0 CHADLO Cc1ccc([C@H](C)C(=O)Nc2ccccc2-n2cc[nH+]c2)o1 ZINC000742526329 1129484399 /nfs/dbraw/zinc/48/43/99/1129484399.db2.gz APQQHNFBJWCOES-ZDUSSCGKSA-N 1 2 295.342 3.516 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCC[C@@H](OC(F)F)C2)c1 ZINC000645209228 1129491519 /nfs/dbraw/zinc/49/15/19/1129491519.db2.gz KIKUYZPKYHTYQJ-GFCCVEGCSA-N 1 2 296.292 3.582 20 0 CHADLO CCCCC[C@@H](C)CC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000742633977 1129491778 /nfs/dbraw/zinc/49/17/78/1129491778.db2.gz IOEPXWPSULBJBO-CVEARBPZSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2CF)cc1Br ZINC000645241560 1129492839 /nfs/dbraw/zinc/49/28/39/1129492839.db2.gz SRKZDCOSRBKBJV-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2CF)cc1Br ZINC000645241560 1129492841 /nfs/dbraw/zinc/49/28/41/1129492841.db2.gz SRKZDCOSRBKBJV-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO COC(C)(C)[C@@H](C)[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC000645462292 1129498620 /nfs/dbraw/zinc/49/86/20/1129498620.db2.gz ONUWUHVJHJCSFP-SNVBAGLBSA-N 1 2 291.769 3.835 20 0 CHADLO C[N@H+](Cc1noc(-c2c(F)cccc2Cl)n1)C1CCC1 ZINC000645808578 1129507700 /nfs/dbraw/zinc/50/77/00/1129507700.db2.gz YEQWKYSTXBQWGJ-UHFFFAOYSA-N 1 2 295.745 3.513 20 0 CHADLO C[N@@H+](Cc1noc(-c2c(F)cccc2Cl)n1)C1CCC1 ZINC000645808578 1129507703 /nfs/dbraw/zinc/50/77/03/1129507703.db2.gz YEQWKYSTXBQWGJ-UHFFFAOYSA-N 1 2 295.745 3.513 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3c(C)cc(C)cc3C)nn2)c1C ZINC001239548833 1131379146 /nfs/dbraw/zinc/37/91/46/1131379146.db2.gz QYNYOEPGKQMWIK-UHFFFAOYSA-N 1 2 292.386 3.871 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nnc(C(C)C)s2)CC1 ZINC000645980713 1129516013 /nfs/dbraw/zinc/51/60/13/1129516013.db2.gz FCZKNCDRPRCIAG-UHFFFAOYSA-N 1 2 287.432 3.749 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2ccn3cc[nH+]c3c2)c1F ZINC001214760264 1129561894 /nfs/dbraw/zinc/56/18/94/1129561894.db2.gz BTSVPLARYVQGHU-UHFFFAOYSA-N 1 2 287.269 3.559 20 0 CHADLO C[C@H]([NH2+][C@@H]1CSc2ccccc21)c1cc2n(n1)CCCC2 ZINC000647290170 1129564002 /nfs/dbraw/zinc/56/40/02/1129564002.db2.gz YZJCZAZBLFWAGK-BLLLJJGKSA-N 1 2 299.443 3.717 20 0 CHADLO CCc1cccc(-c2cc[nH+]c(N3CCCC3)c2)c1 ZINC001241545984 1129575387 /nfs/dbraw/zinc/57/53/87/1129575387.db2.gz OBPZGRPMPANJIA-UHFFFAOYSA-N 1 2 252.361 3.911 20 0 CHADLO CC(C)C[C@@H]1CC[N@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000744438129 1129577970 /nfs/dbraw/zinc/57/79/70/1129577970.db2.gz VPPWXCKETUWLBN-LBPRGKRZSA-N 1 2 297.468 3.829 20 0 CHADLO CC(C)C[C@@H]1CC[N@@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000744438129 1129577974 /nfs/dbraw/zinc/57/79/74/1129577974.db2.gz VPPWXCKETUWLBN-LBPRGKRZSA-N 1 2 297.468 3.829 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)N1CCn2c[nH+]cc2C1 ZINC000647939687 1129589443 /nfs/dbraw/zinc/58/94/43/1129589443.db2.gz XHOYUJCMYUJVRN-HNNXBMFYSA-N 1 2 275.783 3.503 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)O[C@@H](C)c1ccccc1)c1ccccc1 ZINC000745101442 1129595202 /nfs/dbraw/zinc/59/52/02/1129595202.db2.gz QWIUNALUDCWLDF-MAUKXSAKSA-N 1 2 297.398 3.984 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)O[C@@H](C)c1ccccc1)c1ccccc1 ZINC000745101442 1129595207 /nfs/dbraw/zinc/59/52/07/1129595207.db2.gz QWIUNALUDCWLDF-MAUKXSAKSA-N 1 2 297.398 3.984 20 0 CHADLO C[N@H+](Cc1ccc(C(F)(F)F)cc1)Cc1ccncc1F ZINC000648346648 1129603353 /nfs/dbraw/zinc/60/33/53/1129603353.db2.gz PFSGYYHEZQHYIB-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccc(C(F)(F)F)cc1)Cc1ccncc1F ZINC000648346648 1129603355 /nfs/dbraw/zinc/60/33/55/1129603355.db2.gz PFSGYYHEZQHYIB-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO CCC[N@H+](Cc1ncc(Cl)n1C)Cc1ccc(F)cc1 ZINC000746143688 1129627961 /nfs/dbraw/zinc/62/79/61/1129627961.db2.gz OIJDKZQQBZMMMQ-UHFFFAOYSA-N 1 2 295.789 3.625 20 0 CHADLO CCC[N@@H+](Cc1ncc(Cl)n1C)Cc1ccc(F)cc1 ZINC000746143688 1129627963 /nfs/dbraw/zinc/62/79/63/1129627963.db2.gz OIJDKZQQBZMMMQ-UHFFFAOYSA-N 1 2 295.789 3.625 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]C[C@@H](c1ccccc1)C(F)(F)F ZINC000186848744 1129635130 /nfs/dbraw/zinc/63/51/30/1129635130.db2.gz UNFFEIYIESGGHR-YGRLFVJLSA-N 1 2 297.324 3.715 20 0 CHADLO Cc1ccccc1[C@@H](NC(=O)CCc1c[nH]c[nH+]1)C(C)(C)C ZINC000189493173 1129638073 /nfs/dbraw/zinc/63/80/73/1129638073.db2.gz IYJQUDDEQKJIKA-QGZVFWFLSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1ccccc1[C@@H](NC(=O)CCc1c[nH+]c[nH]1)C(C)(C)C ZINC000189493173 1129638076 /nfs/dbraw/zinc/63/80/76/1129638076.db2.gz IYJQUDDEQKJIKA-QGZVFWFLSA-N 1 2 299.418 3.554 20 0 CHADLO CCOc1ccc(-c2cccc3[nH+]c(C)cn32)cc1 ZINC001239563360 1131387961 /nfs/dbraw/zinc/38/79/61/1131387961.db2.gz CDKYKYFEOZQCKI-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO CCOc1cc(Nc2[nH+]cc(O)cc2C)ccc1Cl ZINC001212578430 1129652917 /nfs/dbraw/zinc/65/29/17/1129652917.db2.gz XVGWVBFBDLQRRR-UHFFFAOYSA-N 1 2 278.739 3.891 20 0 CHADLO C[C@H](CNc1[nH+]cnc2c1cnn2C(C)(C)C)C(C)(C)C ZINC000766406756 1129662968 /nfs/dbraw/zinc/66/29/68/1129662968.db2.gz FQBFPWZGNGZVQZ-LLVKDONJSA-N 1 2 289.427 3.675 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2N[C@H]1CC2CCC1CC2 ZINC000766424230 1129664743 /nfs/dbraw/zinc/66/47/43/1129664743.db2.gz KAZJHWPATKHXSV-YIZWMMSDSA-N 1 2 299.422 3.572 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccc(C)c(F)c1 ZINC001168792936 1129665879 /nfs/dbraw/zinc/66/58/79/1129665879.db2.gz IWJWVEBBKPWDMX-AWEZNQCLSA-N 1 2 289.354 3.635 20 0 CHADLO c1ccn(-c2ccc(NCCCCc3ccccn3)[nH+]c2)c1 ZINC001168793775 1129665920 /nfs/dbraw/zinc/66/59/20/1129665920.db2.gz WQENHBBHJBYWGW-UHFFFAOYSA-N 1 2 292.386 3.702 20 0 CHADLO FC1(F)CC12CC[NH+](Cc1csc(C3CC3)n1)CC2 ZINC000649773710 1129676212 /nfs/dbraw/zinc/67/62/12/1129676212.db2.gz CJPWSRILMJCMBR-UHFFFAOYSA-N 1 2 284.375 3.642 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[NH+]1CCC2(CC2(F)F)CC1 ZINC000649776555 1129676540 /nfs/dbraw/zinc/67/65/40/1129676540.db2.gz PECHOMPSFBXHJE-SNVBAGLBSA-N 1 2 299.365 3.549 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccc(Cn3cc[nH+]c3)cc2)nc1 ZINC001168856923 1129677463 /nfs/dbraw/zinc/67/74/63/1129677463.db2.gz DONPZMFRODWVDP-HNNXBMFYSA-N 1 2 292.386 3.808 20 0 CHADLO CC1(C)C[N@H+](Cc2nc3c(o2)CCCC3)Cc2ccccc21 ZINC001168859585 1129678045 /nfs/dbraw/zinc/67/80/45/1129678045.db2.gz QWGXKAVUYUTIKH-UHFFFAOYSA-N 1 2 296.414 3.847 20 0 CHADLO CC1(C)C[N@@H+](Cc2nc3c(o2)CCCC3)Cc2ccccc21 ZINC001168859585 1129678047 /nfs/dbraw/zinc/67/80/47/1129678047.db2.gz QWGXKAVUYUTIKH-UHFFFAOYSA-N 1 2 296.414 3.847 20 0 CHADLO CCc1cc(OC)ccc1NCCc1cn2ccccc2[nH+]1 ZINC001169004659 1129689110 /nfs/dbraw/zinc/68/91/10/1129689110.db2.gz JWBYVLITKJVXTQ-UHFFFAOYSA-N 1 2 295.386 3.560 20 0 CHADLO CC(C)C[C@H](Cc1ccccc1)[NH2+][C@@H]1CC1(F)F ZINC001169050930 1129691997 /nfs/dbraw/zinc/69/19/97/1129691997.db2.gz SMTNIBKKNXYKKP-ZIAGYGMSSA-N 1 2 253.336 3.641 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)c1 ZINC000650193109 1129699608 /nfs/dbraw/zinc/69/96/08/1129699608.db2.gz VNPMWUYSTDKWBV-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1ccnc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)c1 ZINC000650193109 1129699609 /nfs/dbraw/zinc/69/96/09/1129699609.db2.gz VNPMWUYSTDKWBV-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCc2ncccc2OC)c1 ZINC001169212043 1129707984 /nfs/dbraw/zinc/70/79/84/1129707984.db2.gz IARIHCMJLXZSIV-UHFFFAOYSA-N 1 2 299.418 3.857 20 0 CHADLO Cc1ccnc(CCNc2cc(-c3ccncc3)cc[nH+]2)c1 ZINC001169315341 1129717087 /nfs/dbraw/zinc/71/70/87/1129717087.db2.gz DGXVMNMUPKEDMT-UHFFFAOYSA-N 1 2 290.370 3.502 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2cccc(O)c2)c(Cl)n1 ZINC000838894286 1129729806 /nfs/dbraw/zinc/72/98/06/1129729806.db2.gz GXFNVONTYPRMGZ-LBPRGKRZSA-N 1 2 290.794 3.942 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2cccc(O)c2)c(Cl)n1 ZINC000838894286 1129729809 /nfs/dbraw/zinc/72/98/09/1129729809.db2.gz GXFNVONTYPRMGZ-LBPRGKRZSA-N 1 2 290.794 3.942 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@H]1C[C@H]1C1CCCC1 ZINC000651214088 1129733391 /nfs/dbraw/zinc/73/33/91/1129733391.db2.gz XSYJUPVXDBSDLB-JKSUJKDBSA-N 1 2 295.386 3.842 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC[C@H](C)C[C@H]1C)c1ccccc1C ZINC001169554922 1129736252 /nfs/dbraw/zinc/73/62/52/1129736252.db2.gz NHOIVAUGZMBGFK-LBRJOISOSA-N 1 2 289.419 3.623 20 0 CHADLO C[NH+](C)c1ccc(NCc2scnc2C2CC2)cc1 ZINC000785487486 1129739892 /nfs/dbraw/zinc/73/98/92/1129739892.db2.gz NBVLXLYDPKGIJP-UHFFFAOYSA-N 1 2 273.405 3.699 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2c3cc(C)ccc3C[C@H]2C)c1 ZINC000652067946 1129757062 /nfs/dbraw/zinc/75/70/62/1129757062.db2.gz MLBJGVHYDMKZAL-ACJLOTCBSA-N 1 2 282.387 3.882 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCC[C@H]2C2CC2)c1 ZINC000652068820 1129757241 /nfs/dbraw/zinc/75/72/41/1129757241.db2.gz PSDKINMQQGBBEC-LSDHHAIUSA-N 1 2 260.381 3.609 20 0 CHADLO CC[C@H](Nc1cc(COC)cc[nH+]1)c1ccccc1F ZINC000652068138 1129757264 /nfs/dbraw/zinc/75/72/64/1129757264.db2.gz TTZTUVHFRDOBMI-HNNXBMFYSA-N 1 2 274.339 3.930 20 0 CHADLO c1ccn(-c2ccc[nH+]c2N[C@@H]2C[C@H]2c2ccccc2)c1 ZINC001169810928 1129757664 /nfs/dbraw/zinc/75/76/64/1129757664.db2.gz OORWTFVALNIJHW-JKSUJKDBSA-N 1 2 275.355 3.840 20 0 CHADLO CCOc1ccc([C@@H](C)Nc2cc(COC)cc[nH+]2)cc1 ZINC000652330869 1129763179 /nfs/dbraw/zinc/76/31/79/1129763179.db2.gz RBSZFEDLQGHCIZ-CYBMUJFWSA-N 1 2 286.375 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCOc3ccc(C)cc32)c1 ZINC000652330320 1129763520 /nfs/dbraw/zinc/76/35/20/1129763520.db2.gz CJKOXEPTVSVVTF-MRXNPFEDSA-N 1 2 298.386 3.862 20 0 CHADLO O=C(CC[N@@H+]1CCc2cc(Cl)cc(Cl)c2C1)C1CC1 ZINC000767414166 1129791137 /nfs/dbraw/zinc/79/11/37/1129791137.db2.gz GUMCMYSGTNWIJC-UHFFFAOYSA-N 1 2 298.213 3.721 20 0 CHADLO O=C(CC[N@H+]1CCc2cc(Cl)cc(Cl)c2C1)C1CC1 ZINC000767414166 1129791139 /nfs/dbraw/zinc/79/11/39/1129791139.db2.gz GUMCMYSGTNWIJC-UHFFFAOYSA-N 1 2 298.213 3.721 20 0 CHADLO Cc1cccc(C[N@@H+]2CCO[C@H](c3ccccc3)C2)c1F ZINC001137677452 1131398169 /nfs/dbraw/zinc/39/81/69/1131398169.db2.gz SKTPOEIVMROGNN-KRWDZBQOSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cccc(C[N@H+]2CCO[C@H](c3ccccc3)C2)c1F ZINC001137677452 1131398173 /nfs/dbraw/zinc/39/81/73/1131398173.db2.gz SKTPOEIVMROGNN-KRWDZBQOSA-N 1 2 285.362 3.708 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC[C@H](OC3CCC3)C2)no1 ZINC000653812331 1129796035 /nfs/dbraw/zinc/79/60/35/1129796035.db2.gz ITRBYMOLJRDLDB-HNNXBMFYSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC[C@H](OC3CCC3)C2)no1 ZINC000653812331 1129796038 /nfs/dbraw/zinc/79/60/38/1129796038.db2.gz ITRBYMOLJRDLDB-HNNXBMFYSA-N 1 2 292.423 3.506 20 0 CHADLO Cc1cn2cc(-c3cccc(Cl)c3O)ccc2[nH+]1 ZINC001242367004 1129797970 /nfs/dbraw/zinc/79/79/70/1129797970.db2.gz UYAJNEWDYLVJRI-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO Fc1cccc(Oc2ccc(C[NH2+]Cc3ccon3)cc2)c1 ZINC000767689590 1129808693 /nfs/dbraw/zinc/80/86/93/1129808693.db2.gz IKYPZAHWCVKKRH-UHFFFAOYSA-N 1 2 298.317 3.896 20 0 CHADLO CN(C)c1cc(CSCCc2ccccc2)cc[nH+]1 ZINC000767867673 1129816983 /nfs/dbraw/zinc/81/69/83/1129816983.db2.gz QTNFODBUQOHRPZ-UHFFFAOYSA-N 1 2 272.417 3.624 20 0 CHADLO CN(C)c1cc(CSCc2cccc(F)c2)cc[nH+]1 ZINC000767868921 1129819091 /nfs/dbraw/zinc/81/90/91/1129819091.db2.gz IKYACYYZOWJHMP-UHFFFAOYSA-N 1 2 276.380 3.720 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cc2csc(Cl)n2)CC1 ZINC000380606754 1129832726 /nfs/dbraw/zinc/83/27/26/1129832726.db2.gz WVHIIYXGJKJLSQ-SNVBAGLBSA-N 1 2 258.818 3.809 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cc2csc(Cl)n2)CC1 ZINC000380606754 1129832728 /nfs/dbraw/zinc/83/27/28/1129832728.db2.gz WVHIIYXGJKJLSQ-SNVBAGLBSA-N 1 2 258.818 3.809 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2occc2Br)cc1 ZINC000768172195 1129838919 /nfs/dbraw/zinc/83/89/19/1129838919.db2.gz NJAFFMNGBNRWKK-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2occc2Br)cc1 ZINC000768172195 1129838923 /nfs/dbraw/zinc/83/89/23/1129838923.db2.gz NJAFFMNGBNRWKK-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO Oc1ccc(C2=CC[N@H+](Cc3coc(C4CC4)n3)CC2)cc1 ZINC000768215337 1129844650 /nfs/dbraw/zinc/84/46/50/1129844650.db2.gz DBUCKQGBTXQLPH-UHFFFAOYSA-N 1 2 296.370 3.547 20 0 CHADLO Oc1ccc(C2=CC[N@@H+](Cc3coc(C4CC4)n3)CC2)cc1 ZINC000768215337 1129844656 /nfs/dbraw/zinc/84/46/56/1129844656.db2.gz DBUCKQGBTXQLPH-UHFFFAOYSA-N 1 2 296.370 3.547 20 0 CHADLO Cc1ccc(N(C)c2cc(Cl)ccc2N)c(C)[nH+]1 ZINC001203374906 1129848497 /nfs/dbraw/zinc/84/84/97/1129848497.db2.gz NLNVECAZOCHPDA-UHFFFAOYSA-N 1 2 261.756 3.702 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@@H](C)c1c(C)cc(C)cc1C ZINC000768269847 1129848796 /nfs/dbraw/zinc/84/87/96/1129848796.db2.gz WERLUODCGLQSQK-HNNXBMFYSA-N 1 2 271.408 3.836 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@@H](C)c1c(C)cc(C)cc1C ZINC000768269847 1129848802 /nfs/dbraw/zinc/84/88/02/1129848802.db2.gz WERLUODCGLQSQK-HNNXBMFYSA-N 1 2 271.408 3.836 20 0 CHADLO CC[C@@H](C)c1ccc(C(=O)N(C)CCCn2cc[nH+]c2)cc1 ZINC000768325220 1129850892 /nfs/dbraw/zinc/85/08/92/1129850892.db2.gz UCFYAQQKDSFHCC-OAHLLOKOSA-N 1 2 299.418 3.559 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2cccc(C(F)F)c2)c[nH+]1 ZINC000900493253 1129885342 /nfs/dbraw/zinc/88/53/42/1129885342.db2.gz BMFSMEIVCPUYNZ-UHFFFAOYSA-N 1 2 293.317 3.503 20 0 CHADLO Cc1c(Cl)cnn1C[N@@H+]1CCC(C)=C(c2ccco2)C1 ZINC000800866393 1129894643 /nfs/dbraw/zinc/89/46/43/1129894643.db2.gz GLZLXUVGCPPNHD-UHFFFAOYSA-N 1 2 291.782 3.575 20 0 CHADLO Cc1c(Cl)cnn1C[N@H+]1CCC(C)=C(c2ccco2)C1 ZINC000800866393 1129894646 /nfs/dbraw/zinc/89/46/46/1129894646.db2.gz GLZLXUVGCPPNHD-UHFFFAOYSA-N 1 2 291.782 3.575 20 0 CHADLO CCC1(C)CC[NH+](Cc2c(Cl)nc(Cl)n2C)CC1 ZINC000769234508 1129897974 /nfs/dbraw/zinc/89/79/74/1129897974.db2.gz UAAASVYWSURKNK-UHFFFAOYSA-N 1 2 290.238 3.739 20 0 CHADLO c1cc2c(s1)CCC[N@@H+](Cc1coc(C3CC3)n1)C2 ZINC000769379897 1129905189 /nfs/dbraw/zinc/90/51/89/1129905189.db2.gz CCWSONINBZDONS-UHFFFAOYSA-N 1 2 274.389 3.562 20 0 CHADLO c1cc2c(s1)CCC[N@H+](Cc1coc(C3CC3)n1)C2 ZINC000769379897 1129905191 /nfs/dbraw/zinc/90/51/91/1129905191.db2.gz CCWSONINBZDONS-UHFFFAOYSA-N 1 2 274.389 3.562 20 0 CHADLO COc1cccc2cc(CNc3ccc(N(C)C)c[nH+]3)oc21 ZINC000769402394 1129905971 /nfs/dbraw/zinc/90/59/71/1129905971.db2.gz GMHAMIDNLHKNAR-UHFFFAOYSA-N 1 2 297.358 3.515 20 0 CHADLO C[C@@H]1C[N@H+](Cc2coc(C3CC3)n2)[C@@H]1c1ccccc1 ZINC000769539701 1129916613 /nfs/dbraw/zinc/91/66/13/1129916613.db2.gz LYGKBASKBMGRMV-WBMJQRKESA-N 1 2 268.360 3.745 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2coc(C3CC3)n2)[C@@H]1c1ccccc1 ZINC000769539701 1129916616 /nfs/dbraw/zinc/91/66/16/1129916616.db2.gz LYGKBASKBMGRMV-WBMJQRKESA-N 1 2 268.360 3.745 20 0 CHADLO Cc1nc(/C=C\c2[nH]c(C)c(C)[nH+]2)sc1Br ZINC000901518803 1129933474 /nfs/dbraw/zinc/93/34/74/1129933474.db2.gz ZBONYDORUCGTKP-PLNGDYQASA-N 1 2 298.209 3.724 20 0 CHADLO Cc1cc([C@@H](C)NC(=O)c2cccc3[nH+]ccn32)c(C)s1 ZINC000770264842 1129942953 /nfs/dbraw/zinc/94/29/53/1129942953.db2.gz KTEMLPBQHSSJRO-LLVKDONJSA-N 1 2 299.399 3.504 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc2[nH]cnc2c1 ZINC000770482054 1129949543 /nfs/dbraw/zinc/94/95/43/1129949543.db2.gz IOTQLXOVJARPPW-UHFFFAOYSA-N 1 2 280.375 3.549 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc([NH+](C)C)c(C)c2)C1(C)C ZINC000770522814 1129950189 /nfs/dbraw/zinc/95/01/89/1129950189.db2.gz IHIXVLSVXFKIAF-HZPDHXFCSA-N 1 2 276.424 3.677 20 0 CHADLO CCCC(=CC(=O)NCCCNc1cccc[nH+]1)CCC ZINC000770756204 1129958482 /nfs/dbraw/zinc/95/84/82/1129958482.db2.gz SDJHCSDOLITZAL-UHFFFAOYSA-N 1 2 289.423 3.526 20 0 CHADLO CC(C)[N@H+](CC1CC1)Cn1nc(C2CC2)sc1=S ZINC000746374068 1129972703 /nfs/dbraw/zinc/97/27/03/1129972703.db2.gz FDLHRCYBSGBXOO-UHFFFAOYSA-N 1 2 283.466 3.629 20 0 CHADLO CC(C)[N@@H+](CC1CC1)Cn1nc(C2CC2)sc1=S ZINC000746374068 1129972705 /nfs/dbraw/zinc/97/27/05/1129972705.db2.gz FDLHRCYBSGBXOO-UHFFFAOYSA-N 1 2 283.466 3.629 20 0 CHADLO CCn1cnc(C[NH2+][C@H](C)c2ccccc2OC(C)C)c1 ZINC000902075833 1129977659 /nfs/dbraw/zinc/97/76/59/1129977659.db2.gz FQWMVRYYBOPCKZ-CQSZACIVSA-N 1 2 287.407 3.541 20 0 CHADLO Cn1ccc2c[nH+]c(-c3ccc(C(C)(C)C)cc3)nc21 ZINC001239606140 1130009658 /nfs/dbraw/zinc/00/96/58/1130009658.db2.gz UADWMDJJRPJXEJ-UHFFFAOYSA-N 1 2 265.360 3.933 20 0 CHADLO COC(=O)[C@@H]([NH3+])c1ccc(-c2ccc(C(C)(C)C)cc2)cc1 ZINC001239606966 1130010610 /nfs/dbraw/zinc/01/06/10/1130010610.db2.gz LGJJGYLWMLRWIK-KRWDZBQOSA-N 1 2 297.398 3.824 20 0 CHADLO COc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1OC ZINC001239618060 1130015282 /nfs/dbraw/zinc/01/52/82/1130015282.db2.gz NZQDZOKMAXRTAB-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO COC(=O)c1c[nH]c2nc[nH+]c(-c3ccc(C(C)C)cc3)c12 ZINC001239625485 1130019876 /nfs/dbraw/zinc/01/98/76/1130019876.db2.gz UEOBLRWWUHYGHW-UHFFFAOYSA-N 1 2 295.342 3.535 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cc(OC)ccc2OC)cc1 ZINC001239666430 1130041218 /nfs/dbraw/zinc/04/12/18/1130041218.db2.gz FZKNUUOUKDLLAT-UHFFFAOYSA-N 1 2 285.343 3.733 20 0 CHADLO COc1ccc2c(c1)nccc2-c1cc(C)cn2cc[nH+]c12 ZINC001239676423 1130047471 /nfs/dbraw/zinc/04/74/71/1130047471.db2.gz BMYCZLAZRPCNGV-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO Fc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)c(F)c1 ZINC001239677272 1130049088 /nfs/dbraw/zinc/04/90/88/1130049088.db2.gz DNRQZJDGOMMHBD-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc(F)cc1C ZINC001239740648 1130082657 /nfs/dbraw/zinc/08/26/57/1130082657.db2.gz ITCVBNFJHKEJCN-UHFFFAOYSA-N 1 2 256.280 3.686 20 0 CHADLO COc1ccc(C)cc1-c1cc(C)c2[nH+]ccn2c1 ZINC001239769700 1130100583 /nfs/dbraw/zinc/10/05/83/1130100583.db2.gz QXCFERRCWBXPJM-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCc1nc(OC)ccc1-c1ccccc1Cn1cc[nH+]c1 ZINC001239778260 1130104430 /nfs/dbraw/zinc/10/44/30/1130104430.db2.gz ZMGQYIJUROFMGN-UHFFFAOYSA-N 1 2 293.370 3.564 20 0 CHADLO c1cc(-c2ccc3c(c2)CCO3)cc(N2CCCCC2)[nH+]1 ZINC001239804310 1130114828 /nfs/dbraw/zinc/11/48/28/1130114828.db2.gz GXXPPEHBNVCQNX-UHFFFAOYSA-N 1 2 280.371 3.674 20 0 CHADLO c1ccc([C@H](Nc2ccc(N3CCCC3)[nH+]c2)C2CC2)nc1 ZINC000903139028 1130117875 /nfs/dbraw/zinc/11/78/75/1130117875.db2.gz CNDBNSQMOZJXFX-GOSISDBHSA-N 1 2 294.402 3.640 20 0 CHADLO c1n[nH]c([C@H]([NH2+]C[C@@]23C[C@@H]2CCCC3)C2CCCCC2)n1 ZINC000903160919 1130121124 /nfs/dbraw/zinc/12/11/24/1130121124.db2.gz BCRGBHRGDZHVDH-ZMSDIMECSA-N 1 2 288.439 3.596 20 0 CHADLO COc1cccc(-c2cccc(-c3c[nH+]cn3C)c2)c1OC ZINC001239817431 1130121801 /nfs/dbraw/zinc/12/18/01/1130121801.db2.gz ANNOMPLQISFBHI-UHFFFAOYSA-N 1 2 294.354 3.771 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2nc3c(s2)CCC3)o1 ZINC000903192047 1130127076 /nfs/dbraw/zinc/12/70/76/1130127076.db2.gz FEEFALXJCQFEPU-SNVBAGLBSA-N 1 2 276.405 3.638 20 0 CHADLO c1cn(Cc2ccc(-c3ccnc4ccccc43)nc2)c[nH+]1 ZINC001239850416 1130133531 /nfs/dbraw/zinc/13/35/31/1130133531.db2.gz PBZDVWZVGXPAEJ-UHFFFAOYSA-N 1 2 286.338 3.542 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000787188821 1130138464 /nfs/dbraw/zinc/13/84/64/1130138464.db2.gz ITJQFTMLKVMOFG-OAHLLOKOSA-N 1 2 274.314 3.615 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000787188821 1130138468 /nfs/dbraw/zinc/13/84/68/1130138468.db2.gz ITJQFTMLKVMOFG-OAHLLOKOSA-N 1 2 274.314 3.615 20 0 CHADLO COC(=O)[C@@H]([NH3+])c1ccc(-c2ccccc2C(C)C)cc1 ZINC001239865650 1130140505 /nfs/dbraw/zinc/14/05/05/1130140505.db2.gz CYVRFRFROWQQCP-KRWDZBQOSA-N 1 2 283.371 3.650 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1csc(Cl)c1 ZINC000903327681 1130155975 /nfs/dbraw/zinc/15/59/75/1130155975.db2.gz XFHWCAVOLNYSRA-TVQRCGJNSA-N 1 2 293.823 3.993 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@H](c1ncccn1)C1CC1 ZINC000903330313 1130157821 /nfs/dbraw/zinc/15/78/21/1130157821.db2.gz HNFICEFFBIFQGA-GUYCJALGSA-N 1 2 297.402 3.596 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](c2ccccn2)C2CC2)c(C)s1 ZINC000903340997 1130162593 /nfs/dbraw/zinc/16/25/93/1130162593.db2.gz UNMMZEJXFIFRNQ-QFYYESIMSA-N 1 2 287.432 3.957 20 0 CHADLO C[C@H]1C[NH+](Cc2ccnc(Cl)c2Cl)C[C@H](C)S1 ZINC000787773371 1130163204 /nfs/dbraw/zinc/16/32/04/1130163204.db2.gz NDJUPEHNHKRKRJ-IUCAKERBSA-N 1 2 291.247 3.714 20 0 CHADLO Cc1cc(CNc2ccc(-n3cc[nH+]c3)cc2)cc(Cl)n1 ZINC000787773113 1130163570 /nfs/dbraw/zinc/16/35/70/1130163570.db2.gz NLZZQXNOPWYZJL-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1cncc2ccccc21 ZINC001239945513 1130164943 /nfs/dbraw/zinc/16/49/43/1130164943.db2.gz ADIKATQBDUBGEU-UHFFFAOYSA-N 1 2 263.344 3.671 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(C)on1)c1cc(F)ccc1OC ZINC000903372276 1130167810 /nfs/dbraw/zinc/16/78/10/1130167810.db2.gz YGZDLLDZPHUPGR-RISCZKNCSA-N 1 2 292.354 3.933 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnc(Cl)cn1)c1ccc(F)cc1F ZINC000788022279 1130172289 /nfs/dbraw/zinc/17/22/89/1130172289.db2.gz BMEIVAMKJOJRRA-CYBMUJFWSA-N 1 2 297.736 3.649 20 0 CHADLO COC(=O)[C@H]1CCCCC[N@@H+]1Cc1cccc(Cl)c1C ZINC001462072851 1130186701 /nfs/dbraw/zinc/18/67/01/1130186701.db2.gz YCGAKOFQEKEASZ-OAHLLOKOSA-N 1 2 295.810 3.566 20 0 CHADLO COC(=O)[C@H]1CCCCC[N@H+]1Cc1cccc(Cl)c1C ZINC001462072851 1130186705 /nfs/dbraw/zinc/18/67/05/1130186705.db2.gz YCGAKOFQEKEASZ-OAHLLOKOSA-N 1 2 295.810 3.566 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccc3cncnc3c2)cc1F ZINC001239999878 1130187040 /nfs/dbraw/zinc/18/70/40/1130187040.db2.gz KGPRKOSMCUFEIQ-UHFFFAOYSA-N 1 2 299.324 3.637 20 0 CHADLO COC(=[NH2+])c1cccc(-c2cnn(Cc3ccccc3)c2)c1 ZINC001240004596 1130189878 /nfs/dbraw/zinc/18/98/78/1130189878.db2.gz YUWLLMNMGAPRRZ-UHFFFAOYSA-N 1 2 291.354 3.570 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cccc2cnccc21 ZINC001240009261 1130191563 /nfs/dbraw/zinc/19/15/63/1130191563.db2.gz OAVYDQPEVYTQFU-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cccc2cnccc21 ZINC001240010387 1130192722 /nfs/dbraw/zinc/19/27/22/1130192722.db2.gz PCUOCASVLGKMNR-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO COc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c(F)c1 ZINC001240024860 1130197430 /nfs/dbraw/zinc/19/74/30/1130197430.db2.gz NTUWTHBOWNWPTR-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO COc1ccc(-c2ccc3[nH+]ccn3c2C)cc1Cl ZINC001240033884 1130201884 /nfs/dbraw/zinc/20/18/84/1130201884.db2.gz JTMRMRVPTQIISI-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO FC(F)(F)OCCNc1cc(-c2ccccc2)cc[nH+]1 ZINC001157387557 1130209333 /nfs/dbraw/zinc/20/93/33/1130209333.db2.gz QASZMPQPIYAIQY-UHFFFAOYSA-N 1 2 282.265 3.697 20 0 CHADLO CCCCNC(=O)c1cccc(-c2cccc3[nH+]ccn32)c1 ZINC001240087920 1130210678 /nfs/dbraw/zinc/21/06/78/1130210678.db2.gz XOCYEZCAWVZFIY-UHFFFAOYSA-N 1 2 293.370 3.531 20 0 CHADLO COc1ccc(F)c(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001240120742 1130217651 /nfs/dbraw/zinc/21/76/51/1130217651.db2.gz ZMNKSKYLWUFHEK-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO COc1cc(F)cc2ccc(-c3ccc4[nH+]ccn4c3)nc21 ZINC001240213329 1130233008 /nfs/dbraw/zinc/23/30/08/1130233008.db2.gz CVLVYMBHNSKZAJ-UHFFFAOYSA-N 1 2 293.301 3.697 20 0 CHADLO Cc1cc(-c2c3cc[nH]c3ccc2F)cn2cc[nH+]c12 ZINC001240213799 1130233720 /nfs/dbraw/zinc/23/37/20/1130233720.db2.gz MHORLSLAFBZYJH-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO COc1ccc2cc(-c3ccc4[nH+]ccn4c3)ccc2n1 ZINC001240217523 1130235316 /nfs/dbraw/zinc/23/53/16/1130235316.db2.gz HKJRUJYADKKUDP-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC(C)(C)Oc1ccc(-c2ccc3[nH+]ccn3c2)nc1 ZINC001240218425 1130237007 /nfs/dbraw/zinc/23/70/07/1130237007.db2.gz CTPNDVNGNXEDBR-UHFFFAOYSA-N 1 2 267.332 3.574 20 0 CHADLO Cc1nccc(-c2ccc(-c3ccc4[nH+]ccn4c3)cc2)n1 ZINC001240218514 1130237041 /nfs/dbraw/zinc/23/70/41/1130237041.db2.gz PLWAVORDMKIUCC-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)cc2cccnc12 ZINC001240218487 1130237154 /nfs/dbraw/zinc/23/71/54/1130237154.db2.gz FFNHQBIUGSQLRD-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC[C@@H](C(=O)OC)c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240218437 1130237888 /nfs/dbraw/zinc/23/78/88/1130237888.db2.gz NOBOKNJWBSWEJW-MRXNPFEDSA-N 1 2 294.354 3.668 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Oc1ccc(Cl)c(Cl)c1 ZINC000748603530 1130238045 /nfs/dbraw/zinc/23/80/45/1130238045.db2.gz MPFLBLXFKUSNIE-UHFFFAOYSA-N 1 2 299.157 3.576 20 0 CHADLO c1cn2cc(-c3ccc(N4CCSCC4)cc3)ccc2[nH+]1 ZINC001240220638 1130238224 /nfs/dbraw/zinc/23/82/24/1130238224.db2.gz JTOFNXSDWLCEBI-UHFFFAOYSA-N 1 2 295.411 3.555 20 0 CHADLO CC1(C)Cc2c(cccc2-c2ccc3[nH+]ccn3c2)NC1=O ZINC001240220907 1130238524 /nfs/dbraw/zinc/23/85/24/1130238524.db2.gz NGBOFAXLYINBJN-UHFFFAOYSA-N 1 2 291.354 3.522 20 0 CHADLO Nc1cn2ccc(-c3cccc(N4CCCCC4)c3)cc2[nH+]1 ZINC001240251654 1130248941 /nfs/dbraw/zinc/24/89/41/1130248941.db2.gz MTCGIEGLTXSVPY-UHFFFAOYSA-N 1 2 292.386 3.574 20 0 CHADLO CC/C(C)=C(\C)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000780217482 1130250833 /nfs/dbraw/zinc/25/08/33/1130250833.db2.gz YURRUSBAGKVOEF-BUHFOSPRSA-N 1 2 284.359 3.662 20 0 CHADLO Clc1ccc(-c2cccc3[nH+]ccn32)c(Cl)n1 ZINC001243084417 1130253327 /nfs/dbraw/zinc/25/33/27/1130253327.db2.gz MSXKYVSTEDCGIL-UHFFFAOYSA-N 1 2 264.115 3.703 20 0 CHADLO CNc1cc(-c2ccc3c(c2)ncn3C(C)C)cc[nH+]1 ZINC001240371585 1130282245 /nfs/dbraw/zinc/28/22/45/1130282245.db2.gz RGKIXMPDGGLPEO-UHFFFAOYSA-N 1 2 266.348 3.721 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3cnccc3C2)c1Cl ZINC001137287211 1130291872 /nfs/dbraw/zinc/29/18/72/1130291872.db2.gz CKPMRSBOLOHDQN-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1csc(C[N@H+]2CCc3cnccc3C2)c1Cl ZINC001137287211 1130291876 /nfs/dbraw/zinc/29/18/76/1130291876.db2.gz CKPMRSBOLOHDQN-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1csc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)c1Cl ZINC001137287554 1130297152 /nfs/dbraw/zinc/29/71/52/1130297152.db2.gz OULMZRVXPWEUHJ-PWSUYJOCSA-N 1 2 279.783 3.982 20 0 CHADLO Cc1csc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)c1Cl ZINC001137287554 1130297156 /nfs/dbraw/zinc/29/71/56/1130297156.db2.gz OULMZRVXPWEUHJ-PWSUYJOCSA-N 1 2 279.783 3.982 20 0 CHADLO Cc1csc(C[N@H+]2CCc3cccnc3C2)c1Cl ZINC001137292752 1130306214 /nfs/dbraw/zinc/30/62/14/1130306214.db2.gz NXDNVGSMRMUXTM-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3cccnc3C2)c1Cl ZINC001137292752 1130306209 /nfs/dbraw/zinc/30/62/09/1130306209.db2.gz NXDNVGSMRMUXTM-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC1(C)C[N@H+](Cc2noc3c2CCCC3)Cc2ccccc21 ZINC000677563458 1130316481 /nfs/dbraw/zinc/31/64/81/1130316481.db2.gz LFFPALGJZSJIQF-UHFFFAOYSA-N 1 2 296.414 3.847 20 0 CHADLO CC1(C)C[N@@H+](Cc2noc3c2CCCC3)Cc2ccccc21 ZINC000677563458 1130316486 /nfs/dbraw/zinc/31/64/86/1130316486.db2.gz LFFPALGJZSJIQF-UHFFFAOYSA-N 1 2 296.414 3.847 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)s1 ZINC000754678066 1130323966 /nfs/dbraw/zinc/32/39/66/1130323966.db2.gz ZIXIKZWCIKCEJB-JTQLQIEISA-N 1 2 279.409 3.588 20 0 CHADLO COCC1([N@H+](C)Cc2csc(C3CCCCC3)n2)CC1 ZINC000677729032 1130325614 /nfs/dbraw/zinc/32/56/14/1130325614.db2.gz TYRNYDFHZHXAOF-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO COCC1([N@@H+](C)Cc2csc(C3CCCCC3)n2)CC1 ZINC000677729032 1130325620 /nfs/dbraw/zinc/32/56/20/1130325620.db2.gz TYRNYDFHZHXAOF-UHFFFAOYSA-N 1 2 294.464 3.802 20 0 CHADLO CC(C)c1cc(N[C@@H](C)C[C@@H]2CCOC2)nc(C(C)C)[nH+]1 ZINC000677745479 1130327965 /nfs/dbraw/zinc/32/79/65/1130327965.db2.gz BNMMSKDFJOEVMT-KBPBESRZSA-N 1 2 291.439 3.950 20 0 CHADLO COc1ccc2cnc(-c3ccn4cc[nH+]c4c3)cc2c1 ZINC001240496743 1130330048 /nfs/dbraw/zinc/33/00/48/1130330048.db2.gz HQFZUYJEDHDGKW-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1ccc(-c2ccn3cc[nH+]c3c2)nc1C(F)(F)F ZINC001240496837 1130330210 /nfs/dbraw/zinc/33/02/10/1130330210.db2.gz JIMOMLTYRRUGOX-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Fc1cccc(-c2ccc(-c3ccn4cc[nH+]c4c3)nn2)c1 ZINC001240503478 1130332613 /nfs/dbraw/zinc/33/26/13/1130332613.db2.gz BUUPDTGPWZFBKZ-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO c1cn2ccc(-c3nccnc3Oc3ccccc3)cc2[nH+]1 ZINC001240503688 1130332904 /nfs/dbraw/zinc/33/29/04/1130332904.db2.gz SMMUEPMEYMUXSJ-UHFFFAOYSA-N 1 2 288.310 3.584 20 0 CHADLO Cc1sccc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001240502551 1130332961 /nfs/dbraw/zinc/33/29/61/1130332961.db2.gz XJKUHSSMGCIZBA-UHFFFAOYSA-N 1 2 254.358 3.968 20 0 CHADLO CSc1ccc2ncnc(-c3ccn4cc[nH+]c4c3)c2c1 ZINC001240503695 1130333095 /nfs/dbraw/zinc/33/30/95/1130333095.db2.gz SUSYNHFWTLQIQC-UHFFFAOYSA-N 1 2 292.367 3.666 20 0 CHADLO CC1(C)CC(=O)Nc2ccc(-c3ccn4cc[nH+]c4c3)cc21 ZINC001240509140 1130333989 /nfs/dbraw/zinc/33/39/89/1130333989.db2.gz SENDMZVICFUAOW-UHFFFAOYSA-N 1 2 291.354 3.621 20 0 CHADLO CCc1nc2cc(-c3ccn4cc[nH+]c4c3)ccc2o1 ZINC001240509134 1130334489 /nfs/dbraw/zinc/33/44/89/1130334489.db2.gz RYMMIVYBJYREQA-UHFFFAOYSA-N 1 2 263.300 3.705 20 0 CHADLO COc1ccc2ccc(-c3ccn4cc[nH+]c4c3)cc2n1 ZINC001240508865 1130334875 /nfs/dbraw/zinc/33/48/75/1130334875.db2.gz NDFVERUPCBDRRF-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO c1cn2ccc(-c3cccc(OC4CCC4)c3)cc2[nH+]1 ZINC001240511582 1130335258 /nfs/dbraw/zinc/33/52/58/1130335258.db2.gz IEDFIVZKRMXRCP-UHFFFAOYSA-N 1 2 264.328 3.933 20 0 CHADLO COc1ccc2cc(-c3ccn4cc[nH+]c4c3)cnc2c1 ZINC001240510890 1130335848 /nfs/dbraw/zinc/33/58/48/1130335848.db2.gz VASYDTCVAOCCAD-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CCOC(=O)/C=C/c1cccc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240510567 1130336230 /nfs/dbraw/zinc/33/62/30/1130336230.db2.gz NZVQCYRMLAUWBY-VOTSOKGWSA-N 1 2 292.338 3.578 20 0 CHADLO CCOc1cc(-c2ccn3cc[nH+]c3c2)ccc1C ZINC001240512900 1130337970 /nfs/dbraw/zinc/33/79/70/1130337970.db2.gz AVLBDGQIPUQAPE-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO Cc1ncc(-c2ccn3cc[nH+]c3c2)cc1C(F)(F)F ZINC001240518428 1130340338 /nfs/dbraw/zinc/34/03/38/1130340338.db2.gz QAGJIIWLJAJZKC-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO CSc1c(F)cccc1-c1ccn2cc[nH+]c2c1 ZINC001240519090 1130340559 /nfs/dbraw/zinc/34/05/59/1130340559.db2.gz YPEMGEGDANSKOR-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO Cc1ncc(-c2ccn3cc[nH+]c3c2)c2ccccc12 ZINC001240517759 1130341243 /nfs/dbraw/zinc/34/12/43/1130341243.db2.gz DTONOQNRBYOTPG-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1n[nH]c2ccccc21 ZINC000678133419 1130355604 /nfs/dbraw/zinc/35/56/04/1130355604.db2.gz JIERJOADTHRRLG-UHFFFAOYSA-N 1 2 269.348 3.567 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1n[nH]c2ccccc21 ZINC000678133419 1130355610 /nfs/dbraw/zinc/35/56/10/1130355610.db2.gz JIERJOADTHRRLG-UHFFFAOYSA-N 1 2 269.348 3.567 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cn3cccc(F)c3n2)C2CC2)o1 ZINC000678135208 1130356538 /nfs/dbraw/zinc/35/65/38/1130356538.db2.gz JDLSNOCHQRYFKW-UHFFFAOYSA-N 1 2 299.349 3.539 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cn3cccc(F)c3n2)C2CC2)o1 ZINC000678135208 1130356546 /nfs/dbraw/zinc/35/65/46/1130356546.db2.gz JDLSNOCHQRYFKW-UHFFFAOYSA-N 1 2 299.349 3.539 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](Cc1cn[nH]c1)C1CC1 ZINC000678135739 1130357578 /nfs/dbraw/zinc/35/75/78/1130357578.db2.gz SQBWTBSUBOZAMB-LLVKDONJSA-N 1 2 275.783 3.789 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](Cc1cn[nH]c1)C1CC1 ZINC000678135739 1130357585 /nfs/dbraw/zinc/35/75/85/1130357585.db2.gz SQBWTBSUBOZAMB-LLVKDONJSA-N 1 2 275.783 3.789 20 0 CHADLO Clc1cc2n[nH]cc2c(-c2cc3[nH]ccc3c[nH+]2)c1 ZINC001243300829 1130361669 /nfs/dbraw/zinc/36/16/69/1130361669.db2.gz PPMIEBYVLMPKLV-UHFFFAOYSA-N 1 2 268.707 3.760 20 0 CHADLO C/C(=C/C(=O)OCc1ccc(-n2cc[nH+]c2)cc1C)C1CC1 ZINC000791345334 1130363582 /nfs/dbraw/zinc/36/35/82/1130363582.db2.gz ZVSGCSMNTMIAGU-UVTDQMKNSA-N 1 2 296.370 3.580 20 0 CHADLO Cc1cc(-c2ccc(N3CCCCC3)nc2)cc(C)[nH+]1 ZINC001240584277 1130371968 /nfs/dbraw/zinc/37/19/68/1130371968.db2.gz PXUSVFBBNQLGAG-UHFFFAOYSA-N 1 2 267.376 3.751 20 0 CHADLO Cc1cn2cc(-c3ccc(N4CCCCC4)nc3)ccc2[nH+]1 ZINC001240585139 1130372116 /nfs/dbraw/zinc/37/21/16/1130372116.db2.gz CSFYUWZFYCITNT-UHFFFAOYSA-N 1 2 292.386 3.695 20 0 CHADLO Cc1cc(-c2ccc(N3CCCC3)cc2)c(C)c[nH+]1 ZINC001240591065 1130375881 /nfs/dbraw/zinc/37/58/81/1130375881.db2.gz BTXIMQFVHJZATO-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO CC[N@H+](Cc1cscc1Cl)Cc1ccccn1 ZINC000678260237 1130380064 /nfs/dbraw/zinc/38/00/64/1130380064.db2.gz CUAONPFYHPTEQT-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1cscc1Cl)Cc1ccccn1 ZINC000678260237 1130380068 /nfs/dbraw/zinc/38/00/68/1130380068.db2.gz CUAONPFYHPTEQT-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1Cc1[nH]nc2ccccc21 ZINC000678267946 1130384888 /nfs/dbraw/zinc/38/48/88/1130384888.db2.gz TWISEJSJMDOPIE-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1Cc1[nH]nc2ccccc21 ZINC000678267946 1130384892 /nfs/dbraw/zinc/38/48/92/1130384892.db2.gz TWISEJSJMDOPIE-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1Cc1n[nH]c2ccccc21 ZINC000678267946 1130384898 /nfs/dbraw/zinc/38/48/98/1130384898.db2.gz TWISEJSJMDOPIE-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1Cc1n[nH]c2ccccc21 ZINC000678267946 1130384905 /nfs/dbraw/zinc/38/49/05/1130384905.db2.gz TWISEJSJMDOPIE-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO C[N@H+](Cc1cc2ccccc2o1)Cc1n[nH]c2ccccc21 ZINC000678281970 1130390120 /nfs/dbraw/zinc/39/01/20/1130390120.db2.gz JUCKGDWVWOWNBT-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO C[N@@H+](Cc1cc2ccccc2o1)Cc1n[nH]c2ccccc21 ZINC000678281970 1130390126 /nfs/dbraw/zinc/39/01/26/1130390126.db2.gz JUCKGDWVWOWNBT-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO CC(C)=Cc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)nn1 ZINC001240632465 1130392036 /nfs/dbraw/zinc/39/20/36/1130392036.db2.gz NMVZEXVPOHLYQP-UHFFFAOYSA-N 1 2 276.343 3.753 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2nccc3ccccc32)s1 ZINC000678285864 1130392599 /nfs/dbraw/zinc/39/25/99/1130392599.db2.gz KKNGCASVAWWQHA-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2nccc3ccccc32)s1 ZINC000678285864 1130392606 /nfs/dbraw/zinc/39/26/06/1130392606.db2.gz KKNGCASVAWWQHA-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2ccc(F)cc2F)[nH]1 ZINC001137330921 1130395863 /nfs/dbraw/zinc/39/58/63/1130395863.db2.gz HVUWWZLOZWNZQQ-UHFFFAOYSA-N 1 2 264.319 3.542 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2ccc(F)cc2F)[nH]1 ZINC001137330921 1130395870 /nfs/dbraw/zinc/39/58/70/1130395870.db2.gz HVUWWZLOZWNZQQ-UHFFFAOYSA-N 1 2 264.319 3.542 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1csc(C(C)C)n1 ZINC000678361439 1130407564 /nfs/dbraw/zinc/40/75/64/1130407564.db2.gz BKSVDFWWMXCJMX-LBPRGKRZSA-N 1 2 275.421 3.821 20 0 CHADLO CC(C)[C@H](CC(=O)OCc1cc[nH+]c(N)c1)c1ccccc1 ZINC000791843141 1130413143 /nfs/dbraw/zinc/41/31/43/1130413143.db2.gz QQFKRJLJPDGPQC-INIZCTEOSA-N 1 2 298.386 3.537 20 0 CHADLO Cc1ccc(-c2ccc(C[NH+]3CCOCC3)cc2F)cc1C ZINC001240702206 1130426882 /nfs/dbraw/zinc/42/68/82/1130426882.db2.gz SJTUXDJXCYMLLO-UHFFFAOYSA-N 1 2 299.389 3.942 20 0 CHADLO COc1ccc2[nH+]c(NC[C@H]3CC(C)(C)O3)c(C)cc2c1 ZINC001157654415 1130430281 /nfs/dbraw/zinc/43/02/81/1130430281.db2.gz KDGJDBQBQLMRAY-CQSZACIVSA-N 1 2 286.375 3.531 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2COc3ccc(C)cc32)c(C)s1 ZINC000678596800 1130435760 /nfs/dbraw/zinc/43/57/60/1130435760.db2.gz QBDVYZOQJMSSNX-YGRLFVJLSA-N 1 2 288.416 3.853 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2c1cccc2Cl)c1ccc(F)cn1 ZINC000678597891 1130436646 /nfs/dbraw/zinc/43/66/46/1130436646.db2.gz JJENIHCIOBCQSB-LKFCYVNXSA-N 1 2 292.741 3.658 20 0 CHADLO COc1c(F)ccc(F)c1-c1cn2cc[nH+]c2cc1C ZINC001240726077 1130440963 /nfs/dbraw/zinc/44/09/63/1130440963.db2.gz QHCDBQTYVLRTTR-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(-c2ccccc2F)o1)c1nccn1C ZINC000678768129 1130451236 /nfs/dbraw/zinc/45/12/36/1130451236.db2.gz IPCLGEBWWYZZEI-LBPRGKRZSA-N 1 2 299.349 3.670 20 0 CHADLO Fc1c[nH+]ccc1N1CCC[C@H]1CCc1ccccc1 ZINC000678789324 1130454683 /nfs/dbraw/zinc/45/46/83/1130454683.db2.gz YCPOENGXPKFYQY-HNNXBMFYSA-N 1 2 270.351 3.822 20 0 CHADLO CC(C)Cc1ccccc1C(=O)Nc1cccc2[nH+]ccn21 ZINC000792540902 1130456258 /nfs/dbraw/zinc/45/62/58/1130456258.db2.gz KHNVLKOPMASCQE-UHFFFAOYSA-N 1 2 293.370 3.785 20 0 CHADLO Cc1ccc2cc(N[C@H](C(=O)NC(C)C)C(C)C)[nH+]cc2c1 ZINC001170189388 1130456600 /nfs/dbraw/zinc/45/66/00/1130456600.db2.gz WLWVIBATDJUYTQ-KRWDZBQOSA-N 1 2 299.418 3.504 20 0 CHADLO Clc1ccc([C@H]([NH2+]Cc2csnn2)C2CCC2)cc1 ZINC000678932344 1130473938 /nfs/dbraw/zinc/47/39/38/1130473938.db2.gz UMWUCXDGEAYSPP-CQSZACIVSA-N 1 2 293.823 3.823 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2csnn2)cc1 ZINC000678932198 1130474166 /nfs/dbraw/zinc/47/41/66/1130474166.db2.gz SAHUZSMJNCGKNS-JTQLQIEISA-N 1 2 279.434 3.501 20 0 CHADLO Fc1ccc(Cl)c(C[NH+]2CC3CC(C3)C2)c1Cl ZINC001137359172 1130484011 /nfs/dbraw/zinc/48/40/11/1130484011.db2.gz MTCHBULBLGXFGF-UHFFFAOYSA-N 1 2 274.166 3.974 20 0 CHADLO c1nc(C[NH2+][C@H]2CC3(CCCCC3)Oc3ccccc32)co1 ZINC000679048778 1130487485 /nfs/dbraw/zinc/48/74/85/1130487485.db2.gz PQCPVEBIGDJCIJ-INIZCTEOSA-N 1 2 298.386 3.991 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C(C)C)no1)c1ccc(F)cn1 ZINC000679343261 1130517618 /nfs/dbraw/zinc/51/76/18/1130517618.db2.gz UWYKVHGACRPLGB-CYBMUJFWSA-N 1 2 277.343 3.573 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@H]32)[nH]1 ZINC001137370394 1130519140 /nfs/dbraw/zinc/51/91/40/1130519140.db2.gz BOZYABIUYPGEJK-LSDHHAIUSA-N 1 2 288.435 3.646 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@H]32)[nH]1 ZINC001137370394 1130519144 /nfs/dbraw/zinc/51/91/44/1130519144.db2.gz BOZYABIUYPGEJK-LSDHHAIUSA-N 1 2 288.435 3.646 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)s1 ZINC000679359917 1130521844 /nfs/dbraw/zinc/52/18/44/1130521844.db2.gz SCCCDNMVTDJGDK-DGCLKSJQSA-N 1 2 270.417 3.522 20 0 CHADLO CC(C)Cc1ncc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)s1 ZINC000679359917 1130521849 /nfs/dbraw/zinc/52/18/49/1130521849.db2.gz SCCCDNMVTDJGDK-DGCLKSJQSA-N 1 2 270.417 3.522 20 0 CHADLO Cc1cc(N2C[C@@H](C(F)(F)F)CC[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000679476905 1130531767 /nfs/dbraw/zinc/53/17/67/1130531767.db2.gz LVSWPRROXPGFRI-JQWIXIFHSA-N 1 2 299.340 3.830 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000679541118 1130537085 /nfs/dbraw/zinc/53/70/85/1130537085.db2.gz IHDRUDVQDNJWJQ-ONGXEEELSA-N 1 2 288.313 3.715 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1ncc(C2CC2)o1 ZINC000679541118 1130537091 /nfs/dbraw/zinc/53/70/91/1130537091.db2.gz IHDRUDVQDNJWJQ-ONGXEEELSA-N 1 2 288.313 3.715 20 0 CHADLO Fc1ncccc1C[NH2+]Cc1ccc(Cl)cc1Cl ZINC000793285863 1130537976 /nfs/dbraw/zinc/53/79/76/1130537976.db2.gz YEUMWVZPDGREBA-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO COc1ccc2nc(C[NH+]3CCC(F)(F)CC3)sc2c1 ZINC000793362844 1130546262 /nfs/dbraw/zinc/54/62/62/1130546262.db2.gz WWLOVHPUTBRALN-UHFFFAOYSA-N 1 2 298.358 3.536 20 0 CHADLO CN(C)c1cccc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001240984849 1130551952 /nfs/dbraw/zinc/55/19/52/1130551952.db2.gz DDCIPWYSQYUKNM-UHFFFAOYSA-N 1 2 263.344 3.605 20 0 CHADLO Fc1ccc(Cl)cc1C[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000679740780 1130554067 /nfs/dbraw/zinc/55/40/67/1130554067.db2.gz RBQPXHXYBJYNGV-NSHDSACASA-N 1 2 293.716 3.683 20 0 CHADLO Fc1ccc(Cl)cc1C[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000679740780 1130554072 /nfs/dbraw/zinc/55/40/72/1130554072.db2.gz RBQPXHXYBJYNGV-NSHDSACASA-N 1 2 293.716 3.683 20 0 CHADLO Cc1nn(C[N@H+]2CCC[C@@H](CC(C)C)C2)c(=S)s1 ZINC000759134171 1130637761 /nfs/dbraw/zinc/63/77/61/1130637761.db2.gz GVEROXBFDZEKFL-LBPRGKRZSA-N 1 2 285.482 3.698 20 0 CHADLO Cc1nn(C[N@@H+]2CCC[C@@H](CC(C)C)C2)c(=S)s1 ZINC000759134171 1130637763 /nfs/dbraw/zinc/63/77/63/1130637763.db2.gz GVEROXBFDZEKFL-LBPRGKRZSA-N 1 2 285.482 3.698 20 0 CHADLO CCOC(=[NH2+])c1ccc(OC[C@H](OC)c2ccccc2)cc1 ZINC001234586143 1130658310 /nfs/dbraw/zinc/65/83/10/1130658310.db2.gz UJKHZEKISOVZLR-KRWDZBQOSA-N 1 2 299.370 3.815 20 0 CHADLO CC(C)[C@H]1CCC[N@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000780496875 1130681565 /nfs/dbraw/zinc/68/15/65/1130681565.db2.gz JPTZXWOJGKAUPJ-LBPRGKRZSA-N 1 2 297.493 3.877 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000780496875 1130681569 /nfs/dbraw/zinc/68/15/69/1130681569.db2.gz JPTZXWOJGKAUPJ-LBPRGKRZSA-N 1 2 297.493 3.877 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000780496379 1130681740 /nfs/dbraw/zinc/68/17/40/1130681740.db2.gz JDMNEFUXBDVUEY-GFCCVEGCSA-N 1 2 296.484 3.564 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000780496379 1130681742 /nfs/dbraw/zinc/68/17/42/1130681742.db2.gz JDMNEFUXBDVUEY-GFCCVEGCSA-N 1 2 296.484 3.564 20 0 CHADLO O=C(N[C@@H]1CCCCC12CCCC2)c1cccc2[nH+]ccn21 ZINC000812725669 1130709444 /nfs/dbraw/zinc/70/94/44/1130709444.db2.gz DDPZWZCXHGZSNI-OAHLLOKOSA-N 1 2 297.402 3.567 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1cc(F)c(F)c(F)c1F ZINC001235338606 1130734632 /nfs/dbraw/zinc/73/46/32/1130734632.db2.gz GZVHGTRLYJGKOF-MRVPVSSYSA-N 1 2 261.262 3.617 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1cc(F)c(F)c(F)c1F ZINC001235338606 1130734638 /nfs/dbraw/zinc/73/46/38/1130734638.db2.gz GZVHGTRLYJGKOF-MRVPVSSYSA-N 1 2 261.262 3.617 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cncc(F)c2Cl)CCC1(F)F ZINC001235486767 1130748326 /nfs/dbraw/zinc/74/83/26/1130748326.db2.gz AFQFVNBAPMSFLK-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cncc(F)c2Cl)CCC1(F)F ZINC001235486767 1130748330 /nfs/dbraw/zinc/74/83/30/1130748330.db2.gz AFQFVNBAPMSFLK-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)C=CC1CCCCCC1 ZINC001133685938 1130755747 /nfs/dbraw/zinc/75/57/47/1130755747.db2.gz KXCFJBRTTJYUGD-MDZDMXLPSA-N 1 2 272.392 3.585 20 0 CHADLO COc1cc(F)ccc1N[C@@H](C)c1cn2c([nH+]1)CCCC2 ZINC000813329059 1130759966 /nfs/dbraw/zinc/75/99/66/1130759966.db2.gz PATIJHDNPIMPKY-NSHDSACASA-N 1 2 289.354 3.540 20 0 CHADLO CCOc1cc(C[N@@H+]2CCC[C@](C)(F)C2)ccc1F ZINC001235794589 1130779900 /nfs/dbraw/zinc/77/99/00/1130779900.db2.gz NEPHZPOMXMMALN-HNNXBMFYSA-N 1 2 269.335 3.548 20 0 CHADLO CCOc1cc(C[N@H+]2CCC[C@](C)(F)C2)ccc1F ZINC001235794589 1130779905 /nfs/dbraw/zinc/77/99/05/1130779905.db2.gz NEPHZPOMXMMALN-HNNXBMFYSA-N 1 2 269.335 3.548 20 0 CHADLO COc1nccc(C[N@@H+]2CC[C@@H]2c2ccccc2)c1Cl ZINC001235839662 1130785693 /nfs/dbraw/zinc/78/56/93/1130785693.db2.gz PXPZWXCOKWLHNH-CQSZACIVSA-N 1 2 288.778 3.691 20 0 CHADLO COc1nccc(C[N@H+]2CC[C@@H]2c2ccccc2)c1Cl ZINC001235839662 1130785698 /nfs/dbraw/zinc/78/56/98/1130785698.db2.gz PXPZWXCOKWLHNH-CQSZACIVSA-N 1 2 288.778 3.691 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]c2ccc(N3CCSCC3)cc2)[C@H]1C ZINC000813865594 1130791663 /nfs/dbraw/zinc/79/16/63/1130791663.db2.gz BRFQTLOZFCAMTJ-DVOMOZLQSA-N 1 2 276.449 3.696 20 0 CHADLO CC(C)(C)Oc1ccc(-c2c[nH+]c3c(c2)CCCN3)cc1 ZINC001235930776 1130808490 /nfs/dbraw/zinc/80/84/90/1130808490.db2.gz DEYTXPGPANGOBW-UHFFFAOYSA-N 1 2 282.387 3.927 20 0 CHADLO FC(F)(F)Oc1ccc(-c2cccn3cc[nH+]c23)cc1 ZINC001235931078 1130810112 /nfs/dbraw/zinc/81/01/12/1130810112.db2.gz RZBZIFHPWHEVBG-UHFFFAOYSA-N 1 2 278.233 3.900 20 0 CHADLO C[C@@H]1CC[C@H]1[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000783278693 1130810337 /nfs/dbraw/zinc/81/03/37/1130810337.db2.gz BMUJMXNMPBUIKV-VWPFQQQWSA-N 1 2 274.408 3.511 20 0 CHADLO CC(C)Oc1ccc(Cl)cc1-c1ccc[nH+]c1N ZINC001235941249 1130811452 /nfs/dbraw/zinc/81/14/52/1130811452.db2.gz HQIOISISLHXUEZ-UHFFFAOYSA-N 1 2 262.740 3.771 20 0 CHADLO Cc1cc2cc(N[C@@H](C)c3cccc(N)c3)[nH+]cc2[nH]1 ZINC001170728300 1130831504 /nfs/dbraw/zinc/83/15/04/1130831504.db2.gz XXLSPJLOYFPKGB-NSHDSACASA-N 1 2 266.348 3.627 20 0 CHADLO CCCCN(C)c1ccc([NH2+]CC[C@@H]2CCOC2)cc1 ZINC000783584305 1130840918 /nfs/dbraw/zinc/84/09/18/1130840918.db2.gz LEIMSUBJIFWQLG-OAHLLOKOSA-N 1 2 276.424 3.761 20 0 CHADLO CC(C)CCC[NH2+]c1ccc(N2CCOC[C@@H]2C)cc1 ZINC000783583602 1130841264 /nfs/dbraw/zinc/84/12/64/1130841264.db2.gz JEJXTIISFOVUJC-HNNXBMFYSA-N 1 2 276.424 3.760 20 0 CHADLO Fc1cccc(C[NH2+]Cc2nc(-c3ccccc3)cs2)n1 ZINC000747934440 1130864553 /nfs/dbraw/zinc/86/45/53/1130864553.db2.gz AWRAJVUOZYHTSB-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO Cc1ccc(O)c(Nc2ccc3cc(N)ccc3c2)[nH+]1 ZINC001159170995 1130881806 /nfs/dbraw/zinc/88/18/06/1130881806.db2.gz UCVBVEOUTWNQQN-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO Nc1ccc(-c2ccc3[nH]c4c(c3c2)CCCC4)c[nH+]1 ZINC001236312952 1130912037 /nfs/dbraw/zinc/91/20/37/1130912037.db2.gz CLDBGDGCMWRVTA-UHFFFAOYSA-N 1 2 263.344 3.691 20 0 CHADLO CCOc1cc(Nc2cccc3c2CCN3)cc(C)[nH+]1 ZINC001159253627 1130933531 /nfs/dbraw/zinc/93/35/31/1130933531.db2.gz BFLOTIRKABILGQ-UHFFFAOYSA-N 1 2 269.348 3.500 20 0 CHADLO COc1nc(C(C)C)ccc1-c1cc(C)cn2cc[nH+]c12 ZINC001236444721 1130943541 /nfs/dbraw/zinc/94/35/41/1130943541.db2.gz QAPYSRFGGUSNNT-UHFFFAOYSA-N 1 2 281.359 3.837 20 0 CHADLO CCOc1ncc(Cl)cc1-c1[nH+]c(C)cc2[nH]ccc21 ZINC001236490621 1130955805 /nfs/dbraw/zinc/95/58/05/1130955805.db2.gz BOTYAUBZGNJUNP-UHFFFAOYSA-N 1 2 287.750 3.985 20 0 CHADLO CCOc1ncc(Cl)cc1-c1cc(C)c2[nH+]ccn2c1 ZINC001236497082 1130958234 /nfs/dbraw/zinc/95/82/34/1130958234.db2.gz DGHUFBAKNSOYBM-UHFFFAOYSA-N 1 2 287.750 3.757 20 0 CHADLO O=C(OCc1cccc2[nH+]ccn21)C(C1CCC1)C1CCC1 ZINC000797161122 1130965629 /nfs/dbraw/zinc/96/56/29/1130965629.db2.gz ALBLYHANJNUJML-UHFFFAOYSA-N 1 2 298.386 3.594 20 0 CHADLO CCOc1ccc(C)c(F)c1-c1ccc2[nH+]ccn2c1 ZINC001236538120 1130968547 /nfs/dbraw/zinc/96/85/47/1130968547.db2.gz PSUAUOIWEBAMOL-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccnc(OC(C)C)c2)c1 ZINC001236564635 1130976725 /nfs/dbraw/zinc/97/67/25/1130976725.db2.gz XFRUTYJPTDGASK-UHFFFAOYSA-N 1 2 284.359 3.898 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@@H+]1C[C@@H]2C[C@H]1C[C@H]2F ZINC001236603611 1130981834 /nfs/dbraw/zinc/98/18/34/1130981834.db2.gz CSBIUBYNLVILTM-ATZCPNFKSA-N 1 2 289.181 3.629 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@H+]1C[C@@H]2C[C@H]1C[C@H]2F ZINC001236603611 1130981836 /nfs/dbraw/zinc/98/18/36/1130981836.db2.gz CSBIUBYNLVILTM-ATZCPNFKSA-N 1 2 289.181 3.629 20 0 CHADLO C[N@H+](Cc1c(Br)ccnc1Cl)C(C)(C)C ZINC001236593385 1130981875 /nfs/dbraw/zinc/98/18/75/1130981875.db2.gz CXHWIKXTSKNTEL-UHFFFAOYSA-N 1 2 291.620 3.728 20 0 CHADLO C[N@@H+](Cc1c(Br)ccnc1Cl)C(C)(C)C ZINC001236593385 1130981879 /nfs/dbraw/zinc/98/18/79/1130981879.db2.gz CXHWIKXTSKNTEL-UHFFFAOYSA-N 1 2 291.620 3.728 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1CCC[C@@H](F)C1 ZINC001236606592 1130983547 /nfs/dbraw/zinc/98/35/47/1130983547.db2.gz IBGINZHJXYJXIA-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1CCC[C@@H](F)C1 ZINC001236606592 1130983552 /nfs/dbraw/zinc/98/35/52/1130983552.db2.gz IBGINZHJXYJXIA-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cc(F)cc3c1OCCC3)C2 ZINC001236618849 1130985223 /nfs/dbraw/zinc/98/52/23/1130985223.db2.gz ZYZJUWGNEPNDNU-UHFFFAOYSA-N 1 2 297.373 3.975 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cc(F)cc3c1OCCC3)C2 ZINC001236618849 1130985226 /nfs/dbraw/zinc/98/52/26/1130985226.db2.gz ZYZJUWGNEPNDNU-UHFFFAOYSA-N 1 2 297.373 3.975 20 0 CHADLO COC[C@H]1CCC[N@@H+]1Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236656749 1130989670 /nfs/dbraw/zinc/98/96/70/1130989670.db2.gz UDSQGIUDJGFTCF-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@H]1CCC[N@H+]1Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236656749 1130989672 /nfs/dbraw/zinc/98/96/72/1130989672.db2.gz UDSQGIUDJGFTCF-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2CCF)ccc1Br ZINC000797523169 1130991545 /nfs/dbraw/zinc/99/15/45/1130991545.db2.gz MONJYQYSTFOUOL-CYBMUJFWSA-N 1 2 286.188 3.864 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2CCF)ccc1Br ZINC000797523169 1130991549 /nfs/dbraw/zinc/99/15/49/1130991549.db2.gz MONJYQYSTFOUOL-CYBMUJFWSA-N 1 2 286.188 3.864 20 0 CHADLO FC1(F)COC2(C[NH+](Cc3cccc4sccc43)C2)C1 ZINC001236689009 1130994186 /nfs/dbraw/zinc/99/41/86/1130994186.db2.gz GOWYETVQKGQIKR-UHFFFAOYSA-N 1 2 295.354 3.511 20 0 CHADLO CCOc1ncc(C[N@@H+]2CC[C@H]2c2ccccc2)cc1F ZINC001236701550 1130996458 /nfs/dbraw/zinc/99/64/58/1130996458.db2.gz OJIPSUZJWHTABT-INIZCTEOSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1ncc(C[N@H+]2CC[C@H]2c2ccccc2)cc1F ZINC001236701550 1130996467 /nfs/dbraw/zinc/99/64/67/1130996467.db2.gz OJIPSUZJWHTABT-INIZCTEOSA-N 1 2 286.350 3.566 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2CCC[C@@H]2CF)c1Cl ZINC001236716880 1130999396 /nfs/dbraw/zinc/99/93/96/1130999396.db2.gz YRTIYXLZYWDYLF-LLVKDONJSA-N 1 2 259.727 3.721 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2CCC[C@@H]2CF)c1Cl ZINC001236716880 1130999399 /nfs/dbraw/zinc/99/93/99/1130999399.db2.gz YRTIYXLZYWDYLF-LLVKDONJSA-N 1 2 259.727 3.721 20 0 CHADLO Fc1ccccc1OC1C[NH+](Cc2cccc3ccoc32)C1 ZINC001236734908 1131001346 /nfs/dbraw/zinc/00/13/46/1131001346.db2.gz GIOANWYUABBZBJ-UHFFFAOYSA-N 1 2 297.329 3.835 20 0 CHADLO Fc1ccccc1OC1C[NH+](Cc2cccc3occc32)C1 ZINC001236739291 1131002225 /nfs/dbraw/zinc/00/22/25/1131002225.db2.gz NCHCTLJXINZZMP-UHFFFAOYSA-N 1 2 297.329 3.835 20 0 CHADLO c1cn(-c2ccc(-c3nc(CC4CCCC4)no3)cc2)c[nH+]1 ZINC000815713563 1131020597 /nfs/dbraw/zinc/02/05/97/1131020597.db2.gz XMBIECCAMVNUTH-UHFFFAOYSA-N 1 2 294.358 3.655 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1cc(F)c(F)c(Br)c1 ZINC001236851010 1131021402 /nfs/dbraw/zinc/02/14/02/1131021402.db2.gz ZSWHQAMHVIPABB-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1cc(F)c(F)c(Br)c1 ZINC001236851010 1131021407 /nfs/dbraw/zinc/02/14/07/1131021407.db2.gz ZSWHQAMHVIPABB-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC[C@@H]2c2ncccn2)c1Cl ZINC001236857485 1131023695 /nfs/dbraw/zinc/02/36/95/1131023695.db2.gz XRGUGEDEAMFBGW-CQSZACIVSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc(C[N@H+]2CCC[C@@H]2c2ncccn2)c1Cl ZINC001236857485 1131023703 /nfs/dbraw/zinc/02/37/03/1131023703.db2.gz XRGUGEDEAMFBGW-CQSZACIVSA-N 1 2 287.794 3.776 20 0 CHADLO CC(C)c1nn(-c2ccccc2)c(Cl)c1C[NH2+]CCF ZINC000798087260 1131024676 /nfs/dbraw/zinc/02/46/76/1131024676.db2.gz SFCRIKJAWMIKBG-UHFFFAOYSA-N 1 2 295.789 3.708 20 0 CHADLO F[C@H]1C[N@H+](Cc2nc3ccccc3cc2Cl)C[C@@H]2C[C@@H]21 ZINC001236867489 1131025730 /nfs/dbraw/zinc/02/57/30/1131025730.db2.gz JUFQAGXISVFYTQ-OBJOEFQTSA-N 1 2 290.769 3.678 20 0 CHADLO F[C@H]1C[N@@H+](Cc2nc3ccccc3cc2Cl)C[C@@H]2C[C@@H]21 ZINC001236867489 1131025738 /nfs/dbraw/zinc/02/57/38/1131025738.db2.gz JUFQAGXISVFYTQ-OBJOEFQTSA-N 1 2 290.769 3.678 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cc2c(F)cc(F)cc2nc1Cl ZINC001236873014 1131026996 /nfs/dbraw/zinc/02/69/96/1131026996.db2.gz NJUQSXPQTGLFJY-MRVPVSSYSA-N 1 2 282.721 3.761 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cc2c(F)cc(F)cc2nc1Cl ZINC001236873014 1131027002 /nfs/dbraw/zinc/02/70/02/1131027002.db2.gz NJUQSXPQTGLFJY-MRVPVSSYSA-N 1 2 282.721 3.761 20 0 CHADLO CCCCOC1C[NH+](Cc2ccc(F)c3ccoc32)C1 ZINC001236906284 1131031606 /nfs/dbraw/zinc/03/16/06/1131031606.db2.gz ROFUNQVUYPTUCO-UHFFFAOYSA-N 1 2 277.339 3.573 20 0 CHADLO Cc1ccc(-c2cncc(C[N@H+](C)Cc3ccno3)c2)cc1 ZINC001236996106 1131035095 /nfs/dbraw/zinc/03/50/95/1131035095.db2.gz FJTJFCTUGHWETH-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccc(-c2cncc(C[N@@H+](C)Cc3ccno3)c2)cc1 ZINC001236996106 1131035103 /nfs/dbraw/zinc/03/51/03/1131035103.db2.gz FJTJFCTUGHWETH-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO CC(C)(C)Oc1ccccc1C[N@@H+]1CCc2cccnc2C1 ZINC001237026372 1131036296 /nfs/dbraw/zinc/03/62/96/1131036296.db2.gz AYOCPUXIVVWPPO-UHFFFAOYSA-N 1 2 296.414 3.817 20 0 CHADLO CC(C)(C)Oc1ccccc1C[N@H+]1CCc2cccnc2C1 ZINC001237026372 1131036301 /nfs/dbraw/zinc/03/63/01/1131036301.db2.gz AYOCPUXIVVWPPO-UHFFFAOYSA-N 1 2 296.414 3.817 20 0 CHADLO Cc1c(C[N@@H+]2CCOC3(CCCCC3)C2)ccc(F)c1F ZINC001237167669 1131063689 /nfs/dbraw/zinc/06/36/89/1131063689.db2.gz QZUFDXCCHCQZPO-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO Cc1c(C[N@H+]2CCOC3(CCCCC3)C2)ccc(F)c1F ZINC001237167669 1131063694 /nfs/dbraw/zinc/06/36/94/1131063694.db2.gz QZUFDXCCHCQZPO-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO COc1cccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c1Cl ZINC001237185445 1131066976 /nfs/dbraw/zinc/06/69/76/1131066976.db2.gz OWHRCPZZFYRFMQ-ZYHUDNBSSA-N 1 2 271.763 3.529 20 0 CHADLO COc1cccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c1Cl ZINC001237185445 1131066978 /nfs/dbraw/zinc/06/69/78/1131066978.db2.gz OWHRCPZZFYRFMQ-ZYHUDNBSSA-N 1 2 271.763 3.529 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)Cc1ccc2cccccc1-2 ZINC001237195172 1131070585 /nfs/dbraw/zinc/07/05/85/1131070585.db2.gz PBJKYPMTYHWOPI-UHFFFAOYSA-N 1 2 285.387 3.565 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc2cccccc1-2 ZINC001237195172 1131070591 /nfs/dbraw/zinc/07/05/91/1131070591.db2.gz PBJKYPMTYHWOPI-UHFFFAOYSA-N 1 2 285.387 3.565 20 0 CHADLO CC(C)C[C@@H](C)CO[NH+]=C(N)Cc1cccc(F)c1 ZINC000799175988 1131073953 /nfs/dbraw/zinc/07/39/53/1131073953.db2.gz AAHIVPIPLIEDRW-GFCCVEGCSA-N 1 2 266.360 3.549 20 0 CHADLO FC(F)(F)c1cnccc1C[N@H+](Cc1ccco1)C1CC1 ZINC001237296403 1131078714 /nfs/dbraw/zinc/07/87/14/1131078714.db2.gz ZKWSGESMUMIBBI-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1cnccc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001237296403 1131078718 /nfs/dbraw/zinc/07/87/18/1131078718.db2.gz ZKWSGESMUMIBBI-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO COc1cccc2c(N[C@@H](C)c3ccc[nH]3)cc[nH+]c12 ZINC000799321394 1131080098 /nfs/dbraw/zinc/08/00/98/1131080098.db2.gz BVOHBMPYVSYGGX-NSHDSACASA-N 1 2 267.332 3.745 20 0 CHADLO COc1cccc([C@@H](C)[N@@H+]2CCC=C(Br)C2)c1 ZINC000799327815 1131080441 /nfs/dbraw/zinc/08/04/41/1131080441.db2.gz CYVNFXIAVPHVKF-LLVKDONJSA-N 1 2 296.208 3.741 20 0 CHADLO COc1cccc([C@@H](C)[N@H+]2CCC=C(Br)C2)c1 ZINC000799327815 1131080446 /nfs/dbraw/zinc/08/04/46/1131080446.db2.gz CYVNFXIAVPHVKF-LLVKDONJSA-N 1 2 296.208 3.741 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@H+]1CCC(=O)C[C@@H]1C ZINC001237349763 1131088157 /nfs/dbraw/zinc/08/81/57/1131088157.db2.gz ASWFDRWTYQDEPN-LBPRGKRZSA-N 1 2 265.784 3.510 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@@H+]1CCC(=O)C[C@@H]1C ZINC001237349763 1131088164 /nfs/dbraw/zinc/08/81/64/1131088164.db2.gz ASWFDRWTYQDEPN-LBPRGKRZSA-N 1 2 265.784 3.510 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@@H+]1CCC(=O)C(C)(C)C1 ZINC001237350437 1131089579 /nfs/dbraw/zinc/08/95/79/1131089579.db2.gz LVSUPGYBTPGTPH-UHFFFAOYSA-N 1 2 279.811 3.758 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@H+]1CCC(=O)C(C)(C)C1 ZINC001237350437 1131089584 /nfs/dbraw/zinc/08/95/84/1131089584.db2.gz LVSUPGYBTPGTPH-UHFFFAOYSA-N 1 2 279.811 3.758 20 0 CHADLO CC(C)c1ccccc1N(C)Cc1cc[nH+]c(N(C)C)c1 ZINC001170827348 1131099240 /nfs/dbraw/zinc/09/92/40/1131099240.db2.gz OPZFXUWASURHGT-UHFFFAOYSA-N 1 2 283.419 3.907 20 0 CHADLO Cc1sccc1C[N@H+](C)Cc1ccn(-c2ccccc2)n1 ZINC000816493748 1131100389 /nfs/dbraw/zinc/10/03/89/1131100389.db2.gz MCPAMSCRIQXWKH-UHFFFAOYSA-N 1 2 297.427 3.874 20 0 CHADLO Cc1sccc1C[N@@H+](C)Cc1ccn(-c2ccccc2)n1 ZINC000816493748 1131100392 /nfs/dbraw/zinc/10/03/92/1131100392.db2.gz MCPAMSCRIQXWKH-UHFFFAOYSA-N 1 2 297.427 3.874 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)N1Cc1c[nH+]cn1CC ZINC001237591964 1131117168 /nfs/dbraw/zinc/11/71/68/1131117168.db2.gz AWBQVEXKLLYRHU-WBVHZDCISA-N 1 2 293.455 3.666 20 0 CHADLO CCc1n[nH]cc1C[N@@H+]1CCC[C@@H]1c1c(F)cccc1F ZINC001237653715 1131126032 /nfs/dbraw/zinc/12/60/32/1131126032.db2.gz NKCWKPUROZWJGB-OAHLLOKOSA-N 1 2 291.345 3.587 20 0 CHADLO CCc1n[nH]cc1C[N@H+]1CCC[C@@H]1c1c(F)cccc1F ZINC001237653715 1131126035 /nfs/dbraw/zinc/12/60/35/1131126035.db2.gz NKCWKPUROZWJGB-OAHLLOKOSA-N 1 2 291.345 3.587 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+](C)CC(=O)c1ccccc1 ZINC001237735886 1131134832 /nfs/dbraw/zinc/13/48/32/1131134832.db2.gz SEUNFRCCTUYQDB-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+](C)CC(=O)c1ccccc1 ZINC001237735886 1131134834 /nfs/dbraw/zinc/13/48/34/1131134834.db2.gz SEUNFRCCTUYQDB-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO CCc1cc(C[NH+]2C[C@@H](C)O[C@H](C)C2)ccc1Cl ZINC001237739274 1131135999 /nfs/dbraw/zinc/13/59/99/1131135999.db2.gz SPCZNZUIUHLPJS-VXGBXAGGSA-N 1 2 267.800 3.512 20 0 CHADLO CCc1cc(C[N@@H+]2CCC[C@@H](F)C2)ccc1Cl ZINC001237739754 1131136208 /nfs/dbraw/zinc/13/62/08/1131136208.db2.gz BWSOJCFHAIHOMX-CYBMUJFWSA-N 1 2 255.764 3.836 20 0 CHADLO CCc1cc(C[N@H+]2CCC[C@@H](F)C2)ccc1Cl ZINC001237739754 1131136210 /nfs/dbraw/zinc/13/62/10/1131136210.db2.gz BWSOJCFHAIHOMX-CYBMUJFWSA-N 1 2 255.764 3.836 20 0 CHADLO Fc1ccc(Cl)c(F)c1-c1cccc2[nH+]ccn21 ZINC001245722425 1131136981 /nfs/dbraw/zinc/13/69/81/1131136981.db2.gz VILAYMAUTCKMQT-UHFFFAOYSA-N 1 2 264.662 3.933 20 0 CHADLO Cc1cc(NCCOc2ncccc2F)[nH+]c2ccccc12 ZINC001116337095 1131140686 /nfs/dbraw/zinc/14/06/86/1131140686.db2.gz LBCSHQXTQSDLTQ-UHFFFAOYSA-N 1 2 297.333 3.568 20 0 CHADLO COc1ccc(C2([NH2+]Cc3ncoc3C(C)C)CC2)cc1 ZINC001116470692 1131148828 /nfs/dbraw/zinc/14/88/28/1131148828.db2.gz RIGLCJBWXDFFDP-UHFFFAOYSA-N 1 2 286.375 3.586 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@H+](C/C(Cl)=C/Cl)C1 ZINC000817281848 1131150625 /nfs/dbraw/zinc/15/06/25/1131150625.db2.gz QWGZNRRXBZWHFS-CPJBWDMUSA-N 1 2 276.129 3.970 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@@H+](C/C(Cl)=C/Cl)C1 ZINC000817281848 1131150626 /nfs/dbraw/zinc/15/06/26/1131150626.db2.gz QWGZNRRXBZWHFS-CPJBWDMUSA-N 1 2 276.129 3.970 20 0 CHADLO C[N@H+](C/C(Cl)=C\Cl)C1CC(OC(C)(C)C)C1 ZINC000817286039 1131151680 /nfs/dbraw/zinc/15/16/80/1131151680.db2.gz GCHHRSIZZLITJM-VQHVLOKHSA-N 1 2 266.212 3.583 20 0 CHADLO C[N@@H+](C/C(Cl)=C\Cl)C1CC(OC(C)(C)C)C1 ZINC000817286039 1131151683 /nfs/dbraw/zinc/15/16/83/1131151683.db2.gz GCHHRSIZZLITJM-VQHVLOKHSA-N 1 2 266.212 3.583 20 0 CHADLO CC(C)n1ncc2c1[C@H]([NH2+][C@@H](C)c1cscn1)CCC2 ZINC001116604971 1131156791 /nfs/dbraw/zinc/15/67/91/1131156791.db2.gz ZQOIJERLZYNZBC-WCQYABFASA-N 1 2 290.436 3.649 20 0 CHADLO CC1(C)C[NH+](Cc2csc(-c3cccc(F)c3)n2)C1 ZINC001237973892 1131157330 /nfs/dbraw/zinc/15/73/30/1131157330.db2.gz CEWBBWQMGBOKAU-UHFFFAOYSA-N 1 2 276.380 3.791 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237990492 1131158635 /nfs/dbraw/zinc/15/86/35/1131158635.db2.gz OEFDJJOOHLQXLV-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237990492 1131158636 /nfs/dbraw/zinc/15/86/36/1131158636.db2.gz OEFDJJOOHLQXLV-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO Cc1cc(N2CCc3ncsc3C2)[nH+]c2ccccc12 ZINC001116714738 1131161113 /nfs/dbraw/zinc/16/11/13/1131161113.db2.gz YOIJRNQXVKQZND-UHFFFAOYSA-N 1 2 281.384 3.562 20 0 CHADLO CCn1cc(CNc2[nH+]c3ccccc3n2C(C)(C)C)cn1 ZINC001116709139 1131161787 /nfs/dbraw/zinc/16/17/87/1131161787.db2.gz MNHQEGASFFZERJ-UHFFFAOYSA-N 1 2 297.406 3.620 20 0 CHADLO Cc1cc(CNC(=O)c2ccccc2CC(C)C)cc(C)[nH+]1 ZINC000817458417 1131162609 /nfs/dbraw/zinc/16/26/09/1131162609.db2.gz HKNMGQKFWMMTKO-UHFFFAOYSA-N 1 2 296.414 3.827 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116927940 1131170584 /nfs/dbraw/zinc/17/05/84/1131170584.db2.gz FTNGWAZFCCAHET-VHSXEESVSA-N 1 2 259.802 3.573 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116927940 1131170588 /nfs/dbraw/zinc/17/05/88/1131170588.db2.gz FTNGWAZFCCAHET-VHSXEESVSA-N 1 2 259.802 3.573 20 0 CHADLO COc1cc([C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)ccn1 ZINC001117061344 1131171804 /nfs/dbraw/zinc/17/18/04/1131171804.db2.gz WRZQFTLDTRHAFP-CYBMUJFWSA-N 1 2 285.391 3.638 20 0 CHADLO CCCCC[N@H+](C)Cc1cc(Cl)c(O)cc1F ZINC001238142050 1131174077 /nfs/dbraw/zinc/17/40/77/1131174077.db2.gz BDWVKLWCPFBHGP-UHFFFAOYSA-N 1 2 259.752 3.807 20 0 CHADLO CCCCC[N@@H+](C)Cc1cc(Cl)c(O)cc1F ZINC001238142050 1131174083 /nfs/dbraw/zinc/17/40/83/1131174083.db2.gz BDWVKLWCPFBHGP-UHFFFAOYSA-N 1 2 259.752 3.807 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CC[C@H]3CCC[C@H]3C2)cc1Cl ZINC001238145070 1131174236 /nfs/dbraw/zinc/17/42/36/1131174236.db2.gz BWAOMUWNYHEKRV-MNOVXSKESA-N 1 2 283.774 3.807 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CC[C@H]3CCC[C@H]3C2)cc1Cl ZINC001238145070 1131174239 /nfs/dbraw/zinc/17/42/39/1131174239.db2.gz BWAOMUWNYHEKRV-MNOVXSKESA-N 1 2 283.774 3.807 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCC[C@@H](F)CC2)cc1Cl ZINC001238142499 1131175020 /nfs/dbraw/zinc/17/50/20/1131175020.db2.gz DYXOFQVHVQIFSU-SNVBAGLBSA-N 1 2 275.726 3.509 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCC[C@@H](F)CC2)cc1Cl ZINC001238142499 1131175024 /nfs/dbraw/zinc/17/50/24/1131175024.db2.gz DYXOFQVHVQIFSU-SNVBAGLBSA-N 1 2 275.726 3.509 20 0 CHADLO Cc1cc(CNC(=S)Nc2cccc(C)c2C)cc(C)[nH+]1 ZINC000817561948 1131175003 /nfs/dbraw/zinc/17/50/03/1131175003.db2.gz FAZFWWQKCICALY-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO CCc1ccccc1NC(=S)NCc1cc(C)[nH+]c(C)c1 ZINC000817562564 1131175425 /nfs/dbraw/zinc/17/54/25/1131175425.db2.gz MLBMSDRABYYOTK-UHFFFAOYSA-N 1 2 299.443 3.747 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cncc1Br)C(C)C ZINC001238390108 1131194279 /nfs/dbraw/zinc/19/42/79/1131194279.db2.gz IYZYKHYVFDUXCF-UHFFFAOYSA-N 1 2 291.620 3.728 20 0 CHADLO Fc1c(C[NH+]2CCC2)ccc(OCc2ccccc2)c1F ZINC001238348844 1131192792 /nfs/dbraw/zinc/19/27/92/1131192792.db2.gz XCQHQKZRENHUTD-UHFFFAOYSA-N 1 2 289.325 3.750 20 0 CHADLO CC[N@H+](Cc1c(Cl)cncc1Br)C(C)C ZINC001238390108 1131194276 /nfs/dbraw/zinc/19/42/76/1131194276.db2.gz IYZYKHYVFDUXCF-UHFFFAOYSA-N 1 2 291.620 3.728 20 0 CHADLO CCOc1c(Br)cc(C)cc1C[NH+]1CC(C)C1 ZINC001238394137 1131196051 /nfs/dbraw/zinc/19/60/51/1131196051.db2.gz NPXKBPIHQGZJIK-UHFFFAOYSA-N 1 2 298.224 3.608 20 0 CHADLO CC(C)Oc1ccc(C[N@H+](C)Cc2ccncc2)c(F)c1 ZINC001238444577 1131199947 /nfs/dbraw/zinc/19/99/47/1131199947.db2.gz OQQIVVDLIKIXKE-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+](C)Cc2ccncc2)c(F)c1 ZINC001238444577 1131199949 /nfs/dbraw/zinc/19/99/49/1131199949.db2.gz OQQIVVDLIKIXKE-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO COc1ccc(NCc2c[nH+]cn2C(C)C)c2cccnc12 ZINC000818194846 1131204662 /nfs/dbraw/zinc/20/46/62/1131204662.db2.gz QFLFABLLVGIMQZ-UHFFFAOYSA-N 1 2 296.374 3.633 20 0 CHADLO CC(C)Oc1cncc(C[N@H+](Cc2ccco2)C2CC2)c1 ZINC001238472488 1131205979 /nfs/dbraw/zinc/20/59/79/1131205979.db2.gz YKEMQONHNOBPGH-UHFFFAOYSA-N 1 2 286.375 3.626 20 0 CHADLO CC(C)Oc1cncc(C[N@@H+](Cc2ccco2)C2CC2)c1 ZINC001238472488 1131205984 /nfs/dbraw/zinc/20/59/84/1131205984.db2.gz YKEMQONHNOBPGH-UHFFFAOYSA-N 1 2 286.375 3.626 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(F)c(C2CC2)c1 ZINC001238512406 1131209970 /nfs/dbraw/zinc/20/99/70/1131209970.db2.gz SDRGRKCXZLKZSK-QGZVFWFLSA-N 1 2 275.367 3.647 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(F)c(C2CC2)c1 ZINC001238512406 1131209977 /nfs/dbraw/zinc/20/99/77/1131209977.db2.gz SDRGRKCXZLKZSK-QGZVFWFLSA-N 1 2 275.367 3.647 20 0 CHADLO Fc1ccc(C[NH+]2CC3(C2)CCCO3)cc1-c1ccccc1 ZINC001238561747 1131216350 /nfs/dbraw/zinc/21/63/50/1131216350.db2.gz PQUYSUQRRZDSQB-UHFFFAOYSA-N 1 2 297.373 3.858 20 0 CHADLO COc1cc(C)cc(F)c1C[N@H+](C)Cc1cccc(F)c1 ZINC001238583622 1131218244 /nfs/dbraw/zinc/21/82/44/1131218244.db2.gz KTXZUGKSXBXSKW-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cc(C)cc(F)c1C[N@@H+](C)Cc1cccc(F)c1 ZINC001238583622 1131218248 /nfs/dbraw/zinc/21/82/48/1131218248.db2.gz KTXZUGKSXBXSKW-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCCC(=O)[C@H](C)C1 ZINC001238673231 1131229610 /nfs/dbraw/zinc/22/96/10/1131229610.db2.gz WMOUOERHTLKGBQ-LLVKDONJSA-N 1 2 297.851 3.863 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCCC(=O)[C@H](C)C1 ZINC001238673231 1131229611 /nfs/dbraw/zinc/22/96/11/1131229611.db2.gz WMOUOERHTLKGBQ-LLVKDONJSA-N 1 2 297.851 3.863 20 0 CHADLO Clc1cc2c(nn1)C[N@H+](CC/C=C\c1ccccc1)CC2 ZINC000829185730 1131414184 /nfs/dbraw/zinc/41/41/84/1131414184.db2.gz XVXITXMOLQBHDW-YWEYNIOJSA-N 1 2 299.805 3.592 20 0 CHADLO Clc1cc2c(nn1)C[N@@H+](CC/C=C\c1ccccc1)CC2 ZINC000829185730 1131414185 /nfs/dbraw/zinc/41/41/85/1131414185.db2.gz XVXITXMOLQBHDW-YWEYNIOJSA-N 1 2 299.805 3.592 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C3(C)CC=CC3)cc2)[nH+]c1C ZINC000829388221 1131418621 /nfs/dbraw/zinc/41/86/21/1131418621.db2.gz OKSDKRBDJFBDKR-UHFFFAOYSA-N 1 2 295.386 3.988 20 0 CHADLO CC(=O)c1c(F)cccc1NCc1c[nH+]c(C)cc1C ZINC000829463646 1131420615 /nfs/dbraw/zinc/42/06/15/1131420615.db2.gz NXNRHWJJMSKOEN-UHFFFAOYSA-N 1 2 272.323 3.652 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)c(F)cc2F)CCC1(F)F ZINC001137886633 1131430090 /nfs/dbraw/zinc/43/00/90/1131430090.db2.gz OSKSDGZCKCXVOU-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)c(F)cc2F)CCC1(F)F ZINC001137886633 1131430094 /nfs/dbraw/zinc/43/00/94/1131430094.db2.gz OSKSDGZCKCXVOU-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO COc1cc(F)cc(CNc2ccc([NH+](C)C)c(C)c2)c1 ZINC000823534508 1131431163 /nfs/dbraw/zinc/43/11/63/1131431163.db2.gz RMJRWROQLXOKFP-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO Cc1cc2cc(N[C@H]3CCc4ccc(O)cc4C3)[nH+]cc2[nH]1 ZINC001171026902 1131439656 /nfs/dbraw/zinc/43/96/56/1131439656.db2.gz CXLRTMTXZURVKN-HNNXBMFYSA-N 1 2 293.370 3.546 20 0 CHADLO CC(C)Oc1cc(N[C@H]2CCc3ccc(O)cc3C2)cc[nH+]1 ZINC001171029224 1131440563 /nfs/dbraw/zinc/44/05/63/1131440563.db2.gz NPPVUCODAQHJEX-HNNXBMFYSA-N 1 2 298.386 3.544 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(Cl)c2F)cn1 ZINC001137963698 1131442720 /nfs/dbraw/zinc/44/27/20/1131442720.db2.gz VCNVOOHKVQMYQG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(Cl)c2F)cn1 ZINC001137963698 1131442724 /nfs/dbraw/zinc/44/27/24/1131442724.db2.gz VCNVOOHKVQMYQG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2ccc3ncccc3c2)C1 ZINC001138104485 1131464053 /nfs/dbraw/zinc/46/40/53/1131464053.db2.gz XAIIGQJSSMQXGX-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2ccc3ncccc3c2)C1 ZINC001138104485 1131464057 /nfs/dbraw/zinc/46/40/57/1131464057.db2.gz XAIIGQJSSMQXGX-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO CC(=O)c1ccc([C@H](C)Nc2ccc(N(C)C)c[nH+]2)cc1 ZINC001171055326 1131468622 /nfs/dbraw/zinc/46/86/22/1131468622.db2.gz GBCYCXHMYVMNEQ-LBPRGKRZSA-N 1 2 283.375 3.523 20 0 CHADLO FC1(F)C[C@H]1[NH2+][C@@H]1CCc2cc(Cl)cc(Cl)c2C1 ZINC001171057508 1131470700 /nfs/dbraw/zinc/47/07/00/1131470700.db2.gz CETGVIZNHNYHDT-BXKDBHETSA-N 1 2 292.156 3.848 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)OC3(CCCC3)C2)c(Cl)n1 ZINC000830859792 1131470783 /nfs/dbraw/zinc/47/07/83/1131470783.db2.gz ITBFZSPKJCXBSG-CYBMUJFWSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)OC3(CCCC3)C2)c(Cl)n1 ZINC000830859792 1131470787 /nfs/dbraw/zinc/47/07/87/1131470787.db2.gz ITBFZSPKJCXBSG-CYBMUJFWSA-N 1 2 294.826 3.577 20 0 CHADLO Clc1ccc(C[N@@H+]2CCSc3ccccc3C2)nc1 ZINC001136932784 1131479949 /nfs/dbraw/zinc/47/99/49/1131479949.db2.gz VCUQLZSPKAGQIP-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1ccc(C[N@H+]2CCSc3ccccc3C2)nc1 ZINC001136932784 1131479951 /nfs/dbraw/zinc/47/99/51/1131479951.db2.gz VCUQLZSPKAGQIP-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO CCc1nc(C[NH2+]Cc2cnc(-c3ccccc3)s2)co1 ZINC000825050925 1131496047 /nfs/dbraw/zinc/49/60/47/1131496047.db2.gz TXORZMCIFUGWEF-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO Cc1c2ccccc2[nH]c1C[NH+]1CC(Oc2ccccc2)C1 ZINC001138401528 1131499443 /nfs/dbraw/zinc/49/94/43/1131499443.db2.gz IEQVUILLMUFCLV-UHFFFAOYSA-N 1 2 292.382 3.740 20 0 CHADLO COc1c(F)cc(C[N@@H+]2CC[C@@H]2c2ccccc2)cc1F ZINC001138425197 1131503981 /nfs/dbraw/zinc/50/39/81/1131503981.db2.gz MRLQBXCCNRHVPG-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1c(F)cc(C[N@H+]2CC[C@@H]2c2ccccc2)cc1F ZINC001138425197 1131503985 /nfs/dbraw/zinc/50/39/85/1131503985.db2.gz MRLQBXCCNRHVPG-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1c(F)cc(C[N@@H+]2CC[C@H]2c2ccccc2)cc1F ZINC001138425194 1131504173 /nfs/dbraw/zinc/50/41/73/1131504173.db2.gz MRLQBXCCNRHVPG-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1c(F)cc(C[N@H+]2CC[C@H]2c2ccccc2)cc1F ZINC001138425194 1131504176 /nfs/dbraw/zinc/50/41/76/1131504176.db2.gz MRLQBXCCNRHVPG-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1c(Cl)cc(C[N@@H+]2CC[C@@H]2C)cc1Cl ZINC001138473046 1131510186 /nfs/dbraw/zinc/51/01/86/1131510186.db2.gz NKACEIQFJKBBOE-QMMMGPOBSA-N 1 2 260.164 3.596 20 0 CHADLO COc1c(Cl)cc(C[N@H+]2CC[C@@H]2C)cc1Cl ZINC001138473046 1131510188 /nfs/dbraw/zinc/51/01/88/1131510188.db2.gz NKACEIQFJKBBOE-QMMMGPOBSA-N 1 2 260.164 3.596 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2cc(C)cc(C)c2)[nH+]1 ZINC001202837698 1131516624 /nfs/dbraw/zinc/51/66/24/1131516624.db2.gz OJRWXTCEKXEIHH-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cc(C)ccc1C(F)(F)F ZINC001143680391 1131523274 /nfs/dbraw/zinc/52/32/74/1131523274.db2.gz ACOHSDPMFWSBGC-OAHLLOKOSA-N 1 2 299.336 3.957 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cc(C)ccc1C(F)(F)F ZINC001143680391 1131523277 /nfs/dbraw/zinc/52/32/77/1131523277.db2.gz ACOHSDPMFWSBGC-OAHLLOKOSA-N 1 2 299.336 3.957 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC001143671041 1131523943 /nfs/dbraw/zinc/52/39/43/1131523943.db2.gz VWTDVRWVFGYUHP-TXEJJXNPSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC001143671041 1131523947 /nfs/dbraw/zinc/52/39/47/1131523947.db2.gz VWTDVRWVFGYUHP-TXEJJXNPSA-N 1 2 287.325 3.623 20 0 CHADLO COc1ccc2c(C[N@@H+]3CCn4cccc4[C@H]3C)c[nH]c2c1 ZINC001138590341 1131524683 /nfs/dbraw/zinc/52/46/83/1131524683.db2.gz PWHNISDYSPGRIN-CYBMUJFWSA-N 1 2 295.386 3.555 20 0 CHADLO COc1ccc2c(C[N@H+]3CCn4cccc4[C@H]3C)c[nH]c2c1 ZINC001138590341 1131524689 /nfs/dbraw/zinc/52/46/89/1131524689.db2.gz PWHNISDYSPGRIN-CYBMUJFWSA-N 1 2 295.386 3.555 20 0 CHADLO CCCC[C@@H]1CCC[C@@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001143703721 1131525626 /nfs/dbraw/zinc/52/56/26/1131525626.db2.gz RGUJVTZDRWDKND-OCCSQVGLSA-N 1 2 285.391 3.652 20 0 CHADLO CCOc1c(F)cc(C[NH+]2CC(C)(C)C2)cc1Cl ZINC001143734543 1131526487 /nfs/dbraw/zinc/52/64/87/1131526487.db2.gz RCLOHLXIORFGNZ-UHFFFAOYSA-N 1 2 271.763 3.720 20 0 CHADLO CCSc1ncc(C[N@@H+]2CC[C@@H]2c2ccccc2)cn1 ZINC001138656271 1131533011 /nfs/dbraw/zinc/53/30/11/1131533011.db2.gz AIHNQVMTTDYRME-OAHLLOKOSA-N 1 2 285.416 3.536 20 0 CHADLO CCSc1ncc(C[N@H+]2CC[C@@H]2c2ccccc2)cn1 ZINC001138656271 1131533016 /nfs/dbraw/zinc/53/30/16/1131533016.db2.gz AIHNQVMTTDYRME-OAHLLOKOSA-N 1 2 285.416 3.536 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2cc(F)ccc2Cl)C1 ZINC001138672344 1131534753 /nfs/dbraw/zinc/53/47/53/1131534753.db2.gz ZONFXGITQGVGCY-CABZTGNLSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2cc(F)ccc2Cl)C1 ZINC001138672344 1131534757 /nfs/dbraw/zinc/53/47/57/1131534757.db2.gz ZONFXGITQGVGCY-CABZTGNLSA-N 1 2 259.727 3.659 20 0 CHADLO CC[C@@H](C)[N@H+](C)Cc1c(F)ccc(F)c1Br ZINC001143812624 1131535964 /nfs/dbraw/zinc/53/59/64/1131535964.db2.gz CNWNWJNWRLCMMA-MRVPVSSYSA-N 1 2 292.167 3.958 20 0 CHADLO CC[C@@H](C)[N@@H+](C)Cc1c(F)ccc(F)c1Br ZINC001143812624 1131535969 /nfs/dbraw/zinc/53/59/69/1131535969.db2.gz CNWNWJNWRLCMMA-MRVPVSSYSA-N 1 2 292.167 3.958 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1c(C)cccc1Cl ZINC001138721631 1131541811 /nfs/dbraw/zinc/54/18/11/1131541811.db2.gz BTLBVHCCVKNEPZ-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1c(C)cccc1Cl ZINC001138721631 1131541812 /nfs/dbraw/zinc/54/18/12/1131541812.db2.gz BTLBVHCCVKNEPZ-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO C[N@H+](Cc1c(F)ccc(Cl)c1Cl)[C@H]1CCCOC1 ZINC001143868041 1131543150 /nfs/dbraw/zinc/54/31/50/1131543150.db2.gz NYQVFICONZSSHR-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(Cl)c1Cl)[C@H]1CCCOC1 ZINC001143868041 1131543153 /nfs/dbraw/zinc/54/31/53/1131543153.db2.gz NYQVFICONZSSHR-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO C[C@@H](CC(=O)Oc1cccc(C(C)(C)C)c1)n1cc[nH+]c1 ZINC000844289047 1131547225 /nfs/dbraw/zinc/54/72/25/1131547225.db2.gz ZCZUHWZITUJKBK-ZDUSSCGKSA-N 1 2 286.375 3.737 20 0 CHADLO FC1(C2CC2)C[NH+]([C@H]2CCc3cc(Cl)ccc3C2)C1 ZINC001171116057 1131547244 /nfs/dbraw/zinc/54/72/44/1131547244.db2.gz JVIINHWVGRQPHO-HNNXBMFYSA-N 1 2 279.786 3.631 20 0 CHADLO CCSc1ccccc1OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000844394223 1131556347 /nfs/dbraw/zinc/55/63/47/1131556347.db2.gz QCVKCHHNGOMSRU-LBPRGKRZSA-N 1 2 290.388 3.552 20 0 CHADLO FC1(F)[C@H]2C[N@H+](Cc3c(Cl)cccc3Cl)C[C@H]21 ZINC000844450768 1131562063 /nfs/dbraw/zinc/56/20/63/1131562063.db2.gz IVPDYADTSVPBRK-DTORHVGOSA-N 1 2 278.129 3.690 20 0 CHADLO FC1(F)[C@H]2C[N@@H+](Cc3c(Cl)cccc3Cl)C[C@H]21 ZINC000844450768 1131562069 /nfs/dbraw/zinc/56/20/69/1131562069.db2.gz IVPDYADTSVPBRK-DTORHVGOSA-N 1 2 278.129 3.690 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC[C@]3(CC3(F)F)C2)no1 ZINC000844441837 1131566189 /nfs/dbraw/zinc/56/61/89/1131566189.db2.gz UPQAPIYPLOLMGR-AWEZNQCLSA-N 1 2 284.350 3.593 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC[C@]3(CC3(F)F)C2)no1 ZINC000844441837 1131566193 /nfs/dbraw/zinc/56/61/93/1131566193.db2.gz UPQAPIYPLOLMGR-AWEZNQCLSA-N 1 2 284.350 3.593 20 0 CHADLO CCCCc1ccc(OC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000844445315 1131566536 /nfs/dbraw/zinc/56/65/36/1131566536.db2.gz DMZBBIILBXZCCS-CQSZACIVSA-N 1 2 286.375 3.782 20 0 CHADLO Cc1cc(COC(=O)C[C@H](C)n2cc[nH+]c2)ccc1Cl ZINC000844478444 1131567116 /nfs/dbraw/zinc/56/71/16/1131567116.db2.gz ZLYOXYZOKFMYTF-LBPRGKRZSA-N 1 2 292.766 3.539 20 0 CHADLO C[C@@H](CC(=O)Oc1ccc(C2CCC2)cc1)n1cc[nH+]c1 ZINC000844481119 1131567404 /nfs/dbraw/zinc/56/74/04/1131567404.db2.gz NLRQHPYGSXJUOY-ZDUSSCGKSA-N 1 2 284.359 3.707 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cccc(OC(C)C)c1)C2 ZINC001138986320 1131569020 /nfs/dbraw/zinc/56/90/20/1131569020.db2.gz JBSOLUURPWAQGH-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cccc(OC(C)C)c1)C2 ZINC001138986320 1131569023 /nfs/dbraw/zinc/56/90/23/1131569023.db2.gz JBSOLUURPWAQGH-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1ccc(-c2cccs2)s1 ZINC001139017389 1131571916 /nfs/dbraw/zinc/57/19/16/1131571916.db2.gz ONJCGUOHTYDCFF-LLVKDONJSA-N 1 2 279.430 3.697 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1ccc(-c2cccs2)s1 ZINC001139017389 1131571919 /nfs/dbraw/zinc/57/19/19/1131571919.db2.gz ONJCGUOHTYDCFF-LLVKDONJSA-N 1 2 279.430 3.697 20 0 CHADLO COC1(C)CC[NH+](Cc2c(F)cc(Cl)cc2F)CC1 ZINC001139047900 1131575515 /nfs/dbraw/zinc/57/55/15/1131575515.db2.gz UFNRMSWSVNRZAP-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cccc3c2ccn3C)CC1(F)F ZINC001139158035 1131588058 /nfs/dbraw/zinc/58/80/58/1131588058.db2.gz XUZKEOKTLUGTAM-GFCCVEGCSA-N 1 2 278.346 3.655 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cccc3c2ccn3C)CC1(F)F ZINC001139158035 1131588061 /nfs/dbraw/zinc/58/80/61/1131588061.db2.gz XUZKEOKTLUGTAM-GFCCVEGCSA-N 1 2 278.346 3.655 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2cc(Cl)c(F)cc2F)[C@@H](C)C1 ZINC001144137926 1131590069 /nfs/dbraw/zinc/59/00/69/1131590069.db2.gz MOFJLZVSYVETGA-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2cc(Cl)c(F)cc2F)[C@@H](C)C1 ZINC001144137926 1131590072 /nfs/dbraw/zinc/59/00/72/1131590072.db2.gz MOFJLZVSYVETGA-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO CSCC[N@H+](C)Cc1[nH]c(-c2ccccc2)nc1Cl ZINC001139285594 1131600787 /nfs/dbraw/zinc/60/07/87/1131600787.db2.gz XCBVYJVSIQAJQR-UHFFFAOYSA-N 1 2 295.839 3.525 20 0 CHADLO CSCC[N@@H+](C)Cc1[nH]c(-c2ccccc2)nc1Cl ZINC001139285594 1131600789 /nfs/dbraw/zinc/60/07/89/1131600789.db2.gz XCBVYJVSIQAJQR-UHFFFAOYSA-N 1 2 295.839 3.525 20 0 CHADLO C[N@H+](CCC1CC1)Cc1c(Cl)ccnc1Cl ZINC001139480535 1131610637 /nfs/dbraw/zinc/61/06/37/1131610637.db2.gz HIPIENOOTMOMQC-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO C[N@@H+](CCC1CC1)Cc1c(Cl)ccnc1Cl ZINC001139480535 1131610640 /nfs/dbraw/zinc/61/06/40/1131610640.db2.gz HIPIENOOTMOMQC-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(-c3ccccc3)nc2)CCC1=O ZINC001139518734 1131612831 /nfs/dbraw/zinc/61/28/31/1131612831.db2.gz HTLAUIVNGWPCPJ-UHFFFAOYSA-N 1 2 294.398 3.550 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(-c3ccccc3)nc2)CCC1=O ZINC001139518734 1131612832 /nfs/dbraw/zinc/61/28/32/1131612832.db2.gz HTLAUIVNGWPCPJ-UHFFFAOYSA-N 1 2 294.398 3.550 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2cccc3[nH+]ccn32)c(C)c1 ZINC001202948265 1131618028 /nfs/dbraw/zinc/61/80/28/1131618028.db2.gz DTFYPAMBZIHURQ-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO CCCCCC[C@@H](C)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845448021 1131635254 /nfs/dbraw/zinc/63/52/54/1131635254.db2.gz AHZDOTKQKRDJNS-ZIAGYGMSSA-N 1 2 266.385 3.736 20 0 CHADLO C[C@@H](CC(=O)OCC(C)(C)C1CCCC1)n1cc[nH+]c1 ZINC000845498432 1131637770 /nfs/dbraw/zinc/63/77/70/1131637770.db2.gz DTKHAQGPAKJGSZ-ZDUSSCGKSA-N 1 2 278.396 3.594 20 0 CHADLO Clc1ncc2c(n1)CC[N@@H+](Cc1ccccc1C1CC1)C2 ZINC001139882844 1131645741 /nfs/dbraw/zinc/64/57/41/1131645741.db2.gz YESGFUQEWNGMEJ-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO Clc1ncc2c(n1)CC[N@H+](Cc1ccccc1C1CC1)C2 ZINC001139882844 1131645749 /nfs/dbraw/zinc/64/57/49/1131645749.db2.gz YESGFUQEWNGMEJ-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+](C)Cc1ccon1 ZINC001139886388 1131646231 /nfs/dbraw/zinc/64/62/31/1131646231.db2.gz FQQTWTAXEQESNJ-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+](C)Cc1ccon1 ZINC001139886388 1131646235 /nfs/dbraw/zinc/64/62/35/1131646235.db2.gz FQQTWTAXEQESNJ-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cc(NCC[C@@]2(C)C[C@@]2(F)Cl)nc(C2CC2)[nH+]1 ZINC000845648524 1131649552 /nfs/dbraw/zinc/64/95/52/1131649552.db2.gz BVPSPCOMNUSXAA-KBPBESRZSA-N 1 2 283.778 3.779 20 0 CHADLO C[N@H+](CC/C=C/c1cccnc1)Cc1csc(Cl)n1 ZINC001139979546 1131653593 /nfs/dbraw/zinc/65/35/93/1131653593.db2.gz QLHJIGVPFHISSF-GORDUTHDSA-N 1 2 293.823 3.727 20 0 CHADLO C[N@@H+](CC/C=C/c1cccnc1)Cc1csc(Cl)n1 ZINC001139979546 1131653596 /nfs/dbraw/zinc/65/35/96/1131653596.db2.gz QLHJIGVPFHISSF-GORDUTHDSA-N 1 2 293.823 3.727 20 0 CHADLO F[C@H]1C[N@H+](Cc2cc3ccccc3cn2)CCCC1(F)F ZINC001139996067 1131654667 /nfs/dbraw/zinc/65/46/67/1131654667.db2.gz WOVISVVZWNRSQI-HNNXBMFYSA-N 1 2 294.320 3.804 20 0 CHADLO F[C@H]1C[N@@H+](Cc2cc3ccccc3cn2)CCCC1(F)F ZINC001139996067 1131654670 /nfs/dbraw/zinc/65/46/70/1131654670.db2.gz WOVISVVZWNRSQI-HNNXBMFYSA-N 1 2 294.320 3.804 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cc3ccccc3cn2)C[C@H]1F ZINC001139994546 1131655050 /nfs/dbraw/zinc/65/50/50/1131655050.db2.gz AMYNGSYHCACVSL-HZPDHXFCSA-N 1 2 276.330 3.507 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cc3ccccc3cn2)C[C@H]1F ZINC001139994546 1131655051 /nfs/dbraw/zinc/65/50/51/1131655051.db2.gz AMYNGSYHCACVSL-HZPDHXFCSA-N 1 2 276.330 3.507 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCC[C@@]3(CC3(F)F)C2)c1 ZINC000845743993 1131655425 /nfs/dbraw/zinc/65/54/25/1131655425.db2.gz PZRJHLVCLMLNFX-OAHLLOKOSA-N 1 2 292.304 4.000 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc3ccccc3cn1)C2 ZINC001140001681 1131656496 /nfs/dbraw/zinc/65/64/96/1131656496.db2.gz XAEJADUSPSXSQS-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc3ccccc3cn1)C2 ZINC001140001681 1131656498 /nfs/dbraw/zinc/65/64/98/1131656498.db2.gz XAEJADUSPSXSQS-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO C[N@H+](Cc1c(F)cc(O)cc1F)[C@H]1CCc2ccccc21 ZINC001144567288 1131660727 /nfs/dbraw/zinc/66/07/27/1131660727.db2.gz HHZPCMSDXHNTBH-KRWDZBQOSA-N 1 2 289.325 3.790 20 0 CHADLO C[N@@H+](Cc1c(F)cc(O)cc1F)[C@H]1CCc2ccccc21 ZINC001144567288 1131660729 /nfs/dbraw/zinc/66/07/29/1131660729.db2.gz HHZPCMSDXHNTBH-KRWDZBQOSA-N 1 2 289.325 3.790 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2C)cc1OC(C)C ZINC001203013804 1131679802 /nfs/dbraw/zinc/67/98/02/1131679802.db2.gz UWGXZTDUVPOCNC-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO Cc1ccccc1C1([NH2+][C@@H]2CCCc3[nH]ncc32)CCC1 ZINC000846171858 1131693788 /nfs/dbraw/zinc/69/37/88/1131693788.db2.gz IJEBTMSSZCHQGV-MRXNPFEDSA-N 1 2 281.403 3.765 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1cc(F)c(F)cc1Cl ZINC001144722166 1131694846 /nfs/dbraw/zinc/69/48/46/1131694846.db2.gz PYPKKEUTDWJJJS-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1cc(F)c(F)cc1Cl ZINC001144722166 1131694848 /nfs/dbraw/zinc/69/48/48/1131694848.db2.gz PYPKKEUTDWJJJS-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCOc1cccc([C@H](C)Nc2cc(COC)cc[nH+]2)c1 ZINC000846210967 1131695908 /nfs/dbraw/zinc/69/59/08/1131695908.db2.gz CNKGTFKLPMBINN-ZDUSSCGKSA-N 1 2 286.375 3.800 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc3scnc3c2)no1 ZINC001144732399 1131697218 /nfs/dbraw/zinc/69/72/18/1131697218.db2.gz DDWLSOXDRQSMLA-OAHLLOKOSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc3scnc3c2)no1 ZINC001144732399 1131697220 /nfs/dbraw/zinc/69/72/20/1131697220.db2.gz DDWLSOXDRQSMLA-OAHLLOKOSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1ccc2n[nH]c(C[N@@H+]3Cc4cccc(C)c4C3)c2c1 ZINC001140328993 1131699016 /nfs/dbraw/zinc/69/90/16/1131699016.db2.gz NUKBVBGOODWCLB-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO Cc1ccc2n[nH]c(C[N@H+]3Cc4cccc(C)c4C3)c2c1 ZINC001140328993 1131699019 /nfs/dbraw/zinc/69/90/19/1131699019.db2.gz NUKBVBGOODWCLB-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO Cc1ccc2[nH]nc(C[N@@H+]3Cc4cccc(C)c4C3)c2c1 ZINC001140328993 1131699021 /nfs/dbraw/zinc/69/90/21/1131699021.db2.gz NUKBVBGOODWCLB-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO Cc1ccc2[nH]nc(C[N@H+]3Cc4cccc(C)c4C3)c2c1 ZINC001140328993 1131699024 /nfs/dbraw/zinc/69/90/24/1131699024.db2.gz NUKBVBGOODWCLB-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2n[nH]c3ccc(C)cc32)cc1 ZINC001140330503 1131699891 /nfs/dbraw/zinc/69/98/91/1131699891.db2.gz CPHVOOLKBPKLOV-UHFFFAOYSA-N 1 2 279.387 3.812 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2n[nH]c3ccc(C)cc32)cc1 ZINC001140330503 1131699895 /nfs/dbraw/zinc/69/98/95/1131699895.db2.gz CPHVOOLKBPKLOV-UHFFFAOYSA-N 1 2 279.387 3.812 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(Cl)s2)co1 ZINC000834901291 1131725025 /nfs/dbraw/zinc/72/50/25/1131725025.db2.gz RVCUNHUAYXKPBG-QMMMGPOBSA-N 1 2 270.785 3.803 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2nccc3ccccc32)co1 ZINC000834900869 1131725504 /nfs/dbraw/zinc/72/55/04/1131725504.db2.gz WRFXZLCUBKWMMA-LBPRGKRZSA-N 1 2 281.359 3.636 20 0 CHADLO CS[C@H]1CCC[C@@H]([NH2+]c2ccc(N(C)C)cc2)C1 ZINC000846750165 1131729098 /nfs/dbraw/zinc/72/90/98/1131729098.db2.gz NPRMBBXLHBZLIN-HIFRSBDPSA-N 1 2 264.438 3.839 20 0 CHADLO CS[C@H]1CCC[C@@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000846750165 1131729104 /nfs/dbraw/zinc/72/91/04/1131729104.db2.gz NPRMBBXLHBZLIN-HIFRSBDPSA-N 1 2 264.438 3.839 20 0 CHADLO CCC[N@H+](Cc1cnsn1)[C@@H](CC)c1ccccc1 ZINC000846784763 1131734284 /nfs/dbraw/zinc/73/42/84/1131734284.db2.gz UUIWEJDPUXDJIE-HNNXBMFYSA-N 1 2 275.421 3.901 20 0 CHADLO CCC[N@@H+](Cc1cnsn1)[C@@H](CC)c1ccccc1 ZINC000846784763 1131734286 /nfs/dbraw/zinc/73/42/86/1131734286.db2.gz UUIWEJDPUXDJIE-HNNXBMFYSA-N 1 2 275.421 3.901 20 0 CHADLO CCCC[N@H+](Cc1cnsn1)[C@@H](C)c1ccccc1 ZINC000846785952 1131736037 /nfs/dbraw/zinc/73/60/37/1131736037.db2.gz MFOHCWNVPONQEA-ZDUSSCGKSA-N 1 2 275.421 3.901 20 0 CHADLO CCCC[N@@H+](Cc1cnsn1)[C@@H](C)c1ccccc1 ZINC000846785952 1131736043 /nfs/dbraw/zinc/73/60/43/1131736043.db2.gz MFOHCWNVPONQEA-ZDUSSCGKSA-N 1 2 275.421 3.901 20 0 CHADLO Cc1ccc(Cl)nc1C[N@@H+]1CCc2sccc2C1 ZINC001140442998 1131739158 /nfs/dbraw/zinc/73/91/58/1131739158.db2.gz WONGZKBBRQBZGQ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(Cl)nc1C[N@H+]1CCc2sccc2C1 ZINC001140442998 1131739164 /nfs/dbraw/zinc/73/91/64/1131739164.db2.gz WONGZKBBRQBZGQ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2cnsn2)cc1 ZINC000846844068 1131748624 /nfs/dbraw/zinc/74/86/24/1131748624.db2.gz LEACAYNXEUMELJ-JTQLQIEISA-N 1 2 279.434 3.501 20 0 CHADLO c1nsnc1C[NH2+][C@H](CC1CCC1)c1ccccc1 ZINC000846846546 1131751073 /nfs/dbraw/zinc/75/10/73/1131751073.db2.gz AVYVVDSLZAUYMS-OAHLLOKOSA-N 1 2 273.405 3.559 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1cnc(Cl)c(F)c1 ZINC000846884058 1131755255 /nfs/dbraw/zinc/75/52/55/1131755255.db2.gz FQMFWRYONXIIFM-IONNQARKSA-N 1 2 296.695 3.647 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1cnc(Cl)c(F)c1 ZINC000846884058 1131755258 /nfs/dbraw/zinc/75/52/58/1131755258.db2.gz FQMFWRYONXIIFM-IONNQARKSA-N 1 2 296.695 3.647 20 0 CHADLO COc1cc(F)cc(C[N@H+](C)Cc2ccc(F)cc2F)c1 ZINC001140484874 1131761156 /nfs/dbraw/zinc/76/11/56/1131761156.db2.gz XRISXNBYROJUDI-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cc(F)cc(C[N@@H+](C)Cc2ccc(F)cc2F)c1 ZINC001140484874 1131761160 /nfs/dbraw/zinc/76/11/60/1131761160.db2.gz XRISXNBYROJUDI-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO CCOc1cc(C)c(Nc2c[nH+]c(C)cc2C)cn1 ZINC001203042365 1131766046 /nfs/dbraw/zinc/76/60/46/1131766046.db2.gz YAMKLICUBSMVQP-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO CC(C)CCCOC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835084719 1131769822 /nfs/dbraw/zinc/76/98/22/1131769822.db2.gz QMFRCBKNIGYABL-UHFFFAOYSA-N 1 2 286.375 3.524 20 0 CHADLO C[N@H+](CCOc1ccccc1)Cc1c(F)cc(F)cc1F ZINC001140533023 1131781627 /nfs/dbraw/zinc/78/16/27/1131781627.db2.gz RGNVASGNHXJUJM-UHFFFAOYSA-N 1 2 295.304 3.615 20 0 CHADLO C[N@@H+](CCOc1ccccc1)Cc1c(F)cc(F)cc1F ZINC001140533023 1131781630 /nfs/dbraw/zinc/78/16/30/1131781630.db2.gz RGNVASGNHXJUJM-UHFFFAOYSA-N 1 2 295.304 3.615 20 0 CHADLO CCOc1cc(C)c(Nc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001203046525 1131789926 /nfs/dbraw/zinc/78/99/26/1131789926.db2.gz ZYQKACZDXJXNPH-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2cccc(F)c2Cl)C1 ZINC001140560182 1131792940 /nfs/dbraw/zinc/79/29/40/1131792940.db2.gz PQUGDMLPRGJYHM-ONGXEEELSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2cccc(F)c2Cl)C1 ZINC001140560182 1131792945 /nfs/dbraw/zinc/79/29/45/1131792945.db2.gz PQUGDMLPRGJYHM-ONGXEEELSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cc(Cl)ccc1C(F)(F)F ZINC001140565223 1131795787 /nfs/dbraw/zinc/79/57/87/1131795787.db2.gz MOKCCHGJOKALSW-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cc(Cl)ccc1C(F)(F)F ZINC001140565223 1131795790 /nfs/dbraw/zinc/79/57/90/1131795790.db2.gz MOKCCHGJOKALSW-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2csc(C(C)C)n2)ccc1O ZINC001140577593 1131804328 /nfs/dbraw/zinc/80/43/28/1131804328.db2.gz JUQKWMJBQCJXAU-UHFFFAOYSA-N 1 2 290.432 3.913 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2csc(C(C)C)n2)ccc1O ZINC001140577593 1131804334 /nfs/dbraw/zinc/80/43/34/1131804334.db2.gz JUQKWMJBQCJXAU-UHFFFAOYSA-N 1 2 290.432 3.913 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc(F)ccc1C)C2 ZINC001140592841 1131814856 /nfs/dbraw/zinc/81/48/56/1131814856.db2.gz GTSXLGPYCWRPPC-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc(F)ccc1C)C2 ZINC001140592841 1131814862 /nfs/dbraw/zinc/81/48/62/1131814862.db2.gz GTSXLGPYCWRPPC-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(Cl)c(OC)c2)C[C@H](CC)O1 ZINC001171324072 1131847751 /nfs/dbraw/zinc/84/77/51/1131847751.db2.gz XAGGBKWRCZHYDX-OKILXGFUSA-N 1 2 297.826 3.738 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(Cl)c(OC)c2)C[C@H](CC)O1 ZINC001171324072 1131847759 /nfs/dbraw/zinc/84/77/59/1131847759.db2.gz XAGGBKWRCZHYDX-OKILXGFUSA-N 1 2 297.826 3.738 20 0 CHADLO CCCC(=O)[C@H](CCC)Oc1ccc2[nH]c(C)[nH+]c2c1 ZINC001228325620 1131858860 /nfs/dbraw/zinc/85/88/60/1131858860.db2.gz BPJNLGOHRCNROW-INIZCTEOSA-N 1 2 274.364 3.788 20 0 CHADLO Cc1[nH]c2ccc(OCc3cncc(OC(C)C)c3)cc2[nH+]1 ZINC001228328150 1131859042 /nfs/dbraw/zinc/85/90/42/1131859042.db2.gz AYFXNWWFSYSISA-UHFFFAOYSA-N 1 2 297.358 3.633 20 0 CHADLO Cc1[nH]c2ccc(OCc3ccc(OC(C)C)nc3)cc2[nH+]1 ZINC001228326693 1131860293 /nfs/dbraw/zinc/86/02/93/1131860293.db2.gz KQVQTMOYBMGRDB-UHFFFAOYSA-N 1 2 297.358 3.633 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2cccc(OC(F)F)c2)no1 ZINC000282790248 1131900839 /nfs/dbraw/zinc/90/08/39/1131900839.db2.gz QDVWPGBYPDLRKE-QWRGUYRKSA-N 1 2 296.317 3.996 20 0 CHADLO C[N@@H+](Cc1cc(O)cc(Cl)c1)Cc1ccccc1F ZINC001140794756 1131922854 /nfs/dbraw/zinc/92/28/54/1131922854.db2.gz YADLVEKGYMIZAN-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@H+](Cc1cc(O)cc(Cl)c1)Cc1ccccc1F ZINC001140794756 1131922848 /nfs/dbraw/zinc/92/28/48/1131922848.db2.gz YADLVEKGYMIZAN-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+]Cc1nc(C2CC2)cs1 ZINC000773053539 1131931262 /nfs/dbraw/zinc/93/12/62/1131931262.db2.gz RDNMMWALVKTYLM-VIFPVBQESA-N 1 2 291.420 3.730 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(Cl)c(Cl)c2)[nH]n1 ZINC001203072333 1131949370 /nfs/dbraw/zinc/94/93/70/1131949370.db2.gz QMMYCEYURXPLHV-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(Cl)c(Cl)c2)[nH]n1 ZINC001203072333 1131949375 /nfs/dbraw/zinc/94/93/75/1131949375.db2.gz QMMYCEYURXPLHV-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ccc(O)c(F)c1F)C2 ZINC001140895199 1131957977 /nfs/dbraw/zinc/95/79/77/1131957977.db2.gz KZSIBRSHGCYBKJ-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ccc(O)c(F)c1F)C2 ZINC001140895199 1131957982 /nfs/dbraw/zinc/95/79/82/1131957982.db2.gz KZSIBRSHGCYBKJ-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@@H+]2Cc2cnco2)s1 ZINC001140932181 1131964900 /nfs/dbraw/zinc/96/49/00/1131964900.db2.gz DJCIVCSKXFWZAE-JTQLQIEISA-N 1 2 268.769 3.727 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@H+]2Cc2cnco2)s1 ZINC001140932181 1131964903 /nfs/dbraw/zinc/96/49/03/1131964903.db2.gz DJCIVCSKXFWZAE-JTQLQIEISA-N 1 2 268.769 3.727 20 0 CHADLO c1cc2ncc(OC3C[NH+](Cc4ccccc4)C3)cc2s1 ZINC001228895550 1131974240 /nfs/dbraw/zinc/97/42/40/1131974240.db2.gz PJOIODSAPATQLM-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cc(F)c(O)c(F)c1)CC2 ZINC001140988174 1131979682 /nfs/dbraw/zinc/97/96/82/1131979682.db2.gz QMQWWAGCKDEEQR-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cc(F)c(O)c(F)c1)CC2 ZINC001140988174 1131979689 /nfs/dbraw/zinc/97/96/89/1131979689.db2.gz QMQWWAGCKDEEQR-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1cc(F)c(O)c(F)c1)C2 ZINC001140987957 1131980063 /nfs/dbraw/zinc/98/00/63/1131980063.db2.gz IGQJYJXHDPQHKI-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1cc(F)c(O)c(F)c1)C2 ZINC001140987957 1131980068 /nfs/dbraw/zinc/98/00/68/1131980068.db2.gz IGQJYJXHDPQHKI-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO c1csc(-c2ncc(C[NH2+]Cc3nccs3)s2)c1 ZINC000083451497 1131983349 /nfs/dbraw/zinc/98/33/49/1131983349.db2.gz WFGJWESONNQUIS-UHFFFAOYSA-N 1 2 293.442 3.618 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc(Cl)cn1 ZINC000715703769 1131990245 /nfs/dbraw/zinc/99/02/45/1131990245.db2.gz SHAIXBWVSCZJJJ-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1ccn3ccnc3c1)C2 ZINC001141046150 1131994743 /nfs/dbraw/zinc/99/47/43/1131994743.db2.gz OHFPZKZCNIQJNU-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1ccn3ccnc3c1)C2 ZINC001141046150 1131994752 /nfs/dbraw/zinc/99/47/52/1131994752.db2.gz OHFPZKZCNIQJNU-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@H](C)c2ccns2)n1 ZINC000715974879 1132007314 /nfs/dbraw/zinc/00/73/14/1132007314.db2.gz GQKWMVSCXYPUNM-LLVKDONJSA-N 1 2 278.425 3.552 20 0 CHADLO Cc1cccc(C[N@H+]2C[C@H](F)C[C@H]2C)c1Br ZINC001141089522 1132008258 /nfs/dbraw/zinc/00/82/58/1132008258.db2.gz WDKXJOCWXAYUGN-ZYHUDNBSSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1cccc(C[N@@H+]2C[C@H](F)C[C@H]2C)c1Br ZINC001141089522 1132008264 /nfs/dbraw/zinc/00/82/64/1132008264.db2.gz WDKXJOCWXAYUGN-ZYHUDNBSSA-N 1 2 286.188 3.690 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@H+](C)Cc1cocn1 ZINC001141131249 1132024939 /nfs/dbraw/zinc/02/49/39/1132024939.db2.gz ASOLHPYHNXDAIA-SNVBAGLBSA-N 1 2 295.180 3.630 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@@H+](C)Cc1cocn1 ZINC001141131249 1132024948 /nfs/dbraw/zinc/02/49/48/1132024948.db2.gz ASOLHPYHNXDAIA-SNVBAGLBSA-N 1 2 295.180 3.630 20 0 CHADLO CC[C@@H]1CCCC[N@@H+]1Cc1ncc(Cl)nc1Cl ZINC001141138386 1132026206 /nfs/dbraw/zinc/02/62/06/1132026206.db2.gz LVPXIEQDNDVOLW-SECBINFHSA-N 1 2 274.195 3.548 20 0 CHADLO CC[C@@H]1CCCC[N@H+]1Cc1ncc(Cl)nc1Cl ZINC001141138386 1132026212 /nfs/dbraw/zinc/02/62/12/1132026212.db2.gz LVPXIEQDNDVOLW-SECBINFHSA-N 1 2 274.195 3.548 20 0 CHADLO CCCCC[C@H](C)CC(=O)NCc1c[nH+]c(C)cc1C ZINC000837103189 1132036903 /nfs/dbraw/zinc/03/69/03/1132036903.db2.gz BBMAWRJZIVABTO-ZDUSSCGKSA-N 1 2 276.424 3.921 20 0 CHADLO CN(C)c1cc(Cl)[nH+]c(NCCOC2CCCCC2)c1 ZINC001171473822 1132042163 /nfs/dbraw/zinc/04/21/63/1132042163.db2.gz RAEJMCDUZKUIGN-UHFFFAOYSA-N 1 2 297.830 3.562 20 0 CHADLO Cc1cc2cc(NCCOC3CCCCC3)[nH+]cc2[nH]1 ZINC001171477367 1132042463 /nfs/dbraw/zinc/04/24/63/1132042463.db2.gz GLRPFYYPTIEISC-UHFFFAOYSA-N 1 2 273.380 3.633 20 0 CHADLO CCc1ccccc1C[N@@H+]1CCCCC(F)(F)C1 ZINC001141224361 1132047375 /nfs/dbraw/zinc/04/73/75/1132047375.db2.gz QNDWXKDOWMZXKP-UHFFFAOYSA-N 1 2 253.336 3.870 20 0 CHADLO CCc1ccccc1C[N@H+]1CCCCC(F)(F)C1 ZINC001141224361 1132047379 /nfs/dbraw/zinc/04/73/79/1132047379.db2.gz QNDWXKDOWMZXKP-UHFFFAOYSA-N 1 2 253.336 3.870 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1cccc(-n3ccnc3)c1)C2 ZINC001141242817 1132058273 /nfs/dbraw/zinc/05/82/73/1132058273.db2.gz KKIVLZZWTQTBDO-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1cccc(-n3ccnc3)c1)C2 ZINC001141242817 1132058280 /nfs/dbraw/zinc/05/82/80/1132058280.db2.gz KKIVLZZWTQTBDO-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@@H+]1Cc1ccc(F)c(Cl)c1 ZINC001171777569 1132095682 /nfs/dbraw/zinc/09/56/82/1132095682.db2.gz BZCWGWUAHXUAFG-LBPRGKRZSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@H+]1Cc1ccc(F)c(Cl)c1 ZINC001171777569 1132095689 /nfs/dbraw/zinc/09/56/89/1132095689.db2.gz BZCWGWUAHXUAFG-LBPRGKRZSA-N 1 2 285.790 3.869 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@@H+](CCOC1CC1)CC2 ZINC001171820283 1132100165 /nfs/dbraw/zinc/10/01/65/1132100165.db2.gz LOCIGSNMLBRAGY-UHFFFAOYSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@H+](CCOC1CC1)CC2 ZINC001171820283 1132100170 /nfs/dbraw/zinc/10/01/70/1132100170.db2.gz LOCIGSNMLBRAGY-UHFFFAOYSA-N 1 2 286.202 3.531 20 0 CHADLO c1cc(-c2cccc(C[N@@H+]3CCn4cncc4C3)c2)cs1 ZINC001141384829 1132108768 /nfs/dbraw/zinc/10/87/68/1132108768.db2.gz ZTJUWHJXUQXUNB-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO c1cc(-c2cccc(C[N@H+]3CCn4cncc4C3)c2)cs1 ZINC001141384829 1132108774 /nfs/dbraw/zinc/10/87/74/1132108774.db2.gz ZTJUWHJXUQXUNB-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO CC1(C)C[C@H]([NH2+]C2=CC=C[CH]2)CC[N@@H+]1Cc1ccccc1 ZINC001171975050 1132117652 /nfs/dbraw/zinc/11/76/52/1132117652.db2.gz KJYZSWDAOIFLIM-GOSISDBHSA-N 1 2 281.423 3.677 20 0 CHADLO CC1(C)C[C@H]([NH2+]C2=CC=C[CH]2)CC[N@H+]1Cc1ccccc1 ZINC001171975050 1132117656 /nfs/dbraw/zinc/11/76/56/1132117656.db2.gz KJYZSWDAOIFLIM-GOSISDBHSA-N 1 2 281.423 3.677 20 0 CHADLO C[C@@H](COc1ccccc1)Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229950220 1132136078 /nfs/dbraw/zinc/13/60/78/1132136078.db2.gz IPOMTHZEEGPSAS-HNNXBMFYSA-N 1 2 294.354 3.719 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1ccc(Br)cc1F ZINC000429292708 1132149958 /nfs/dbraw/zinc/14/99/58/1132149958.db2.gz IIAVSDUNHWRQJP-LLVKDONJSA-N 1 2 272.161 3.573 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1ccc(Br)cc1F ZINC000429292708 1132149964 /nfs/dbraw/zinc/14/99/64/1132149964.db2.gz IIAVSDUNHWRQJP-LLVKDONJSA-N 1 2 272.161 3.573 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2ccccn2)c(Cl)n1 ZINC000838868118 1132152908 /nfs/dbraw/zinc/15/29/08/1132152908.db2.gz ZJDLAFYHGORCMN-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2ccccn2)c(Cl)n1 ZINC000838868118 1132152911 /nfs/dbraw/zinc/15/29/11/1132152911.db2.gz ZJDLAFYHGORCMN-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001172335647 1132173873 /nfs/dbraw/zinc/17/38/73/1132173873.db2.gz FQAWOFRZERPXJP-AGIUHOORSA-N 1 2 263.372 3.557 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001172335647 1132173876 /nfs/dbraw/zinc/17/38/76/1132173876.db2.gz FQAWOFRZERPXJP-AGIUHOORSA-N 1 2 263.372 3.557 20 0 CHADLO Cc1ccc([C@@H](C)Oc2cc3c(cc2O)C=[NH+]CC3)cc1 ZINC001230919421 1132227270 /nfs/dbraw/zinc/22/72/70/1132227270.db2.gz DAJQTKWRHKMDKX-CYBMUJFWSA-N 1 2 281.355 3.816 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@@H]2CCCC[C@@H]2F)cc1 ZINC001231083222 1132253247 /nfs/dbraw/zinc/25/32/47/1132253247.db2.gz GGYCYBDZECXPQA-GOEBONIOSA-N 1 2 274.339 3.747 20 0 CHADLO C[C@@H](COc1ccc(-c2[nH]cc[nH+]2)cc1)Oc1ccccc1 ZINC001231081516 1132253621 /nfs/dbraw/zinc/25/36/21/1132253621.db2.gz MDUUBNOTNIBISE-AWEZNQCLSA-N 1 2 294.354 3.923 20 0 CHADLO C[C@H]1CCC[C@@H]1Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231082665 1132254092 /nfs/dbraw/zinc/25/40/92/1132254092.db2.gz QEBZSSPNSDCUSD-LRDDRELGSA-N 1 2 256.349 3.655 20 0 CHADLO C[C@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1nccs1 ZINC001231085947 1132254361 /nfs/dbraw/zinc/25/43/61/1132254361.db2.gz ZRGMMRDDPDSRJH-JTQLQIEISA-N 1 2 271.345 3.673 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1coc2ccc(F)cc12 ZINC001141856368 1132258365 /nfs/dbraw/zinc/25/83/65/1132258365.db2.gz CSIKUDBYERAGNI-UHFFFAOYSA-N 1 2 297.329 3.887 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1coc2ccc(F)cc12 ZINC001141856368 1132258368 /nfs/dbraw/zinc/25/83/68/1132258368.db2.gz CSIKUDBYERAGNI-UHFFFAOYSA-N 1 2 297.329 3.887 20 0 CHADLO C[C@@H]1C[C@H](O)C[N@@H+]1Cc1c(Cl)ccc(Cl)c1Cl ZINC001231480675 1132298102 /nfs/dbraw/zinc/29/81/02/1132298102.db2.gz VKCCQLZFQBJQPC-SFYZADRCSA-N 1 2 294.609 3.602 20 0 CHADLO C[C@@H]1C[C@H](O)C[N@H+]1Cc1c(Cl)ccc(Cl)c1Cl ZINC001231480675 1132298107 /nfs/dbraw/zinc/29/81/07/1132298107.db2.gz VKCCQLZFQBJQPC-SFYZADRCSA-N 1 2 294.609 3.602 20 0 CHADLO CSc1ccc(C[N@@H+]2CCc3nc(C)sc3C2)s1 ZINC001142027941 1132301404 /nfs/dbraw/zinc/30/14/04/1132301404.db2.gz WGOQSMRCLRQSIX-UHFFFAOYSA-N 1 2 296.486 3.793 20 0 CHADLO CSc1ccc(C[N@H+]2CCc3nc(C)sc3C2)s1 ZINC001142027941 1132301413 /nfs/dbraw/zinc/30/14/13/1132301413.db2.gz WGOQSMRCLRQSIX-UHFFFAOYSA-N 1 2 296.486 3.793 20 0 CHADLO COc1cc(C)c([C@H](C)[NH2+][C@H](C)c2csnn2)cc1C ZINC000840885913 1132301802 /nfs/dbraw/zinc/30/18/02/1132301802.db2.gz IKTKKYVUYHOQHJ-NWDGAFQWSA-N 1 2 291.420 3.575 20 0 CHADLO CCc1ccc(C[N@H+](C)CC(=O)c2ccccc2)s1 ZINC001231502895 1132308932 /nfs/dbraw/zinc/30/89/32/1132308932.db2.gz DABCRZHGAJINLC-UHFFFAOYSA-N 1 2 273.401 3.625 20 0 CHADLO CCc1ccc(C[N@@H+](C)CC(=O)c2ccccc2)s1 ZINC001231502895 1132308938 /nfs/dbraw/zinc/30/89/38/1132308938.db2.gz DABCRZHGAJINLC-UHFFFAOYSA-N 1 2 273.401 3.625 20 0 CHADLO C[C@@H]1C[C@@H](O)C[N@@H+]1Cc1cc(Cl)cc(Cl)c1Cl ZINC001231597674 1132324508 /nfs/dbraw/zinc/32/45/08/1132324508.db2.gz GGDCQFSPQPWBSO-GMSGAONNSA-N 1 2 294.609 3.602 20 0 CHADLO C[C@@H]1C[C@@H](O)C[N@H+]1Cc1cc(Cl)cc(Cl)c1Cl ZINC001231597674 1132324512 /nfs/dbraw/zinc/32/45/12/1132324512.db2.gz GGDCQFSPQPWBSO-GMSGAONNSA-N 1 2 294.609 3.602 20 0 CHADLO COc1cc(C)c(C[N@@H+]2CCC(=O)C[C@@H]2C)cc1C(C)C ZINC001231625328 1132327670 /nfs/dbraw/zinc/32/76/70/1132327670.db2.gz SKWDBMGJWORMOV-AWEZNQCLSA-N 1 2 289.419 3.680 20 0 CHADLO COc1cc(C)c(C[N@H+]2CCC(=O)C[C@@H]2C)cc1C(C)C ZINC001231625328 1132327676 /nfs/dbraw/zinc/32/76/76/1132327676.db2.gz SKWDBMGJWORMOV-AWEZNQCLSA-N 1 2 289.419 3.680 20 0 CHADLO CC[N@H+](Cc1coc(-c2ccccc2)n1)Cc1cccnc1 ZINC001231631330 1132330596 /nfs/dbraw/zinc/33/05/96/1132330596.db2.gz TUZZNWGZFRPNMM-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC[N@@H+](Cc1coc(-c2ccccc2)n1)Cc1cccnc1 ZINC001231631330 1132330605 /nfs/dbraw/zinc/33/06/05/1132330605.db2.gz TUZZNWGZFRPNMM-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO C[C@H](Cc1ccc(F)cc1Cl)[N@@H+]1Cc2ccncc2C1 ZINC001172867871 1132332183 /nfs/dbraw/zinc/33/21/83/1132332183.db2.gz AXURVJXKYKBLES-LLVKDONJSA-N 1 2 290.769 3.821 20 0 CHADLO C[C@H](Cc1ccc(F)cc1Cl)[N@H+]1Cc2ccncc2C1 ZINC001172867871 1132332191 /nfs/dbraw/zinc/33/21/91/1132332191.db2.gz AXURVJXKYKBLES-LLVKDONJSA-N 1 2 290.769 3.821 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCC(=O)[C@H](C)CC1 ZINC001172846200 1132336431 /nfs/dbraw/zinc/33/64/31/1132336431.db2.gz CAVLAPNCQAXZJX-VXGBXAGGSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCC(=O)[C@H](C)CC1 ZINC001172846200 1132336436 /nfs/dbraw/zinc/33/64/36/1132336436.db2.gz CAVLAPNCQAXZJX-VXGBXAGGSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001172849478 1132339467 /nfs/dbraw/zinc/33/94/67/1132339467.db2.gz MYVPFUQUTJCUBF-SDDRHHMPSA-N 1 2 285.790 3.519 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001172849478 1132339472 /nfs/dbraw/zinc/33/94/72/1132339472.db2.gz MYVPFUQUTJCUBF-SDDRHHMPSA-N 1 2 285.790 3.519 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(-c3ccccc3)cs2)C[C@@H]1F ZINC001231680805 1132344605 /nfs/dbraw/zinc/34/46/05/1132344605.db2.gz WZNCZQFVDCBERZ-YPMHNXCESA-N 1 2 276.380 3.600 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(-c3ccccc3)cs2)C[C@@H]1F ZINC001231680805 1132344612 /nfs/dbraw/zinc/34/46/12/1132344612.db2.gz WZNCZQFVDCBERZ-YPMHNXCESA-N 1 2 276.380 3.600 20 0 CHADLO C[C@H]1CC(=O)CC[N@@H+]1Cc1ccc(-c2ccccc2)o1 ZINC001231810419 1132369566 /nfs/dbraw/zinc/36/95/66/1132369566.db2.gz PHLFMZOVYUQYKB-ZDUSSCGKSA-N 1 2 269.344 3.500 20 0 CHADLO C[C@H]1CC(=O)CC[N@H+]1Cc1ccc(-c2ccccc2)o1 ZINC001231810419 1132369574 /nfs/dbraw/zinc/36/95/74/1132369574.db2.gz PHLFMZOVYUQYKB-ZDUSSCGKSA-N 1 2 269.344 3.500 20 0 CHADLO Cc1nc(Nc2ccc(Cn3cc[nH+]c3)cc2)sc1C ZINC001212692427 1132370976 /nfs/dbraw/zinc/37/09/76/1132370976.db2.gz SKOCRFRCLVRJEE-UHFFFAOYSA-N 1 2 284.388 3.748 20 0 CHADLO CC(C)c1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)on1 ZINC001212692431 1132372520 /nfs/dbraw/zinc/37/25/20/1132372520.db2.gz SQAFOGAKTUVEBI-UHFFFAOYSA-N 1 2 282.347 3.786 20 0 CHADLO Cc1cnc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(F)c1 ZINC001212695238 1132374813 /nfs/dbraw/zinc/37/48/13/1132374813.db2.gz VCDBOZMMOFPALJ-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO Nc1cccc(Cl)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212697689 1132377135 /nfs/dbraw/zinc/37/71/35/1132377135.db2.gz NRHWYNLGTMRZCX-UHFFFAOYSA-N 1 2 298.777 3.911 20 0 CHADLO CC(C)c1ccccc1C[N@@H+]1C[C@@H]2C[C@H]1[C@H](C(F)(F)F)O2 ZINC001231877594 1132386628 /nfs/dbraw/zinc/38/66/28/1132386628.db2.gz KVOFYKXKWACARI-AEGPPILISA-N 1 2 299.336 3.714 20 0 CHADLO CC(C)c1ccccc1C[N@H+]1C[C@@H]2C[C@H]1[C@H](C(F)(F)F)O2 ZINC001231877594 1132386634 /nfs/dbraw/zinc/38/66/34/1132386634.db2.gz KVOFYKXKWACARI-AEGPPILISA-N 1 2 299.336 3.714 20 0 CHADLO C[C@@H](Cc1ccc(OC(F)(F)F)cc1)[NH2+][C@@H]1CC1(F)F ZINC001173074160 1132387056 /nfs/dbraw/zinc/38/70/56/1132387056.db2.gz SOEZQNIKIJRSLB-GZMMTYOYSA-N 1 2 295.251 3.513 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cccc3c[nH]nc31)C2 ZINC001231973787 1132403360 /nfs/dbraw/zinc/40/33/60/1132403360.db2.gz KRXRJVAPRARAMW-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cccc3c[nH]nc31)C2 ZINC001231973787 1132403363 /nfs/dbraw/zinc/40/33/63/1132403363.db2.gz KRXRJVAPRARAMW-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1cc(C(F)(F)F)cnc1Cl ZINC001232006468 1132408315 /nfs/dbraw/zinc/40/83/15/1132408315.db2.gz YJOXZXVWEHOFFH-MRVPVSSYSA-N 1 2 278.705 3.738 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1cc(C(F)(F)F)cnc1Cl ZINC001232006468 1132408316 /nfs/dbraw/zinc/40/83/16/1132408316.db2.gz YJOXZXVWEHOFFH-MRVPVSSYSA-N 1 2 278.705 3.738 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH2+][C@H](C)Cc1ccc(CC)cc1 ZINC001173236559 1132415783 /nfs/dbraw/zinc/41/57/83/1132415783.db2.gz ZFDUCVBQLWKIMS-CABCVRRESA-N 1 2 291.435 3.501 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)c(C)n1 ZINC001232082815 1132420623 /nfs/dbraw/zinc/42/06/23/1132420623.db2.gz KPPTUEOJRCNRGJ-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cc(F)c(F)cc3C2)c(C)n1 ZINC001232082815 1132420627 /nfs/dbraw/zinc/42/06/27/1132420627.db2.gz KPPTUEOJRCNRGJ-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Fc1ccc(Cl)nc1C[N@@H+]1CCCc2ccccc2C1 ZINC001232160736 1132429487 /nfs/dbraw/zinc/42/94/87/1132429487.db2.gz WUGMOFUAZMDPOA-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Fc1ccc(Cl)nc1C[N@H+]1CCCc2ccccc2C1 ZINC001232160736 1132429492 /nfs/dbraw/zinc/42/94/92/1132429492.db2.gz WUGMOFUAZMDPOA-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2ncsc2C)cc1 ZINC001232206043 1132435738 /nfs/dbraw/zinc/43/57/38/1132435738.db2.gz DKDQLNQPCXJHCS-NSHDSACASA-N 1 2 276.405 3.653 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2ncsc2C)cc1 ZINC001232206043 1132435739 /nfs/dbraw/zinc/43/57/39/1132435739.db2.gz DKDQLNQPCXJHCS-NSHDSACASA-N 1 2 276.405 3.653 20 0 CHADLO CC(C)Cn1nccc1CNc1ccc2c(c1)CCC[N@H+]2C ZINC000842690926 1132452226 /nfs/dbraw/zinc/45/22/26/1132452226.db2.gz GOJONMLPRZJYQV-UHFFFAOYSA-N 1 2 298.434 3.534 20 0 CHADLO CC(C)Cn1nccc1CNc1ccc2c(c1)CCC[N@@H+]2C ZINC000842690926 1132452229 /nfs/dbraw/zinc/45/22/29/1132452229.db2.gz GOJONMLPRZJYQV-UHFFFAOYSA-N 1 2 298.434 3.534 20 0 CHADLO Cc1cc(Br)ncc1C[NH+]1CC2(C1)CCCC2 ZINC001232315014 1132453036 /nfs/dbraw/zinc/45/30/36/1132453036.db2.gz ZZQFIIHKMNXBHP-UHFFFAOYSA-N 1 2 295.224 3.529 20 0 CHADLO c1ccc([C@@H]2CCC[N@@H+](Cc3n[nH]c4c3CCC4)CC2)cc1 ZINC001232429393 1132470170 /nfs/dbraw/zinc/47/01/70/1132470170.db2.gz OBBBAXLHEIEQNC-MRXNPFEDSA-N 1 2 295.430 3.668 20 0 CHADLO c1ccc([C@@H]2CCC[N@H+](Cc3n[nH]c4c3CCC4)CC2)cc1 ZINC001232429393 1132470175 /nfs/dbraw/zinc/47/01/75/1132470175.db2.gz OBBBAXLHEIEQNC-MRXNPFEDSA-N 1 2 295.430 3.668 20 0 CHADLO CCc1csc(C[N@@H+](CC)Cc2cccc(F)c2)n1 ZINC001232473854 1132475284 /nfs/dbraw/zinc/47/52/84/1132475284.db2.gz GQJAYYVFFHWSCN-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCc1csc(C[N@H+](CC)Cc2cccc(F)c2)n1 ZINC001232473854 1132475286 /nfs/dbraw/zinc/47/52/86/1132475286.db2.gz GQJAYYVFFHWSCN-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO Cc1[nH]c(CN2CCc3cc(Cl)cc(Cl)c3C2)c[nH+]1 ZINC001232467937 1132475567 /nfs/dbraw/zinc/47/55/67/1132475567.db2.gz IXWJMKCZNQTVIH-UHFFFAOYSA-N 1 2 296.201 3.583 20 0 CHADLO Cc1[nH]cc(CN2CCc3cc(Cl)cc(Cl)c3C2)[nH+]1 ZINC001232467937 1132475570 /nfs/dbraw/zinc/47/55/70/1132475570.db2.gz IXWJMKCZNQTVIH-UHFFFAOYSA-N 1 2 296.201 3.583 20 0 CHADLO CCSC[C@@H](C)[N@@H+]1Cc2cnc(-c3ccccc3)nc2C1 ZINC001173315777 1132475586 /nfs/dbraw/zinc/47/55/86/1132475586.db2.gz SDDCDDPVMWEKEY-CYBMUJFWSA-N 1 2 299.443 3.601 20 0 CHADLO CCSC[C@@H](C)[N@H+]1Cc2cnc(-c3ccccc3)nc2C1 ZINC001173315777 1132475591 /nfs/dbraw/zinc/47/55/91/1132475591.db2.gz SDDCDDPVMWEKEY-CYBMUJFWSA-N 1 2 299.443 3.601 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC001232496806 1132480117 /nfs/dbraw/zinc/48/01/17/1132480117.db2.gz ZIEGYKQFAIYJSF-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC001232496806 1132480120 /nfs/dbraw/zinc/48/01/20/1132480120.db2.gz ZIEGYKQFAIYJSF-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO C[C@@H]1C(=O)CC[N@H+](Cc2nc3ccccc3o2)C12CCCC2 ZINC001232560559 1132487910 /nfs/dbraw/zinc/48/79/10/1132487910.db2.gz ZUTHBTKTIFLYJD-CYBMUJFWSA-N 1 2 298.386 3.552 20 0 CHADLO C[C@@H]1C(=O)CC[N@@H+](Cc2nc3ccccc3o2)C12CCCC2 ZINC001232560559 1132487912 /nfs/dbraw/zinc/48/79/12/1132487912.db2.gz ZUTHBTKTIFLYJD-CYBMUJFWSA-N 1 2 298.386 3.552 20 0 CHADLO c1cn(-c2ccc(Nc3cccnc3C3CC3)cc2)c[nH+]1 ZINC001212738824 1132493207 /nfs/dbraw/zinc/49/32/07/1132493207.db2.gz IEUHYRSWTZDBPC-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO Cc1cccc(CC[N@@H+](C)Cc2c(Cl)ccnc2F)c1 ZINC001232618320 1132495617 /nfs/dbraw/zinc/49/56/17/1132495617.db2.gz QEJJQUMIMZLTFR-UHFFFAOYSA-N 1 2 292.785 3.857 20 0 CHADLO Cc1cccc(CC[N@H+](C)Cc2c(Cl)ccnc2F)c1 ZINC001232618320 1132495621 /nfs/dbraw/zinc/49/56/21/1132495621.db2.gz QEJJQUMIMZLTFR-UHFFFAOYSA-N 1 2 292.785 3.857 20 0 CHADLO CC[NH2+]Cc1noc([C@@H](C)Sc2ccccc2Cl)n1 ZINC000843143932 1132495783 /nfs/dbraw/zinc/49/57/83/1132495783.db2.gz LNVLMPVFGRIPOV-SECBINFHSA-N 1 2 297.811 3.686 20 0 CHADLO COc1cccc(C)c1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC001232620907 1132495995 /nfs/dbraw/zinc/49/59/95/1132495995.db2.gz VVORQDCTWJJAEA-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO COc1cccc(C)c1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC001232620907 1132496002 /nfs/dbraw/zinc/49/60/02/1132496002.db2.gz VVORQDCTWJJAEA-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO Clc1ccc2cc(C[N@@H+]3CCOCC34CCC4)[nH]c2c1 ZINC001232732123 1132510863 /nfs/dbraw/zinc/51/08/63/1132510863.db2.gz OJLYPQCWHLMHNZ-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1ccc2cc(C[N@H+]3CCOCC34CCC4)[nH]c2c1 ZINC001232732123 1132510866 /nfs/dbraw/zinc/51/08/66/1132510866.db2.gz OJLYPQCWHLMHNZ-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cccc(O)c2Cl)C1 ZINC001232784881 1132522135 /nfs/dbraw/zinc/52/21/35/1132522135.db2.gz XVHKVAWFYFCKCT-AWEZNQCLSA-N 1 2 271.763 3.760 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cccc(O)c2Cl)C1 ZINC001232784881 1132522140 /nfs/dbraw/zinc/52/21/40/1132522140.db2.gz XVHKVAWFYFCKCT-AWEZNQCLSA-N 1 2 271.763 3.760 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)c(O)c2F)CCC1(F)F ZINC001232930824 1132543922 /nfs/dbraw/zinc/54/39/22/1132543922.db2.gz FCJXCGPOIKQQMT-UHFFFAOYSA-N 1 2 291.288 3.538 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)c(O)c2F)CCC1(F)F ZINC001232930824 1132543925 /nfs/dbraw/zinc/54/39/25/1132543925.db2.gz FCJXCGPOIKQQMT-UHFFFAOYSA-N 1 2 291.288 3.538 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(O)c(F)c(F)c2)CCC1(F)F ZINC001232971129 1132551463 /nfs/dbraw/zinc/55/14/63/1132551463.db2.gz VJDKBTZGANQLDF-UHFFFAOYSA-N 1 2 291.288 3.538 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(O)c(F)c(F)c2)CCC1(F)F ZINC001232971129 1132551469 /nfs/dbraw/zinc/55/14/69/1132551469.db2.gz VJDKBTZGANQLDF-UHFFFAOYSA-N 1 2 291.288 3.538 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1cc(O)c(F)c(F)c1 ZINC001232969977 1132551988 /nfs/dbraw/zinc/55/19/88/1132551988.db2.gz DURQBQDPVFAQAR-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1cc(O)c(F)c(F)c1 ZINC001232969977 1132551997 /nfs/dbraw/zinc/55/19/97/1132551997.db2.gz DURQBQDPVFAQAR-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO CC(C)CCC1CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC001150250219 1132553263 /nfs/dbraw/zinc/55/32/63/1132553263.db2.gz DWSCFQLRKDNZJJ-UHFFFAOYSA-N 1 2 299.418 3.623 20 0 CHADLO Oc1cc(C[NH+]2CCC3(CC[C@@H](F)C3)CC2)cc(F)c1F ZINC001232974874 1132555226 /nfs/dbraw/zinc/55/52/26/1132555226.db2.gz CNSLOUPRWDRLLM-GFCCVEGCSA-N 1 2 299.336 3.775 20 0 CHADLO c1[nH+]cc(N[C@@H]2CC[C@H]2C2CCC2)c2c1CCCC2 ZINC001206636585 1132563266 /nfs/dbraw/zinc/56/32/66/1132563266.db2.gz POUUIHCOKARMRQ-JKSUJKDBSA-N 1 2 256.393 3.951 20 0 CHADLO Fc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC001173867031 1132569543 /nfs/dbraw/zinc/56/95/43/1132569543.db2.gz ZYBWDFLZWYWAJJ-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2n[nH]c3cc(F)ccc32)C[C@@H]1C ZINC001233119832 1132572388 /nfs/dbraw/zinc/57/23/88/1132572388.db2.gz PTXVMNIYBYBHTN-NEPJUHHUSA-N 1 2 275.371 3.570 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2n[nH]c3cc(F)ccc32)C[C@@H]1C ZINC001233119832 1132572393 /nfs/dbraw/zinc/57/23/93/1132572393.db2.gz PTXVMNIYBYBHTN-NEPJUHHUSA-N 1 2 275.371 3.570 20 0 CHADLO CCOc1ncc(Cl)cc1C[NH+]1CC2(C1)CCCCC2 ZINC001233173533 1132576776 /nfs/dbraw/zinc/57/67/76/1132576776.db2.gz UZRNESQKRYVYSN-UHFFFAOYSA-N 1 2 294.826 3.900 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)CC(C)(C)C1 ZINC001151975918 1132577851 /nfs/dbraw/zinc/57/78/51/1132577851.db2.gz KKJWJBSBJGAICA-YPMHNXCESA-N 1 2 285.391 3.508 20 0 CHADLO COc1cccc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001173824029 1132579435 /nfs/dbraw/zinc/57/94/35/1132579435.db2.gz QCQMHGPZUIOHKL-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO COc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001173825783 1132580640 /nfs/dbraw/zinc/58/06/40/1132580640.db2.gz SOUKJUJIEFBVNP-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO COc1cccc(C(C)=O)c1Nc1ccc(C)[nH+]c1C ZINC001203375790 1132581239 /nfs/dbraw/zinc/58/12/39/1132581239.db2.gz BSOKRXBVCBCDOT-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO CCn1ncc2cc(Nc3ccc(C)[nH+]c3C)ccc21 ZINC001203376075 1132582062 /nfs/dbraw/zinc/58/20/62/1132582062.db2.gz JGOYNUCGPYNUFT-UHFFFAOYSA-N 1 2 266.348 3.812 20 0 CHADLO Cc1ccc(Cl)cc1C[N@@H+]1CCc2ncsc2C1 ZINC001233250236 1132588573 /nfs/dbraw/zinc/58/85/73/1132588573.db2.gz BMCISUBSOFADBK-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(Cl)cc1C[N@H+]1CCc2ncsc2C1 ZINC001233250236 1132588576 /nfs/dbraw/zinc/58/85/76/1132588576.db2.gz BMCISUBSOFADBK-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC[N@H+](Cc1ccccc1F)Cc1cccc(O)c1OC ZINC001233256964 1132591594 /nfs/dbraw/zinc/59/15/94/1132591594.db2.gz FBWLWIHYZPKIAA-UHFFFAOYSA-N 1 2 289.350 3.562 20 0 CHADLO CC[N@@H+](Cc1ccccc1F)Cc1cccc(O)c1OC ZINC001233256964 1132591599 /nfs/dbraw/zinc/59/15/99/1132591599.db2.gz FBWLWIHYZPKIAA-UHFFFAOYSA-N 1 2 289.350 3.562 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1cccc2sccc21 ZINC001153435182 1132594193 /nfs/dbraw/zinc/59/41/93/1132594193.db2.gz KCJWPMPMWJSAOG-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO CC(C)c1ccc(Nc2[nH+]cccc2N2CCOCC2)cc1 ZINC001173893827 1132597760 /nfs/dbraw/zinc/59/77/60/1132597760.db2.gz PMHDMJXVPSDOFL-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1scc2c1CCCC2 ZINC001153617565 1132598006 /nfs/dbraw/zinc/59/80/06/1132598006.db2.gz NAZJCVYAYROVLN-UHFFFAOYSA-N 1 2 297.383 3.527 20 0 CHADLO Cc1nc(CNc2ccc([NH+]3CCCC3)cc2)sc1C ZINC000049885698 1132607708 /nfs/dbraw/zinc/60/77/08/1132607708.db2.gz MGPLMZYSEJUBOP-UHFFFAOYSA-N 1 2 287.432 3.972 20 0 CHADLO COc1ccc(OC)c(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001173918677 1132613627 /nfs/dbraw/zinc/61/36/27/1132613627.db2.gz GBNYKZHHALLAQQ-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO COc1c[nH+]c(N[C@H](c2ccccc2)C2CC2)c(C)c1 ZINC001154643697 1132619566 /nfs/dbraw/zinc/61/95/66/1132619566.db2.gz ZAZCTMJPZMFTEZ-MRXNPFEDSA-N 1 2 268.360 3.962 20 0 CHADLO Clc1cccc2nc(Nc3cc[nH+]cc3)sc21 ZINC001173879584 1132621839 /nfs/dbraw/zinc/62/18/39/1132621839.db2.gz XFQJAFGRVMOPNK-UHFFFAOYSA-N 1 2 261.737 3.510 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1ccc2c(ccn2C)c1 ZINC001154803667 1132625900 /nfs/dbraw/zinc/62/59/00/1132625900.db2.gz ADMHVTJPFHTAAA-UHFFFAOYSA-N 1 2 269.323 3.633 20 0 CHADLO c1ccc(-c2cc[nH+]c(NCCC3CCOCC3)c2)cc1 ZINC001154842448 1132627211 /nfs/dbraw/zinc/62/72/11/1132627211.db2.gz BJPQAGRXTONYHG-UHFFFAOYSA-N 1 2 282.387 3.977 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(C)cc(CO)c2)cc1 ZINC001212759215 1132628249 /nfs/dbraw/zinc/62/82/49/1132628249.db2.gz HQCOMQSRIXKIRX-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(C)cc(CO)c2)cc1 ZINC001212759215 1132628251 /nfs/dbraw/zinc/62/82/51/1132628251.db2.gz HQCOMQSRIXKIRX-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO COc1ccc(F)cc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001173956400 1132631336 /nfs/dbraw/zinc/63/13/36/1132631336.db2.gz LDFXLXMPQLOLCO-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO C=Cc1ccc(OC2C[NH+](Cc3ccccc3)C2)c(OC)c1 ZINC001233567911 1132639898 /nfs/dbraw/zinc/63/98/98/1132639898.db2.gz LGWKMOBESCIHDB-UHFFFAOYSA-N 1 2 295.382 3.601 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1[nH+]ccc3ccccc31)C(=O)N2 ZINC001155108214 1132642408 /nfs/dbraw/zinc/64/24/08/1132642408.db2.gz PNWZCFXXCXVIHV-MRXNPFEDSA-N 1 2 289.338 3.649 20 0 CHADLO CCc1nc(Cl)c(NC(=[NH2+])C(C)(C)C)c(Cl)n1 ZINC001155181235 1132646894 /nfs/dbraw/zinc/64/68/94/1132646894.db2.gz CIVFANJNLABKMU-UHFFFAOYSA-N 1 2 275.183 3.781 20 0 CHADLO COc1cccc(Nc2ccc[nH+]c2N2CCCC2)c1F ZINC001173970612 1132649310 /nfs/dbraw/zinc/64/93/10/1132649310.db2.gz DMIXYBAXDHYJPB-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO c1cc2cc(Nc3[nH+]cccc3N3CCCC3)ccc2[nH]1 ZINC001173938176 1132650064 /nfs/dbraw/zinc/65/00/64/1132650064.db2.gz IFSQFRHIXFNHAC-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)sc1C ZINC001233655094 1132656636 /nfs/dbraw/zinc/65/66/36/1132656636.db2.gz HUBSTWPOYCGUJU-NSHDSACASA-N 1 2 263.328 3.544 20 0 CHADLO Cc1cc(C[N@H+]2CCC(F)(F)[C@@H](F)C2)sc1C ZINC001233655094 1132656643 /nfs/dbraw/zinc/65/66/43/1132656643.db2.gz HUBSTWPOYCGUJU-NSHDSACASA-N 1 2 263.328 3.544 20 0 CHADLO CC(C)(C)OC(=O)Nc1cc(NC2=CCCC2)cc[nH+]1 ZINC001173997033 1132669590 /nfs/dbraw/zinc/66/95/90/1132669590.db2.gz QMGGWJXZKYMNEU-UHFFFAOYSA-N 1 2 275.352 3.908 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cnc2ccccc2c1 ZINC001174000760 1132671834 /nfs/dbraw/zinc/67/18/34/1132671834.db2.gz IHJMDNVAWLTDBI-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO c1cn2ccc(Nc3cnc4ccccc4c3)cc2[nH+]1 ZINC001174001786 1132671896 /nfs/dbraw/zinc/67/18/96/1132671896.db2.gz INKVNMWHIFNEAO-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO [NH2+]=C(Nc1cc(Cl)ncn1)C12CC3CC(CC(C3)C1)C2 ZINC001155956676 1132671942 /nfs/dbraw/zinc/67/19/42/1132671942.db2.gz WACJCABZQZXFJE-UHFFFAOYSA-N 1 2 290.798 3.736 20 0 CHADLO Cc1cn2cccc(Nc3cnc4ccccc4c3)c2[nH+]1 ZINC001174001564 1132672181 /nfs/dbraw/zinc/67/21/81/1132672181.db2.gz YDKNHPXIWLMVEU-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cnc4ccccc4c3)ccc21 ZINC001174001903 1132673457 /nfs/dbraw/zinc/67/34/57/1132673457.db2.gz BRJBFSJJWKBKES-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO FC(F)(F)Oc1cc[nH+]c(Nc2ccc3c(c2)CCO3)c1 ZINC001174010345 1132676469 /nfs/dbraw/zinc/67/64/69/1132676469.db2.gz DMEXEAPGUANRBQ-UHFFFAOYSA-N 1 2 296.248 3.659 20 0 CHADLO CSCc1cc[nH+]c(NCCOc2ccccc2F)c1 ZINC001156024246 1132677750 /nfs/dbraw/zinc/67/77/50/1132677750.db2.gz YROLZYVAVVVSIZ-UHFFFAOYSA-N 1 2 292.379 3.575 20 0 CHADLO COc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1F ZINC001173972755 1132682821 /nfs/dbraw/zinc/68/28/21/1132682821.db2.gz DVCRMHLYGPJVML-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(NC(C)=O)cc2)cc1 ZINC001173979673 1132686230 /nfs/dbraw/zinc/68/62/30/1132686230.db2.gz WSSVBVZRNUEBIL-UHFFFAOYSA-N 1 2 283.375 3.845 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(NC(C)=O)cc2)cc1 ZINC001173979673 1132686233 /nfs/dbraw/zinc/68/62/33/1132686233.db2.gz WSSVBVZRNUEBIL-UHFFFAOYSA-N 1 2 283.375 3.845 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)CCCC(=O)N3)c(C)[nH+]1 ZINC001203376618 1132689186 /nfs/dbraw/zinc/68/91/86/1132689186.db2.gz PTPKJPGWNVSJHO-UHFFFAOYSA-N 1 2 281.359 3.717 20 0 CHADLO COc1ccc2cc(Nc3[nH+]cccc3N)ccc2c1 ZINC001174030223 1132699138 /nfs/dbraw/zinc/69/91/38/1132699138.db2.gz IANKTAMXZHJZDV-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO COCc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001174094036 1132711727 /nfs/dbraw/zinc/71/17/27/1132711727.db2.gz XWGAYELKYIRGNS-UHFFFAOYSA-N 1 2 279.343 3.762 20 0 CHADLO COCc1cccc(Nc2cccc(C3CC3)[nH+]2)c1 ZINC001174094160 1132711799 /nfs/dbraw/zinc/71/17/99/1132711799.db2.gz JYZKINZALBXAJR-UHFFFAOYSA-N 1 2 254.333 3.849 20 0 CHADLO c1ccc2c(c1)cncc2Nc1ccc[nH+]c1N1CCCC1 ZINC001174098121 1132715618 /nfs/dbraw/zinc/71/56/18/1132715618.db2.gz CWGBDHDYYYTCMZ-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO Cc1ccc(Nc2ccc(-c3cc(CO)on3)cc2)c(C)[nH+]1 ZINC001203379594 1132721167 /nfs/dbraw/zinc/72/11/67/1132721167.db2.gz CRLBKJOZQXTSMK-UHFFFAOYSA-N 1 2 295.342 3.589 20 0 CHADLO Cc1nc(N2CCC[C@@H]3C[C@@H]32)cc(C2CCCCC2)[nH+]1 ZINC001157142138 1132723244 /nfs/dbraw/zinc/72/32/44/1132723244.db2.gz BGFKDKCNVDXLMC-ZBFHGGJFSA-N 1 2 271.408 3.821 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(OC(C)C)cc1 ZINC001174108713 1132723981 /nfs/dbraw/zinc/72/39/81/1132723981.db2.gz MKRLTNIRDARWTG-UHFFFAOYSA-N 1 2 258.321 3.626 20 0 CHADLO COc1ccc2[nH+]c(NC[C@H]3C[C@H](F)C3)c(C)cc2c1 ZINC001157451837 1132731640 /nfs/dbraw/zinc/73/16/40/1132731640.db2.gz PNWSEPPNRMKPFV-AULYBMBSSA-N 1 2 274.339 3.712 20 0 CHADLO Cc1nc(NCc2ccc(=O)[nH]c2)cc(C2CCCCC2)[nH+]1 ZINC001157517303 1132733500 /nfs/dbraw/zinc/73/35/00/1132733500.db2.gz YZHBGUXQURFTKA-UHFFFAOYSA-N 1 2 298.390 3.545 20 0 CHADLO Cc1ccc(Nc2ccc(C(=O)NC(C)(C)C)cc2)c(C)[nH+]1 ZINC001174124958 1132737577 /nfs/dbraw/zinc/73/75/77/1132737577.db2.gz NGFFTWUVZAHRIX-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO COc1cc(F)ccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001174130079 1132738558 /nfs/dbraw/zinc/73/85/58/1132738558.db2.gz UNONCHNMHOWLHK-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO Nc1ccc(C2CCN(c3cccc(C4CC4)[nH+]3)CC2)cc1 ZINC001157706805 1132739615 /nfs/dbraw/zinc/73/96/15/1132739615.db2.gz LNWOIEIVLOAWLW-UHFFFAOYSA-N 1 2 293.414 3.925 20 0 CHADLO COc1ccc(C2=CCN(c3[nH+]ccc(F)c3C)CC2)cc1 ZINC001157734593 1132740032 /nfs/dbraw/zinc/74/00/32/1132740032.db2.gz QBXCWGFRFVUNQK-UHFFFAOYSA-N 1 2 298.361 3.831 20 0 CHADLO Cc1ccc(C)c(Nc2cnn(Cc3ccccc3)c2)[nH+]1 ZINC001174133142 1132740864 /nfs/dbraw/zinc/74/08/64/1132740864.db2.gz RTMCBUJOVPRDOA-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO C=Cc1ccc(CNc2cc(C)[nH+]c(SC)n2)cc1 ZINC001157771581 1132741366 /nfs/dbraw/zinc/74/13/66/1132741366.db2.gz URUXGOOOPCUIEP-UHFFFAOYSA-N 1 2 271.389 3.762 20 0 CHADLO Cc1ccc(-n2ccc3cc(Cl)cc(N)c32)c(C)[nH+]1 ZINC001203379960 1132750119 /nfs/dbraw/zinc/75/01/19/1132750119.db2.gz FJKIJINRXHYCHC-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO CCOC(=O)/C=C/c1ccc[nH+]c1N[C@@H](C)C[C@@H](C)CC ZINC001157882589 1132752741 /nfs/dbraw/zinc/75/27/41/1132752741.db2.gz URHDPMCUQABARE-KYXFNPKGSA-N 1 2 290.407 3.895 20 0 CHADLO Cc1cc[nH+]c(Nc2cccc(C(=O)NCC(C)C)c2)c1 ZINC001174191501 1132755381 /nfs/dbraw/zinc/75/53/81/1132755381.db2.gz YFGFGNFESNIIOL-UHFFFAOYSA-N 1 2 283.375 3.519 20 0 CHADLO COc1ccc(F)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001174208215 1132755570 /nfs/dbraw/zinc/75/55/70/1132755570.db2.gz HOYDVJDVJMYIST-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO CSc1nc(NCCC2=CCCCC2)cc(C)[nH+]1 ZINC001157948954 1132757988 /nfs/dbraw/zinc/75/79/88/1132757988.db2.gz QYIWXLZVXHJHSU-UHFFFAOYSA-N 1 2 263.410 3.809 20 0 CHADLO COc1cccnc1CNc1[nH+]ccc2cc(Cl)ccc21 ZINC001158327625 1132769470 /nfs/dbraw/zinc/76/94/70/1132769470.db2.gz FCPXMRIBQPOBOK-UHFFFAOYSA-N 1 2 299.761 3.904 20 0 CHADLO COc1cncc(CCNc2[nH+]c3ccccc3cc2C)c1 ZINC001158378940 1132772925 /nfs/dbraw/zinc/77/29/25/1132772925.db2.gz WLCZNGUYJKAKIX-UHFFFAOYSA-N 1 2 293.370 3.601 20 0 CHADLO O=C(CC(C1CC1)C1CC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000147632067 1132773659 /nfs/dbraw/zinc/77/36/59/1132773659.db2.gz CWGXCZQXAYRSHW-UHFFFAOYSA-N 1 2 295.386 3.637 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(-n2cccn2)c1 ZINC001174298667 1132778316 /nfs/dbraw/zinc/77/83/16/1132778316.db2.gz NUAPADGOQGNHRV-UHFFFAOYSA-N 1 2 278.359 3.882 20 0 CHADLO Cc1nc(N[C@H]2C[C@H](C)c3ccccc32)c2c([nH+]1)CCC2 ZINC001158472870 1132779083 /nfs/dbraw/zinc/77/90/83/1132779083.db2.gz NRJJSSZGAPHJFN-GTNSWQLSSA-N 1 2 279.387 3.934 20 0 CHADLO CCOC(=O)C1(CNc2cc(C)c3ccccc3[nH+]2)CCC1 ZINC001158621530 1132787433 /nfs/dbraw/zinc/78/74/33/1132787433.db2.gz WSMHISYMTNRIOS-UHFFFAOYSA-N 1 2 298.386 3.689 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)C[C@@H](C)C(=O)N3C)c(C)[nH+]1 ZINC001203380697 1132787955 /nfs/dbraw/zinc/78/79/55/1132787955.db2.gz MGZNRNTXPSEGEX-LLVKDONJSA-N 1 2 295.386 3.597 20 0 CHADLO CCOC(=O)Cc1ccccc1Nc1ccc(C)[nH+]c1C ZINC001203380669 1132788266 /nfs/dbraw/zinc/78/82/66/1132788266.db2.gz LTBDBEBDKPSHQC-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO COc1cc(Nc2ccc3c(C)cc[nH+]c3c2)ccn1 ZINC001174306893 1132788322 /nfs/dbraw/zinc/78/83/22/1132788322.db2.gz AVNDYWIYHGRVGP-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO CCN(CC)c1cc(F)cc(Nc2ccc(NC)[nH+]c2)c1 ZINC001159253950 1132819730 /nfs/dbraw/zinc/81/97/30/1132819730.db2.gz MJQSQQDWIQUPNJ-UHFFFAOYSA-N 1 2 288.370 3.852 20 0 CHADLO Nc1ccc(Nc2c(F)c(Cl)cc(Cl)c2F)c[nH+]1 ZINC001159282038 1132822841 /nfs/dbraw/zinc/82/28/41/1132822841.db2.gz MFDRGULMQFYENX-UHFFFAOYSA-N 1 2 290.100 3.992 20 0 CHADLO Nc1ccc(Nc2cccc(OC3CCCC3)c2)c[nH+]1 ZINC001159281303 1132823273 /nfs/dbraw/zinc/82/32/73/1132823273.db2.gz WZAAVJCWYCQQOE-UHFFFAOYSA-N 1 2 269.348 3.729 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cnc(Cl)cn3)ccc21 ZINC001174380671 1132828179 /nfs/dbraw/zinc/82/81/79/1132828179.db2.gz IUKFOMHGCGBZBE-UHFFFAOYSA-N 1 2 287.754 3.804 20 0 CHADLO COc1ccc(N)c(Nc2ccc3c(c2)[nH+]cn3C(C)C)c1 ZINC001174381619 1132828710 /nfs/dbraw/zinc/82/87/10/1132828710.db2.gz PDEGNWGADMLCGS-UHFFFAOYSA-N 1 2 296.374 3.952 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccc(F)cn3)ccc21 ZINC001174381378 1132829608 /nfs/dbraw/zinc/82/96/08/1132829608.db2.gz YHGDRYVVMJKIMJ-UHFFFAOYSA-N 1 2 270.311 3.895 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)c(F)ccc1OC ZINC001174422882 1132840040 /nfs/dbraw/zinc/84/00/40/1132840040.db2.gz DNJDEPKVHHTEGA-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO Nc1cc(F)ccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001159442285 1132844196 /nfs/dbraw/zinc/84/41/96/1132844196.db2.gz HDVDTTXZWCNNES-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO CC(C)(O)c1cccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001174406640 1132844647 /nfs/dbraw/zinc/84/46/47/1132844647.db2.gz NLTVJDYQVSCJRV-UHFFFAOYSA-N 1 2 293.370 3.843 20 0 CHADLO Cc1c(Cl)nccc1Nc1cc[nH+]c2[nH]ccc21 ZINC001174410243 1132848088 /nfs/dbraw/zinc/84/80/88/1132848088.db2.gz PZBJZQFJKJNQAQ-UHFFFAOYSA-N 1 2 258.712 3.615 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccnc2[nH]ccc21 ZINC001174410861 1132849912 /nfs/dbraw/zinc/84/99/12/1132849912.db2.gz VRBFGECSZQBHEH-UHFFFAOYSA-N 1 2 252.321 3.524 20 0 CHADLO CC(C)CCn1cc(Nc2ccccc2-n2cc[nH+]c2)cn1 ZINC001174413709 1132852502 /nfs/dbraw/zinc/85/25/02/1132852502.db2.gz OJLBXFPLZLKGBI-UHFFFAOYSA-N 1 2 295.390 3.859 20 0 CHADLO O=C1CCCc2cc(Nc3cc[nH+]c4[nH]ccc43)ccc21 ZINC001174414349 1132854305 /nfs/dbraw/zinc/85/43/05/1132854305.db2.gz APJPSDSKYCOIEN-UHFFFAOYSA-N 1 2 277.327 3.777 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cnn(CC2CC2)c1 ZINC001174468870 1132875769 /nfs/dbraw/zinc/87/57/69/1132875769.db2.gz YNJVPTCJKUXDLL-UHFFFAOYSA-N 1 2 284.407 3.939 20 0 CHADLO Nc1ccc2c(ccn2-c2ccc([NH+]3CCCC3)cc2)c1 ZINC001174527242 1132888834 /nfs/dbraw/zinc/88/88/34/1132888834.db2.gz WLIOSEQOFFGCEY-UHFFFAOYSA-N 1 2 277.371 3.813 20 0 CHADLO Cc1cc(Cl)c(C)c(NCOc2ccccc2)[nH+]1 ZINC001159704625 1132895477 /nfs/dbraw/zinc/89/54/77/1132895477.db2.gz DAHYURGFNIJTIL-UHFFFAOYSA-N 1 2 262.740 3.800 20 0 CHADLO COc1cc(Nc2cccc(C(C)C)[nH+]2)ccc1O ZINC001174541246 1132901259 /nfs/dbraw/zinc/90/12/59/1132901259.db2.gz QMOGMQIKSINETG-UHFFFAOYSA-N 1 2 258.321 3.663 20 0 CHADLO Sc1cccc(Nc2ccc([C@H]3CC[NH2+]C3)cc2)c1 ZINC001159776445 1132907762 /nfs/dbraw/zinc/90/77/62/1132907762.db2.gz USZOKVSZIXPQKJ-ZDUSSCGKSA-N 1 2 270.401 3.796 20 0 CHADLO Cn1ccc2ccc(Nc3cccn4cc[nH+]c34)cc21 ZINC001174515045 1132908859 /nfs/dbraw/zinc/90/88/59/1132908859.db2.gz OCNPIKGTYVXZRN-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO c1cc2c(c(Nc3ccc(N4CCCCC4)[nH+]c3)c1)OCC2 ZINC001174524807 1132912457 /nfs/dbraw/zinc/91/24/57/1132912457.db2.gz PVXBPDJUNYGKLI-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO CN(C)c1ccccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174524119 1132912536 /nfs/dbraw/zinc/91/25/36/1132912536.db2.gz FFSQFJIDTAAMAC-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CCOc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cn1 ZINC001174523664 1132912993 /nfs/dbraw/zinc/91/29/93/1132912993.db2.gz UVRPOSQDCAXHSF-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(N2CCCCC2)nc1 ZINC001174523253 1132913073 /nfs/dbraw/zinc/91/30/73/1132913073.db2.gz KHCHSHLAFXIJAA-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO Oc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)ccc1F ZINC001174524056 1132913152 /nfs/dbraw/zinc/91/31/52/1132913152.db2.gz BMRZPYZUWWOITM-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Cc1ccc(N)c(N(C)c2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174523190 1132913291 /nfs/dbraw/zinc/91/32/91/1132913291.db2.gz GPAMVTLZCSQSPL-UHFFFAOYSA-N 1 2 296.418 3.730 20 0 CHADLO COc1ccc(O)c(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174524893 1132913398 /nfs/dbraw/zinc/91/33/98/1132913398.db2.gz UPZHFOSNTMJDGY-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO c1csc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174523084 1132913621 /nfs/dbraw/zinc/91/36/21/1132913621.db2.gz FWIXLQHZYBJQMD-UHFFFAOYSA-N 1 2 259.378 3.877 20 0 CHADLO Oc1ccc(F)cc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174525085 1132914396 /nfs/dbraw/zinc/91/43/96/1132914396.db2.gz XVZNGWZZLMXRPU-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO COc1ccc(Nc2cc(C3CC3)c[nH+]c2C)c(N)c1 ZINC001159910627 1132922612 /nfs/dbraw/zinc/92/26/12/1132922612.db2.gz YJERJDJXDCYXQB-UHFFFAOYSA-N 1 2 269.348 3.602 20 0 CHADLO c1ccc2cc(N[C@@H]3COCc4ccccc43)[nH+]cc2c1 ZINC001160115601 1132940387 /nfs/dbraw/zinc/94/03/87/1132940387.db2.gz ALVOWERVNIVDKD-QGZVFWFLSA-N 1 2 276.339 3.918 20 0 CHADLO C=Cc1ccc(NCC2(c3ccccc3)CC2)[nH+]c1 ZINC001160262361 1132953752 /nfs/dbraw/zinc/95/37/52/1132953752.db2.gz GHJARAWVFNBWBC-UHFFFAOYSA-N 1 2 250.345 3.868 20 0 CHADLO Cc1cc(NC(C)(C)c2ccncc2)nc(C(C)C)[nH+]1 ZINC001160313158 1132961413 /nfs/dbraw/zinc/96/14/13/1132961413.db2.gz XXNWXFMXEWFLAI-UHFFFAOYSA-N 1 2 270.380 3.651 20 0 CHADLO c1cn(-c2ccc(Nc3ccc4c(c3)CCCO4)cc2)c[nH+]1 ZINC001174721031 1132964208 /nfs/dbraw/zinc/96/42/08/1132964208.db2.gz ZROAGGAOPKCMFM-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO COC1CCC(CCNc2ccc(CSC)c[nH+]2)CC1 ZINC001160643680 1132977464 /nfs/dbraw/zinc/97/74/64/1132977464.db2.gz OYMBIPXSHJPTSC-UHFFFAOYSA-N 1 2 294.464 3.952 20 0 CHADLO C=Cc1ccc(N[C@@H](C)c2c[nH+]c3c(C)cccn23)nc1 ZINC001160686912 1132978181 /nfs/dbraw/zinc/97/81/81/1132978181.db2.gz RYCVJMHOAWCWKH-ZDUSSCGKSA-N 1 2 278.359 3.854 20 0 CHADLO CCCc1ccc(N[C@@H](C)c2c[nH+]c3c(C)cccn23)nn1 ZINC001160687055 1132978588 /nfs/dbraw/zinc/97/85/88/1132978588.db2.gz ZKBVHNSDMOSXLJ-ZDUSSCGKSA-N 1 2 295.390 3.558 20 0 CHADLO CCOc1cc(CNc2cc(SC)cc[nH+]2)ccc1O ZINC001160784348 1132981748 /nfs/dbraw/zinc/98/17/48/1132981748.db2.gz HBUOUBARVATVIG-UHFFFAOYSA-N 1 2 290.388 3.520 20 0 CHADLO FC(F)(F)c1cncc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213028751 1132990121 /nfs/dbraw/zinc/99/01/21/1132990121.db2.gz VQJWTXYOFMTSAS-UHFFFAOYSA-N 1 2 278.237 3.720 20 0 CHADLO CC[C@@H](Nc1cc(N)nc[nH+]1)c1cccc(C(F)(F)F)c1 ZINC001161077635 1132993153 /nfs/dbraw/zinc/99/31/53/1132993153.db2.gz ISZPGGUZDVWYGS-LLVKDONJSA-N 1 2 296.296 3.641 20 0 CHADLO Cc1cccc(-n2nccc2Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213029385 1132993347 /nfs/dbraw/zinc/99/33/47/1132993347.db2.gz LLGTWOYYIPTGCZ-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO COc1ccc(Cl)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213030502 1132994097 /nfs/dbraw/zinc/99/40/97/1132994097.db2.gz CKBYPSHPUDWEQO-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO CCc1cccc(N(C)C(=O)[C@H](CC(C)C)n2cc[nH+]c2)c1 ZINC001161100225 1132994219 /nfs/dbraw/zinc/99/42/19/1132994219.db2.gz QAAYFNVZVOTLHX-KRWDZBQOSA-N 1 2 299.418 3.696 20 0 CHADLO c1[nH]c2c(cccc2Nc2cccnc2C2CCC2)[nH+]1 ZINC001213029939 1132994786 /nfs/dbraw/zinc/99/47/86/1132994786.db2.gz ZJGSWHNVDOOSFA-UHFFFAOYSA-N 1 2 264.332 3.969 20 0 CHADLO Fc1cc(F)c(Nc2cccc3[nH+]c[nH]c32)cc1F ZINC001213031840 1132996298 /nfs/dbraw/zinc/99/62/98/1132996298.db2.gz PCUHZVUVBIMQGK-UHFFFAOYSA-N 1 2 263.222 3.724 20 0 CHADLO COCc1ccc(CNc2ccc(CSC)c[nH+]2)cc1 ZINC001161334363 1132997579 /nfs/dbraw/zinc/99/75/79/1132997579.db2.gz LEBLKKGNKKKIJH-UHFFFAOYSA-N 1 2 288.416 3.703 20 0 CHADLO CC(=O)Nc1cc(Nc2cccc3[nH+]c[nH]c32)ccc1C ZINC001213031881 1132997901 /nfs/dbraw/zinc/99/79/01/1132997901.db2.gz QMVPUASLZJSWGA-UHFFFAOYSA-N 1 2 280.331 3.573 20 0 CHADLO CCOc1ccc(F)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213032225 1132999468 /nfs/dbraw/zinc/99/94/68/1132999468.db2.gz YTGPVWSALHMCSO-UHFFFAOYSA-N 1 2 271.295 3.844 20 0 CHADLO CC(=O)c1cc(Nc2cccc3[nH+]c[nH]c32)ccc1F ZINC001213032340 1132999510 /nfs/dbraw/zinc/99/95/10/1132999510.db2.gz AJDKGBSJQGKRHQ-UHFFFAOYSA-N 1 2 269.279 3.648 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)c(C)cc1N ZINC001213032454 1132999813 /nfs/dbraw/zinc/99/98/13/1132999813.db2.gz GYOBWLDQZZYLOM-UHFFFAOYSA-N 1 2 252.321 3.506 20 0 CHADLO Cc1cc(Cl)c(C)c(N[C@H]2CCCc3nccnc32)[nH+]1 ZINC001161409408 1133000316 /nfs/dbraw/zinc/00/03/16/1133000316.db2.gz PFQLNUSYIHXWOC-ZDUSSCGKSA-N 1 2 288.782 3.631 20 0 CHADLO CC(=O)c1ccc(C)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032699 1133001038 /nfs/dbraw/zinc/00/10/38/1133001038.db2.gz SZKPCXFXJBOCAL-UHFFFAOYSA-N 1 2 265.316 3.818 20 0 CHADLO Fc1cc2cccnc2c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032447 1133001130 /nfs/dbraw/zinc/00/11/30/1133001130.db2.gz GNMYRRJSCVLHMU-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO Cc1cc(Cl)c(C)c(NC[C@@H]2CCOC(C)(C)C2)[nH+]1 ZINC001161429712 1133001430 /nfs/dbraw/zinc/00/14/30/1133001430.db2.gz XYQCVEBPOQIPPP-GFCCVEGCSA-N 1 2 282.815 3.969 20 0 CHADLO CC(=O)c1cccc(Nc2cccc3[nH+]c[nH]c32)c1C ZINC001213033365 1133004123 /nfs/dbraw/zinc/00/41/23/1133004123.db2.gz CABYCFULXASGTJ-UHFFFAOYSA-N 1 2 265.316 3.818 20 0 CHADLO Cc1cc(Cl)c(Nc2cccc3[nH+]c[nH]c32)cc1O ZINC001213033504 1133004633 /nfs/dbraw/zinc/00/46/33/1133004633.db2.gz JXFKEAHNGWVGNF-UHFFFAOYSA-N 1 2 273.723 3.974 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ncc(Cl)cc1Cl ZINC001161562795 1133006043 /nfs/dbraw/zinc/00/60/43/1133006043.db2.gz GGHIIHIKNQTBFL-UHFFFAOYSA-N 1 2 285.178 3.778 20 0 CHADLO C[C@@H](CCc1ccccc1)Nc1cc2cc[nH]c2c[nH+]1 ZINC001161611755 1133007709 /nfs/dbraw/zinc/00/77/09/1133007709.db2.gz NRRIADHCBKOEHC-ZDUSSCGKSA-N 1 2 265.360 3.996 20 0 CHADLO C[C@H](CCc1ccccc1)Nc1cc2cc[nH]c2c[nH+]1 ZINC001161611753 1133007905 /nfs/dbraw/zinc/00/79/05/1133007905.db2.gz NRRIADHCBKOEHC-CYBMUJFWSA-N 1 2 265.360 3.996 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)cc2snnc21 ZINC001213033828 1133007932 /nfs/dbraw/zinc/00/79/32/1133007932.db2.gz ZPANVULSUFRPBJ-UHFFFAOYSA-N 1 2 281.344 3.620 20 0 CHADLO Nc1cc(F)cc(Cl)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213033632 1133007986 /nfs/dbraw/zinc/00/79/86/1133007986.db2.gz PYXNSFACROOHKT-UHFFFAOYSA-N 1 2 276.702 3.681 20 0 CHADLO CCC(=O)c1cccc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213034325 1133014395 /nfs/dbraw/zinc/01/43/95/1133014395.db2.gz DCBVXHWMSVUNGS-UHFFFAOYSA-N 1 2 265.316 3.899 20 0 CHADLO Clc1cccc2cnc(NCCCn3cc[nH+]c3)cc12 ZINC001161687797 1133015678 /nfs/dbraw/zinc/01/56/78/1133015678.db2.gz DOHYYNPFUROUJH-UHFFFAOYSA-N 1 2 286.766 3.587 20 0 CHADLO CCc1cc(C)cc(CC)c1NCCCn1cc[nH+]c1 ZINC001161684940 1133016270 /nfs/dbraw/zinc/01/62/70/1133016270.db2.gz YQHTYJXBGTWQOF-UHFFFAOYSA-N 1 2 271.408 3.819 20 0 CHADLO FC(F)(F)c1cccc2cc[nH+]c(NC3CCOCC3)c21 ZINC001161861714 1133035974 /nfs/dbraw/zinc/03/59/74/1133035974.db2.gz QXXBDCFSMWEXQC-UHFFFAOYSA-N 1 2 296.292 3.845 20 0 CHADLO CCCc1cc(NCc2ncccc2C)nc(CCC)[nH+]1 ZINC001161880970 1133037249 /nfs/dbraw/zinc/03/72/49/1133037249.db2.gz AVQOFINTCIUFAV-UHFFFAOYSA-N 1 2 284.407 3.697 20 0 CHADLO Cc1ncc(CNc2cc(C)c(C(F)(F)F)c[nH+]2)s1 ZINC001162154408 1133054605 /nfs/dbraw/zinc/05/46/05/1133054605.db2.gz BNBLPFSEBYLYLR-UHFFFAOYSA-N 1 2 287.310 3.786 20 0 CHADLO c1ccn(-c2ccc[nH+]c2NCc2nc3ccccc3o2)c1 ZINC001161966906 1133044855 /nfs/dbraw/zinc/04/48/55/1133044855.db2.gz XTHGFZJGJYBETH-UHFFFAOYSA-N 1 2 290.326 3.626 20 0 CHADLO Cc1ccc2sc(NCCNc3cccc[nH+]3)nc2c1 ZINC001162004014 1133047740 /nfs/dbraw/zinc/04/77/40/1133047740.db2.gz BNMGUMIMZMUARO-UHFFFAOYSA-N 1 2 284.388 3.524 20 0 CHADLO CC1(C)CC[C@@H](CNc2ccc(Cl)c(N)[nH+]2)C1 ZINC001162122788 1133051592 /nfs/dbraw/zinc/05/15/92/1133051592.db2.gz WKWXIZSTSKOJDE-SECBINFHSA-N 1 2 253.777 3.555 20 0 CHADLO Cc1ncc(CNc2cc3cc(F)ccc3c[nH+]2)s1 ZINC001162155378 1133054502 /nfs/dbraw/zinc/05/45/02/1133054502.db2.gz RSVBPDRUBMWLCA-UHFFFAOYSA-N 1 2 273.336 3.751 20 0 CHADLO Cc1cc(N[C@H]2C[C@]23CCCOC3)[nH+]c2ccccc12 ZINC001162371273 1133073328 /nfs/dbraw/zinc/07/33/28/1133073328.db2.gz QNTHVHXOLOTFMO-RDJZCZTQSA-N 1 2 268.360 3.524 20 0 CHADLO CCN(CC1CC1)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001162471051 1133081116 /nfs/dbraw/zinc/08/11/16/1133081116.db2.gz JEBBHGWJKIAXPV-UHFFFAOYSA-N 1 2 261.413 3.960 20 0 CHADLO Cc1cc(NC[C@H]2COc3cnccc32)[nH+]c2ccccc12 ZINC001162571703 1133093211 /nfs/dbraw/zinc/09/32/11/1133093211.db2.gz GHNJTFBVZYOWAG-ZDUSSCGKSA-N 1 2 291.354 3.526 20 0 CHADLO Cc1nc(N[C@@H](C)c2cccc(N(C)C)c2)c2c([nH+]1)CCC2 ZINC001162633918 1133096574 /nfs/dbraw/zinc/09/65/74/1133096574.db2.gz ZISYDZACMMEXBH-LBPRGKRZSA-N 1 2 296.418 3.513 20 0 CHADLO Cn1cccc1CNc1cc[nH+]c2[nH]cc(C(F)(F)F)c21 ZINC001162712285 1133105550 /nfs/dbraw/zinc/10/55/50/1133105550.db2.gz RWGANQWETGCCFI-UHFFFAOYSA-N 1 2 294.280 3.532 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1cncc2ccccc21 ZINC001162747129 1133107585 /nfs/dbraw/zinc/10/75/85/1133107585.db2.gz AVWXFXGTYYJENY-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO CCc1cc(N2CCC[C@H](CC(C)C)C2)nc(CC)[nH+]1 ZINC001162799576 1133113364 /nfs/dbraw/zinc/11/33/64/1133113364.db2.gz DBMNHSBYMBZEAO-CQSZACIVSA-N 1 2 275.440 3.864 20 0 CHADLO c1cn(-c2ccccc2Nc2ccc3c(c2)OCC3)c[nH+]1 ZINC001206678590 1133114193 /nfs/dbraw/zinc/11/41/93/1133114193.db2.gz JIZDTCKZRSYXML-UHFFFAOYSA-N 1 2 277.327 3.551 20 0 CHADLO CC[C@H]1CCC[C@H]1Nc1nc(C)[nH+]c2c1CCCC2 ZINC001162818733 1133114929 /nfs/dbraw/zinc/11/49/29/1133114929.db2.gz JZUYTMASQDOZQW-GXTWGEPZSA-N 1 2 259.397 3.654 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1cn(C)c2ccccc12 ZINC001162834878 1133115970 /nfs/dbraw/zinc/11/59/70/1133115970.db2.gz WKZPABCTGPVELW-UHFFFAOYSA-N 1 2 295.386 3.973 20 0 CHADLO c1cn(Cc2cccc(Nc3ccc4c(c3)OCC4)c2)c[nH+]1 ZINC001206678747 1133116599 /nfs/dbraw/zinc/11/65/99/1133116599.db2.gz QPBJHXUQWZAWDF-UHFFFAOYSA-N 1 2 291.354 3.610 20 0 CHADLO Cc1cc(N(C)C[C@H]2CC=CCC2)nc(C2CCC2)[nH+]1 ZINC001162899830 1133119986 /nfs/dbraw/zinc/11/99/86/1133119986.db2.gz KCDQPZPJACBXJU-AWEZNQCLSA-N 1 2 271.408 3.845 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3ccncc32)nc(C(C)(C)C)[nH+]1 ZINC001163171887 1133133476 /nfs/dbraw/zinc/13/34/76/1133133476.db2.gz JEXHDLKEQJSACZ-OAHLLOKOSA-N 1 2 296.418 3.967 20 0 CHADLO Cc1cc(NC2(c3ccc(N)cc3)CC2)nc(C(C)(C)C)[nH+]1 ZINC001163200602 1133136130 /nfs/dbraw/zinc/13/61/30/1133136130.db2.gz HHZTYLAJZNTBEB-UHFFFAOYSA-N 1 2 296.418 3.766 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cccc(F)c2OC)c1 ZINC001163335263 1133142731 /nfs/dbraw/zinc/14/27/31/1133142731.db2.gz NGMIVYWSGNJQQS-LLVKDONJSA-N 1 2 290.338 3.549 20 0 CHADLO CCc1nc(N2CCC[C@@]2(C)CC(C)C)cc(C)[nH+]1 ZINC001163389542 1133146683 /nfs/dbraw/zinc/14/66/83/1133146683.db2.gz ANPLIXXCJVEDAS-INIZCTEOSA-N 1 2 261.413 3.752 20 0 CHADLO Cc1nc(N(C)[C@@H]2CCC[C@H]2c2ccccc2)cc[nH+]1 ZINC001163473898 1133151948 /nfs/dbraw/zinc/15/19/48/1133151948.db2.gz WENSKSXJPQYEPR-JKSUJKDBSA-N 1 2 267.376 3.558 20 0 CHADLO CC[C@H](C)CCNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC001163690261 1133165694 /nfs/dbraw/zinc/16/56/94/1133165694.db2.gz MQXYFZNQIGKPDM-ZDUSSCGKSA-N 1 2 295.390 3.664 20 0 CHADLO Cc1cc(N2CCC(=O)[C@H]3CCCC[C@@H]32)[nH+]c2ccccc12 ZINC001163790427 1133175420 /nfs/dbraw/zinc/17/54/20/1133175420.db2.gz KZPIZUPJEYEWSV-RDJZCZTQSA-N 1 2 294.398 3.881 20 0 CHADLO CCN(C)c1cc(NCc2ccc(C(C)C)cc2)[nH+]cn1 ZINC001163816746 1133176575 /nfs/dbraw/zinc/17/65/75/1133176575.db2.gz NDHOOLUZFORCDO-UHFFFAOYSA-N 1 2 284.407 3.668 20 0 CHADLO CCN(C)c1cc(NCc2ccc(C(C)C)cc2)nc[nH+]1 ZINC001163816746 1133176580 /nfs/dbraw/zinc/17/65/80/1133176580.db2.gz NDHOOLUZFORCDO-UHFFFAOYSA-N 1 2 284.407 3.668 20 0 CHADLO Fc1ccc2c[nH+]c(NC[C@@H]3Cc4ccccc4O3)cc2c1 ZINC001163858406 1133180071 /nfs/dbraw/zinc/18/00/71/1133180071.db2.gz DCFXPRSZCUFKNZ-INIZCTEOSA-N 1 2 294.329 3.790 20 0 CHADLO CCCc1cc(NCc2ccccc2N)nc(CCC)[nH+]1 ZINC001163884452 1133182740 /nfs/dbraw/zinc/18/27/40/1133182740.db2.gz HSUOKVGCNFAJJL-UHFFFAOYSA-N 1 2 284.407 3.576 20 0 CHADLO COC1(C)CCN(c2cc(C)c3cccc(C)c3[nH+]2)CC1 ZINC001163943009 1133185734 /nfs/dbraw/zinc/18/57/34/1133185734.db2.gz MVTRMXAVVNWBNP-UHFFFAOYSA-N 1 2 284.403 3.857 20 0 CHADLO Cc1c[nH+]cc(NCc2cc3ccccc3n2C)c1C ZINC001163946019 1133185942 /nfs/dbraw/zinc/18/59/42/1133185942.db2.gz BCYJOXWPAOBNQD-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO Cn1c[nH+]c2ccc(NCc3cc4ccccc4n3C)cc21 ZINC001163934178 1133186069 /nfs/dbraw/zinc/18/60/69/1133186069.db2.gz VCMQUCCUWHWKJH-UHFFFAOYSA-N 1 2 290.370 3.677 20 0 CHADLO CNc1ccc(CNc2[nH+]cccc2OC(C)C)cc1 ZINC001164159457 1133202068 /nfs/dbraw/zinc/20/20/68/1133202068.db2.gz UGIWUQRIHYXYEW-UHFFFAOYSA-N 1 2 271.364 3.523 20 0 CHADLO CC(C)c1ccc(N(C)Cc2cnc(Cl)s2)[nH+]c1 ZINC001164168773 1133202106 /nfs/dbraw/zinc/20/21/06/1133202106.db2.gz LXXFWHODXJFQRS-UHFFFAOYSA-N 1 2 281.812 3.951 20 0 CHADLO COc1ccc(N[C@@H](c2cccnc2)C(F)(F)F)[nH+]c1C ZINC001164188861 1133204633 /nfs/dbraw/zinc/20/46/33/1133204633.db2.gz MVGFHRBLVQMURD-ZDUSSCGKSA-N 1 2 297.280 3.509 20 0 CHADLO CC1CC(N(C)c2cc(-c3ccccc3)cc[nH+]2)C1 ZINC001164195639 1133205176 /nfs/dbraw/zinc/20/51/76/1133205176.db2.gz WYKZOPFDSMFBBR-UHFFFAOYSA-N 1 2 252.361 3.983 20 0 CHADLO CCC[C@H](C)CCCSc1nc(N)cc(C)[nH+]1 ZINC001165288042 1133235821 /nfs/dbraw/zinc/23/58/21/1133235821.db2.gz DDDLAHJGDRIZKX-JTQLQIEISA-N 1 2 253.415 3.676 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NCC3(CO)CCC3)c2)cc1 ZINC001164357887 1133216127 /nfs/dbraw/zinc/21/61/27/1133216127.db2.gz NYRFUGLYQDDERT-UHFFFAOYSA-N 1 2 282.387 3.632 20 0 CHADLO c1ccc(COc2cc(NC[C@H]3CCCCO3)cc[nH+]2)cc1 ZINC001165229397 1133231532 /nfs/dbraw/zinc/23/15/32/1133231532.db2.gz JOBNCUKIUCSUOX-QGZVFWFLSA-N 1 2 298.386 3.642 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CC[C@@]3(CCOC3)C2)c1 ZINC001165250975 1133233032 /nfs/dbraw/zinc/23/30/32/1133233032.db2.gz LGUFXDOQSRWXHU-MRXNPFEDSA-N 1 2 288.778 3.505 20 0 CHADLO Cc1cc(N2CC[C@H]3CCCO[C@H]3C2)[nH+]c2ccccc12 ZINC001165331996 1133240214 /nfs/dbraw/zinc/24/02/14/1133240214.db2.gz GDVMBRUBWRTVLV-PBHICJAKSA-N 1 2 282.387 3.549 20 0 CHADLO COC[C@@]12CCC[C@@H]1CN(c1[nH+]c(C)cc(Cl)c1C)C2 ZINC001165927470 1133256396 /nfs/dbraw/zinc/25/63/96/1133256396.db2.gz KBRFYNSHNUXGIR-CJNGLKHVSA-N 1 2 294.826 3.605 20 0 CHADLO COc1ccc(C)[nH+]c1N1CCC[C@H](Cc2ccccc2)C1 ZINC001166563279 1133270219 /nfs/dbraw/zinc/27/02/19/1133270219.db2.gz MRNKFNHDCFSQBS-QGZVFWFLSA-N 1 2 296.414 3.858 20 0 CHADLO Cc1nc(N2CCCC[C@H]2c2cccnc2)c(C)c(C)[nH+]1 ZINC001166577434 1133272205 /nfs/dbraw/zinc/27/22/05/1133272205.db2.gz QVHFOAZFQWMELS-INIZCTEOSA-N 1 2 282.391 3.528 20 0 CHADLO COc1ccc(C)[nH+]c1N(C)Cc1ccc(Cl)cc1 ZINC001166676499 1133280201 /nfs/dbraw/zinc/28/02/01/1133280201.db2.gz MFIMCNUKOCAMEY-UHFFFAOYSA-N 1 2 276.767 3.688 20 0 CHADLO Cc1nc([C@H]2CCCCN2c2cc3ccccc3c[nH+]2)no1 ZINC001166714200 1133284161 /nfs/dbraw/zinc/28/41/61/1133284161.db2.gz NTCCAAUZSYCUQZ-OAHLLOKOSA-N 1 2 294.358 3.658 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@H]2C(C)(C)OC)c1 ZINC001166792394 1133288057 /nfs/dbraw/zinc/28/80/57/1133288057.db2.gz OTVWOXXVRVIQFG-ZDUSSCGKSA-N 1 2 280.437 3.587 20 0 CHADLO COC(C)(C)[C@@H]1CCCN1c1[nH+]ccc2ccccc21 ZINC001166792273 1133288163 /nfs/dbraw/zinc/28/81/63/1133288163.db2.gz NQBLUPVDGPYIAK-HNNXBMFYSA-N 1 2 270.376 3.629 20 0 CHADLO Cc1ccc2sc(SCCn3cc[nH+]c3)nc2c1 ZINC001166846197 1133292301 /nfs/dbraw/zinc/29/23/01/1133292301.db2.gz KWJJRBBHCNBDBG-UHFFFAOYSA-N 1 2 275.402 3.594 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NCCc2ccsc2)c1 ZINC001166850810 1133292079 /nfs/dbraw/zinc/29/20/79/1133292079.db2.gz PUYYTPWJSUFNCN-UHFFFAOYSA-N 1 2 283.400 3.803 20 0 CHADLO CO[C@@H]1CCCN(c2[nH+]ccc3cc(Cl)ccc32)C1 ZINC001166952394 1133295705 /nfs/dbraw/zinc/29/57/05/1133295705.db2.gz UEHFMCZCNYQBNE-CYBMUJFWSA-N 1 2 276.767 3.503 20 0 CHADLO CC(C)[C@@H]1COCCN1c1cc(-c2ccccc2)cc[nH+]1 ZINC001166997272 1133297432 /nfs/dbraw/zinc/29/74/32/1133297432.db2.gz KIYUFLXTLKXVIU-KRWDZBQOSA-N 1 2 282.387 3.610 20 0 CHADLO Cc1cncc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)s2)n1 ZINC001203173555 1133303732 /nfs/dbraw/zinc/30/37/32/1133303732.db2.gz KKJRBZJCKRWARI-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cncc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)s2)n1 ZINC001203173555 1133303737 /nfs/dbraw/zinc/30/37/37/1133303737.db2.gz KKJRBZJCKRWARI-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO CCOc1cccc(F)c1C[NH2+]Cc1cccc(F)c1F ZINC001203300337 1133312508 /nfs/dbraw/zinc/31/25/08/1133312508.db2.gz IBSDCTLDBOWAGO-UHFFFAOYSA-N 1 2 295.304 3.792 20 0 CHADLO Cc1ccc(Nc2cc3ccccc3n2C)c(C)[nH+]1 ZINC001203369833 1133319506 /nfs/dbraw/zinc/31/95/06/1133319506.db2.gz TVEGWXNQJLQNRU-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO Cc1cnn(C2CCC2)c1Nc1ccc(C)[nH+]c1C ZINC001203369303 1133319927 /nfs/dbraw/zinc/31/99/27/1133319927.db2.gz OEZWZWAPOAKBRY-UHFFFAOYSA-N 1 2 256.353 3.672 20 0 CHADLO CC(=O)c1cc(F)ccc1Nc1ccc(C)[nH+]c1C ZINC001203374087 1133319942 /nfs/dbraw/zinc/31/99/42/1133319942.db2.gz DRARISMOABUZNM-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1ccc(Nc2cccc3c2cc[nH]c3=O)c(C)[nH+]1 ZINC001203374929 1133319984 /nfs/dbraw/zinc/31/99/84/1133319984.db2.gz OVOYIMNCPCRCSS-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1cc(Nc2ccc(C)[nH+]c2C)cc(Br)n1 ZINC001203369613 1133320410 /nfs/dbraw/zinc/32/04/10/1133320410.db2.gz AZFYXPXWEHBJCQ-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COc1ccc(Nc2ccc(C)[nH+]c2C)c2ccncc12 ZINC001203381096 1133321270 /nfs/dbraw/zinc/32/12/70/1133321270.db2.gz PCCCTJNBXAWOPG-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CNc1ccc(Nc2cncc(Cl)c2Cl)c[nH+]1 ZINC001203449196 1133326752 /nfs/dbraw/zinc/32/67/52/1133326752.db2.gz CDRGWYHJLRDSEC-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO CNc1ccc(Nc2ccccc2-n2cccc2)c[nH+]1 ZINC001203457873 1133329166 /nfs/dbraw/zinc/32/91/66/1133329166.db2.gz COONOMIMIWEJHG-UHFFFAOYSA-N 1 2 264.332 3.658 20 0 CHADLO Cc1cc(CNc2[nH]c3cc(C)ccc3[nH+]2)c(C)o1 ZINC001203470368 1133331224 /nfs/dbraw/zinc/33/12/24/1133331224.db2.gz GLOPICMCNLVFBX-UHFFFAOYSA-N 1 2 255.321 3.693 20 0 CHADLO CNc1ccc(Nc2cc(C(F)(F)F)ccc2NC)c[nH+]1 ZINC001203458895 1133331450 /nfs/dbraw/zinc/33/14/50/1133331450.db2.gz TWSZCPPXDWSBJQ-UHFFFAOYSA-N 1 2 296.296 3.927 20 0 CHADLO Cc1nc(F)ccc1CNc1[nH]c2ccc(Cl)cc2[nH+]1 ZINC001203573347 1133341634 /nfs/dbraw/zinc/34/16/34/1133341634.db2.gz YZWAFRJWFFHDBA-UHFFFAOYSA-N 1 2 290.729 3.671 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3nnc(C(C)(C)C)n3c2)c1 ZINC001203657156 1133348880 /nfs/dbraw/zinc/34/88/80/1133348880.db2.gz JXJZUBWBYDNMCR-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc3c2cc[nH]c3=O)c1 ZINC001203663090 1133349326 /nfs/dbraw/zinc/34/93/26/1133349326.db2.gz IPGAHTNMBHVXIN-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1nc2cc(Nc3cc(C)c[nH+]c3C)ccc2o1 ZINC001203663877 1133350800 /nfs/dbraw/zinc/35/08/00/1133350800.db2.gz XTOQEUQAONLVTA-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO COCCCOc1ccc(Nc2cc(C)c[nH+]c2C)cc1 ZINC001203663936 1133351826 /nfs/dbraw/zinc/35/18/26/1133351826.db2.gz ZVQJJWXEVVUDBR-UHFFFAOYSA-N 1 2 286.375 3.857 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2cncnc2c1 ZINC001203707524 1133355837 /nfs/dbraw/zinc/35/58/37/1133355837.db2.gz JOIFPIISUYVTNW-UHFFFAOYSA-N 1 2 264.332 3.639 20 0 CHADLO Cc1cnc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001203712815 1133356874 /nfs/dbraw/zinc/35/68/74/1133356874.db2.gz PBFLXMUQNSPJGH-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Nc1cccc2c1cnn2-c1ccc([NH+]2CCCCC2)cc1 ZINC001203719871 1133358850 /nfs/dbraw/zinc/35/88/50/1133358850.db2.gz WQHRSEGPLXGVAF-UHFFFAOYSA-N 1 2 292.386 3.598 20 0 CHADLO Nc1cccc2c1CCN2c1ccc([NH+]2CCCCC2)cc1 ZINC001203724405 1133359363 /nfs/dbraw/zinc/35/93/63/1133359363.db2.gz MSVXHTYTIDMMSI-UHFFFAOYSA-N 1 2 293.414 3.953 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2[C@H](C(F)(F)F)O3)c(C)c1 ZINC001203745977 1133364082 /nfs/dbraw/zinc/36/40/82/1133364082.db2.gz IKHPTUKLVYLAAH-AEGPPILISA-N 1 2 299.336 3.516 20 0 CHADLO Cc1cc(C)c(C[N@H+]2C[C@@H]3C[C@H]2[C@H](C(F)(F)F)O3)c(C)c1 ZINC001203745977 1133364086 /nfs/dbraw/zinc/36/40/86/1133364086.db2.gz IKHPTUKLVYLAAH-AEGPPILISA-N 1 2 299.336 3.516 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cccc(Cl)c2)C[C@@H]1F ZINC001203797457 1133370109 /nfs/dbraw/zinc/37/01/09/1133370109.db2.gz MCRMBPIPUVAHKN-QWHCGFSZSA-N 1 2 259.727 3.612 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cccc(Cl)c2)C[C@@H]1F ZINC001203797457 1133370111 /nfs/dbraw/zinc/37/01/11/1133370111.db2.gz MCRMBPIPUVAHKN-QWHCGFSZSA-N 1 2 259.727 3.612 20 0 CHADLO Cc1ccsc1C[N@@H+]1CCc2ncc(Cl)cc2C1 ZINC001204023626 1133401315 /nfs/dbraw/zinc/40/13/15/1133401315.db2.gz IUPQJGIHGBCRKM-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccsc1C[N@H+]1CCc2ncc(Cl)cc2C1 ZINC001204023626 1133401320 /nfs/dbraw/zinc/40/13/20/1133401320.db2.gz IUPQJGIHGBCRKM-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCOC[C@@H]1C1CCCCC1 ZINC001204207310 1133419458 /nfs/dbraw/zinc/41/94/58/1133419458.db2.gz KHVYKGZNJZMDFX-QGZVFWFLSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCOC[C@@H]1C1CCCCC1 ZINC001204207310 1133419460 /nfs/dbraw/zinc/41/94/60/1133419460.db2.gz KHVYKGZNJZMDFX-QGZVFWFLSA-N 1 2 295.373 3.746 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2CCCCC(C)C)no1 ZINC001204229346 1133422263 /nfs/dbraw/zinc/42/22/63/1133422263.db2.gz MEPHMBQVQTUEQU-CQSZACIVSA-N 1 2 265.401 3.731 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2CCCCC(C)C)no1 ZINC001204229346 1133422268 /nfs/dbraw/zinc/42/22/68/1133422268.db2.gz MEPHMBQVQTUEQU-CQSZACIVSA-N 1 2 265.401 3.731 20 0 CHADLO CCn1ccc(C[N@@H+](CC)Cc2cccc(Cl)c2)n1 ZINC001204261558 1133427703 /nfs/dbraw/zinc/42/77/03/1133427703.db2.gz LTXYQVBQEMUCFB-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CCn1ccc(C[N@H+](CC)Cc2cccc(Cl)c2)n1 ZINC001204261558 1133427698 /nfs/dbraw/zinc/42/76/98/1133427698.db2.gz LTXYQVBQEMUCFB-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CC[N@H+](Cc1[nH]nc(C)c1C)Cc1ccccc1Cl ZINC001204261580 1133427836 /nfs/dbraw/zinc/42/78/36/1133427836.db2.gz MKHGOLGJTUDWQT-UHFFFAOYSA-N 1 2 277.799 3.702 20 0 CHADLO CC[N@@H+](Cc1[nH]nc(C)c1C)Cc1ccccc1Cl ZINC001204261580 1133427840 /nfs/dbraw/zinc/42/78/40/1133427840.db2.gz MKHGOLGJTUDWQT-UHFFFAOYSA-N 1 2 277.799 3.702 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001204285039 1133428915 /nfs/dbraw/zinc/42/89/15/1133428915.db2.gz IMDABNFMOHWLAE-UHFFFAOYSA-N 1 2 291.753 3.794 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1ccc(F)c(Cl)c1 ZINC001204285039 1133428918 /nfs/dbraw/zinc/42/89/18/1133428918.db2.gz IMDABNFMOHWLAE-UHFFFAOYSA-N 1 2 291.753 3.794 20 0 CHADLO Cc1ccc(CN2CC[N@@H+](C)[C@H](c3ccccc3)C2)cc1C ZINC001204355177 1133440150 /nfs/dbraw/zinc/44/01/50/1133440150.db2.gz ZFXZQZGGODQKFZ-FQEVSTJZSA-N 1 2 294.442 3.792 20 0 CHADLO Cc1ccc(CN2CC[N@H+](C)[C@H](c3ccccc3)C2)cc1C ZINC001204355177 1133440154 /nfs/dbraw/zinc/44/01/54/1133440154.db2.gz ZFXZQZGGODQKFZ-FQEVSTJZSA-N 1 2 294.442 3.792 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc(OC(C)C)cc1)C2 ZINC001204457919 1133450322 /nfs/dbraw/zinc/45/03/22/1133450322.db2.gz OHYKGTVUXQTZCF-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc(OC(C)C)cc1)C2 ZINC001204457919 1133450326 /nfs/dbraw/zinc/45/03/26/1133450326.db2.gz OHYKGTVUXQTZCF-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO CC1(C)C[NH+](Cc2ccc(F)cc2C(F)(F)F)C1 ZINC001204476283 1133452341 /nfs/dbraw/zinc/45/23/41/1133452341.db2.gz RHHCUGJKIBQESF-UHFFFAOYSA-N 1 2 261.262 3.686 20 0 CHADLO Cc1cc(C)cc(C[N@@H+]2CCc3nc(Cl)ccc3C2)c1 ZINC001204523726 1133458127 /nfs/dbraw/zinc/45/81/27/1133458127.db2.gz BREVHJIWKUNMGR-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C)cc(C[N@H+]2CCc3nc(Cl)ccc3C2)c1 ZINC001204523726 1133458130 /nfs/dbraw/zinc/45/81/30/1133458130.db2.gz BREVHJIWKUNMGR-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO COC(=O)c1cccc2c1C[N@H+](Cc1cc(C)cc(C)c1)C2 ZINC001204526296 1133458331 /nfs/dbraw/zinc/45/83/31/1133458331.db2.gz NWCFRNHFZKZGTP-UHFFFAOYSA-N 1 2 295.382 3.606 20 0 CHADLO COC(=O)c1cccc2c1C[N@@H+](Cc1cc(C)cc(C)c1)C2 ZINC001204526296 1133458332 /nfs/dbraw/zinc/45/83/32/1133458332.db2.gz NWCFRNHFZKZGTP-UHFFFAOYSA-N 1 2 295.382 3.606 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@@H+]1Cc1nccs1 ZINC001204547961 1133461096 /nfs/dbraw/zinc/46/10/96/1133461096.db2.gz UOKAEBWENUCPCF-CYBMUJFWSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@H+]1Cc1nccs1 ZINC001204547961 1133461098 /nfs/dbraw/zinc/46/10/98/1133461098.db2.gz UOKAEBWENUCPCF-CYBMUJFWSA-N 1 2 262.353 3.619 20 0 CHADLO Cc1cc(-c2c(F)ccc(OC(C)C)c2F)c[nH+]c1N ZINC001204663079 1133473207 /nfs/dbraw/zinc/47/32/07/1133473207.db2.gz VEQMXWMHXQRGIU-UHFFFAOYSA-N 1 2 278.302 3.705 20 0 CHADLO COc1c(Cl)cc(-c2ccc(C)c(N)[nH+]2)cc1Cl ZINC001204819740 1133489261 /nfs/dbraw/zinc/48/92/61/1133489261.db2.gz OZEJXTWEDJJIHE-UHFFFAOYSA-N 1 2 283.158 3.955 20 0 CHADLO c1cn2ccc(Nc3cc(-c4cccnc4)ccn3)cc2[nH+]1 ZINC001204950669 1133505860 /nfs/dbraw/zinc/50/58/60/1133505860.db2.gz DOXYNIOEBCCWNL-UHFFFAOYSA-N 1 2 287.326 3.535 20 0 CHADLO Clc1ccnc(Cl)c1Nc1ccn2cc[nH+]c2c1 ZINC001204950684 1133506215 /nfs/dbraw/zinc/50/62/15/1133506215.db2.gz FKYBYIPFMSADCT-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO c1cn2ccc(Nc3cc(-c4ccncn4)cs3)cc2[nH+]1 ZINC001204966849 1133509115 /nfs/dbraw/zinc/50/91/15/1133509115.db2.gz ZTHAEOSQINBLSN-UHFFFAOYSA-N 1 2 293.355 3.596 20 0 CHADLO Fc1cccc(-c2cc(Nc3ccn4cc[nH+]c4c3)on2)c1 ZINC001204966809 1133509167 /nfs/dbraw/zinc/50/91/67/1133509167.db2.gz YHIVNKIBQRRNNV-UHFFFAOYSA-N 1 2 294.289 3.872 20 0 CHADLO COc1cccc2cc(Nc3ccn4cc[nH+]c4c3)cnc21 ZINC001204966161 1133509282 /nfs/dbraw/zinc/50/92/82/1133509282.db2.gz OTMXWRKVYOCCKM-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO c1cn2ccc(Nc3ccc(C4CCOCC4)cc3)cc2[nH+]1 ZINC001204982666 1133511714 /nfs/dbraw/zinc/51/17/14/1133511714.db2.gz DANFEZZOJKNVBL-UHFFFAOYSA-N 1 2 293.370 3.972 20 0 CHADLO COc1cc(Cl)cc(-c2ccc(Cn3cc[nH+]c3)cn2)c1 ZINC001205122875 1133533231 /nfs/dbraw/zinc/53/32/31/1133533231.db2.gz JKKDDYFHBLCTNX-UHFFFAOYSA-N 1 2 299.761 3.655 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2ccc(F)cc2F)o1 ZINC001205186124 1133542609 /nfs/dbraw/zinc/54/26/09/1133542609.db2.gz USLOYMLVZBSONX-UHFFFAOYSA-N 1 2 283.343 3.912 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2ccc(F)cc2F)o1 ZINC001205186124 1133542613 /nfs/dbraw/zinc/54/26/13/1133542613.db2.gz USLOYMLVZBSONX-UHFFFAOYSA-N 1 2 283.343 3.912 20 0 CHADLO Cc1cc(-c2ccc(Oc3ccccc3)nc2)c[nH+]c1N ZINC001205355985 1133567319 /nfs/dbraw/zinc/56/73/19/1133567319.db2.gz PVHXIUMUDHQHST-UHFFFAOYSA-N 1 2 277.327 3.827 20 0 CHADLO c1ccc(Oc2ccc(-c3cc[nH+]c4c3CCN4)cn2)cc1 ZINC001205366886 1133571196 /nfs/dbraw/zinc/57/11/96/1133571196.db2.gz HVBDSXUFKMGCIV-UHFFFAOYSA-N 1 2 289.338 3.904 20 0 CHADLO COCOc1cc(C)ccc1Nc1[nH+]c(C)ccc1C ZINC001205447350 1133578282 /nfs/dbraw/zinc/57/82/82/1133578282.db2.gz NVAGRFCLEIIQTL-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO Fc1ccc(-c2ccc3[nH+]ccn3c2)c(Cl)c1F ZINC001205676202 1133601613 /nfs/dbraw/zinc/60/16/13/1133601613.db2.gz VDYHPBBEXONNPK-UHFFFAOYSA-N 1 2 264.662 3.933 20 0 CHADLO Cc1cc(C)c(NC(=O)N(CC2CC2)C(C)C)c(C)[nH+]1 ZINC001205907854 1133628708 /nfs/dbraw/zinc/62/87/08/1133628708.db2.gz OWXWHUQWOVIUHT-UHFFFAOYSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC2(C)CC(F)(F)C2)c(C)[nH+]1 ZINC001205908709 1133630049 /nfs/dbraw/zinc/63/00/49/1133630049.db2.gz YAKPIKPCUZEONI-UHFFFAOYSA-N 1 2 297.349 3.564 20 0 CHADLO COc1nsc(C[N@@H+]2CC3(CCC3)[C@@H]2C2CC2)c1Cl ZINC001206115948 1133659088 /nfs/dbraw/zinc/65/90/88/1133659088.db2.gz KAOIZLSYQPLOOU-LBPRGKRZSA-N 1 2 298.839 3.570 20 0 CHADLO COc1nsc(C[N@H+]2CC3(CCC3)[C@@H]2C2CC2)c1Cl ZINC001206115948 1133659089 /nfs/dbraw/zinc/65/90/89/1133659089.db2.gz KAOIZLSYQPLOOU-LBPRGKRZSA-N 1 2 298.839 3.570 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)c[nH+]1)[C@@H]1C[C@H]1C1CC1 ZINC001206376954 1133695448 /nfs/dbraw/zinc/69/54/48/1133695448.db2.gz OPTLOXVLZVEQLZ-KCXAZCMYSA-N 1 2 271.408 3.528 20 0 CHADLO FC(F)(F)CCCNc1c[nH+]cc2c1CCCC2 ZINC001206636258 1133729748 /nfs/dbraw/zinc/72/97/48/1133729748.db2.gz MATWFUTXVLXWNB-UHFFFAOYSA-N 1 2 258.287 3.715 20 0 CHADLO CCCOc1ccc(F)c(-c2c[nH+]c(N)c(C)c2)c1F ZINC001206741030 1133739333 /nfs/dbraw/zinc/73/93/33/1133739333.db2.gz DBYZZADHDCXAQW-UHFFFAOYSA-N 1 2 278.302 3.706 20 0 CHADLO COc1cc(C)ccc1C[N@H+](C)Cc1ccc(F)cc1F ZINC001206783261 1133747933 /nfs/dbraw/zinc/74/79/33/1133747933.db2.gz ZPFBKXUWFGEFGL-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cc(C)ccc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC001206783261 1133747937 /nfs/dbraw/zinc/74/79/37/1133747937.db2.gz ZPFBKXUWFGEFGL-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO C[N@H+](CCc1cccc(Cl)c1)Cc1c(F)cncc1F ZINC001206860456 1133757504 /nfs/dbraw/zinc/75/75/04/1133757504.db2.gz FSAVKAOPEZPUTN-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1cccc(Cl)c1)Cc1c(F)cncc1F ZINC001206860456 1133757509 /nfs/dbraw/zinc/75/75/09/1133757509.db2.gz FSAVKAOPEZPUTN-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1ncc(F)cc1F ZINC001207099288 1133767579 /nfs/dbraw/zinc/76/75/79/1133767579.db2.gz QMXKNSPSUXDSLH-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1ncc(F)cc1F ZINC001207099288 1133767584 /nfs/dbraw/zinc/76/75/84/1133767584.db2.gz QMXKNSPSUXDSLH-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cccc(C(F)F)c2)C[C@@H]1F ZINC001207127275 1133770006 /nfs/dbraw/zinc/77/00/06/1133770006.db2.gz YLWUSXRJHWLVNZ-GXTWGEPZSA-N 1 2 275.289 3.896 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cccc(C(F)F)c2)C[C@@H]1F ZINC001207127275 1133770009 /nfs/dbraw/zinc/77/00/09/1133770009.db2.gz YLWUSXRJHWLVNZ-GXTWGEPZSA-N 1 2 275.289 3.896 20 0 CHADLO FC[C@H]1CC[N@@H+](CCCC2CCCC2)CC1(F)F ZINC001208087476 1133875896 /nfs/dbraw/zinc/87/58/96/1133875896.db2.gz LBVBDNSFYGZNLJ-CYBMUJFWSA-N 1 2 263.347 3.884 20 0 CHADLO CC(C)c1ccc(CC[N@@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001207519516 1133814253 /nfs/dbraw/zinc/81/42/53/1133814253.db2.gz QEPXQUKZZDKGMH-HOTGVXAUSA-N 1 2 267.363 3.734 20 0 CHADLO CC(C)c1ccc(CC[N@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001207519516 1133814260 /nfs/dbraw/zinc/81/42/60/1133814260.db2.gz QEPXQUKZZDKGMH-HOTGVXAUSA-N 1 2 267.363 3.734 20 0 CHADLO FC[C@H]1CC[N@H+](CCCC2CCCC2)CC1(F)F ZINC001208087476 1133875884 /nfs/dbraw/zinc/87/58/84/1133875884.db2.gz LBVBDNSFYGZNLJ-CYBMUJFWSA-N 1 2 263.347 3.884 20 0 CHADLO CC/C=C\CCCCC[N@@H+]1CCC[C@H](F)C(=O)C1 ZINC001208189075 1133903211 /nfs/dbraw/zinc/90/32/11/1133903211.db2.gz QVERVGQOEMAIBB-NQHOJNORSA-N 1 2 255.377 3.516 20 0 CHADLO CC/C=C\CCCCC[N@H+]1CCC[C@H](F)C(=O)C1 ZINC001208189075 1133903215 /nfs/dbraw/zinc/90/32/15/1133903215.db2.gz QVERVGQOEMAIBB-NQHOJNORSA-N 1 2 255.377 3.516 20 0 CHADLO COC(C)(C)CCC[C@@H](C)CC[N@H+](C)CC(F)F ZINC001208212243 1133908994 /nfs/dbraw/zinc/90/89/94/1133908994.db2.gz IATCDJNNUYIVQG-GFCCVEGCSA-N 1 2 265.388 3.805 20 0 CHADLO COC(C)(C)CCC[C@@H](C)CC[N@@H+](C)CC(F)F ZINC001208212243 1133908997 /nfs/dbraw/zinc/90/89/97/1133908997.db2.gz IATCDJNNUYIVQG-GFCCVEGCSA-N 1 2 265.388 3.805 20 0 CHADLO O=C1C[N@@H+](CC2(c3ccccc3)CCCC2)CCC[C@H]1F ZINC001208770361 1133941860 /nfs/dbraw/zinc/94/18/60/1133941860.db2.gz AGQUFLSBAJINRN-MRXNPFEDSA-N 1 2 289.394 3.501 20 0 CHADLO O=C1C[N@H+](CC2(c3ccccc3)CCCC2)CCC[C@H]1F ZINC001208770361 1133941865 /nfs/dbraw/zinc/94/18/65/1133941865.db2.gz AGQUFLSBAJINRN-MRXNPFEDSA-N 1 2 289.394 3.501 20 0 CHADLO CCc1cncc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001209191526 1133986693 /nfs/dbraw/zinc/98/66/93/1133986693.db2.gz PHWGKAWSOYPIKD-UHFFFAOYSA-N 1 2 278.359 3.632 20 0 CHADLO CCCC[N@@H+](Cc1cn[nH]c1C)Cc1ccccc1 ZINC001209556310 1134035610 /nfs/dbraw/zinc/03/56/10/1134035610.db2.gz UAGXDXLRDKEDIY-UHFFFAOYSA-N 1 2 257.381 3.520 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(c1)OCCN2 ZINC001209727427 1134055641 /nfs/dbraw/zinc/05/56/41/1134055641.db2.gz HQEBNPRCEPMDDY-UHFFFAOYSA-N 1 2 269.348 3.500 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)OCCN3)c(C2CC2)c1 ZINC001209722598 1134055655 /nfs/dbraw/zinc/05/56/55/1134055655.db2.gz LAAUQAJCLLASHC-UHFFFAOYSA-N 1 2 267.332 3.507 20 0 CHADLO FC(F)(F)Oc1cccnc1Nc1ccc2[nH]c[nH+]c2c1 ZINC001209844786 1134078039 /nfs/dbraw/zinc/07/80/39/1134078039.db2.gz PICDRYKYGPUPIA-UHFFFAOYSA-N 1 2 294.236 3.600 20 0 CHADLO C=Cc1ccccc1Nc1ccc(N(C)CC)[nH+]c1 ZINC001209943651 1134105308 /nfs/dbraw/zinc/10/53/08/1134105308.db2.gz NGMIEJQPOWWGJU-UHFFFAOYSA-N 1 2 253.349 3.924 20 0 CHADLO COc1cc(Nc2cccc([C@H](C)OC)c2)cc(C)[nH+]1 ZINC001209951450 1134108930 /nfs/dbraw/zinc/10/89/30/1134108930.db2.gz UXPKXWWDWSTHCM-LBPRGKRZSA-N 1 2 272.348 3.850 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1C1=CCC(F)(F)CC1 ZINC001210034857 1134129085 /nfs/dbraw/zinc/12/90/85/1134129085.db2.gz FMAZFTDZZTZGPM-UHFFFAOYSA-N 1 2 263.291 3.587 20 0 CHADLO Cc1cc(Nc2ccc3cnn(C)c3c2)ccc1[NH+](C)C ZINC001210041339 1134131022 /nfs/dbraw/zinc/13/10/22/1134131022.db2.gz MGYJUTMXTIWBBE-UHFFFAOYSA-N 1 2 280.375 3.691 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)COC3)ccc1[NH+](C)C ZINC001210041602 1134131070 /nfs/dbraw/zinc/13/10/70/1134131070.db2.gz PHUFAJJJJBSLBC-UHFFFAOYSA-N 1 2 268.360 3.835 20 0 CHADLO Cc1cc(Nc2ccc([C@@H](C)O)cc2)ccc1[NH+](C)C ZINC001210042037 1134132056 /nfs/dbraw/zinc/13/20/56/1134132056.db2.gz UVLQNHPXNYPXEK-CYBMUJFWSA-N 1 2 270.376 3.858 20 0 CHADLO COCc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1 ZINC001210042696 1134132412 /nfs/dbraw/zinc/13/24/12/1134132412.db2.gz ANOSCCIRZKXDTB-UHFFFAOYSA-N 1 2 270.376 3.951 20 0 CHADLO Cc1cc(Nc2cccc(C3OCCO3)c2)ccc1[NH+](C)C ZINC001210043361 1134132474 /nfs/dbraw/zinc/13/24/74/1134132474.db2.gz LVXIBFSVTIVGHF-UHFFFAOYSA-N 1 2 298.386 3.850 20 0 CHADLO Cc1cc(-n2ccc3c2c(N)ccc3F)ccc1[NH+](C)C ZINC001210043525 1134132804 /nfs/dbraw/zinc/13/28/04/1134132804.db2.gz GRVNQLYEMXXDCS-UHFFFAOYSA-N 1 2 283.350 3.726 20 0 CHADLO Cc1cc(Nc2ccc3cn(C)nc3c2)ccc1[NH+](C)C ZINC001210043906 1134132810 /nfs/dbraw/zinc/13/28/10/1134132810.db2.gz SZSDWQZIIMVNIM-UHFFFAOYSA-N 1 2 280.375 3.691 20 0 CHADLO Cc1cc(N)c(F)cc1Nc1ccc([NH+](C)C)c(C)c1 ZINC001210044150 1134133517 /nfs/dbraw/zinc/13/35/17/1134133517.db2.gz LOJUTFHYMGLTSZ-UHFFFAOYSA-N 1 2 273.355 3.834 20 0 CHADLO FC(F)(F)c1cnc(Nc2ccc3c[nH+]ccc3c2)cn1 ZINC001210046411 1134134667 /nfs/dbraw/zinc/13/46/67/1134134667.db2.gz XDIWNECHQQSINB-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO Cc1cc(Nc2c(N)ccc(F)c2C)ccc1[NH+](C)C ZINC001210045472 1134134935 /nfs/dbraw/zinc/13/49/35/1134134935.db2.gz UMHGPYCGDZGWID-UHFFFAOYSA-N 1 2 273.355 3.834 20 0 CHADLO Oc1cc(Nc2ccc3c[nH+]ccc3c2)cc(F)c1F ZINC001210049017 1134136013 /nfs/dbraw/zinc/13/60/13/1134136013.db2.gz UXVWNOYIDMJRIP-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO Cc1cc(C[C@H](C)Nc2ccc3ccc[nH+]c3c2)on1 ZINC001210053801 1134136735 /nfs/dbraw/zinc/13/67/35/1134136735.db2.gz NBSGHPWDXOSGFS-NSHDSACASA-N 1 2 267.332 3.574 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3cccnc3c2)c[nH+]1 ZINC001210056157 1134138743 /nfs/dbraw/zinc/13/87/43/1134138743.db2.gz WFYCVSZDFOVUMU-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO CCc1cccc(Nc2cc3c[nH]nc3cc2C)[nH+]1 ZINC001210101052 1134145281 /nfs/dbraw/zinc/14/52/81/1134145281.db2.gz AJKDPBDAOJTHTF-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1c(F)c(F)ccc1Nc1cccc2[nH+]ccn21 ZINC001210129426 1134154082 /nfs/dbraw/zinc/15/40/82/1134154082.db2.gz JDYBHBKFAYRIGP-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO Cc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1O ZINC001210189063 1134162182 /nfs/dbraw/zinc/16/21/82/1134162182.db2.gz YZQTYGOQPPWCQI-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CCn1ncc(Nc2cccc3cc[nH+]cc32)c1C ZINC001210224225 1134171115 /nfs/dbraw/zinc/17/11/15/1134171115.db2.gz UJPFFPGJRWSHOB-UHFFFAOYSA-N 1 2 252.321 3.503 20 0 CHADLO CC1(C)COc2c(Nc3cccc4cc[nH+]cc43)cnn2C1 ZINC001210226130 1134172821 /nfs/dbraw/zinc/17/28/21/1134172821.db2.gz RSVMKXIFUXFIGU-UHFFFAOYSA-N 1 2 294.358 3.594 20 0 CHADLO Cc1ccc(F)c(Nc2cccc3cc[nH+]cc32)n1 ZINC001210226020 1134172826 /nfs/dbraw/zinc/17/28/26/1134172826.db2.gz PLYRFAWQXACUAH-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO CN(c1cccc2cc[nH+]cc21)c1nc(Cl)ccc1N ZINC001210224877 1134172901 /nfs/dbraw/zinc/17/29/01/1134172901.db2.gz ZGKZJDHVYDOWGM-UHFFFAOYSA-N 1 2 284.750 3.633 20 0 CHADLO CSc1cc(Nc2cccnc2C(F)(F)F)cc[nH+]1 ZINC001210234642 1134175890 /nfs/dbraw/zinc/17/58/90/1134175890.db2.gz ZOXQZVCVFGGMPY-UHFFFAOYSA-N 1 2 285.294 3.961 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2C2CC2)cc1CO ZINC001210244400 1134177580 /nfs/dbraw/zinc/17/75/80/1134177580.db2.gz KKLRRAHAOHOVAX-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)ccc2F)cc1N ZINC001210287791 1134185193 /nfs/dbraw/zinc/18/51/93/1134185193.db2.gz FMPNXARFTCELFL-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)c(C)c2Cl)cc1N ZINC001210289549 1134186298 /nfs/dbraw/zinc/18/62/98/1134186298.db2.gz QSKJVSCQOUCXPN-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO Cc1cnn(-c2cccc(C)c2)c1Nc1c[nH+]c(C)c(N)c1 ZINC001210288451 1134186390 /nfs/dbraw/zinc/18/63/90/1134186390.db2.gz JDPVAGHPTVNPOD-UHFFFAOYSA-N 1 2 293.374 3.518 20 0 CHADLO CCCOc1ccc(Nc2c[nH+]c(C)c(N)c2)cc1 ZINC001210288930 1134186429 /nfs/dbraw/zinc/18/64/29/1134186429.db2.gz XGCWACVDXFHZSU-UHFFFAOYSA-N 1 2 257.337 3.505 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(COC(C)C)c2)cc1N ZINC001210289555 1134186599 /nfs/dbraw/zinc/18/65/99/1134186599.db2.gz RBZKFZYSQAYIMQ-UHFFFAOYSA-N 1 2 271.364 3.641 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(OC(F)(F)Cl)cc2)cc1N ZINC001210289511 1134186685 /nfs/dbraw/zinc/18/66/85/1134186685.db2.gz OVGTXMRHOULHAD-UHFFFAOYSA-N 1 2 299.708 3.884 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(-c3ccco3)cc2)cc1N ZINC001210288586 1134186772 /nfs/dbraw/zinc/18/67/72/1134186772.db2.gz TXEPIRHEDUSXAK-UHFFFAOYSA-N 1 2 265.316 3.976 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3c(c2)CCCC3)cc1N ZINC001210289365 1134186809 /nfs/dbraw/zinc/18/68/09/1134186809.db2.gz IJIUIUFDPDAVIT-UHFFFAOYSA-N 1 2 253.349 3.595 20 0 CHADLO Cc1ccnc2c(Nc3c[nH+]c(C)c(N)c3)cccc12 ZINC001210289279 1134186860 /nfs/dbraw/zinc/18/68/60/1134186860.db2.gz DFPZWSOWVAQIHS-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO Cc1ccc(Br)c(Nc2c[nH+]c(C)c(N)c2)c1 ZINC001210288117 1134187042 /nfs/dbraw/zinc/18/70/42/1134187042.db2.gz LKMKNPXNEXTCIX-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO c1cn(-c2ccc(Nc3cccc(C4CCC4)[nH+]3)cc2)nn1 ZINC001210295189 1134187615 /nfs/dbraw/zinc/18/76/15/1134187615.db2.gz HXMSNHFWTDBVEE-UHFFFAOYSA-N 1 2 291.358 3.673 20 0 CHADLO Cc1[nH+]cc(Nc2c(F)cccc2OCC(C)C)cc1N ZINC001210290988 1134188080 /nfs/dbraw/zinc/18/80/80/1134188080.db2.gz IKMBNMFBHSPJQP-UHFFFAOYSA-N 1 2 289.354 3.890 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(OC(F)F)cc2)[nH+]1 ZINC001210324700 1134193251 /nfs/dbraw/zinc/19/32/51/1134193251.db2.gz QODCFSSLUBUTRJ-UHFFFAOYSA-N 1 2 289.285 3.988 20 0 CHADLO CCc1ncccc1Nc1ccc([N@@H+](C)CC)cc1 ZINC001210469752 1134223827 /nfs/dbraw/zinc/22/38/27/1134223827.db2.gz LANXGDHDXOPTCZ-UHFFFAOYSA-N 1 2 255.365 3.844 20 0 CHADLO CCc1ncccc1Nc1ccc([N@H+](C)CC)cc1 ZINC001210469752 1134223828 /nfs/dbraw/zinc/22/38/28/1134223828.db2.gz LANXGDHDXOPTCZ-UHFFFAOYSA-N 1 2 255.365 3.844 20 0 CHADLO CCOc1cc(Nc2cccc([C@@H](C)O)c2)cc(C)[nH+]1 ZINC001210507357 1134234548 /nfs/dbraw/zinc/23/45/48/1134234548.db2.gz PKOXYLIKBBSKGJ-GFCCVEGCSA-N 1 2 272.348 3.586 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc4ocnc4c3)cc2)c[nH+]1 ZINC001210571949 1134252379 /nfs/dbraw/zinc/25/23/79/1134252379.db2.gz BAINMXSLTPIBAA-UHFFFAOYSA-N 1 2 290.326 3.816 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3ocnc3c2)c1 ZINC001210571718 1134252439 /nfs/dbraw/zinc/25/24/39/1134252439.db2.gz IXFHJBFJSZLXPW-UHFFFAOYSA-N 1 2 253.305 3.919 20 0 CHADLO CSc1cc(Nc2ccc3ocnc3c2)cc[nH+]1 ZINC001210573216 1134253779 /nfs/dbraw/zinc/25/37/79/1134253779.db2.gz MOPVWGYVEJZLEC-UHFFFAOYSA-N 1 2 257.318 3.688 20 0 CHADLO CSc1cc(Nc2ccc(C(C)(C)O)cc2)cc[nH+]1 ZINC001210618340 1134262033 /nfs/dbraw/zinc/26/20/33/1134262033.db2.gz WKWSEUYPJSOOFZ-UHFFFAOYSA-N 1 2 274.389 3.775 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnc(C(F)(F)F)nc2)cc1 ZINC001210675957 1134271826 /nfs/dbraw/zinc/27/18/26/1134271826.db2.gz NRRHATBQBSBWCW-UHFFFAOYSA-N 1 2 296.296 3.695 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnc(C(F)(F)F)nc2)cc1 ZINC001210675957 1134271832 /nfs/dbraw/zinc/27/18/32/1134271832.db2.gz NRRHATBQBSBWCW-UHFFFAOYSA-N 1 2 296.296 3.695 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccccc2-n2cccn2)c1 ZINC001210735067 1134286313 /nfs/dbraw/zinc/28/63/13/1134286313.db2.gz MIJDRVNUTDEEMI-UHFFFAOYSA-N 1 2 278.359 3.963 20 0 CHADLO COc1cc(Nc2ccc(C(=O)C3CC3)cc2)cc(C)[nH+]1 ZINC001210783006 1134295098 /nfs/dbraw/zinc/29/50/98/1134295098.db2.gz AFFUXGIOKLOLDQ-UHFFFAOYSA-N 1 2 282.343 3.735 20 0 CHADLO COc1cc(Nc2cnc3cccc(F)c3c2)cc(C)[nH+]1 ZINC001210779734 1134295326 /nfs/dbraw/zinc/29/53/26/1134295326.db2.gz XXVMZTZIZFRJQS-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO COc1cc(Nc2cc(F)c(F)c(F)c2F)cc(C)[nH+]1 ZINC001210780658 1134296006 /nfs/dbraw/zinc/29/60/06/1134296006.db2.gz ZDPCGBQWSFZSJI-UHFFFAOYSA-N 1 2 286.228 3.699 20 0 CHADLO Cc1c[nH+]c(Nc2cc(F)c(Cl)c(F)c2)c(N)c1 ZINC001210841937 1134309360 /nfs/dbraw/zinc/30/93/60/1134309360.db2.gz WMZVGDGRCQQQPU-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccccc3OC3CC3)ccc21 ZINC001210841847 1134309481 /nfs/dbraw/zinc/30/94/81/1134309481.db2.gz CVFHVQBVQCNFJT-UHFFFAOYSA-N 1 2 279.343 3.858 20 0 CHADLO COc1c(F)cc(F)cc1Nc1cccc2[nH+]c(C)cn21 ZINC001210864964 1134317851 /nfs/dbraw/zinc/31/78/51/1134317851.db2.gz NPUZETSWSMWZHD-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO O=C1CCCc2ccc(Nc3cccn4cc[nH+]c34)cc21 ZINC001210930268 1134329919 /nfs/dbraw/zinc/32/99/19/1134329919.db2.gz AVTBPICHIUDTHC-UHFFFAOYSA-N 1 2 277.327 3.597 20 0 CHADLO Cc1cn2cccc(Nc3ccc4c(c3)C(=O)CCC4)c2[nH+]1 ZINC001210931152 1134329987 /nfs/dbraw/zinc/32/99/87/1134329987.db2.gz NZZVASXPVUKRHU-UHFFFAOYSA-N 1 2 291.354 3.905 20 0 CHADLO CC(=O)n1ccc2cc(Nc3ccc(C)c[nH+]3)ccc21 ZINC001211012528 1134349407 /nfs/dbraw/zinc/34/94/07/1134349407.db2.gz HMLMTJVPRUETNR-UHFFFAOYSA-N 1 2 265.316 3.748 20 0 CHADLO CSc1ccccc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001211064574 1134360149 /nfs/dbraw/zinc/36/01/49/1134360149.db2.gz GSQSPQQBVOYDIO-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO Cc1cc[nH+]c(Nc2cc(O)cc(OC(F)(F)F)c2)c1 ZINC001211121066 1134373917 /nfs/dbraw/zinc/37/39/17/1134373917.db2.gz LQFQVHGKXBCRQR-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO Cc1ccc2ccccc2c1[N@H+]1C(=N)C=CC(Cl)=C1N ZINC001211118446 1134374776 /nfs/dbraw/zinc/37/47/76/1134374776.db2.gz PHQSWTICZGKGNS-UHFFFAOYSA-N 1 2 283.762 3.654 20 0 CHADLO Cc1ccc2ccccc2c1[N@@H+]1C(=N)C=CC(Cl)=C1N ZINC001211118446 1134374783 /nfs/dbraw/zinc/37/47/83/1134374783.db2.gz PHQSWTICZGKGNS-UHFFFAOYSA-N 1 2 283.762 3.654 20 0 CHADLO COC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)cc1C ZINC001211168168 1134383522 /nfs/dbraw/zinc/38/35/22/1134383522.db2.gz BMRSFEJFCDNABK-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO CCOC(=O)c1cccc(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001211204484 1134389457 /nfs/dbraw/zinc/38/94/57/1134389457.db2.gz CCVOKVLAYGDOFA-UHFFFAOYSA-N 1 2 295.342 3.563 20 0 CHADLO Clc1ccncc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001211208678 1134390317 /nfs/dbraw/zinc/39/03/17/1134390317.db2.gz FVWNRAWRGNXXNR-UHFFFAOYSA-N 1 2 270.723 3.664 20 0 CHADLO CCCOc1ccccc1Nc1cc(OC)cc[nH+]1 ZINC001211378153 1134413703 /nfs/dbraw/zinc/41/37/03/1134413703.db2.gz BXNYNDYSVBDHBL-UHFFFAOYSA-N 1 2 258.321 3.623 20 0 CHADLO CCCOc1ccccc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001211383159 1134414816 /nfs/dbraw/zinc/41/48/16/1134414816.db2.gz VXMUQPUNZSFRON-UHFFFAOYSA-N 1 2 281.359 3.705 20 0 CHADLO Cc1cn(-c2ccc3[nH]c(C)[nH+]c3c2)c2cccc(N)c12 ZINC001213076440 1134423270 /nfs/dbraw/zinc/42/32/70/1134423270.db2.gz ROMUFAGEBCSKDU-UHFFFAOYSA-N 1 2 276.343 3.706 20 0 CHADLO Clc1cccnc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086259 1134424386 /nfs/dbraw/zinc/42/43/86/1134424386.db2.gz KYIGCYTWVPMRHH-UHFFFAOYSA-N 1 2 270.723 3.869 20 0 CHADLO Cc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(O)c1 ZINC001213089120 1134425275 /nfs/dbraw/zinc/42/52/75/1134425275.db2.gz VFHFXEABINMBRR-UHFFFAOYSA-N 1 2 265.316 3.834 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)NCC3)c(N2CCCCC2)c1 ZINC001213149721 1134430913 /nfs/dbraw/zinc/43/09/13/1134430913.db2.gz HGUALHDZDJPOCH-UHFFFAOYSA-N 1 2 294.402 3.784 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnc(C(F)(F)F)c2)c1C ZINC001213522846 1134460408 /nfs/dbraw/zinc/46/04/08/1134460408.db2.gz HTDGWAIIAZUTQA-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Oc1c(F)cc(Nc2ccccc2-n2cc[nH+]c2)cc1F ZINC001213379360 1134446071 /nfs/dbraw/zinc/44/60/71/1134446071.db2.gz RMIFGNPTNYRPMK-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO COc1ncc(Nc2cc(C3CC3)c[nH+]c2C)cc1C ZINC001213491919 1134453666 /nfs/dbraw/zinc/45/36/66/1134453666.db2.gz UQVODOGEBMURMD-UHFFFAOYSA-N 1 2 269.348 3.723 20 0 CHADLO CSc1ncc(Nc2cc(C3CC3)c[nH+]c2C)cn1 ZINC001213494764 1134453974 /nfs/dbraw/zinc/45/39/74/1134453974.db2.gz IBOVAWYDNMUCRM-UHFFFAOYSA-N 1 2 272.377 3.523 20 0 CHADLO COc1ncc(F)cc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213494682 1134454155 /nfs/dbraw/zinc/45/41/55/1134454155.db2.gz FZSXEHWZMLPKOY-UHFFFAOYSA-N 1 2 273.311 3.554 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)N(C)C(=O)C2 ZINC001213499060 1134454990 /nfs/dbraw/zinc/45/49/90/1134454990.db2.gz ARGWHOCAIDEZLX-UHFFFAOYSA-N 1 2 293.370 3.530 20 0 CHADLO COC(=O)c1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1 ZINC001213496874 1134455642 /nfs/dbraw/zinc/45/56/42/1134455642.db2.gz BMOWDSQTKUCOCS-UHFFFAOYSA-N 1 2 282.343 3.798 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(-n2nccn2)cc1 ZINC001213501123 1134456256 /nfs/dbraw/zinc/45/62/56/1134456256.db2.gz IYCKCBAUOMITOB-UHFFFAOYSA-N 1 2 291.358 3.592 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2[nH]c(=O)ccc2c1 ZINC001213500924 1134456516 /nfs/dbraw/zinc/45/65/16/1134456516.db2.gz CUHVNUKIKNRULQ-UHFFFAOYSA-N 1 2 291.354 3.853 20 0 CHADLO Cc1cc(C)c(Nc2cn3c(cccc3Cl)n2)c[nH+]1 ZINC001213511152 1134457263 /nfs/dbraw/zinc/45/72/63/1134457263.db2.gz ZGKWKVUQHBHDGP-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1N(C)c1cccc(N)c1 ZINC001213503780 1134457388 /nfs/dbraw/zinc/45/73/88/1134457388.db2.gz XSGTYBRAOCCIBF-UHFFFAOYSA-N 1 2 253.349 3.618 20 0 CHADLO Cc1cc(C)c(Nc2ccnn2-c2ccc(F)cc2)c[nH+]1 ZINC001213508349 1134457679 /nfs/dbraw/zinc/45/76/79/1134457679.db2.gz QNGOKOUJHLUJSH-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)N(C)CCC3)c[nH+]1 ZINC001213515908 1134458867 /nfs/dbraw/zinc/45/88/67/1134458867.db2.gz RWTMUDPVPLCOHB-UHFFFAOYSA-N 1 2 267.376 3.824 20 0 CHADLO Cc1cc(C)c(-n2ccc3cc(Cl)cc(N)c32)c[nH+]1 ZINC001213521051 1134459053 /nfs/dbraw/zinc/45/90/53/1134459053.db2.gz QMWBTQQCYNHRQB-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO COC(=O)/C=C/c1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001213520875 1134459339 /nfs/dbraw/zinc/45/93/39/1134459339.db2.gz KNEFDTUYQDZZFV-RMKNXTFCSA-N 1 2 282.343 3.628 20 0 CHADLO Cc1c[nH+]cc(Nc2ncccc2OC(F)(F)F)c1C ZINC001213527185 1134459832 /nfs/dbraw/zinc/45/98/32/1134459832.db2.gz BUJLZSYNTWVRDH-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]cc(Nc2cncc(Br)c2C)c1C ZINC001213525112 1134459974 /nfs/dbraw/zinc/45/99/74/1134459974.db2.gz NRTRLAGJEXKWDT-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(Br)c(C)n2)c1C ZINC001213523623 1134460202 /nfs/dbraw/zinc/46/02/02/1134460202.db2.gz XKBPOPHXPNTGAF-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COc1ncc(Nc2c[nH+]cc(C)c2C)cc1C(F)(F)F ZINC001213527414 1134460403 /nfs/dbraw/zinc/46/04/03/1134460403.db2.gz KAXWJGGYZXUUCR-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO Cc1cc2c(ncnc2Nc2c[nH+]cc(C)c2C)s1 ZINC001213523688 1134460430 /nfs/dbraw/zinc/46/04/30/1134460430.db2.gz ZKSNNTDBVMDVGP-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2c[nH+]cc(C)c2C)c1C ZINC001213532423 1134461137 /nfs/dbraw/zinc/46/11/37/1134461137.db2.gz HHWFTMWTJJVEEK-UHFFFAOYSA-N 1 2 284.359 3.962 20 0 CHADLO COc1cc2cccnc2c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213530871 1134461201 /nfs/dbraw/zinc/46/12/01/1134461201.db2.gz PBFICFOHFHMWMB-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(Br)cc2O)c1C ZINC001213530449 1134461513 /nfs/dbraw/zinc/46/15/13/1134461513.db2.gz BMGISBHIVCMGGJ-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3c2OCCC3)c1C ZINC001213532325 1134461529 /nfs/dbraw/zinc/46/15/29/1134461529.db2.gz BLHHLPUHTQAOCC-UHFFFAOYSA-N 1 2 254.333 3.767 20 0 CHADLO CCOC(=O)Cc1ccccc1Nc1c[nH+]cc(C)c1C ZINC001213536968 1134462903 /nfs/dbraw/zinc/46/29/03/1134462903.db2.gz VCOLLHROADLFLS-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO Nc1cc(Cl)ccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001213652852 1134474094 /nfs/dbraw/zinc/47/40/94/1134474094.db2.gz OCIQJOAZVMCFED-UHFFFAOYSA-N 1 2 298.777 3.911 20 0 CHADLO Cc1nn(C)c2cc(Nc3ccc4c(c3)[nH+]cn4C)ccc12 ZINC001213760389 1134480762 /nfs/dbraw/zinc/48/07/62/1134480762.db2.gz JSGQXHRXSKSNCD-UHFFFAOYSA-N 1 2 291.358 3.512 20 0 CHADLO Cc1ncc(C(F)(F)F)cc1Nc1ccn2cc[nH+]c2c1 ZINC001213828707 1134489131 /nfs/dbraw/zinc/48/91/31/1134489131.db2.gz SMMKCUDMSPUCGF-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO c1cn(Cc2cccc(Nc3cnccc3C3CC3)c2)c[nH+]1 ZINC001213876760 1134494247 /nfs/dbraw/zinc/49/42/47/1134494247.db2.gz IAFRERRIYCHCBQ-UHFFFAOYSA-N 1 2 290.370 3.947 20 0 CHADLO CCc1cccc(Nc2ccc3cc[nH]c(=O)c3c2)[nH+]1 ZINC001213955490 1134500664 /nfs/dbraw/zinc/50/06/64/1134500664.db2.gz GLRSJQOAWLTJDX-UHFFFAOYSA-N 1 2 265.316 3.641 20 0 CHADLO CCOc1cc(Nc2[nH+]c(C)ccc2O)ccc1C ZINC001214320857 1134527653 /nfs/dbraw/zinc/52/76/53/1134527653.db2.gz HCDSPQLNBFDOTC-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(Cl)cc3O)ccc21 ZINC001214409596 1134535406 /nfs/dbraw/zinc/53/54/06/1134535406.db2.gz NBOUQCVXFVSTSH-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(Cl)cc2O)c[nH+]1 ZINC001214405246 1134535664 /nfs/dbraw/zinc/53/56/64/1134535664.db2.gz QCCMGRAYPWYOQY-UHFFFAOYSA-N 1 2 277.755 3.640 20 0 CHADLO Cc1ccc[nH+]c1Nc1cc(F)c(O)c(Cl)c1 ZINC001214477316 1134542037 /nfs/dbraw/zinc/54/20/37/1134542037.db2.gz GWDJBGTXKDZLPV-UHFFFAOYSA-N 1 2 252.676 3.632 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2c(C)cc(CO)cc2C)cc1 ZINC001214963487 1134588541 /nfs/dbraw/zinc/58/85/41/1134588541.db2.gz AKLPPBZXLQDKDV-UHFFFAOYSA-N 1 2 284.403 3.995 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2c(C)cc(CO)cc2C)cc1 ZINC001214963487 1134588544 /nfs/dbraw/zinc/58/85/44/1134588544.db2.gz AKLPPBZXLQDKDV-UHFFFAOYSA-N 1 2 284.403 3.995 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(F)c1OC(C)C ZINC001215420754 1134626848 /nfs/dbraw/zinc/62/68/48/1134626848.db2.gz HCYYSYQCSPPHCR-UHFFFAOYSA-N 1 2 294.301 3.899 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(C)cc(O)c2)cc1 ZINC001215522139 1134636953 /nfs/dbraw/zinc/63/69/53/1134636953.db2.gz NDCKYKPUTRIFPT-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(C)cc(O)c2)cc1 ZINC001215522139 1134636956 /nfs/dbraw/zinc/63/69/56/1134636956.db2.gz NDCKYKPUTRIFPT-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CCOc1ccc(Nc2c[nH+]c(C)cc2C)c(C)n1 ZINC001215576865 1134646131 /nfs/dbraw/zinc/64/61/31/1134646131.db2.gz NTOQOGORFGSCBB-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO CCCOc1ccc(C)c(Nc2c[nH+]ccc2OC)c1 ZINC001215586649 1134649777 /nfs/dbraw/zinc/64/97/77/1134649777.db2.gz GMTAEGWUDBHGFO-UHFFFAOYSA-N 1 2 272.348 3.931 20 0 CHADLO COCc1ccc(Cl)c(Nc2cccn3cc[nH+]c23)c1 ZINC001215595434 1134650266 /nfs/dbraw/zinc/65/02/66/1134650266.db2.gz JHOKGQLXEADTIM-UHFFFAOYSA-N 1 2 287.750 3.878 20 0 CHADLO COc1c(Nc2c[nH+]c(C)cc2C)ccc(C)c1F ZINC001215600569 1134650905 /nfs/dbraw/zinc/65/09/05/1134650905.db2.gz RFCYMCAMUVPQOL-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1cccc(CO)c1 ZINC000305826858 1134655425 /nfs/dbraw/zinc/65/54/25/1134655425.db2.gz NONNFGHWTQBHJO-CQSZACIVSA-N 1 2 296.414 3.734 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1cccc(CO)c1 ZINC000305826858 1134655429 /nfs/dbraw/zinc/65/54/29/1134655429.db2.gz NONNFGHWTQBHJO-CQSZACIVSA-N 1 2 296.414 3.734 20 0 CHADLO COc1cc(Nc2c(F)cc(C)cc2OC)cc(C)[nH+]1 ZINC001215707309 1134664287 /nfs/dbraw/zinc/66/42/87/1134664287.db2.gz ANFPTKIWFZSUJY-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO CCOc1ccc(C)c(F)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001215760197 1134677464 /nfs/dbraw/zinc/67/74/64/1134677464.db2.gz JACMHPBFFMDWHP-UHFFFAOYSA-N 1 2 289.354 3.737 20 0 CHADLO CCOc1ccc(C)c(F)c1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001215763879 1134679860 /nfs/dbraw/zinc/67/98/60/1134679860.db2.gz XFXIUYPGHPAOEZ-UHFFFAOYSA-N 1 2 299.349 3.762 20 0 CHADLO CC(C)Oc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)ccn1 ZINC001215769139 1134681581 /nfs/dbraw/zinc/68/15/81/1134681581.db2.gz ZQLOIFIYYLEJRB-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO COc1cc(Nc2cnc(SC)c(C)c2)cc(C)[nH+]1 ZINC001215827978 1134696144 /nfs/dbraw/zinc/69/61/44/1134696144.db2.gz WCXKOLSBKWDMQX-UHFFFAOYSA-N 1 2 275.377 3.568 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(Cl)cc4n[nH]cc43)ccc21 ZINC001215860480 1134705309 /nfs/dbraw/zinc/70/53/09/1134705309.db2.gz DIQMPYMIKRGUIX-UHFFFAOYSA-N 1 2 297.749 3.847 20 0 CHADLO Cc1ccc(Nc2ccc(OC(C)C)nc2C)c(C)[nH+]1 ZINC001215916971 1134719162 /nfs/dbraw/zinc/71/91/62/1134719162.db2.gz KNUAMXABBRVWAF-UHFFFAOYSA-N 1 2 271.364 3.933 20 0 CHADLO COc1ccc(Nc2[nH+]cc(O)cc2C)cc1SC ZINC001215931084 1134723591 /nfs/dbraw/zinc/72/35/91/1134723591.db2.gz RNEXUWSZUCXHFD-UHFFFAOYSA-N 1 2 276.361 3.570 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(C3CC3)cnc2F)[nH+]1 ZINC001215953106 1134728922 /nfs/dbraw/zinc/72/89/22/1134728922.db2.gz IDUDWZBQKJTUMR-UHFFFAOYSA-N 1 2 282.322 3.798 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(OC)cc1COC ZINC001215977473 1134738606 /nfs/dbraw/zinc/73/86/06/1134738606.db2.gz LWBVRGAKALPLHH-UHFFFAOYSA-N 1 2 272.348 3.543 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(OC)cc2COC)c1 ZINC001215978460 1134739259 /nfs/dbraw/zinc/73/92/59/1134739259.db2.gz LXQMHQQEBWZLKO-UHFFFAOYSA-N 1 2 286.375 3.933 20 0 CHADLO COCc1cc(OC)ccc1Nc1cc[nH+]c(SC)c1 ZINC001215978748 1134739847 /nfs/dbraw/zinc/73/98/47/1134739847.db2.gz MXTUTBBVHQIQQF-UHFFFAOYSA-N 1 2 290.388 3.702 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OC)c(C)c1C ZINC001215988764 1134742613 /nfs/dbraw/zinc/74/26/13/1134742613.db2.gz NRSNBSAVOHBYCK-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CCC[C@H]1CCCC[C@H]1NC(=O)Nc1cc(C)[nH+]cc1C ZINC001215999612 1134746983 /nfs/dbraw/zinc/74/69/83/1134746983.db2.gz KFMDCQBPFZDPRD-LSDHHAIUSA-N 1 2 289.423 3.601 20 0 CHADLO CCC[C@@H]1CCCC[C@@H]1NC(=O)Nc1cc(C)[nH+]cc1C ZINC001215999609 1134747086 /nfs/dbraw/zinc/74/70/86/1134747086.db2.gz KFMDCQBPFZDPRD-CABCVRRESA-N 1 2 289.423 3.601 20 0 CHADLO CCc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)ccc1N ZINC001216035880 1134755175 /nfs/dbraw/zinc/75/51/75/1134755175.db2.gz BQQRVJXGNNMKAW-UHFFFAOYSA-N 1 2 296.418 3.960 20 0 CHADLO CCc1cc(Nc2cccc(Cn3cc[nH+]c3)c2)ccc1N ZINC001216038324 1134755617 /nfs/dbraw/zinc/75/56/17/1134755617.db2.gz OMZWNKGAIGWPBC-UHFFFAOYSA-N 1 2 292.386 3.820 20 0 CHADLO CCc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)ccc1N ZINC001216037830 1134756282 /nfs/dbraw/zinc/75/62/82/1134756282.db2.gz TZYAOBCSVMAMIW-UHFFFAOYSA-N 1 2 286.766 3.876 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(N)c(C(F)(F)F)c1 ZINC001216049002 1134761475 /nfs/dbraw/zinc/76/14/75/1134761475.db2.gz LOOPXZPVUCSEKQ-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO CCc1cccc(Nc2ccc(N)c(C(F)(F)F)c2)[nH+]1 ZINC001216049782 1134761191 /nfs/dbraw/zinc/76/11/91/1134761191.db2.gz DQECWHQITBKGDB-UHFFFAOYSA-N 1 2 281.281 3.989 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(Cl)c2c[nH]nc21 ZINC001216055448 1134762272 /nfs/dbraw/zinc/76/22/72/1134762272.db2.gz GLNHMAVISRFOQL-UHFFFAOYSA-N 1 2 288.738 3.754 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(Cl)c4c[nH]nc43)ccc21 ZINC001216058117 1134762832 /nfs/dbraw/zinc/76/28/32/1134762832.db2.gz NWPZVSCPCKAOIX-UHFFFAOYSA-N 1 2 297.749 3.847 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(N(C)C)c(Cl)c2)[nH+]1 ZINC001216059906 1134764356 /nfs/dbraw/zinc/76/43/56/1134764356.db2.gz PUZGENBUZWRUSY-UHFFFAOYSA-N 1 2 277.755 3.559 20 0 CHADLO Cc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)cc(O)c1F ZINC001216078362 1134769100 /nfs/dbraw/zinc/76/91/00/1134769100.db2.gz GXFUWJFPFDMKKN-UHFFFAOYSA-N 1 2 283.306 3.769 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(C)cc(C)c1N ZINC001216099915 1134777250 /nfs/dbraw/zinc/77/72/50/1134777250.db2.gz AMSNTCKIMUWVAS-UHFFFAOYSA-N 1 2 255.365 3.895 20 0 CHADLO Cc1cc(C)c(N)c(Nc2cc(OC(F)(F)F)cc[nH+]2)c1 ZINC001216100612 1134777372 /nfs/dbraw/zinc/77/73/72/1134777372.db2.gz UCMDXBXOGJEDFL-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(N)cc1C(F)(F)F ZINC001216128485 1134787305 /nfs/dbraw/zinc/78/73/05/1134787305.db2.gz BSRVKNPJEPDTMC-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO CNc1cc(F)cc(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001216184553 1134799397 /nfs/dbraw/zinc/79/93/97/1134799397.db2.gz QQNXTMJHLPBJJZ-UHFFFAOYSA-N 1 2 270.311 3.567 20 0 CHADLO CNc1cc(F)cc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001216187191 1134799867 /nfs/dbraw/zinc/79/98/67/1134799867.db2.gz RYYLGCKRQFEEDD-UHFFFAOYSA-N 1 2 282.322 3.797 20 0 CHADLO CCOc1ccc(C)c(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001216235414 1134817999 /nfs/dbraw/zinc/81/79/99/1134817999.db2.gz JXVSKNBTKSGUBZ-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC(C)C)c(OC)c1 ZINC001216245715 1134823986 /nfs/dbraw/zinc/82/39/86/1134823986.db2.gz XXSGVBOXQKJOQK-UHFFFAOYSA-N 1 2 288.347 3.630 20 0 CHADLO COc1cc(Nc2cccc3[nH+]ccn32)ccc1C1CC1 ZINC001216248521 1134826289 /nfs/dbraw/zinc/82/62/89/1134826289.db2.gz OGVGMTIKXHVOHK-UHFFFAOYSA-N 1 2 279.343 3.964 20 0 CHADLO Fc1ccc(Nc2cccc3[nH+]ccn32)c2occc21 ZINC001216294711 1134838921 /nfs/dbraw/zinc/83/89/21/1134838921.db2.gz DQKUORWHWTWPRF-UHFFFAOYSA-N 1 2 267.263 3.963 20 0 CHADLO Cc1c(O)cccc1Nc1cc(OC(F)(F)F)cc[nH+]1 ZINC001216320114 1134852372 /nfs/dbraw/zinc/85/23/72/1134852372.db2.gz JEVXTKCWVNNOEI-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO Cc1c(F)ccc(F)c1Nc1ccn2cc[nH+]c2c1 ZINC001216341360 1134861267 /nfs/dbraw/zinc/86/12/67/1134861267.db2.gz CWLHYFVODCAOSX-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CCOc1cc(C)cc(F)c1Nc1cccc2[nH+]ccn21 ZINC001216365910 1134869637 /nfs/dbraw/zinc/86/96/37/1134869637.db2.gz VXLDXYYTUCLZSR-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO Cc1cn2cccc(Nc3ccc(O)cc3Cl)c2[nH+]1 ZINC001216426270 1134892171 /nfs/dbraw/zinc/89/21/71/1134892171.db2.gz VWLKBUIKKVVUHF-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO OCc1cc(Cl)cc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001216438389 1134897565 /nfs/dbraw/zinc/89/75/65/1134897565.db2.gz SUMWBCWVTMDLTF-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO CCOc1cc(Nc2ccc3onc(C)c3c2)cc(C)[nH+]1 ZINC001216638325 1134937721 /nfs/dbraw/zinc/93/77/21/1134937721.db2.gz FHXYAWCZABBGGE-UHFFFAOYSA-N 1 2 283.331 3.982 20 0 CHADLO CC[C@@H](C)c1ccccc1O[C@H]1CC[NH2+]CC1(F)F ZINC001218006623 1135041774 /nfs/dbraw/zinc/04/17/74/1135041774.db2.gz MXHJTOMTDRMTQL-RISCZKNCSA-N 1 2 269.335 3.576 20 0 CHADLO FC1(F)C[NH2+]CC[C@@H]1Oc1ccc(-c2ccccc2)cc1 ZINC001218007558 1135041881 /nfs/dbraw/zinc/04/18/81/1135041881.db2.gz RTBRQHPNLPUAOS-INIZCTEOSA-N 1 2 289.325 3.730 20 0 CHADLO Cc1cc(Cl)c(O[C@H]2CC[NH2+]CC2(F)F)c(Cl)c1 ZINC001218011616 1135042079 /nfs/dbraw/zinc/04/20/79/1135042079.db2.gz IEQAHYRWUIEAJQ-JTQLQIEISA-N 1 2 296.144 3.678 20 0 CHADLO FC1(F)C[NH2+]CC[C@H]1Oc1ccc(Cl)c2ccccc12 ZINC001218006745 1135042432 /nfs/dbraw/zinc/04/24/32/1135042432.db2.gz SPZMGPQKZVUZOL-CQSZACIVSA-N 1 2 297.732 3.869 20 0 CHADLO Cc1ccc([C@@H](OCCn2cc[nH+]c2)c2ccccc2)cc1 ZINC001222134625 1135198350 /nfs/dbraw/zinc/19/83/50/1135198350.db2.gz HZMSFVJTBOZCJV-IBGZPJMESA-N 1 2 292.382 3.998 20 0 CHADLO C[C@H](OCCn1cc[nH+]c1)c1c(Cl)cccc1Cl ZINC001222139111 1135198508 /nfs/dbraw/zinc/19/85/08/1135198508.db2.gz NTVWJPHTJWSPML-JTQLQIEISA-N 1 2 285.174 3.968 20 0 CHADLO Cc1ccc(OC(C)C)cc1-c1c[nH+]c(N)c(C)c1 ZINC001222148191 1135199809 /nfs/dbraw/zinc/19/98/09/1135199809.db2.gz ANCJPKFAVOCFEE-UHFFFAOYSA-N 1 2 256.349 3.735 20 0 CHADLO CCCOc1ccc(C)c(-c2c[nH+]c(N)c(C)c2)c1 ZINC001222179191 1135204894 /nfs/dbraw/zinc/20/48/94/1135204894.db2.gz KLBACHLGMLVCPF-UHFFFAOYSA-N 1 2 256.349 3.736 20 0 CHADLO COc1c(F)c(C)ccc1-c1cc(C)cn2cc[nH+]c12 ZINC001222308790 1135221949 /nfs/dbraw/zinc/22/19/49/1135221949.db2.gz GOOMVCBNOPTUAY-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO Cc1cn2cc(-c3ccc(Cl)c(CO)c3F)ccc2[nH+]1 ZINC001222350367 1135230805 /nfs/dbraw/zinc/23/08/05/1135230805.db2.gz MSWYNMZTLYEQBR-UHFFFAOYSA-N 1 2 290.725 3.595 20 0 CHADLO Cn1c[nH+]cc1COC1c2ccccc2-c2ccccc21 ZINC001222595099 1135253897 /nfs/dbraw/zinc/25/38/97/1135253897.db2.gz OKTXUPUQZYJDJG-UHFFFAOYSA-N 1 2 276.339 3.707 20 0 CHADLO CC(C)C[C@H](Cc1ccccc1)OCc1c[nH+]cn1C ZINC001222600647 1135255611 /nfs/dbraw/zinc/25/56/11/1135255611.db2.gz SZZKPJMSGUUGCZ-QGZVFWFLSA-N 1 2 272.392 3.594 20 0 CHADLO Cc1cc(CO[C@@H](C)c2cnc3ccccn32)cc(C)[nH+]1 ZINC001223420571 1135342312 /nfs/dbraw/zinc/34/23/12/1135342312.db2.gz KULVMQRRCFMPGB-AWEZNQCLSA-N 1 2 281.359 3.624 20 0 CHADLO Cc1cc[nH+]c(C)c1OC[C@@H]1CCC(c2ccccc2)=NO1 ZINC001227261849 1135811006 /nfs/dbraw/zinc/81/10/06/1135811006.db2.gz GOPQZGFNOWYPHZ-INIZCTEOSA-N 1 2 296.370 3.661 20 0 CHADLO CCCCOC[C@@H](C)OC[C@H](C)Oc1c(C)cc[nH+]c1C ZINC001227261426 1135811315 /nfs/dbraw/zinc/81/13/15/1135811315.db2.gz AQKBCHRLGHSZBB-CABCVRRESA-N 1 2 295.423 3.688 20 0 CHADLO Cc1cc[nH+]c(C)c1OC1CC(OCc2ccccc2)C1 ZINC001227264963 1135812529 /nfs/dbraw/zinc/81/25/29/1135812529.db2.gz QLXWFLFYFMQULD-UHFFFAOYSA-N 1 2 283.371 3.825 20 0 CHADLO C[C@@H]([NH2+]Cc1cc[nH]n1)c1cc(Cl)sc1Cl ZINC000167434564 334925724 /nfs/dbraw/zinc/92/57/24/334925724.db2.gz MZNNQBQSVWASPQ-ZCFIWIBFSA-N 1 2 276.192 3.629 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2ccccc2)c2ccc(C)cc2)o1 ZINC000096980583 185145299 /nfs/dbraw/zinc/14/52/99/185145299.db2.gz RFGVVPKEKRJKEF-SFHVURJKSA-N 1 2 293.370 3.566 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1ccn(C(F)F)n1 ZINC000347698725 529486501 /nfs/dbraw/zinc/48/65/01/529486501.db2.gz IWYHQUXSCAJNND-GFCCVEGCSA-N 1 2 297.374 3.849 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1ccn(C(F)F)n1 ZINC000347698725 529486502 /nfs/dbraw/zinc/48/65/02/529486502.db2.gz IWYHQUXSCAJNND-GFCCVEGCSA-N 1 2 297.374 3.849 20 0 CHADLO CCc1cc(N[C@@H](c2[nH]cc[nH+]2)c2cccnc2)ccc1F ZINC000353882925 529560233 /nfs/dbraw/zinc/56/02/33/529560233.db2.gz SDHGOFXRTFOTQS-MRXNPFEDSA-N 1 2 296.349 3.708 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)cn1 ZINC000353726489 529639019 /nfs/dbraw/zinc/63/90/19/529639019.db2.gz YNJADZZICUJITL-KRWDZBQOSA-N 1 2 281.403 3.622 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)cn1 ZINC000353726489 529639020 /nfs/dbraw/zinc/63/90/20/529639020.db2.gz YNJADZZICUJITL-KRWDZBQOSA-N 1 2 281.403 3.622 20 0 CHADLO CC[C@H](C)Cc1nc(CCn2c(C)[nH+]c3ccccc32)no1 ZINC000347076910 529684209 /nfs/dbraw/zinc/68/42/09/529684209.db2.gz WLYYQGNRCCONJR-LBPRGKRZSA-N 1 2 298.390 3.559 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](C)c2cc3ccc(C)cc3o2)s1 ZINC000573515317 334943948 /nfs/dbraw/zinc/94/39/48/334943948.db2.gz GZXQJLMNGVHZAW-JTQLQIEISA-N 1 2 287.388 3.752 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cc(F)ccc1Br ZINC000352642819 530013021 /nfs/dbraw/zinc/01/30/21/530013021.db2.gz KOJAKYRZBMORDT-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cc(F)ccc1Br ZINC000352642819 530013023 /nfs/dbraw/zinc/01/30/23/530013023.db2.gz KOJAKYRZBMORDT-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO Cc1ccc([C@@H]2CCCN2C(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000574403467 335017020 /nfs/dbraw/zinc/01/70/20/335017020.db2.gz CRRKKCADJMRSML-RDJZCZTQSA-N 1 2 297.402 3.506 20 0 CHADLO Fc1ccc(/C=C\C[NH+]2CC3(CCC3(F)F)C2)c(F)c1 ZINC000574612390 335033881 /nfs/dbraw/zinc/03/38/81/335033881.db2.gz OQRBFZQGIKVNQJ-UPHRSURJSA-N 1 2 285.284 3.709 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@](F)(c3ccccc3)C2)cs1 ZINC000574654021 335037609 /nfs/dbraw/zinc/03/76/09/335037609.db2.gz AQCNXYUZCXFOGH-MRXNPFEDSA-N 1 2 290.407 3.776 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@](F)(c3ccccc3)C2)cs1 ZINC000574654021 335037611 /nfs/dbraw/zinc/03/76/11/335037611.db2.gz AQCNXYUZCXFOGH-MRXNPFEDSA-N 1 2 290.407 3.776 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)ccc2Cl)[C@H]1[C@H]1CCCO1 ZINC000574677692 335038793 /nfs/dbraw/zinc/03/87/93/335038793.db2.gz CLGJTQIKLGGCDQ-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)ccc2Cl)[C@H]1[C@H]1CCCO1 ZINC000574677692 335038794 /nfs/dbraw/zinc/03/87/94/335038794.db2.gz CLGJTQIKLGGCDQ-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1ccc(C2CC2)cc1 ZINC000575054828 335059713 /nfs/dbraw/zinc/05/97/13/335059713.db2.gz LJSPBXQMVFUKNM-SECBINFHSA-N 1 2 293.317 3.735 20 0 CHADLO COc1c(C[NH2+][C@@H](C)c2nccs2)oc2ccccc21 ZINC000353335531 533419436 /nfs/dbraw/zinc/41/94/36/533419436.db2.gz RLWPMLYKCSEIJP-JTQLQIEISA-N 1 2 288.372 3.749 20 0 CHADLO COc1cccc(CNc2cc[nH+]c3c(OC)cccc23)c1 ZINC000302436966 533519860 /nfs/dbraw/zinc/51/98/60/533519860.db2.gz MINVIIKVLBHPIJ-UHFFFAOYSA-N 1 2 294.354 3.864 20 0 CHADLO Cc1cc(C[N@@H+]2CCC=C(F)C2)cc2cccnc12 ZINC000351988585 533599983 /nfs/dbraw/zinc/59/99/83/533599983.db2.gz JKZKMMFGUNRIMS-UHFFFAOYSA-N 1 2 256.324 3.602 20 0 CHADLO Cc1cc(C[N@H+]2CCC=C(F)C2)cc2cccnc12 ZINC000351988585 533599992 /nfs/dbraw/zinc/59/99/92/533599992.db2.gz JKZKMMFGUNRIMS-UHFFFAOYSA-N 1 2 256.324 3.602 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3cc(F)ccc3C2)cc1F ZINC000351950435 533569856 /nfs/dbraw/zinc/56/98/56/533569856.db2.gz FPCOWILYKLCVMH-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3cc(F)ccc3C2)cc1F ZINC000351950435 533569857 /nfs/dbraw/zinc/56/98/57/533569857.db2.gz FPCOWILYKLCVMH-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1[nH+]c2ccccn2c1CN(CC(F)F)CC1CCC1 ZINC000341764637 130028875 /nfs/dbraw/zinc/02/88/75/130028875.db2.gz SBBMDQKIIHHREW-UHFFFAOYSA-N 1 2 293.361 3.510 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2ccccc2Cl)C(C)C)nc1 ZINC000341866176 130115740 /nfs/dbraw/zinc/11/57/40/130115740.db2.gz QFKSPQMGRCHNGR-INIZCTEOSA-N 1 2 289.810 3.925 20 0 CHADLO CC(C)n1ccnc1C[NH+]1CCC(CC(F)(F)F)CC1 ZINC000341981558 130166846 /nfs/dbraw/zinc/16/68/46/130166846.db2.gz CLPLEYBLBUTFDE-UHFFFAOYSA-N 1 2 289.345 3.628 20 0 CHADLO CC(C)n1ccc(C[NH+]2CCC(CC(F)(F)F)CC2)n1 ZINC000341997469 130188881 /nfs/dbraw/zinc/18/88/81/130188881.db2.gz SSFUGFPUEUKDEW-UHFFFAOYSA-N 1 2 289.345 3.628 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1cn(C(C)C)nn1 ZINC000342067080 130232974 /nfs/dbraw/zinc/23/29/74/130232974.db2.gz OCRLNVAFQXAUPQ-ZDUSSCGKSA-N 1 2 298.390 3.764 20 0 CHADLO Clc1ccc(SCCn2cc[nH+]c2)cc1Cl ZINC000342199958 130379148 /nfs/dbraw/zinc/37/91/48/130379148.db2.gz LGJXDUQPICWOBC-UHFFFAOYSA-N 1 2 273.188 3.982 20 0 CHADLO Cc1ccc(NCc2cn3ccccc3[nH+]2)cc1C ZINC000008412838 170335123 /nfs/dbraw/zinc/33/51/23/170335123.db2.gz AUHMKDTZIQZYQS-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Fc1ccc(NCc2cn3ccccc3[nH+]2)c(Cl)c1 ZINC000020308635 171143429 /nfs/dbraw/zinc/14/34/29/171143429.db2.gz FREUKEOQNAJWIN-UHFFFAOYSA-N 1 2 275.714 3.739 20 0 CHADLO Fc1cccc(CNc2ccc3ccccc3[nH+]2)c1 ZINC000020362210 171160696 /nfs/dbraw/zinc/16/06/96/171160696.db2.gz SNFGJWATQBWRSV-UHFFFAOYSA-N 1 2 252.292 3.986 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(F)c(F)c2)no1 ZINC000021719742 171320057 /nfs/dbraw/zinc/32/00/57/171320057.db2.gz STAKYLVSLQJJAK-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(F)c(F)c2)no1 ZINC000021719742 171320059 /nfs/dbraw/zinc/32/00/59/171320059.db2.gz STAKYLVSLQJJAK-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc(NCc2cccc(F)c2)nc(C(C)C)[nH+]1 ZINC000035234446 172074347 /nfs/dbraw/zinc/07/43/47/172074347.db2.gz ZRVWWOWRXAQCBX-UHFFFAOYSA-N 1 2 259.328 3.660 20 0 CHADLO Cc1nccc(C[NH2+][C@H](C)c2ccc(Cl)cc2Cl)n1 ZINC000038037428 174037755 /nfs/dbraw/zinc/03/77/55/174037755.db2.gz YMSKHRKXRZQZRB-SECBINFHSA-N 1 2 296.201 3.943 20 0 CHADLO Cc1csc(C[NH2+][C@H](C)c2nc3ccccc3s2)n1 ZINC000038090538 174103961 /nfs/dbraw/zinc/10/39/61/174103961.db2.gz DNXXQJVUMVXAPH-SNVBAGLBSA-N 1 2 289.429 3.912 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccccc1OC(F)F ZINC000071413480 176159075 /nfs/dbraw/zinc/15/90/75/176159075.db2.gz MYMAAVJWROMUCY-UHFFFAOYSA-N 1 2 264.275 3.604 20 0 CHADLO FC(F)(F)c1ccc(-c2nc(Cn3cc[nH+]c3)co2)cc1 ZINC000072186373 176335820 /nfs/dbraw/zinc/33/58/20/176335820.db2.gz QRLKUWCQMWTKQK-UHFFFAOYSA-N 1 2 293.248 3.605 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1cc(-c2ccccc2)on1 ZINC000343896313 224900167 /nfs/dbraw/zinc/90/01/67/224900167.db2.gz WIOSPFXNTPURJE-UHFFFAOYSA-N 1 2 268.316 3.533 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1CCCc2occc2C1 ZINC000344261695 225009115 /nfs/dbraw/zinc/00/91/15/225009115.db2.gz JVIGOJSUNBQXRZ-UHFFFAOYSA-N 1 2 291.778 3.890 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1CCCc2occc2C1 ZINC000344261695 225009117 /nfs/dbraw/zinc/00/91/17/225009117.db2.gz JVIGOJSUNBQXRZ-UHFFFAOYSA-N 1 2 291.778 3.890 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](c1cc2ccccc2o1)C(C)C ZINC000344510173 225087887 /nfs/dbraw/zinc/08/78/87/225087887.db2.gz COWPVKQPKMIASE-KRWDZBQOSA-N 1 2 283.375 3.951 20 0 CHADLO Cc1[nH]c2ccc(CSc3ncccc3C)cc2[nH+]1 ZINC000344601428 225115806 /nfs/dbraw/zinc/11/58/06/225115806.db2.gz HNSQVFISJMJJMX-UHFFFAOYSA-N 1 2 269.373 3.867 20 0 CHADLO Cc1[nH]c2cc(CSc3ncccc3C)ccc2[nH+]1 ZINC000344601428 225115808 /nfs/dbraw/zinc/11/58/08/225115808.db2.gz HNSQVFISJMJJMX-UHFFFAOYSA-N 1 2 269.373 3.867 20 0 CHADLO NC(=O)[C@H]1CCC[N@@H+]1Cc1c(Cl)oc2ccccc21 ZINC000334622047 225161037 /nfs/dbraw/zinc/16/10/37/225161037.db2.gz YSDNMSSHNJAJOC-LLVKDONJSA-N 1 2 278.739 3.586 20 0 CHADLO NC(=O)[C@H]1CCC[N@H+]1Cc1c(Cl)oc2ccccc21 ZINC000334622047 225161044 /nfs/dbraw/zinc/16/10/44/225161044.db2.gz YSDNMSSHNJAJOC-LLVKDONJSA-N 1 2 278.739 3.586 20 0 CHADLO Cc1cc(C)c(NC(=O)NC2CCC(F)(F)CC2)c(C)[nH+]1 ZINC000334719111 225286778 /nfs/dbraw/zinc/28/67/78/225286778.db2.gz ZJHKYWPSBHBVKI-UHFFFAOYSA-N 1 2 297.349 3.706 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](C)[C@H](C)C2)c(C)[nH+]1 ZINC000334718247 225287084 /nfs/dbraw/zinc/28/70/84/225287084.db2.gz SAJXBSOYECSLPA-ZYHUDNBSSA-N 1 2 275.396 3.517 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC(C)(C)c2ccccc21)c1csnn1 ZINC000334847992 225336184 /nfs/dbraw/zinc/33/61/84/225336184.db2.gz PZKFGUCRDCVECB-GXFFZTMASA-N 1 2 273.405 3.611 20 0 CHADLO Cc1ccc(N[C@H]2c3cc(F)ccc3C[C@@H]2C)c[nH+]1 ZINC000347155953 226062072 /nfs/dbraw/zinc/06/20/72/226062072.db2.gz RLUXBMOMDINMRU-MGPLVRAMSA-N 1 2 256.324 3.875 20 0 CHADLO C[C@H]1CCC[C@@H]1CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000347387583 226141286 /nfs/dbraw/zinc/14/12/86/226141286.db2.gz GIJPGUUHZAGYIL-UONOGXRCSA-N 1 2 283.375 3.637 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@H](C)c2nc3ccccc3o2)ccn1 ZINC000347565019 226194566 /nfs/dbraw/zinc/19/45/66/226194566.db2.gz QJFHYZDURMEBOR-NWDGAFQWSA-N 1 2 297.358 3.643 20 0 CHADLO Cc1c[nH+]cc(NCc2cnc(-c3ccncc3)s2)c1 ZINC000347758059 226378073 /nfs/dbraw/zinc/37/80/73/226378073.db2.gz XRXSLJBVRVJJNP-UHFFFAOYSA-N 1 2 282.372 3.521 20 0 CHADLO Cc1nc2ccc(NCCCc3ccc(C)[nH+]c3)cc2o1 ZINC000354506883 227017392 /nfs/dbraw/zinc/01/73/92/227017392.db2.gz RCGPAQXNGQRNLX-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO C[C@@]1(CNc2[nH+]cccc2OCc2ccccc2)CCCO1 ZINC000354590000 227044820 /nfs/dbraw/zinc/04/48/20/227044820.db2.gz DHPKDKSAUKAGTR-SFHVURJKSA-N 1 2 298.386 3.642 20 0 CHADLO COc1cc2c(cc1Cl)C[N@H+](Cc1occc1C)CC2 ZINC000354624565 227051945 /nfs/dbraw/zinc/05/19/45/227051945.db2.gz BLJZPLXTATUIGI-UHFFFAOYSA-N 1 2 291.778 3.808 20 0 CHADLO COc1cc2c(cc1Cl)C[N@@H+](Cc1occc1C)CC2 ZINC000354624565 227051952 /nfs/dbraw/zinc/05/19/52/227051952.db2.gz BLJZPLXTATUIGI-UHFFFAOYSA-N 1 2 291.778 3.808 20 0 CHADLO Cc1cccc(C)c1NCc1[nH+]ccn1CC(F)(F)F ZINC000354651214 227059636 /nfs/dbraw/zinc/05/96/36/227059636.db2.gz XFOHBRMHRCJESO-UHFFFAOYSA-N 1 2 283.297 3.674 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)Nc1ccc(O)c(Cl)c1 ZINC000336535424 227099647 /nfs/dbraw/zinc/09/96/47/227099647.db2.gz UOOOTCRNQFDWCU-UHFFFAOYSA-N 1 2 291.738 3.701 20 0 CHADLO Cc1cc(C[N@@H+]2[C@H](C)Cc3cc(F)ccc3[C@H]2C)no1 ZINC000354824959 227141871 /nfs/dbraw/zinc/14/18/71/227141871.db2.gz BYWBVSNBGGPPJQ-ZYHUDNBSSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1cc(C[N@H+]2[C@H](C)Cc3cc(F)ccc3[C@H]2C)no1 ZINC000354824959 227141876 /nfs/dbraw/zinc/14/18/76/227141876.db2.gz BYWBVSNBGGPPJQ-ZYHUDNBSSA-N 1 2 274.339 3.630 20 0 CHADLO FC(F)C(F)(F)C[NH2+]Cc1cccc2ccccc21 ZINC000191768102 227148818 /nfs/dbraw/zinc/14/88/18/227148818.db2.gz QWHMXYGOHKRECH-UHFFFAOYSA-N 1 2 271.257 3.830 20 0 CHADLO O=C(NCC1CCCC1)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000352762599 227200285 /nfs/dbraw/zinc/20/02/85/227200285.db2.gz HNDNFHFSJRESLY-UHFFFAOYSA-N 1 2 296.370 3.794 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nc2c(s1)CCC2)c1nccs1 ZINC000355101168 227397929 /nfs/dbraw/zinc/39/79/29/227397929.db2.gz HXMKLCBQTAUNQY-IUCAKERBSA-N 1 2 279.434 3.500 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1ccc(F)cc1C(F)(F)F ZINC000353510706 228112653 /nfs/dbraw/zinc/11/26/53/228112653.db2.gz SAQBLMOGSCVDKP-VIFPVBQESA-N 1 2 293.329 3.782 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1ccc(F)cc1C(F)(F)F ZINC000353510706 228112655 /nfs/dbraw/zinc/11/26/55/228112655.db2.gz SAQBLMOGSCVDKP-VIFPVBQESA-N 1 2 293.329 3.782 20 0 CHADLO Cc1ccoc1C[N@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC000353282401 228078688 /nfs/dbraw/zinc/07/86/88/228078688.db2.gz IUCSYVTVXXZHQL-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Cc1ccoc1C[N@@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC000353282401 228078689 /nfs/dbraw/zinc/07/86/89/228078689.db2.gz IUCSYVTVXXZHQL-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Cc1ccc(Cc2noc([C@@H](C)c3cccc(F)c3)n2)c[nH+]1 ZINC000356105999 228123205 /nfs/dbraw/zinc/12/32/05/228123205.db2.gz DGHLHZQDYIXBKZ-LBPRGKRZSA-N 1 2 297.333 3.655 20 0 CHADLO C[C@@H]1C[C@H](C)N(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000336183565 228208332 /nfs/dbraw/zinc/20/83/32/228208332.db2.gz KYQCGQLQVMELFL-KGLIPLIRSA-N 1 2 296.370 3.744 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CC(C)(C)CCc2ccccc21 ZINC000353635885 228137054 /nfs/dbraw/zinc/13/70/54/228137054.db2.gz NQNVNRBHSZTSEN-KRWDZBQOSA-N 1 2 283.419 3.912 20 0 CHADLO Cc1ccccc1C1CC([N@H+](Cc2csnn2)C2CC2)C1 ZINC000353665524 228141659 /nfs/dbraw/zinc/14/16/59/228141659.db2.gz KTQFWPHZHMXJCC-UHFFFAOYSA-N 1 2 299.443 3.757 20 0 CHADLO Cc1ccccc1C1CC([N@@H+](Cc2csnn2)C2CC2)C1 ZINC000353665524 228141661 /nfs/dbraw/zinc/14/16/61/228141661.db2.gz KTQFWPHZHMXJCC-UHFFFAOYSA-N 1 2 299.443 3.757 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)[C@H]2CC23CCCC3)c1 ZINC000353765210 228151745 /nfs/dbraw/zinc/15/17/45/228151745.db2.gz IBJLTFVGSQBNJG-OAHLLOKOSA-N 1 2 295.386 3.606 20 0 CHADLO Cc1ccc(CCCNc2ccc(F)cc2C)c[nH+]1 ZINC000353789239 228153671 /nfs/dbraw/zinc/15/36/71/228153671.db2.gz FWMJRLFJVRWIMZ-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H]1CCC[C@H](C)CC1 ZINC000332320439 228155287 /nfs/dbraw/zinc/15/52/87/228155287.db2.gz KHNFFEGHXDHILI-FZMZJTMJSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@H](C)C[C@@H](C)[C@H]1C ZINC000351929760 228166575 /nfs/dbraw/zinc/16/65/75/228166575.db2.gz BFPLNOKECJPRRR-MPKXVKKWSA-N 1 2 275.396 3.597 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1[C@@H](C)CCC[C@@H]1C ZINC000351932360 228167185 /nfs/dbraw/zinc/16/71/85/228167185.db2.gz ZTJVKOIXMATNDU-QWRGUYRKSA-N 1 2 275.396 3.645 20 0 CHADLO C[C@H]1CC=CC[C@@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000351950832 228168344 /nfs/dbraw/zinc/16/83/44/228168344.db2.gz HEOBNRDDTREYAU-WFASDCNBSA-N 1 2 281.359 3.618 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@H](C)CCC[C@@H]1C ZINC000335963507 228171622 /nfs/dbraw/zinc/17/16/22/228171622.db2.gz KEQZLCAHLXCLPO-YPMHNXCESA-N 1 2 275.396 3.741 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@@]2(CC[C@@H](C)C2)C1 ZINC000335964103 228171791 /nfs/dbraw/zinc/17/17/91/228171791.db2.gz PJFXSDLLESNAHO-SJKOYZFVSA-N 1 2 287.407 3.742 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2ccc(Cl)cc21)c1nccs1 ZINC000335970494 228171918 /nfs/dbraw/zinc/17/19/18/228171918.db2.gz UQAPYWLTLAPSMZ-KWQFWETISA-N 1 2 280.780 3.581 20 0 CHADLO C[C@@H]1c2ccc(F)cc2CCN1c1cc[nH+]c(C2CC2)n1 ZINC000167569566 228182234 /nfs/dbraw/zinc/18/22/34/228182234.db2.gz OBIGLLCCBLMTEE-LLVKDONJSA-N 1 2 283.350 3.617 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@H]1SCCc2ccccc21 ZINC000336085101 228186217 /nfs/dbraw/zinc/18/62/17/228186217.db2.gz UIQQSDBCRYGANC-INIZCTEOSA-N 1 2 298.411 3.668 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CC23CCCCC3)c(C)[nH+]1 ZINC000333191144 228192699 /nfs/dbraw/zinc/19/26/99/228192699.db2.gz OGALEVWBEBRHAH-AWEZNQCLSA-N 1 2 287.407 3.851 20 0 CHADLO CCc1nocc1COc1cc(CC)[nH+]c2ccccc21 ZINC000516785055 260026725 /nfs/dbraw/zinc/02/67/25/260026725.db2.gz TYUHEWSWEDNCEV-UHFFFAOYSA-N 1 2 282.343 3.927 20 0 CHADLO Cc1ccc([C@H](C)N[C@H]2CC[N@H+](Cc3ccccc3)C2)o1 ZINC000036960102 260057704 /nfs/dbraw/zinc/05/77/04/260057704.db2.gz GBVWCJICLYCCII-RDJZCZTQSA-N 1 2 284.403 3.513 20 0 CHADLO Cc1ccc([C@H](C)N[C@H]2CC[N@@H+](Cc3ccccc3)C2)o1 ZINC000036960102 260057706 /nfs/dbraw/zinc/05/77/06/260057706.db2.gz GBVWCJICLYCCII-RDJZCZTQSA-N 1 2 284.403 3.513 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1ccc(F)c(F)c1 ZINC000037201637 260073975 /nfs/dbraw/zinc/07/39/75/260073975.db2.gz VFOIIDDEIZYQRM-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO COc1cc(C)ccc1NCc1c(C)[nH+]c2ccccn21 ZINC000037783272 260117648 /nfs/dbraw/zinc/11/76/48/260117648.db2.gz UJLZGUGEEUYAPI-UHFFFAOYSA-N 1 2 281.359 3.572 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](C)c1ccc(C(C)C)cc1 ZINC000037891080 260119843 /nfs/dbraw/zinc/11/98/43/260119843.db2.gz WQJPAJKSLBLTEY-LBPRGKRZSA-N 1 2 257.381 3.692 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccn(C3CCCC3)n2)n1 ZINC000069994363 260151452 /nfs/dbraw/zinc/15/14/52/260151452.db2.gz XHYCCBCTYCJBEC-LBPRGKRZSA-N 1 2 290.436 3.614 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@@H](C)c1nc(C)cs1 ZINC000070939632 260158473 /nfs/dbraw/zinc/15/84/73/260158473.db2.gz ASUMWEYIUPLABE-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO COc1cc(CNc2c[nH+]ccc2C)ccc1OC(C)C ZINC000071413578 260162780 /nfs/dbraw/zinc/16/27/80/260162780.db2.gz LXGPEAPBQYNVKY-UHFFFAOYSA-N 1 2 286.375 3.798 20 0 CHADLO COc1ccc(CNc2cc(C(F)(F)F)cc[nH+]2)cc1 ZINC000078591900 260168438 /nfs/dbraw/zinc/16/84/38/260168438.db2.gz GGEHSBBRRSFBHP-UHFFFAOYSA-N 1 2 282.265 3.721 20 0 CHADLO CC[C@H]([NH2+]Cc1nc2ccccc2s1)c1nccs1 ZINC000080278506 260179727 /nfs/dbraw/zinc/17/97/27/260179727.db2.gz GSHROVYYTOALKQ-JTQLQIEISA-N 1 2 289.429 3.994 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC1(O)CCCCC1 ZINC000087030014 260211633 /nfs/dbraw/zinc/21/16/33/260211633.db2.gz UBNOIUPNUGRKJS-UHFFFAOYSA-N 1 2 270.376 3.650 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(SC)cc2)c1 ZINC000214101319 260230426 /nfs/dbraw/zinc/23/04/26/260230426.db2.gz OFIDQPPHMHPRAY-UHFFFAOYSA-N 1 2 274.389 3.733 20 0 CHADLO COc1ccc(Cl)c(NCc2c[nH+]cn2C(C)C)c1 ZINC000090208830 260239333 /nfs/dbraw/zinc/23/93/33/260239333.db2.gz IAKVQPYRCNQPIU-UHFFFAOYSA-N 1 2 279.771 3.738 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2c(c1)CCC2 ZINC000090207522 260239415 /nfs/dbraw/zinc/23/94/15/260239415.db2.gz VVYJZYIERVJCOB-UHFFFAOYSA-N 1 2 255.365 3.565 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nnc(C3CC3)o2)c(C)c1 ZINC000517841625 260310342 /nfs/dbraw/zinc/31/03/42/260310342.db2.gz NRNSKKIZBKDQPJ-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nnc(C3CC3)o2)c(C)c1 ZINC000517841625 260310344 /nfs/dbraw/zinc/31/03/44/260310344.db2.gz NRNSKKIZBKDQPJ-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO O=C1CC[N@H+](Cc2cc3ccccc3o2)C2(CCC2)C1 ZINC000518212095 260356683 /nfs/dbraw/zinc/35/66/83/260356683.db2.gz MCARRNFVMTYIRE-UHFFFAOYSA-N 1 2 269.344 3.520 20 0 CHADLO O=C1CC[N@@H+](Cc2cc3ccccc3o2)C2(CCC2)C1 ZINC000518212095 260356685 /nfs/dbraw/zinc/35/66/85/260356685.db2.gz MCARRNFVMTYIRE-UHFFFAOYSA-N 1 2 269.344 3.520 20 0 CHADLO CN(C)c1ccc(NCc2csc(C(C)(C)C)n2)c[nH+]1 ZINC000127742080 260369944 /nfs/dbraw/zinc/36/99/44/260369944.db2.gz CAPZHQOYXTTYHG-UHFFFAOYSA-N 1 2 290.436 3.514 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)CC2CCCCC2)c1 ZINC000518301659 260371717 /nfs/dbraw/zinc/37/17/17/260371717.db2.gz IYZNNIZPTDZXIE-UHFFFAOYSA-N 1 2 297.402 3.996 20 0 CHADLO COc1cc(C)ccc1C[N@H+](C)Cc1cnc(C(C)C)nc1 ZINC000449303762 260381499 /nfs/dbraw/zinc/38/14/99/260381499.db2.gz NLGVTZJFXFXESM-UHFFFAOYSA-N 1 2 299.418 3.549 20 0 CHADLO COc1cc(C)ccc1C[N@@H+](C)Cc1cnc(C(C)C)nc1 ZINC000449303762 260381501 /nfs/dbraw/zinc/38/15/01/260381501.db2.gz NLGVTZJFXFXESM-UHFFFAOYSA-N 1 2 299.418 3.549 20 0 CHADLO Cc1cc(N[C@@H]2CCCC[C@@H]2Cc2ccccc2)nc[nH+]1 ZINC000518365146 260384530 /nfs/dbraw/zinc/38/45/30/260384530.db2.gz HHSAGTMZIQVETQ-IAGOWNOFSA-N 1 2 281.403 3.998 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc(F)cc2F)s1 ZINC000130469126 260397093 /nfs/dbraw/zinc/39/70/93/260397093.db2.gz AJDAMNMZGLXSSV-VIFPVBQESA-N 1 2 282.359 3.835 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2cccc3[nH]ccc32)o1 ZINC000093460119 260519241 /nfs/dbraw/zinc/51/92/41/260519241.db2.gz POJVEOFPXJOJLF-KRWDZBQOSA-N 1 2 296.370 3.643 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2cccc3[nH]ccc32)o1 ZINC000093460119 260519242 /nfs/dbraw/zinc/51/92/42/260519242.db2.gz POJVEOFPXJOJLF-KRWDZBQOSA-N 1 2 296.370 3.643 20 0 CHADLO Cc1cn2cc(NC(=O)c3ccc(C)cc3Cl)ccc2[nH+]1 ZINC000427472832 260745645 /nfs/dbraw/zinc/74/56/45/260745645.db2.gz IACDSTPSBVTFDY-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO C[C@@H]([NH2+]C(c1ccccc1)c1ccccc1)c1nccn1C ZINC000172013491 260969083 /nfs/dbraw/zinc/96/90/83/260969083.db2.gz OPXZHROSVXSCLI-OAHLLOKOSA-N 1 2 291.398 3.860 20 0 CHADLO CC[C@](C)([NH2+]CCCCC1CCOCC1)C(F)(F)F ZINC000525709360 261056640 /nfs/dbraw/zinc/05/66/40/261056640.db2.gz VIENROPKGOOQEQ-ZDUSSCGKSA-N 1 2 281.362 3.904 20 0 CHADLO Cc1ccc(NC(=O)N2CCCC(C)(C)CC2)c(C)[nH+]1 ZINC000152362169 261063430 /nfs/dbraw/zinc/06/34/30/261063430.db2.gz HQXDHQCBAPLGOJ-UHFFFAOYSA-N 1 2 275.396 3.742 20 0 CHADLO CCc1nc2c(s1)[C@@H]([N@H+](C)Cc1ncc(C)o1)CCC2 ZINC000152562873 261065977 /nfs/dbraw/zinc/06/59/77/261065977.db2.gz ULFUAZKRBAFMAU-LBPRGKRZSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1nc2c(s1)[C@@H]([N@@H+](C)Cc1ncc(C)o1)CCC2 ZINC000152562873 261065979 /nfs/dbraw/zinc/06/59/79/261065979.db2.gz ULFUAZKRBAFMAU-LBPRGKRZSA-N 1 2 291.420 3.511 20 0 CHADLO CC(C)c1nc(C[NH2+][C@H](C)c2ccc3c(c2)CCCC3)no1 ZINC000154341324 261090667 /nfs/dbraw/zinc/09/06/67/261090667.db2.gz NEATYIHFQACIRJ-CYBMUJFWSA-N 1 2 299.418 3.923 20 0 CHADLO Cc1cc(NC(=O)CCCSC(C)(C)C)cc[nH+]1 ZINC000154475396 261092397 /nfs/dbraw/zinc/09/23/97/261092397.db2.gz YPOHFGYEIMWMGX-UHFFFAOYSA-N 1 2 266.410 3.640 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@@H](C(F)(F)F)[C@H]1C ZINC000360702920 261094732 /nfs/dbraw/zinc/09/47/32/261094732.db2.gz LCBLGOOAJZESSW-VXGBXAGGSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@@H](C(F)(F)F)[C@H]1C ZINC000360702920 261094733 /nfs/dbraw/zinc/09/47/33/261094733.db2.gz LCBLGOOAJZESSW-VXGBXAGGSA-N 1 2 289.345 3.627 20 0 CHADLO c1coc(-c2nc(CNc3cc[nH+]c(C4CC4)n3)cs2)c1 ZINC000154874666 261095867 /nfs/dbraw/zinc/09/58/67/261095867.db2.gz SFDVITIFXUPJCN-UHFFFAOYSA-N 1 2 298.371 3.683 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@@H](C)c3cncs3)co2)cc1 ZINC000155294097 261100630 /nfs/dbraw/zinc/10/06/30/261100630.db2.gz VQFNAWXDHUDIMY-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO FC(F)(F)CCCC[N@@H+]1CCO[C@H](c2ccsc2)C1 ZINC000155525191 261103107 /nfs/dbraw/zinc/10/31/07/261103107.db2.gz GYEHTKPEBOLOPU-LBPRGKRZSA-N 1 2 293.354 3.854 20 0 CHADLO FC(F)(F)CCCC[N@H+]1CCO[C@H](c2ccsc2)C1 ZINC000155525191 261103109 /nfs/dbraw/zinc/10/31/09/261103109.db2.gz GYEHTKPEBOLOPU-LBPRGKRZSA-N 1 2 293.354 3.854 20 0 CHADLO CC(C)Oc1cccc(C[N@H+](C)Cc2ccncc2F)c1 ZINC000449034252 261116024 /nfs/dbraw/zinc/11/60/24/261116024.db2.gz CDSOFKMGDMOJSF-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+](C)Cc2ccncc2F)c1 ZINC000449034252 261116025 /nfs/dbraw/zinc/11/60/25/261116025.db2.gz CDSOFKMGDMOJSF-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@@H+]1Cc1ncc(C(C)(C)C)s1 ZINC000448337743 261118649 /nfs/dbraw/zinc/11/86/49/261118649.db2.gz TWYRXUIOZMLMPI-MNOVXSKESA-N 1 2 284.494 3.766 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@H+]1Cc1ncc(C(C)(C)C)s1 ZINC000448337743 261118650 /nfs/dbraw/zinc/11/86/50/261118650.db2.gz TWYRXUIOZMLMPI-MNOVXSKESA-N 1 2 284.494 3.766 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000448351713 261123560 /nfs/dbraw/zinc/12/35/60/261123560.db2.gz BFRRNLAODDZZHN-ZDUSSCGKSA-N 1 2 282.453 3.513 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000448351713 261123562 /nfs/dbraw/zinc/12/35/62/261123562.db2.gz BFRRNLAODDZZHN-ZDUSSCGKSA-N 1 2 282.453 3.513 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1Cl)c1cscn1 ZINC000161129561 261237698 /nfs/dbraw/zinc/23/76/98/261237698.db2.gz IPSJFFSRCOEMKS-VIFPVBQESA-N 1 2 252.770 3.647 20 0 CHADLO Cc1ccc(NC(=O)CCOc2ccc(C)c(C)c2)c(C)[nH+]1 ZINC000176196314 261269520 /nfs/dbraw/zinc/26/95/20/261269520.db2.gz IEYNFNMPBXEBHT-UHFFFAOYSA-N 1 2 298.386 3.723 20 0 CHADLO CCn1ccnc1C[N@H+](CC)Cc1cccc(Cl)c1 ZINC000102768043 261269681 /nfs/dbraw/zinc/26/96/81/261269681.db2.gz CPHDBFBHUOABNU-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CCn1ccnc1C[N@@H+](CC)Cc1cccc(Cl)c1 ZINC000102768043 261269682 /nfs/dbraw/zinc/26/96/82/261269682.db2.gz CPHDBFBHUOABNU-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO COc1cc[nH+]cc1NC(=O)C[C@@H](c1ccccc1)C(C)C ZINC000103005087 261273657 /nfs/dbraw/zinc/27/36/57/261273657.db2.gz UVUKRZXDNMXMEN-OAHLLOKOSA-N 1 2 298.386 3.859 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2cnc(C)nc2C)cs1 ZINC000162326920 261277692 /nfs/dbraw/zinc/27/76/92/261277692.db2.gz ZIMWVTPCHRMJCR-GXSJLCMTSA-N 1 2 290.436 3.524 20 0 CHADLO Cc1ccc(C[NH+](Cc2ccco2)Cc2ccco2)o1 ZINC000162559897 261285990 /nfs/dbraw/zinc/28/59/90/261285990.db2.gz AXIXLQKMTJQRND-UHFFFAOYSA-N 1 2 271.316 3.976 20 0 CHADLO Cc1ccsc1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000103966702 261286470 /nfs/dbraw/zinc/28/64/70/261286470.db2.gz JLQYXARGIFWALJ-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO CC(C)[C@H](c1ccccc1Cl)[N@H+](C)Cc1ncccn1 ZINC000361826140 261397695 /nfs/dbraw/zinc/39/76/95/261397695.db2.gz SONHXIHIRXXLAP-MRXNPFEDSA-N 1 2 289.810 3.959 20 0 CHADLO CC(C)[C@H](c1ccccc1Cl)[N@@H+](C)Cc1ncccn1 ZINC000361826140 261397697 /nfs/dbraw/zinc/39/76/97/261397697.db2.gz SONHXIHIRXXLAP-MRXNPFEDSA-N 1 2 289.810 3.959 20 0 CHADLO C[N@H+](Cc1nc(C2CCCC2)no1)[C@@H]1CCc2ccccc21 ZINC000178588096 261399046 /nfs/dbraw/zinc/39/90/46/261399046.db2.gz CFJZICNJJCJRAY-MRXNPFEDSA-N 1 2 297.402 3.847 20 0 CHADLO C[N@@H+](Cc1nc(C2CCCC2)no1)[C@@H]1CCc2ccccc21 ZINC000178588096 261399048 /nfs/dbraw/zinc/39/90/48/261399048.db2.gz CFJZICNJJCJRAY-MRXNPFEDSA-N 1 2 297.402 3.847 20 0 CHADLO COc1ccccc1[C@H](CC(C)C)[NH2+]Cc1ccon1 ZINC000181697513 261907702 /nfs/dbraw/zinc/90/77/02/261907702.db2.gz SHXGYZUOBOASFK-HNNXBMFYSA-N 1 2 274.364 3.560 20 0 CHADLO CCCOc1ccc([NH2+][C@@H](C)[C@@H]2CCOC2)c(C)c1 ZINC000182887673 261954248 /nfs/dbraw/zinc/95/42/48/261954248.db2.gz YEOQEKWVADFVSC-UONOGXRCSA-N 1 2 263.381 3.621 20 0 CHADLO CCC[C@@H]1CCCCN1C(=O)Nc1cc[nH+]cc1C ZINC000183448127 261975481 /nfs/dbraw/zinc/97/54/81/261975481.db2.gz HIRUMINPSKZALP-CYBMUJFWSA-N 1 2 261.369 3.577 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2cc3ccccc3s2)no1 ZINC000184375789 262018298 /nfs/dbraw/zinc/01/82/98/262018298.db2.gz PPBWTDQIZKJYFH-SNVBAGLBSA-N 1 2 287.388 3.698 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(O)cc(F)c1)c1nc(C)cs1 ZINC000185522530 262059093 /nfs/dbraw/zinc/05/90/93/262059093.db2.gz ANRYOPURBCXBOC-ZDUSSCGKSA-N 1 2 280.368 3.537 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@@H](C)c1csc(C(C)C)n1 ZINC000186275332 262087224 /nfs/dbraw/zinc/08/72/24/262087224.db2.gz JHLJGRJYLRBXHF-JTQLQIEISA-N 1 2 279.409 3.722 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(CSC(F)F)o1 ZINC000186426335 262092504 /nfs/dbraw/zinc/09/25/04/262092504.db2.gz RSRQCPWRBLOCGF-UHFFFAOYSA-N 1 2 288.294 3.882 20 0 CHADLO C[C@H]([NH2+][C@@H](CCO)c1ccco1)c1ccc(F)cc1Cl ZINC000186549170 262098445 /nfs/dbraw/zinc/09/84/45/262098445.db2.gz YWDNOHIPCNIPFR-HZMBPMFUSA-N 1 2 297.757 3.846 20 0 CHADLO Cc1ccc(C[N@@H+]2CCSC[C@@H]2c2nccs2)cc1 ZINC000186631354 262102381 /nfs/dbraw/zinc/10/23/81/262102381.db2.gz OFGXBJPLVUVQRD-CQSZACIVSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1ccc(C[N@H+]2CCSC[C@@H]2c2nccs2)cc1 ZINC000186631354 262102384 /nfs/dbraw/zinc/10/23/84/262102384.db2.gz OFGXBJPLVUVQRD-CQSZACIVSA-N 1 2 290.457 3.742 20 0 CHADLO CC[C@H]1C[C@@]1([NH2+]Cc1noc(C(C)C)n1)c1cccc(C)c1 ZINC000502580754 262222289 /nfs/dbraw/zinc/22/22/89/262222289.db2.gz JCMGVIKVRWETRJ-KSSFIOAISA-N 1 2 299.418 3.916 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2F)[C@H](c2ccccc2)CO1 ZINC000525781154 262226221 /nfs/dbraw/zinc/22/62/21/262226221.db2.gz ZHUXOPKIVXKJID-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2F)[C@H](c2ccccc2)CO1 ZINC000525781154 262226223 /nfs/dbraw/zinc/22/62/23/262226223.db2.gz ZHUXOPKIVXKJID-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO COC1(CCn2c[nH+]c3cc(C)c(C)cc32)CCC1 ZINC000312065226 262347383 /nfs/dbraw/zinc/34/73/83/262347383.db2.gz FDALDPJKJPDQRC-UHFFFAOYSA-N 1 2 258.365 3.612 20 0 CHADLO Cc1ccc(C[S@@](=O)CC2(C)CCCCC2)c(C)[nH+]1 ZINC000414281799 262835076 /nfs/dbraw/zinc/83/50/76/262835076.db2.gz MZNAXWAMJMPSNR-LJQANCHMSA-N 1 2 279.449 3.918 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nnc(C3CC3)s2)cs1 ZINC000414327645 262844405 /nfs/dbraw/zinc/84/44/05/262844405.db2.gz PBLNUIZROSEJIP-VIFPVBQESA-N 1 2 279.434 3.636 20 0 CHADLO CC1=C[C@@H](C)C[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000430278129 263024057 /nfs/dbraw/zinc/02/40/57/263024057.db2.gz OXIYJAJGFASUQZ-GFCCVEGCSA-N 1 2 275.396 3.515 20 0 CHADLO CC1=C[C@@H](C)C[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000430278129 263024058 /nfs/dbraw/zinc/02/40/58/263024058.db2.gz OXIYJAJGFASUQZ-GFCCVEGCSA-N 1 2 275.396 3.515 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC[C@@H](c2ccccc2)C1)C(=O)OC(C)(C)C ZINC000438072248 263041359 /nfs/dbraw/zinc/04/13/59/263041359.db2.gz LMHTZSYUMDPSOA-NUEKZKHPSA-N 1 2 289.419 3.643 20 0 CHADLO C[C@@H](CNc1ccc2c(c1)CCC[NH2+]2)CC1CCOCC1 ZINC000414848172 263064414 /nfs/dbraw/zinc/06/44/14/263064414.db2.gz KECHFGGHPCSDBS-CQSZACIVSA-N 1 2 288.435 3.909 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N(C)[C@H](C)C1CCCCC1 ZINC000282694547 263078095 /nfs/dbraw/zinc/07/80/95/263078095.db2.gz RXXKTEOWAVCPGM-CYBMUJFWSA-N 1 2 275.396 3.823 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)no1 ZINC000289307141 263108691 /nfs/dbraw/zinc/10/86/91/263108691.db2.gz DNYRMNRNWDPNLB-RYUDHWBXSA-N 1 2 289.379 3.577 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1cccc(C)c1C)C(=O)OCC ZINC000439597228 263135031 /nfs/dbraw/zinc/13/50/31/263135031.db2.gz QMJKWLBQOXTZBO-GDBMZVCRSA-N 1 2 277.408 3.686 20 0 CHADLO Clc1csc(C[N@@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000293862317 263151191 /nfs/dbraw/zinc/15/11/91/263151191.db2.gz CDTBLQPCRGBGFV-KBPBESRZSA-N 1 2 283.824 3.545 20 0 CHADLO Clc1csc(C[N@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000293862317 263151194 /nfs/dbraw/zinc/15/11/94/263151194.db2.gz CDTBLQPCRGBGFV-KBPBESRZSA-N 1 2 283.824 3.545 20 0 CHADLO C[C@@H]1C[C@@H]1[C@@H]([NH2+]Cc1ccn[nH]1)c1ccc(Cl)cc1 ZINC000295067585 263171799 /nfs/dbraw/zinc/17/17/99/263171799.db2.gz WAHLUGDJNAKENV-ONERCXAPSA-N 1 2 275.783 3.550 20 0 CHADLO CCCCC[C@](C)(CC)C(=O)NCc1[nH+]ccn1CC ZINC000295900696 263183700 /nfs/dbraw/zinc/18/37/00/263183700.db2.gz ZSKBBKPDTGOLPW-INIZCTEOSA-N 1 2 279.428 3.516 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccc(F)cc2)cc1 ZINC000187689919 263265537 /nfs/dbraw/zinc/26/55/37/263265537.db2.gz UWWAQYRVEMQMLI-QGZVFWFLSA-N 1 2 295.361 3.736 20 0 CHADLO C[C@@H]1CCCN1c1cc(N2CCC[C@@H]2C)[nH+]c2cnccc21 ZINC000342848457 263529968 /nfs/dbraw/zinc/52/99/68/263529968.db2.gz LPVYCWLAFKOHQI-KGLIPLIRSA-N 1 2 296.418 3.607 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H](C)C(C)(F)F)[nH+]1 ZINC000343120434 263589274 /nfs/dbraw/zinc/58/92/74/263589274.db2.gz CHWXZJACSBDGPP-SNVBAGLBSA-N 1 2 293.317 3.615 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCOc2c(Cl)cccc21 ZINC000425345926 264017781 /nfs/dbraw/zinc/01/77/81/264017781.db2.gz VVPRTCNWLKLYGU-UHFFFAOYSA-N 1 2 291.782 3.516 20 0 CHADLO CC[C@H]1C[C@H](CC(=O)Nc2c(C)cc(C)[nH+]c2C)CCO1 ZINC000330784461 264052750 /nfs/dbraw/zinc/05/27/50/264052750.db2.gz KASDNTQNLBHIEU-CABCVRRESA-N 1 2 290.407 3.541 20 0 CHADLO CC[C@H](NC(=O)C[C@@H]1C[C@H](C)CC(C)(C)C1)c1[nH]cc[nH+]1 ZINC000331010818 264107054 /nfs/dbraw/zinc/10/70/54/264107054.db2.gz VKTNXXGRWYIYCF-IHRRRGAJSA-N 1 2 291.439 3.830 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CC[C@@H]2SC)c1 ZINC000425359692 264141875 /nfs/dbraw/zinc/14/18/75/264141875.db2.gz SCZTVOLBSTXTQI-NEPJUHHUSA-N 1 2 268.451 3.641 20 0 CHADLO CC(C)[C@H](NC(=O)[C@@H]1C[C@@H](C)CC(C)(C)C1)c1[nH]cc[nH+]1 ZINC000331204157 264160804 /nfs/dbraw/zinc/16/08/04/264160804.db2.gz YEPKNKBEBCNLRH-MCIONIFRSA-N 1 2 291.439 3.685 20 0 CHADLO CC[C@@H](NC(=O)[C@@H](C)CC1CCCCC1)c1[nH]cc[nH+]1 ZINC000331294558 264197551 /nfs/dbraw/zinc/19/75/51/264197551.db2.gz YDCRNSAPFOQVPF-GXTWGEPZSA-N 1 2 277.412 3.584 20 0 CHADLO CC(C)(C)c1cnc(C[NH+]2CC(CC(F)F)C2)s1 ZINC000425365795 264210996 /nfs/dbraw/zinc/21/09/96/264210996.db2.gz MVBLENAOBAIHGN-UHFFFAOYSA-N 1 2 274.380 3.528 20 0 CHADLO CSc1ccc(C)c(NC(=O)c2ccc3[nH+]ccn3c2)c1 ZINC000343255634 264222731 /nfs/dbraw/zinc/22/27/31/264222731.db2.gz VPVOKDLUEXDCIC-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO FC(F)c1noc(C[NH+]2CCC3(CCCCC3)CC2)n1 ZINC000425396324 264275835 /nfs/dbraw/zinc/27/58/35/264275835.db2.gz PMPGYKLGLPBPGY-UHFFFAOYSA-N 1 2 285.338 3.554 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2nc(C(F)F)no2)c1 ZINC000425404082 264283241 /nfs/dbraw/zinc/28/32/41/264283241.db2.gz JEIQRLWRHUQODT-LBPRGKRZSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2nc(C(F)F)no2)c1 ZINC000425404082 264283244 /nfs/dbraw/zinc/28/32/44/264283244.db2.gz JEIQRLWRHUQODT-LBPRGKRZSA-N 1 2 293.317 3.653 20 0 CHADLO CSc1cc(C)ccc1CNc1cc[nH+]c(C2CC2)n1 ZINC000128703876 264300541 /nfs/dbraw/zinc/30/05/41/264300541.db2.gz FMYDCQLHSXQQQA-UHFFFAOYSA-N 1 2 285.416 3.996 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2cc3ccc(C)cc3o2)[nH]1 ZINC000426015113 264309476 /nfs/dbraw/zinc/30/94/76/264309476.db2.gz FJNHLKKJYPTXPW-VXGBXAGGSA-N 1 2 298.390 3.834 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nccs1 ZINC000353778802 264310714 /nfs/dbraw/zinc/31/07/14/264310714.db2.gz FTIOSJLHJIUYQB-RAXLEYEMSA-N 1 2 258.390 3.678 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nccs1 ZINC000353778802 264310717 /nfs/dbraw/zinc/31/07/17/264310717.db2.gz FTIOSJLHJIUYQB-RAXLEYEMSA-N 1 2 258.390 3.678 20 0 CHADLO Cc1cccc(C[NH2+]Cc2cscn2)c1Br ZINC000190324143 264358059 /nfs/dbraw/zinc/35/80/59/264358059.db2.gz WBRGAZAAFCLTRV-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO CC[C@H](Oc1ccccc1C)C(=O)Nc1c(C)cc[nH+]c1C ZINC000425585990 264947566 /nfs/dbraw/zinc/94/75/66/264947566.db2.gz JSLPHQLDZVXONO-HNNXBMFYSA-N 1 2 298.386 3.803 20 0 CHADLO CC[C@@H]1CCCC[C@H]1OCC(=O)Nc1ccc(C)[nH+]c1C ZINC000185224878 265011567 /nfs/dbraw/zinc/01/15/67/265011567.db2.gz TXNFJGJSOTUDQH-GDBMZVCRSA-N 1 2 290.407 3.622 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@@H](C)c2ccncc2)c(C)[nH+]1 ZINC000335587183 265160120 /nfs/dbraw/zinc/16/01/20/265160120.db2.gz ZSITXEXCDQFDEZ-AWEZNQCLSA-N 1 2 298.390 3.627 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1c(F)cccc1Cl ZINC000350097978 265223762 /nfs/dbraw/zinc/22/37/62/265223762.db2.gz JOLPPEAGOITVAH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1c(F)cccc1Cl ZINC000350097978 265223763 /nfs/dbraw/zinc/22/37/63/265223763.db2.gz JOLPPEAGOITVAH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000518973135 265266350 /nfs/dbraw/zinc/26/63/50/265266350.db2.gz CXVKAPNZJPQMNX-NEPJUHHUSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000518973135 265266351 /nfs/dbraw/zinc/26/63/51/265266351.db2.gz CXVKAPNZJPQMNX-NEPJUHHUSA-N 1 2 281.346 3.669 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)c2ccc3sccc3c2)[nH+]1 ZINC000519412765 265420912 /nfs/dbraw/zinc/42/09/12/265420912.db2.gz LIAYFKNNGLNWJA-UHFFFAOYSA-N 1 2 299.399 3.598 20 0 CHADLO C[C@@H]1CCC[C@H](c2noc(C[N@H+](C)Cc3ccccc3)n2)C1 ZINC000356195280 266068439 /nfs/dbraw/zinc/06/84/39/266068439.db2.gz PUUGHMRPYUXHIO-ZBFHGGJFSA-N 1 2 299.418 3.995 20 0 CHADLO C[C@@H]1CCC[C@H](c2noc(C[N@@H+](C)Cc3ccccc3)n2)C1 ZINC000356195280 266068442 /nfs/dbraw/zinc/06/84/42/266068442.db2.gz PUUGHMRPYUXHIO-ZBFHGGJFSA-N 1 2 299.418 3.995 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1CNc1ccc(CO)c[nH+]1 ZINC000356335114 266098236 /nfs/dbraw/zinc/09/82/36/266098236.db2.gz JJKCWJMAOGGMLE-UHFFFAOYSA-N 1 2 296.292 3.513 20 0 CHADLO FC(F)(F)c1cscc1C[NH+]1CC2(CCC2(F)F)C1 ZINC000356515185 266128924 /nfs/dbraw/zinc/12/89/24/266128924.db2.gz DIEKNMTVPXCYFN-UHFFFAOYSA-N 1 2 297.292 3.998 20 0 CHADLO Cc1[nH+]c2ccccc2n1Cc1nc([C@@H](C)C(C)(C)C)no1 ZINC000356884410 266204054 /nfs/dbraw/zinc/20/40/54/266204054.db2.gz OOUKBBRZIHVOTK-LLVKDONJSA-N 1 2 298.390 3.926 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccsc3Cl)cn2c1 ZINC000356949714 266217324 /nfs/dbraw/zinc/21/73/24/266217324.db2.gz NKPAAKDIUCAHPU-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO CC(C)(CCCO)CNc1[nH+]ccc2ccc(F)cc21 ZINC000357212229 266266932 /nfs/dbraw/zinc/26/69/32/266266932.db2.gz OXQAYBXKUKJHGE-UHFFFAOYSA-N 1 2 276.355 3.585 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1nc(-c2ccoc2)no1 ZINC000357256645 266268045 /nfs/dbraw/zinc/26/80/45/266268045.db2.gz NIVCPUWFDPEGED-JTQLQIEISA-N 1 2 289.360 3.584 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1nc(-c2ccoc2)no1 ZINC000357256645 266268048 /nfs/dbraw/zinc/26/80/48/266268048.db2.gz NIVCPUWFDPEGED-JTQLQIEISA-N 1 2 289.360 3.584 20 0 CHADLO Cc1cc(N2CCCC[C@@H]2C(C)C)nc(C2CC2)[nH+]1 ZINC000357276828 266270641 /nfs/dbraw/zinc/27/06/41/266270641.db2.gz UTKPWXLTNZWLQN-CQSZACIVSA-N 1 2 259.397 3.677 20 0 CHADLO Fc1ccc2ccnc(NCc3ccn4cc[nH+]c4c3)c2c1 ZINC000357411800 266291866 /nfs/dbraw/zinc/29/18/66/266291866.db2.gz AYJDRZZFIYGACW-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO COCc1cc(N2CC=C(C)CC2)c2cc(F)ccc2[nH+]1 ZINC000357456042 266300185 /nfs/dbraw/zinc/30/01/85/266300185.db2.gz NAWRRQSBJNGWIH-UHFFFAOYSA-N 1 2 286.350 3.677 20 0 CHADLO COCc1cc(N2C[C@H](C)[C@H](C)C2)c2cc(F)ccc2[nH+]1 ZINC000357538401 266317212 /nfs/dbraw/zinc/31/72/12/266317212.db2.gz QYCJXOBQGSVZHI-TXEJJXNPSA-N 1 2 288.366 3.613 20 0 CHADLO Cc1cc(N[C@@H]2CCc3sc(Cl)cc32)nc[nH+]1 ZINC000357606395 266335504 /nfs/dbraw/zinc/33/55/04/266335504.db2.gz NJTGBZKMOPNIOW-SECBINFHSA-N 1 2 265.769 3.599 20 0 CHADLO CCCN(CC(C)C)C(=O)c1cc(C)ccc1-n1cc[nH+]c1 ZINC000357772731 266358591 /nfs/dbraw/zinc/35/85/91/266358591.db2.gz QOSUJNOUGJPDMX-UHFFFAOYSA-N 1 2 299.418 3.689 20 0 CHADLO Cc1ccc(NCc2ccnn2-c2ccccc2C)c(C)[nH+]1 ZINC000359306959 266563016 /nfs/dbraw/zinc/56/30/16/266563016.db2.gz NOILSNLLPJWNLM-UHFFFAOYSA-N 1 2 292.386 3.805 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccsc1Cl)c1ccc(C)o1 ZINC000359745712 266633754 /nfs/dbraw/zinc/63/37/54/266633754.db2.gz LBKQLZMVDQVTFW-LLVKDONJSA-N 1 2 285.796 3.780 20 0 CHADLO CSc1ccc(C)c(C(=O)Nc2cccc3[nH+]ccn32)c1 ZINC000359780385 266638907 /nfs/dbraw/zinc/63/89/07/266638907.db2.gz CFFCOISNTAFKKB-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO Cc1nc(N2CCC[C@H](c3ccccc3)CC2)cc[nH+]1 ZINC000360994298 266764226 /nfs/dbraw/zinc/76/42/26/266764226.db2.gz DTUUJIIGCBQRSX-INIZCTEOSA-N 1 2 267.376 3.559 20 0 CHADLO CC(C)Nc1cccc(-c2cn3c([nH+]2)CCCC3)c1 ZINC000361106334 266784247 /nfs/dbraw/zinc/78/42/47/266784247.db2.gz UCUXXPITVNRTRB-UHFFFAOYSA-N 1 2 255.365 3.707 20 0 CHADLO CC[C@@H](C)CN(CC)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000361735404 266876569 /nfs/dbraw/zinc/87/65/69/266876569.db2.gz IWWROEMBFNVZSI-GFCCVEGCSA-N 1 2 288.395 3.543 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2COc3ccc(C)cc32)cs1 ZINC000362293427 266956670 /nfs/dbraw/zinc/95/66/70/266956670.db2.gz LRTCHCUCKWSRKZ-GXFFZTMASA-N 1 2 274.389 3.544 20 0 CHADLO Fc1c[nH+]ccc1NCc1cc(Cl)cc(Cl)c1 ZINC000362801991 267048298 /nfs/dbraw/zinc/04/82/98/267048298.db2.gz QDTNVACFKAWNOB-UHFFFAOYSA-N 1 2 271.122 3.562 20 0 CHADLO COc1ccc([C@@H]([NH2+][C@H]2CCCc3[nH]ncc32)C(C)C)cc1 ZINC000367615249 267104152 /nfs/dbraw/zinc/10/41/52/267104152.db2.gz KVFCYRZTRNSLHA-WMZOPIPTSA-N 1 2 299.418 3.783 20 0 CHADLO COc1ccc([C@H]([NH2+][C@H]2CCCc3[nH]ncc32)C(C)C)cc1 ZINC000367615247 267104190 /nfs/dbraw/zinc/10/41/90/267104190.db2.gz KVFCYRZTRNSLHA-FUHWJXTLSA-N 1 2 299.418 3.783 20 0 CHADLO CC1(C)C[C@@H]([NH2+][C@H]2CCCc3[nH]ncc32)c2ccccc21 ZINC000367612620 267104708 /nfs/dbraw/zinc/10/47/08/267104708.db2.gz DPCSANHLMZHPLQ-DOTOQJQBSA-N 1 2 281.403 3.799 20 0 CHADLO Clc1cccc2c1CC[C@@H]2[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367742819 267114331 /nfs/dbraw/zinc/11/43/31/267114331.db2.gz WMODIVPQIBBNOZ-GJZGRUSLSA-N 1 2 287.794 3.718 20 0 CHADLO FC(F)(F)c1cnc(C[NH+]2CCC3(CCC3)CC2)s1 ZINC000368037705 267140036 /nfs/dbraw/zinc/14/00/36/267140036.db2.gz NONPKMIZXNGDGE-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2cc(F)cc(F)c21)c1ccsc1 ZINC000368324073 267162434 /nfs/dbraw/zinc/16/24/34/267162434.db2.gz MKPKANOQYSFBAD-PELKAZGASA-N 1 2 281.327 3.811 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCC23CCOCC3)cc1Cl ZINC000368525064 267181027 /nfs/dbraw/zinc/18/10/27/267181027.db2.gz DENJKNCPCAHYAR-OAHLLOKOSA-N 1 2 294.826 3.777 20 0 CHADLO Fc1ccc(CN2CCC[C@@H]2c2[nH]cc[nH+]2)cc1Cl ZINC000368628140 267191735 /nfs/dbraw/zinc/19/17/35/267191735.db2.gz ZNWBCWSHKHTQHE-CYBMUJFWSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1cc(Cl)cc2nc(SCc3[nH+]ccn3C)oc21 ZINC000117117379 267202425 /nfs/dbraw/zinc/20/24/25/267202425.db2.gz AQUODAMYOPGHEE-UHFFFAOYSA-N 1 2 293.779 3.815 20 0 CHADLO CC[C@H]1CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000117676209 267218142 /nfs/dbraw/zinc/21/81/42/267218142.db2.gz GWKJZFUIBYRGDT-HNNXBMFYSA-N 1 2 297.402 3.525 20 0 CHADLO CC(C)C[C@@H]1CCCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117776637 267221628 /nfs/dbraw/zinc/22/16/28/267221628.db2.gz JTVXAXNCGJXOLR-KRWDZBQOSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1csc(C[N@H+](C)CCOc2cccc(Cl)c2)n1 ZINC000118533155 267258493 /nfs/dbraw/zinc/25/84/93/267258493.db2.gz GCCSAPXXVIYKII-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1csc(C[N@@H+](C)CCOc2cccc(Cl)c2)n1 ZINC000118533155 267258494 /nfs/dbraw/zinc/25/84/94/267258494.db2.gz GCCSAPXXVIYKII-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1ccc(NC(=O)C[C@@H]2CCOc3ccccc32)c(C)[nH+]1 ZINC000119042577 267294498 /nfs/dbraw/zinc/29/44/98/267294498.db2.gz OGEWVTJSVGGWHA-AWEZNQCLSA-N 1 2 296.370 3.593 20 0 CHADLO CCC[C@@H](C)[C@H]1CCCN1C(=O)Nc1cc[nH+]c(C)c1 ZINC000119321392 267321546 /nfs/dbraw/zinc/32/15/46/267321546.db2.gz OLAPUOXRQITHST-IUODEOHRSA-N 1 2 275.396 3.823 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000119344567 267324321 /nfs/dbraw/zinc/32/43/21/267324321.db2.gz DYSRPWKLBCWSCH-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC000119344567 267324322 /nfs/dbraw/zinc/32/43/22/267324322.db2.gz DYSRPWKLBCWSCH-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO Cc1cccc2[nH+]c(CNc3cccc4c3OCCC4)cn21 ZINC000370531492 267327029 /nfs/dbraw/zinc/32/70/29/267327029.db2.gz OUVXPNXERRNMKE-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO C[C@H](N[C@H](c1[nH+]ccn1C)c1ccccc1F)c1ccco1 ZINC000370670629 267336428 /nfs/dbraw/zinc/33/64/28/267336428.db2.gz FOPQJJMBAKHUIB-LRDDRELGSA-N 1 2 299.349 3.592 20 0 CHADLO Clc1ccc(/C=C/C[N@@H+]2CCC[C@@H]2c2ncon2)cc1 ZINC000371371508 267395342 /nfs/dbraw/zinc/39/53/42/267395342.db2.gz CCQQZPZWPVULCI-XYHHVPKHSA-N 1 2 289.766 3.573 20 0 CHADLO Clc1ccc(/C=C/C[N@H+]2CCC[C@@H]2c2ncon2)cc1 ZINC000371371508 267395344 /nfs/dbraw/zinc/39/53/44/267395344.db2.gz CCQQZPZWPVULCI-XYHHVPKHSA-N 1 2 289.766 3.573 20 0 CHADLO CC[C@]1(C)C[C@H]1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000373697424 267702515 /nfs/dbraw/zinc/70/25/15/267702515.db2.gz QVTLDZZREBZZMW-SUMWQHHRSA-N 1 2 283.375 3.760 20 0 CHADLO CC[C@@H](NC(=O)Nc1cc[nH+]cc1C)c1ccc(C)cc1 ZINC000121808095 267744376 /nfs/dbraw/zinc/74/43/76/267744376.db2.gz BSAHRCKPEQMCOH-OAHLLOKOSA-N 1 2 283.375 3.971 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H]2C[C@H]2C(C)C)[nH+]1 ZINC000376910182 268028834 /nfs/dbraw/zinc/02/88/34/268028834.db2.gz IMQQUBQJJDPCBS-UONOGXRCSA-N 1 2 283.375 3.616 20 0 CHADLO C[C@H](CC(=O)Nc1cccc2[nH+]ccn21)c1ccc(F)cc1 ZINC000460327608 533689582 /nfs/dbraw/zinc/68/95/82/533689582.db2.gz BENOPZNDBGPIIV-GFCCVEGCSA-N 1 2 297.333 3.606 20 0 CHADLO C[C@@H]1CCCC[C@H]1c1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000580063397 327688819 /nfs/dbraw/zinc/68/88/19/327688819.db2.gz RPLMXMXQSGQPNE-TZMCWYRMSA-N 1 2 296.374 3.602 20 0 CHADLO C[C@H]([NH2+]Cc1cc[nH]n1)c1cc(Cl)sc1Cl ZINC000167434458 327737040 /nfs/dbraw/zinc/73/70/40/327737040.db2.gz MZNNQBQSVWASPQ-LURJTMIESA-N 1 2 276.192 3.629 20 0 CHADLO Cc1cc(C[NH2+]CC(C)(F)F)sc1Br ZINC000378663696 327748900 /nfs/dbraw/zinc/74/89/00/327748900.db2.gz DJFIYKJOTXHTQB-UHFFFAOYSA-N 1 2 284.169 3.564 20 0 CHADLO CC(C)(CCC(=O)NC(C)(C)c1[nH]cc[nH+]1)c1ccccc1 ZINC000582067765 327828238 /nfs/dbraw/zinc/82/82/38/327828238.db2.gz HVNXVHMLWMVHGF-UHFFFAOYSA-N 1 2 299.418 3.519 20 0 CHADLO Cc1cncc([C@H](C)Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC000184467242 327828668 /nfs/dbraw/zinc/82/86/68/327828668.db2.gz LMAGYPBAYQPFIO-AWEZNQCLSA-N 1 2 269.392 3.938 20 0 CHADLO Cc1ccccc1N(C(=O)C[C@H](C)n1cc[nH+]c1)C(C)C ZINC000563221388 327980394 /nfs/dbraw/zinc/98/03/94/327980394.db2.gz AIUBVQBECGSYLV-HNNXBMFYSA-N 1 2 285.391 3.584 20 0 CHADLO CCC[C@@H](CC)S(=O)(=O)Nc1ccc2c[nH+]ccc2c1 ZINC000563222823 327980862 /nfs/dbraw/zinc/98/08/62/327980862.db2.gz REHUMEIAGZLPEY-OAHLLOKOSA-N 1 2 292.404 3.555 20 0 CHADLO Cc1ccc(NC(=O)N[C@H](C)Cc2ccsc2)c(C)[nH+]1 ZINC000531523047 328013615 /nfs/dbraw/zinc/01/36/15/328013615.db2.gz CMKWCFLGLPSLGG-LLVKDONJSA-N 1 2 289.404 3.513 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@H](C)[C@@H]3CCCC[C@@H]32)[nH+]1 ZINC000531531362 328014976 /nfs/dbraw/zinc/01/49/76/328014976.db2.gz DTCAHSIOCWWFLL-WHOFXGATSA-N 1 2 284.407 3.671 20 0 CHADLO CCC1(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)CCCC1 ZINC000531538851 328015488 /nfs/dbraw/zinc/01/54/88/328015488.db2.gz WKRRFOKRJOBXPY-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nccn1C)c1ccccc1)c1ccsc1 ZINC000531687826 328020942 /nfs/dbraw/zinc/02/09/42/328020942.db2.gz SOBKPXMLHZPWPC-XJKSGUPXSA-N 1 2 297.427 3.922 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCc1nccs1 ZINC000063134526 328033922 /nfs/dbraw/zinc/03/39/22/328033922.db2.gz BUNPEEFOOYVONX-UHFFFAOYSA-N 1 2 269.373 3.654 20 0 CHADLO Cc1cc(C[NH2+]Cc2nnc(C(C)(C)C)s2)c(C)s1 ZINC000531864472 328050622 /nfs/dbraw/zinc/05/06/22/328050622.db2.gz SBWCXJMXMXNNNK-UHFFFAOYSA-N 1 2 295.477 3.804 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1cccc(C(C)(C)C)c1 ZINC000563363964 328059320 /nfs/dbraw/zinc/05/93/20/328059320.db2.gz KGZURGCNILJHCX-ZDUSSCGKSA-N 1 2 299.418 3.692 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCCc2c(Cl)cccc21 ZINC000563671495 328083493 /nfs/dbraw/zinc/08/34/93/328083493.db2.gz XTCLFDYBRJJKJM-LBPRGKRZSA-N 1 2 259.727 3.962 20 0 CHADLO CC1(C)CC[C@H](C[NH2+][C@@H](c2ccccc2)C(F)F)O1 ZINC000411760982 328099060 /nfs/dbraw/zinc/09/90/60/328099060.db2.gz TYOQKRDMHURHQS-OLZOCXBDSA-N 1 2 269.335 3.540 20 0 CHADLO CSC1(CNc2[nH+]ccc(C)c2Cl)CCC1 ZINC000336709102 328132885 /nfs/dbraw/zinc/13/28/85/328132885.db2.gz QWQVILASKPXDBH-UHFFFAOYSA-N 1 2 256.802 3.741 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2[C@H](c3ccccc3)[C@@H]2C)c(C)[nH+]1 ZINC000430131340 328137249 /nfs/dbraw/zinc/13/72/49/328137249.db2.gz LNOJWQBOGYNBPG-RRQGHBQHSA-N 1 2 294.398 3.995 20 0 CHADLO C[C@@H]1CCN(c2cc(NC3CC3)[nH+]cn2)[C@@H](c2ccco2)C1 ZINC000413171419 328141985 /nfs/dbraw/zinc/14/19/85/328141985.db2.gz ORTBDULTZMBXFB-TZMCWYRMSA-N 1 2 298.390 3.622 20 0 CHADLO C[C@@H]1CCN(c2cc(NC3CC3)nc[nH+]2)[C@@H](c2ccco2)C1 ZINC000413171419 328141986 /nfs/dbraw/zinc/14/19/86/328141986.db2.gz ORTBDULTZMBXFB-TZMCWYRMSA-N 1 2 298.390 3.622 20 0 CHADLO C[C@@H]1CCN(c2cc(NC3CC3)[nH+]cn2)[C@H](c2ccco2)C1 ZINC000413171418 328142036 /nfs/dbraw/zinc/14/20/36/328142036.db2.gz ORTBDULTZMBXFB-OCCSQVGLSA-N 1 2 298.390 3.622 20 0 CHADLO C[C@@H]1CCN(c2cc(NC3CC3)nc[nH+]2)[C@H](c2ccco2)C1 ZINC000413171418 328142038 /nfs/dbraw/zinc/14/20/38/328142038.db2.gz ORTBDULTZMBXFB-OCCSQVGLSA-N 1 2 298.390 3.622 20 0 CHADLO C[C@@H]1C[C@@H](CNc2[nH+]ccc3ccc(F)cc32)[C@H](C)O1 ZINC000413541291 328149161 /nfs/dbraw/zinc/14/91/61/328149161.db2.gz VTNVDAWDNKCHDI-MDZLAQPJSA-N 1 2 274.339 3.599 20 0 CHADLO Cc1cc(CNc2cccc([NH+]3CCCCCC3)c2)nn1C ZINC000414358974 328155148 /nfs/dbraw/zinc/15/51/48/328155148.db2.gz IJVBMUOGEKVGIF-UHFFFAOYSA-N 1 2 298.434 3.721 20 0 CHADLO CCC[N@H+](Cc1cscn1)Cc1ccc(F)cc1 ZINC000264013931 328156927 /nfs/dbraw/zinc/15/69/27/328156927.db2.gz JUWGAFUALXAHRX-UHFFFAOYSA-N 1 2 264.369 3.694 20 0 CHADLO CCC[N@@H+](Cc1cscn1)Cc1ccc(F)cc1 ZINC000264013931 328156929 /nfs/dbraw/zinc/15/69/29/328156929.db2.gz JUWGAFUALXAHRX-UHFFFAOYSA-N 1 2 264.369 3.694 20 0 CHADLO FC[C@@H]1C[N@H+](Cc2csc(Cl)c2Cl)CCCO1 ZINC000414482423 328185634 /nfs/dbraw/zinc/18/56/34/328185634.db2.gz XNYGTBLHEMIADP-SECBINFHSA-N 1 2 298.210 3.615 20 0 CHADLO FC[C@@H]1C[N@@H+](Cc2csc(Cl)c2Cl)CCCO1 ZINC000414482423 328185635 /nfs/dbraw/zinc/18/56/35/328185635.db2.gz XNYGTBLHEMIADP-SECBINFHSA-N 1 2 298.210 3.615 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(C(C)(C)C)s1)c1nccs1 ZINC000414497638 328189585 /nfs/dbraw/zinc/18/95/85/328189585.db2.gz UNPBPYYYKHTMRY-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(F)c(F)c2C)c1 ZINC000414591824 328198989 /nfs/dbraw/zinc/19/89/89/328198989.db2.gz SWZOWVQAYLRSPI-UHFFFAOYSA-N 1 2 278.302 3.597 20 0 CHADLO FCC1([NH2+]Cc2csc(-c3ccccc3)n2)CCC1 ZINC000583564529 328615760 /nfs/dbraw/zinc/61/57/60/328615760.db2.gz VDNGGXQDKJZTQD-UHFFFAOYSA-N 1 2 276.380 3.792 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc([NH+](C)C)cc2C)c1 ZINC000285983328 328248676 /nfs/dbraw/zinc/24/86/76/328248676.db2.gz GSAANLDXSMQWAD-AWEZNQCLSA-N 1 2 269.392 3.938 20 0 CHADLO CC[C@H]([NH2+]Cc1csc(COC)n1)c1ccccc1F ZINC000289721245 328255947 /nfs/dbraw/zinc/25/59/47/328255947.db2.gz JQQWEJCIZMHBJM-AWEZNQCLSA-N 1 2 294.395 3.670 20 0 CHADLO Cc1sccc1C[N@@H+]1CCO[C@H](c2ccsc2)C1 ZINC000295064597 328284704 /nfs/dbraw/zinc/28/47/04/328284704.db2.gz AGOBBIKEYMUMPC-AWEZNQCLSA-N 1 2 279.430 3.692 20 0 CHADLO Cc1sccc1C[N@H+]1CCO[C@H](c2ccsc2)C1 ZINC000295064597 328284705 /nfs/dbraw/zinc/28/47/05/328284705.db2.gz AGOBBIKEYMUMPC-AWEZNQCLSA-N 1 2 279.430 3.692 20 0 CHADLO CC1=CC[N@H+](Cc2nc(C(C)(C)C)cs2)CC1 ZINC000534472858 328301511 /nfs/dbraw/zinc/30/15/11/328301511.db2.gz IEHPHLRNINCSTO-UHFFFAOYSA-N 1 2 250.411 3.593 20 0 CHADLO CC1=CC[N@@H+](Cc2nc(C(C)(C)C)cs2)CC1 ZINC000534472858 328301512 /nfs/dbraw/zinc/30/15/12/328301512.db2.gz IEHPHLRNINCSTO-UHFFFAOYSA-N 1 2 250.411 3.593 20 0 CHADLO Cc1cccc2c1CC[C@@H]2Nc1cc[nH+]c(C2CC2)n1 ZINC000110542471 328306318 /nfs/dbraw/zinc/30/63/18/328306318.db2.gz VOEWMUZJRHFMAU-HNNXBMFYSA-N 1 2 265.360 3.762 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)[C@@H](C)CC)cc2[nH+]1 ZINC000049428880 328361160 /nfs/dbraw/zinc/36/11/60/328361160.db2.gz PWUZTJMUPDUGMI-NSHDSACASA-N 1 2 273.380 3.890 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CC[C@@H](Cc2ccccc2)C1 ZINC000534979452 328372984 /nfs/dbraw/zinc/37/29/84/328372984.db2.gz CXKWJIQSJCOZDF-INIZCTEOSA-N 1 2 291.398 3.642 20 0 CHADLO Cc1cc(C)c(NC(=O)C2CCCCCC2)c(C)[nH+]1 ZINC000101811327 328377397 /nfs/dbraw/zinc/37/73/97/328377397.db2.gz LYPQUAFIYOTZHH-UHFFFAOYSA-N 1 2 260.381 3.916 20 0 CHADLO Cc1cc(NC(=O)[C@@H](Cc2ccccc2)C(C)C)c(C)c[nH+]1 ZINC000535036241 328379167 /nfs/dbraw/zinc/37/91/67/328379167.db2.gz LWOFNBAYCIYXKZ-KRWDZBQOSA-N 1 2 296.414 3.574 20 0 CHADLO Cc1cc(NC(=O)C2(CC(C)C)CCCC2)c(C)c[nH+]1 ZINC000535035658 328379233 /nfs/dbraw/zinc/37/92/33/328379233.db2.gz CRTSDQDLMRFPQC-UHFFFAOYSA-N 1 2 274.408 3.665 20 0 CHADLO CSc1ccc(F)cc1C[NH2+][C@@H](C)c1cscn1 ZINC000535063681 328382186 /nfs/dbraw/zinc/38/21/86/328382186.db2.gz AZUWKOURIYGAHF-VIFPVBQESA-N 1 2 282.409 3.855 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@H]2C[C@@H]2C)o1)c1cscn1 ZINC000535065301 328382456 /nfs/dbraw/zinc/38/24/56/328382456.db2.gz INKTZEIQDKZAON-NHCYSSNCSA-N 1 2 262.378 3.710 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)c1cccnc1)c1cscn1 ZINC000535065738 328382910 /nfs/dbraw/zinc/38/29/10/328382910.db2.gz ZMKMLIYXYCAVQM-GUYCJALGSA-N 1 2 295.411 3.978 20 0 CHADLO Cc1cc(NC(=O)/C=C/c2ccc3ccccc3n2)cc[nH+]1 ZINC000112298532 328396771 /nfs/dbraw/zinc/39/67/71/328396771.db2.gz KNHZZYZRQBUXKI-CMDGGOBGSA-N 1 2 289.338 3.590 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@H+](C)Cc2ccco2)o1 ZINC000535261251 328398971 /nfs/dbraw/zinc/39/89/71/328398971.db2.gz OHQQNBUKTHJQQY-UHFFFAOYSA-N 1 2 282.343 3.875 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@@H+](C)Cc2ccco2)o1 ZINC000535261251 328398973 /nfs/dbraw/zinc/39/89/73/328398973.db2.gz OHQQNBUKTHJQQY-UHFFFAOYSA-N 1 2 282.343 3.875 20 0 CHADLO CC(C)C[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1ccccc1 ZINC000425464993 328487480 /nfs/dbraw/zinc/48/74/80/328487480.db2.gz YNMYPNHEYQEQAL-GFCCVEGCSA-N 1 2 295.333 3.884 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccccc2Cl)s1 ZINC000134911362 328517760 /nfs/dbraw/zinc/51/77/60/328517760.db2.gz QOQDJNIDMOLVRF-SNVBAGLBSA-N 1 2 266.797 3.956 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2nc(C(C)(C)C)cs2)on1 ZINC000184481962 328531952 /nfs/dbraw/zinc/53/19/52/328531952.db2.gz AJXXRFNHAMJTBS-SNVBAGLBSA-N 1 2 279.409 3.588 20 0 CHADLO C[C@H]1CC[C@@H](C(=O)Nc2ccc3[nH+]c(N(C)C)ccc3c2)C1 ZINC000345337625 328564784 /nfs/dbraw/zinc/56/47/84/328564784.db2.gz WKYOZZWKJGPGLN-GXTWGEPZSA-N 1 2 297.402 3.676 20 0 CHADLO CC(C)[C@H](NCc1ccc(-n2cc[nH+]c2)cc1)C(F)(F)F ZINC000345417496 328565906 /nfs/dbraw/zinc/56/59/06/328565906.db2.gz AHRAAFGZFCVAMC-AWEZNQCLSA-N 1 2 297.324 3.549 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(C)cc1 ZINC000398448819 328592724 /nfs/dbraw/zinc/59/27/24/328592724.db2.gz CVBRGTHVZVOFLT-WCQYABFASA-N 1 2 261.394 3.648 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)c(C)c1 ZINC000398471419 328592832 /nfs/dbraw/zinc/59/28/32/328592832.db2.gz NXDRPYDDZVNACW-NEPJUHHUSA-N 1 2 261.394 3.567 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(F)cc1F)c1ccn(C)n1 ZINC000349509812 328598866 /nfs/dbraw/zinc/59/88/66/328598866.db2.gz ZRWWGSLMSPXEFF-YGRLFVJLSA-N 1 2 279.334 3.500 20 0 CHADLO Cc1cc(NCC2CCCCC2)nc(-c2ccncc2)[nH+]1 ZINC000109478200 326855773 /nfs/dbraw/zinc/85/57/73/326855773.db2.gz VLHQREFHHKVPIF-UHFFFAOYSA-N 1 2 282.391 3.839 20 0 CHADLO CCCC(O)(CCC)CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000530691530 326886545 /nfs/dbraw/zinc/88/65/45/326886545.db2.gz JTYRXCMLXITJFZ-UHFFFAOYSA-N 1 2 290.329 3.844 20 0 CHADLO Cc1cc(C[NH2+]Cc2cc(Cl)cc(Cl)c2)no1 ZINC000044412189 326937958 /nfs/dbraw/zinc/93/79/58/326937958.db2.gz QKEVGNDIHVMZCD-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCc2c(OC)cccc21)C(F)F ZINC000556455241 326943115 /nfs/dbraw/zinc/94/31/15/326943115.db2.gz DZAMCIDKVTYNGV-OLZOCXBDSA-N 1 2 269.335 3.706 20 0 CHADLO CC[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000557477321 327008087 /nfs/dbraw/zinc/00/80/87/327008087.db2.gz CKOFTQWWXCXVFW-LLVKDONJSA-N 1 2 276.767 3.503 20 0 CHADLO CO[C@@H](C)[C@H](C)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000557659889 327019236 /nfs/dbraw/zinc/01/92/36/327019236.db2.gz JLSVSSWRDGIULH-UWVGGRQHSA-N 1 2 264.756 3.724 20 0 CHADLO CC(C)c1nc(C[NH2+]Cc2cccc(F)c2)c2ccccn21 ZINC000584177447 327059157 /nfs/dbraw/zinc/05/91/57/327059157.db2.gz YEHJDNZZOXGMOI-UHFFFAOYSA-N 1 2 297.377 3.887 20 0 CHADLO CCc1cccc(F)c1C[NH2+][C@@H](C)c1cscn1 ZINC000584183515 327062483 /nfs/dbraw/zinc/06/24/83/327062483.db2.gz LOTGJPBUGONEEK-JTQLQIEISA-N 1 2 264.369 3.695 20 0 CHADLO CC[C@@H]([NH2+]C/C=C\c1ccc(F)cc1)C(=O)OC(C)(C)C ZINC000558197730 327078229 /nfs/dbraw/zinc/07/82/29/327078229.db2.gz MJZVHNNZSXFIGS-IDTUSYRASA-N 1 2 293.382 3.549 20 0 CHADLO Cc1cscc1-c1nc(CCc2[nH+]cccc2C)no1 ZINC000565934153 327089489 /nfs/dbraw/zinc/08/94/89/327089489.db2.gz HVYKYJOVKUMHJA-UHFFFAOYSA-N 1 2 285.372 3.595 20 0 CHADLO CC[C@@H](CC(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1 ZINC000506873737 332781647 /nfs/dbraw/zinc/78/16/47/332781647.db2.gz HOIXWQAIBOUFGE-AWEZNQCLSA-N 1 2 293.370 3.857 20 0 CHADLO Cc1[nH+]cccc1NCc1cccc2c1OC(C)(C)C2 ZINC000091495575 327102449 /nfs/dbraw/zinc/10/24/49/327102449.db2.gz GSSISUDBAVUBIZ-UHFFFAOYSA-N 1 2 268.360 3.716 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccccc2OC(F)F)o1 ZINC000223642281 327104690 /nfs/dbraw/zinc/10/46/90/327104690.db2.gz KQSBNLJXAWCURW-SNVBAGLBSA-N 1 2 296.317 3.689 20 0 CHADLO CCC1(CNC(=O)c2ccccc2Oc2cc[nH+]cc2)CC1 ZINC000558682150 327114033 /nfs/dbraw/zinc/11/40/33/327114033.db2.gz RZXXJNKJRSLLGN-UHFFFAOYSA-N 1 2 296.370 3.794 20 0 CHADLO C[N@H+](Cc1ccc(F)c(F)c1)Cc1cc(F)ccc1F ZINC000530994477 327122484 /nfs/dbraw/zinc/12/24/84/327122484.db2.gz MSNRANHGNSPJBH-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1ccc(F)c(F)c1)Cc1cc(F)ccc1F ZINC000530994477 327122485 /nfs/dbraw/zinc/12/24/85/327122485.db2.gz MSNRANHGNSPJBH-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[C@@H]1CC[C@H](C)[C@@H](Nc2cc(CSCCO)cc[nH+]2)C1 ZINC000449205687 327130877 /nfs/dbraw/zinc/13/08/77/327130877.db2.gz OOZBIXBSHZMEKQ-IPYPFGDCSA-N 1 2 294.464 3.544 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc(-c2cccc(F)c2F)o1 ZINC000559323908 327159751 /nfs/dbraw/zinc/15/97/51/327159751.db2.gz XGQKPUZTPUVKDA-UHFFFAOYSA-N 1 2 287.256 3.970 20 0 CHADLO Cc1cccn2cc(CSCCOCC(C)C)[nH+]c12 ZINC000409835227 327165351 /nfs/dbraw/zinc/16/53/51/327165351.db2.gz IAVVFIDANKLDNS-UHFFFAOYSA-N 1 2 278.421 3.549 20 0 CHADLO Cc1nc2ccc(C[N@@H+]3CCC=C(F)C3)cc2s1 ZINC000426351188 327171326 /nfs/dbraw/zinc/17/13/26/327171326.db2.gz JWXBLMZPNXIJMW-UHFFFAOYSA-N 1 2 262.353 3.664 20 0 CHADLO Cc1nc2ccc(C[N@H+]3CCC=C(F)C3)cc2s1 ZINC000426351188 327171328 /nfs/dbraw/zinc/17/13/28/327171328.db2.gz JWXBLMZPNXIJMW-UHFFFAOYSA-N 1 2 262.353 3.664 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)ccc1Cl ZINC000569484477 327184737 /nfs/dbraw/zinc/18/47/37/327184737.db2.gz HWCUIHANJWLKSF-SJORKVTESA-N 1 2 291.822 3.792 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)ccc1Cl ZINC000569484477 327184739 /nfs/dbraw/zinc/18/47/39/327184739.db2.gz HWCUIHANJWLKSF-SJORKVTESA-N 1 2 291.822 3.792 20 0 CHADLO COc1ccccc1[C@H]([NH2+]Cc1cccn1C)c1ccco1 ZINC000151110830 327210194 /nfs/dbraw/zinc/21/01/94/327210194.db2.gz ULJUAYPLYCBJBK-SFHVURJKSA-N 1 2 296.370 3.506 20 0 CHADLO CC[C@@]1(C)COCC[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000536361805 327231776 /nfs/dbraw/zinc/23/17/76/327231776.db2.gz UOMZVFANBLZTTQ-AWEZNQCLSA-N 1 2 287.325 3.706 20 0 CHADLO CC[C@@]1(C)COCC[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000536361805 327231778 /nfs/dbraw/zinc/23/17/78/327231778.db2.gz UOMZVFANBLZTTQ-AWEZNQCLSA-N 1 2 287.325 3.706 20 0 CHADLO CC(C)c1cc(N2CCSC[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000536390280 327234874 /nfs/dbraw/zinc/23/48/74/327234874.db2.gz HZVNWAVRYZOHTB-LBPRGKRZSA-N 1 2 279.453 3.665 20 0 CHADLO COc1ccc(CN(C)c2[nH+]c3ccccc3n2C)cc1C ZINC000536502262 327241959 /nfs/dbraw/zinc/24/19/59/327241959.db2.gz LMPUFNMGRNWCTI-UHFFFAOYSA-N 1 2 295.386 3.527 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCO[C@@H](c2ccco2)C1 ZINC000151969784 327243005 /nfs/dbraw/zinc/24/30/05/327243005.db2.gz UFISJYDOQCKLHU-QGZVFWFLSA-N 1 2 294.354 3.714 20 0 CHADLO CCc1cc(N)nc(S[C@H](C)c2ccccc2C)[nH+]1 ZINC000581350429 327244654 /nfs/dbraw/zinc/24/46/54/327244654.db2.gz SNZNOPGQGWEIAJ-LLVKDONJSA-N 1 2 273.405 3.613 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(CC)cc2)s1 ZINC000531103991 327247501 /nfs/dbraw/zinc/24/75/01/327247501.db2.gz WDVSONHIPKWXEA-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(CC)cc2)s1 ZINC000531103991 327247503 /nfs/dbraw/zinc/24/75/03/327247503.db2.gz WDVSONHIPKWXEA-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO COCC1(CCNc2[nH+]ccc3ccc(F)cc32)CC1 ZINC000573916151 327271628 /nfs/dbraw/zinc/27/16/28/327271628.db2.gz IAQQBTPNJLMQMK-UHFFFAOYSA-N 1 2 274.339 3.603 20 0 CHADLO C[N@H+](CCC(F)(F)F)[C@@]1(c2ccccc2)CCCCC1=O ZINC000560196989 327289531 /nfs/dbraw/zinc/28/95/31/327289531.db2.gz AMEUHTLYQAGLKD-OAHLLOKOSA-N 1 2 299.336 3.909 20 0 CHADLO C[N@@H+](CCC(F)(F)F)[C@@]1(c2ccccc2)CCCCC1=O ZINC000560196989 327289533 /nfs/dbraw/zinc/28/95/33/327289533.db2.gz AMEUHTLYQAGLKD-OAHLLOKOSA-N 1 2 299.336 3.909 20 0 CHADLO Cn1cc[nH+]c1CNc1ccc(-c2ccccc2)cc1 ZINC000049750802 327296650 /nfs/dbraw/zinc/29/66/50/327296650.db2.gz WVCLWNWKHMQYCB-UHFFFAOYSA-N 1 2 263.344 3.699 20 0 CHADLO C[C@H](CC(=O)Nc1ccc(Cl)c(Cl)c1)n1cc[nH+]c1 ZINC000560337288 327300026 /nfs/dbraw/zinc/30/00/26/327300026.db2.gz JELZWHWZQBBMLQ-SECBINFHSA-N 1 2 298.173 3.780 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H]2CCC[C@H](C)C2)n1 ZINC000560354417 327302184 /nfs/dbraw/zinc/30/21/84/327302184.db2.gz UDSLNGNFBOBHBL-JSGCOSHPSA-N 1 2 285.391 3.852 20 0 CHADLO Cc1c(C[N@H+](C)Cc2ccc(Cl)cc2Cl)cnn1C ZINC000560439019 327308103 /nfs/dbraw/zinc/30/81/03/327308103.db2.gz BGZNZCMOXIEVKO-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1c(C[N@@H+](C)Cc2ccc(Cl)cc2Cl)cnn1C ZINC000560439019 327308104 /nfs/dbraw/zinc/30/81/04/327308104.db2.gz BGZNZCMOXIEVKO-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cccc2[nH+]c3c(c(C)c12)C[C@]1(CCCO1)CC3 ZINC000583356300 327317357 /nfs/dbraw/zinc/31/73/57/327317357.db2.gz FQQRIQLDTSOLEP-SFHVURJKSA-N 1 2 267.372 3.890 20 0 CHADLO COc1cc(Cl)c(C[N@H+](C)Cc2ccc[nH]2)cc1Cl ZINC000560573246 327326621 /nfs/dbraw/zinc/32/66/21/327326621.db2.gz HLKAWUSJMZSYBC-UHFFFAOYSA-N 1 2 299.201 3.962 20 0 CHADLO COc1cc(Cl)c(C[N@@H+](C)Cc2ccc[nH]2)cc1Cl ZINC000560573246 327326623 /nfs/dbraw/zinc/32/66/23/327326623.db2.gz HLKAWUSJMZSYBC-UHFFFAOYSA-N 1 2 299.201 3.962 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccc(Cl)cc1)[C@@H]1CCCO1 ZINC000560579510 327327337 /nfs/dbraw/zinc/32/73/37/327327337.db2.gz AVOHULCZUPJSFN-MFKMUULPSA-N 1 2 289.753 3.589 20 0 CHADLO Cc1c[nH+]c(C)nc1N[C@H]1CCc2cc(F)c(F)c(F)c21 ZINC000583391902 327339700 /nfs/dbraw/zinc/33/97/00/327339700.db2.gz LMORNSLCTBXNLO-NSHDSACASA-N 1 2 293.292 3.610 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSCCC(C)(C)O ZINC000578667261 327349007 /nfs/dbraw/zinc/34/90/07/327349007.db2.gz KVWZWMYNVXNTHQ-UHFFFAOYSA-N 1 2 290.432 3.575 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nc2c(s1)CCCC2)c1cscn1 ZINC000560897189 327350690 /nfs/dbraw/zinc/35/06/90/327350690.db2.gz BJAQEXFXQBMFGC-NXEZZACHSA-N 1 2 293.461 3.890 20 0 CHADLO CCCCN(C(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000574759819 327374929 /nfs/dbraw/zinc/37/49/29/327374929.db2.gz MOAHBCLZSRIHFP-OAHLLOKOSA-N 1 2 285.391 3.668 20 0 CHADLO O[C@H]1CCC[C@H](CNc2cc[nH+]c3c(Cl)cccc23)C1 ZINC000561211244 327375869 /nfs/dbraw/zinc/37/58/69/327375869.db2.gz YILULSXONAYLJT-RYUDHWBXSA-N 1 2 290.794 3.851 20 0 CHADLO Cc1cc[nH+]c(NCC2(O)CCCCCC2)c1Cl ZINC000561230075 327377639 /nfs/dbraw/zinc/37/76/39/327377639.db2.gz CYSDACLTBPLFKL-UHFFFAOYSA-N 1 2 268.788 3.541 20 0 CHADLO CC[C@@H]([NH2+]CC(C)(F)F)c1ccccc1OC(F)F ZINC000561278361 327381947 /nfs/dbraw/zinc/38/19/47/327381947.db2.gz YOFDZOLZBYHQGO-SNVBAGLBSA-N 1 2 279.277 3.984 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccccc1OC(F)F ZINC000398320909 327382678 /nfs/dbraw/zinc/38/26/78/327382678.db2.gz WLKRKMBIAYFEIY-BDAKNGLRSA-N 1 2 299.346 3.551 20 0 CHADLO CO[C@@H]1C[C@@H](c2ccccc2)CC[C@@H]1Nc1cccc[nH+]1 ZINC000561408172 327393055 /nfs/dbraw/zinc/39/30/55/327393055.db2.gz IHEQZELCCBOMEW-YESZJQIVSA-N 1 2 282.387 3.845 20 0 CHADLO Cc1ccc(NC(=O)NC(C2CCC2)C2CCC2)c(C)[nH+]1 ZINC000562230393 327458429 /nfs/dbraw/zinc/45/84/29/327458429.db2.gz FTSLQGVWSHTGSM-UHFFFAOYSA-N 1 2 287.407 3.789 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)NC(C)(C)c1[nH]cc[nH+]1 ZINC000565108601 327491369 /nfs/dbraw/zinc/49/13/69/327491369.db2.gz FPJSMQCCGGTEDR-ZDUSSCGKSA-N 1 2 277.412 3.534 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccn(C(C)C)n2)oc1C ZINC000569989549 327560690 /nfs/dbraw/zinc/56/06/90/327560690.db2.gz GOSYBFBUKQHMOF-GFCCVEGCSA-N 1 2 261.369 3.525 20 0 CHADLO CC[C@@H]1CC[C@H]1Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000570233359 327577922 /nfs/dbraw/zinc/57/79/22/327577922.db2.gz IHPLMJMJZAIFEV-BXUZGUMPSA-N 1 2 259.328 3.612 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3ncc(C(C)C)s3)n2c1 ZINC000185984292 327594860 /nfs/dbraw/zinc/59/48/60/327594860.db2.gz MDQPHSUNYCMBHW-UHFFFAOYSA-N 1 2 286.404 3.835 20 0 CHADLO Cc1cc(NC(=O)Cc2csc3ccccc23)c(C)c[nH+]1 ZINC000536575079 328667013 /nfs/dbraw/zinc/66/70/13/328667013.db2.gz ZFFCKNSNVXIOHH-UHFFFAOYSA-N 1 2 296.395 3.516 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nccn1C)c1ccccc1)c1cccs1 ZINC000532199472 328704773 /nfs/dbraw/zinc/70/47/73/328704773.db2.gz KCNIKGZBJMUXFQ-CZUORRHYSA-N 1 2 297.427 3.922 20 0 CHADLO COc1ccc[nH+]c1N[C@@H]1CC[C@H](c2ccccc2)C1 ZINC000526113050 328739778 /nfs/dbraw/zinc/73/97/78/328739778.db2.gz ANNDIANTGWQSDE-LSDHHAIUSA-N 1 2 268.360 3.838 20 0 CHADLO CCOCCN(CC)c1cc(C)[nH+]c2c(F)cccc12 ZINC000301671335 328829337 /nfs/dbraw/zinc/82/93/37/328829337.db2.gz NYYFERKNBZZONM-UHFFFAOYSA-N 1 2 276.355 3.545 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)COC3)nc(C(C)C)[nH+]1 ZINC000301912932 328830029 /nfs/dbraw/zinc/83/00/29/328830029.db2.gz DPHXRGBPGAKFOI-UHFFFAOYSA-N 1 2 269.348 3.682 20 0 CHADLO Cc1cc(CNc2cc[nH+]c(C3CC3)n2)ccc1Cl ZINC000302162778 328831293 /nfs/dbraw/zinc/83/12/93/328831293.db2.gz YYTRJZLIZPAMKO-UHFFFAOYSA-N 1 2 273.767 3.928 20 0 CHADLO Cc1ccc(-c2cccc(CNc3c[nH]c[nH+]3)c2)cc1 ZINC000563922312 328836290 /nfs/dbraw/zinc/83/62/90/328836290.db2.gz LPMSOZKVOBYYOM-UHFFFAOYSA-N 1 2 263.344 3.997 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)C(C)(F)F)c(F)c1 ZINC000389481495 328851165 /nfs/dbraw/zinc/85/11/65/328851165.db2.gz GLNOPWXTAZBYOP-IUCAKERBSA-N 1 2 261.287 3.529 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@H](C)C[C@@H](C)[C@@H]2C)c(C)[nH+]1 ZINC000354274905 328857717 /nfs/dbraw/zinc/85/77/17/328857717.db2.gz COXKUGIEJUAEST-GYSYKLTISA-N 1 2 275.396 3.597 20 0 CHADLO C[C@H]1CC(C(=O)Nc2ccccc2-n2cc[nH+]c2)C[C@H](C)C1 ZINC000356330029 328861857 /nfs/dbraw/zinc/86/18/57/328861857.db2.gz SXEHWKFDSAXAIB-ZIAGYGMSSA-N 1 2 297.402 3.883 20 0 CHADLO Cc1nc(N(C)Cc2ccc(Cl)c(Cl)c2)cc[nH+]1 ZINC000361149583 328914873 /nfs/dbraw/zinc/91/48/73/328914873.db2.gz LEDCWEVGKHXHGN-UHFFFAOYSA-N 1 2 282.174 3.728 20 0 CHADLO CCCC[C@@H](C)[N@H+](C)Cc1noc(Cc2ccccc2)n1 ZINC000582449724 329007950 /nfs/dbraw/zinc/00/79/50/329007950.db2.gz NMTCUPGWDRDWOO-CQSZACIVSA-N 1 2 287.407 3.671 20 0 CHADLO CCCC[C@@H](C)[N@@H+](C)Cc1noc(Cc2ccccc2)n1 ZINC000582449724 329007952 /nfs/dbraw/zinc/00/79/52/329007952.db2.gz NMTCUPGWDRDWOO-CQSZACIVSA-N 1 2 287.407 3.671 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2noc(C(C)C)n2)cc1 ZINC000377361502 329012629 /nfs/dbraw/zinc/01/26/29/329012629.db2.gz FVBQRJPWDMNYLI-HNNXBMFYSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2noc(C(C)C)n2)cc1 ZINC000377361502 329012631 /nfs/dbraw/zinc/01/26/31/329012631.db2.gz FVBQRJPWDMNYLI-HNNXBMFYSA-N 1 2 285.391 3.839 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)nc2)[C@@H]1c1cccs1 ZINC000377921087 329034807 /nfs/dbraw/zinc/03/48/07/329034807.db2.gz SLTDXKAKYQTAAD-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)nc2)[C@@H]1c1cccs1 ZINC000377921087 329034808 /nfs/dbraw/zinc/03/48/08/329034808.db2.gz SLTDXKAKYQTAAD-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO C(=C/[C@@H]1CCC[N@H+]1Cc1noc(C2CC2)n1)\c1ccccc1 ZINC000378601975 329056131 /nfs/dbraw/zinc/05/61/31/329056131.db2.gz VRCUMUDJOLCZNB-KXKDPZRNSA-N 1 2 295.386 3.625 20 0 CHADLO C(=C/[C@@H]1CCC[N@@H+]1Cc1noc(C2CC2)n1)\c1ccccc1 ZINC000378601975 329056133 /nfs/dbraw/zinc/05/61/33/329056133.db2.gz VRCUMUDJOLCZNB-KXKDPZRNSA-N 1 2 295.386 3.625 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)cc1Cl ZINC000194047111 329065197 /nfs/dbraw/zinc/06/51/97/329065197.db2.gz NIDOEKLKJFFZAB-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](c3ccco3)C2)cc1Cl ZINC000194047111 329065198 /nfs/dbraw/zinc/06/51/98/329065198.db2.gz NIDOEKLKJFFZAB-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccc(C(=O)NCC2CCC2)cc1Oc1cc[nH+]cc1 ZINC000172059889 329080647 /nfs/dbraw/zinc/08/06/47/329080647.db2.gz NLTXUOCHPYLLEW-UHFFFAOYSA-N 1 2 296.370 3.712 20 0 CHADLO CC(C)C(=O)Nc1cccc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)c1 ZINC000393332313 329085578 /nfs/dbraw/zinc/08/55/78/329085578.db2.gz STMOREZPXHPJAW-SWLSCSKDSA-N 1 2 298.390 3.596 20 0 CHADLO CC[N@H+](Cc1cnn(C)c1)Cc1cc(Cl)ccc1Cl ZINC000172771585 329138488 /nfs/dbraw/zinc/13/84/88/329138488.db2.gz OUDWAGPOCKMYBO-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1cnn(C)c1)Cc1cc(Cl)ccc1Cl ZINC000172771585 329138490 /nfs/dbraw/zinc/13/84/90/329138490.db2.gz OUDWAGPOCKMYBO-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO COc1cccc(F)c1[C@H](C)Nc1cc[nH+]c(C(C)C)n1 ZINC000172774360 329138823 /nfs/dbraw/zinc/13/88/23/329138823.db2.gz RWHNYYSDKAXREF-NSHDSACASA-N 1 2 289.354 3.921 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccnc1)c1nnc(-c2ccccc2)o1 ZINC000173367990 329146400 /nfs/dbraw/zinc/14/64/00/329146400.db2.gz YBONRFMKEMIHSS-CHWSQXEVSA-N 1 2 294.358 3.543 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccnc1)c1nnc(-c2ccccc2)o1 ZINC000173367977 329146498 /nfs/dbraw/zinc/14/64/98/329146498.db2.gz YBONRFMKEMIHSS-QWHCGFSZSA-N 1 2 294.358 3.543 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2cccc(Cl)c2)o1 ZINC000173544854 329148701 /nfs/dbraw/zinc/14/87/01/329148701.db2.gz SNYXHPSEFIXWCO-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2cccc(Cl)c2)o1 ZINC000173544854 329148703 /nfs/dbraw/zinc/14/87/03/329148703.db2.gz SNYXHPSEFIXWCO-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1ccncc1Cl ZINC000173539047 329148707 /nfs/dbraw/zinc/14/87/07/329148707.db2.gz RTLYXWGIHGAAET-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1ccncc1Cl ZINC000173539047 329148708 /nfs/dbraw/zinc/14/87/08/329148708.db2.gz RTLYXWGIHGAAET-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO Cc1ccc(-c2ocnc2C(=O)Nc2cc[nH+]cc2C)cc1 ZINC000173604552 329149795 /nfs/dbraw/zinc/14/97/95/329149795.db2.gz VAZDJSNOWFTVNX-UHFFFAOYSA-N 1 2 293.326 3.606 20 0 CHADLO Cc1cccnc1C[NH2+][C@H](C)c1ncc(-c2ccccc2)o1 ZINC000174059118 329153874 /nfs/dbraw/zinc/15/38/74/329153874.db2.gz SXHPMJCFTOLTEE-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCCC[C@@H]2c2cccn2C)n1 ZINC000175123257 329163351 /nfs/dbraw/zinc/16/33/51/329163351.db2.gz GLQOTRQMWTWYAE-QGZVFWFLSA-N 1 2 286.423 3.530 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCCC[C@@H]2c2cccn2C)n1 ZINC000175123257 329163353 /nfs/dbraw/zinc/16/33/53/329163353.db2.gz GLQOTRQMWTWYAE-QGZVFWFLSA-N 1 2 286.423 3.530 20 0 CHADLO c1cn(-c2ccc(CSc3ccccc3)cn2)c[nH+]1 ZINC000175930116 329171111 /nfs/dbraw/zinc/17/11/11/329171111.db2.gz DVLAVWLMRNNDPW-UHFFFAOYSA-N 1 2 267.357 3.560 20 0 CHADLO Cc1ccc(NC(=O)NCC2(CC(C)C)CC2)c(C)[nH+]1 ZINC000176502374 329175996 /nfs/dbraw/zinc/17/59/96/329175996.db2.gz MUGJWEKUBIDXFE-UHFFFAOYSA-N 1 2 275.396 3.646 20 0 CHADLO COc1cc(C)ccc1NCc1cn2c(cccc2C)[nH+]1 ZINC000177213145 329181849 /nfs/dbraw/zinc/18/18/49/329181849.db2.gz HDLLFGKOEUWMLO-UHFFFAOYSA-N 1 2 281.359 3.572 20 0 CHADLO Cc1cccc2[nH+]c(CNc3cccc(F)c3C)cn21 ZINC000177255315 329182595 /nfs/dbraw/zinc/18/25/95/329182595.db2.gz AIMZDLMCEOXPRN-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000177684856 329188960 /nfs/dbraw/zinc/18/89/60/329188960.db2.gz ZYVCDIBOZXXFGF-LRDDRELGSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000177684856 329188961 /nfs/dbraw/zinc/18/89/61/329188961.db2.gz ZYVCDIBOZXXFGF-LRDDRELGSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000177679901 329188995 /nfs/dbraw/zinc/18/89/95/329188995.db2.gz WRWMAYMQVLUALP-BZNIZROVSA-N 1 2 293.313 3.692 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000177679901 329188996 /nfs/dbraw/zinc/18/89/96/329188996.db2.gz WRWMAYMQVLUALP-BZNIZROVSA-N 1 2 293.313 3.692 20 0 CHADLO CC1(C)CCC[C@H](C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C1 ZINC000179395272 329204805 /nfs/dbraw/zinc/20/48/05/329204805.db2.gz GXJCEBHFLIRIPI-UONOGXRCSA-N 1 2 289.423 3.680 20 0 CHADLO CCOc1cccc(Cl)c1C[N@H+](C)Cc1cccnc1 ZINC000582793665 329210429 /nfs/dbraw/zinc/21/04/29/329210429.db2.gz LHVPCTCGAXLCHF-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1cccc(Cl)c1C[N@@H+](C)Cc1cccnc1 ZINC000582793665 329210430 /nfs/dbraw/zinc/21/04/30/329210430.db2.gz LHVPCTCGAXLCHF-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO C[N@@H+](Cc1csc2ccccc12)Cc1nnc(C2CC2)[nH]1 ZINC000180408769 329216018 /nfs/dbraw/zinc/21/60/18/329216018.db2.gz SMDVKFBMUDNWAS-UHFFFAOYSA-N 1 2 298.415 3.529 20 0 CHADLO C[N@H+](Cc1csc2ccccc12)Cc1nnc(C2CC2)[nH]1 ZINC000180408769 329216019 /nfs/dbraw/zinc/21/60/19/329216019.db2.gz SMDVKFBMUDNWAS-UHFFFAOYSA-N 1 2 298.415 3.529 20 0 CHADLO CCC[C@@H]1C[C@H](C(=O)Nc2c(C)cc(C)[nH+]c2C)CCO1 ZINC000182787720 329244577 /nfs/dbraw/zinc/24/45/77/329244577.db2.gz XGKLXEHWXCQIFO-HUUCEWRRSA-N 1 2 290.407 3.541 20 0 CHADLO CC(=O)Nc1ccc(C)cc1NCc1ccc(C)[nH+]c1C ZINC000184435985 329257945 /nfs/dbraw/zinc/25/79/45/329257945.db2.gz CMEUTTGOKUBHOJ-UHFFFAOYSA-N 1 2 283.375 3.577 20 0 CHADLO COCCCCCSCc1ccc(C)[nH+]c1C ZINC000184824032 329263072 /nfs/dbraw/zinc/26/30/72/329263072.db2.gz BEXUJMMETGQIHG-UHFFFAOYSA-N 1 2 253.411 3.748 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)c1cccc(C2CC2)c1 ZINC000582913665 329287694 /nfs/dbraw/zinc/28/76/94/329287694.db2.gz PDKOEXQGLLQKKX-UHFFFAOYSA-N 1 2 266.344 3.828 20 0 CHADLO c1cn(CCCSc2ccnc3ccccc32)c[nH+]1 ZINC000185543795 329326499 /nfs/dbraw/zinc/32/64/99/329326499.db2.gz RYPMDHYXDLLUIQ-UHFFFAOYSA-N 1 2 269.373 3.614 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1C)c1cccc(Cl)c1Cl ZINC000227530286 329327254 /nfs/dbraw/zinc/32/72/54/329327254.db2.gz PTFYUNZBNGWQCC-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(F)cc1Br ZINC000227600586 329328655 /nfs/dbraw/zinc/32/86/55/329328655.db2.gz QVUWJIIYEWIROF-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cc1nc(N2CCC(c3ccc(Cl)cc3)CC2)cc[nH+]1 ZINC000185958629 329333226 /nfs/dbraw/zinc/33/32/26/329333226.db2.gz NIOPEVPBTPRKNG-UHFFFAOYSA-N 1 2 287.794 3.822 20 0 CHADLO COc1ccc(CNc2cc[nH+]cc2F)c2ccccc12 ZINC000186426815 329342142 /nfs/dbraw/zinc/34/21/42/329342142.db2.gz VGUZOBQWKXBZHW-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(C(F)(F)F)cc1 ZINC000186425998 329342153 /nfs/dbraw/zinc/34/21/53/329342153.db2.gz HYZUNLKCGRIKIU-UHFFFAOYSA-N 1 2 270.229 3.852 20 0 CHADLO CC1(C)CC[C@@H](C[NH+](Cc2ccco2)Cc2ccco2)O1 ZINC000186438404 329342517 /nfs/dbraw/zinc/34/25/17/329342517.db2.gz BTIFKDZESNWDCO-INIZCTEOSA-N 1 2 289.375 3.832 20 0 CHADLO Cc1ccc(CSc2nccc(C(F)(F)F)n2)c(C)[nH+]1 ZINC000187273950 329356344 /nfs/dbraw/zinc/35/63/44/329356344.db2.gz ZMCTZMGKXFCMAK-UHFFFAOYSA-N 1 2 299.321 3.800 20 0 CHADLO Cc1ccc(CSc2ncn(-c3ccccc3)n2)c(C)[nH+]1 ZINC000187297875 329356717 /nfs/dbraw/zinc/35/67/17/329356717.db2.gz MLNDGGUWVQUPAM-UHFFFAOYSA-N 1 2 296.399 3.571 20 0 CHADLO Cc1ccc(CNc2ccc(-n3cccn3)c(F)c2)c(C)[nH+]1 ZINC000187721858 329362619 /nfs/dbraw/zinc/36/26/19/329362619.db2.gz CORUKAFTOJIAKO-UHFFFAOYSA-N 1 2 296.349 3.635 20 0 CHADLO Cc1ccc(CNc2cccc(NC(=O)C(C)C)c2)c(C)[nH+]1 ZINC000187713758 329362714 /nfs/dbraw/zinc/36/27/14/329362714.db2.gz QWOKCHZODGGIRT-UHFFFAOYSA-N 1 2 297.402 3.905 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)C[C@H](C)c2ccccc2C)[nH+]1 ZINC000583209897 329365861 /nfs/dbraw/zinc/36/58/61/329365861.db2.gz WUAFINBNYXJINA-ZDUSSCGKSA-N 1 2 299.418 3.572 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2c1c(F)ccc2F)c1cscn1 ZINC000583215057 329370353 /nfs/dbraw/zinc/37/03/53/329370353.db2.gz LWUUBJKEFUJXPE-QPUJVOFHSA-N 1 2 280.343 3.759 20 0 CHADLO CC(C)c1nc(N2[C@H](C)C[C@@H]2C)cc(C(C)(C)C)[nH+]1 ZINC000530432263 329398325 /nfs/dbraw/zinc/39/83/25/329398325.db2.gz DMHWSIVCSMUNRY-TXEJJXNPSA-N 1 2 261.413 3.885 20 0 CHADLO COc1cc(CNc2cc[nH+]cc2F)cc(Cl)c1OC ZINC000192150457 329429591 /nfs/dbraw/zinc/42/95/91/329429591.db2.gz LJDIIYBRXCKMGM-UHFFFAOYSA-N 1 2 296.729 3.503 20 0 CHADLO Cc1cc2[nH+]cn(CCOC3CCCC3)c2cc1C ZINC000584431403 329468213 /nfs/dbraw/zinc/46/82/13/329468213.db2.gz IASQZDARCINZTE-UHFFFAOYSA-N 1 2 258.365 3.612 20 0 CHADLO Cc1ccc(C)c(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000029951546 329541163 /nfs/dbraw/zinc/54/11/63/329541163.db2.gz IMMAEEYYPWQZSU-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO c1csc(CNc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000036939900 329548867 /nfs/dbraw/zinc/54/88/67/329548867.db2.gz IKBFOKDEHBLXRG-UHFFFAOYSA-N 1 2 255.346 3.546 20 0 CHADLO Cn1c[nH+]cc1CNc1cccc(-c2cccs2)c1 ZINC000125949346 329588772 /nfs/dbraw/zinc/58/87/72/329588772.db2.gz GWMSGERHIRKESA-UHFFFAOYSA-N 1 2 269.373 3.761 20 0 CHADLO C[C@H](N[C@@H](c1[nH+]ccn1C)c1cccc(F)c1)c1ccco1 ZINC000128509715 329608841 /nfs/dbraw/zinc/60/88/41/329608841.db2.gz HKEQTZJAADKBFY-BLLLJJGKSA-N 1 2 299.349 3.592 20 0 CHADLO CC(C)Cn1cc[nH+]c1CNC(=O)CCC1CCCCC1 ZINC000130978044 329630186 /nfs/dbraw/zinc/63/01/86/329630186.db2.gz IUOQNKUMYGBZLW-UHFFFAOYSA-N 1 2 291.439 3.516 20 0 CHADLO Cn1cc([C@@H](Nc2[nH+]c3ccccc3n2C)C(C)(C)C)cn1 ZINC000425242499 329647273 /nfs/dbraw/zinc/64/72/73/329647273.db2.gz ROXNPUVOYKDIKX-OAHLLOKOSA-N 1 2 297.406 3.506 20 0 CHADLO Clc1cc2c(s1)CC[C@@H]2Nc1cccc[nH+]1 ZINC000134153579 329657590 /nfs/dbraw/zinc/65/75/90/329657590.db2.gz WJTWXUOWGQLIRN-VIFPVBQESA-N 1 2 250.754 3.896 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1ccc(=O)c2ccccc21 ZINC000418868936 329659195 /nfs/dbraw/zinc/65/91/95/329659195.db2.gz AAEMBMNWCUUMOK-UHFFFAOYSA-N 1 2 292.382 3.877 20 0 CHADLO Cc1cc[nH+]c(NCc2ccccc2)c1Br ZINC000235939335 329684120 /nfs/dbraw/zinc/68/41/20/329684120.db2.gz BDBJTCSBHCLPAX-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO CCc1ccc(N[C@@H](c2[nH]cc[nH+]2)c2cccnc2)cc1F ZINC000195099701 329690391 /nfs/dbraw/zinc/69/03/91/329690391.db2.gz FTFBWJACWHMHKP-MRXNPFEDSA-N 1 2 296.349 3.708 20 0 CHADLO CC[C@H]([NH2+]C(CF)CF)c1ccc(Br)cc1 ZINC000419202714 329711448 /nfs/dbraw/zinc/71/14/48/329711448.db2.gz GSXSQTHVAYPNLQ-LBPRGKRZSA-N 1 2 292.167 3.797 20 0 CHADLO CC[C@H]([NH2+][C@H](C(=O)OC)c1ccsc1)C1CCCC1 ZINC000419288550 329714889 /nfs/dbraw/zinc/71/48/89/329714889.db2.gz HTWCLHZADKMAHP-KBPBESRZSA-N 1 2 281.421 3.521 20 0 CHADLO COc1cc(C)[nH+]c(CSCC2CC(F)(F)C2)c1 ZINC000419450776 329730385 /nfs/dbraw/zinc/73/03/85/329730385.db2.gz BGWWAXVJQYBTLD-UHFFFAOYSA-N 1 2 273.348 3.677 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSCC(=O)N(C)C(C)C ZINC000419492281 329737280 /nfs/dbraw/zinc/73/72/80/329737280.db2.gz KZNWNMILIWPNIW-UHFFFAOYSA-N 1 2 294.464 3.613 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(CCCCC(C)C)n1 ZINC000420509956 329772543 /nfs/dbraw/zinc/77/25/43/329772543.db2.gz GIZAAWXJMMYXJL-UHFFFAOYSA-N 1 2 273.380 3.733 20 0 CHADLO CCc1ccsc1-c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420510925 329772924 /nfs/dbraw/zinc/77/29/24/329772924.db2.gz MAYAIRKBDZRRRL-UHFFFAOYSA-N 1 2 285.372 3.655 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@H]2CCC[C@@H](C)C2)n1 ZINC000420513726 329773490 /nfs/dbraw/zinc/77/34/90/329773490.db2.gz WXJIRANKBKZZPX-OCCSQVGLSA-N 1 2 285.391 3.733 20 0 CHADLO CCCC[C@@H](C)c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420513758 329773495 /nfs/dbraw/zinc/77/34/95/329773495.db2.gz XBOYWPUGCLJMEP-LLVKDONJSA-N 1 2 259.353 3.658 20 0 CHADLO Cc1cccc([C@@H](C)c2nc(Cc3ccc[nH+]c3C)no2)c1 ZINC000420514142 329773512 /nfs/dbraw/zinc/77/35/12/329773512.db2.gz YNLPWELRRWZUJH-CYBMUJFWSA-N 1 2 293.370 3.824 20 0 CHADLO FC(F)(F)C[C@@H]1CCCN(c2cc[nH+]c3ccncc32)C1 ZINC000420631829 329780058 /nfs/dbraw/zinc/78/00/58/329780058.db2.gz QZEYIWPGYVYWMV-NSHDSACASA-N 1 2 295.308 3.799 20 0 CHADLO CCCc1noc(C[NH2+][C@H](CC(F)F)c2ccccc2)n1 ZINC000420989013 329796041 /nfs/dbraw/zinc/79/60/41/329796041.db2.gz WFPDYFRJVZBWCL-GFCCVEGCSA-N 1 2 295.333 3.508 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H](C)c2ccccc2Cl)nn1C ZINC000421321990 329811914 /nfs/dbraw/zinc/81/19/14/329811914.db2.gz CXJLWQBZDKNXMS-VIFPVBQESA-N 1 2 298.217 3.886 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2cccc(F)c2F)nn1C ZINC000421344367 329814453 /nfs/dbraw/zinc/81/44/53/329814453.db2.gz STLZXKKKIUNQOQ-MRVPVSSYSA-N 1 2 299.752 3.511 20 0 CHADLO CC[C@@H]1C[NH+](Cc2ncc(C(C)C)s2)C[C@@H](CC)O1 ZINC000421398349 329821344 /nfs/dbraw/zinc/82/13/44/329821344.db2.gz YQIUBYNNEFBYKX-CHWSQXEVSA-N 1 2 282.453 3.656 20 0 CHADLO Cc1cc(CNC(=O)C(C)(C)c2ccccc2C)cc(C)[nH+]1 ZINC000421575426 329843094 /nfs/dbraw/zinc/84/30/94/329843094.db2.gz KEZHWKFFTAOIDK-UHFFFAOYSA-N 1 2 296.414 3.601 20 0 CHADLO Cc1cc(CNC(=O)C(C)(C)Cc2ccccc2)cc(C)[nH+]1 ZINC000421577210 329843256 /nfs/dbraw/zinc/84/32/56/329843256.db2.gz OISYFRIXSTZEOV-UHFFFAOYSA-N 1 2 296.414 3.584 20 0 CHADLO Cc1cc(CNC(=O)[C@H]2CC[C@H](C(C)C)CC2)cc(C)[nH+]1 ZINC000421581815 329843761 /nfs/dbraw/zinc/84/37/61/329843761.db2.gz ZGHYOYUJSPGPSU-QAQDUYKDSA-N 1 2 288.435 3.777 20 0 CHADLO O=C(c1ccc2[nH+]ccn2c1)N1CCC[C@H]1C1CCCCC1 ZINC000068418786 329852579 /nfs/dbraw/zinc/85/25/79/329852579.db2.gz QPMCHPSPOUORMG-INIZCTEOSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1cc(NC(=O)c2cnc(-c3ccccc3)s2)cc[nH+]1 ZINC000076340630 329902525 /nfs/dbraw/zinc/90/25/25/329902525.db2.gz YNROHPSGLVZQNP-UHFFFAOYSA-N 1 2 295.367 3.766 20 0 CHADLO COc1ccc(C[NH2+]C(C)(C)c2nc(C)cs2)c(F)c1 ZINC000572126133 329946567 /nfs/dbraw/zinc/94/65/67/329946567.db2.gz XTYFAGKNMVAVAG-UHFFFAOYSA-N 1 2 294.395 3.624 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccc(Cl)cc1 ZINC000161766102 329954667 /nfs/dbraw/zinc/95/46/67/329954667.db2.gz VWHQJGHISQOFQI-UHFFFAOYSA-N 1 2 297.745 3.982 20 0 CHADLO C[C@H]1CCCC[C@@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000572393607 330058904 /nfs/dbraw/zinc/05/89/04/330058904.db2.gz PYEPSRFZKYPWDT-WFASDCNBSA-N 1 2 283.375 3.842 20 0 CHADLO CC[C@@H]1CCc2ccccc2[N@@H+]1CC1CCC(=O)CC1 ZINC000572444031 330085439 /nfs/dbraw/zinc/08/54/39/330085439.db2.gz CGEFHAKHJFTPQY-MRXNPFEDSA-N 1 2 271.404 3.977 20 0 CHADLO CC[C@@H]1CCc2ccccc2[N@H+]1CC1CCC(=O)CC1 ZINC000572444031 330085441 /nfs/dbraw/zinc/08/54/41/330085441.db2.gz CGEFHAKHJFTPQY-MRXNPFEDSA-N 1 2 271.404 3.977 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccncc2Cl)s1 ZINC000421835270 330091720 /nfs/dbraw/zinc/09/17/20/330091720.db2.gz PNDZBGDUGZTTFL-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccncc2Cl)s1 ZINC000421835271 330091813 /nfs/dbraw/zinc/09/18/13/330091813.db2.gz PNDZBGDUGZTTFL-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO COCc1cccc(N[C@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421837792 330092293 /nfs/dbraw/zinc/09/22/93/330092293.db2.gz SJEZXOZILYJENH-INIZCTEOSA-N 1 2 282.387 3.588 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)c2ccccc2F)c(C)[nH+]1 ZINC000171001065 330123218 /nfs/dbraw/zinc/12/32/18/330123218.db2.gz DKXYASPIWTUHJR-LBPRGKRZSA-N 1 2 286.350 3.888 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc2c(c1)OCO2 ZINC000423214294 330178273 /nfs/dbraw/zinc/17/82/73/330178273.db2.gz LBXYCOIQSGYVCL-LLVKDONJSA-N 1 2 282.343 3.556 20 0 CHADLO CO[C@H](c1ccccc1)[C@@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423215850 330178746 /nfs/dbraw/zinc/17/87/46/330178746.db2.gz NRAAEIJFXXLWIG-ACJLOTCBSA-N 1 2 282.387 3.843 20 0 CHADLO CC(C)O[C@H]1C[C@@H]([NH2+]c2ccc3c(c2)CCN3)C1(C)C ZINC000423215695 330178784 /nfs/dbraw/zinc/17/87/84/330178784.db2.gz NLOOOJIPNZZQSH-CVEARBPZSA-N 1 2 274.408 3.659 20 0 CHADLO CC(C)O[C@H]1C[C@@H](Nc2ccc3c(c2)CC[NH2+]3)C1(C)C ZINC000423215695 330178787 /nfs/dbraw/zinc/17/87/87/330178787.db2.gz NLOOOJIPNZZQSH-CVEARBPZSA-N 1 2 274.408 3.659 20 0 CHADLO Fc1cccc2c1CC[C@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423221861 330179556 /nfs/dbraw/zinc/17/95/56/330179556.db2.gz YMBXATJYBNBZLB-QGZVFWFLSA-N 1 2 268.335 3.893 20 0 CHADLO C[C@H]([NH2+]C1(C(F)F)CC1)c1ccc(Br)s1 ZINC000309024433 332902616 /nfs/dbraw/zinc/90/26/16/332902616.db2.gz ZAZCISPSZAAINM-LURJTMIESA-N 1 2 296.180 3.959 20 0 CHADLO Cc1cc(Br)c2c(c1)C[N@@H+](CC1(F)CC1)CC2 ZINC000527524852 330257124 /nfs/dbraw/zinc/25/71/24/330257124.db2.gz YFPPIRWWRRSBDO-UHFFFAOYSA-N 1 2 298.199 3.618 20 0 CHADLO Cc1cc(Br)c2c(c1)C[N@H+](CC1(F)CC1)CC2 ZINC000527524852 330257125 /nfs/dbraw/zinc/25/71/25/330257125.db2.gz YFPPIRWWRRSBDO-UHFFFAOYSA-N 1 2 298.199 3.618 20 0 CHADLO CO[C@H](CSCc1cn2cc(Cl)ccc2[nH+]1)C1CC1 ZINC000424124919 330257789 /nfs/dbraw/zinc/25/77/89/330257789.db2.gz PRGYALYCIMUSNO-CYBMUJFWSA-N 1 2 296.823 3.646 20 0 CHADLO Fc1cccnc1C[NH2+]Cc1ccc(C(F)(F)F)s1 ZINC000527530990 330258397 /nfs/dbraw/zinc/25/83/97/330258397.db2.gz VWVJORVGBHRGAV-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO Clc1cnccc1CNc1c[nH+]c2c(c1)CCCC2 ZINC000527690059 330279110 /nfs/dbraw/zinc/27/91/10/330279110.db2.gz FDEAGOPPZXYMGZ-UHFFFAOYSA-N 1 2 273.767 3.621 20 0 CHADLO CC1(C)COc2cc(NCc3cccc4[nH+]ccn43)ccc21 ZINC000527743528 330280113 /nfs/dbraw/zinc/28/01/13/330280113.db2.gz JSEWAGATMZTDSR-UHFFFAOYSA-N 1 2 293.370 3.616 20 0 CHADLO Cc1ccc2sc(C[N@@H+]3CCOC(C)(C)[C@@H]3C)nc2c1 ZINC000528402220 330282426 /nfs/dbraw/zinc/28/24/26/330282426.db2.gz DOKADZMTWKQNNP-LBPRGKRZSA-N 1 2 290.432 3.604 20 0 CHADLO Cc1ccc2sc(C[N@H+]3CCOC(C)(C)[C@@H]3C)nc2c1 ZINC000528402220 330282427 /nfs/dbraw/zinc/28/24/27/330282427.db2.gz DOKADZMTWKQNNP-LBPRGKRZSA-N 1 2 290.432 3.604 20 0 CHADLO Cn1c[nH+]cc1CNc1cc(C(C)(C)C)cc2cccnc21 ZINC000527801347 330284093 /nfs/dbraw/zinc/28/40/93/330284093.db2.gz UZCMNXSRAABNFK-UHFFFAOYSA-N 1 2 294.402 3.878 20 0 CHADLO Fc1cc2c(cc1Cl)[C@H]([NH2+]C1(C(F)F)CC1)CC2 ZINC000527902206 330293563 /nfs/dbraw/zinc/29/35/63/330293563.db2.gz SXUZEPBERONGKI-LLVKDONJSA-N 1 2 275.701 3.854 20 0 CHADLO Cc1cnc(CCN(C)c2[nH+]ccc3ccccc32)s1 ZINC000527939297 330293826 /nfs/dbraw/zinc/29/38/26/330293826.db2.gz OIPFZPRODRPAEN-UHFFFAOYSA-N 1 2 283.400 3.679 20 0 CHADLO CCc1cnc(CN(C)c2[nH+]ccc3ccccc32)s1 ZINC000527913299 330294922 /nfs/dbraw/zinc/29/49/22/330294922.db2.gz OLOPUVIQNITBSR-UHFFFAOYSA-N 1 2 283.400 3.890 20 0 CHADLO COc1ccc[nH+]c1NC[C@H](OC)c1cccc(Cl)c1 ZINC000527914033 330295021 /nfs/dbraw/zinc/29/50/21/330295021.db2.gz ZUQNPFOLXMEVMF-AWEZNQCLSA-N 1 2 292.766 3.543 20 0 CHADLO CO[C@@]1(C)CCCN(c2[nH+]ccc3ccccc32)CC1 ZINC000527995069 330299504 /nfs/dbraw/zinc/29/95/04/330299504.db2.gz VVNIARPCLWGEBA-KRWDZBQOSA-N 1 2 270.376 3.630 20 0 CHADLO COCC[C@@H]1CCCCN(c2[nH+]ccc3ccccc32)C1 ZINC000527966619 330301244 /nfs/dbraw/zinc/30/12/44/330301244.db2.gz NVGGREOJTWEJLR-HNNXBMFYSA-N 1 2 284.403 3.878 20 0 CHADLO COc1c(C)cc(Cl)cc1N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000527974150 330301797 /nfs/dbraw/zinc/30/17/97/330301797.db2.gz NMVGDAWWJZVWNO-ZWNOBZJWSA-N 1 2 291.782 3.971 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@@H](C)c2ncccc2F)cnn1C(C)C ZINC000528035012 330302436 /nfs/dbraw/zinc/30/24/36/330302436.db2.gz CWKSOJRLTSPIDC-RYUDHWBXSA-N 1 2 290.386 3.718 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ncccc1F)c1nc2ccccc2n1C ZINC000528035885 330302750 /nfs/dbraw/zinc/30/27/50/330302750.db2.gz MTKZAEZKQYNOBF-RYUDHWBXSA-N 1 2 298.365 3.519 20 0 CHADLO C[C@H](Nc1cccc2c1OC(C)(C)CC2)c1[nH+]ccn1C ZINC000528007781 330303567 /nfs/dbraw/zinc/30/35/67/330303567.db2.gz DPDCYOYFPILCRL-LBPRGKRZSA-N 1 2 285.391 3.697 20 0 CHADLO CC[C@](C)([NH2+]CCCCCn1cc(C)cn1)C(F)(F)F ZINC000533066467 330325764 /nfs/dbraw/zinc/32/57/64/330325764.db2.gz HYTTYFDKUIWVKB-ZDUSSCGKSA-N 1 2 291.361 3.682 20 0 CHADLO Fc1ccc(F)c(NCc2cn3cc(Cl)ccc3[nH+]2)c1 ZINC000105918434 330330640 /nfs/dbraw/zinc/33/06/40/330330640.db2.gz QQKHHKDMJHRTJA-UHFFFAOYSA-N 1 2 293.704 3.878 20 0 CHADLO COc1ccnc(C[NH2+][C@H](C)c2cccc(CC(C)C)c2)n1 ZINC000424306728 330338139 /nfs/dbraw/zinc/33/81/39/330338139.db2.gz JCZWKZQROPJSMS-CQSZACIVSA-N 1 2 299.418 3.535 20 0 CHADLO CCCCc1ccc([C@@H](C)[NH2+]Cc2nccc(OC)n2)cc1 ZINC000424307493 330338266 /nfs/dbraw/zinc/33/82/66/330338266.db2.gz LOTRHRTZQNSCTF-CQSZACIVSA-N 1 2 299.418 3.679 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C1CCC(F)(F)CC1)C(F)(F)F ZINC000424316386 330339404 /nfs/dbraw/zinc/33/94/04/330339404.db2.gz FNGNXCOHLWMJAS-JGVFFNPUSA-N 1 2 259.262 3.741 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H]2C[C@@]2(F)c2ccccc2)o1 ZINC000424336424 330343386 /nfs/dbraw/zinc/34/33/86/330343386.db2.gz XYYKECXZWUZWCT-APHBMKBZSA-N 1 2 259.324 3.876 20 0 CHADLO COc1ccc2oc(C(=O)Nc3cc[nH+]cc3C)c(C)c2c1 ZINC000107292005 330348753 /nfs/dbraw/zinc/34/87/53/330348753.db2.gz GGHSHUQCJZHOQP-UHFFFAOYSA-N 1 2 296.326 3.706 20 0 CHADLO CCCCN(C)c1ccc(C(=O)Nc2cc[nH+]cc2C)cc1 ZINC000107286049 330348817 /nfs/dbraw/zinc/34/88/17/330348817.db2.gz RBUBMLBCPRBQKW-UHFFFAOYSA-N 1 2 297.402 3.879 20 0 CHADLO C[N@@H+](Cc1csc2ccccc12)Cc1nc(C2CC2)no1 ZINC000046089627 330351327 /nfs/dbraw/zinc/35/13/27/330351327.db2.gz CPNVHIDMZRGXDF-UHFFFAOYSA-N 1 2 299.399 3.794 20 0 CHADLO C[N@H+](Cc1csc2ccccc12)Cc1nc(C2CC2)no1 ZINC000046089627 330351328 /nfs/dbraw/zinc/35/13/28/330351328.db2.gz CPNVHIDMZRGXDF-UHFFFAOYSA-N 1 2 299.399 3.794 20 0 CHADLO Clc1ccc2[nH+]c(CSC[C@@H]3CCCOC3)cn2c1 ZINC000533200072 330353117 /nfs/dbraw/zinc/35/31/17/330353117.db2.gz XRUDICRXEALKKA-LLVKDONJSA-N 1 2 296.823 3.648 20 0 CHADLO c1cn(-c2ccc(CSC[C@@H]3CCCOC3)cc2)c[nH+]1 ZINC000533200298 330353262 /nfs/dbraw/zinc/35/32/62/330353262.db2.gz CQVFZSILCPMMPN-OAHLLOKOSA-N 1 2 288.416 3.532 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+](C)Cc2cccc(C)c2)n1 ZINC000533243202 330354956 /nfs/dbraw/zinc/35/49/56/330354956.db2.gz KGTAOYKDZILGFQ-AWEZNQCLSA-N 1 2 287.407 3.914 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+](C)Cc2cccc(C)c2)n1 ZINC000533243202 330354957 /nfs/dbraw/zinc/35/49/57/330354957.db2.gz KGTAOYKDZILGFQ-AWEZNQCLSA-N 1 2 287.407 3.914 20 0 CHADLO COCc1cccc(COc2ccccc2-n2cc[nH+]c2)c1 ZINC000533331702 330358554 /nfs/dbraw/zinc/35/85/54/330358554.db2.gz XWBHDSJXSFBRDM-UHFFFAOYSA-N 1 2 294.354 3.598 20 0 CHADLO CCCC[C@@H](CC)CC(=O)Nc1c[nH+]ccc1OC ZINC000117137931 330363902 /nfs/dbraw/zinc/36/39/02/330363902.db2.gz FXKOOUSOYHGYAG-GFCCVEGCSA-N 1 2 264.369 3.635 20 0 CHADLO Cc1ccc(NC(=O)c2ccccc2OC(F)F)c(C)[nH+]1 ZINC000119173037 330370756 /nfs/dbraw/zinc/37/07/56/330370756.db2.gz SLPBEUPHUROYEQ-UHFFFAOYSA-N 1 2 292.285 3.552 20 0 CHADLO Cc1ccc(NC(=O)c2sccc2OC(F)F)c(C)[nH+]1 ZINC000119266307 330371448 /nfs/dbraw/zinc/37/14/48/330371448.db2.gz KJWPGXLKGUUPMY-UHFFFAOYSA-N 1 2 298.314 3.614 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@H]2C2CCCCC2)cc[nH+]1 ZINC000119747277 330379508 /nfs/dbraw/zinc/37/95/08/330379508.db2.gz JTPOIMKABSHGQX-INIZCTEOSA-N 1 2 287.407 3.967 20 0 CHADLO C[C@@H]1CCCC[C@H]1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000424571687 330380925 /nfs/dbraw/zinc/38/09/25/330380925.db2.gz GLANNQINOQXNEW-ZIAGYGMSSA-N 1 2 283.375 3.637 20 0 CHADLO CCCCOc1ccc(C[N@H+](C)Cc2cscn2)cc1 ZINC000120241635 330386812 /nfs/dbraw/zinc/38/68/12/330386812.db2.gz JSAKWIUJEPFJHD-UHFFFAOYSA-N 1 2 290.432 3.954 20 0 CHADLO CCCCOc1ccc(C[N@@H+](C)Cc2cscn2)cc1 ZINC000120241635 330386813 /nfs/dbraw/zinc/38/68/13/330386813.db2.gz JSAKWIUJEPFJHD-UHFFFAOYSA-N 1 2 290.432 3.954 20 0 CHADLO CCc1oc(C(=O)Nc2ccc3c(c2)[nH+]c(C)n3C)cc1C ZINC000120853897 330393076 /nfs/dbraw/zinc/39/30/76/330393076.db2.gz XIPYSCCTSVONAH-UHFFFAOYSA-N 1 2 297.358 3.598 20 0 CHADLO CCN(Cc1c[nH+]c(C)n1-c1ccccc1)CC(F)(F)F ZINC000091813156 330403765 /nfs/dbraw/zinc/40/37/65/330403765.db2.gz WMXNPIYBRPWXLI-UHFFFAOYSA-N 1 2 297.324 3.565 20 0 CHADLO CC[C@H](Cc1ccccc1C)C(=O)Nc1c[nH+]ccc1OC ZINC000424623608 330429252 /nfs/dbraw/zinc/42/92/52/330429252.db2.gz DRKJDNHIQKOEOD-CQSZACIVSA-N 1 2 298.386 3.606 20 0 CHADLO CC[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)C1CC1 ZINC000537428251 330436643 /nfs/dbraw/zinc/43/66/43/330436643.db2.gz WWTHMPFETREKCZ-OAHLLOKOSA-N 1 2 259.397 3.672 20 0 CHADLO C[C@H](CC(=O)N(Cc1ccccc1)C(C)(C)C)n1cc[nH+]c1 ZINC000514733611 330463979 /nfs/dbraw/zinc/46/39/79/330463979.db2.gz MGKYRLRQWAIGMY-OAHLLOKOSA-N 1 2 299.418 3.662 20 0 CHADLO C[C@H](CC[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000084223945 330484661 /nfs/dbraw/zinc/48/46/61/330484661.db2.gz CNQCCOJSBINIEL-KGLIPLIRSA-N 1 2 277.408 3.500 20 0 CHADLO CC[C@H]1CC[C@H](C(=O)Nc2c(C)cc[nH+]c2C)CC1 ZINC000425599110 330495374 /nfs/dbraw/zinc/49/53/74/330495374.db2.gz YWJQDZDYLLHXBV-HDJSIYSDSA-N 1 2 260.381 3.853 20 0 CHADLO FC1(F)CC(Nc2[nH+]cccc2OCc2ccccc2)C1 ZINC000428681553 330529509 /nfs/dbraw/zinc/52/95/09/330529509.db2.gz NYIHKDGGVZNLES-UHFFFAOYSA-N 1 2 290.313 3.870 20 0 CHADLO Cc1nc([C@](C)([NH2+]Cc2c(C)cc(C)cc2C)C2CC2)no1 ZINC000433291791 330622728 /nfs/dbraw/zinc/62/27/28/330622728.db2.gz XDZMKFLSYTXOSG-GOSISDBHSA-N 1 2 299.418 3.718 20 0 CHADLO Cc1nc2[nH]ccc2c(N2C[C@@H](c3ccccc3)C[C@H]2C)[nH+]1 ZINC000433785205 330631254 /nfs/dbraw/zinc/63/12/54/330631254.db2.gz HOSJXRCHXXEGDH-DOMZBBRYSA-N 1 2 292.386 3.649 20 0 CHADLO CC(C)c1nc(N(C)Cc2ccon2)cc(C(C)(C)C)[nH+]1 ZINC000434077325 330635173 /nfs/dbraw/zinc/63/51/73/330635173.db2.gz QGIJWCFNXFVTRO-UHFFFAOYSA-N 1 2 288.395 3.522 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1ncnn1C(C)C ZINC000434473263 330639484 /nfs/dbraw/zinc/63/94/84/330639484.db2.gz DQCAMLFSEPHUEZ-ZDUSSCGKSA-N 1 2 298.390 3.764 20 0 CHADLO COc1ccc(OC)c([C@H](C)Nc2ccc(C)[nH+]c2)c1 ZINC000154260529 330655765 /nfs/dbraw/zinc/65/57/65/330655765.db2.gz GUVKBELCZQYQGN-LBPRGKRZSA-N 1 2 272.348 3.580 20 0 CHADLO Cc1nc(N[C@@H]2C[C@H]2c2ccc3ccccc3c2)cc[nH+]1 ZINC000435575494 330657681 /nfs/dbraw/zinc/65/76/81/330657681.db2.gz RUZGTNAAJWCWBL-DLBZAZTESA-N 1 2 275.355 3.906 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CC[C@@H](C)c1ccccc1 ZINC000440847369 330757132 /nfs/dbraw/zinc/75/71/32/330757132.db2.gz SUEPIPMHGRYVQE-CQSZACIVSA-N 1 2 282.387 3.588 20 0 CHADLO CC[C@H]1CCC[C@@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000448648378 330758661 /nfs/dbraw/zinc/75/86/61/330758661.db2.gz QEXDJQJCLHNSHM-BBRMVZONSA-N 1 2 283.375 3.637 20 0 CHADLO CC[C@H](NC(=O)c1cc(C)c(C)cc1Cl)c1[nH]cc[nH+]1 ZINC000442816828 330785431 /nfs/dbraw/zinc/78/54/31/330785431.db2.gz YDPULIGVJVUSSF-ZDUSSCGKSA-N 1 2 291.782 3.561 20 0 CHADLO Nc1cc(CSc2nc3ccc(Cl)cc3o2)cc[nH+]1 ZINC000443463217 330799917 /nfs/dbraw/zinc/79/99/17/330799917.db2.gz BWJIMRJLRUBLTP-UHFFFAOYSA-N 1 2 291.763 3.581 20 0 CHADLO Cn1c2c(cccc2F)[nH+]c1N1CC[C@H](c2ccccc2)C1 ZINC000443505969 330800489 /nfs/dbraw/zinc/80/04/89/330800489.db2.gz BDLIQPLVZIZAIT-AWEZNQCLSA-N 1 2 295.361 3.706 20 0 CHADLO Cc1cc(N2C[C@H](C)O[C@H](C)[C@H]2C)c2cccc(F)c2[nH+]1 ZINC000445660653 330834186 /nfs/dbraw/zinc/83/41/86/330834186.db2.gz UWLIAONWZITAPO-YNEHKIRRSA-N 1 2 288.366 3.684 20 0 CHADLO Cc1ccc(CCNC(=O)Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC000447170064 330862392 /nfs/dbraw/zinc/86/23/92/330862392.db2.gz SBEUGHNXDSNEFW-UHFFFAOYSA-N 1 2 297.402 3.679 20 0 CHADLO C[C@H]1CCC[C@@]1(C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448840078 330885566 /nfs/dbraw/zinc/88/55/66/330885566.db2.gz HYPWNICRRGPKBF-YVEFUNNKSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@@]1(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CC=CCC1 ZINC000448897786 330887261 /nfs/dbraw/zinc/88/72/61/330887261.db2.gz JXHYLZZLHKGZEH-QGZVFWFLSA-N 1 2 281.359 3.762 20 0 CHADLO CSc1ccc(F)cc1C[NH2+]Cc1ncc(C)s1 ZINC000449177739 330903890 /nfs/dbraw/zinc/90/38/90/330903890.db2.gz SXJTXNSSGDSAAQ-UHFFFAOYSA-N 1 2 282.409 3.602 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)cc(Cl)c1)C(F)F ZINC000449357118 330918367 /nfs/dbraw/zinc/91/83/67/330918367.db2.gz BOIJDGUDIZXTHO-SNVBAGLBSA-N 1 2 251.679 3.612 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1cncc(Cl)c1C ZINC000449357508 330918386 /nfs/dbraw/zinc/91/83/86/330918386.db2.gz ATFLSSWPXQFANU-UHFFFAOYSA-N 1 2 275.783 3.792 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(OC(C)C)c(OC)c1)C(F)F ZINC000449357190 330918393 /nfs/dbraw/zinc/91/83/93/330918393.db2.gz CAJHLDMJCAXJQS-LBPRGKRZSA-N 1 2 287.350 3.616 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[NH+]1CC2(CC2)C1 ZINC000449370008 330919355 /nfs/dbraw/zinc/91/93/55/330919355.db2.gz HHWMGDHLPBZUJP-UHFFFAOYSA-N 1 2 272.175 3.598 20 0 CHADLO FC(F)(F)c1ccc2c(cc[nH+]c2N[C@@H]2CCSC2)c1 ZINC000450358133 330974466 /nfs/dbraw/zinc/97/44/66/330974466.db2.gz QHEPEHHXPVUJPX-LLVKDONJSA-N 1 2 298.333 3.593 20 0 CHADLO Cc1cc[nH+]c(NC[C@@H]2CCCC2(F)F)c1Cl ZINC000450741446 330997288 /nfs/dbraw/zinc/99/72/88/330997288.db2.gz XEZHXJMOUSVYPW-VIFPVBQESA-N 1 2 260.715 3.891 20 0 CHADLO Cc1cc(N2C[C@H](C3CC3)[C@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC000450796825 331000088 /nfs/dbraw/zinc/00/00/88/331000088.db2.gz PVQSSDVVDIRJOX-GDBMZVCRSA-N 1 2 271.408 3.533 20 0 CHADLO Cc1cc(N2C[C@@H](C3CC3)[C@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC000450796826 331000110 /nfs/dbraw/zinc/00/01/10/331000110.db2.gz PVQSSDVVDIRJOX-GOEBONIOSA-N 1 2 271.408 3.533 20 0 CHADLO CCC1(CNc2cc[nH+]c3c(Cl)cccc23)COC1 ZINC000450850426 331002857 /nfs/dbraw/zinc/00/28/57/331002857.db2.gz ZFDMFQPUSVTFLX-UHFFFAOYSA-N 1 2 276.767 3.727 20 0 CHADLO CO[C@@H]([C@H](C)Nc1[nH+]ccc2ccc(F)cc21)C1CC1 ZINC000450864351 331003644 /nfs/dbraw/zinc/00/36/44/331003644.db2.gz VEYMMXXLSHPSAG-BONVTDFDSA-N 1 2 274.339 3.599 20 0 CHADLO FC1(F)CC[C@H](C[N@@H+]2CCn3c(cc4ccccc43)C2)C1 ZINC000451048804 331013600 /nfs/dbraw/zinc/01/36/00/331013600.db2.gz HGRQOQYCLDNKIJ-ZDUSSCGKSA-N 1 2 290.357 3.892 20 0 CHADLO FC1(F)CC[C@H](C[N@H+]2CCn3c(cc4ccccc43)C2)C1 ZINC000451048804 331013601 /nfs/dbraw/zinc/01/36/01/331013601.db2.gz HGRQOQYCLDNKIJ-ZDUSSCGKSA-N 1 2 290.357 3.892 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cc(-c3cccs3)on2)C1 ZINC000451122401 331016573 /nfs/dbraw/zinc/01/65/73/331016573.db2.gz RALVBASHWVDWAX-CQSZACIVSA-N 1 2 280.368 3.727 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cc(-c3cccs3)on2)C1 ZINC000451122401 331016574 /nfs/dbraw/zinc/01/65/74/331016574.db2.gz RALVBASHWVDWAX-CQSZACIVSA-N 1 2 280.368 3.727 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@@H+]1CC[C@H](F)C1 ZINC000451188634 331019554 /nfs/dbraw/zinc/01/95/54/331019554.db2.gz OFSZTSUYBFUNLK-UWVGGRQHSA-N 1 2 272.161 3.554 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@H+]1CC[C@H](F)C1 ZINC000451188634 331019555 /nfs/dbraw/zinc/01/95/55/331019555.db2.gz OFSZTSUYBFUNLK-UWVGGRQHSA-N 1 2 272.161 3.554 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(C2CC2)o1)c1ccccc1F ZINC000451247554 331021795 /nfs/dbraw/zinc/02/17/95/331021795.db2.gz NFIDFZRWIJGWSQ-SNVBAGLBSA-N 1 2 260.312 3.542 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CC[C@H](C(F)(F)F)C[C@H]1C ZINC000451409991 331028149 /nfs/dbraw/zinc/02/81/49/331028149.db2.gz RJNVHDPDXMSKCU-NEPJUHHUSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CC[C@H](C(F)(F)F)C[C@H]1C ZINC000451409991 331028150 /nfs/dbraw/zinc/02/81/50/331028150.db2.gz RJNVHDPDXMSKCU-NEPJUHHUSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCOCC23CCCCC3)no1 ZINC000451817985 331043732 /nfs/dbraw/zinc/04/37/32/331043732.db2.gz VKJOICJMFVWTQC-UHFFFAOYSA-N 1 2 292.423 3.507 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCOCC23CCCCC3)no1 ZINC000451817985 331043733 /nfs/dbraw/zinc/04/37/33/331043733.db2.gz VKJOICJMFVWTQC-UHFFFAOYSA-N 1 2 292.423 3.507 20 0 CHADLO Cc1cc(C(=O)C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)c(C)[nH]1 ZINC000451856321 331044942 /nfs/dbraw/zinc/04/49/42/331044942.db2.gz PLNXTPFMCBWLPO-QGZVFWFLSA-N 1 2 298.386 3.634 20 0 CHADLO Cc1cc(C(=O)C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)c(C)[nH]1 ZINC000451856321 331044943 /nfs/dbraw/zinc/04/49/43/331044943.db2.gz PLNXTPFMCBWLPO-QGZVFWFLSA-N 1 2 298.386 3.634 20 0 CHADLO C[C@H]1CCC(C)(C)[N@@H+]1Cc1ncc(Br)s1 ZINC000452004775 331050154 /nfs/dbraw/zinc/05/01/54/331050154.db2.gz IJELTYUTSHUANP-QMMMGPOBSA-N 1 2 289.242 3.669 20 0 CHADLO C[C@H]1CCC(C)(C)[N@H+]1Cc1ncc(Br)s1 ZINC000452004775 331050155 /nfs/dbraw/zinc/05/01/55/331050155.db2.gz IJELTYUTSHUANP-QMMMGPOBSA-N 1 2 289.242 3.669 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@@H](C)c2nc(C3CC3)no2)c1 ZINC000452098116 331054061 /nfs/dbraw/zinc/05/40/61/331054061.db2.gz ZCERZKRKWOLTON-RYUDHWBXSA-N 1 2 271.364 3.667 20 0 CHADLO CCCCc1nc(C[N@H+](C(C)C)C2CC(C)(C)C2)no1 ZINC000452100263 331054214 /nfs/dbraw/zinc/05/42/14/331054214.db2.gz DPBHMBBJPYGRLO-UHFFFAOYSA-N 1 2 279.428 3.811 20 0 CHADLO CCCCc1nc(C[N@@H+](C(C)C)C2CC(C)(C)C2)no1 ZINC000452100263 331054215 /nfs/dbraw/zinc/05/42/15/331054215.db2.gz DPBHMBBJPYGRLO-UHFFFAOYSA-N 1 2 279.428 3.811 20 0 CHADLO CC1(C(=O)Nc2c[nH+]c3n2CCCC3)CCCCCC1 ZINC000452332556 331062633 /nfs/dbraw/zinc/06/26/33/331062633.db2.gz HHQBDKYRADQTTB-UHFFFAOYSA-N 1 2 275.396 3.518 20 0 CHADLO CN(C)c1ccc(NCc2ccc(F)c(Cl)c2)c[nH+]1 ZINC000163653562 331066541 /nfs/dbraw/zinc/06/65/41/331066541.db2.gz UUYGVBPGYKUMOB-UHFFFAOYSA-N 1 2 279.746 3.552 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000452542428 331068020 /nfs/dbraw/zinc/06/80/20/331068020.db2.gz NRCGLHPQCNETHO-AWEZNQCLSA-N 1 2 299.418 3.596 20 0 CHADLO CC[C@@H](Nc1ccc(N(CC)CC)[nH+]c1)c1ccncc1 ZINC000453167561 331096384 /nfs/dbraw/zinc/09/63/84/331096384.db2.gz DHBLDKRJFJUBRL-MRXNPFEDSA-N 1 2 284.407 3.886 20 0 CHADLO CC(C)C(=O)c1ccc(NCc2[nH+]ccn2C(C)C)cc1 ZINC000453168403 331096516 /nfs/dbraw/zinc/09/65/16/331096516.db2.gz XFICJYDVYZVYFV-UHFFFAOYSA-N 1 2 285.391 3.915 20 0 CHADLO CC[C@H]([NH2+]CC(F)(F)c1ccccc1)c1ccncc1 ZINC000453203620 331101013 /nfs/dbraw/zinc/10/10/13/331101013.db2.gz FHQFJSGWZXWCTG-HNNXBMFYSA-N 1 2 276.330 3.914 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cccc(N2CCCC2=O)c1)C(F)F ZINC000453252116 331107796 /nfs/dbraw/zinc/10/77/96/331107796.db2.gz USDLSHFIEJUUFI-FZMZJTMJSA-N 1 2 296.361 3.508 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cccc(N2CCCC2=O)c1)C(F)F ZINC000453252120 331107863 /nfs/dbraw/zinc/10/78/63/331107863.db2.gz USDLSHFIEJUUFI-SMDDNHRTSA-N 1 2 296.361 3.508 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)C(C)(F)F)c(C)c1OC ZINC000453291430 331111755 /nfs/dbraw/zinc/11/17/55/331111755.db2.gz NDOGHZZWOFGKCY-QWRGUYRKSA-N 1 2 287.350 3.707 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1cc2c(s1)CCC2 ZINC000453293539 331112160 /nfs/dbraw/zinc/11/21/60/331112160.db2.gz ONZQOUUKGPKCIX-BDAKNGLRSA-N 1 2 259.365 3.931 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc(-c2ccccc2)nn1C)C(C)(F)F ZINC000453302355 331113389 /nfs/dbraw/zinc/11/33/89/331113389.db2.gz YUFIJXFAOSYBTG-NWDGAFQWSA-N 1 2 293.361 3.781 20 0 CHADLO Cn1cc(Cl)c(C[NH2+][C@@H]2CCc3ccc(Cl)cc32)n1 ZINC000453313918 331115157 /nfs/dbraw/zinc/11/51/57/331115157.db2.gz DUAXYBLJCIVQQC-CYBMUJFWSA-N 1 2 296.201 3.504 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H]1CCc2c1c(F)ccc2F ZINC000453341987 331119311 /nfs/dbraw/zinc/11/93/11/331119311.db2.gz HWVXJTHRFNCMER-IINYFYTJSA-N 1 2 291.345 3.519 20 0 CHADLO Fc1ccc(F)c2c1CC[C@H]2[NH2+]Cc1cnc(C2CC2)o1 ZINC000453352022 331120823 /nfs/dbraw/zinc/12/08/23/331120823.db2.gz KNZXAIZMLNTULV-CQSZACIVSA-N 1 2 290.313 3.607 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(C2CC2)o1)c1cccc(F)c1F ZINC000453356763 331121691 /nfs/dbraw/zinc/12/16/91/331121691.db2.gz NJZJVZFGRHICAB-SECBINFHSA-N 1 2 278.302 3.681 20 0 CHADLO CC[C@@H]([NH2+]Cc1cscn1)c1ccccc1F ZINC000163829653 331132803 /nfs/dbraw/zinc/13/28/03/331132803.db2.gz HGWVAQJOIXAJCN-CYBMUJFWSA-N 1 2 250.342 3.523 20 0 CHADLO CCc1cccc(NC(=O)NCc2c[nH+]c(C)cc2C)c1 ZINC000454217893 331142112 /nfs/dbraw/zinc/14/21/12/331142112.db2.gz UVMQKSJYWBDHIP-UHFFFAOYSA-N 1 2 283.375 3.583 20 0 CHADLO Cc1cc(C)c(CNC(=O)N[C@@H](C)CCC(C)(C)C)c[nH+]1 ZINC000454534677 331148169 /nfs/dbraw/zinc/14/81/69/331148169.db2.gz ZGEYYHVDNAWCML-ZDUSSCGKSA-N 1 2 291.439 3.712 20 0 CHADLO C[C@@H]1CC=C(C(=O)Nc2ccccc2-n2cc[nH+]c2)CC1 ZINC000454619661 331150036 /nfs/dbraw/zinc/15/00/36/331150036.db2.gz MILNRQFHKDLDBX-CYBMUJFWSA-N 1 2 281.359 3.557 20 0 CHADLO CCC1CN(C(=O)Nc2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000454800142 331153771 /nfs/dbraw/zinc/15/37/71/331153771.db2.gz ZQBDEMXNYJUJEI-UHFFFAOYSA-N 1 2 297.358 3.748 20 0 CHADLO Cc1ccc(NC(=O)NCC(C)(C)C2CCC2)c(C)[nH+]1 ZINC000455045217 331159512 /nfs/dbraw/zinc/15/95/12/331159512.db2.gz MSBHIFLWSKZHIO-UHFFFAOYSA-N 1 2 275.396 3.646 20 0 CHADLO C[C@@H]1CC=C(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CC1 ZINC000455419136 331169454 /nfs/dbraw/zinc/16/94/54/331169454.db2.gz YSMTXWIDQIXYOE-GFCCVEGCSA-N 1 2 281.359 3.762 20 0 CHADLO CC[C@H]1CCC[C@@H]1CNC(=O)Nc1c(C)cc[nH+]c1C ZINC000455436183 331169896 /nfs/dbraw/zinc/16/98/96/331169896.db2.gz JAXIYMMFPOGHDC-UONOGXRCSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@]1(C)CCCC[C@H]1C ZINC000455439914 331169912 /nfs/dbraw/zinc/16/99/12/331169912.db2.gz MLLDTCLFOUGHAX-WBMJQRKESA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@@H](C)C2CCC2)c(C)[nH+]1 ZINC000455475732 331170549 /nfs/dbraw/zinc/17/05/49/331170549.db2.gz DUKNEJOUMNVTHF-ZDUSSCGKSA-N 1 2 275.396 3.659 20 0 CHADLO CSCC[C@H](C)N(C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455480058 331170709 /nfs/dbraw/zinc/17/07/09/331170709.db2.gz HBNHVUNYDCMEEB-LBPRGKRZSA-N 1 2 295.452 3.612 20 0 CHADLO CCN(CC(C)(C)C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455509815 331171268 /nfs/dbraw/zinc/17/12/68/331171268.db2.gz GXKRTBFZBXTDFH-UHFFFAOYSA-N 1 2 277.412 3.907 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C)CC(C)(C)C)c(C)[nH+]1 ZINC000455533329 331172486 /nfs/dbraw/zinc/17/24/86/331172486.db2.gz UDKGJERCWQDRCM-LBPRGKRZSA-N 1 2 277.412 3.953 20 0 CHADLO CCC[C@@H](CC1CCCC1)C(=O)NCc1[nH+]ccn1CC ZINC000456094959 331184080 /nfs/dbraw/zinc/18/40/80/331184080.db2.gz GKHOJEISXDNTKP-HNNXBMFYSA-N 1 2 291.439 3.516 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C[C@@H](C)c1ccccc1C ZINC000456345813 331190328 /nfs/dbraw/zinc/19/03/28/331190328.db2.gz GXGKOVGJSVNHDV-CABCVRRESA-N 1 2 299.418 3.582 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)CC/C=C/c1ccccc1 ZINC000456363387 331191082 /nfs/dbraw/zinc/19/10/82/331191082.db2.gz UQDNPIUOGJUVMG-XKOZXHHJSA-N 1 2 297.402 3.574 20 0 CHADLO CC(C)CC1(C(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)CCCC1 ZINC000456396517 331192082 /nfs/dbraw/zinc/19/20/82/331192082.db2.gz BBNWNFHEBINPGL-UONOGXRCSA-N 1 2 289.423 3.612 20 0 CHADLO CC[C@H](NC(=O)c1cccc(-n2cc[nH+]c2)c1)C1CCCC1 ZINC000456655336 331198419 /nfs/dbraw/zinc/19/84/19/331198419.db2.gz IETWCZAFSVITRA-KRWDZBQOSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1cc(C)c(C(=O)NCc2c[nH+]c(C)cc2C)c(C)c1 ZINC000456833021 331206837 /nfs/dbraw/zinc/20/68/37/331206837.db2.gz GBWPGNGWNUIQES-UHFFFAOYSA-N 1 2 282.387 3.554 20 0 CHADLO CC[C@H](C(=O)NCc1c[nH+]c(C)cc1C)c1ccccc1 ZINC000456839740 331207159 /nfs/dbraw/zinc/20/71/59/331207159.db2.gz DJDOHOSLOMSQQG-KRWDZBQOSA-N 1 2 282.387 3.508 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H](C)C2CCC2)[nH+]1 ZINC000457653890 331230237 /nfs/dbraw/zinc/23/02/37/331230237.db2.gz CCAGIISOCSZZFR-LBPRGKRZSA-N 1 2 283.375 3.760 20 0 CHADLO OCCC[N@H+](CC1CCC(F)(F)CC1)c1ccccc1 ZINC000459344536 331277196 /nfs/dbraw/zinc/27/71/96/331277196.db2.gz NWLHMJXIGMEIQM-UHFFFAOYSA-N 1 2 283.362 3.701 20 0 CHADLO OCCC[N@@H+](CC1CCC(F)(F)CC1)c1ccccc1 ZINC000459344536 331277197 /nfs/dbraw/zinc/27/71/97/331277197.db2.gz NWLHMJXIGMEIQM-UHFFFAOYSA-N 1 2 283.362 3.701 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2C[C@]2(C)C(C)C)c(C)[nH+]1 ZINC000459572328 331288586 /nfs/dbraw/zinc/28/85/86/331288586.db2.gz AFZRRGBOURCYEG-XJKSGUPXSA-N 1 2 275.396 3.563 20 0 CHADLO c1cc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)no1 ZINC000459586642 331289490 /nfs/dbraw/zinc/28/94/90/331289490.db2.gz VQYYTLCEDXXMHC-INIZCTEOSA-N 1 2 268.360 3.792 20 0 CHADLO c1cc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)no1 ZINC000459586642 331289491 /nfs/dbraw/zinc/28/94/91/331289491.db2.gz VQYYTLCEDXXMHC-INIZCTEOSA-N 1 2 268.360 3.792 20 0 CHADLO CCC1(C)C[NH+](Cc2nc3ccc(F)cc3s2)C1 ZINC000459636126 331291461 /nfs/dbraw/zinc/29/14/61/331291461.db2.gz QCJHGGFHGDWWRR-UHFFFAOYSA-N 1 2 264.369 3.667 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2cc(F)cc(F)c2)C1 ZINC000459641637 331291713 /nfs/dbraw/zinc/29/17/13/331291713.db2.gz RNVDWMHFEAIAJY-UHFFFAOYSA-N 1 2 279.252 3.739 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccn(C)n1)c1ccc(F)cc1F ZINC000459792698 331301321 /nfs/dbraw/zinc/30/13/21/331301321.db2.gz OIPDGKFAEXFUMB-IINYFYTJSA-N 1 2 279.334 3.500 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C(=O)OC)c1ccsc1)C1CCC1 ZINC000459814710 331301987 /nfs/dbraw/zinc/30/19/87/331301987.db2.gz XNBBACPAIYHIHN-KGLIPLIRSA-N 1 2 281.421 3.521 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCCCC2)cc1)[C@H]1CCOC1 ZINC000164966097 331305463 /nfs/dbraw/zinc/30/54/63/331305463.db2.gz DHACZLPBWLRLSG-GJZGRUSLSA-N 1 2 274.408 3.514 20 0 CHADLO Cc1ccn2c(CNc3ncc(Cl)cc3C)c[nH+]c2c1 ZINC000461224139 331311901 /nfs/dbraw/zinc/31/19/01/331311901.db2.gz ZGHPNRYYVYXXHF-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CC[N@H+](CCCc1ccncc1)c1cccc(C)c1 ZINC000462312113 331319148 /nfs/dbraw/zinc/31/91/48/331319148.db2.gz JOLVKZAJRMEHNU-UHFFFAOYSA-N 1 2 254.377 3.849 20 0 CHADLO CC[N@@H+](CCCc1ccncc1)c1cccc(C)c1 ZINC000462312113 331319149 /nfs/dbraw/zinc/31/91/49/331319149.db2.gz JOLVKZAJRMEHNU-UHFFFAOYSA-N 1 2 254.377 3.849 20 0 CHADLO C[C@@H]1CCCN1c1nc(N2CCC[C@H]2C)c2ccccc2[nH+]1 ZINC000463063263 331339136 /nfs/dbraw/zinc/33/91/36/331339136.db2.gz GPGDQJHQBWAVDC-ZIAGYGMSSA-N 1 2 296.418 3.607 20 0 CHADLO CC[N@H+](Cc1noc(Cc2ccccc2)n1)CC(C)(C)C ZINC000463371729 331346675 /nfs/dbraw/zinc/34/66/75/331346675.db2.gz WLGPICOLZVNXTH-UHFFFAOYSA-N 1 2 287.407 3.528 20 0 CHADLO CC[N@@H+](Cc1noc(Cc2ccccc2)n1)CC(C)(C)C ZINC000463371729 331346676 /nfs/dbraw/zinc/34/66/76/331346676.db2.gz WLGPICOLZVNXTH-UHFFFAOYSA-N 1 2 287.407 3.528 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1ccccc1Cl)CO2 ZINC000466447175 331397296 /nfs/dbraw/zinc/39/72/96/331397296.db2.gz WVSZDMRNRDFTEE-AWEZNQCLSA-N 1 2 277.726 3.702 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1cccc(Cl)c1F)CO2 ZINC000466464347 331397627 /nfs/dbraw/zinc/39/76/27/331397627.db2.gz RHNJBOKMOUAZMW-CYBMUJFWSA-N 1 2 295.716 3.842 20 0 CHADLO CSc1ccc(N[C@@H]2CCC[C@@H]([C@H]3CCOC3)C2)[nH+]c1 ZINC000467348243 331415650 /nfs/dbraw/zinc/41/56/50/331415650.db2.gz DZZSUAWMMAAQDO-HZSPNIEDSA-N 1 2 292.448 3.811 20 0 CHADLO c1cn(-c2cccc(OCc3ccc4c(c3)CCC4)c2)c[nH+]1 ZINC000467791435 331424090 /nfs/dbraw/zinc/42/40/90/331424090.db2.gz XULQCVBRTSWLDY-UHFFFAOYSA-N 1 2 290.366 3.940 20 0 CHADLO CC(C)[C@@H](NC(=O)c1ccc(C(C)(C)C)cc1)c1[nH]cc[nH+]1 ZINC000473277395 331550186 /nfs/dbraw/zinc/55/01/86/331550186.db2.gz OISLDLDBTKPWRZ-OAHLLOKOSA-N 1 2 299.418 3.834 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc(C)c(Cl)cc1C ZINC000476069177 331652890 /nfs/dbraw/zinc/65/28/90/331652890.db2.gz HDAZUDSGWISWMP-UHFFFAOYSA-N 1 2 288.778 3.588 20 0 CHADLO CCc1c(Nc2[nH+]cnc3[nH]ccc32)cnn1C(CC)CC ZINC000477703067 331688719 /nfs/dbraw/zinc/68/87/19/331688719.db2.gz ZWNFLANEYYYPGK-UHFFFAOYSA-N 1 2 298.394 3.822 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)c1ccccc1)C(=O)OC(C)(C)C ZINC000479460839 331731472 /nfs/dbraw/zinc/73/14/72/331731472.db2.gz LUTDDJVQBDCQGP-LSDHHAIUSA-N 1 2 277.408 3.848 20 0 CHADLO Clc1ccc([C@H]2C[C@H](Nc3cc[nH+]c(C4CC4)n3)C2)cc1 ZINC000481033835 331795566 /nfs/dbraw/zinc/79/55/66/331795566.db2.gz HXYMZJIXXRTNFL-CTYIDZIISA-N 1 2 299.805 3.787 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCC(C)(C)c1ccccc1 ZINC000485566980 331917052 /nfs/dbraw/zinc/91/70/52/331917052.db2.gz FOUBDAHGDSVGMO-UHFFFAOYSA-N 1 2 297.402 3.798 20 0 CHADLO COc1c(C)c[nH+]c(CSC[C@H]2CCO[C@H](C)C2)c1C ZINC000485572369 331917384 /nfs/dbraw/zinc/91/73/84/331917384.db2.gz SMJBRULPDGFHCF-OCCSQVGLSA-N 1 2 295.448 3.755 20 0 CHADLO CC(C)c1nc(N[C@H](C)c2ccccc2Cl)cc[nH+]1 ZINC000486802511 331945764 /nfs/dbraw/zinc/94/57/64/331945764.db2.gz PEQJTJQJWYOMJV-LLVKDONJSA-N 1 2 275.783 3.848 20 0 CHADLO C[C@@H]1C[C@@H]1CNc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000488301648 331998335 /nfs/dbraw/zinc/99/83/35/331998335.db2.gz RFTGKAZFJDHBJC-UKRRQHHQSA-N 1 2 281.403 3.954 20 0 CHADLO Cc1[nH+]cccc1N[C@H]1CS[C@H](C(C)(C)C)C1 ZINC000488436198 332008151 /nfs/dbraw/zinc/00/81/51/332008151.db2.gz XMDMAGFFCLDQJM-YPMHNXCESA-N 1 2 250.411 3.722 20 0 CHADLO CC(C)[C@H]1C[C@H](Nc2ccc(N3CCCC3)[nH+]c2)CS1 ZINC000488444206 332009349 /nfs/dbraw/zinc/00/93/49/332009349.db2.gz WIPPHSVXXYOGPH-LSDHHAIUSA-N 1 2 291.464 3.624 20 0 CHADLO Cc1ccc(N[C@@H]2CS[C@@H](C(C)(C)C)C2)c[nH+]1 ZINC000488456787 332011104 /nfs/dbraw/zinc/01/11/04/332011104.db2.gz GWWJSNIHGMEKNB-QWHCGFSZSA-N 1 2 250.411 3.722 20 0 CHADLO Cc1cc(F)ccc1C1CCN(c2cc[nH+]c(C)n2)CC1 ZINC000488462276 332011630 /nfs/dbraw/zinc/01/16/30/332011630.db2.gz HGYKRRWEOVYCMW-UHFFFAOYSA-N 1 2 285.366 3.617 20 0 CHADLO CCSc1cccc(CNc2cc[nH+]c(C(C)C)n2)c1 ZINC000488675992 332021512 /nfs/dbraw/zinc/02/15/12/332021512.db2.gz OPWCSBITWIGQEM-UHFFFAOYSA-N 1 2 287.432 3.746 20 0 CHADLO CCCCSc1[nH+]cnc2c1cnn2Cc1ccccc1 ZINC000489783967 332067760 /nfs/dbraw/zinc/06/77/60/332067760.db2.gz WWZQIFAWLSMREQ-UHFFFAOYSA-N 1 2 298.415 3.767 20 0 CHADLO Cc1cc(NC(=O)C[C@H](c2ccccc2)C(C)C)cc[nH+]1 ZINC000490208208 332084698 /nfs/dbraw/zinc/08/46/98/332084698.db2.gz ALXPMEZGGZAYNX-KRWDZBQOSA-N 1 2 282.387 3.580 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1cc(Cl)ccc1C ZINC000492311115 332391927 /nfs/dbraw/zinc/39/19/27/332391927.db2.gz GWKDGCFEHGGFEO-SREVYHEPSA-N 1 2 289.766 3.517 20 0 CHADLO CCCc1csc(C[NH2+][C@@H](COC)c2ccccc2)n1 ZINC000493999715 332460367 /nfs/dbraw/zinc/46/03/67/332460367.db2.gz LNHKMCVEYOZGOQ-HNNXBMFYSA-N 1 2 290.432 3.573 20 0 CHADLO CCCc1csc(C[NH2+][C@@H](C)c2ccc(C)o2)n1 ZINC000494294730 332469828 /nfs/dbraw/zinc/46/98/28/332469828.db2.gz CABCMFGUMDWQFE-NSHDSACASA-N 1 2 264.394 3.848 20 0 CHADLO CC[C@H]([NH2+][C@H](CC(C)(C)C)C(F)(F)F)[C@@H]1CCOC1 ZINC000495395964 332517037 /nfs/dbraw/zinc/51/70/37/332517037.db2.gz KHPZVKLDPLSBSF-GRYCIOLGSA-N 1 2 281.362 3.758 20 0 CHADLO CC(C)COc1ccc(C[NH2+]C2(C(F)F)CC2)cc1 ZINC000500472072 332610536 /nfs/dbraw/zinc/61/05/36/332610536.db2.gz SBOKADSNFUJYAC-UHFFFAOYSA-N 1 2 269.335 3.609 20 0 CHADLO CCCC[C@@H]([NH2+][C@H]1C[C@H](c2ccccc2C)C1)C(=O)OC ZINC000500840133 332619962 /nfs/dbraw/zinc/61/99/62/332619962.db2.gz DUUBCUIYODPKSY-BFYDXBDKSA-N 1 2 289.419 3.562 20 0 CHADLO Cc1[nH]c(CNc2ccc(C3CCC3)cc2)[nH+]c1C ZINC000566497110 334652813 /nfs/dbraw/zinc/65/28/13/334652813.db2.gz SHFJWHVRTHTGIX-UHFFFAOYSA-N 1 2 255.365 3.906 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]C2(C(F)F)CC2)cc1F ZINC000503930397 332699627 /nfs/dbraw/zinc/69/96/27/332699627.db2.gz ROWUOPUSZUZCIE-MRVPVSSYSA-N 1 2 275.339 3.996 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[NH2+]C1(C(F)F)CC1 ZINC000504552501 332714562 /nfs/dbraw/zinc/71/45/62/332714562.db2.gz CGRUSLWPPPKKJU-UHFFFAOYSA-N 1 2 259.727 3.844 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1c(F)ccc(O)c1F)C2 ZINC000513167863 333006852 /nfs/dbraw/zinc/00/68/52/333006852.db2.gz QUBURBSWOZUADE-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1c(F)ccc(O)c1F)C2 ZINC000513167863 333006854 /nfs/dbraw/zinc/00/68/54/333006854.db2.gz QUBURBSWOZUADE-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Fc1ccc(SCC[N@H+]2CCC[C@@H]2C(F)F)cc1 ZINC000513173478 333006907 /nfs/dbraw/zinc/00/69/07/333006907.db2.gz KNAUWVPAGKFMLU-GFCCVEGCSA-N 1 2 275.339 3.647 20 0 CHADLO Fc1ccc(SCC[N@@H+]2CCC[C@@H]2C(F)F)cc1 ZINC000513173478 333006909 /nfs/dbraw/zinc/00/69/09/333006909.db2.gz KNAUWVPAGKFMLU-GFCCVEGCSA-N 1 2 275.339 3.647 20 0 CHADLO CCCC[N@H+](Cc1cc[nH]n1)Cc1ccccc1Cl ZINC000513297611 333012977 /nfs/dbraw/zinc/01/29/77/333012977.db2.gz YGCIBXBJMVENAE-UHFFFAOYSA-N 1 2 277.799 3.865 20 0 CHADLO CCCC[N@@H+](Cc1cc[nH]n1)Cc1ccccc1Cl ZINC000513297611 333012978 /nfs/dbraw/zinc/01/29/78/333012978.db2.gz YGCIBXBJMVENAE-UHFFFAOYSA-N 1 2 277.799 3.865 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@@H](c3ccc(CC)cc3)C2)no1 ZINC000513419440 333018536 /nfs/dbraw/zinc/01/85/36/333018536.db2.gz HPXMYXXUUXTKAD-MRXNPFEDSA-N 1 2 299.418 3.574 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@@H](c3ccc(CC)cc3)C2)no1 ZINC000513419440 333018537 /nfs/dbraw/zinc/01/85/37/333018537.db2.gz HPXMYXXUUXTKAD-MRXNPFEDSA-N 1 2 299.418 3.574 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(F)c1 ZINC000176302344 333040843 /nfs/dbraw/zinc/04/08/43/333040843.db2.gz ITAAXAMUHRBWHN-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO Cc1ccc(NC(=O)N2CCC[C@H](CC(C)C)C2)c(C)[nH+]1 ZINC000177641981 333061276 /nfs/dbraw/zinc/06/12/76/333061276.db2.gz RVNMXBRQJIQLND-OAHLLOKOSA-N 1 2 289.423 3.988 20 0 CHADLO Cc1cccn2cc(Cc3noc(/C=C\C(C)(C)C)n3)[nH+]c12 ZINC000516822074 333083385 /nfs/dbraw/zinc/08/33/85/333083385.db2.gz GWRDOEBXSQQPMB-FPLPWBNLSA-N 1 2 296.374 3.676 20 0 CHADLO Cc1ccc(F)c(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000517045773 333090860 /nfs/dbraw/zinc/09/08/60/333090860.db2.gz MGWPHTJGDUIUGJ-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1cccc(C)c1F ZINC000517057837 333091228 /nfs/dbraw/zinc/09/12/28/333091228.db2.gz VSDVMVPSCDHQQO-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO COc1ccc2cc(C[NH2+]CC(C)(F)F)ccc2c1 ZINC000517183822 333094319 /nfs/dbraw/zinc/09/43/19/333094319.db2.gz DGNIEOYUEPZTLC-UHFFFAOYSA-N 1 2 265.303 3.593 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000183221955 333119642 /nfs/dbraw/zinc/11/96/42/333119642.db2.gz PAAXCHNLTOEMNS-QWHCGFSZSA-N 1 2 285.391 3.708 20 0 CHADLO CCOC(=O)[C@H]([NH2+]Cc1ccc(C(F)F)cc1)[C@H](C)CC ZINC000183994156 333124156 /nfs/dbraw/zinc/12/41/56/333124156.db2.gz DTBRQDNXIQBIKG-BXUZGUMPSA-N 1 2 299.361 3.692 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2nc3cc(F)ccc3o2)n1 ZINC000184199894 333125621 /nfs/dbraw/zinc/12/56/21/333125621.db2.gz XNOZCKXXORCDJR-SECBINFHSA-N 1 2 291.351 3.583 20 0 CHADLO CC(C)C[C@H](C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000184719041 333131706 /nfs/dbraw/zinc/13/17/06/333131706.db2.gz XAYJRKVRXWVIGD-LBPRGKRZSA-N 1 2 271.364 3.697 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)c1ccc(Cl)o1 ZINC000218627487 333134128 /nfs/dbraw/zinc/13/41/28/333134128.db2.gz LDNBDEMVBXWLDO-UHFFFAOYSA-N 1 2 287.706 3.575 20 0 CHADLO Cc1oc2ccccc2c1CNC(=O)Nc1cc[nH+]c(C)c1 ZINC000185554499 333143571 /nfs/dbraw/zinc/14/35/71/333143571.db2.gz ZDNRNEGSCWESHP-UHFFFAOYSA-N 1 2 295.342 3.766 20 0 CHADLO CC[C@@H]1Cc2ccccc2CN1C(=O)Nc1cc[nH+]c(C)c1 ZINC000185553922 333143630 /nfs/dbraw/zinc/14/36/30/333143630.db2.gz BFFKGYABBGSXRX-QGZVFWFLSA-N 1 2 295.386 3.759 20 0 CHADLO C[C@@H](SCc1ccc(-n2cc[nH+]c2)cc1)c1cnccn1 ZINC000185702190 333146813 /nfs/dbraw/zinc/14/68/13/333146813.db2.gz BVPBOSWTIVGBRP-CYBMUJFWSA-N 1 2 296.399 3.657 20 0 CHADLO COc1ccc(C[C@@H](C)CNc2cccc[nH+]2)cc1F ZINC000187125810 333168278 /nfs/dbraw/zinc/16/82/78/333168278.db2.gz LJJVTSYPOATCTB-GFCCVEGCSA-N 1 2 274.339 3.520 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncc(C)o2)cc1 ZINC000220886839 333170217 /nfs/dbraw/zinc/17/02/17/333170217.db2.gz GUWFUKBSSQDMSD-OLZOCXBDSA-N 1 2 258.365 3.957 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@@H](C)c2ncc(C)o2)cc1 ZINC000220886898 333170365 /nfs/dbraw/zinc/17/03/65/333170365.db2.gz GUWFUKBSSQDMSD-STQMWFEESA-N 1 2 258.365 3.957 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cccs1)C(=O)OC(C)(C)C ZINC000190499245 333207477 /nfs/dbraw/zinc/20/74/77/333207477.db2.gz DKUVYAMWTZVDHH-QWRGUYRKSA-N 1 2 269.410 3.519 20 0 CHADLO Cc1nc(C[NH2+][C@H](CC(C)(C)C)c2ccccc2)no1 ZINC000223657914 333210389 /nfs/dbraw/zinc/21/03/89/333210389.db2.gz UNWPVZBTVAQFAL-CQSZACIVSA-N 1 2 273.380 3.645 20 0 CHADLO Cc1nc(C[NH2+][C@@H](CC(C)(C)C)c2ccccc2)no1 ZINC000223657853 333210557 /nfs/dbraw/zinc/21/05/57/333210557.db2.gz UNWPVZBTVAQFAL-AWEZNQCLSA-N 1 2 273.380 3.645 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccccc2C(F)(F)F)o1 ZINC000223852312 333212579 /nfs/dbraw/zinc/21/25/79/333212579.db2.gz QJMZWCFMHFBLDF-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO CCN(C)c1ccc(CNc2cc(C)ccc2C)c[nH+]1 ZINC000191368355 333218833 /nfs/dbraw/zinc/21/88/33/333218833.db2.gz UAJHJOUGLDQLKE-UHFFFAOYSA-N 1 2 269.392 3.767 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2c(F)cccc2OC)s1 ZINC000224315529 333219010 /nfs/dbraw/zinc/21/90/10/333219010.db2.gz PTCPQXIQZLCNAF-SNVBAGLBSA-N 1 2 294.395 3.704 20 0 CHADLO Cc1cc(C)cc([C@H](C)Nc2cc[nH+]c(C(C)C)n2)c1 ZINC000521965299 333228540 /nfs/dbraw/zinc/22/85/40/333228540.db2.gz BOAVICDCOVAPOZ-AWEZNQCLSA-N 1 2 269.392 3.812 20 0 CHADLO Cc1nnsc1C[N@@H+](C)Cc1ccc2ccccc2c1 ZINC000522058189 333232580 /nfs/dbraw/zinc/23/25/80/333232580.db2.gz CFXWCZVQINUXMJ-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1nnsc1C[N@H+](C)Cc1ccc2ccccc2c1 ZINC000522058189 333232581 /nfs/dbraw/zinc/23/25/81/333232581.db2.gz CFXWCZVQINUXMJ-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)Nc1cccc2[nH]ccc21 ZINC000192288807 333233904 /nfs/dbraw/zinc/23/39/04/333233904.db2.gz AILPTKUQKXTVCL-UHFFFAOYSA-N 1 2 266.304 3.515 20 0 CHADLO Cc1ccc(C)c([C@H]2CN(c3cccc[nH+]3)[C@H](C)CO2)c1 ZINC000192666032 333237536 /nfs/dbraw/zinc/23/75/36/333237536.db2.gz IAXGGZRATAMGJH-NVXWUHKLSA-N 1 2 282.387 3.665 20 0 CHADLO Cc1cc(NCCCOC(F)F)c2cccc(F)c2[nH+]1 ZINC000193611771 333254066 /nfs/dbraw/zinc/25/40/66/333254066.db2.gz GSKNFJZBBTVENR-UHFFFAOYSA-N 1 2 284.281 3.724 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@H+](C)CC(=O)OC(C)(C)C ZINC000195732455 333262637 /nfs/dbraw/zinc/26/26/37/333262637.db2.gz LSAWHWBLJAPWBZ-AWEZNQCLSA-N 1 2 281.371 3.550 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@@H+](C)CC(=O)OC(C)(C)C ZINC000195732455 333262639 /nfs/dbraw/zinc/26/26/39/333262639.db2.gz LSAWHWBLJAPWBZ-AWEZNQCLSA-N 1 2 281.371 3.550 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cnc(-c2ccco2)s1 ZINC000194308995 333268728 /nfs/dbraw/zinc/26/87/28/333268728.db2.gz YOUBMMXPOYIGQB-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cnc(-c2ccco2)s1 ZINC000194308995 333268729 /nfs/dbraw/zinc/26/87/29/333268729.db2.gz YOUBMMXPOYIGQB-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO c1ccc(NCc2ccc(OC3CCCCC3)nc2)[nH+]c1 ZINC000522885073 333298540 /nfs/dbraw/zinc/29/85/40/333298540.db2.gz RRRQBTPSOSMURU-UHFFFAOYSA-N 1 2 283.375 3.800 20 0 CHADLO CCc1cnc(C[NH2+]Cc2cc3ccccc3o2)s1 ZINC000230706946 333321360 /nfs/dbraw/zinc/32/13/60/333321360.db2.gz ONJTYTNYTZNTQQ-UHFFFAOYSA-N 1 2 272.373 3.742 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2c(F)cccc2F)C[C@@H](C)O1 ZINC000303445241 333342141 /nfs/dbraw/zinc/34/21/41/333342141.db2.gz GTDOHWJNFBEXGG-GRYCIOLGSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2c(F)cccc2F)C[C@@H](C)O1 ZINC000303445241 333342142 /nfs/dbraw/zinc/34/21/42/333342142.db2.gz GTDOHWJNFBEXGG-GRYCIOLGSA-N 1 2 269.335 3.525 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](CC1CCCC1)C(C)C ZINC000523832968 333347282 /nfs/dbraw/zinc/34/72/82/333347282.db2.gz PRDTZWZSMKKNIY-OAHLLOKOSA-N 1 2 274.408 3.603 20 0 CHADLO CC[C@H](Cc1ccc(Cl)cc1)Nc1cc(C)[nH+]cn1 ZINC000523873843 333348788 /nfs/dbraw/zinc/34/87/88/333348788.db2.gz WLLKSCATGURYFT-CQSZACIVSA-N 1 2 275.783 3.872 20 0 CHADLO Fc1ccccc1[C@@H]1C[N@H+](C/C=C/c2ccccc2)CCO1 ZINC000524309754 333359784 /nfs/dbraw/zinc/35/97/84/333359784.db2.gz GIZKMWDOGPPEET-AIADIJKESA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccccc1[C@@H]1C[N@@H+](C/C=C/c2ccccc2)CCO1 ZINC000524309754 333359785 /nfs/dbraw/zinc/35/97/85/333359785.db2.gz GIZKMWDOGPPEET-AIADIJKESA-N 1 2 297.373 3.912 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCC1(SC)CC1 ZINC000235029829 333364886 /nfs/dbraw/zinc/36/48/86/333364886.db2.gz YEGRIXLUBGKFEV-UHFFFAOYSA-N 1 2 274.389 3.551 20 0 CHADLO COC(=O)[C@H]([NH2+]CC[C@H](C)c1ccccc1)c1ccccc1 ZINC000237119716 333377725 /nfs/dbraw/zinc/37/77/25/333377725.db2.gz WNTHJMQJUZNOBO-MAUKXSAKSA-N 1 2 297.398 3.684 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccn(-c3ccccc3)n2)c(C)o1 ZINC000237606517 333380559 /nfs/dbraw/zinc/38/05/59/333380559.db2.gz FWLUCVHVHBGNLZ-AWEZNQCLSA-N 1 2 295.386 3.933 20 0 CHADLO CCc1cnc(C[N@H+](Cc2ccc(F)cc2)C(C)C)o1 ZINC000524753596 333386217 /nfs/dbraw/zinc/38/62/17/333386217.db2.gz COWGXQOTOXXNQK-UHFFFAOYSA-N 1 2 276.355 3.787 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2ccc(F)cc2)C(C)C)o1 ZINC000524753596 333386218 /nfs/dbraw/zinc/38/62/18/333386218.db2.gz COWGXQOTOXXNQK-UHFFFAOYSA-N 1 2 276.355 3.787 20 0 CHADLO Clc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC000036982105 333449153 /nfs/dbraw/zinc/44/91/53/333449153.db2.gz PMXFDPHTARVAOM-UHFFFAOYSA-N 1 2 287.794 3.947 20 0 CHADLO CN(C)c1ccc(NCc2cccc3ccccc32)c[nH+]1 ZINC000036988796 333452159 /nfs/dbraw/zinc/45/21/59/333452159.db2.gz FKDKHSMQUDWYNJ-UHFFFAOYSA-N 1 2 277.371 3.913 20 0 CHADLO Fc1ccccc1CNc1ccc(N2CCCCC2)[nH+]c1 ZINC000036988698 333452193 /nfs/dbraw/zinc/45/21/93/333452193.db2.gz NQKCVNLVGFIIEO-UHFFFAOYSA-N 1 2 285.366 3.823 20 0 CHADLO COc1ccc(Cl)c(C[NH2+][C@@H](C)c2ncccc2F)c1 ZINC000528828460 333460680 /nfs/dbraw/zinc/46/06/80/333460680.db2.gz YJFUCRGUPWQXIV-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO CCCC[C@@H](CC)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000538070478 333572969 /nfs/dbraw/zinc/57/29/69/333572969.db2.gz LVAXZHRNRJXATR-OAHLLOKOSA-N 1 2 299.418 3.705 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccc(Cl)cc1 ZINC000538129318 333576074 /nfs/dbraw/zinc/57/60/74/333576074.db2.gz SWDFMOWOUFTSRC-CYBMUJFWSA-N 1 2 291.782 3.841 20 0 CHADLO CCC[C@H](C)[C@H](CC)Nc1ccc(N2CCOCC2)c[nH+]1 ZINC000538496527 333599781 /nfs/dbraw/zinc/59/97/81/333599781.db2.gz OLADAWXCNCNASF-HOCLYGCPSA-N 1 2 291.439 3.545 20 0 CHADLO Cc1ncsc1C[NH2+][C@H](COCC(C)C)c1ccco1 ZINC000174328707 335152663 /nfs/dbraw/zinc/15/26/63/335152663.db2.gz VXARFIYYNNGMEG-CYBMUJFWSA-N 1 2 294.420 3.548 20 0 CHADLO COc1cccc(N[C@@H](c2[nH]cc[nH+]2)c2ccccc2)c1 ZINC000128383385 333665796 /nfs/dbraw/zinc/66/57/96/333665796.db2.gz SPELRVOAZRITOB-MRXNPFEDSA-N 1 2 279.343 3.620 20 0 CHADLO CCCN(CC1CCCCC1)C(=O)c1ccc2[nH+]ccn2c1 ZINC000068303916 333689815 /nfs/dbraw/zinc/68/98/15/333689815.db2.gz PLEOYJCJGPZOIJ-UHFFFAOYSA-N 1 2 299.418 3.767 20 0 CHADLO Cc1nc(N2CCc3sccc3[C@H]2C)c2c([nH+]1)CCCC2 ZINC000541077898 333761683 /nfs/dbraw/zinc/76/16/83/333761683.db2.gz GDZUFARMQILUDQ-LLVKDONJSA-N 1 2 299.443 3.849 20 0 CHADLO CN(CCCOCc1ccccc1)c1[nH]c2ccccc2[nH+]1 ZINC000541217195 333765981 /nfs/dbraw/zinc/76/59/81/333765981.db2.gz UGAYASPSYYYTLJ-UHFFFAOYSA-N 1 2 295.386 3.606 20 0 CHADLO Cc1ccc([C@@H]2CCN(c3cc[nH+]c4ccncc43)C2)cc1 ZINC000541341567 333773221 /nfs/dbraw/zinc/77/32/21/333773221.db2.gz UNJWGYSXRMFDHD-MRXNPFEDSA-N 1 2 289.382 3.932 20 0 CHADLO Clc1ccccc1C[NH2+]Cc1ccn(C2CCCC2)n1 ZINC000069992111 333796596 /nfs/dbraw/zinc/79/65/96/333796596.db2.gz CYTWUUDRCKOVSS-UHFFFAOYSA-N 1 2 289.810 3.941 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+]Cc2ncc(C(C)(C)C)o2)cn1 ZINC000543506479 333878549 /nfs/dbraw/zinc/87/85/49/333878549.db2.gz URKGGPDMVUOVGK-LBPRGKRZSA-N 1 2 290.411 3.600 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@H]4CC[C@@H](C)C4)n3)[nH+]c12 ZINC000544610574 333940186 /nfs/dbraw/zinc/94/01/86/333940186.db2.gz YMUKETIUCZZTFQ-YPMHNXCESA-N 1 2 296.374 3.520 20 0 CHADLO COc1ccc2c(c1)[nH+]ccc2OCc1cnc(C)s1 ZINC000072921118 333965339 /nfs/dbraw/zinc/96/53/39/333965339.db2.gz KHXYLCIKDPWFEU-UHFFFAOYSA-N 1 2 286.356 3.587 20 0 CHADLO CC(C)C[C@H](C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000545199568 333968382 /nfs/dbraw/zinc/96/83/82/333968382.db2.gz LNYRWESNMHFFBQ-LBPRGKRZSA-N 1 2 271.364 3.697 20 0 CHADLO Cc1cccc2[nH+]c(CN[C@@H](C(C)(C)C)C(F)(F)F)cn21 ZINC000545922609 334006479 /nfs/dbraw/zinc/00/64/79/334006479.db2.gz YFNDUMOTDMCFQE-ZDUSSCGKSA-N 1 2 299.340 3.709 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000074687371 334011920 /nfs/dbraw/zinc/01/19/20/334011920.db2.gz BWXXHSOXDNZSMD-OAHLLOKOSA-N 1 2 299.418 3.557 20 0 CHADLO CCC(CC)(C(=O)Nc1c[nH+]ccc1OC)c1ccccc1 ZINC000075196601 334035944 /nfs/dbraw/zinc/03/59/44/334035944.db2.gz BSBDNCQFJAFYPY-UHFFFAOYSA-N 1 2 298.386 3.787 20 0 CHADLO CCc1cc(N(CC)CCC2CC2)nc(-c2ccncc2)[nH+]1 ZINC000546883514 334053678 /nfs/dbraw/zinc/05/36/78/334053678.db2.gz KCMLORYJZVUXBJ-UHFFFAOYSA-N 1 2 296.418 3.727 20 0 CHADLO Cc1cc(OC[C@H]2CCCOC2)c2cccc(C)c2[nH+]1 ZINC000546886636 334053928 /nfs/dbraw/zinc/05/39/28/334053928.db2.gz YGBHWVQSYCKNHZ-AWEZNQCLSA-N 1 2 271.360 3.657 20 0 CHADLO Cc1ccc(Cc2noc(-c3ccc(Cl)c(C)c3)n2)c[nH+]1 ZINC000547053263 334064033 /nfs/dbraw/zinc/06/40/33/334064033.db2.gz PBJSADBSUMMCKV-UHFFFAOYSA-N 1 2 299.761 3.993 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCc3ccccc3C2)cs1 ZINC000076411287 334080829 /nfs/dbraw/zinc/08/08/29/334080829.db2.gz RUOFMQYAZQFYLK-UHFFFAOYSA-N 1 2 272.417 3.825 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCc3ccccc3C2)cs1 ZINC000076411287 334080831 /nfs/dbraw/zinc/08/08/31/334080831.db2.gz RUOFMQYAZQFYLK-UHFFFAOYSA-N 1 2 272.417 3.825 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2C#N)C[C@H](C)S1 ZINC000547506280 334095699 /nfs/dbraw/zinc/09/56/99/334095699.db2.gz TXNYRDORRIEGBX-PHIMTYICSA-N 1 2 280.824 3.537 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)cc2C#N)C[C@H](C)S1 ZINC000547506280 334095700 /nfs/dbraw/zinc/09/57/00/334095700.db2.gz TXNYRDORRIEGBX-PHIMTYICSA-N 1 2 280.824 3.537 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@H](C)c2ccccc2F)s1 ZINC000077185352 334097749 /nfs/dbraw/zinc/09/77/49/334097749.db2.gz OLGXXPIBQLHONM-SNVBAGLBSA-N 1 2 264.369 3.784 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@H](C)c2ccccc2F)s1 ZINC000077185352 334097751 /nfs/dbraw/zinc/09/77/51/334097751.db2.gz OLGXXPIBQLHONM-SNVBAGLBSA-N 1 2 264.369 3.784 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1ccc(F)cc1F ZINC000134772758 334103870 /nfs/dbraw/zinc/10/38/70/334103870.db2.gz KOBCDKRJNVTCAL-JTQLQIEISA-N 1 2 295.304 3.963 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H]1CC[C@@H](C)C1)c1ccc2ccccc2c1 ZINC000547664422 334107032 /nfs/dbraw/zinc/10/70/32/334107032.db2.gz HTWZORIFFOVMPH-JEBQAFNWSA-N 1 2 297.398 3.832 20 0 CHADLO CCn1ccnc1C[NH+]1CCC(=Cc2cccc(F)c2)CC1 ZINC000547669268 334107903 /nfs/dbraw/zinc/10/79/03/334107903.db2.gz QVASCUVVMUIXHZ-UHFFFAOYSA-N 1 2 299.393 3.722 20 0 CHADLO CC[C@@H](C)n1ncc(Nc2cc(C)[nH+]c(C)n2)c1C1CC1 ZINC000548038095 334136308 /nfs/dbraw/zinc/13/63/08/334136308.db2.gz LMLLTBPCRQQBRR-LLVKDONJSA-N 1 2 285.395 3.882 20 0 CHADLO Cc1cccc([C@@H](C)Sc2nc(N)cc(C)[nH+]2)c1 ZINC000078948533 334143814 /nfs/dbraw/zinc/14/38/14/334143814.db2.gz RBCRGFCOGYBVMQ-LLVKDONJSA-N 1 2 259.378 3.529 20 0 CHADLO Cc1cccc(C)c1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000136167244 334148519 /nfs/dbraw/zinc/14/85/19/334148519.db2.gz XJXRGQWDDYTHCY-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000548424386 334155607 /nfs/dbraw/zinc/15/56/07/334155607.db2.gz ZWVIFSHCPGUUED-IUCAKERBSA-N 1 2 284.190 3.624 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(C(C)C)cc2)o1 ZINC000079876755 334163665 /nfs/dbraw/zinc/16/36/65/334163665.db2.gz RTKBBEFRMAJIGT-RYUDHWBXSA-N 1 2 273.380 3.913 20 0 CHADLO C/C(=C\c1ccc(Cl)cc1)C[NH2+]CC(C)(F)F ZINC000550256301 334217677 /nfs/dbraw/zinc/21/76/77/334217677.db2.gz UECNDGCQTVVBCZ-JXMROGBWSA-N 1 2 259.727 3.988 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(F)ccc(Br)c2F)[C@@H]1C ZINC000549921951 334208907 /nfs/dbraw/zinc/20/89/07/334208907.db2.gz SBDMNEMSLCMGIL-HTQZYQBOSA-N 1 2 290.151 3.568 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(F)ccc(Br)c2F)[C@@H]1C ZINC000549921951 334208908 /nfs/dbraw/zinc/20/89/08/334208908.db2.gz SBDMNEMSLCMGIL-HTQZYQBOSA-N 1 2 290.151 3.568 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1CCc1cc(Cl)cc(Cl)c1 ZINC000550010305 334211562 /nfs/dbraw/zinc/21/15/62/334211562.db2.gz DLYQYIOVUUHTJC-ZDUSSCGKSA-N 1 2 276.182 3.970 20 0 CHADLO FC[C@@H]1CCC[N@H+]1CCc1cc(Cl)cc(Cl)c1 ZINC000550010305 334211563 /nfs/dbraw/zinc/21/15/63/334211563.db2.gz DLYQYIOVUUHTJC-ZDUSSCGKSA-N 1 2 276.182 3.970 20 0 CHADLO CC1(C)OCC[C@H]1[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC000550818537 334229379 /nfs/dbraw/zinc/22/93/79/334229379.db2.gz NCACSAIAYWRODB-GFCCVEGCSA-N 1 2 289.753 3.589 20 0 CHADLO C[C@H]1CCc2c(F)cccc2[C@@H]1[NH2+]CC(C)(F)F ZINC000550857877 334230493 /nfs/dbraw/zinc/23/04/93/334230493.db2.gz DVSUMPKKKGCBSY-TVQRCGJNSA-N 1 2 257.299 3.694 20 0 CHADLO CCC[C@@H]([NH2+]CC(C)(F)F)c1ccc(OC)cc1 ZINC000550860698 334230858 /nfs/dbraw/zinc/23/08/58/334230858.db2.gz MWUKXHGVHGYHMZ-CYBMUJFWSA-N 1 2 257.324 3.781 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@H]1CC[C@H]1C(C)C ZINC000552062228 334283614 /nfs/dbraw/zinc/28/36/14/334283614.db2.gz QDZYYBLPOITOPY-WMZOPIPTSA-N 1 2 288.435 3.678 20 0 CHADLO CC1=CC[C@@H](Nc2ccccc2OCCn2cc[nH+]c2)CC1 ZINC000552076684 334286512 /nfs/dbraw/zinc/28/65/12/334286512.db2.gz WZTTUCPRBXXNSC-MRXNPFEDSA-N 1 2 297.402 3.873 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)ccc1Cl ZINC000552147926 334295445 /nfs/dbraw/zinc/29/54/45/334295445.db2.gz HYABGILQQWSGHJ-HOTGVXAUSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1cc(C[N@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)ccc1Cl ZINC000552147926 334295446 /nfs/dbraw/zinc/29/54/46/334295446.db2.gz HYABGILQQWSGHJ-HOTGVXAUSA-N 1 2 295.785 3.623 20 0 CHADLO CC[C@@H](C)n1ncc(C(=O)Nc2cc[nH+]c(C)c2)c1C1CC1 ZINC000089641899 334347813 /nfs/dbraw/zinc/34/78/13/334347813.db2.gz MIHHJHLNYXJNBZ-GFCCVEGCSA-N 1 2 298.390 3.687 20 0 CHADLO Cn1c2ccc(NC(=O)C[C@@H]3C=CCC3)cc2[nH+]c1C1CC1 ZINC000089869397 334352627 /nfs/dbraw/zinc/35/26/27/334352627.db2.gz LFWOSFYUSUTFIY-GFCCVEGCSA-N 1 2 295.386 3.746 20 0 CHADLO Cn1c2ccc(NC(=O)c3ccoc3)cc2[nH+]c1C(C)(C)C ZINC000089870694 334352647 /nfs/dbraw/zinc/35/26/47/334352647.db2.gz BMBXTJCCIBPCDQ-UHFFFAOYSA-N 1 2 297.358 3.716 20 0 CHADLO CC(C)CCC(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000089869998 334352686 /nfs/dbraw/zinc/35/26/86/334352686.db2.gz MFZIHJBATZVGHG-UHFFFAOYSA-N 1 2 285.391 3.825 20 0 CHADLO CCC(C)(C)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000089869339 334352738 /nfs/dbraw/zinc/35/27/38/334352738.db2.gz RNGAGQLFHUKTTB-UHFFFAOYSA-N 1 2 285.391 3.825 20 0 CHADLO CC[N@@H+]1CCC[C@@H]1CN[C@H](C)c1oc2ccccc2c1C ZINC000252424830 334379555 /nfs/dbraw/zinc/37/95/55/334379555.db2.gz JPDOGXRGRIWSSB-HUUCEWRRSA-N 1 2 286.419 3.876 20 0 CHADLO CC[N@H+]1CCC[C@@H]1CN[C@H](C)c1oc2ccccc2c1C ZINC000252424830 334379556 /nfs/dbraw/zinc/37/95/56/334379556.db2.gz JPDOGXRGRIWSSB-HUUCEWRRSA-N 1 2 286.419 3.876 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1cc(C(F)(F)F)cc[nH+]1)CO2 ZINC000553480680 334387494 /nfs/dbraw/zinc/38/74/94/334387494.db2.gz BPXHNVLYNVDYQO-LBPRGKRZSA-N 1 2 294.276 3.954 20 0 CHADLO CCc1cc(N2CC[C@@H]2c2ccc(Cl)cc2)nc(C)[nH+]1 ZINC000553602268 334393248 /nfs/dbraw/zinc/39/32/48/334393248.db2.gz ODVDUQANSVVJNH-OAHLLOKOSA-N 1 2 287.794 3.952 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCc1ccn(C(C)C)n1 ZINC000556200701 334499458 /nfs/dbraw/zinc/49/94/58/334499458.db2.gz UUOHALYSCGXBSQ-UHFFFAOYSA-N 1 2 296.374 3.633 20 0 CHADLO Cc1ccc([C@@H](C)N(C)C(=O)C[C@@H](C)n2cc[nH+]c2)c(C)c1 ZINC000565042946 334535872 /nfs/dbraw/zinc/53/58/72/334535872.db2.gz DMYQQNBSDGTNGF-HZPDHXFCSA-N 1 2 299.418 3.671 20 0 CHADLO COc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)c(F)c1 ZINC000565061542 334537096 /nfs/dbraw/zinc/53/70/96/334537096.db2.gz SWUSFPKJXFSIDI-UHFFFAOYSA-N 1 2 286.350 3.805 20 0 CHADLO CCS[C@H](C)c1noc(-c2ccn3c(C)c[nH+]c3c2)n1 ZINC000565726013 334592143 /nfs/dbraw/zinc/59/21/43/334592143.db2.gz NRGLIGWMEHOYSP-SNVBAGLBSA-N 1 2 288.376 3.507 20 0 CHADLO COc1ccc(F)c(C[NH2+]C2(C(F)F)CCCCC2)c1 ZINC000565782947 334596580 /nfs/dbraw/zinc/59/65/80/334596580.db2.gz VQHUAVPVQXCJNX-UHFFFAOYSA-N 1 2 287.325 3.892 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CCCC[C@@H]2C2CC2)c(C)[nH+]1 ZINC000565951787 334611500 /nfs/dbraw/zinc/61/15/00/334611500.db2.gz JBJXMJQOVDIHTO-HUUCEWRRSA-N 1 2 272.392 3.853 20 0 CHADLO CC[C@H](C)C[C@H]([NH2+]Cc1ccc(C(F)F)cc1)C(=O)OC ZINC000566248076 334638475 /nfs/dbraw/zinc/63/84/75/334638475.db2.gz KYTPUVHEAULURO-FZMZJTMJSA-N 1 2 299.361 3.692 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)NCc1cn2ccccc2[nH+]1 ZINC000567274172 334700010 /nfs/dbraw/zinc/70/00/10/334700010.db2.gz NDFXVEFQCDEQBH-HNNXBMFYSA-N 1 2 299.418 3.723 20 0 CHADLO CCC[C@@H]1CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000155245250 334717572 /nfs/dbraw/zinc/71/75/72/334717572.db2.gz VKDGFSPJQJNFHI-OAHLLOKOSA-N 1 2 297.402 3.525 20 0 CHADLO CCCCCc1[nH+]c2ccccc2n1C[C@@H](O)CC ZINC000156068670 334734170 /nfs/dbraw/zinc/73/41/70/334734170.db2.gz NBCDAQPBKKQMNW-ZDUSSCGKSA-N 1 2 260.381 3.540 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C2(C3CCC3)CCC2)n1 ZINC000568237092 334807169 /nfs/dbraw/zinc/80/71/69/334807169.db2.gz KZZZDCINGISWKU-UHFFFAOYSA-N 1 2 283.375 3.586 20 0 CHADLO CSc1ccc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)cc1 ZINC000293866837 334892735 /nfs/dbraw/zinc/89/27/35/334892735.db2.gz DQNWHKAAVYXQBA-GXFFZTMASA-N 1 2 259.378 3.723 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CC2(C3CC3)CCC2)C2CCCCC2)n1 ZINC000576413080 335201150 /nfs/dbraw/zinc/20/11/50/335201150.db2.gz HYLKUHRNXUTEHJ-OAHLLOKOSA-N 1 2 288.439 3.596 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CCC[C@@H]2c2ccccc2)c(C)c[nH+]1 ZINC000577147858 335308949 /nfs/dbraw/zinc/30/89/49/335308949.db2.gz DWLKVQOYWKGRIE-SJORKVTESA-N 1 2 294.398 3.643 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCc2ccc3c(c2)CCO3)c1 ZINC000176902472 335339414 /nfs/dbraw/zinc/33/94/14/335339414.db2.gz QGHRLQIXQJOBRD-UHFFFAOYSA-N 1 2 294.276 3.647 20 0 CHADLO COc1ccc2c(c1)C(C)(C)C[C@@H]2[NH2+]CC(C)(F)F ZINC000577873381 335415605 /nfs/dbraw/zinc/41/56/05/335415605.db2.gz ZETDTWGNXCNNSB-ZDUSSCGKSA-N 1 2 269.335 3.662 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1cccc2cc[nH]c21 ZINC000578263459 335593518 /nfs/dbraw/zinc/59/35/18/335593518.db2.gz FZAXJNLDCHTZRP-UHFFFAOYSA-N 1 2 265.360 3.916 20 0 CHADLO CCCS(=O)(=O)Nc1ccc([NH2+][C@@H](C)[C@@H](C)CC)cc1 ZINC000181147901 335752396 /nfs/dbraw/zinc/75/23/96/335752396.db2.gz YMABJMNMADTUDK-STQMWFEESA-N 1 2 298.452 3.685 20 0 CHADLO CC[C@H](C)[C@H](C)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000182940696 335851879 /nfs/dbraw/zinc/85/18/79/335851879.db2.gz NNJAJWKJESMSGA-GJZGRUSLSA-N 1 2 287.407 3.809 20 0 CHADLO Cc1cnc(C[NH2+][C@H](COCC(C)C)c2ccco2)s1 ZINC000184176638 335920123 /nfs/dbraw/zinc/92/01/23/335920123.db2.gz YGSVPJMMXLZNBW-CYBMUJFWSA-N 1 2 294.420 3.548 20 0 CHADLO Cc1[nH+]cccc1NCc1cccc(F)c1Br ZINC000382672668 336095788 /nfs/dbraw/zinc/09/57/88/336095788.db2.gz XOPSRPIQDLNIPR-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cc1cc[nH+]cc1NCc1cccc(F)c1Br ZINC000382676527 336095827 /nfs/dbraw/zinc/09/58/27/336095827.db2.gz YYUXVDKGIJDXSM-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cc(F)c(F)c1F)c1ccoc1 ZINC000581703329 336130846 /nfs/dbraw/zinc/13/08/46/336130846.db2.gz TWLOKVRTISYJFM-SSDOTTSWSA-N 1 2 273.229 3.687 20 0 CHADLO Fc1cc(F)cc(C[N@@H+]2CCc3cccc(F)c3C2)c1 ZINC000581717178 336136248 /nfs/dbraw/zinc/13/62/48/336136248.db2.gz BWOJONOEHTURKB-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1cc(F)cc(C[N@H+]2CCc3cccc(F)c3C2)c1 ZINC000581717178 336136249 /nfs/dbraw/zinc/13/62/49/336136249.db2.gz BWOJONOEHTURKB-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2ccc(-c3ccsc3)cc2)o1 ZINC000581765086 336144197 /nfs/dbraw/zinc/14/41/97/336144197.db2.gz AXBQIAIUEYAUSV-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO CC[C@H](NC(=O)c1cccc2ccsc21)c1[nH]cc[nH+]1 ZINC000386685421 336177349 /nfs/dbraw/zinc/17/73/49/336177349.db2.gz YGTXWOPUZRURNQ-LBPRGKRZSA-N 1 2 285.372 3.506 20 0 CHADLO CCCCS[C@@H](C)C(=O)Nc1cc[nH+]cc1CC ZINC000265002116 520966227 /nfs/dbraw/zinc/96/62/27/520966227.db2.gz FAGLOOFHCMDXPT-NSHDSACASA-N 1 2 266.410 3.504 20 0 CHADLO CC[N@H+](Cc1nc(C)cs1)[C@H](C)c1cccc(O)c1 ZINC000088603560 521449521 /nfs/dbraw/zinc/44/95/21/521449521.db2.gz CLQQJKXYDHVEKW-GFCCVEGCSA-N 1 2 276.405 3.740 20 0 CHADLO CC[N@@H+](Cc1nc(C)cs1)[C@H](C)c1cccc(O)c1 ZINC000088603560 521449531 /nfs/dbraw/zinc/44/95/31/521449531.db2.gz CLQQJKXYDHVEKW-GFCCVEGCSA-N 1 2 276.405 3.740 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2c(F)cccc2Cl)o1 ZINC000291769614 522204117 /nfs/dbraw/zinc/20/41/17/522204117.db2.gz SRZPKOQOAFDWEB-UHFFFAOYSA-N 1 2 282.746 3.628 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+](C)Cc1cnc(C)s1 ZINC000280393218 522553069 /nfs/dbraw/zinc/55/30/69/522553069.db2.gz GNTZWJPJBGHZJC-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+](C)Cc1cnc(C)s1 ZINC000280393218 522553086 /nfs/dbraw/zinc/55/30/86/522553086.db2.gz GNTZWJPJBGHZJC-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000352667585 533949397 /nfs/dbraw/zinc/94/93/97/533949397.db2.gz LPEGZGGMPYIJRH-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000352667585 533949404 /nfs/dbraw/zinc/94/94/04/533949404.db2.gz LPEGZGGMPYIJRH-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCC23CCC3)c(C)[nH+]1 ZINC000334704907 534073867 /nfs/dbraw/zinc/07/38/67/534073867.db2.gz CAPNZVWHWFFKLY-UHFFFAOYSA-N 1 2 273.380 3.557 20 0 CHADLO C[C@@H](C[C@H](O)c1ccccc1)Nc1cc[nH+]c(C(C)(C)C)n1 ZINC000301664600 534206507 /nfs/dbraw/zinc/20/65/07/534206507.db2.gz ZFVXSYWITKUGKC-ZFWWWQNUSA-N 1 2 299.418 3.698 20 0 CHADLO CC(C)c1cc(N[C@]2(C)CCO[C@H]2C)nc(C(C)C)[nH+]1 ZINC000543133841 517483910 /nfs/dbraw/zinc/48/39/10/517483910.db2.gz BPNRYQHJONEVQK-BLLLJJGKSA-N 1 2 277.412 3.703 20 0 CHADLO CC(C)(C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000299501734 518588976 /nfs/dbraw/zinc/58/89/76/518588976.db2.gz LTPLZYLHNCAFEM-UHFFFAOYSA-N 1 2 277.755 3.510 20 0 CHADLO Cc1ccncc1C[NH2+][C@H](C)c1csc(C(C)C)n1 ZINC000354567309 534420188 /nfs/dbraw/zinc/42/01/88/534420188.db2.gz MMHITJMZAKYOSW-GFCCVEGCSA-N 1 2 275.421 3.821 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@@H](C)c2ccc(Cl)cc2)n1 ZINC000073769221 519476893 /nfs/dbraw/zinc/47/68/93/519476893.db2.gz MOIQFALXQPIILA-NSHDSACASA-N 1 2 293.798 3.772 20 0 CHADLO CC(C)CC(C)(C)C[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000290462434 519617055 /nfs/dbraw/zinc/61/70/55/519617055.db2.gz WPIJBRGYIMVFCY-OAHLLOKOSA-N 1 2 286.423 3.556 20 0 CHADLO CC(C)N(C)c1ccc([NH2+][C@@H]2CCO[C@@H](C)C2)cc1F ZINC000070349505 519633760 /nfs/dbraw/zinc/63/37/60/519633760.db2.gz WEDAJZYCHYCNST-GXTWGEPZSA-N 1 2 280.387 3.650 20 0 CHADLO CC(C)CCc1noc(-c2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000264600499 519698752 /nfs/dbraw/zinc/69/87/52/519698752.db2.gz HROCCWAZVHJNES-UHFFFAOYSA-N 1 2 296.374 3.570 20 0 CHADLO CC(C)COC1CC[NH+](Cc2ncc(C(C)(C)C)o2)CC1 ZINC000336836696 519751906 /nfs/dbraw/zinc/75/19/06/519751906.db2.gz LXLZYBCKGAOEBJ-UHFFFAOYSA-N 1 2 294.439 3.609 20 0 CHADLO CC(C)[C@@H](NC(=O)CCC1CCCCC1)c1[nH]cc[nH+]1 ZINC000276639954 519796831 /nfs/dbraw/zinc/79/68/31/519796831.db2.gz QPDIHBZTFUZKMY-OAHLLOKOSA-N 1 2 277.412 3.584 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1csnn1)c1ccc(Cl)cc1 ZINC000036975549 519821105 /nfs/dbraw/zinc/82/11/05/519821105.db2.gz QXKAGJWQSDMZSK-ZDUSSCGKSA-N 1 2 281.812 3.678 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)[nH]1 ZINC000293118509 519864292 /nfs/dbraw/zinc/86/42/92/519864292.db2.gz KIORISXGIWNEIL-SECBINFHSA-N 1 2 296.777 3.571 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1c(F)cccc1Cl)CC2 ZINC000351963901 534453288 /nfs/dbraw/zinc/45/32/88/534453288.db2.gz HTCOMFYOHCYCHB-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1c(F)cccc1Cl)CC2 ZINC000351963901 534453295 /nfs/dbraw/zinc/45/32/95/534453295.db2.gz HTCOMFYOHCYCHB-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO CC(C)c1nnc(C[N@H+](Cc2cccc(F)c2)C(C)C)o1 ZINC000263767872 519885934 /nfs/dbraw/zinc/88/59/34/519885934.db2.gz MPWIXMNFGCVLMD-UHFFFAOYSA-N 1 2 291.370 3.743 20 0 CHADLO CC(C)c1nnc(C[N@@H+](Cc2cccc(F)c2)C(C)C)o1 ZINC000263767872 519885935 /nfs/dbraw/zinc/88/59/35/519885935.db2.gz MPWIXMNFGCVLMD-UHFFFAOYSA-N 1 2 291.370 3.743 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2ccc(C)cc2Cl)n1 ZINC000353542390 534453614 /nfs/dbraw/zinc/45/36/14/534453614.db2.gz KVIIJCWQOCCHMF-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2ccc(C)cc2Cl)n1 ZINC000353542390 534453622 /nfs/dbraw/zinc/45/36/22/534453622.db2.gz KVIIJCWQOCCHMF-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO CC(C)n1ccc(C[NH2+]C(C)(C)c2ccccc2F)n1 ZINC000292212235 520002243 /nfs/dbraw/zinc/00/22/43/520002243.db2.gz UEJXUKWOMDVMLP-UHFFFAOYSA-N 1 2 275.371 3.628 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2C[C@H](C)[C@H]2c2ccccc2)n1 ZINC000081574615 520002699 /nfs/dbraw/zinc/00/26/99/520002699.db2.gz WNDMFBDBGDPORJ-YOEHRIQHSA-N 1 2 269.392 3.657 20 0 CHADLO CC(C)n1ccc(C[N@H+]2C[C@H](C)[C@H]2c2ccccc2)n1 ZINC000081574615 520002708 /nfs/dbraw/zinc/00/27/08/520002708.db2.gz WNDMFBDBGDPORJ-YOEHRIQHSA-N 1 2 269.392 3.657 20 0 CHADLO CC(C)n1nccc1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000279971393 520110246 /nfs/dbraw/zinc/11/02/46/520110246.db2.gz PUERVBJQGHFRCW-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncccc2C(F)(F)F)CCC1(F)F ZINC000352029706 534476649 /nfs/dbraw/zinc/47/66/49/534476649.db2.gz WXVKDVWQBIBBEG-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncccc2C(F)(F)F)CCC1(F)F ZINC000352029706 534476657 /nfs/dbraw/zinc/47/66/57/534476657.db2.gz WXVKDVWQBIBBEG-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO CCCOc1ccc(CNc2cccc[nH+]2)c(C)c1 ZINC000302648800 520377862 /nfs/dbraw/zinc/37/78/62/520377862.db2.gz GECWKFFKHVCMCH-UHFFFAOYSA-N 1 2 256.349 3.791 20 0 CHADLO Cc1nocc1C[N@@H+]1CC[C@@](F)(c2cc(C)cc(F)c2)C1 ZINC000353351695 534495679 /nfs/dbraw/zinc/49/56/79/534495679.db2.gz NBSJTBFJZZTSLK-INIZCTEOSA-N 1 2 292.329 3.501 20 0 CHADLO Cc1nocc1C[N@H+]1CC[C@@](F)(c2cc(C)cc(F)c2)C1 ZINC000353351695 534495683 /nfs/dbraw/zinc/49/56/83/534495683.db2.gz NBSJTBFJZZTSLK-INIZCTEOSA-N 1 2 292.329 3.501 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3[C@@H]4CCO[C@H]4C34CCC4)c2c1 ZINC000354877101 534509787 /nfs/dbraw/zinc/50/97/87/534509787.db2.gz KVLDDXXZQINDSO-NUEKZKHPSA-N 1 2 298.361 3.743 20 0 CHADLO CC1(NC(=O)c2cccc(Oc3cc[nH+]cc3)c2)CCC1 ZINC000126103088 520765107 /nfs/dbraw/zinc/76/51/07/520765107.db2.gz KJYBYMGPVSWUFE-UHFFFAOYSA-N 1 2 282.343 3.546 20 0 CHADLO Fc1cccc(C[C@H]2CCCN2c2cc[nH+]c(C3CC3)n2)c1 ZINC000112552770 534520769 /nfs/dbraw/zinc/52/07/69/534520769.db2.gz FDYOIPKBPYUDID-MRXNPFEDSA-N 1 2 297.377 3.705 20 0 CHADLO Cc1nc([C@@H](C)[N@@H+]2CC[C@H](c3cccc(Cl)c3)C2)no1 ZINC000336131708 534538911 /nfs/dbraw/zinc/53/89/11/534538911.db2.gz QGKJDVHEDBZVCN-MFKMUULPSA-N 1 2 291.782 3.582 20 0 CHADLO Cc1nc([C@@H](C)[N@H+]2CC[C@H](c3cccc(Cl)c3)C2)no1 ZINC000336131708 534538914 /nfs/dbraw/zinc/53/89/14/534538914.db2.gz QGKJDVHEDBZVCN-MFKMUULPSA-N 1 2 291.782 3.582 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+](C)Cc2cccc(F)c2)n1 ZINC000097120351 521342717 /nfs/dbraw/zinc/34/27/17/521342717.db2.gz UWOHPKVEKATXKJ-GFCCVEGCSA-N 1 2 291.370 3.744 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+](C)Cc2cccc(F)c2)n1 ZINC000097120351 521342723 /nfs/dbraw/zinc/34/27/23/521342723.db2.gz UWOHPKVEKATXKJ-GFCCVEGCSA-N 1 2 291.370 3.744 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2ccc([C@@H]3C[C@@H]3C)o2)o1 ZINC000291824648 521496837 /nfs/dbraw/zinc/49/68/37/521496837.db2.gz ZOGISFUSKHHDRC-SMDDNHRTSA-N 1 2 288.391 3.942 20 0 CHADLO CCCc1nc(C[NH2+][C@H](c2ccccc2)C2CCC2)no1 ZINC000104702213 521516268 /nfs/dbraw/zinc/51/62/68/521516268.db2.gz YZXVBWWFDZKVFH-QGZVFWFLSA-N 1 2 285.391 3.653 20 0 CHADLO CCCc1noc(C[N@@H+]2CCCCC[C@H]2c2ccco2)n1 ZINC000077177121 521581544 /nfs/dbraw/zinc/58/15/44/521581544.db2.gz GJYNSOPCROCZQC-ZDUSSCGKSA-N 1 2 289.379 3.732 20 0 CHADLO CCCc1noc(C[N@H+]2CCCCC[C@H]2c2ccco2)n1 ZINC000077177121 521581547 /nfs/dbraw/zinc/58/15/47/521581547.db2.gz GJYNSOPCROCZQC-ZDUSSCGKSA-N 1 2 289.379 3.732 20 0 CHADLO Cc1occc1C[NH2+][C@@H](c1ccccc1)c1ccccn1 ZINC000352567461 534580894 /nfs/dbraw/zinc/58/08/94/534580894.db2.gz KYRDKYYFPBQDFD-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO CCS[C@@H](C)c1noc([C@@H](c2ccccc2)[NH+](C)C)n1 ZINC000290171754 521722528 /nfs/dbraw/zinc/72/25/28/521722528.db2.gz UNYUPYIYXXFDIK-WCQYABFASA-N 1 2 291.420 3.535 20 0 CHADLO CCc1cccc2c1OCC[C@@H]2[NH2+][C@@H](C)c1cc(C)on1 ZINC000290751104 521799278 /nfs/dbraw/zinc/79/92/78/521799278.db2.gz QSLDUBOAKJYWGU-WFASDCNBSA-N 1 2 286.375 3.720 20 0 CHADLO CCc1cccc2c1OCC[C@@H]2Nc1cccc[nH+]1 ZINC000263732621 521800290 /nfs/dbraw/zinc/80/02/90/521800290.db2.gz QCOKVKXMZKWOCO-AWEZNQCLSA-N 1 2 254.333 3.580 20 0 CHADLO CC[C@@H](C)C(=O)N1CCC(n2c(C)[nH+]c3ccccc32)CC1 ZINC000279802782 521903698 /nfs/dbraw/zinc/90/36/98/521903698.db2.gz MPOIRKUCLHODJO-CYBMUJFWSA-N 1 2 299.418 3.554 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ncc(C(C)(C)C)s2)s1 ZINC000279084070 522038065 /nfs/dbraw/zinc/03/80/65/522038065.db2.gz HODFWFCGZIYBMM-UHFFFAOYSA-N 1 2 295.477 3.749 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)c2ccsc2)[nH]1 ZINC000277083184 522055402 /nfs/dbraw/zinc/05/54/02/522055402.db2.gz FUVQLPXNTBYHJB-DCAQKATOSA-N 1 2 278.425 3.792 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2ccccc2Cl)[nH]1 ZINC000277055877 522057265 /nfs/dbraw/zinc/05/72/65/522057265.db2.gz BCGMHSNGFRWRNJ-QWRGUYRKSA-N 1 2 292.814 3.822 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@H](C)c1ccccc1F ZINC000265028456 522080270 /nfs/dbraw/zinc/08/02/70/522080270.db2.gz KRZXQBISFQVJIT-LBPRGKRZSA-N 1 2 286.350 3.915 20 0 CHADLO CCc1nc(C(C)C)ccc1C(=O)Nc1cc[nH+]cc1C ZINC000293277275 522178686 /nfs/dbraw/zinc/17/86/86/522178686.db2.gz FGFDROIOEVCRCZ-UHFFFAOYSA-N 1 2 283.375 3.723 20 0 CHADLO COc1cc(C)c(NCc2[nH+]ccn2C(C)C)cc1C ZINC000277680824 522217245 /nfs/dbraw/zinc/21/72/45/522217245.db2.gz UJYADXIHLFAPNA-UHFFFAOYSA-N 1 2 273.380 3.702 20 0 CHADLO CCc1nc(N2CC[C@H](C)C[C@@H]2c2ccco2)cc(C)[nH+]1 ZINC000340026339 522238677 /nfs/dbraw/zinc/23/86/77/522238677.db2.gz XOZRLZCFNQHWMN-GXTWGEPZSA-N 1 2 285.391 3.918 20 0 CHADLO CCc1nc(C2([NH2+]Cc3c(C)cccc3C)CCCC2)no1 ZINC000266203120 522243637 /nfs/dbraw/zinc/24/36/37/522243637.db2.gz OWBHLIRPWIUZSA-UHFFFAOYSA-N 1 2 299.418 3.808 20 0 CHADLO COc1cc(C)cc(NCc2[nH+]ccn2C(C)C)c1C ZINC000278663082 522250917 /nfs/dbraw/zinc/25/09/17/522250917.db2.gz FULQJBOAUBHFNT-UHFFFAOYSA-N 1 2 273.380 3.702 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@@H]3CCCC[C@@]32c2ccccc2)no1 ZINC000163668347 522323361 /nfs/dbraw/zinc/32/33/61/522323361.db2.gz LCUNHOYIEVKIEE-MAUKXSAKSA-N 1 2 297.402 3.533 20 0 CHADLO CCc1nc(C[N@H+]2C[C@@H]3CCCC[C@@]32c2ccccc2)no1 ZINC000163668347 522323369 /nfs/dbraw/zinc/32/33/69/522323369.db2.gz LCUNHOYIEVKIEE-MAUKXSAKSA-N 1 2 297.402 3.533 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccccc2F)cs1 ZINC000111406337 522418600 /nfs/dbraw/zinc/41/86/00/522418600.db2.gz ROQXXGGGGNGFBJ-SNVBAGLBSA-N 1 2 264.369 3.695 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2cccc(Cl)c2)[nH]1 ZINC000277549092 522441502 /nfs/dbraw/zinc/44/15/02/522441502.db2.gz ZYBRPQBQUAYARA-MNOVXSKESA-N 1 2 292.814 3.822 20 0 CHADLO COC1(C[C@@H](C)Nc2ccc(SC)c[nH+]2)CCC1 ZINC000277106470 522639485 /nfs/dbraw/zinc/63/94/85/522639485.db2.gz MAIUHRPMRAHFPZ-LLVKDONJSA-N 1 2 266.410 3.563 20 0 CHADLO COC1(C[C@H](C)Nc2cccc(-n3cc[nH+]c3)c2)CCC1 ZINC000277089118 522640456 /nfs/dbraw/zinc/64/04/56/522640456.db2.gz KJLALHHKZJIKJV-AWEZNQCLSA-N 1 2 285.391 3.632 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nc(C2CC2)no1)c1ccccc1 ZINC000299417350 522746123 /nfs/dbraw/zinc/74/61/23/522746123.db2.gz QURPLTJDZRYWTN-RISCZKNCSA-N 1 2 271.364 3.749 20 0 CHADLO CC[C@H](Nc1ncnc2sc(C)c(C)c21)c1[nH]cc[nH+]1 ZINC000139779087 522779947 /nfs/dbraw/zinc/77/99/47/522779947.db2.gz LLPFRKGSIPZKOV-JTQLQIEISA-N 1 2 287.392 3.594 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CC[C@@H](C)[S@@](=O)CC1 ZINC000277063662 522929815 /nfs/dbraw/zinc/92/98/15/522929815.db2.gz DHTGVWMIDHBYDF-PEELUZDISA-N 1 2 299.867 3.634 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CC[C@@H](C)[S@@](=O)CC1 ZINC000277063662 522929818 /nfs/dbraw/zinc/92/98/18/522929818.db2.gz DHTGVWMIDHBYDF-PEELUZDISA-N 1 2 299.867 3.634 20 0 CHADLO COCc1cccc(CNc2ccc3ccccc3[nH+]2)c1 ZINC000037998976 523059690 /nfs/dbraw/zinc/05/96/90/523059690.db2.gz DQUXLBBPXXJBAS-UHFFFAOYSA-N 1 2 278.355 3.993 20 0 CHADLO C[N@H+](Cc1ccc(C(F)(F)F)cn1)Cc1ccccc1F ZINC000278259739 523217500 /nfs/dbraw/zinc/21/75/00/523217500.db2.gz YCKXIBORFQHHCK-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccc(C(F)(F)F)cn1)Cc1ccccc1F ZINC000278259739 523217503 /nfs/dbraw/zinc/21/75/03/523217503.db2.gz YCKXIBORFQHHCK-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO O=C(c1ccc(C2CCC2)cc1)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000336607515 534698760 /nfs/dbraw/zinc/69/87/60/534698760.db2.gz DQTCDEPKNCAQFN-MRXNPFEDSA-N 1 2 295.386 3.655 20 0 CHADLO CCn1c2ccccc2nc1[C@@H]1CCCN1c1cccc[nH+]1 ZINC000277127370 523292852 /nfs/dbraw/zinc/29/28/52/523292852.db2.gz ZZTLFQSZRLBPQZ-INIZCTEOSA-N 1 2 292.386 3.793 20 0 CHADLO CCn1cc(N[C@@H]2CCC[C@H](c3[nH+]cccc3C)C2)cn1 ZINC000281573591 523438160 /nfs/dbraw/zinc/43/81/60/523438160.db2.gz KQGUEFJOVQQGIJ-LSDHHAIUSA-N 1 2 284.407 3.745 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1ncc(C)o1 ZINC000075637945 523452233 /nfs/dbraw/zinc/45/22/33/523452233.db2.gz CRYXBSVXKSRSTD-LBPRGKRZSA-N 1 2 262.378 3.554 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1ncc(C)o1 ZINC000075637945 523452238 /nfs/dbraw/zinc/45/22/38/523452238.db2.gz CRYXBSVXKSRSTD-LBPRGKRZSA-N 1 2 262.378 3.554 20 0 CHADLO CCn1ccnc1C[N@H+](C)Cc1ccc2ccccc2c1 ZINC000338584020 523525023 /nfs/dbraw/zinc/52/50/23/523525023.db2.gz DFSCWSYFZDAAFW-UHFFFAOYSA-N 1 2 279.387 3.688 20 0 CHADLO CCn1ccnc1C[N@@H+](C)Cc1ccc2ccccc2c1 ZINC000338584020 523525031 /nfs/dbraw/zinc/52/50/31/523525031.db2.gz DFSCWSYFZDAAFW-UHFFFAOYSA-N 1 2 279.387 3.688 20 0 CHADLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)[C@@H](C)CC(C)C ZINC000366666427 523553615 /nfs/dbraw/zinc/55/36/15/523553615.db2.gz PVZIFYMQFDMSQT-GJZGRUSLSA-N 1 2 291.439 3.639 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC000340872585 523736203 /nfs/dbraw/zinc/73/62/03/523736203.db2.gz BSQUNCUVURQZID-LBPRGKRZSA-N 1 2 294.354 3.554 20 0 CHADLO CCc1cc(N[C@H](C)c2ccc(Cl)cc2)nc[nH+]1 ZINC000049526143 523825243 /nfs/dbraw/zinc/82/52/43/523825243.db2.gz QRWOWCAZWRDIOM-SNVBAGLBSA-N 1 2 261.756 3.866 20 0 CHADLO CCc1ccc(CCC(=O)Nc2cc[nH+]cc2C)cc1 ZINC000107288936 523914471 /nfs/dbraw/zinc/91/44/71/523914471.db2.gz KASJFQQKIJFPGE-UHFFFAOYSA-N 1 2 268.360 3.524 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+]Cc2cccc(Cl)c2)cs1 ZINC000267673097 523915375 /nfs/dbraw/zinc/91/53/75/523915375.db2.gz ZXRFPEIJXXHNQL-SNVBAGLBSA-N 1 2 296.823 3.794 20 0 CHADLO COC(=O)[C@H](c1ccsc1)[NH+]1CCC2(CCCC2)CC1 ZINC000337199815 523942085 /nfs/dbraw/zinc/94/20/85/523942085.db2.gz ZDUJXELDZUVERC-AWEZNQCLSA-N 1 2 293.432 3.618 20 0 CHADLO COc1ccc(CNc2c[nH+]cc(C)c2)cc1OC(F)F ZINC000119628099 524103096 /nfs/dbraw/zinc/10/30/96/524103096.db2.gz TZODJNSDEYTMCM-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO COc1cccc([C@H](C)Nc2cc[nH+]c(C3CC3)n2)c1 ZINC000264718928 524157373 /nfs/dbraw/zinc/15/73/73/524157373.db2.gz UYTGDUJAAYYLRX-NSHDSACASA-N 1 2 269.348 3.536 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3noc(C4CC4)n3)CCCC2)c1 ZINC000072962947 524332695 /nfs/dbraw/zinc/33/26/95/524332695.db2.gz GHYWLWRPDGTQEE-UHFFFAOYSA-N 1 2 297.402 3.815 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ncnn2C(C)C)C(C)C)s1 ZINC000280010066 524421754 /nfs/dbraw/zinc/42/17/54/524421754.db2.gz LRYVXGVWSBBJHE-UHFFFAOYSA-N 1 2 292.452 3.640 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ncnn2C(C)C)C(C)C)s1 ZINC000280010066 524421760 /nfs/dbraw/zinc/42/17/60/524421760.db2.gz LRYVXGVWSBBJHE-UHFFFAOYSA-N 1 2 292.452 3.640 20 0 CHADLO Cc1ccc(C[NH2+]C2(c3noc(C)n3)CCCCC2)s1 ZINC000299614263 524569370 /nfs/dbraw/zinc/56/93/70/524569370.db2.gz NKSTYRNMYOZNAW-UHFFFAOYSA-N 1 2 291.420 3.697 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(-c3cccs3)n2)[C@H]1C ZINC000293367273 524646285 /nfs/dbraw/zinc/64/62/85/524646285.db2.gz IXRLKQRZYDGWAO-UWVGGRQHSA-N 1 2 264.419 3.712 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(-c3cccs3)n2)[C@H]1C ZINC000293367273 524646294 /nfs/dbraw/zinc/64/62/94/524646294.db2.gz IXRLKQRZYDGWAO-UWVGGRQHSA-N 1 2 264.419 3.712 20 0 CHADLO Cc1ccc(Cc2noc(-c3occc3C(C)C)n2)c[nH+]1 ZINC000289143170 524697713 /nfs/dbraw/zinc/69/77/13/524697713.db2.gz OLHLYMCAYJXLEM-UHFFFAOYSA-N 1 2 283.331 3.747 20 0 CHADLO COc1ccccc1[C@@H]1CC[N@H+](C/C(Cl)=C/Cl)C1 ZINC000128492432 524755583 /nfs/dbraw/zinc/75/55/83/524755583.db2.gz HGCCNRXPAUYZMI-HQQGHWSLSA-N 1 2 286.202 3.804 20 0 CHADLO COc1ccccc1[C@@H]1CC[N@@H+](C/C(Cl)=C/Cl)C1 ZINC000128492432 524755594 /nfs/dbraw/zinc/75/55/94/524755594.db2.gz HGCCNRXPAUYZMI-HQQGHWSLSA-N 1 2 286.202 3.804 20 0 CHADLO Cc1cc(C[NH2+]Cc2c(Cl)cccc2Cl)on1 ZINC000044985926 524757981 /nfs/dbraw/zinc/75/79/81/524757981.db2.gz JNZCNDRYPUZMSO-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)N(c2cc[nH+]c3ccncc32)C1 ZINC000363780353 524833472 /nfs/dbraw/zinc/83/34/72/524833472.db2.gz VOZSHYBTXWQJIG-UGSOOPFHSA-N 1 2 290.370 3.612 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(-c3ccccc3)[nH]2)CCC1(F)F ZINC000291587287 524981339 /nfs/dbraw/zinc/98/13/39/524981339.db2.gz PMENRNMDZRXHPZ-GFCCVEGCSA-N 1 2 291.345 3.554 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(-c3ccccc3)[nH]2)CCC1(F)F ZINC000291587287 524981350 /nfs/dbraw/zinc/98/13/50/524981350.db2.gz PMENRNMDZRXHPZ-GFCCVEGCSA-N 1 2 291.345 3.554 20 0 CHADLO Cc1cc(N(C)Cc2ccncc2)c2cccc(F)c2[nH+]1 ZINC000120856694 525029763 /nfs/dbraw/zinc/02/97/63/525029763.db2.gz WCEUVCYEYBVYTO-UHFFFAOYSA-N 1 2 281.334 3.714 20 0 CHADLO Cc1ccc(NCc2ccc(Br)o2)c(C)[nH+]1 ZINC000087151775 525283483 /nfs/dbraw/zinc/28/34/83/525283483.db2.gz LSVPOLIIQCBGSN-UHFFFAOYSA-N 1 2 281.153 3.666 20 0 CHADLO Cc1cc(Nc2ccc3[nH]ccc3c2)nc(C2CC2)[nH+]1 ZINC000341508681 525308289 /nfs/dbraw/zinc/30/82/89/525308289.db2.gz WMDHGCDTPXDQMT-UHFFFAOYSA-N 1 2 264.332 3.887 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)Nc1ccc[nH+]c1N(C)C ZINC000341183091 525402085 /nfs/dbraw/zinc/40/20/85/525402085.db2.gz VSUROTRTEFIOBY-CQSZACIVSA-N 1 2 297.402 3.588 20 0 CHADLO Cc1cccn2cc(CSc3ccncc3C)[nH+]c12 ZINC000338623373 525473841 /nfs/dbraw/zinc/47/38/41/525473841.db2.gz FZGQHWKBLZUTQJ-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO CSCCCSCc1cn2cc(Cl)ccc2[nH+]1 ZINC000340866792 525486214 /nfs/dbraw/zinc/48/62/14/525486214.db2.gz YNDQJCYKDLOSOC-UHFFFAOYSA-N 1 2 286.853 3.974 20 0 CHADLO Cc1n[nH]cc1CCCNc1cc(C)[nH+]c2c(F)cccc12 ZINC000120862263 525499726 /nfs/dbraw/zinc/49/97/26/525499726.db2.gz LHUCTZHYNTYNMQ-UHFFFAOYSA-N 1 2 298.365 3.759 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](c2nc3ccccc3[nH]2)C(C)C)no1 ZINC000289600484 525528092 /nfs/dbraw/zinc/52/80/92/525528092.db2.gz FWIUZAAZDGUHIE-BLLLJJGKSA-N 1 2 298.390 3.907 20 0 CHADLO C[C@@H]1Oc2ccccc2[C@H]1[NH2+]Cc1cccc(O)c1Cl ZINC000293119836 525620823 /nfs/dbraw/zinc/62/08/23/525620823.db2.gz ZCGXJPYFPFGAQJ-QFYYESIMSA-N 1 2 289.762 3.657 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nncn1C)c1ccc(Cl)cc1Cl ZINC000037017368 525623715 /nfs/dbraw/zinc/62/37/15/525623715.db2.gz YINPEEARZFGRAR-BDAKNGLRSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H]2CCc3ccc(Cl)cc32)s1 ZINC000336004700 534871800 /nfs/dbraw/zinc/87/18/00/534871800.db2.gz PACOAXRPRLQEFB-OQPBUACISA-N 1 2 293.823 3.838 20 0 CHADLO C[C@@H]1c2ccccc2CN1c1cc(N2CCCCC2)[nH+]cn1 ZINC000340790931 525721651 /nfs/dbraw/zinc/72/16/51/525721651.db2.gz FXCJBXSXFMEHCZ-CQSZACIVSA-N 1 2 294.402 3.548 20 0 CHADLO C[C@@H]1c2ccccc2CN1c1cc(N2CCCCC2)nc[nH+]1 ZINC000340790931 525721659 /nfs/dbraw/zinc/72/16/59/525721659.db2.gz FXCJBXSXFMEHCZ-CQSZACIVSA-N 1 2 294.402 3.548 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1ccc(Cl)cc1F ZINC000353650502 525738199 /nfs/dbraw/zinc/73/81/99/525738199.db2.gz NRUAPIRUBPCLML-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1ccc(Cl)cc1F ZINC000353650502 525738207 /nfs/dbraw/zinc/73/82/07/525738207.db2.gz NRUAPIRUBPCLML-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cc(-c2cccs2)on1 ZINC000292384150 525797567 /nfs/dbraw/zinc/79/75/67/525797567.db2.gz RTRNODTWXAGDLZ-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cc(-c2cccs2)on1 ZINC000292384150 525797575 /nfs/dbraw/zinc/79/75/75/525797575.db2.gz RTRNODTWXAGDLZ-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1ccoc1C[N@H+]1CCC[C@@H]1c1csc(C)n1 ZINC000275140026 525800325 /nfs/dbraw/zinc/80/03/25/525800325.db2.gz NUBLWSXTDSVNQG-CYBMUJFWSA-N 1 2 262.378 3.690 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCC[C@@H]1c1csc(C)n1 ZINC000275140026 525800333 /nfs/dbraw/zinc/80/03/33/525800333.db2.gz NUBLWSXTDSVNQG-CYBMUJFWSA-N 1 2 262.378 3.690 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@H+]2Cc2ncnn2C(C)C)cc1 ZINC000280062768 525804392 /nfs/dbraw/zinc/80/43/92/525804392.db2.gz PTCUSABXZVWAKP-KRWDZBQOSA-N 1 2 298.434 3.895 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@@H+]2Cc2ncnn2C(C)C)cc1 ZINC000280062768 525804400 /nfs/dbraw/zinc/80/44/00/525804400.db2.gz PTCUSABXZVWAKP-KRWDZBQOSA-N 1 2 298.434 3.895 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CC1CCCC1 ZINC000280352329 525833449 /nfs/dbraw/zinc/83/34/49/525833449.db2.gz XCCBSMBNFIQLHJ-UHFFFAOYSA-N 1 2 283.375 3.904 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)c1cccs1 ZINC000366526831 525833588 /nfs/dbraw/zinc/83/35/88/525833588.db2.gz YKSAJKGBIXONPH-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO Cc1ccsc1C[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000340671433 525839266 /nfs/dbraw/zinc/83/92/66/525839266.db2.gz KADLXIOACCWTMK-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO Cc1ccsc1C[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000340671433 525839273 /nfs/dbraw/zinc/83/92/73/525839273.db2.gz KADLXIOACCWTMK-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO CS[C@H](C)C(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000299504899 525858308 /nfs/dbraw/zinc/85/83/08/525858308.db2.gz HIIRAYYUSNRKKU-SECBINFHSA-N 1 2 289.404 3.520 20 0 CHADLO C[C@@]12CC[N@H+](Cc3cc(F)c(F)cc3F)C[C@@H]1C2(F)F ZINC000292823063 525912166 /nfs/dbraw/zinc/91/21/66/525912166.db2.gz QELKSFVTQPGHBH-QWHCGFSZSA-N 1 2 291.263 3.581 20 0 CHADLO C[C@@]12CC[N@@H+](Cc3cc(F)c(F)cc3F)C[C@@H]1C2(F)F ZINC000292823063 525912174 /nfs/dbraw/zinc/91/21/74/525912174.db2.gz QELKSFVTQPGHBH-QWHCGFSZSA-N 1 2 291.263 3.581 20 0 CHADLO CS[C@H]1CC[C@@H](Nc2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000226803878 525914603 /nfs/dbraw/zinc/91/46/03/525914603.db2.gz CNNDJLMWKXGRRY-ZJUUUORDSA-N 1 2 276.327 3.796 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc3ccccc3c2)nc1 ZINC000340663180 526007455 /nfs/dbraw/zinc/00/74/55/526007455.db2.gz SLPFOBLZEBIASV-UHFFFAOYSA-N 1 2 277.371 3.570 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc3ccccc3c2)nc1 ZINC000340663180 526007463 /nfs/dbraw/zinc/00/74/63/526007463.db2.gz SLPFOBLZEBIASV-UHFFFAOYSA-N 1 2 277.371 3.570 20 0 CHADLO Cc1cn[nH]c1C[NH+]1CCC(=Cc2cccc(F)c2)CC1 ZINC000368226294 526020780 /nfs/dbraw/zinc/02/07/80/526020780.db2.gz NFYSMPPEKKCLJR-UHFFFAOYSA-N 1 2 285.366 3.537 20 0 CHADLO Cc1c[nH]nc1C[NH+]1CCC(=Cc2cccc(F)c2)CC1 ZINC000368226294 526020784 /nfs/dbraw/zinc/02/07/84/526020784.db2.gz NFYSMPPEKKCLJR-UHFFFAOYSA-N 1 2 285.366 3.537 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@H](C)c1sc(C(C)C)nc1C ZINC000290346743 526021494 /nfs/dbraw/zinc/02/14/94/526021494.db2.gz FDPIPFAPPGXDOS-GFCCVEGCSA-N 1 2 292.452 3.799 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@H](C)c1sc(C(C)C)nc1C ZINC000290346743 526021498 /nfs/dbraw/zinc/02/14/98/526021498.db2.gz FDPIPFAPPGXDOS-GFCCVEGCSA-N 1 2 292.452 3.799 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](C)[C@H](C)c1sc(C(C)C)nc1C ZINC000290346743 526021504 /nfs/dbraw/zinc/02/15/04/526021504.db2.gz FDPIPFAPPGXDOS-GFCCVEGCSA-N 1 2 292.452 3.799 20 0 CHADLO Cc1c[nH]nc1C[N@H+](C)[C@H](C)c1sc(C(C)C)nc1C ZINC000290346743 526021510 /nfs/dbraw/zinc/02/15/10/526021510.db2.gz FDPIPFAPPGXDOS-GFCCVEGCSA-N 1 2 292.452 3.799 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000290366450 526022793 /nfs/dbraw/zinc/02/27/93/526022793.db2.gz HJHAMKVVOSQDFE-NSHDSACASA-N 1 2 297.324 3.930 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000290366450 526022799 /nfs/dbraw/zinc/02/27/99/526022799.db2.gz HJHAMKVVOSQDFE-NSHDSACASA-N 1 2 297.324 3.930 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000290401101 526027858 /nfs/dbraw/zinc/02/78/58/526027858.db2.gz KIZNLFGGZRTPRD-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000290401101 526027864 /nfs/dbraw/zinc/02/78/64/526027864.db2.gz KIZNLFGGZRTPRD-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000290401101 526027873 /nfs/dbraw/zinc/02/78/73/526027873.db2.gz KIZNLFGGZRTPRD-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000290401101 526027881 /nfs/dbraw/zinc/02/78/81/526027881.db2.gz KIZNLFGGZRTPRD-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@@H](C)c1cc(Cl)ccc1Cl ZINC000289553212 526029465 /nfs/dbraw/zinc/02/94/65/526029465.db2.gz QHFDREWDLLQQLN-VIFPVBQESA-N 1 2 284.190 3.876 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@@H](C)c1cc(Cl)ccc1Cl ZINC000289553212 526029475 /nfs/dbraw/zinc/02/94/75/526029475.db2.gz QHFDREWDLLQQLN-VIFPVBQESA-N 1 2 284.190 3.876 20 0 CHADLO Cc1cn[nH]c1CNc1ccc2c(c1)[nH+]c(C(C)(C)C)n2C ZINC000289532749 526037534 /nfs/dbraw/zinc/03/75/34/526037534.db2.gz ORKQPVYNQQGEHW-UHFFFAOYSA-N 1 2 297.406 3.514 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1nccn1C ZINC000102514807 526039735 /nfs/dbraw/zinc/03/97/35/526039735.db2.gz ZBXIMKFBBPJGAL-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1nccn1C ZINC000102514807 526039740 /nfs/dbraw/zinc/03/97/40/526039740.db2.gz ZBXIMKFBBPJGAL-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO Cc1c[nH+]c(CN[C@H](CC(F)(F)F)c2ccccc2)n1C ZINC000341140852 526047864 /nfs/dbraw/zinc/04/78/64/526047864.db2.gz CQSVZOWTNQXDNV-CYBMUJFWSA-N 1 2 297.324 3.512 20 0 CHADLO Cc1cnc(C[NH2+][C@H](CC(F)(F)F)c2ccccc2)n1C ZINC000341140852 526047875 /nfs/dbraw/zinc/04/78/75/526047875.db2.gz CQSVZOWTNQXDNV-CYBMUJFWSA-N 1 2 297.324 3.512 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@@H](C)c2noc(C)n2)cc1 ZINC000289484011 526062632 /nfs/dbraw/zinc/06/26/32/526062632.db2.gz YGYGZJGOYPQZER-ZJUUUORDSA-N 1 2 277.393 3.512 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1cnc[nH]1 ZINC000280562554 526066961 /nfs/dbraw/zinc/06/69/61/526066961.db2.gz VHOOUGKPUIQQMN-JTQLQIEISA-N 1 2 283.297 3.622 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1cnc[nH]1 ZINC000280562554 526066968 /nfs/dbraw/zinc/06/69/68/526066968.db2.gz VHOOUGKPUIQQMN-JTQLQIEISA-N 1 2 283.297 3.622 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1cccc(F)c1F ZINC000128108171 526113429 /nfs/dbraw/zinc/11/34/29/526113429.db2.gz IAQOJTRHXJECHB-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1cccc(F)c1F ZINC000128108171 526113432 /nfs/dbraw/zinc/11/34/32/526113432.db2.gz IAQOJTRHXJECHB-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000338592026 526134990 /nfs/dbraw/zinc/13/49/90/526134990.db2.gz XLWQSCHEWXIMFM-CXAGYDPISA-N 1 2 288.341 3.852 20 0 CHADLO C[C@H](c1cccnc1)[N@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000338592026 526134995 /nfs/dbraw/zinc/13/49/95/526134995.db2.gz XLWQSCHEWXIMFM-CXAGYDPISA-N 1 2 288.341 3.852 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1nncn1-c1ccccc1 ZINC000277646701 526153231 /nfs/dbraw/zinc/15/32/31/526153231.db2.gz HXKSGVSGVXRIGT-CYBMUJFWSA-N 1 2 298.415 3.522 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1nncn1-c1ccccc1 ZINC000277646701 526153240 /nfs/dbraw/zinc/15/32/40/526153240.db2.gz HXKSGVSGVXRIGT-CYBMUJFWSA-N 1 2 298.415 3.522 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1noc(-c2ccccc2)n1 ZINC000053429746 526154027 /nfs/dbraw/zinc/15/40/27/526154027.db2.gz QXWHKYYIXPOTKQ-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1noc(-c2ccccc2)n1 ZINC000053429746 526154033 /nfs/dbraw/zinc/15/40/33/526154033.db2.gz QXWHKYYIXPOTKQ-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cc3c(ccc(C)c3C)[nH]2)o1 ZINC000337250006 526157788 /nfs/dbraw/zinc/15/77/88/526157788.db2.gz JURKWIITRAFTGO-ZDUSSCGKSA-N 1 2 283.375 3.932 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cnc(C(C)(C)C)s2)o1 ZINC000227555787 526158094 /nfs/dbraw/zinc/15/80/94/526158094.db2.gz GMNPVJNEAOXTNG-JTQLQIEISA-N 1 2 279.409 3.588 20 0 CHADLO CC(C)Cn1cc[nH+]c1CNC(=O)CCCCC(C)(C)C ZINC000341940324 534910881 /nfs/dbraw/zinc/91/08/81/534910881.db2.gz WOFLUWPKPKBHDA-UHFFFAOYSA-N 1 2 293.455 3.762 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc2c(c1)CCCCC2=O ZINC000290881064 526242328 /nfs/dbraw/zinc/24/23/28/526242328.db2.gz UCETXAWTLVYQHB-UHFFFAOYSA-N 1 2 294.354 3.551 20 0 CHADLO Cc1csc(C(=O)Nc2ccn3cc[nH+]c3c2)c1Cl ZINC000339208140 526318175 /nfs/dbraw/zinc/31/81/75/526318175.db2.gz CSNIYPAQTNNIDI-UHFFFAOYSA-N 1 2 291.763 3.610 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@H](C)c2ccc(C)cc2C)n1C1CC1 ZINC000340939487 526332820 /nfs/dbraw/zinc/33/28/20/526332820.db2.gz LPDYWGIYWORBSQ-CQSZACIVSA-N 1 2 298.434 3.731 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@H](C)c2ccc(C)cc2C)n1C1CC1 ZINC000340939487 526332826 /nfs/dbraw/zinc/33/28/26/526332826.db2.gz LPDYWGIYWORBSQ-CQSZACIVSA-N 1 2 298.434 3.731 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000276246150 526335524 /nfs/dbraw/zinc/33/55/24/526335524.db2.gz OVBCLQLIKKAWDD-HNNXBMFYSA-N 1 2 276.742 3.821 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000276246150 526335525 /nfs/dbraw/zinc/33/55/25/526335525.db2.gz OVBCLQLIKKAWDD-HNNXBMFYSA-N 1 2 276.742 3.821 20 0 CHADLO Fc1ccc(C[NH2+]C2(c3ccccc3F)CC2)c(F)c1 ZINC000076766808 526338079 /nfs/dbraw/zinc/33/80/79/526338079.db2.gz LOJJVYMTMTVFDC-UHFFFAOYSA-N 1 2 277.289 3.883 20 0 CHADLO Cc1csc(C[NH2+]Cc2cc(F)c(Cl)cc2F)n1 ZINC000278726681 526358319 /nfs/dbraw/zinc/35/83/19/526358319.db2.gz UCLBDBCHJNUGLV-UHFFFAOYSA-N 1 2 288.750 3.673 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2cccc(C(F)F)c2)n1 ZINC000285765043 526653794 /nfs/dbraw/zinc/65/37/94/526653794.db2.gz SMDQBMOIMCWQTB-ZDUSSCGKSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2cccc(C(F)F)c2)n1 ZINC000285765043 526653801 /nfs/dbraw/zinc/65/38/01/526653801.db2.gz SMDQBMOIMCWQTB-ZDUSSCGKSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2ccc(CC(C)C)cc2)no1 ZINC000264362844 526663270 /nfs/dbraw/zinc/66/32/70/526663270.db2.gz VOATZMJVYCRRSP-UHFFFAOYSA-N 1 2 287.407 3.601 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSC1=NCCS1 ZINC000292827108 526669107 /nfs/dbraw/zinc/66/91/07/526669107.db2.gz YLKCEVTVBDCOJC-UHFFFAOYSA-N 1 2 266.435 3.849 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COC(=O)CCCOC(C)C ZINC000293069949 526669492 /nfs/dbraw/zinc/66/94/92/526669492.db2.gz OAQUFJSBGZCBGF-UHFFFAOYSA-N 1 2 293.407 3.762 20 0 CHADLO Cc1nonc1C[N@@H+](C)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000265097769 526701900 /nfs/dbraw/zinc/70/19/00/526701900.db2.gz CRHUYUKKZWSFOJ-JTQLQIEISA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nonc1C[N@H+](C)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000265097769 526701909 /nfs/dbraw/zinc/70/19/09/526701909.db2.gz CRHUYUKKZWSFOJ-JTQLQIEISA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nc(N2Cc3cccc(Cl)c3C2)c(C)c(C)[nH+]1 ZINC000340851431 526706195 /nfs/dbraw/zinc/70/61/95/526706195.db2.gz OQYXZYDWISRTFW-UHFFFAOYSA-N 1 2 273.767 3.575 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc([C@H]3C[C@@H]3C)o2)cs1 ZINC000340659944 526840471 /nfs/dbraw/zinc/84/04/71/526840471.db2.gz FFPCEOLBKRJVEY-HZMBPMFUSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc([C@H]3C[C@@H]3C)o2)cs1 ZINC000340659944 526840474 /nfs/dbraw/zinc/84/04/74/526840474.db2.gz FFPCEOLBKRJVEY-HZMBPMFUSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1nc(C[N@@H+](CC2CCC2)[C@H](C)c2ccccc2)no1 ZINC000077372221 526847288 /nfs/dbraw/zinc/84/72/88/526847288.db2.gz HJHRUNBLEQVVSL-CYBMUJFWSA-N 1 2 285.391 3.741 20 0 CHADLO Cc1nc(C[N@H+](CC2CCC2)[C@H](C)c2ccccc2)no1 ZINC000077372221 526847294 /nfs/dbraw/zinc/84/72/94/526847294.db2.gz HJHRUNBLEQVVSL-CYBMUJFWSA-N 1 2 285.391 3.741 20 0 CHADLO Cc1oncc1C[N@@H+]1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000372462074 526859789 /nfs/dbraw/zinc/85/97/89/526859789.db2.gz FZLPSKOINZNRFD-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1oncc1C[N@H+]1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000372462074 526859796 /nfs/dbraw/zinc/85/97/96/526859796.db2.gz FZLPSKOINZNRFD-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CC(C)(C)OC(C)(C)C2)cs1 ZINC000442888836 526861324 /nfs/dbraw/zinc/86/13/24/526861324.db2.gz BKMWJSFOWFQGNT-UHFFFAOYSA-N 1 2 296.480 3.830 20 0 CHADLO Cc1nc(F)ccc1CNc1ccc2c(c1)CCC[N@H+]2C ZINC000278305065 526948929 /nfs/dbraw/zinc/94/89/29/526948929.db2.gz CMGAXARTYUXTGW-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO Cc1nc(F)ccc1CNc1ccc2c(c1)CCC[N@@H+]2C ZINC000278305065 526948934 /nfs/dbraw/zinc/94/89/34/526948934.db2.gz CMGAXARTYUXTGW-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO Clc1ccc([C@@H]2CCN2c2cc[nH+]c(C3CC3)n2)cc1 ZINC000266233102 526962091 /nfs/dbraw/zinc/96/20/91/526962091.db2.gz JWZRGCNMSDILJA-AWEZNQCLSA-N 1 2 285.778 3.959 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@@H+](C[C@H]1CCCO1)C2 ZINC000338419945 526967588 /nfs/dbraw/zinc/96/75/88/526967588.db2.gz DWAJBTGQTXQQRW-LLVKDONJSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@H+](C[C@H]1CCCO1)C2 ZINC000338419945 526967591 /nfs/dbraw/zinc/96/75/91/526967591.db2.gz DWAJBTGQTXQQRW-LLVKDONJSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1cccc2c1OCCC[C@@H]2[NH2+]Cc1nccs1 ZINC000128141994 526984595 /nfs/dbraw/zinc/98/45/95/526984595.db2.gz DQJLQFZXNHGNTB-LBPRGKRZSA-N 1 2 294.807 3.800 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2CCOc3c(F)cccc32)cs1 ZINC000276871602 527034430 /nfs/dbraw/zinc/03/44/30/527034430.db2.gz DWVWWRMXLBRYAS-RNCFNFMXSA-N 1 2 292.379 3.765 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CCCC(F)(F)C3)cc2[nH+]1 ZINC000337276519 527145283 /nfs/dbraw/zinc/14/52/83/527145283.db2.gz FHYCMGPTKDNECN-JTQLQIEISA-N 1 2 293.317 3.635 20 0 CHADLO Cc1ncc(C[N@@H+]2CC[C@](F)(c3ccccc3F)C2)s1 ZINC000338589206 527260387 /nfs/dbraw/zinc/26/03/87/527260387.db2.gz RIFSSJGLDQQQNR-OAHLLOKOSA-N 1 2 294.370 3.661 20 0 CHADLO Cc1ncc(C[N@H+]2CC[C@](F)(c3ccccc3F)C2)s1 ZINC000338589206 527260392 /nfs/dbraw/zinc/26/03/92/527260392.db2.gz RIFSSJGLDQQQNR-OAHLLOKOSA-N 1 2 294.370 3.661 20 0 CHADLO CC(C)([NH2+]Cc1ccccc1OC1CC1)c1nccs1 ZINC000347751720 527296394 /nfs/dbraw/zinc/29/63/94/527296394.db2.gz IYUUBULHPOUDPN-UHFFFAOYSA-N 1 2 288.416 3.709 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)c1ccoc1C1CC1 ZINC000336954469 527319630 /nfs/dbraw/zinc/31/96/30/527319630.db2.gz XQTZICLXNVVKIB-UHFFFAOYSA-N 1 2 293.326 3.595 20 0 CHADLO Cc1nc(N2CCC[C@@H]2c2nc3ccccc3s2)cc[nH+]1 ZINC000266076424 527345874 /nfs/dbraw/zinc/34/58/74/527345874.db2.gz AOCHGONKESEHGC-CYBMUJFWSA-N 1 2 296.399 3.736 20 0 CHADLO Cc1nc(N[C@@H](c2ccc(F)cc2)C(C)C)cc[nH+]1 ZINC000336918893 527350853 /nfs/dbraw/zinc/35/08/53/527350853.db2.gz PNQVUELAOKVGNK-OAHLLOKOSA-N 1 2 259.328 3.733 20 0 CHADLO Cc1ncsc1C[N@@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000066566118 527500149 /nfs/dbraw/zinc/50/01/49/527500149.db2.gz CDOPVGIEBZGMAJ-JTQLQIEISA-N 1 2 282.359 3.923 20 0 CHADLO Cc1ncsc1C[N@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000066566118 527500154 /nfs/dbraw/zinc/50/01/54/527500154.db2.gz CDOPVGIEBZGMAJ-JTQLQIEISA-N 1 2 282.359 3.923 20 0 CHADLO FC(F)(F)COCc1cccc(CNc2cccc[nH+]2)c1 ZINC000129071654 527672050 /nfs/dbraw/zinc/67/20/50/527672050.db2.gz ZKLULWNANATPGJ-UHFFFAOYSA-N 1 2 296.292 3.773 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCc2noc3ccccc23)c1 ZINC000340867578 527688403 /nfs/dbraw/zinc/68/84/03/527688403.db2.gz LETUANSHYPWHSH-UHFFFAOYSA-N 1 2 293.248 3.854 20 0 CHADLO FC(F)C1([NH2+]Cc2cccc(C(F)(F)F)c2)CC1 ZINC000337244803 527692448 /nfs/dbraw/zinc/69/24/48/527692448.db2.gz CESVYLNRWCSITB-UHFFFAOYSA-N 1 2 265.225 3.593 20 0 CHADLO FC(F)c1ccc(C[N@@H+]2CCOC[C@H]2c2ccco2)cc1 ZINC000364909312 527698643 /nfs/dbraw/zinc/69/86/43/527698643.db2.gz WRQQQCRWZTZDAP-AWEZNQCLSA-N 1 2 293.313 3.791 20 0 CHADLO FC(F)c1ccc(C[N@H+]2CCOC[C@H]2c2ccco2)cc1 ZINC000364909312 527698645 /nfs/dbraw/zinc/69/86/45/527698645.db2.gz WRQQQCRWZTZDAP-AWEZNQCLSA-N 1 2 293.313 3.791 20 0 CHADLO CC1(C)C[C@@H]1CNC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000352806644 527711802 /nfs/dbraw/zinc/71/18/02/527711802.db2.gz RJTCLNRTSIHEKL-CQSZACIVSA-N 1 2 296.370 3.650 20 0 CHADLO CCO[C@@H]1CCCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000301831855 527745644 /nfs/dbraw/zinc/74/56/44/527745644.db2.gz SVOCCCFUGCVXQF-CQSZACIVSA-N 1 2 291.439 3.729 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+]1CCC[C@H]1CF ZINC000354522905 528179412 /nfs/dbraw/zinc/17/94/12/528179412.db2.gz VOAMBMTUGLOIQS-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+]1CCC[C@H]1CF ZINC000354522905 528179414 /nfs/dbraw/zinc/17/94/14/528179414.db2.gz VOAMBMTUGLOIQS-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO CC(C)COc1ccccc1C[N@H+](C)Cc1nccs1 ZINC000350747897 528180612 /nfs/dbraw/zinc/18/06/12/528180612.db2.gz OCNMHLVNHCRESF-UHFFFAOYSA-N 1 2 290.432 3.810 20 0 CHADLO CC(C)COc1ccccc1C[N@@H+](C)Cc1nccs1 ZINC000350747897 528180618 /nfs/dbraw/zinc/18/06/18/528180618.db2.gz OCNMHLVNHCRESF-UHFFFAOYSA-N 1 2 290.432 3.810 20 0 CHADLO CC(C)c1ccccc1NC(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000336043985 528197944 /nfs/dbraw/zinc/19/79/44/528197944.db2.gz SSQLSRSCSJFLMG-CYBMUJFWSA-N 1 2 283.375 3.592 20 0 CHADLO CC1CCN(C(=O)c2ccccc2Oc2cc[nH+]cc2)CC1 ZINC000336575813 528226822 /nfs/dbraw/zinc/22/68/22/528226822.db2.gz AHYCYGDYFNSGDS-UHFFFAOYSA-N 1 2 296.370 3.746 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC(F)(F)[C@H](C)C2)cs1 ZINC000352019059 528276198 /nfs/dbraw/zinc/27/61/98/528276198.db2.gz UBUKJIXEWUXEPL-SNVBAGLBSA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC(F)(F)[C@H](C)C2)cs1 ZINC000352019059 528276202 /nfs/dbraw/zinc/27/62/02/528276202.db2.gz UBUKJIXEWUXEPL-SNVBAGLBSA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1nc(N[C@@H]2CCCc3c(O)cccc32)cc[nH+]1 ZINC000302736853 528367434 /nfs/dbraw/zinc/36/74/34/528367434.db2.gz XBSKQPVYFUTQKC-CQSZACIVSA-N 1 2 283.375 3.795 20 0 CHADLO CCCO[C@@H]1CCC[N@H+](Cc2ncc(Cl)s2)CC1 ZINC000351988821 528606773 /nfs/dbraw/zinc/60/67/73/528606773.db2.gz MKKNJEFZLBNWBA-LLVKDONJSA-N 1 2 288.844 3.578 20 0 CHADLO CCCO[C@@H]1CCC[N@@H+](Cc2ncc(Cl)s2)CC1 ZINC000351988821 528606782 /nfs/dbraw/zinc/60/67/82/528606782.db2.gz MKKNJEFZLBNWBA-LLVKDONJSA-N 1 2 288.844 3.578 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)ccc2F)[C@@H]1c1ccncc1 ZINC000353696657 529123429 /nfs/dbraw/zinc/12/34/29/529123429.db2.gz AIBSLAXEOXFKKV-MRXNPFEDSA-N 1 2 288.341 3.943 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)ccc2F)[C@@H]1c1ccncc1 ZINC000353696657 529123434 /nfs/dbraw/zinc/12/34/34/529123434.db2.gz AIBSLAXEOXFKKV-MRXNPFEDSA-N 1 2 288.341 3.943 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc3c(n2)CCC3)[C@H]1c1cccnc1 ZINC000334644113 529124224 /nfs/dbraw/zinc/12/42/24/529124224.db2.gz BCWYOHYXSHEPOX-SFHVURJKSA-N 1 2 293.414 3.548 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc3c(n2)CCC3)[C@H]1c1cccnc1 ZINC000334644113 529124225 /nfs/dbraw/zinc/12/42/25/529124225.db2.gz BCWYOHYXSHEPOX-SFHVURJKSA-N 1 2 293.414 3.548 20 0 CHADLO CCS[C@H]1CCCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000351987984 529225389 /nfs/dbraw/zinc/22/53/89/529225389.db2.gz CEXFQDWZEWMFAM-JTQLQIEISA-N 1 2 290.885 3.904 20 0 CHADLO CCS[C@H]1CCCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000351987984 529225391 /nfs/dbraw/zinc/22/53/91/529225391.db2.gz CEXFQDWZEWMFAM-JTQLQIEISA-N 1 2 290.885 3.904 20 0 CHADLO CC[C@@H](C)[C@@H]([NH2+]Cc1nnc(C)o1)c1ccc(Cl)cc1 ZINC000341849052 535669039 /nfs/dbraw/zinc/66/90/39/535669039.db2.gz VSQVCIIALMLFFY-MEBBXXQBSA-N 1 2 293.798 3.908 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1CC[C@]2(CC2(F)F)C1 ZINC001137883226 1131428891 /nfs/dbraw/zinc/42/88/91/1131428891.db2.gz YQBYTQBNMHIQEW-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Cc1cc(C[NH2+]C2(C(F)F)CCCC2)c2c(n1)CCCC2 ZINC001202629842 1125467500 /nfs/dbraw/zinc/46/75/00/1125467500.db2.gz UHLRSDHCQDABEZ-UHFFFAOYSA-N 1 2 294.389 3.936 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1cccc(Cl)c1F ZINC001137961560 1131442375 /nfs/dbraw/zinc/44/23/75/1131442375.db2.gz RIRVNWJDMUANFO-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1cccc(Cl)c1F ZINC001137961560 1131442379 /nfs/dbraw/zinc/44/23/79/1131442379.db2.gz RIRVNWJDMUANFO-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO C[C@H]1C[N@H+](Cc2noc(C3CC3)n2)CC[C@@H]1c1ccccc1 ZINC000129811119 1125477857 /nfs/dbraw/zinc/47/78/57/1125477857.db2.gz CBHDJIOIKDNBGQ-BBRMVZONSA-N 1 2 297.402 3.573 20 0 CHADLO C[C@H]1C[N@@H+](Cc2noc(C3CC3)n2)CC[C@@H]1c1ccccc1 ZINC000129811119 1125477860 /nfs/dbraw/zinc/47/78/60/1125477860.db2.gz CBHDJIOIKDNBGQ-BBRMVZONSA-N 1 2 297.402 3.573 20 0 CHADLO C[C@H]1CCC[C@@H](C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000133031081 1125507351 /nfs/dbraw/zinc/50/73/51/1125507351.db2.gz AMWBLGBCNRSYPL-UONOGXRCSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000679679337 1120354742 /nfs/dbraw/zinc/35/47/42/1120354742.db2.gz BCUSHUHBKPEWER-ZJUUUORDSA-N 1 2 291.288 3.729 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(F)(F)F)s1)c1ccon1 ZINC000428866209 1120543277 /nfs/dbraw/zinc/54/32/77/1120543277.db2.gz ISEZUDSEBYGWLO-ZETCQYMHSA-N 1 2 276.283 3.606 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+](C)CCOC(C)(C)C ZINC000676043187 1121401935 /nfs/dbraw/zinc/40/19/35/1121401935.db2.gz VYGHDGHEIAXXOT-LBPRGKRZSA-N 1 2 282.428 3.780 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+](C)CCOC(C)(C)C ZINC000676043187 1121401939 /nfs/dbraw/zinc/40/19/39/1121401939.db2.gz VYGHDGHEIAXXOT-LBPRGKRZSA-N 1 2 282.428 3.780 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1ncccc1C(F)(F)F ZINC000625980581 1121566399 /nfs/dbraw/zinc/56/63/99/1121566399.db2.gz GIGDUWNRXFCKEE-SECBINFHSA-N 1 2 294.267 3.720 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1ncccc1C(F)(F)F ZINC000625980581 1121566401 /nfs/dbraw/zinc/56/64/01/1121566401.db2.gz GIGDUWNRXFCKEE-SECBINFHSA-N 1 2 294.267 3.720 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(C(F)(F)F)nc2Cl)[C@H]1C ZINC001182062344 1122109118 /nfs/dbraw/zinc/10/91/18/1122109118.db2.gz MHBOTIMADVCYSY-SFYZADRCSA-N 1 2 278.705 3.594 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(C(F)(F)F)nc2Cl)[C@H]1C ZINC001182062344 1122109121 /nfs/dbraw/zinc/10/91/21/1122109121.db2.gz MHBOTIMADVCYSY-SFYZADRCSA-N 1 2 278.705 3.594 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C(C)C)[C@@H](C)c1ccsc1 ZINC000421372103 1122103985 /nfs/dbraw/zinc/10/39/85/1122103985.db2.gz LZSSGYHCDAKLMO-LBPRGKRZSA-N 1 2 263.410 3.751 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C(C)C)[C@@H](C)c1ccsc1 ZINC000421372103 1122103989 /nfs/dbraw/zinc/10/39/89/1122103989.db2.gz LZSSGYHCDAKLMO-LBPRGKRZSA-N 1 2 263.410 3.751 20 0 CHADLO CCSCc1ccc[nH+]c1NC[C@@H]1CC1(Cl)Cl ZINC001183491133 1122223643 /nfs/dbraw/zinc/22/36/43/1122223643.db2.gz OVBFMCJTGRKRJO-JTQLQIEISA-N 1 2 291.247 3.940 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(C)nc2Br)c1 ZINC001203654923 1122421628 /nfs/dbraw/zinc/42/16/28/1122421628.db2.gz SIFZHLCXTKFRBP-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCC[N@H+](CC(F)F)C[C@H](C)c1ccc(F)cc1 ZINC000437738508 1122651803 /nfs/dbraw/zinc/65/18/03/1122651803.db2.gz SNMMILYNDFVGFJ-NSHDSACASA-N 1 2 259.315 3.906 20 0 CHADLO CCC[N@@H+](CC(F)F)C[C@H](C)c1ccc(F)cc1 ZINC000437738508 1122651805 /nfs/dbraw/zinc/65/18/05/1122651805.db2.gz SNMMILYNDFVGFJ-NSHDSACASA-N 1 2 259.315 3.906 20 0 CHADLO Cc1cc(-c2ccc(F)c(F)c2Cl)c[nH+]c1N ZINC001205682208 1122833199 /nfs/dbraw/zinc/83/31/99/1122833199.db2.gz PVZWALPXJTXUMI-UHFFFAOYSA-N 1 2 254.667 3.571 20 0 CHADLO CC(C)[N@@H+](Cc1nccs1)Cc1cccc(F)c1 ZINC000507114998 1122926203 /nfs/dbraw/zinc/92/62/03/1122926203.db2.gz DMSDLKUSBAZVNN-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO CC[C@H](F)C[N@@H+]1C[C@@H](c2ccccc2)OCC1(C)C ZINC000440688484 1123027683 /nfs/dbraw/zinc/02/76/83/1123027683.db2.gz ABZLDGURKQMWRO-GJZGRUSLSA-N 1 2 265.372 3.587 20 0 CHADLO CC[C@H](F)C[N@H+]1C[C@@H](c2ccccc2)OCC1(C)C ZINC000440688484 1123027687 /nfs/dbraw/zinc/02/76/87/1123027687.db2.gz ABZLDGURKQMWRO-GJZGRUSLSA-N 1 2 265.372 3.587 20 0 CHADLO FC(F)(F)[C@H]1CC[N@H+](Cc2nccs2)CC12CCC2 ZINC000335065446 1123294395 /nfs/dbraw/zinc/29/43/95/1123294395.db2.gz UEXRQVZDHNPSFA-JTQLQIEISA-N 1 2 290.354 3.698 20 0 CHADLO FC(F)(F)[C@H]1CC[N@@H+](Cc2nccs2)CC12CCC2 ZINC000335065446 1123294400 /nfs/dbraw/zinc/29/44/00/1123294400.db2.gz UEXRQVZDHNPSFA-JTQLQIEISA-N 1 2 290.354 3.698 20 0 CHADLO Clc1cc(C[N@@H+]2C[C@@H]3CCCC[C@@H]32)cc(Cl)n1 ZINC000852220727 1123400806 /nfs/dbraw/zinc/40/08/06/1123400806.db2.gz VOSPESTYDMGCLZ-QWRGUYRKSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1cc(C[N@H+]2C[C@@H]3CCCC[C@@H]32)cc(Cl)n1 ZINC000852220727 1123400809 /nfs/dbraw/zinc/40/08/09/1123400809.db2.gz VOSPESTYDMGCLZ-QWRGUYRKSA-N 1 2 271.191 3.763 20 0 CHADLO CC[C@]1(C)CC[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000443623668 1123449435 /nfs/dbraw/zinc/44/94/35/1123449435.db2.gz QKWJGZPIJDAGAQ-LLVKDONJSA-N 1 2 278.343 3.784 20 0 CHADLO CC[C@]1(C)CC[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000443623668 1123449437 /nfs/dbraw/zinc/44/94/37/1123449437.db2.gz QKWJGZPIJDAGAQ-LLVKDONJSA-N 1 2 278.343 3.784 20 0 CHADLO Cc1ccc(C[S@@](=O)C[C@H](C)C(C)(C)C)c(C)[nH+]1 ZINC000864473535 1123930531 /nfs/dbraw/zinc/93/05/31/1123930531.db2.gz CATHUUDVKROOHJ-VOJFVSQTSA-N 1 2 267.438 3.629 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1nc(C2CC2)cs1 ZINC000348249988 1125432687 /nfs/dbraw/zinc/43/26/87/1125432687.db2.gz XWWNXCSGBFQZNO-PSASIEDQSA-N 1 2 290.354 3.793 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1nc(C2CC2)cs1 ZINC000348249988 1125432690 /nfs/dbraw/zinc/43/26/90/1125432690.db2.gz XWWNXCSGBFQZNO-PSASIEDQSA-N 1 2 290.354 3.793 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(F)ccc1F)c1cccnc1Cl ZINC000133023716 1125430171 /nfs/dbraw/zinc/43/01/71/1125430171.db2.gz DEXZFTRRWGRFFD-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+](CC2CC2)C2CC2)c1F ZINC001138236841 1131480466 /nfs/dbraw/zinc/48/04/66/1131480466.db2.gz RNOYMMNZGYGOHP-UHFFFAOYSA-N 1 2 273.273 3.617 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+](CC2CC2)C2CC2)c1F ZINC001138236841 1131480467 /nfs/dbraw/zinc/48/04/67/1131480467.db2.gz RNOYMMNZGYGOHP-UHFFFAOYSA-N 1 2 273.273 3.617 20 0 CHADLO CCOc1ccc(Cl)cc1C[NH+]1CCC(F)(F)CC1 ZINC001139005369 1131570795 /nfs/dbraw/zinc/57/07/95/1131570795.db2.gz ANEBWLXUQWYFOH-UHFFFAOYSA-N 1 2 289.753 3.970 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CCC[C@@H](F)C2)c1 ZINC000846120487 1131688288 /nfs/dbraw/zinc/68/82/88/1131688288.db2.gz QAMOJXTYYNFXGQ-GFCCVEGCSA-N 1 2 273.298 3.530 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CCC[C@@H](F)C2)c1 ZINC000846120487 1131688290 /nfs/dbraw/zinc/68/82/90/1131688290.db2.gz QAMOJXTYYNFXGQ-GFCCVEGCSA-N 1 2 273.298 3.530 20 0 CHADLO CC1(C)C[NH+](Cc2sc(N3CCCCC3)nc2Cl)C1 ZINC001140288446 1131688631 /nfs/dbraw/zinc/68/86/31/1131688631.db2.gz NKWUAYDHTTYRRY-UHFFFAOYSA-N 1 2 299.871 3.629 20 0 CHADLO FC[C@H]1[C@@H]2C[N@H+](Cc3cc(Cl)sc3Cl)C[C@H]12 ZINC001140589323 1131814116 /nfs/dbraw/zinc/81/41/16/1131814116.db2.gz KJPRKKYQYUDCLD-PSVAKVPMSA-N 1 2 280.195 3.702 20 0 CHADLO FC[C@H]1[C@@H]2C[N@@H+](Cc3cc(Cl)sc3Cl)C[C@H]12 ZINC001140589323 1131814125 /nfs/dbraw/zinc/81/41/25/1131814125.db2.gz KJPRKKYQYUDCLD-PSVAKVPMSA-N 1 2 280.195 3.702 20 0 CHADLO Fc1cc(C[N@@H+]2CCCC23CC3)c(F)cc1Cl ZINC001140699591 1131880725 /nfs/dbraw/zinc/88/07/25/1131880725.db2.gz VWGSPYFVXZHNQG-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Fc1cc(C[N@H+]2CCCC23CC3)c(F)cc1Cl ZINC001140699591 1131880737 /nfs/dbraw/zinc/88/07/37/1131880737.db2.gz VWGSPYFVXZHNQG-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC001172859433 1132343486 /nfs/dbraw/zinc/34/34/86/1132343486.db2.gz APVRRESQPWWRIT-GRYCIOLGSA-N 1 2 285.790 3.519 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC001172859433 1132343492 /nfs/dbraw/zinc/34/34/92/1132343492.db2.gz APVRRESQPWWRIT-GRYCIOLGSA-N 1 2 285.790 3.519 20 0 CHADLO CCCc1ccc(C[N@H+]2CCC(F)(F)[C@@H](F)C2)cc1 ZINC001142354628 1132372806 /nfs/dbraw/zinc/37/28/06/1132372806.db2.gz VSEXTDJTTONVLF-AWEZNQCLSA-N 1 2 271.326 3.818 20 0 CHADLO Cc1csc2sc(C[NH+]3CC4(CCO4)C3)c(C)c12 ZINC001231832536 1132376218 /nfs/dbraw/zinc/37/62/18/1132376218.db2.gz PPYULJOPEVWDFG-UHFFFAOYSA-N 1 2 279.430 3.554 20 0 CHADLO CCCOc1ncccc1C[N@H+](C)Cc1ccsc1 ZINC001231864812 1132382664 /nfs/dbraw/zinc/38/26/64/1132382664.db2.gz WUOKFBAVOFOSCS-UHFFFAOYSA-N 1 2 276.405 3.564 20 0 CHADLO CCCOc1ncccc1C[N@@H+](C)Cc1ccsc1 ZINC001231864812 1132382672 /nfs/dbraw/zinc/38/26/72/1132382672.db2.gz WUOKFBAVOFOSCS-UHFFFAOYSA-N 1 2 276.405 3.564 20 0 CHADLO CSc1ncc(C[NH+]2CCC(C(C)C)CC2)c(Cl)n1 ZINC001142432044 1132387130 /nfs/dbraw/zinc/38/71/30/1132387130.db2.gz PSBIWXZIVPVFTH-UHFFFAOYSA-N 1 2 299.871 3.720 20 0 CHADLO CCSC[C@H](C)[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001173316819 1132477327 /nfs/dbraw/zinc/47/73/27/1132477327.db2.gz YTPBOHMUPOIAHZ-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CCSC[C@H](C)[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001173316819 1132477332 /nfs/dbraw/zinc/47/73/32/1132477332.db2.gz YTPBOHMUPOIAHZ-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875907 1132532663 /nfs/dbraw/zinc/53/26/63/1132532663.db2.gz HKWAWDWFBNRYGP-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875907 1132532673 /nfs/dbraw/zinc/53/26/73/1132532673.db2.gz HKWAWDWFBNRYGP-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCCC(=O)[C@H](C)C1 ZINC001233350528 1132608858 /nfs/dbraw/zinc/60/88/58/1132608858.db2.gz KFIDPJVPLBMHFH-LLVKDONJSA-N 1 2 297.851 3.863 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCCC(=O)[C@H](C)C1 ZINC001233350528 1132608860 /nfs/dbraw/zinc/60/88/60/1132608860.db2.gz KFIDPJVPLBMHFH-LLVKDONJSA-N 1 2 297.851 3.863 20 0 CHADLO FC[C@@H]1C[N@H+](Cc2c(F)cccc2F)C[C@H]1C(F)(F)F ZINC001204202407 1133418222 /nfs/dbraw/zinc/41/82/22/1133418222.db2.gz BPPSZFIOJKCJIU-PSASIEDQSA-N 1 2 297.242 3.545 20 0 CHADLO FC[C@@H]1C[N@@H+](Cc2c(F)cccc2F)C[C@H]1C(F)(F)F ZINC001204202407 1133418226 /nfs/dbraw/zinc/41/82/26/1133418226.db2.gz BPPSZFIOJKCJIU-PSASIEDQSA-N 1 2 297.242 3.545 20 0 CHADLO Cc1ccc(CCC[N@@H+]2CCCCC(F)(F)C2)o1 ZINC001207943374 1133868406 /nfs/dbraw/zinc/86/84/06/1133868406.db2.gz GTDQZLJCGCFWEM-UHFFFAOYSA-N 1 2 257.324 3.642 20 0 CHADLO Cc1ccc(CCC[N@H+]2CCCCC(F)(F)C2)o1 ZINC001207943374 1133868413 /nfs/dbraw/zinc/86/84/13/1133868413.db2.gz GTDQZLJCGCFWEM-UHFFFAOYSA-N 1 2 257.324 3.642 20 0 CHADLO CCOC(=[NH2+])CCCCOC[C@H](OC)c1ccccc1 ZINC001224960402 1135496800 /nfs/dbraw/zinc/49/68/00/1135496800.db2.gz BEOCWVQKGMELIB-HNNXBMFYSA-N 1 2 279.380 3.575 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1c(F)cccc1F ZINC000536623593 1125509447 /nfs/dbraw/zinc/50/94/47/1125509447.db2.gz NLZCQARGACKFKT-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1c(F)cccc1F ZINC000536623593 1125509452 /nfs/dbraw/zinc/50/94/52/1125509452.db2.gz NLZCQARGACKFKT-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@@H]1CCC12CCC2 ZINC000282904480 1125518519 /nfs/dbraw/zinc/51/85/19/1125518519.db2.gz WZELDKVQUDWLJM-QGZVFWFLSA-N 1 2 286.419 3.576 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2cccc(Cl)c2)n1 ZINC000118403202 1125522615 /nfs/dbraw/zinc/52/26/15/1125522615.db2.gz VJTSWGVUDLEKHC-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2cccc(Cl)c2)n1 ZINC000118403202 1125522621 /nfs/dbraw/zinc/52/26/21/1125522621.db2.gz VJTSWGVUDLEKHC-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H](C)c2ccns2)n1 ZINC000925282941 1125527603 /nfs/dbraw/zinc/52/76/03/1125527603.db2.gz LUBGFVZMMPNHBX-SECBINFHSA-N 1 2 267.423 3.574 20 0 CHADLO CC[N@H+](C[C@@H]1C[C@]12CCOC2)c1ccc(C)cc1C ZINC001307534462 1125532651 /nfs/dbraw/zinc/53/26/51/1125532651.db2.gz ASCGYOIZJVHMQJ-RDJZCZTQSA-N 1 2 259.393 3.556 20 0 CHADLO CC[N@@H+](C[C@@H]1C[C@]12CCOC2)c1ccc(C)cc1C ZINC001307534462 1125532654 /nfs/dbraw/zinc/53/26/54/1125532654.db2.gz ASCGYOIZJVHMQJ-RDJZCZTQSA-N 1 2 259.393 3.556 20 0 CHADLO C[N@H+](CCSc1ccccc1F)Cc1cscn1 ZINC000194412627 1125554791 /nfs/dbraw/zinc/55/47/91/1125554791.db2.gz TUNRUSMAWNCMQK-UHFFFAOYSA-N 1 2 282.409 3.506 20 0 CHADLO C[N@@H+](CCSc1ccccc1F)Cc1cscn1 ZINC000194412627 1125554795 /nfs/dbraw/zinc/55/47/95/1125554795.db2.gz TUNRUSMAWNCMQK-UHFFFAOYSA-N 1 2 282.409 3.506 20 0 CHADLO Cc1cnc(SCc2ccc(-n3cc[nH+]c3)cc2C)nc1 ZINC000194532080 1125555901 /nfs/dbraw/zinc/55/59/01/1125555901.db2.gz WNKQSBLQOVAVMG-UHFFFAOYSA-N 1 2 296.399 3.571 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccsc2)[C@@H](c2ccccc2)CO1 ZINC000245749851 1125593017 /nfs/dbraw/zinc/59/30/17/1125593017.db2.gz NOEFQSWAGGEQMZ-XJKSGUPXSA-N 1 2 273.401 3.710 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccsc2)[C@@H](c2ccccc2)CO1 ZINC000245749851 1125593023 /nfs/dbraw/zinc/59/30/23/1125593023.db2.gz NOEFQSWAGGEQMZ-XJKSGUPXSA-N 1 2 273.401 3.710 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nc(C(F)(F)F)cs2)C[C@H]1C ZINC000246075643 1125603798 /nfs/dbraw/zinc/60/37/98/1125603798.db2.gz ZXSAALXZZOYWCR-DTWKUNHWSA-N 1 2 278.343 3.640 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nc(C(F)(F)F)cs2)C[C@H]1C ZINC000246075643 1125603802 /nfs/dbraw/zinc/60/38/02/1125603802.db2.gz ZXSAALXZZOYWCR-DTWKUNHWSA-N 1 2 278.343 3.640 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000533530552 1125613028 /nfs/dbraw/zinc/61/30/28/1125613028.db2.gz XIVNWAWNACNSGB-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCc2ccc(O)cc2C1 ZINC000533530552 1125613033 /nfs/dbraw/zinc/61/30/33/1125613033.db2.gz XIVNWAWNACNSGB-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2ccc(C(F)F)cc2)c[nH+]1 ZINC000885584746 1125613759 /nfs/dbraw/zinc/61/37/59/1125613759.db2.gz REPORBDVSZYGCP-UHFFFAOYSA-N 1 2 293.317 3.503 20 0 CHADLO Cc1coc(C[NH2+]Cc2cccnc2OC2CCCC2)c1 ZINC000885922688 1125627067 /nfs/dbraw/zinc/62/70/67/1125627067.db2.gz FVYLOMCJIPHQQG-UHFFFAOYSA-N 1 2 286.375 3.594 20 0 CHADLO Cc1cccc(C)c1NC(=O)C[N@@H+](C)[C@H](C)c1ccco1 ZINC000069069781 1125629169 /nfs/dbraw/zinc/62/91/69/1125629169.db2.gz WGCFYYFKBNCZAV-CQSZACIVSA-N 1 2 286.375 3.528 20 0 CHADLO Cc1cccc(C)c1NC(=O)C[N@H+](C)[C@H](C)c1ccco1 ZINC000069069781 1125629172 /nfs/dbraw/zinc/62/91/72/1125629172.db2.gz WGCFYYFKBNCZAV-CQSZACIVSA-N 1 2 286.375 3.528 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C)co1)c1nc(C)cs1 ZINC000885970571 1125631812 /nfs/dbraw/zinc/63/18/12/1125631812.db2.gz DFUDNYHMPZXDPG-LBPRGKRZSA-N 1 2 250.367 3.594 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2)C2CC2)cs1 ZINC000073894789 1125640199 /nfs/dbraw/zinc/64/01/99/1125640199.db2.gz RUUJSIKQKLVEHK-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2)C2CC2)cs1 ZINC000073894789 1125640201 /nfs/dbraw/zinc/64/02/01/1125640201.db2.gz RUUJSIKQKLVEHK-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO Cn1c(Nc2cccn3cc[nH+]c23)cc2ccccc21 ZINC001212043465 1125660706 /nfs/dbraw/zinc/66/07/06/1125660706.db2.gz WLPPDYQITIJKTL-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@@H]1CC[C@@H](C3CC3)O1)C2 ZINC000886206316 1125661079 /nfs/dbraw/zinc/66/10/79/1125661079.db2.gz QCMMSPQMZGLDHX-BBRMVZONSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@@H]1CC[C@@H](C3CC3)O1)C2 ZINC000886206316 1125661081 /nfs/dbraw/zinc/66/10/81/1125661081.db2.gz QCMMSPQMZGLDHX-BBRMVZONSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@@H]1CC[C@H](C3CC3)O1)C2 ZINC000886243322 1125662759 /nfs/dbraw/zinc/66/27/59/1125662759.db2.gz VFYTXPCGHXBHAW-JKSUJKDBSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@@H]1CC[C@H](C3CC3)O1)C2 ZINC000886243322 1125662764 /nfs/dbraw/zinc/66/27/64/1125662764.db2.gz VFYTXPCGHXBHAW-JKSUJKDBSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1nccc(C[NH2+]Cc2cc(C3CC3)no2)c1Cl ZINC000886270844 1125664440 /nfs/dbraw/zinc/66/44/40/1125664440.db2.gz OMOUXBCHLWRMSZ-UHFFFAOYSA-N 1 2 298.173 3.544 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(OC(C)(C)C)c1)c1ncco1 ZINC000886272224 1125665756 /nfs/dbraw/zinc/66/57/56/1125665756.db2.gz KLRPMYXOENNYHL-GFCCVEGCSA-N 1 2 274.364 3.703 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+][C@@H](C)c1ncco1 ZINC000886274319 1125665902 /nfs/dbraw/zinc/66/59/02/1125665902.db2.gz QIJMRNYGXGXOIV-JTQLQIEISA-N 1 2 256.305 3.580 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C2CCC2)cc1)c1ncco1 ZINC000886272344 1125665940 /nfs/dbraw/zinc/66/59/40/1125665940.db2.gz NMZMBOARSZUPNQ-LBPRGKRZSA-N 1 2 256.349 3.793 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)c(C)n1 ZINC000248585296 1125670182 /nfs/dbraw/zinc/67/01/82/1125670182.db2.gz XLCCUNIFYZRELW-BEFAXECRSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)c(C)n1 ZINC000248585296 1125670187 /nfs/dbraw/zinc/67/01/87/1125670187.db2.gz XLCCUNIFYZRELW-BEFAXECRSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1nn(C)c(C)c1CNc1ccc2[nH+]c(C)cc(C)c2c1 ZINC000886369447 1125674644 /nfs/dbraw/zinc/67/46/44/1125674644.db2.gz GBDNDPUESIVXMN-UHFFFAOYSA-N 1 2 294.402 3.814 20 0 CHADLO CC(C)CC(=O)CC[N@@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000828003260 1125679305 /nfs/dbraw/zinc/67/93/05/1125679305.db2.gz HEZJCCCQORLGSC-CZUORRHYSA-N 1 2 295.448 3.515 20 0 CHADLO CC(C)CC(=O)CC[N@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000828003260 1125679307 /nfs/dbraw/zinc/67/93/07/1125679307.db2.gz HEZJCCCQORLGSC-CZUORRHYSA-N 1 2 295.448 3.515 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(OC(F)F)cc1)c1ncco1 ZINC000886529990 1125683966 /nfs/dbraw/zinc/68/39/66/1125683966.db2.gz BCERPIUYUCFOLZ-VHSXEESVSA-N 1 2 282.290 3.688 20 0 CHADLO c1coc(-c2nc(C[NH2+][C@H]3CCc4cccnc43)cs2)c1 ZINC000886541050 1125687129 /nfs/dbraw/zinc/68/71/29/1125687129.db2.gz PJBXQAULKXKDKJ-ZDUSSCGKSA-N 1 2 297.383 3.575 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)cs1 ZINC000077171097 1125689108 /nfs/dbraw/zinc/68/91/08/1125689108.db2.gz ABRSCZYKLDSMJC-AWEZNQCLSA-N 1 2 275.421 3.932 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)cs1 ZINC000077171097 1125689112 /nfs/dbraw/zinc/68/91/12/1125689112.db2.gz ABRSCZYKLDSMJC-AWEZNQCLSA-N 1 2 275.421 3.932 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@H]3CCc4cccnc43)ccc21 ZINC000886583962 1125694445 /nfs/dbraw/zinc/69/44/45/1125694445.db2.gz GMFMWUZEGLMRCK-INIZCTEOSA-N 1 2 279.387 3.563 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@H]3CCc4cccnc43)ccc21 ZINC000886583962 1125694447 /nfs/dbraw/zinc/69/44/47/1125694447.db2.gz GMFMWUZEGLMRCK-INIZCTEOSA-N 1 2 279.387 3.563 20 0 CHADLO C[C@@H]([NH2+]Cc1cscc1Cl)c1cc2n(n1)CCCC2 ZINC000886658071 1125704013 /nfs/dbraw/zinc/70/40/13/1125704013.db2.gz OIJFTDZYJKWGBV-SNVBAGLBSA-N 1 2 295.839 3.785 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cc(C3CC3)no2)oc1C ZINC000886685019 1125709289 /nfs/dbraw/zinc/70/92/89/1125709289.db2.gz YMHFSYQMTIGGSH-SNVBAGLBSA-N 1 2 260.337 3.613 20 0 CHADLO CCCCC[C@@H](O)CNc1c(C)cc[nH+]c1C(C)C ZINC001253525377 1125710118 /nfs/dbraw/zinc/71/01/18/1125710118.db2.gz NURPITLOFWBMRT-CQSZACIVSA-N 1 2 264.413 3.867 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccon2)cc1C(C)(C)C ZINC000638100122 1129243739 /nfs/dbraw/zinc/24/37/39/1129243739.db2.gz KEZPKLQFGPRKMF-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccon2)cc1C(C)(C)C ZINC000638100122 1129243741 /nfs/dbraw/zinc/24/37/41/1129243741.db2.gz KEZPKLQFGPRKMF-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1cnccc1Cl ZINC001137235493 1125728591 /nfs/dbraw/zinc/72/85/91/1125728591.db2.gz QYHWCBPRMWJETJ-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1cnccc1Cl ZINC001137235493 1125728600 /nfs/dbraw/zinc/72/86/00/1125728600.db2.gz QYHWCBPRMWJETJ-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc2[nH+]c(CSCc3ccco3)cn2c1 ZINC000078706040 1125731627 /nfs/dbraw/zinc/73/16/27/1125731627.db2.gz KFZUXWWVDHTOEK-UHFFFAOYSA-N 1 2 258.346 3.669 20 0 CHADLO FC(F)(F)c1ccc(CSCCn2cc[nH+]c2)cc1 ZINC000090199655 1125739701 /nfs/dbraw/zinc/73/97/01/1125739701.db2.gz WYDRRUQFXAYBCA-UHFFFAOYSA-N 1 2 286.322 3.835 20 0 CHADLO COc1cc(C[NH2+]C(C)(C)C(F)F)cc2ccccc21 ZINC000648563146 1125751830 /nfs/dbraw/zinc/75/18/30/1125751830.db2.gz WACDBYBEXFRNFN-UHFFFAOYSA-N 1 2 279.330 3.982 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCOC[C@H]1C(C)C ZINC001238678042 1131231353 /nfs/dbraw/zinc/23/13/53/1131231353.db2.gz SRRVDTJSMHZLBC-AWEZNQCLSA-N 1 2 299.867 3.919 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCOC[C@H]1C(C)C ZINC001238678042 1131231355 /nfs/dbraw/zinc/23/13/55/1131231355.db2.gz SRRVDTJSMHZLBC-AWEZNQCLSA-N 1 2 299.867 3.919 20 0 CHADLO Cc1cccnc1C[N@H+](C)Cc1ccccc1Cl ZINC000093157782 1125765420 /nfs/dbraw/zinc/76/54/20/1125765420.db2.gz PVRFKBCAFSEFTP-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccnc1C[N@@H+](C)Cc1ccccc1Cl ZINC000093157782 1125765424 /nfs/dbraw/zinc/76/54/24/1125765424.db2.gz PVRFKBCAFSEFTP-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2cnc[nH]2)o1 ZINC000093871157 1125774695 /nfs/dbraw/zinc/77/46/95/1125774695.db2.gz KBIOZOSZTSRWKS-SWLSCSKDSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2cnc[nH]2)o1 ZINC000093871157 1125774704 /nfs/dbraw/zinc/77/47/04/1125774704.db2.gz KBIOZOSZTSRWKS-SWLSCSKDSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2c[nH]cn2)o1 ZINC000093871157 1125774709 /nfs/dbraw/zinc/77/47/09/1125774709.db2.gz KBIOZOSZTSRWKS-SWLSCSKDSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2c[nH]cn2)o1 ZINC000093871157 1125774715 /nfs/dbraw/zinc/77/47/15/1125774715.db2.gz KBIOZOSZTSRWKS-SWLSCSKDSA-N 1 2 273.380 3.538 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(SC(F)F)nc1 ZINC000819145947 1131232817 /nfs/dbraw/zinc/23/28/17/1131232817.db2.gz QHVYZQGFSDVLLH-UHFFFAOYSA-N 1 2 298.362 3.786 20 0 CHADLO c1cc(CC2C[NH+](Cc3csc(C4CC4)n3)C2)cs1 ZINC000621741475 1129189715 /nfs/dbraw/zinc/18/97/15/1129189715.db2.gz UUWXKCYKASUZTR-UHFFFAOYSA-N 1 2 290.457 3.757 20 0 CHADLO CCOc1ccc(C)c(C[N@@H+]2Cc3cnc(C)cc3C2)c1 ZINC001238710080 1131235148 /nfs/dbraw/zinc/23/51/48/1131235148.db2.gz YPVPPTDXDTXYLA-UHFFFAOYSA-N 1 2 282.387 3.613 20 0 CHADLO CCOc1ccc(C)c(C[N@H+]2Cc3cnc(C)cc3C2)c1 ZINC001238710080 1131235151 /nfs/dbraw/zinc/23/51/51/1131235151.db2.gz YPVPPTDXDTXYLA-UHFFFAOYSA-N 1 2 282.387 3.613 20 0 CHADLO Clc1ccccc1C1([NH2+]Cc2nccs2)CCC1 ZINC000649643990 1129665366 /nfs/dbraw/zinc/66/53/66/1129665366.db2.gz NAOHZNKLZGVRKU-UHFFFAOYSA-N 1 2 278.808 3.966 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1occc1Br ZINC000768159360 1129836913 /nfs/dbraw/zinc/83/69/13/1129836913.db2.gz BYJDRYYMNONMKW-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H]2CCc3c2cccc3F)s1 ZINC000338231425 1126725037 /nfs/dbraw/zinc/72/50/37/1126725037.db2.gz AFDQYGMVMHXUFQ-CYBMUJFWSA-N 1 2 291.395 3.578 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1ncc[nH]1 ZINC000600630446 1126728365 /nfs/dbraw/zinc/72/83/65/1126728365.db2.gz ULXWPSAODUMLNY-ZDUSSCGKSA-N 1 2 263.772 3.646 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1ncc[nH]1 ZINC000600630446 1126728371 /nfs/dbraw/zinc/72/83/71/1126728371.db2.gz ULXWPSAODUMLNY-ZDUSSCGKSA-N 1 2 263.772 3.646 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2c[nH]nc2C(C)(C)C)c1 ZINC000556044927 1126730815 /nfs/dbraw/zinc/73/08/15/1126730815.db2.gz BWOFABRMWYNNJI-UHFFFAOYSA-N 1 2 289.398 3.787 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2c[nH]nc2C(C)(C)C)c1 ZINC000556044927 1126730819 /nfs/dbraw/zinc/73/08/19/1126730819.db2.gz BWOFABRMWYNNJI-UHFFFAOYSA-N 1 2 289.398 3.787 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)[C@@H](C)c1nnc(C)s1 ZINC000338361974 1126738357 /nfs/dbraw/zinc/73/83/57/1126738357.db2.gz PZDPMITYYDKGOT-XSNHNAGMSA-N 1 2 287.432 3.943 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)[C@@H](C)c1nnc(C)s1 ZINC000338361974 1126738359 /nfs/dbraw/zinc/73/83/59/1126738359.db2.gz PZDPMITYYDKGOT-XSNHNAGMSA-N 1 2 287.432 3.943 20 0 CHADLO CCC(CC)(CC)NC(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000150819752 1126745673 /nfs/dbraw/zinc/74/56/73/1126745673.db2.gz UHHOQGNPRHLSDH-UHFFFAOYSA-N 1 2 299.418 3.630 20 0 CHADLO Cc1nc(C[N@@H+]2CCCC[C@@H]2c2cccc(C)c2C)no1 ZINC000174201426 1126767319 /nfs/dbraw/zinc/76/73/19/1126767319.db2.gz VGZPIJUXBJOIPK-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc(C[N@H+]2CCCC[C@@H]2c2cccc(C)c2C)no1 ZINC000174201426 1126767320 /nfs/dbraw/zinc/76/73/20/1126767320.db2.gz VGZPIJUXBJOIPK-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1c(CSC(C)C)cccc1NCc1c[nH+]cn1C ZINC000174541117 1126771548 /nfs/dbraw/zinc/77/15/48/1126771548.db2.gz RIDDXJUJRCMRGK-UHFFFAOYSA-N 1 2 289.448 3.982 20 0 CHADLO Fc1ccc(C[NH2+]Cc2nccs2)cc1C(F)(F)F ZINC000162572559 1126781600 /nfs/dbraw/zinc/78/16/00/1126781600.db2.gz UJJILGAKMBDJQZ-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO CC[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccc(C)s1 ZINC000483595795 1126793346 /nfs/dbraw/zinc/79/33/46/1126793346.db2.gz ULOACZAMSAGQFI-ZDUSSCGKSA-N 1 2 283.437 3.609 20 0 CHADLO CC[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccc(C)s1 ZINC000483595795 1126793350 /nfs/dbraw/zinc/79/33/50/1126793350.db2.gz ULOACZAMSAGQFI-ZDUSSCGKSA-N 1 2 283.437 3.609 20 0 CHADLO CC(C)C[C@H]([NH2+]Cc1nc(C2CC2)no1)c1ccccc1 ZINC000177298682 1126799918 /nfs/dbraw/zinc/79/99/18/1126799918.db2.gz HTAUNCQXVUKKKH-HNNXBMFYSA-N 1 2 285.391 3.824 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(-c3ccccc3)no2)cc1C ZINC000483841047 1126803472 /nfs/dbraw/zinc/80/34/72/1126803472.db2.gz VQLNRQBGWFWIPW-UHFFFAOYSA-N 1 2 293.370 3.643 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2nc3cc(C)ccc3[nH]2)o1 ZINC000483855866 1126804161 /nfs/dbraw/zinc/80/41/61/1126804161.db2.gz RGAPNJJXDJCQIF-LBPRGKRZSA-N 1 2 283.375 3.878 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2nc3ccc(C)cc3[nH]2)o1 ZINC000483855866 1126804162 /nfs/dbraw/zinc/80/41/62/1126804162.db2.gz RGAPNJJXDJCQIF-LBPRGKRZSA-N 1 2 283.375 3.878 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(Cl)n1C)c1cc(F)ccc1F ZINC000178448271 1126813697 /nfs/dbraw/zinc/81/36/97/1126813697.db2.gz PUIHRZIBSKQVHH-LBPRGKRZSA-N 1 2 299.752 3.593 20 0 CHADLO CC(C)(C)OCC[N@H+](Cc1c(F)cccc1F)C1CC1 ZINC000484299475 1126814108 /nfs/dbraw/zinc/81/41/08/1126814108.db2.gz FQDACGRAQOKRNW-UHFFFAOYSA-N 1 2 283.362 3.744 20 0 CHADLO CC(C)(C)OCC[N@@H+](Cc1c(F)cccc1F)C1CC1 ZINC000484299475 1126814112 /nfs/dbraw/zinc/81/41/12/1126814112.db2.gz FQDACGRAQOKRNW-UHFFFAOYSA-N 1 2 283.362 3.744 20 0 CHADLO Cc1cccc2[nH+]cc(/C=C/c3nc4ccccc4o3)n21 ZINC000484442537 1126816954 /nfs/dbraw/zinc/81/69/54/1126816954.db2.gz QYHTUEMAFIITMB-MDZDMXLPSA-N 1 2 275.311 3.954 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2nc(C(C)C)no2)c1 ZINC000179586571 1126830724 /nfs/dbraw/zinc/83/07/24/1126830724.db2.gz BFKDKTGKONPWFT-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2cccs2)s1 ZINC000180437656 1126843522 /nfs/dbraw/zinc/84/35/22/1126843522.db2.gz WRMADYHBWPYMTB-JTQLQIEISA-N 1 2 252.408 3.706 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2cccs2)s1 ZINC000180437656 1126843525 /nfs/dbraw/zinc/84/35/25/1126843525.db2.gz WRMADYHBWPYMTB-JTQLQIEISA-N 1 2 252.408 3.706 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2cccc(Cl)c2)s1 ZINC000180424316 1126843940 /nfs/dbraw/zinc/84/39/40/1126843940.db2.gz CJHNDFJAVQLFEC-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2cccc(Cl)c2)s1 ZINC000180424316 1126843941 /nfs/dbraw/zinc/84/39/41/1126843941.db2.gz CJHNDFJAVQLFEC-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO COc1ccc(C[N@H+](Cc2ncc(C)s2)C2CC2)cc1 ZINC000180410866 1126843998 /nfs/dbraw/zinc/84/39/98/1126843998.db2.gz QPAHTAYUFBCQCM-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO COc1ccc(C[N@@H+](Cc2ncc(C)s2)C2CC2)cc1 ZINC000180410866 1126844003 /nfs/dbraw/zinc/84/40/03/1126844003.db2.gz QPAHTAYUFBCQCM-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](c2cccc(C)c2)C(C)(C)C)o1 ZINC000180787372 1126847930 /nfs/dbraw/zinc/84/79/30/1126847930.db2.gz BSTJYHCABNAKDJ-INIZCTEOSA-N 1 2 287.407 3.817 20 0 CHADLO Fc1ccc(F)c(C[N@H+](Cc2cccnc2)C2CC2)c1 ZINC000180733390 1126848666 /nfs/dbraw/zinc/84/86/66/1126848666.db2.gz ZBUDXZGKZLWIED-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1ccc(F)c(C[N@@H+](Cc2cccnc2)C2CC2)c1 ZINC000180733390 1126848670 /nfs/dbraw/zinc/84/86/70/1126848670.db2.gz ZBUDXZGKZLWIED-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Cc1nnc(C[NH2+]C2(c3cccc(Cl)c3)CCCC2)o1 ZINC000181461885 1126855713 /nfs/dbraw/zinc/85/57/13/1126855713.db2.gz NEQSRRRXORKROT-UHFFFAOYSA-N 1 2 291.782 3.591 20 0 CHADLO CC[C@@H]([NH2+]Cc1cocn1)c1ccccc1OC(F)F ZINC000182060898 1126865654 /nfs/dbraw/zinc/86/56/54/1126865654.db2.gz WVTUVTVATWTEAD-GFCCVEGCSA-N 1 2 282.290 3.517 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1cocn1)c1ccc(Cl)cc1F ZINC000182196149 1126867913 /nfs/dbraw/zinc/86/79/13/1126867913.db2.gz ZQUPKLFJZADCKY-CQSZACIVSA-N 1 2 282.746 3.954 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(-c3cccs3)no2)cc1 ZINC000182326317 1126869912 /nfs/dbraw/zinc/86/99/12/1126869912.db2.gz RXCBICAVOHNBKK-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccnc1)c1ncc(C(C)(C)C)o1 ZINC000182891094 1126879256 /nfs/dbraw/zinc/87/92/56/1126879256.db2.gz FAKNZLFUCDKKLZ-RYUDHWBXSA-N 1 2 273.380 3.779 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2cc3ccccc3o2)n1C ZINC000182634202 1126874419 /nfs/dbraw/zinc/87/44/19/1126874419.db2.gz SMAQDSDBASZAKI-GFCCVEGCSA-N 1 2 298.390 3.536 20 0 CHADLO FC1(F)C[C@@H](c2ccc(-c3[nH]cc[nH+]3)cc2)C1(F)F ZINC000904852609 1126879792 /nfs/dbraw/zinc/87/97/92/1126879792.db2.gz RZYFACQZWXEBIZ-JTQLQIEISA-N 1 2 270.229 3.835 20 0 CHADLO Cc1cnc(Cl)c(CNc2c[nH+]c(C)c(C)c2)c1 ZINC000905418341 1126901635 /nfs/dbraw/zinc/90/16/35/1126901635.db2.gz FTRPTBAUBGWRQL-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(F)c(F)c(F)c2F)[C@@H](C)C1 ZINC001235354034 1130735720 /nfs/dbraw/zinc/73/57/20/1130735720.db2.gz HUJIKGQFLNSCRQ-BDAKNGLRSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(F)c(F)c(F)c2F)[C@@H](C)C1 ZINC001235354034 1130735723 /nfs/dbraw/zinc/73/57/23/1130735723.db2.gz HUJIKGQFLNSCRQ-BDAKNGLRSA-N 1 2 275.289 3.863 20 0 CHADLO Cc1ccc2cc(C[N@H+](C)Cc3nccs3)ccc2n1 ZINC000187348980 1126910512 /nfs/dbraw/zinc/91/05/12/1126910512.db2.gz BUCFBTXTFPNXDQ-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccc2cc(C[N@@H+](C)Cc3nccs3)ccc2n1 ZINC000187348980 1126910515 /nfs/dbraw/zinc/91/05/15/1126910515.db2.gz BUCFBTXTFPNXDQ-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@@H](C)c2nccnc2C)on1 ZINC000188077283 1126919307 /nfs/dbraw/zinc/91/93/07/1126919307.db2.gz KXEIVJYZGLDOTB-LBPRGKRZSA-N 1 2 288.395 3.527 20 0 CHADLO COc1c(C)c[nH+]c(CSC[C@H]2CCO[C@@H](C)C2)c1C ZINC000485572366 1126927849 /nfs/dbraw/zinc/92/78/49/1126927849.db2.gz SMJBRULPDGFHCF-JSGCOSHPSA-N 1 2 295.448 3.755 20 0 CHADLO Cc1cn2cc(-c3ccc(N4CCCC4)nc3)cc(C)c2[nH+]1 ZINC000906161386 1126936473 /nfs/dbraw/zinc/93/64/73/1126936473.db2.gz GGIIRCZEURGACO-UHFFFAOYSA-N 1 2 292.386 3.613 20 0 CHADLO Cn1ncc(Cl)c1C[N@H+](Cc1ccco1)C1CCCC1 ZINC000189653244 1126934119 /nfs/dbraw/zinc/93/41/19/1126934119.db2.gz FKUXMAVSKNNVMD-UHFFFAOYSA-N 1 2 293.798 3.611 20 0 CHADLO Cn1ncc(Cl)c1C[N@@H+](Cc1ccco1)C1CCCC1 ZINC000189653244 1126934122 /nfs/dbraw/zinc/93/41/22/1126934122.db2.gz FKUXMAVSKNNVMD-UHFFFAOYSA-N 1 2 293.798 3.611 20 0 CHADLO C[C@@H](c1cc2ccccc2o1)[N@H+](C)Cc1cn(C2CC2)nn1 ZINC000906250029 1126941699 /nfs/dbraw/zinc/94/16/99/1126941699.db2.gz FKWDNBSBHBULEQ-LBPRGKRZSA-N 1 2 296.374 3.552 20 0 CHADLO C[C@@H](c1cc2ccccc2o1)[N@@H+](C)Cc1cn(C2CC2)nn1 ZINC000906250029 1126941702 /nfs/dbraw/zinc/94/17/02/1126941702.db2.gz FKWDNBSBHBULEQ-LBPRGKRZSA-N 1 2 296.374 3.552 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1C(F)F)c1cc2ccccc2o1 ZINC000190631680 1126942752 /nfs/dbraw/zinc/94/27/52/1126942752.db2.gz RAXFLKYQVIIYEH-SNVBAGLBSA-N 1 2 291.301 3.875 20 0 CHADLO Fc1cccc(F)c1C[NH2+][C@H]1CCOc2c(F)cccc21 ZINC000191124002 1126948166 /nfs/dbraw/zinc/94/81/66/1126948166.db2.gz HSLZXJDWBBEBJB-HNNXBMFYSA-N 1 2 293.288 3.717 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1nc3ccccc3[nH]1)CC2 ZINC000485737456 1126949381 /nfs/dbraw/zinc/94/93/81/1126949381.db2.gz YRMDVXAREOZGPQ-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1nc3ccccc3[nH]1)CC2 ZINC000485737456 1126949385 /nfs/dbraw/zinc/94/93/85/1126949385.db2.gz YRMDVXAREOZGPQ-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO CC(C)(C)c1nc(CNc2cc[nH+]cc2F)cs1 ZINC000192150490 1126958651 /nfs/dbraw/zinc/95/86/51/1126958651.db2.gz VRSFDVARCBMRHU-UHFFFAOYSA-N 1 2 265.357 3.587 20 0 CHADLO Cc1nc(COc2cc(C)[nH+]c3ccccc32)oc1C ZINC000192191960 1126959504 /nfs/dbraw/zinc/95/95/04/1126959504.db2.gz UEQHOYCULGQWBZ-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO C[N@H+](CCSCc1ccccc1)Cc1cscn1 ZINC000192553903 1126962157 /nfs/dbraw/zinc/96/21/57/1126962157.db2.gz PHTOUOUMFPJEBV-UHFFFAOYSA-N 1 2 278.446 3.508 20 0 CHADLO C[N@@H+](CCSCc1ccccc1)Cc1cscn1 ZINC000192553903 1126962160 /nfs/dbraw/zinc/96/21/60/1126962160.db2.gz PHTOUOUMFPJEBV-UHFFFAOYSA-N 1 2 278.446 3.508 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccccc2OC)cs1 ZINC000149254860 1126967296 /nfs/dbraw/zinc/96/72/96/1126967296.db2.gz ONGTXSGYAULBKQ-LLVKDONJSA-N 1 2 276.405 3.565 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1nccs1)c1ccc(Cl)cc1 ZINC000194032283 1126972192 /nfs/dbraw/zinc/97/21/92/1126972192.db2.gz QNUNOESJJOOZHY-MFKMUULPSA-N 1 2 296.823 3.835 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2cccc(O)c2)cs1 ZINC000194889336 1126977579 /nfs/dbraw/zinc/97/75/79/1126977579.db2.gz BCYAJAVSGPETGS-GHMZBOCLSA-N 1 2 276.405 3.823 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2cccc(O)c2)cs1 ZINC000194889350 1126977973 /nfs/dbraw/zinc/97/79/73/1126977973.db2.gz BCYAJAVSGPETGS-MNOVXSKESA-N 1 2 276.405 3.823 20 0 CHADLO Cc1[nH+]c[nH]c1CNC(=O)C[C@H](C)c1ccc(C(C)C)cc1 ZINC000267157306 1126994023 /nfs/dbraw/zinc/99/40/23/1126994023.db2.gz FTXODVYYDONJIM-ZDUSSCGKSA-N 1 2 299.418 3.652 20 0 CHADLO CC(C)[N@H+](CCCOc1ccc(F)cc1)CC(F)F ZINC000486790703 1127002985 /nfs/dbraw/zinc/00/29/85/1127002985.db2.gz YSRKURBPDZVMBL-UHFFFAOYSA-N 1 2 275.314 3.570 20 0 CHADLO CC(C)[N@@H+](CCCOc1ccc(F)cc1)CC(F)F ZINC000486790703 1127002988 /nfs/dbraw/zinc/00/29/88/1127002988.db2.gz YSRKURBPDZVMBL-UHFFFAOYSA-N 1 2 275.314 3.570 20 0 CHADLO CC[N@H+](Cc1coc(-c2cccs2)n1)Cc1ccncc1 ZINC000267920840 1127007811 /nfs/dbraw/zinc/00/78/11/1127007811.db2.gz QHFLRMNGDQUIDP-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CC[N@@H+](Cc1coc(-c2cccs2)n1)Cc1ccncc1 ZINC000267920840 1127007817 /nfs/dbraw/zinc/00/78/17/1127007817.db2.gz QHFLRMNGDQUIDP-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccc(F)c(F)c2)s1 ZINC000268031603 1127010155 /nfs/dbraw/zinc/01/01/55/1127010155.db2.gz ZVTSUCWETKVPQG-SECBINFHSA-N 1 2 297.374 3.791 20 0 CHADLO COc1nc(C(F)(F)F)ccc1-c1c[nH+]c(C(C)C)n1C ZINC000630053712 1127015420 /nfs/dbraw/zinc/01/54/20/1127015420.db2.gz TUXNEOGJVOUPOC-UHFFFAOYSA-N 1 2 299.296 3.633 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@H](C)c2c(F)cccc2F)c(C)n1 ZINC000268775553 1127023986 /nfs/dbraw/zinc/02/39/86/1127023986.db2.gz GXUFLFIHDNXMSQ-GXSJLCMTSA-N 1 2 291.345 3.783 20 0 CHADLO COc1cc(C)cc(NCc2c[nH+]c3ccc(C)cn23)c1C ZINC000487152708 1127020112 /nfs/dbraw/zinc/02/01/12/1127020112.db2.gz JBVZLIMCPVEHOS-UHFFFAOYSA-N 1 2 295.386 3.880 20 0 CHADLO c1cc(-c2ccccc2)oc1C[NH2+][C@H]1CCCc2cn[nH]c21 ZINC000268841726 1127026234 /nfs/dbraw/zinc/02/62/34/1127026234.db2.gz NLXLTYAEAFCEQS-INIZCTEOSA-N 1 2 293.370 3.837 20 0 CHADLO Cc1sccc1C[N@@H+]1C[C@@H](CC(F)(F)F)O[C@@H](C)C1 ZINC000668768172 1127027148 /nfs/dbraw/zinc/02/71/48/1127027148.db2.gz MDYQDSUMPXJEQC-JOYOIKCWSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1sccc1C[N@H+]1C[C@@H](CC(F)(F)F)O[C@@H](C)C1 ZINC000668768172 1127027149 /nfs/dbraw/zinc/02/71/49/1127027149.db2.gz MDYQDSUMPXJEQC-JOYOIKCWSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccc(Cl)cc2Cl)ncn1 ZINC000270105701 1127050037 /nfs/dbraw/zinc/05/00/37/1127050037.db2.gz DSFGSWCCJKKUHU-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO COc1cc(C)nc(C[N@H+]([C@@H](C)c2ccco2)C2CC2)c1 ZINC000270210847 1127051536 /nfs/dbraw/zinc/05/15/36/1127051536.db2.gz DPOJMQWNIQBWBA-ZDUSSCGKSA-N 1 2 286.375 3.717 20 0 CHADLO COc1cc(C)nc(C[N@@H+]([C@@H](C)c2ccco2)C2CC2)c1 ZINC000270210847 1127051539 /nfs/dbraw/zinc/05/15/39/1127051539.db2.gz DPOJMQWNIQBWBA-ZDUSSCGKSA-N 1 2 286.375 3.717 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)[C@@H](C)c2cccs2)c1 ZINC000270243283 1127051919 /nfs/dbraw/zinc/05/19/19/1127051919.db2.gz HZKPTIROXIJVSS-LBPRGKRZSA-N 1 2 276.405 3.653 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2ncc(C3CC3)o2)cc1 ZINC000270593957 1127057633 /nfs/dbraw/zinc/05/76/33/1127057633.db2.gz KRADMIWQNDVCRY-GFCCVEGCSA-N 1 2 286.375 3.754 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2ncc(C3CC3)o2)cc1 ZINC000270593957 1127057638 /nfs/dbraw/zinc/05/76/38/1127057638.db2.gz KRADMIWQNDVCRY-GFCCVEGCSA-N 1 2 286.375 3.754 20 0 CHADLO C[C@@H]1C[C@H](C[N@H+](CC2CC2)c2ccccc2)CCO1 ZINC000488172094 1127059909 /nfs/dbraw/zinc/05/99/09/1127059909.db2.gz UVVSJRSYVFKSBW-GDBMZVCRSA-N 1 2 259.393 3.718 20 0 CHADLO C[C@@H]1C[C@H](C[N@@H+](CC2CC2)c2ccccc2)CCO1 ZINC000488172094 1127059912 /nfs/dbraw/zinc/05/99/12/1127059912.db2.gz UVVSJRSYVFKSBW-GDBMZVCRSA-N 1 2 259.393 3.718 20 0 CHADLO CCN(Cc1occc1C)C(=O)c1c(C)cc(C)[nH+]c1C ZINC000910468048 1127060020 /nfs/dbraw/zinc/06/00/20/1127060020.db2.gz ACQJWVAIXHUHSE-UHFFFAOYSA-N 1 2 286.375 3.571 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000270680584 1127060819 /nfs/dbraw/zinc/06/08/19/1127060819.db2.gz YPOAZAXYUMGKGS-HNNXBMFYSA-N 1 2 285.391 3.618 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000270680584 1127060822 /nfs/dbraw/zinc/06/08/22/1127060822.db2.gz YPOAZAXYUMGKGS-HNNXBMFYSA-N 1 2 285.391 3.618 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+]1Cc1ncsc1Br ZINC000659858061 1127073744 /nfs/dbraw/zinc/07/37/44/1127073744.db2.gz QFQJICYPLSIPJB-JTQLQIEISA-N 1 2 289.242 3.526 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+]1Cc1ncsc1Br ZINC000659858061 1127073748 /nfs/dbraw/zinc/07/37/48/1127073748.db2.gz QFQJICYPLSIPJB-JTQLQIEISA-N 1 2 289.242 3.526 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2ccc(Cl)c(C)c2)c[nH+]1 ZINC000912062409 1127092337 /nfs/dbraw/zinc/09/23/37/1127092337.db2.gz BDMHFDANCNQYTB-UHFFFAOYSA-N 1 2 291.782 3.527 20 0 CHADLO Cc1noc(C[NH2+][C@H](c2cccc(C)c2)C(C)(C)C)n1 ZINC000271698527 1127097548 /nfs/dbraw/zinc/09/75/48/1127097548.db2.gz KRXOTZLGWYZSEM-OAHLLOKOSA-N 1 2 273.380 3.563 20 0 CHADLO COc1cccc([C@H](C)[NH2+]Cc2ncc(C(C)(C)C)o2)c1 ZINC000271699843 1127098005 /nfs/dbraw/zinc/09/80/05/1127098005.db2.gz SIEZNZAHCFNHSA-LBPRGKRZSA-N 1 2 288.391 3.832 20 0 CHADLO Cc1cn2cc(NC(=O)CCCc3ccccc3)ccc2[nH+]1 ZINC000339119978 1127114379 /nfs/dbraw/zinc/11/43/79/1127114379.db2.gz WYHINUYSRQNQRS-UHFFFAOYSA-N 1 2 293.370 3.604 20 0 CHADLO Cc1cncc([C@H](C)Nc2ccc([NH+](C)C)cc2C)c1 ZINC000274363079 1127114560 /nfs/dbraw/zinc/11/45/60/1127114560.db2.gz ITVGNATWFZUHCP-AWEZNQCLSA-N 1 2 269.392 3.938 20 0 CHADLO Cc1cn2cc(NC(=O)c3occc3C(C)C)ccc2[nH+]1 ZINC000283259763 1127127996 /nfs/dbraw/zinc/12/79/96/1127127996.db2.gz CBVHYZHYDHYMLC-UHFFFAOYSA-N 1 2 283.331 3.611 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC[C@@H]2c2cccnc2)n1 ZINC000348905560 1127134217 /nfs/dbraw/zinc/13/42/17/1127134217.db2.gz RHQKNRNYBGUDNL-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1csc(C[N@H+]2CCC[C@@H]2c2cccnc2)n1 ZINC000348905560 1127134219 /nfs/dbraw/zinc/13/42/19/1127134219.db2.gz RHQKNRNYBGUDNL-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO C[C@@H]1CC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)[C@@H](C)C1 ZINC000348837112 1127130287 /nfs/dbraw/zinc/13/02/87/1127130287.db2.gz ZNLBKKKPORXWQJ-YCPHGPKFSA-N 1 2 284.407 3.563 20 0 CHADLO CC1(C)CCC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000348836783 1127130362 /nfs/dbraw/zinc/13/03/62/1127130362.db2.gz XZXIWFLRIGDMAT-OAHLLOKOSA-N 1 2 284.407 3.707 20 0 CHADLO CCCC(CCC)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348846379 1127131126 /nfs/dbraw/zinc/13/11/26/1127131126.db2.gz DKQRDBKUXHIABN-UHFFFAOYSA-N 1 2 272.396 3.707 20 0 CHADLO C[C@@H]1CCC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)[C@H]1C ZINC000348848294 1127131573 /nfs/dbraw/zinc/13/15/73/1127131573.db2.gz MVQRRQFQGCHJQS-IJEWVQPXSA-N 1 2 284.407 3.563 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC[C@H]2c2cccnc2)n1 ZINC000348905558 1127134407 /nfs/dbraw/zinc/13/44/07/1127134407.db2.gz RHQKNRNYBGUDNL-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1csc(C[N@H+]2CCC[C@H]2c2cccnc2)n1 ZINC000348905558 1127134409 /nfs/dbraw/zinc/13/44/09/1127134409.db2.gz RHQKNRNYBGUDNL-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](C)c2ccc3ccccc3c2)n1 ZINC000348965549 1127135822 /nfs/dbraw/zinc/13/58/22/1127135822.db2.gz MWNQLUKMQXSVLP-RYUDHWBXSA-N 1 2 281.359 3.943 20 0 CHADLO CCSc1cccc(CNc2c[nH+]ccc2OC)c1 ZINC000349085176 1127139561 /nfs/dbraw/zinc/13/95/61/1127139561.db2.gz XJZBZOWFXOGDDI-UHFFFAOYSA-N 1 2 274.389 3.814 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(F)(F)F)cc1)c1ccon1 ZINC000349243616 1127144446 /nfs/dbraw/zinc/14/44/46/1127144446.db2.gz NNACUUHLUDKXMG-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO CC[C@@H](C)CCC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000349323576 1127147414 /nfs/dbraw/zinc/14/74/14/1127147414.db2.gz DXGVPILDQBAECK-CYBMUJFWSA-N 1 2 271.364 3.637 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1ccn(C)n1 ZINC000349509803 1127152013 /nfs/dbraw/zinc/15/20/13/1127152013.db2.gz ZRWWGSLMSPXEFF-HZMBPMFUSA-N 1 2 279.334 3.500 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc3c(c2)CCC3)cs1 ZINC000349994292 1127169944 /nfs/dbraw/zinc/16/99/44/1127169944.db2.gz ZTFVPUTUIQVFPD-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc3c(c2)CCC3)cs1 ZINC000349994292 1127169947 /nfs/dbraw/zinc/16/99/47/1127169947.db2.gz ZTFVPUTUIQVFPD-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CC[C@H](C)CCC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000349987957 1127170197 /nfs/dbraw/zinc/17/01/97/1127170197.db2.gz BZSAKAWGATVHQY-ZDUSSCGKSA-N 1 2 271.364 3.637 20 0 CHADLO CCC(C)(C)OCc1ccccc1NCc1c[nH+]cn1C ZINC000350173517 1127175086 /nfs/dbraw/zinc/17/50/86/1127175086.db2.gz CCJCIEMVDPVWDW-UHFFFAOYSA-N 1 2 287.407 3.737 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@H+]1Cc1ccc(Cl)nc1 ZINC000284378541 1127182379 /nfs/dbraw/zinc/18/23/79/1127182379.db2.gz USKTYEDKIIAWRH-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1ccc(Cl)nc1 ZINC000284378541 1127182380 /nfs/dbraw/zinc/18/23/80/1127182380.db2.gz USKTYEDKIIAWRH-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO O=C(C=C1CCCCC1)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000056114873 1127192285 /nfs/dbraw/zinc/19/22/85/1127192285.db2.gz AYLXVLQUIGIMBN-UHFFFAOYSA-N 1 2 299.349 3.840 20 0 CHADLO FC(F)O[C@H]1CC[N@H+](Cc2ccc(Cl)c(Cl)c2)C1 ZINC000572138483 1127193673 /nfs/dbraw/zinc/19/36/73/1127193673.db2.gz XOOKCQPLMNTGQX-VIFPVBQESA-N 1 2 296.144 3.807 20 0 CHADLO FC(F)O[C@H]1CC[N@@H+](Cc2ccc(Cl)c(Cl)c2)C1 ZINC000572138483 1127193674 /nfs/dbraw/zinc/19/36/74/1127193674.db2.gz XOOKCQPLMNTGQX-VIFPVBQESA-N 1 2 296.144 3.807 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(F)c(C(F)(F)F)c1)c1ccon1 ZINC000351597116 1127196978 /nfs/dbraw/zinc/19/69/78/1127196978.db2.gz UDCFSNWHARIAON-MRVPVSSYSA-N 1 2 288.244 3.683 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1cc(Cl)ccc1Cl ZINC000351604936 1127197011 /nfs/dbraw/zinc/19/70/11/1127197011.db2.gz GHSZFIQMPZHYAF-TXEJJXNPSA-N 1 2 284.186 3.689 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1cc(Cl)ccc1Cl ZINC000351604936 1127197012 /nfs/dbraw/zinc/19/70/12/1127197012.db2.gz GHSZFIQMPZHYAF-TXEJJXNPSA-N 1 2 284.186 3.689 20 0 CHADLO Cc1cc(C(=O)Nc2ccn3cc[nH+]c3c2)ccc1Cl ZINC000351660774 1127202066 /nfs/dbraw/zinc/20/20/66/1127202066.db2.gz GFUAKTPRFPPFHG-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2CCOC(C)(C)C2)c1 ZINC001237699294 1131129853 /nfs/dbraw/zinc/12/98/53/1131129853.db2.gz WGLKGEDXHBDDCW-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2CCOC(C)(C)C2)c1 ZINC001237699294 1131129856 /nfs/dbraw/zinc/12/98/56/1131129856.db2.gz WGLKGEDXHBDDCW-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1sccc1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC000351672702 1127203065 /nfs/dbraw/zinc/20/30/65/1127203065.db2.gz NIFVOADEGPHPNT-MRXNPFEDSA-N 1 2 273.401 3.630 20 0 CHADLO Cc1sccc1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC000351672702 1127203070 /nfs/dbraw/zinc/20/30/70/1127203070.db2.gz NIFVOADEGPHPNT-MRXNPFEDSA-N 1 2 273.401 3.630 20 0 CHADLO C[C@H](SCCCn1cc[nH+]c1)c1ccc(F)cc1 ZINC000351698165 1127204672 /nfs/dbraw/zinc/20/46/72/1127204672.db2.gz SISRSMSBCDDZNN-LBPRGKRZSA-N 1 2 264.369 3.907 20 0 CHADLO Cc1cc([C@H](C)Nc2ccc([NH+](C)C)cc2C)no1 ZINC000284884972 1127210175 /nfs/dbraw/zinc/21/01/75/1127210175.db2.gz BMXSFQSIYSVLFG-LBPRGKRZSA-N 1 2 259.353 3.531 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1c(O)ccc(Cl)c1F ZINC000191352664 1127212846 /nfs/dbraw/zinc/21/28/46/1127212846.db2.gz BLJOKOPIBFSFCG-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1c(O)ccc(Cl)c1F ZINC000191352664 1127212849 /nfs/dbraw/zinc/21/28/49/1127212849.db2.gz BLJOKOPIBFSFCG-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO CCOC1CC(COc2cc(C)[nH+]c3ccccc32)C1 ZINC000285119955 1127217414 /nfs/dbraw/zinc/21/74/14/1127217414.db2.gz JXZXYZIAVCSTFB-UHFFFAOYSA-N 1 2 271.360 3.737 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+][C@H](C)c2c(F)cncc2F)cn1 ZINC000285677747 1127240531 /nfs/dbraw/zinc/24/05/31/1127240531.db2.gz NKWUQUNNSGTCOG-GHMZBOCLSA-N 1 2 294.349 3.549 20 0 CHADLO Cc1cncc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000352659672 1127241594 /nfs/dbraw/zinc/24/15/94/1127241594.db2.gz KJRYRQFKGOOIGU-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cncc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000352659672 1127241598 /nfs/dbraw/zinc/24/15/98/1127241598.db2.gz KJRYRQFKGOOIGU-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO CN(C)c1ccc([NH2+]C[C@@H]2CCSC2)cc1Cl ZINC000224388455 1127260110 /nfs/dbraw/zinc/26/01/10/1127260110.db2.gz FOBSOUXPSSBWCD-JTQLQIEISA-N 1 2 270.829 3.571 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CCO[C@@H](CC)C2)c1 ZINC000590647131 1127266528 /nfs/dbraw/zinc/26/65/28/1127266528.db2.gz MTEBMADSBCMXIL-KGLIPLIRSA-N 1 2 280.437 3.704 20 0 CHADLO C[NH+](C)c1ccc(NCc2cccc3cccnc32)cc1 ZINC000057611119 1127267540 /nfs/dbraw/zinc/26/75/40/1127267540.db2.gz IWMKACIXQWUFJK-UHFFFAOYSA-N 1 2 277.371 3.913 20 0 CHADLO C=C(Br)C[N@H+]1CCC[C@@H]1c1cccc(F)c1 ZINC000057754911 1127277897 /nfs/dbraw/zinc/27/78/97/1127277897.db2.gz GMKRZNZIVBCTHX-CYBMUJFWSA-N 1 2 284.172 3.871 20 0 CHADLO C=C(Br)C[N@@H+]1CCC[C@@H]1c1cccc(F)c1 ZINC000057754911 1127277899 /nfs/dbraw/zinc/27/78/99/1127277899.db2.gz GMKRZNZIVBCTHX-CYBMUJFWSA-N 1 2 284.172 3.871 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@H+]1Cc1nc2ccccc2o1 ZINC000590938111 1127280721 /nfs/dbraw/zinc/28/07/21/1127280721.db2.gz GINBVGXYRRUTES-ZDUSSCGKSA-N 1 2 294.354 3.783 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@@H+]1Cc1nc2ccccc2o1 ZINC000590938111 1127280723 /nfs/dbraw/zinc/28/07/23/1127280723.db2.gz GINBVGXYRRUTES-ZDUSSCGKSA-N 1 2 294.354 3.783 20 0 CHADLO CCC[N@H+]1CCC[C@H]1C[NH2+][C@@H](CC)c1ccc(OC)cc1 ZINC000353973021 1127282793 /nfs/dbraw/zinc/28/27/93/1127282793.db2.gz PCXYSGREXDIMJX-WMZOPIPTSA-N 1 2 290.451 3.610 20 0 CHADLO COc1ccc(CNc2ccc([NH+](C)C)cc2C)cc1F ZINC000057952890 1127290852 /nfs/dbraw/zinc/29/08/52/1127290852.db2.gz ZLXZSZTYRPQQKW-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@H](C)c2cc(F)ccc2F)n1 ZINC000573100009 1127294133 /nfs/dbraw/zinc/29/41/33/1127294133.db2.gz ICVXRKLLNRGSRU-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO C[C@H]([NH2+][C@H](CCO)c1ccc(Cl)cc1)c1cscn1 ZINC000287177010 1127294744 /nfs/dbraw/zinc/29/47/44/1127294744.db2.gz UYRZBFJJGADGRZ-GXFFZTMASA-N 1 2 296.823 3.571 20 0 CHADLO CCCCOC(=O)C[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000058000623 1127298872 /nfs/dbraw/zinc/29/88/72/1127298872.db2.gz AEZLQKNNWCVJLB-LBPRGKRZSA-N 1 2 283.799 3.676 20 0 CHADLO CCCCOC(=O)C[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000058000623 1127298874 /nfs/dbraw/zinc/29/88/74/1127298874.db2.gz AEZLQKNNWCVJLB-LBPRGKRZSA-N 1 2 283.799 3.676 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C(C)C)o1)c1csc(C)c1 ZINC000646128454 1127314439 /nfs/dbraw/zinc/31/44/39/1127314439.db2.gz GKMQYKRPISXDJC-GFCCVEGCSA-N 1 2 279.409 3.804 20 0 CHADLO Cc1n[nH]cc1C[N@@H+]1CCCC[C@@H]1c1ccc(F)cc1F ZINC000355208416 1127324607 /nfs/dbraw/zinc/32/46/07/1127324607.db2.gz PRAVQWIEFJGWRI-MRXNPFEDSA-N 1 2 291.345 3.724 20 0 CHADLO Cc1n[nH]cc1C[N@H+]1CCCC[C@@H]1c1ccc(F)cc1F ZINC000355208416 1127324611 /nfs/dbraw/zinc/32/46/11/1127324611.db2.gz PRAVQWIEFJGWRI-MRXNPFEDSA-N 1 2 291.345 3.724 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1cccc2ccccc21 ZINC000355289804 1127331668 /nfs/dbraw/zinc/33/16/68/1127331668.db2.gz MOCNPZHIAXKONU-UHFFFAOYSA-N 1 2 287.322 3.740 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H](C)CC(C)(C)C)cc2[nH+]1 ZINC000355369662 1127337083 /nfs/dbraw/zinc/33/70/83/1127337083.db2.gz AZYUTBULDGEZFQ-JTQLQIEISA-N 1 2 273.380 3.882 20 0 CHADLO C[C@H](CC(C)(C)C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000355483417 1127345012 /nfs/dbraw/zinc/34/50/12/1127345012.db2.gz GRWQGXIEXJWLIZ-CYBMUJFWSA-N 1 2 285.391 3.883 20 0 CHADLO C[NH+](C)[C@@H](c1nc(CCC(C)(C)C)no1)c1ccccc1 ZINC000289038420 1127371178 /nfs/dbraw/zinc/37/11/78/1127371178.db2.gz GTLMPOBIRVANHO-OAHLLOKOSA-N 1 2 287.407 3.699 20 0 CHADLO C[C@H](C1CCC1)[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000357018716 1127386322 /nfs/dbraw/zinc/38/63/22/1127386322.db2.gz BEPNGWXPUMSWHX-GFCCVEGCSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@H](C1CCC1)[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000357018716 1127386325 /nfs/dbraw/zinc/38/63/25/1127386325.db2.gz BEPNGWXPUMSWHX-GFCCVEGCSA-N 1 2 277.412 3.738 20 0 CHADLO Cc1ccc(CSCc2ccc3c(c2)OCO3)c(C)[nH+]1 ZINC000358539121 1127426083 /nfs/dbraw/zinc/42/60/83/1127426083.db2.gz BMXYCTLZBMECGS-UHFFFAOYSA-N 1 2 287.384 3.861 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2cccnc21)c1c(F)cccc1F ZINC000226814048 1127426411 /nfs/dbraw/zinc/42/64/11/1127426411.db2.gz GPBGUQNLUYUUGF-HZMBPMFUSA-N 1 2 274.314 3.698 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@@H+](Cc1cscn1)[C@H]2C ZINC000359302301 1127457401 /nfs/dbraw/zinc/45/74/01/1127457401.db2.gz UCYGNOLASTXXRF-RYUDHWBXSA-N 1 2 288.416 3.660 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@H+](Cc1cscn1)[C@H]2C ZINC000359302301 1127457404 /nfs/dbraw/zinc/45/74/04/1127457404.db2.gz UCYGNOLASTXXRF-RYUDHWBXSA-N 1 2 288.416 3.660 20 0 CHADLO COC(=O)Cc1cc[nH+]c(N[C@H](C)c2cc(C)cc(C)c2)c1 ZINC000596122098 1127482868 /nfs/dbraw/zinc/48/28/68/1127482868.db2.gz JCNMTDWXWYJLTL-CQSZACIVSA-N 1 2 298.386 3.587 20 0 CHADLO C[C@@H](CC(=O)NCc1cccc(-n2cc[nH+]c2)c1)C(C)(C)C ZINC000360011533 1127486481 /nfs/dbraw/zinc/48/64/81/1127486481.db2.gz WJNXQWXGHHVICU-AWEZNQCLSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1cc(CSCc2ccc(C)[nH+]c2C)nc(C)n1 ZINC000596366958 1127499992 /nfs/dbraw/zinc/49/99/92/1127499992.db2.gz SQHBOFRKUOSWMN-UHFFFAOYSA-N 1 2 273.405 3.539 20 0 CHADLO Cc1nc(N[C@H]2CCc3cc(Cl)ccc32)cc[nH+]1 ZINC000360518400 1127500955 /nfs/dbraw/zinc/50/09/55/1127500955.db2.gz SQDARNKMHNMCHO-ZDUSSCGKSA-N 1 2 259.740 3.538 20 0 CHADLO Cn1c[nH+]cc1CSc1nc2cc(Cl)ccc2o1 ZINC000360725609 1127508561 /nfs/dbraw/zinc/50/85/61/1127508561.db2.gz LVMHIUMOIMVSLK-UHFFFAOYSA-N 1 2 279.752 3.507 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2ccc(Cl)c(O)c2)C1 ZINC001231733467 1127519061 /nfs/dbraw/zinc/51/90/61/1127519061.db2.gz VKPCPRUOKRIARA-AWEZNQCLSA-N 1 2 271.763 3.760 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2ccc(Cl)c(O)c2)C1 ZINC001231733467 1127519063 /nfs/dbraw/zinc/51/90/63/1127519063.db2.gz VKPCPRUOKRIARA-AWEZNQCLSA-N 1 2 271.763 3.760 20 0 CHADLO COc1ccc2cc(CN(C)c3cc[nH+]c(C)n3)ccc2c1 ZINC000361148285 1127542788 /nfs/dbraw/zinc/54/27/88/1127542788.db2.gz CMFLMKXNZUPVNC-UHFFFAOYSA-N 1 2 293.370 3.583 20 0 CHADLO CC(C)(C)n1cc(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)cn1 ZINC000293966569 1127537568 /nfs/dbraw/zinc/53/75/68/1127537568.db2.gz QFLKLVCXHQPIMQ-MRXNPFEDSA-N 1 2 298.434 3.616 20 0 CHADLO CC(C)(C)n1cc(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)cn1 ZINC000293966569 1127537569 /nfs/dbraw/zinc/53/75/69/1127537569.db2.gz QFLKLVCXHQPIMQ-MRXNPFEDSA-N 1 2 298.434 3.616 20 0 CHADLO COc1ccc(F)cc1C[N@@H+]1CCc2ccc(F)cc2C1 ZINC000361186405 1127545676 /nfs/dbraw/zinc/54/56/76/1127545676.db2.gz LHJOLXPTAGBCGJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(F)cc1C[N@H+]1CCc2ccc(F)cc2C1 ZINC000361186405 1127545677 /nfs/dbraw/zinc/54/56/77/1127545677.db2.gz LHJOLXPTAGBCGJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1ccsc1Cl ZINC000361562935 1127572921 /nfs/dbraw/zinc/57/29/21/1127572921.db2.gz JRWVHTDWJQKLEN-UHFFFAOYSA-N 1 2 257.708 3.786 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1ccsc1Cl ZINC000361562935 1127572924 /nfs/dbraw/zinc/57/29/24/1127572924.db2.gz JRWVHTDWJQKLEN-UHFFFAOYSA-N 1 2 257.708 3.786 20 0 CHADLO C[C@@H](c1ccc(C(F)(F)F)cc1)[N@H+](C)Cc1ncccn1 ZINC000361713349 1127583577 /nfs/dbraw/zinc/58/35/77/1127583577.db2.gz YBWJCCBWYABWDJ-NSHDSACASA-N 1 2 295.308 3.688 20 0 CHADLO C[C@@H](c1ccc(C(F)(F)F)cc1)[N@@H+](C)Cc1ncccn1 ZINC000361713349 1127583579 /nfs/dbraw/zinc/58/35/79/1127583579.db2.gz YBWJCCBWYABWDJ-NSHDSACASA-N 1 2 295.308 3.688 20 0 CHADLO Oc1ccccc1/C=[NH+]/CCCSc1ccccc1 ZINC000060804354 1127588055 /nfs/dbraw/zinc/58/80/55/1127588055.db2.gz VRXFLNSUSHMCGF-GHRIWEEISA-N 1 2 271.385 3.994 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1nc2cc(C)ccc2[nH]1 ZINC000361804762 1127589895 /nfs/dbraw/zinc/58/98/95/1127589895.db2.gz OVNNRHGJCHGERA-CYBMUJFWSA-N 1 2 295.386 3.731 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1nc2ccc(C)cc2[nH]1 ZINC000361804762 1127589897 /nfs/dbraw/zinc/58/98/97/1127589897.db2.gz OVNNRHGJCHGERA-CYBMUJFWSA-N 1 2 295.386 3.731 20 0 CHADLO CSCc1cnc(C[N@H+](C)Cc2ccccc2)s1 ZINC000295024757 1127615397 /nfs/dbraw/zinc/61/53/97/1127615397.db2.gz WWVICOMLJLJXJS-UHFFFAOYSA-N 1 2 278.446 3.638 20 0 CHADLO CSCc1cnc(C[N@@H+](C)Cc2ccccc2)s1 ZINC000295024757 1127615399 /nfs/dbraw/zinc/61/53/99/1127615399.db2.gz WWVICOMLJLJXJS-UHFFFAOYSA-N 1 2 278.446 3.638 20 0 CHADLO C[C@H]1C[C@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)ncc1F ZINC000295011875 1127614401 /nfs/dbraw/zinc/61/44/01/1127614401.db2.gz MERMVRSNGWEOSW-WCBMZHEXSA-N 1 2 294.267 3.523 20 0 CHADLO C[C@H]1C[C@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)ncc1F ZINC000295011875 1127614403 /nfs/dbraw/zinc/61/44/03/1127614403.db2.gz MERMVRSNGWEOSW-WCBMZHEXSA-N 1 2 294.267 3.523 20 0 CHADLO C[C@@H]1C[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)ncc1F ZINC000295011872 1127614461 /nfs/dbraw/zinc/61/44/61/1127614461.db2.gz MERMVRSNGWEOSW-SCZZXKLOSA-N 1 2 294.267 3.523 20 0 CHADLO C[C@@H]1C[C@@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)ncc1F ZINC000295011872 1127614463 /nfs/dbraw/zinc/61/44/63/1127614463.db2.gz MERMVRSNGWEOSW-SCZZXKLOSA-N 1 2 294.267 3.523 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1c[nH]nn1)c1ccc(C(C)(C)C)cc1 ZINC000295291483 1127633371 /nfs/dbraw/zinc/63/33/71/1127633371.db2.gz JKDZCRSKDDQOCU-INIZCTEOSA-N 1 2 286.423 3.589 20 0 CHADLO CCC1CC[NH+](Cc2cnc(Br)s2)CC1 ZINC000295603182 1127647542 /nfs/dbraw/zinc/64/75/42/1127647542.db2.gz UQHLXBMLHLNQQO-UHFFFAOYSA-N 1 2 289.242 3.528 20 0 CHADLO COc1cccc(C[NH2+]Cc2c(F)cccc2Cl)c1F ZINC000669680863 1127650081 /nfs/dbraw/zinc/65/00/81/1127650081.db2.gz BXZIVTAMLOLHFC-UHFFFAOYSA-N 1 2 297.732 3.917 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2csc(Cl)n2)cc1 ZINC000296371722 1127670069 /nfs/dbraw/zinc/67/00/69/1127670069.db2.gz SQZBAZZUWWBTLX-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO COc1cccc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)c1F ZINC000669708903 1127691116 /nfs/dbraw/zinc/69/11/16/1127691116.db2.gz SPHBEHLFGGXLKH-HNNXBMFYSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cccc(C[N@H+]2CC[C@H]2c2cccc(F)c2)c1F ZINC000669708903 1127691119 /nfs/dbraw/zinc/69/11/19/1127691119.db2.gz SPHBEHLFGGXLKH-HNNXBMFYSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2ccc(C3CC3)cc2F)c2nccn21 ZINC000297288655 1127693402 /nfs/dbraw/zinc/69/34/02/1127693402.db2.gz LQRTYFXZFWRMIO-ZBEGNZNMSA-N 1 2 285.366 3.695 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2ccc(C3CC3)cc2F)c2nccn21 ZINC000297288641 1127693438 /nfs/dbraw/zinc/69/34/38/1127693438.db2.gz LQRTYFXZFWRMIO-BDJLRTHQSA-N 1 2 285.366 3.695 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1cc(F)cnc1Cl ZINC000297428721 1127696183 /nfs/dbraw/zinc/69/61/83/1127696183.db2.gz VDCVFGLGXOTZJE-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO COCc1cc(C[N@@H+]2CCCCC[C@H]2c2ccco2)no1 ZINC000297584776 1127700601 /nfs/dbraw/zinc/70/06/01/1127700601.db2.gz DTYIMVFLCZMYDN-HNNXBMFYSA-N 1 2 290.363 3.531 20 0 CHADLO COCc1cc(C[N@H+]2CCCCC[C@H]2c2ccco2)no1 ZINC000297584776 1127700603 /nfs/dbraw/zinc/70/06/03/1127700603.db2.gz DTYIMVFLCZMYDN-HNNXBMFYSA-N 1 2 290.363 3.531 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@H](C)c1cccc(Cl)c1 ZINC000298096969 1127714819 /nfs/dbraw/zinc/71/48/19/1127714819.db2.gz VXSJOQBRBWYGPO-SNVBAGLBSA-N 1 2 293.750 3.570 20 0 CHADLO Cc1cccc([C@H](C)NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)c1 ZINC000636009541 1127714171 /nfs/dbraw/zinc/71/41/71/1127714171.db2.gz JCMKCTSXQZUEEK-DOTOQJQBSA-N 1 2 299.418 3.656 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)on1 ZINC000298436382 1127723423 /nfs/dbraw/zinc/72/34/23/1127723423.db2.gz PTUJLSUPHBFUDJ-BZNIZROVSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)on1 ZINC000298436382 1127723427 /nfs/dbraw/zinc/72/34/27/1127723427.db2.gz PTUJLSUPHBFUDJ-BZNIZROVSA-N 1 2 286.375 3.864 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(CN(C)Cc2cn3c([nH+]2)CCCC3)o1 ZINC000365446559 1127729626 /nfs/dbraw/zinc/72/96/26/1127729626.db2.gz IJSLLNPJIHOUSB-XJKSGUPXSA-N 1 2 299.418 3.568 20 0 CHADLO CC[N@H+](Cc1cscn1)Cc1cccc(Cl)c1 ZINC000298694479 1127729705 /nfs/dbraw/zinc/72/97/05/1127729705.db2.gz CINYIGJBPYRXKU-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1cscn1)Cc1cccc(Cl)c1 ZINC000298694479 1127729707 /nfs/dbraw/zinc/72/97/07/1127729707.db2.gz CINYIGJBPYRXKU-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)o1)c1nc2ccccc2n1C ZINC000605435125 1127736252 /nfs/dbraw/zinc/73/62/52/1127736252.db2.gz UOFJOVVRARZGIM-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C3(C)CCC3)c2)[nH+]c1C ZINC000365901905 1127741050 /nfs/dbraw/zinc/74/10/50/1127741050.db2.gz PTYQNNXIZWMIAU-UHFFFAOYSA-N 1 2 283.375 3.822 20 0 CHADLO Cc1ccc([C@@H](Nc2cccc[nH+]2)c2ccccn2)cc1 ZINC000366497101 1127746482 /nfs/dbraw/zinc/74/64/82/1127746482.db2.gz YRCNGCUTRDKCHW-GOSISDBHSA-N 1 2 275.355 3.987 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2n[nH]c3ccccc32)c1 ZINC000605596099 1127743663 /nfs/dbraw/zinc/74/36/63/1127743663.db2.gz NKBASWQENSPFCH-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO CC[C@H](CC(=O)Nc1cc[nH+]c(C)c1)c1ccc(C)cc1 ZINC000606370725 1127760435 /nfs/dbraw/zinc/76/04/35/1127760435.db2.gz VBYYMHWYKGEYEH-OAHLLOKOSA-N 1 2 282.387 3.643 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@H+](Cc2cnc(Cl)s2)C1 ZINC000370229622 1127761585 /nfs/dbraw/zinc/76/15/85/1127761585.db2.gz CRWZOFSDTVVHRP-GXFFZTMASA-N 1 2 293.823 3.775 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@@H+](Cc2cnc(Cl)s2)C1 ZINC000370229622 1127761589 /nfs/dbraw/zinc/76/15/89/1127761589.db2.gz CRWZOFSDTVVHRP-GXFFZTMASA-N 1 2 293.823 3.775 20 0 CHADLO CCCCN(CCCC)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606580598 1127768066 /nfs/dbraw/zinc/76/80/66/1127768066.db2.gz VQECAZGQGYIOPX-UHFFFAOYSA-N 1 2 288.395 3.768 20 0 CHADLO Cc1cc(OCC[N@H+](C)Cc2ccon2)ccc1C(C)C ZINC000606901595 1127780910 /nfs/dbraw/zinc/78/09/10/1127780910.db2.gz KGRGJKJBQREIBC-UHFFFAOYSA-N 1 2 288.391 3.617 20 0 CHADLO Cc1cc(OCC[N@@H+](C)Cc2ccon2)ccc1C(C)C ZINC000606901595 1127780912 /nfs/dbraw/zinc/78/09/12/1127780912.db2.gz KGRGJKJBQREIBC-UHFFFAOYSA-N 1 2 288.391 3.617 20 0 CHADLO FC(F)(F)CCCC[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC000372723307 1127809758 /nfs/dbraw/zinc/80/97/58/1127809758.db2.gz CJDNKHGZQDUTLH-CQSZACIVSA-N 1 2 287.325 3.793 20 0 CHADLO FC(F)(F)CCCC[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC000372723307 1127809761 /nfs/dbraw/zinc/80/97/61/1127809761.db2.gz CJDNKHGZQDUTLH-CQSZACIVSA-N 1 2 287.325 3.793 20 0 CHADLO CCCC1CCC([NH2+][C@@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000372921809 1127818041 /nfs/dbraw/zinc/81/80/41/1127818041.db2.gz KFPSHEMYHPPHQT-BDVYOWHSSA-N 1 2 298.434 3.843 20 0 CHADLO CCCCCC[C@@H](C)[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000372905997 1127818111 /nfs/dbraw/zinc/81/81/11/1127818111.db2.gz MWPLYKWIDXNPMV-GDBMZVCRSA-N 1 2 286.423 3.843 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000607748049 1127824218 /nfs/dbraw/zinc/82/42/18/1127824218.db2.gz IGPAMHKQWMBYLF-CYBMUJFWSA-N 1 2 284.403 3.919 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000607748049 1127824220 /nfs/dbraw/zinc/82/42/20/1127824220.db2.gz IGPAMHKQWMBYLF-CYBMUJFWSA-N 1 2 284.403 3.919 20 0 CHADLO Cc1ccc(C[C@H]2CCCN(c3cc[nH+]c(C)n3)C2)cc1 ZINC000608081683 1127843979 /nfs/dbraw/zinc/84/39/79/1127843979.db2.gz QTHVSUMQQPAOME-QGZVFWFLSA-N 1 2 281.403 3.553 20 0 CHADLO Cc1ccc(C[C@@H]2CCCN(c3cc[nH+]c(C)n3)C2)cc1 ZINC000608081681 1127844172 /nfs/dbraw/zinc/84/41/72/1127844172.db2.gz QTHVSUMQQPAOME-KRWDZBQOSA-N 1 2 281.403 3.553 20 0 CHADLO CC(C)c1ccc([C@@H]2CCC[N@@H+]2Cc2csnn2)cc1 ZINC000374283066 1127885229 /nfs/dbraw/zinc/88/52/29/1127885229.db2.gz IYDNNCFKRUWXPL-INIZCTEOSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1ccc([C@@H]2CCC[N@H+]2Cc2csnn2)cc1 ZINC000374283066 1127885232 /nfs/dbraw/zinc/88/52/32/1127885232.db2.gz IYDNNCFKRUWXPL-INIZCTEOSA-N 1 2 287.432 3.999 20 0 CHADLO CC[N@H+](Cc1nc(C)c(C)s1)Cc1occc1C ZINC000412025460 1127888903 /nfs/dbraw/zinc/88/89/03/1127888903.db2.gz INPOGFMYMOZONI-UHFFFAOYSA-N 1 2 264.394 3.683 20 0 CHADLO CC[N@@H+](Cc1nc(C)c(C)s1)Cc1occc1C ZINC000412025460 1127888907 /nfs/dbraw/zinc/88/89/07/1127888907.db2.gz INPOGFMYMOZONI-UHFFFAOYSA-N 1 2 264.394 3.683 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+](C)Cc2ccon2)cc1 ZINC000509703281 1127904659 /nfs/dbraw/zinc/90/46/59/1127904659.db2.gz BBHDZACYEYDNCX-UHFFFAOYSA-N 1 2 272.392 3.994 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+](C)Cc2ccon2)cc1 ZINC000509703281 1127904662 /nfs/dbraw/zinc/90/46/62/1127904662.db2.gz BBHDZACYEYDNCX-UHFFFAOYSA-N 1 2 272.392 3.994 20 0 CHADLO CCOc1cccc(C[N@@H+]2CCOC[C@H]2c2ccccc2)c1 ZINC000539851273 1127948538 /nfs/dbraw/zinc/94/85/38/1127948538.db2.gz PSOFAODSCSSOKH-IBGZPJMESA-N 1 2 297.398 3.659 20 0 CHADLO CCOc1cccc(C[N@H+]2CCOC[C@H]2c2ccccc2)c1 ZINC000539851273 1127948542 /nfs/dbraw/zinc/94/85/42/1127948542.db2.gz PSOFAODSCSSOKH-IBGZPJMESA-N 1 2 297.398 3.659 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C(C)C)s2)c(C)c[nH+]1 ZINC000545475983 1128017125 /nfs/dbraw/zinc/01/71/25/1128017125.db2.gz XHZXOVHWKPEAGS-UHFFFAOYSA-N 1 2 274.389 3.558 20 0 CHADLO C[C@H]1C[N@H+](Cc2noc(Cc3ccccc3)n2)CC(C)(C)C1 ZINC000541326754 1128018183 /nfs/dbraw/zinc/01/81/83/1128018183.db2.gz VQCQHZYOQDHXKE-CQSZACIVSA-N 1 2 299.418 3.528 20 0 CHADLO C[C@H]1C[N@@H+](Cc2noc(Cc3ccccc3)n2)CC(C)(C)C1 ZINC000541326754 1128018187 /nfs/dbraw/zinc/01/81/87/1128018187.db2.gz VQCQHZYOQDHXKE-CQSZACIVSA-N 1 2 299.418 3.528 20 0 CHADLO OCc1ccccc1C[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000541553097 1128027398 /nfs/dbraw/zinc/02/73/98/1128027398.db2.gz ZWLBKYZQOLTKSA-LJQANCHMSA-N 1 2 293.366 3.651 20 0 CHADLO CC[C@H](C[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000470331644 1128069304 /nfs/dbraw/zinc/06/93/04/1128069304.db2.gz CISJLAHZZJXDGD-UONOGXRCSA-N 1 2 277.408 3.500 20 0 CHADLO C[C@H]([NH2+]Cc1nc(-c2cccs2)no1)c1ccsc1 ZINC000669939057 1128078361 /nfs/dbraw/zinc/07/83/61/1128078361.db2.gz ISEGSMSHXUAJDI-VIFPVBQESA-N 1 2 291.401 3.710 20 0 CHADLO C[C@H]1C[N@H+](CCc2c(F)cccc2Cl)C[C@@H](C2CC2)O1 ZINC000470911316 1128080578 /nfs/dbraw/zinc/08/05/78/1128080578.db2.gz RXQZTAYTMKGOTF-ZBEGNZNMSA-N 1 2 297.801 3.521 20 0 CHADLO C[C@H]1C[N@@H+](CCc2c(F)cccc2Cl)C[C@@H](C2CC2)O1 ZINC000470911316 1128080583 /nfs/dbraw/zinc/08/05/83/1128080583.db2.gz RXQZTAYTMKGOTF-ZBEGNZNMSA-N 1 2 297.801 3.521 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@@H](C)c1ccc(F)c(F)c1 ZINC000924532778 1128101986 /nfs/dbraw/zinc/10/19/86/1128101986.db2.gz UQGMMMZUFNQJKL-XPTSAGLGSA-N 1 2 292.329 3.989 20 0 CHADLO Cc1cc(C[NH2+]Cc2c(F)cccc2Cl)sn1 ZINC000404267796 1128101834 /nfs/dbraw/zinc/10/18/34/1128101834.db2.gz IOMRVLUYFDGNCI-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+][C@H](C)c2ncco2)cc1 ZINC000924702240 1128110511 /nfs/dbraw/zinc/11/05/11/1128110511.db2.gz USFPANFKAZVSIL-CHWSQXEVSA-N 1 2 274.364 3.874 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+][C@H](C)c2ncco2)cc1 ZINC000924702242 1128110861 /nfs/dbraw/zinc/11/08/61/1128110861.db2.gz USFPANFKAZVSIL-QWHCGFSZSA-N 1 2 274.364 3.874 20 0 CHADLO CC(C)(C)OC(=O)c1ccc(C[N@@H+]2CCC=C(F)C2)cc1 ZINC000492972778 1128119069 /nfs/dbraw/zinc/11/90/69/1128119069.db2.gz MWYHEYQQHLEAJA-UHFFFAOYSA-N 1 2 291.366 3.701 20 0 CHADLO CC(C)(C)OC(=O)c1ccc(C[N@H+]2CCC=C(F)C2)cc1 ZINC000492972778 1128119070 /nfs/dbraw/zinc/11/90/70/1128119070.db2.gz MWYHEYQQHLEAJA-UHFFFAOYSA-N 1 2 291.366 3.701 20 0 CHADLO FCc1ccc(COc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC000777541990 1128119474 /nfs/dbraw/zinc/11/94/74/1128119474.db2.gz RJCXHQKJFFYNBF-UHFFFAOYSA-N 1 2 282.318 3.921 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cn(C)cn1)c1ccc(F)cc1F ZINC000925095990 1128121642 /nfs/dbraw/zinc/12/16/42/1128121642.db2.gz BYXKLGCVMJJWEI-IINYFYTJSA-N 1 2 279.334 3.500 20 0 CHADLO CSCc1cc(F)ccc1C[NH2+][C@H](C)c1ncco1 ZINC000925249533 1128126726 /nfs/dbraw/zinc/12/67/26/1128126726.db2.gz OBMRSFFHBFNBDO-SNVBAGLBSA-N 1 2 280.368 3.528 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1nc(C(C)C)cs1 ZINC000925284585 1128127366 /nfs/dbraw/zinc/12/73/66/1128127366.db2.gz ZLVDRDCFZOCNCW-SECBINFHSA-N 1 2 279.409 3.722 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+]1Cc1nc(Br)cs1 ZINC001137782296 1128131334 /nfs/dbraw/zinc/13/13/34/1128131334.db2.gz KUXXEZUNHYAGRG-SECBINFHSA-N 1 2 289.242 3.526 20 0 CHADLO CC(C)[C@H]1CCC[N@H+]1Cc1nc(Br)cs1 ZINC001137782296 1128131337 /nfs/dbraw/zinc/13/13/37/1128131337.db2.gz KUXXEZUNHYAGRG-SECBINFHSA-N 1 2 289.242 3.526 20 0 CHADLO Cc1ccc(SCC[N@@H+]2CCC=C(F)C2)cc1C ZINC001168457859 1128133612 /nfs/dbraw/zinc/13/36/12/1128133612.db2.gz GARRAVLCLVXCRP-UHFFFAOYSA-N 1 2 265.397 3.955 20 0 CHADLO Cc1ccc(SCC[N@H+]2CCC=C(F)C2)cc1C ZINC001168457859 1128133615 /nfs/dbraw/zinc/13/36/15/1128133615.db2.gz GARRAVLCLVXCRP-UHFFFAOYSA-N 1 2 265.397 3.955 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1ccns1 ZINC000925759012 1128140792 /nfs/dbraw/zinc/14/07/92/1128140792.db2.gz JWGHDYVGCLDHMS-GFCCVEGCSA-N 1 2 288.420 3.702 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]C1(c2ccc(F)cc2)CC1 ZINC000925845306 1128144349 /nfs/dbraw/zinc/14/43/49/1128144349.db2.gz GCZHALZPTCKPCK-AWEZNQCLSA-N 1 2 286.350 3.778 20 0 CHADLO Cc1ccc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)c(F)c1 ZINC000925956918 1128147837 /nfs/dbraw/zinc/14/78/37/1128147837.db2.gz QDFUDTWFHCRPAA-LBPRGKRZSA-N 1 2 273.355 3.840 20 0 CHADLO Cc1ccc(F)c(N[C@@H](C)c2cn3c([nH+]2)CCCC3)c1 ZINC000925955841 1128148059 /nfs/dbraw/zinc/14/80/59/1128148059.db2.gz CKNCOCTZBSDTFG-LBPRGKRZSA-N 1 2 273.355 3.840 20 0 CHADLO CC1(C)CC2(CC(Nc3cccc(-n4cc[nH+]c4)c3)C2)CO1 ZINC000926051099 1128150902 /nfs/dbraw/zinc/15/09/02/1128150902.db2.gz HWKZBNHMVDLXGG-UHFFFAOYSA-N 1 2 297.402 3.632 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@H](C)c1cc2ccccc2o1 ZINC000926243187 1128163015 /nfs/dbraw/zinc/16/30/15/1128163015.db2.gz JJSSQWSDEGEPHW-ZJUUUORDSA-N 1 2 271.320 3.536 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC000494273688 1128168358 /nfs/dbraw/zinc/16/83/58/1128168358.db2.gz KDZZFHSMAFJIAX-RAXLEYEMSA-N 1 2 270.376 3.827 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nc(C)c(C)o1 ZINC000494273688 1128168359 /nfs/dbraw/zinc/16/83/59/1128168359.db2.gz KDZZFHSMAFJIAX-RAXLEYEMSA-N 1 2 270.376 3.827 20 0 CHADLO CC[C@H](C)[C@H](C)C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000780400157 1128182312 /nfs/dbraw/zinc/18/23/12/1128182312.db2.gz HRJUYWUWAPNRCR-RYUDHWBXSA-N 1 2 297.468 3.606 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@H]1c2ccccc2CC[C@H]1F ZINC000926862335 1128199273 /nfs/dbraw/zinc/19/92/73/1128199273.db2.gz UEAUSMKYFYVRBW-FGDXXIHYSA-N 1 2 288.366 3.968 20 0 CHADLO CC(C)C[N@H+](CC(C)(C)C)CC(F)(F)C(F)F ZINC000761974778 1128258140 /nfs/dbraw/zinc/25/81/40/1128258140.db2.gz YNRGWOGMDJQKFL-UHFFFAOYSA-N 1 2 257.315 3.891 20 0 CHADLO CC(C)C[N@@H+](CC(C)(C)C)CC(F)(F)C(F)F ZINC000761974778 1128258142 /nfs/dbraw/zinc/25/81/42/1128258142.db2.gz YNRGWOGMDJQKFL-UHFFFAOYSA-N 1 2 257.315 3.891 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2CCCc3cccnc32)c(C)s1 ZINC000927059131 1128212632 /nfs/dbraw/zinc/21/26/32/1128212632.db2.gz BMXSVFCEASTPAN-IINYFYTJSA-N 1 2 287.432 3.883 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1cc(Cl)cs1)CC2 ZINC000494943061 1128215326 /nfs/dbraw/zinc/21/53/26/1128215326.db2.gz SVTWZUVCBCJRFE-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1cc(Cl)cs1)CC2 ZINC000494943061 1128215327 /nfs/dbraw/zinc/21/53/27/1128215327.db2.gz SVTWZUVCBCJRFE-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO COCC[N@H+](Cc1ccc(C)o1)Cc1sccc1Cl ZINC000494956514 1128216285 /nfs/dbraw/zinc/21/62/85/1128216285.db2.gz MXILYNZTZOXFSA-UHFFFAOYSA-N 1 2 299.823 3.952 20 0 CHADLO COCC[N@@H+](Cc1ccc(C)o1)Cc1sccc1Cl ZINC000494956514 1128216287 /nfs/dbraw/zinc/21/62/87/1128216287.db2.gz MXILYNZTZOXFSA-UHFFFAOYSA-N 1 2 299.823 3.952 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2ccoc2)c(F)c1 ZINC000927093838 1128216717 /nfs/dbraw/zinc/21/67/17/1128216717.db2.gz NPVBKKHNZLNGBO-JTQLQIEISA-N 1 2 251.276 3.717 20 0 CHADLO C[N@H+](C/C=C/c1ccncc1)Cc1nc2c(s1)CCCC2 ZINC000494996222 1128222764 /nfs/dbraw/zinc/22/27/64/1128222764.db2.gz LFZGZFKFALVXBV-SNAWJCMRSA-N 1 2 299.443 3.562 20 0 CHADLO C[N@@H+](C/C=C/c1ccncc1)Cc1nc2c(s1)CCCC2 ZINC000494996222 1128222766 /nfs/dbraw/zinc/22/27/66/1128222766.db2.gz LFZGZFKFALVXBV-SNAWJCMRSA-N 1 2 299.443 3.562 20 0 CHADLO CCOc1ccccc1CNc1ccc([NH+](C)C)cc1 ZINC000032118240 1128223403 /nfs/dbraw/zinc/22/34/03/1128223403.db2.gz YOHPKNUBMJFYOA-UHFFFAOYSA-N 1 2 270.376 3.763 20 0 CHADLO C(=C\c1ccncc1)\C[NH+](Cc1ccco1)Cc1ccco1 ZINC000495057684 1128226761 /nfs/dbraw/zinc/22/67/61/1128226761.db2.gz GXIPBQBORRSNPT-RJRFIUFISA-N 1 2 294.354 3.983 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)c(C)nn1 ZINC000929285344 1128267547 /nfs/dbraw/zinc/26/75/47/1128267547.db2.gz LFFVSNICEMNLQP-GFCCVEGCSA-N 1 2 291.345 3.565 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)c(C)nn1 ZINC000929285344 1128267551 /nfs/dbraw/zinc/26/75/51/1128267551.db2.gz LFFVSNICEMNLQP-GFCCVEGCSA-N 1 2 291.345 3.565 20 0 CHADLO FC(F)(F)[C@@H](Nc1cc2cc[nH]c2c[nH+]1)c1ccccn1 ZINC001168477708 1128233901 /nfs/dbraw/zinc/23/39/01/1128233901.db2.gz JSGHFLQWRFXDPR-ZDUSSCGKSA-N 1 2 292.264 3.673 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCSCC2)cc1)C1CC1 ZINC000777689955 1128241259 /nfs/dbraw/zinc/24/12/59/1128241259.db2.gz SPPUMZYNMQJAIS-MRXNPFEDSA-N 1 2 276.449 3.840 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H]2CCc3cc(Cl)ccc32)no1 ZINC000777784637 1128274274 /nfs/dbraw/zinc/27/42/74/1128274274.db2.gz LXWBJVPWLRUPDS-HNNXBMFYSA-N 1 2 276.767 3.756 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2nncs2)CC(C)C)c1 ZINC000929398464 1128272916 /nfs/dbraw/zinc/27/29/16/1128272916.db2.gz MBXZMJNUYGXJHF-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO Cc1cccc(C[N@H+](Cc2nncs2)CC(C)C)c1 ZINC000929398464 1128272917 /nfs/dbraw/zinc/27/29/17/1128272917.db2.gz MBXZMJNUYGXJHF-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H]2CCc3cc(Cl)ccc32)no1 ZINC000777784637 1128274278 /nfs/dbraw/zinc/27/42/78/1128274278.db2.gz LXWBJVPWLRUPDS-HNNXBMFYSA-N 1 2 276.767 3.756 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2cccc(OC)c2C)s1 ZINC000929774264 1128286704 /nfs/dbraw/zinc/28/67/04/1128286704.db2.gz OKHHAJNLGRQDPJ-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2cccc(OC)c2C)s1 ZINC000929774264 1128286707 /nfs/dbraw/zinc/28/67/07/1128286707.db2.gz OKHHAJNLGRQDPJ-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO CC(C)(C)OC1CC[NH+](Cc2coc(C(C)(C)C)n2)CC1 ZINC000929837500 1128290156 /nfs/dbraw/zinc/29/01/56/1128290156.db2.gz YZTLMQWLBVUOPX-UHFFFAOYSA-N 1 2 294.439 3.752 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1coc(C(C)(C)C)n1 ZINC000929862528 1128291144 /nfs/dbraw/zinc/29/11/44/1128291144.db2.gz YFALLAQNWBCYRM-OAHLLOKOSA-N 1 2 287.407 3.648 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1coc(C(C)(C)C)n1 ZINC000929862528 1128291147 /nfs/dbraw/zinc/29/11/47/1128291147.db2.gz YFALLAQNWBCYRM-OAHLLOKOSA-N 1 2 287.407 3.648 20 0 CHADLO CC(C)[C@H](C)[N@H+](C)Cn1nc(-c2cccs2)oc1=S ZINC000915210027 1128291956 /nfs/dbraw/zinc/29/19/56/1128291956.db2.gz YPCXWSRHXMNYHS-JTQLQIEISA-N 1 2 297.449 3.868 20 0 CHADLO CC(C)[C@H](C)[N@@H+](C)Cn1nc(-c2cccs2)oc1=S ZINC000915210027 1128291958 /nfs/dbraw/zinc/29/19/58/1128291958.db2.gz YPCXWSRHXMNYHS-JTQLQIEISA-N 1 2 297.449 3.868 20 0 CHADLO COc1ccc([NH2+][C@H](C)CCc2ccco2)c(OC)c1 ZINC000035450626 1128300795 /nfs/dbraw/zinc/30/07/95/1128300795.db2.gz STCCKUABPSHKLG-GFCCVEGCSA-N 1 2 275.348 3.730 20 0 CHADLO CN(C)c1cc(CSc2cc(F)ccc2F)cc[nH+]1 ZINC000762468005 1128301153 /nfs/dbraw/zinc/30/11/53/1128301153.db2.gz OPBBMYUXGQQZOZ-UHFFFAOYSA-N 1 2 280.343 3.718 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1c(F)cncc1F)C(C)C ZINC000929981021 1128296389 /nfs/dbraw/zinc/29/63/89/1128296389.db2.gz MXANEPUCVPOQFB-HNNXBMFYSA-N 1 2 291.345 3.550 20 0 CHADLO CN(C)c1cc(CSc2ccnc3ccccc32)cc[nH+]1 ZINC000762475765 1128301913 /nfs/dbraw/zinc/30/19/13/1128301913.db2.gz SWMVJNLYYOZSNV-UHFFFAOYSA-N 1 2 295.411 3.988 20 0 CHADLO C[C@@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)[C@H]2CCCC[C@H]12 ZINC000930182619 1128304109 /nfs/dbraw/zinc/30/41/09/1128304109.db2.gz PHYNFTDNGGUWKR-MRRJBJDNSA-N 1 2 297.402 3.604 20 0 CHADLO COc1nnc(C[NH2+][C@@H](C)c2cccc3ccccc32)s1 ZINC000930184202 1128304507 /nfs/dbraw/zinc/30/45/07/1128304507.db2.gz XHAUMDRJGZQABB-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO CCc1ncc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)o1 ZINC000930491987 1128321787 /nfs/dbraw/zinc/32/17/87/1128321787.db2.gz ALORTFZTHFAPDF-AWEZNQCLSA-N 1 2 276.405 3.882 20 0 CHADLO CCc1ncc(C[N@H+]2CC(C)(C)[C@@H]2c2cccs2)o1 ZINC000930491987 1128321791 /nfs/dbraw/zinc/32/17/91/1128321791.db2.gz ALORTFZTHFAPDF-AWEZNQCLSA-N 1 2 276.405 3.882 20 0 CHADLO C[C@H](c1ccco1)N(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CC1 ZINC000930515627 1128323178 /nfs/dbraw/zinc/32/31/78/1128323178.db2.gz PGRZIYMWRSIZCD-LLVKDONJSA-N 1 2 295.342 3.522 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC=C(c3ccccn3)C2)co1 ZINC000930571574 1128326747 /nfs/dbraw/zinc/32/67/47/1128326747.db2.gz LBRPKPTTYFCJQV-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC=C(c3ccccn3)C2)co1 ZINC000930571574 1128326751 /nfs/dbraw/zinc/32/67/51/1128326751.db2.gz LBRPKPTTYFCJQV-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H](F)CC2)c(F)c(F)c1F ZINC001235342289 1128324856 /nfs/dbraw/zinc/32/48/56/1128324856.db2.gz QPQDDTFDCWVELT-VIFPVBQESA-N 1 2 279.252 3.567 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H](F)CC2)c(F)c(F)c1F ZINC001235342289 1128324859 /nfs/dbraw/zinc/32/48/59/1128324859.db2.gz QPQDDTFDCWVELT-VIFPVBQESA-N 1 2 279.252 3.567 20 0 CHADLO Cc1cccnc1SCc1c[nH+]cn1Cc1ccccc1 ZINC000561524173 1128334702 /nfs/dbraw/zinc/33/47/02/1128334702.db2.gz RPGRGUDXOIKIJD-UHFFFAOYSA-N 1 2 295.411 3.927 20 0 CHADLO COc1ccc(OC(F)(F)F)cc1CNc1cccc[nH+]1 ZINC001168496950 1128329123 /nfs/dbraw/zinc/32/91/23/1128329123.db2.gz WTPNDFXYSZURPC-UHFFFAOYSA-N 1 2 298.264 3.601 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)c1ncccn1)c1ccccc1F ZINC000930968937 1128341699 /nfs/dbraw/zinc/34/16/99/1128341699.db2.gz NEANYFROGZFBIS-IUODEOHRSA-N 1 2 273.355 3.664 20 0 CHADLO C[C@H]1CCC[C@H](OC(=O)c2cccc(Cn3cc[nH+]c3)c2)C1 ZINC000916302001 1128415652 /nfs/dbraw/zinc/41/56/52/1128415652.db2.gz LTGUCKKFHYAAJF-YOEHRIQHSA-N 1 2 298.386 3.667 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(=O)OC1CCCC1)[C@@H](C)c1ccccc1 ZINC000763289701 1128388906 /nfs/dbraw/zinc/38/89/06/1128388906.db2.gz UFFBYSCYILJYRM-KFWWJZLASA-N 1 2 289.419 3.643 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)c1cccc(F)c1 ZINC000036982271 1128401948 /nfs/dbraw/zinc/40/19/48/1128401948.db2.gz WAXNBWCNXDJQHT-ZDUSSCGKSA-N 1 2 285.366 3.994 20 0 CHADLO Cn1nccc1[C@H]1CCC[N@@H+]1Cc1cccc(F)c1Cl ZINC000932260978 1128401968 /nfs/dbraw/zinc/40/19/68/1128401968.db2.gz MSOWXHGKJFMFRC-CQSZACIVSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@H]1CCC[N@H+]1Cc1cccc(F)c1Cl ZINC000932260978 1128401969 /nfs/dbraw/zinc/40/19/69/1128401969.db2.gz MSOWXHGKJFMFRC-CQSZACIVSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@H]1CCC[N@@H+]1Cc1cc(F)ccc1Cl ZINC000932263480 1128402022 /nfs/dbraw/zinc/40/20/22/1128402022.db2.gz DKECZWPEFGQPQK-OAHLLOKOSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@H]1CCC[N@H+]1Cc1cc(F)ccc1Cl ZINC000932263480 1128402023 /nfs/dbraw/zinc/40/20/23/1128402023.db2.gz DKECZWPEFGQPQK-OAHLLOKOSA-N 1 2 293.773 3.550 20 0 CHADLO Cc1c(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2F)cnn1C ZINC000562499309 1128405636 /nfs/dbraw/zinc/40/56/36/1128405636.db2.gz OPPYKAHQSNWMJM-NSHDSACASA-N 1 2 295.789 3.714 20 0 CHADLO Cc1c(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2F)cnn1C ZINC000562499309 1128405638 /nfs/dbraw/zinc/40/56/38/1128405638.db2.gz OPPYKAHQSNWMJM-NSHDSACASA-N 1 2 295.789 3.714 20 0 CHADLO CCC[C@@]1(C)CCCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000933822071 1128444609 /nfs/dbraw/zinc/44/46/09/1128444609.db2.gz BJVHBHKULKYXCJ-KRWDZBQOSA-N 1 2 285.391 3.605 20 0 CHADLO COc1ncc(C[N@H+](Cc2ccoc2)C2CC2)cc1Cl ZINC000934001350 1128448801 /nfs/dbraw/zinc/44/88/01/1128448801.db2.gz AYOBVNLPNJGUFE-UHFFFAOYSA-N 1 2 292.766 3.501 20 0 CHADLO COc1ncc(C[N@@H+](Cc2ccoc2)C2CC2)cc1Cl ZINC000934001350 1128448803 /nfs/dbraw/zinc/44/88/03/1128448803.db2.gz AYOBVNLPNJGUFE-UHFFFAOYSA-N 1 2 292.766 3.501 20 0 CHADLO CCC[C@@](C)([NH2+]Cc1nnc(C2CC2)o1)c1ccccc1 ZINC000934187659 1128453773 /nfs/dbraw/zinc/45/37/73/1128453773.db2.gz XPHSFMMQJNRHIK-QGZVFWFLSA-N 1 2 285.391 3.752 20 0 CHADLO C[C@@H]1CSCCC[N@@H+]1Cc1ncc(Cl)cc1Cl ZINC000934305569 1128457843 /nfs/dbraw/zinc/45/78/43/1128457843.db2.gz ZBDOCGZRULPXAE-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CSCCC[N@H+]1Cc1ncc(Cl)cc1Cl ZINC000934305569 1128457844 /nfs/dbraw/zinc/45/78/44/1128457844.db2.gz ZBDOCGZRULPXAE-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ncc[nH]2)c2ccccc2)c(C)c1 ZINC000126062420 1128460686 /nfs/dbraw/zinc/46/06/86/1128460686.db2.gz JNZLXYOLXSTZFZ-SFHVURJKSA-N 1 2 291.398 3.906 20 0 CHADLO COc1cc([C@@H](C)[NH2+]Cc2ncc(C)o2)cc2ccccc21 ZINC000934631840 1128465035 /nfs/dbraw/zinc/46/50/35/1128465035.db2.gz YLFADZLNIOFAAC-CYBMUJFWSA-N 1 2 296.370 3.996 20 0 CHADLO COc1cc([C@H](C)[NH2+]Cc2cnsn2)cc2ccccc21 ZINC000934631270 1128465082 /nfs/dbraw/zinc/46/50/82/1128465082.db2.gz SMDGNQAQSQWWTG-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO CCCCC[C@@H]1CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934916619 1128473706 /nfs/dbraw/zinc/47/37/06/1128473706.db2.gz LVZGLQPVVYDYIV-CQSZACIVSA-N 1 2 285.391 3.748 20 0 CHADLO CNc1ccc(Nc2ccc(F)cc2Br)c[nH+]1 ZINC001203454649 1128480532 /nfs/dbraw/zinc/48/05/32/1128480532.db2.gz IONAYKUWLGAYEU-UHFFFAOYSA-N 1 2 296.143 3.769 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(C(C)(C)C)on2)c1 ZINC000563780740 1128478638 /nfs/dbraw/zinc/47/86/38/1128478638.db2.gz MPAZEPNUBOCCJM-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(C(C)(C)C)on2)c1 ZINC000563780740 1128478640 /nfs/dbraw/zinc/47/86/40/1128478640.db2.gz MPAZEPNUBOCCJM-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO CCC[NH+](CCC)Cn1nc(-c2ccccc2)oc1=S ZINC000935190938 1128482209 /nfs/dbraw/zinc/48/22/09/1128482209.db2.gz CQXCQNYQKLLSNC-UHFFFAOYSA-N 1 2 291.420 3.952 20 0 CHADLO CCc1onc(C)c1C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000929967291 1128489390 /nfs/dbraw/zinc/48/93/90/1128489390.db2.gz WZFVUPPVMCWEDB-UHFFFAOYSA-N 1 2 295.386 3.585 20 0 CHADLO CCc1onc(C)c1C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000929967291 1128489391 /nfs/dbraw/zinc/48/93/91/1128489391.db2.gz WZFVUPPVMCWEDB-UHFFFAOYSA-N 1 2 295.386 3.585 20 0 CHADLO Cc1nn(C[N@H+](C2CC2)C2CCC(C)CC2)c(=S)s1 ZINC000917436355 1128499284 /nfs/dbraw/zinc/49/92/84/1128499284.db2.gz BSFCLLRSQQOODW-UHFFFAOYSA-N 1 2 297.493 3.983 20 0 CHADLO Cc1nn(C[N@@H+](C2CC2)C2CCC(C)CC2)c(=S)s1 ZINC000917436355 1128499286 /nfs/dbraw/zinc/49/92/86/1128499286.db2.gz BSFCLLRSQQOODW-UHFFFAOYSA-N 1 2 297.493 3.983 20 0 CHADLO C[N@H+](Cc1nnc(C(C)(C)C)[nH]1)[C@H]1CCCc2ccccc21 ZINC000564770987 1128515610 /nfs/dbraw/zinc/51/56/10/1128515610.db2.gz CCVVDVRBKNXHPP-HNNXBMFYSA-N 1 2 298.434 3.612 20 0 CHADLO C[N@@H+](Cc1nnc(C(C)(C)C)[nH]1)[C@H]1CCCc2ccccc21 ZINC000564770987 1128515613 /nfs/dbraw/zinc/51/56/13/1128515613.db2.gz CCVVDVRBKNXHPP-HNNXBMFYSA-N 1 2 298.434 3.612 20 0 CHADLO Cc1ccccc1-c1nc(C[N@@H+]2CCCC(C)(C)C2)no1 ZINC000128815314 1128517709 /nfs/dbraw/zinc/51/77/09/1128517709.db2.gz FBSUHULXEOMQIH-UHFFFAOYSA-N 1 2 285.391 3.667 20 0 CHADLO Cc1ccccc1-c1nc(C[N@H+]2CCCC(C)(C)C2)no1 ZINC000128815314 1128517713 /nfs/dbraw/zinc/51/77/13/1128517713.db2.gz FBSUHULXEOMQIH-UHFFFAOYSA-N 1 2 285.391 3.667 20 0 CHADLO Cc1ccc([C@H](C)N(C)C(=O)C[C@H](C)n2cc[nH+]c2)c(C)c1 ZINC000565042945 1128519004 /nfs/dbraw/zinc/51/90/04/1128519004.db2.gz DMYQQNBSDGTNGF-HOTGVXAUSA-N 1 2 299.418 3.671 20 0 CHADLO CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)c1cc(C)cc(C)c1 ZINC000565052802 1128519281 /nfs/dbraw/zinc/51/92/81/1128519281.db2.gz CEAPTVAVIXFKHB-OAHLLOKOSA-N 1 2 285.391 3.504 20 0 CHADLO C[C@@H](NC(=O)C[C@H](C)n1cc[nH+]c1)c1cccc(C2CC2)c1 ZINC000565048411 1128519557 /nfs/dbraw/zinc/51/95/57/1128519557.db2.gz LWHQXQZBNNPMFO-UONOGXRCSA-N 1 2 297.402 3.589 20 0 CHADLO CCCCOCC[N@@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000565257652 1128523336 /nfs/dbraw/zinc/52/33/36/1128523336.db2.gz AEHYEYUOEDAOJO-INIZCTEOSA-N 1 2 283.362 3.513 20 0 CHADLO CCCCOCC[N@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000565257652 1128523340 /nfs/dbraw/zinc/52/33/40/1128523340.db2.gz AEHYEYUOEDAOJO-INIZCTEOSA-N 1 2 283.362 3.513 20 0 CHADLO Cc1nnsc1C[N@@H+]1CCC[C@H]1c1cccc(Cl)c1 ZINC000566302495 1128541730 /nfs/dbraw/zinc/54/17/30/1128541730.db2.gz YZBDEAMHTVYUJV-ZDUSSCGKSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1nnsc1C[N@H+]1CCC[C@H]1c1cccc(Cl)c1 ZINC000566302495 1128541732 /nfs/dbraw/zinc/54/17/32/1128541732.db2.gz YZBDEAMHTVYUJV-ZDUSSCGKSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1[nH]c(CNc2cccc(N3CCC(C)CC3)c2)[nH+]c1C ZINC000566496434 1128545493 /nfs/dbraw/zinc/54/54/93/1128545493.db2.gz HPCVGAUKNFQOPS-UHFFFAOYSA-N 1 2 298.434 3.875 20 0 CHADLO CC(C)(C)c1cn(Cc2cnc(-c3ccccc3)o2)c[nH+]1 ZINC000918475359 1128547019 /nfs/dbraw/zinc/54/70/19/1128547019.db2.gz VMTBBOCXUPNDTR-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H](C)c2cccnc2)c2ccccn21 ZINC000567507951 1128566030 /nfs/dbraw/zinc/56/60/30/1128566030.db2.gz WOPLWZUQLLZNAB-AWEZNQCLSA-N 1 2 294.402 3.704 20 0 CHADLO CC[C@H]1CCCC[C@H]1C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001127454921 1128581630 /nfs/dbraw/zinc/58/16/30/1128581630.db2.gz VKBMSKQMRBNGRL-UONOGXRCSA-N 1 2 289.423 3.611 20 0 CHADLO Cc1[nH]c(CNc2cc(C)c(Cl)c(C)c2)[nH+]c1C ZINC000568414331 1128584595 /nfs/dbraw/zinc/58/45/95/1128584595.db2.gz ILUOVEZYCWTHLF-UHFFFAOYSA-N 1 2 263.772 3.909 20 0 CHADLO CCN(C)c1ccc(Nc2ccnc(Cl)c2Cl)c[nH+]1 ZINC001212237754 1128587364 /nfs/dbraw/zinc/58/73/64/1128587364.db2.gz FGUMIEQTMNIWQD-UHFFFAOYSA-N 1 2 297.189 3.983 20 0 CHADLO CC[C@H](C)CCc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000569271263 1128602963 /nfs/dbraw/zinc/60/29/63/1128602963.db2.gz SYMXDFXFSPWKQZ-ZDUSSCGKSA-N 1 2 296.374 3.901 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cc(F)c(F)cc1F ZINC000131134737 1128606383 /nfs/dbraw/zinc/60/63/83/1128606383.db2.gz SLRQVTWQINWZQS-VIFPVBQESA-N 1 2 269.266 3.890 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cc(F)c(F)cc1F ZINC000131134737 1128606386 /nfs/dbraw/zinc/60/63/86/1128606386.db2.gz SLRQVTWQINWZQS-VIFPVBQESA-N 1 2 269.266 3.890 20 0 CHADLO C[C@@H](C[N@@H+]1CCc2sc(Cl)cc2C1)C(F)(F)F ZINC000569720168 1128608455 /nfs/dbraw/zinc/60/84/55/1128608455.db2.gz QTJFRVQGZYMKNZ-ZETCQYMHSA-N 1 2 283.746 3.958 20 0 CHADLO C[C@@H](C[N@H+]1CCc2sc(Cl)cc2C1)C(F)(F)F ZINC000569720168 1128608456 /nfs/dbraw/zinc/60/84/56/1128608456.db2.gz QTJFRVQGZYMKNZ-ZETCQYMHSA-N 1 2 283.746 3.958 20 0 CHADLO C=CC[N@@H+](C)Cn1cc(C(F)(F)F)cc(Cl)c1=S ZINC000155031540 1128617818 /nfs/dbraw/zinc/61/78/18/1128617818.db2.gz LZUVIONFOCTZKK-UHFFFAOYSA-N 1 2 296.745 3.965 20 0 CHADLO C=CC[N@H+](C)Cn1cc(C(F)(F)F)cc(Cl)c1=S ZINC000155031540 1128617822 /nfs/dbraw/zinc/61/78/22/1128617822.db2.gz LZUVIONFOCTZKK-UHFFFAOYSA-N 1 2 296.745 3.965 20 0 CHADLO Cc1cc(NC(=O)c2cc3c(s2)CCCC3)cc[nH+]1 ZINC000072709904 1128629331 /nfs/dbraw/zinc/62/93/31/1128629331.db2.gz KVWPQPLDSSKCPD-UHFFFAOYSA-N 1 2 272.373 3.583 20 0 CHADLO CC(C)(C)c1cnc(COc2cccc(-n3cc[nH+]c3)c2)o1 ZINC000131695315 1128633723 /nfs/dbraw/zinc/63/37/23/1128633723.db2.gz YLBKKUYIABXQPZ-UHFFFAOYSA-N 1 2 297.358 3.737 20 0 CHADLO Cc1cc(NC(=O)c2csc3ccccc23)cc[nH+]1 ZINC000073170770 1128640138 /nfs/dbraw/zinc/64/01/38/1128640138.db2.gz HMBUTNLBCRYTDY-UHFFFAOYSA-N 1 2 268.341 3.857 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2CF)c(OC(F)F)cc1C ZINC000556950724 1128651057 /nfs/dbraw/zinc/65/10/57/1128651057.db2.gz NXEOXFODDMQVBD-CYBMUJFWSA-N 1 2 287.325 3.839 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2CF)c(OC(F)F)cc1C ZINC000556950724 1128651060 /nfs/dbraw/zinc/65/10/60/1128651060.db2.gz NXEOXFODDMQVBD-CYBMUJFWSA-N 1 2 287.325 3.839 20 0 CHADLO CC(C)=CC[N@@H+]1CCO[C@H](c2ccc(OC(F)F)cc2)C1 ZINC000499247220 1128665402 /nfs/dbraw/zinc/66/54/02/1128665402.db2.gz XJLWELKURDQABR-HNNXBMFYSA-N 1 2 297.345 3.628 20 0 CHADLO CC(C)=CC[N@H+]1CCO[C@H](c2ccc(OC(F)F)cc2)C1 ZINC000499247220 1128665404 /nfs/dbraw/zinc/66/54/04/1128665404.db2.gz XJLWELKURDQABR-HNNXBMFYSA-N 1 2 297.345 3.628 20 0 CHADLO Cc1cc2c(cc1C)[C@@H]([NH2+]Cc1ccon1)CCS2 ZINC000779026640 1128688940 /nfs/dbraw/zinc/68/89/40/1128688940.db2.gz DAAKKRLWVMQLGF-AWEZNQCLSA-N 1 2 274.389 3.618 20 0 CHADLO C[N@@H+](Cc1ccc(C(C)(C)C)cc1)Cn1cc(Cl)cn1 ZINC000921185634 1128702702 /nfs/dbraw/zinc/70/27/02/1128702702.db2.gz YZPHWSYRCBBFQJ-UHFFFAOYSA-N 1 2 291.826 3.924 20 0 CHADLO C[N@H+](Cc1ccc(C(C)(C)C)cc1)Cn1cc(Cl)cn1 ZINC000921185634 1128702704 /nfs/dbraw/zinc/70/27/04/1128702704.db2.gz YZPHWSYRCBBFQJ-UHFFFAOYSA-N 1 2 291.826 3.924 20 0 CHADLO CSC1CCN(c2[nH+]ccc3ccccc32)CC1 ZINC000601205826 1128704398 /nfs/dbraw/zinc/70/43/98/1128704398.db2.gz JILLRDHWYMMASD-UHFFFAOYSA-N 1 2 258.390 3.567 20 0 CHADLO Cc1cc(-c2ccc(N)[nH+]c2)cc(C(F)(F)F)c1 ZINC001236313340 1128713461 /nfs/dbraw/zinc/71/34/61/1128713461.db2.gz OOSAXKVZTLCINY-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1cnc2ccccc2c1 ZINC000799175958 1128727845 /nfs/dbraw/zinc/72/78/45/1128727845.db2.gz YTIDDSWRZATIES-UHFFFAOYSA-N 1 2 297.383 3.537 20 0 CHADLO CC[C@H](NCc1[nH]c(C)c(C)[nH+]1)c1cc(F)ccc1F ZINC000578767169 1128728432 /nfs/dbraw/zinc/72/84/32/1128728432.db2.gz PRRRYAOAQQLFDF-AWEZNQCLSA-N 1 2 279.334 3.546 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)c(C)[nH]1)c1cc(F)ccc1F ZINC000578767169 1128728435 /nfs/dbraw/zinc/72/84/35/1128728435.db2.gz PRRRYAOAQQLFDF-AWEZNQCLSA-N 1 2 279.334 3.546 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cc(F)c(F)cc2F)s1 ZINC000136294043 1128729893 /nfs/dbraw/zinc/72/98/93/1128729893.db2.gz BRPMBVXVZHOTET-MRVPVSSYSA-N 1 2 286.322 3.720 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1cnc(Cl)s1 ZINC000175454001 1128730460 /nfs/dbraw/zinc/73/04/60/1128730460.db2.gz QWDZMDUAIFMTNO-SNVBAGLBSA-N 1 2 278.808 3.743 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1cnc(Cl)s1 ZINC000175454001 1128730462 /nfs/dbraw/zinc/73/04/62/1128730462.db2.gz QWDZMDUAIFMTNO-SNVBAGLBSA-N 1 2 278.808 3.743 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cccc(Cl)c2)no1 ZINC000044590043 1117751365 /nfs/dbraw/zinc/75/13/65/1117751365.db2.gz UDOIYGBGOUYLAW-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cccc(Cl)c2)no1 ZINC000044590043 1117751370 /nfs/dbraw/zinc/75/13/70/1117751370.db2.gz UDOIYGBGOUYLAW-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1noc2c1CCCC2 ZINC000683098164 1117765567 /nfs/dbraw/zinc/76/55/67/1117765567.db2.gz ZWGQPUFEOWBRKK-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1noc2c1CCCC2 ZINC000683098164 1117765570 /nfs/dbraw/zinc/76/55/70/1117765570.db2.gz ZWGQPUFEOWBRKK-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2ccc(Cl)cn2)s1 ZINC000579764577 1117782656 /nfs/dbraw/zinc/78/26/56/1117782656.db2.gz WJHKKSNZKDIUTN-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO C[N@H+](Cc1nc(C(C)(C)C)no1)C(C)(C)c1ccccc1 ZINC000671246834 1117784691 /nfs/dbraw/zinc/78/46/91/1117784691.db2.gz OZSDSJNQGOSTNI-UHFFFAOYSA-N 1 2 287.407 3.734 20 0 CHADLO C[N@@H+](Cc1nc(C(C)(C)C)no1)C(C)(C)c1ccccc1 ZINC000671246834 1117784695 /nfs/dbraw/zinc/78/46/95/1117784695.db2.gz OZSDSJNQGOSTNI-UHFFFAOYSA-N 1 2 287.407 3.734 20 0 CHADLO COCc1nc(C[N@H+](C)C(C)(C)c2ccccc2)cs1 ZINC000671246545 1117784787 /nfs/dbraw/zinc/78/47/87/1117784787.db2.gz UKKUFSXLJANNIJ-UHFFFAOYSA-N 1 2 290.432 3.657 20 0 CHADLO COCc1nc(C[N@@H+](C)C(C)(C)c2ccccc2)cs1 ZINC000671246545 1117784790 /nfs/dbraw/zinc/78/47/90/1117784790.db2.gz UKKUFSXLJANNIJ-UHFFFAOYSA-N 1 2 290.432 3.657 20 0 CHADLO CC/C=C\CCC[N@@H+](CC(=O)OCC)Cc1ccsc1 ZINC001208137967 1117817602 /nfs/dbraw/zinc/81/76/02/1117817602.db2.gz LPZPVANEBDFMJU-WAYWQWQTSA-N 1 2 295.448 3.860 20 0 CHADLO CC/C=C\CCC[N@H+](CC(=O)OCC)Cc1ccsc1 ZINC001208137967 1117817611 /nfs/dbraw/zinc/81/76/11/1117817611.db2.gz LPZPVANEBDFMJU-WAYWQWQTSA-N 1 2 295.448 3.860 20 0 CHADLO CCCn1nc(C)c(-c2cc(C)cn3cc[nH+]c23)c1C ZINC000623169069 1117820998 /nfs/dbraw/zinc/82/09/98/1117820998.db2.gz GICUNNQVTBVOBG-UHFFFAOYSA-N 1 2 268.364 3.533 20 0 CHADLO COc1ccc(F)cc1C[NH2+]Cc1c(F)cc(F)cc1F ZINC000339521137 1117821921 /nfs/dbraw/zinc/82/19/21/1117821921.db2.gz KAGCGMZEOAVHCL-UHFFFAOYSA-N 1 2 299.267 3.541 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc3[nH+]ccn3c2)c(Cl)c1 ZINC000683178198 1117837117 /nfs/dbraw/zinc/83/71/17/1117837117.db2.gz UMHXYMWVFWYINK-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO C[C@@H]([NH2+]Cc1ccn(C(F)F)n1)c1ccccc1Cl ZINC000339555687 1117852345 /nfs/dbraw/zinc/85/23/45/1117852345.db2.gz MWPBGMNIGARIHX-SECBINFHSA-N 1 2 285.725 3.782 20 0 CHADLO Cc1cnn(CC2CCC2)c1Nc1c[nH+]c(C)cc1C ZINC001213510489 1117862036 /nfs/dbraw/zinc/86/20/36/1117862036.db2.gz DAOKCBUKESOHCD-UHFFFAOYSA-N 1 2 270.380 3.747 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccoc1 ZINC000177846697 1117881630 /nfs/dbraw/zinc/88/16/30/1117881630.db2.gz IPYIQOCJQIFRKW-JTQLQIEISA-N 1 2 264.394 3.884 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1csc(C2CC2)n1 ZINC000179542720 1117907014 /nfs/dbraw/zinc/90/70/14/1117907014.db2.gz DJRBJKCNHHDXNW-LBPRGKRZSA-N 1 2 284.428 3.967 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1csc(C2CC2)n1 ZINC000179542720 1117907016 /nfs/dbraw/zinc/90/70/16/1117907016.db2.gz DJRBJKCNHHDXNW-LBPRGKRZSA-N 1 2 284.428 3.967 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H](C)[C@H]2c2ccc(C)cc2)no1 ZINC000157680634 1117908921 /nfs/dbraw/zinc/90/89/21/1117908921.db2.gz QNYSKGVPFCWGTC-GUYCJALGSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H](C)[C@H]2c2ccc(C)cc2)no1 ZINC000157680634 1117908926 /nfs/dbraw/zinc/90/89/26/1117908926.db2.gz QNYSKGVPFCWGTC-GUYCJALGSA-N 1 2 285.391 3.660 20 0 CHADLO CC(C)C[C@@H](C)C[C@H](C)N1CC([NH+]2CCC(F)CC2)C1 ZINC001258076490 1128743600 /nfs/dbraw/zinc/74/36/00/1128743600.db2.gz ZYSJSGWMZLIQSV-CABCVRRESA-N 1 2 284.463 3.565 20 0 CHADLO Cc1ccc(Nc2nc(C[N@@H+](C)C3CC3)cs2)cc1 ZINC000046601634 1117931384 /nfs/dbraw/zinc/93/13/84/1117931384.db2.gz NFBGRNRGWJFLIV-UHFFFAOYSA-N 1 2 273.405 3.789 20 0 CHADLO Cc1ccc(Nc2nc(C[N@H+](C)C3CC3)cs2)cc1 ZINC000046601634 1117931388 /nfs/dbraw/zinc/93/13/88/1117931388.db2.gz NFBGRNRGWJFLIV-UHFFFAOYSA-N 1 2 273.405 3.789 20 0 CHADLO CCc1ccc(NC(=O)C[N@@H+]2CC[C@@H]2c2ccccc2)cc1 ZINC000471185100 1117935302 /nfs/dbraw/zinc/93/53/02/1117935302.db2.gz FDWZBZFDRQNDRA-GOSISDBHSA-N 1 2 294.398 3.635 20 0 CHADLO CCc1ccc(NC(=O)C[N@H+]2CC[C@@H]2c2ccccc2)cc1 ZINC000471185100 1117935305 /nfs/dbraw/zinc/93/53/05/1117935305.db2.gz FDWZBZFDRQNDRA-GOSISDBHSA-N 1 2 294.398 3.635 20 0 CHADLO CC1=CC[N@H+](Cc2csc(Br)c2)CC1 ZINC000339626408 1117941656 /nfs/dbraw/zinc/94/16/56/1117941656.db2.gz BDFWGBWNHLLPOH-UHFFFAOYSA-N 1 2 272.211 3.663 20 0 CHADLO CC1=CC[N@@H+](Cc2csc(Br)c2)CC1 ZINC000339626408 1117941659 /nfs/dbraw/zinc/94/16/59/1117941659.db2.gz BDFWGBWNHLLPOH-UHFFFAOYSA-N 1 2 272.211 3.663 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2ccc(C(C)C)cc2)C(C)C)no1 ZINC000154350296 1117940262 /nfs/dbraw/zinc/94/02/62/1117940262.db2.gz XNTRDPVFJSPZNP-QGZVFWFLSA-N 1 2 287.407 3.988 20 0 CHADLO CC1=CC[N@H+](Cc2ncc(-c3cccs3)o2)CC1 ZINC000339625252 1117940277 /nfs/dbraw/zinc/94/02/77/1117940277.db2.gz YTVATWRDLPTVJG-UHFFFAOYSA-N 1 2 260.362 3.555 20 0 CHADLO CC1=CC[N@@H+](Cc2ncc(-c3cccs3)o2)CC1 ZINC000339625252 1117940280 /nfs/dbraw/zinc/94/02/80/1117940280.db2.gz YTVATWRDLPTVJG-UHFFFAOYSA-N 1 2 260.362 3.555 20 0 CHADLO c1nc2c(s1)CCC[C@H]2[NH2+][C@@H]1CSc2ccccc21 ZINC000623895479 1117949928 /nfs/dbraw/zinc/94/99/28/1117949928.db2.gz ROGPNBVWOVTGKA-VXGBXAGGSA-N 1 2 288.441 3.957 20 0 CHADLO Cc1ccnc(C[N@@H+]2C[C@H](C)C[C@H]2c2cccc(F)c2)n1 ZINC000339661112 1117950609 /nfs/dbraw/zinc/95/06/09/1117950609.db2.gz QZKHIJZEOXFNHE-WBMJQRKESA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@H+]2C[C@H](C)C[C@H]2c2cccc(F)c2)n1 ZINC000339661112 1117950612 /nfs/dbraw/zinc/95/06/12/1117950612.db2.gz QZKHIJZEOXFNHE-WBMJQRKESA-N 1 2 285.366 3.507 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1ncn(C)n1)c1cc(C)sc1C ZINC000623965457 1117962969 /nfs/dbraw/zinc/96/29/69/1117962969.db2.gz JNKIZTXZYJSIKW-SMDDNHRTSA-N 1 2 292.452 3.685 20 0 CHADLO CCSc1cc[nH+]c(N(C)C[C@@H]2CC2(C)C)c1 ZINC001167090383 1117970842 /nfs/dbraw/zinc/97/08/42/1117970842.db2.gz QMOMCQUQHDPTQN-NSHDSACASA-N 1 2 250.411 3.676 20 0 CHADLO COc1ccc(F)cc1C[N@H+](Cc1ccco1)C1CC1 ZINC000074113066 1117971105 /nfs/dbraw/zinc/97/11/05/1117971105.db2.gz WLTZMYBZIJECLO-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO COc1ccc(F)cc1C[N@@H+](Cc1ccco1)C1CC1 ZINC000074113066 1117971110 /nfs/dbraw/zinc/97/11/10/1117971110.db2.gz WLTZMYBZIJECLO-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO Cc1cccn2c(CNc3cnc(Cl)cc3C)c[nH+]c12 ZINC000186054470 1117979540 /nfs/dbraw/zinc/97/95/40/1117979540.db2.gz UUUAEHYWHHLXMX-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO COC(=O)c1ccc2cc[nH+]c(N(C)C[C@H]3CC3(C)C)c2c1 ZINC001167093159 1117980057 /nfs/dbraw/zinc/98/00/57/1117980057.db2.gz XSHKFOTWHBZBGB-CQSZACIVSA-N 1 2 298.386 3.504 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1cccc(OC(F)F)c1 ZINC000624148088 1117989394 /nfs/dbraw/zinc/98/93/94/1117989394.db2.gz UZCHKUSSPWAQMP-SECBINFHSA-N 1 2 279.277 3.984 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+]CC(F)(F)CC)cc1 ZINC000624146919 1117992190 /nfs/dbraw/zinc/99/21/90/1117992190.db2.gz OBVDSFYRSCYPQV-NSHDSACASA-N 1 2 257.324 3.781 20 0 CHADLO CC1=C[C@H](C)C[N@@H+]([C@@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000430284249 1117994025 /nfs/dbraw/zinc/99/40/25/1117994025.db2.gz LCIBWSXYEGAZRH-AAEUAGOBSA-N 1 2 292.810 3.565 20 0 CHADLO CC1=C[C@H](C)C[N@H+]([C@@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000430284249 1117994028 /nfs/dbraw/zinc/99/40/28/1117994028.db2.gz LCIBWSXYEGAZRH-AAEUAGOBSA-N 1 2 292.810 3.565 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc([NH+](C)C)cc2)cc1 ZINC000036335425 1118010451 /nfs/dbraw/zinc/01/04/51/1118010451.db2.gz YDFMAXXPBVWQQL-CYBMUJFWSA-N 1 2 270.376 3.934 20 0 CHADLO Cc1ccc(CCCSCCn2cc[nH+]c2)cc1 ZINC000182149504 1118014958 /nfs/dbraw/zinc/01/49/58/1118014958.db2.gz FBAUXTDPBYRIQA-UHFFFAOYSA-N 1 2 260.406 3.558 20 0 CHADLO Cc1nc(CNc2[nH+]c3cc(Cl)ccc3n2C)cs1 ZINC000182191464 1118015776 /nfs/dbraw/zinc/01/57/76/1118015776.db2.gz IODJLVUPXCIABT-UHFFFAOYSA-N 1 2 292.795 3.604 20 0 CHADLO CC(C)(c1noc([C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1)C1=CCCCC1 ZINC000924040598 1118016039 /nfs/dbraw/zinc/01/60/39/1118016039.db2.gz FDMYBPHJIJEQSD-CHWSQXEVSA-N 1 2 298.390 3.842 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1ccc(F)cc1Cl ZINC001204436758 1118016198 /nfs/dbraw/zinc/01/61/98/1118016198.db2.gz OCGWZNZJJCKUHR-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1ccc(F)cc1Cl ZINC001204436758 1118016202 /nfs/dbraw/zinc/01/62/02/1118016202.db2.gz OCGWZNZJJCKUHR-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO COc1ccccc1[C@H](C)N(C)c1cc(N)cc(Cl)[nH+]1 ZINC001167106196 1118021907 /nfs/dbraw/zinc/02/19/07/1118021907.db2.gz OXUYOZWRFXXVKW-JTQLQIEISA-N 1 2 291.782 3.523 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@@H](C)C1CCCC1 ZINC000182610368 1118025631 /nfs/dbraw/zinc/02/56/31/1118025631.db2.gz VRKWDHRCTGRQRI-HNNXBMFYSA-N 1 2 288.435 3.822 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ncc(C(C)C)s2)cc1 ZINC000182948294 1118033739 /nfs/dbraw/zinc/03/37/39/1118033739.db2.gz NJFZYYLXTCYMQR-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ncc(C(C)C)s2)cc1 ZINC000182948294 1118033744 /nfs/dbraw/zinc/03/37/44/1118033744.db2.gz NJFZYYLXTCYMQR-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)c1ccc(Cl)cc1 ZINC000047514876 1118035029 /nfs/dbraw/zinc/03/50/29/1118035029.db2.gz QWIJMBLOBUIMRJ-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO c1ccc(C2([NH2+]Cc3nc(C4CC4)no3)CCCC2)cc1 ZINC000183539558 1118046204 /nfs/dbraw/zinc/04/62/04/1118046204.db2.gz WXYCAPKMEBOIGY-UHFFFAOYSA-N 1 2 283.375 3.506 20 0 CHADLO CC[C@H](c1ccccc1)N(C)c1ccc(Cl)c(N)[nH+]1 ZINC001167131512 1118048748 /nfs/dbraw/zinc/04/87/48/1118048748.db2.gz HVJIXEZYXCQWPA-CYBMUJFWSA-N 1 2 275.783 3.905 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183718926 1118048777 /nfs/dbraw/zinc/04/87/77/1118048777.db2.gz KDYUVDVBVAALMK-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183718926 1118048782 /nfs/dbraw/zinc/04/87/82/1118048782.db2.gz KDYUVDVBVAALMK-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO Cc1cncc(C[NH2+][C@H](c2ccco2)c2ccccc2)c1 ZINC000183821099 1118049611 /nfs/dbraw/zinc/04/96/11/1118049611.db2.gz AYZQATIZGBCAJA-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO CC(C)N(CC(=O)c1ccccc1)c1cc2[nH]ccc2c[nH+]1 ZINC001167135154 1118051836 /nfs/dbraw/zinc/05/18/36/1118051836.db2.gz WOHJIQREOWEZQX-UHFFFAOYSA-N 1 2 293.370 3.661 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCc2[nH]ncc21)c1ccc(C)cc1 ZINC000367601553 1118055555 /nfs/dbraw/zinc/05/55/55/1118055555.db2.gz OKQNETFPKQTKNA-HZPDHXFCSA-N 1 2 269.392 3.836 20 0 CHADLO COc1cc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)on1 ZINC000660303296 1118059560 /nfs/dbraw/zinc/05/95/60/1118059560.db2.gz QWMWMSVSYPMPRT-UHFFFAOYSA-N 1 2 298.745 3.501 20 0 CHADLO Cc1oncc1C[N@H+](Cc1ccco1)Cc1ccccc1 ZINC000185171546 1118060936 /nfs/dbraw/zinc/06/09/36/1118060936.db2.gz MZRCQVVDITWTFX-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO Cc1oncc1C[N@@H+](Cc1ccco1)Cc1ccccc1 ZINC000185171546 1118060942 /nfs/dbraw/zinc/06/09/42/1118060942.db2.gz MZRCQVVDITWTFX-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO CC[C@H]1COCC[N@@H+]1Cc1cc(Cl)ccc1SC ZINC001238676097 1118070728 /nfs/dbraw/zinc/07/07/28/1118070728.db2.gz IEHOLUQAXCQXCS-ZDUSSCGKSA-N 1 2 285.840 3.673 20 0 CHADLO CC[C@H]1COCC[N@H+]1Cc1cc(Cl)ccc1SC ZINC001238676097 1118070733 /nfs/dbraw/zinc/07/07/33/1118070733.db2.gz IEHOLUQAXCQXCS-ZDUSSCGKSA-N 1 2 285.840 3.673 20 0 CHADLO COc1cccc2cc(C[N@H+](C)Cc3nccs3)oc21 ZINC000093582273 1118070847 /nfs/dbraw/zinc/07/08/47/1118070847.db2.gz GQQKXFYGJCYBGV-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO COc1cccc2cc(C[N@@H+](C)Cc3nccs3)oc21 ZINC000093582273 1118070853 /nfs/dbraw/zinc/07/08/53/1118070853.db2.gz GQQKXFYGJCYBGV-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO CCCOc1ccc([C@H](C)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398255776 1118108615 /nfs/dbraw/zinc/10/86/15/1118108615.db2.gz CKHIJFPJEPACEY-RYUDHWBXSA-N 1 2 291.420 3.739 20 0 CHADLO C/C=C(/C=C\C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)CC ZINC000764740104 1118109463 /nfs/dbraw/zinc/10/94/63/1118109463.db2.gz XHYXJOFWERBHEZ-UMQBRQLQSA-N 1 2 281.359 3.723 20 0 CHADLO Cc1sc(C[NH2+][C@H](C)c2ccncc2F)nc1C(C)C ZINC000340234935 1118119341 /nfs/dbraw/zinc/11/93/41/1118119341.db2.gz GZTMLMPZZAHILS-SNVBAGLBSA-N 1 2 293.411 3.960 20 0 CHADLO COc1cccc(-c2ccc(-n3cc[nH+]c3)cc2)c1C ZINC001238984789 1118128735 /nfs/dbraw/zinc/12/87/35/1118128735.db2.gz WDRCRXLLYSNUAW-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO Cc1cc(N)nc(SCc2ccc(CC(C)C)cc2)[nH+]1 ZINC000750950763 1118132158 /nfs/dbraw/zinc/13/21/58/1118132158.db2.gz HMXWKOAGRYIWCT-UHFFFAOYSA-N 1 2 287.432 3.858 20 0 CHADLO C[N@H+](Cc1coc(C(C)(C)C)n1)Cc1ccccc1 ZINC000929210813 1128758273 /nfs/dbraw/zinc/75/82/73/1128758273.db2.gz BSACOSUAJBOLDX-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO C[N@@H+](Cc1coc(C(C)(C)C)n1)Cc1ccccc1 ZINC000929210813 1128758274 /nfs/dbraw/zinc/75/82/74/1128758274.db2.gz BSACOSUAJBOLDX-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO Cc1cc(Nc2ccc(Cl)nc2)ccc1[NH+](C)C ZINC001210040290 1118142211 /nfs/dbraw/zinc/14/22/11/1118142211.db2.gz VPSLRVQUYHPNGD-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc(C(C)(C)C)co2)ccc1F ZINC000921511467 1118146869 /nfs/dbraw/zinc/14/68/69/1118146869.db2.gz CXCGIAZQPLHFQN-UHFFFAOYSA-N 1 2 276.355 3.709 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(F)cc1F)c1cccnc1Cl ZINC000765465695 1118153974 /nfs/dbraw/zinc/15/39/74/1118153974.db2.gz QTYVNVXPQNNRPH-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625268789 1118155418 /nfs/dbraw/zinc/15/54/18/1118155418.db2.gz GVFUUXZBMLWMSK-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625268789 1118155419 /nfs/dbraw/zinc/15/54/19/1118155419.db2.gz GVFUUXZBMLWMSK-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc3ccccc3[nH]2)[C@@H]1c1ccccc1 ZINC000131925650 1118162186 /nfs/dbraw/zinc/16/21/86/1118162186.db2.gz VIFMNUWJHYHAHG-ACJLOTCBSA-N 1 2 277.371 3.756 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc3ccccc3[nH]2)[C@@H]1c1ccccc1 ZINC000131925650 1118162189 /nfs/dbraw/zinc/16/21/89/1118162189.db2.gz VIFMNUWJHYHAHG-ACJLOTCBSA-N 1 2 277.371 3.756 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)[C@@H](C)c2cccs2)n1 ZINC000172012241 1118167431 /nfs/dbraw/zinc/16/74/31/1118167431.db2.gz ZKAXSVYFLJKAJT-LBPRGKRZSA-N 1 2 263.410 3.719 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)[C@@H](C)c2cccs2)n1 ZINC000172012241 1118167433 /nfs/dbraw/zinc/16/74/33/1118167433.db2.gz ZKAXSVYFLJKAJT-LBPRGKRZSA-N 1 2 263.410 3.719 20 0 CHADLO CCCC[N@@H+](Cc1cnc[nH]1)[C@@H](C)c1ccc(C)o1 ZINC000684617740 1118180028 /nfs/dbraw/zinc/18/00/28/1118180028.db2.gz WHBSGGPYTKKWQP-ZDUSSCGKSA-N 1 2 261.369 3.674 20 0 CHADLO CCc1cccc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000024049732 1118181395 /nfs/dbraw/zinc/18/13/95/1118181395.db2.gz SDKDZHLSZLVECR-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCn2ccnc21)c1ccccc1Cl ZINC000655679065 1118182744 /nfs/dbraw/zinc/18/27/44/1118182744.db2.gz XVXDAIPOHYEWLJ-RISCZKNCSA-N 1 2 275.783 3.722 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(C(C)(C)C)c1)c1csnn1 ZINC000660805199 1118203368 /nfs/dbraw/zinc/20/33/68/1118203368.db2.gz AHSMFPYWLARQAP-NSHDSACASA-N 1 2 275.421 3.686 20 0 CHADLO C[C@@H](CF)[NH2+]Cc1oc2ccccc2c1Br ZINC000655773637 1118207498 /nfs/dbraw/zinc/20/74/98/1118207498.db2.gz AYCXBTPRGKKBRM-QMMMGPOBSA-N 1 2 286.144 3.643 20 0 CHADLO Oc1cccc(F)c1Nc1cccc2cc[nH+]cc21 ZINC001210231838 1118214276 /nfs/dbraw/zinc/21/42/76/1118214276.db2.gz RPIHZEGJXXAXBT-UHFFFAOYSA-N 1 2 254.264 3.823 20 0 CHADLO Cc1cccc(C2CC2)c1Nc1c[nH+]c(C)c(N)c1 ZINC001210293247 1118236253 /nfs/dbraw/zinc/23/62/53/1118236253.db2.gz IHSPLACWKOUJGO-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO C=Cc1ccc(CNc2cc(SC)[nH+]c(C)n2)cc1 ZINC001168574336 1118240343 /nfs/dbraw/zinc/24/03/43/1118240343.db2.gz LTVZQXBIEOWMEH-UHFFFAOYSA-N 1 2 271.389 3.762 20 0 CHADLO C=Cc1ccc(CNc2ccc3[nH+]cn(C)c3c2)cc1 ZINC001168574725 1118241086 /nfs/dbraw/zinc/24/10/86/1118241086.db2.gz CAWAEEGVYGTPCC-UHFFFAOYSA-N 1 2 263.344 3.828 20 0 CHADLO Cc1ccsc1C[N@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000409445697 1118242348 /nfs/dbraw/zinc/24/23/48/1118242348.db2.gz MOGRQYNSHHNRRC-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO Cc1ccsc1C[N@@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000409445697 1118242352 /nfs/dbraw/zinc/24/23/52/1118242352.db2.gz MOGRQYNSHHNRRC-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO FC(F)(F)C[C@@H]([NH2+]Cc1cscn1)c1ccccc1 ZINC000070167807 1118252719 /nfs/dbraw/zinc/25/27/19/1118252719.db2.gz YSIBCCYGATZONY-GFCCVEGCSA-N 1 2 286.322 3.926 20 0 CHADLO COc1c(F)cccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001210408399 1118276021 /nfs/dbraw/zinc/27/60/21/1118276021.db2.gz XBSDPAXJYRJKJZ-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Nc1cncc(Nc2cc[nH+]c3cc(Cl)ccc23)c1 ZINC001210437214 1118280020 /nfs/dbraw/zinc/28/00/20/1118280020.db2.gz VNLOXWXNAUZGGB-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000348548239 1118300392 /nfs/dbraw/zinc/30/03/92/1118300392.db2.gz GGCHLLIPKLWABY-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000348548239 1118300396 /nfs/dbraw/zinc/30/03/96/1118300396.db2.gz GGCHLLIPKLWABY-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1oc(C(C)C)cc1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000671721095 1118306179 /nfs/dbraw/zinc/30/61/79/1118306179.db2.gz RNSUUNSGCNZYAH-UHFFFAOYSA-N 1 2 283.331 3.611 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@H](C)c1ccncc1F ZINC000340493622 1118306955 /nfs/dbraw/zinc/30/69/55/1118306955.db2.gz ZEEPTHHYCHNPPG-MNOVXSKESA-N 1 2 292.329 3.780 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2c(F)cc(F)cc2F)o1 ZINC000340501173 1118308540 /nfs/dbraw/zinc/30/85/40/1118308540.db2.gz XTBCWIOOGFWLMH-VIFPVBQESA-N 1 2 269.266 3.856 20 0 CHADLO Clc1cccc(C[N@@H+]2Cc3ccccc3C3(CC3)C2)n1 ZINC000186191885 1118310697 /nfs/dbraw/zinc/31/06/97/1118310697.db2.gz IQBCBMIWVCJXKT-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Clc1cccc(C[N@H+]2Cc3ccccc3C3(CC3)C2)n1 ZINC000186191885 1118310700 /nfs/dbraw/zinc/31/07/00/1118310700.db2.gz IQBCBMIWVCJXKT-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)c1ccncc1)c1nccs1 ZINC000080267077 1118312541 /nfs/dbraw/zinc/31/25/41/1118312541.db2.gz DRTUNTQJGPZYMP-BBRMVZONSA-N 1 2 295.411 3.978 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCC[C@H]1F)c1nc(C(F)(F)F)cs1 ZINC000340522649 1118315584 /nfs/dbraw/zinc/31/55/84/1118315584.db2.gz JGBUEGMDAUTBOU-XLPZGREQSA-N 1 2 282.306 3.703 20 0 CHADLO C[C@H]([NH2+]Cc1cscc1Cl)c1c(F)cncc1F ZINC000671730661 1118317457 /nfs/dbraw/zinc/31/74/57/1118317457.db2.gz FSYQQMAFXBDYSL-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2cscn2)c(C)c1 ZINC000064954393 1118322785 /nfs/dbraw/zinc/32/27/85/1118322785.db2.gz QVTZEKCVGDCTEA-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2cscn2)c(C)c1 ZINC000064954393 1118322787 /nfs/dbraw/zinc/32/27/87/1118322787.db2.gz QVTZEKCVGDCTEA-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CC[C@@H]3[C@H](C2)C3(F)F)c(C)[nH+]1 ZINC001125404793 1118329114 /nfs/dbraw/zinc/32/91/14/1118329114.db2.gz SGSIIUYBCDOABG-UPJWGTAASA-N 1 2 294.345 3.627 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1ccccc1 ZINC000064933032 1118330283 /nfs/dbraw/zinc/33/02/83/1118330283.db2.gz OCYODFUHNCIKJL-HNNXBMFYSA-N 1 2 285.391 3.824 20 0 CHADLO CC(C)c1nc(C[N@@H+](Cc2ccccc2)CC2CCC2)no1 ZINC000065161220 1118332733 /nfs/dbraw/zinc/33/27/33/1118332733.db2.gz VFOBNZNJRWMJPR-UHFFFAOYSA-N 1 2 299.418 3.995 20 0 CHADLO CC(C)c1nc(C[N@H+](Cc2ccccc2)CC2CCC2)no1 ZINC000065161220 1118332737 /nfs/dbraw/zinc/33/27/37/1118332737.db2.gz VFOBNZNJRWMJPR-UHFFFAOYSA-N 1 2 299.418 3.995 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@H](C)c1cccnc1Cl)CO2 ZINC000090452512 1118333280 /nfs/dbraw/zinc/33/32/80/1118333280.db2.gz KIPMDVLWIBSOPV-RISCZKNCSA-N 1 2 288.778 3.828 20 0 CHADLO CCC[C@@H]([NH2+]CCC(C)(C)c1ccccc1)C(=O)OCC ZINC000610341500 1118335779 /nfs/dbraw/zinc/33/57/79/1118335779.db2.gz XIYKTJKALYPIFC-MRXNPFEDSA-N 1 2 291.435 3.676 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](C)c2cc(C)on2)cc1Cl ZINC000340544872 1118341709 /nfs/dbraw/zinc/34/17/09/1118341709.db2.gz XPCHWOCRMNOXGH-LLVKDONJSA-N 1 2 294.782 3.886 20 0 CHADLO C[C@H]([NH2+][C@@H](C(F)F)C1CCCCC1)c1cccnc1 ZINC000621404362 1118345227 /nfs/dbraw/zinc/34/52/27/1118345227.db2.gz QHMUEOGLXRKSHQ-SMDDNHRTSA-N 1 2 268.351 3.946 20 0 CHADLO C[N@H+](Cc1ncc(C(C)(C)C)o1)Cc1ccccc1 ZINC000073602822 1118383635 /nfs/dbraw/zinc/38/36/35/1118383635.db2.gz OHUBQLQBGRSROW-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO C[N@@H+](Cc1ncc(C(C)(C)C)o1)Cc1ccccc1 ZINC000073602822 1118383640 /nfs/dbraw/zinc/38/36/40/1118383640.db2.gz OHUBQLQBGRSROW-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO COc1cc(Nc2ccc3onc(C)c3c2)cc(C)[nH+]1 ZINC001210780242 1118384056 /nfs/dbraw/zinc/38/40/56/1118384056.db2.gz GOAFQKOZSQIVLZ-UHFFFAOYSA-N 1 2 269.304 3.592 20 0 CHADLO COc1cc(Nc2cccc3cncc(C)c32)cc(C)[nH+]1 ZINC001210781015 1118385092 /nfs/dbraw/zinc/38/50/92/1118385092.db2.gz VHMVSEDFYBLXFG-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cc(Nc2cc3cccnc3c(OC)c2)cc(C)[nH+]1 ZINC001210780984 1118385160 /nfs/dbraw/zinc/38/51/60/1118385160.db2.gz UZWQNUQPFCWEBU-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nc(C2CC2)no1)c1ccc(F)cc1 ZINC000073957318 1118385335 /nfs/dbraw/zinc/38/53/35/1118385335.db2.gz LMERLDSGYGIPFX-HNNXBMFYSA-N 1 2 289.354 3.573 20 0 CHADLO COc1cc(Nc2cccc3ncsc32)cc(C)[nH+]1 ZINC001210781134 1118385703 /nfs/dbraw/zinc/38/57/03/1118385703.db2.gz MWCQALRUVHXENQ-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO Oc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1Cl ZINC001210855465 1118409850 /nfs/dbraw/zinc/40/98/50/1118409850.db2.gz OUJOMZHVXCMKEB-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO COc1c(F)cc(F)cc1Nc1cc[nH+]c(SC)c1 ZINC001210868637 1118412193 /nfs/dbraw/zinc/41/21/93/1118412193.db2.gz YNHDPPZYGDSICZ-UHFFFAOYSA-N 1 2 282.315 3.834 20 0 CHADLO CCc1ccc(CNc2cc3cc[nH]c3c[nH+]2)s1 ZINC000683893216 1118431535 /nfs/dbraw/zinc/43/15/35/1118431535.db2.gz BCCHJUPWCOCJSU-UHFFFAOYSA-N 1 2 257.362 3.799 20 0 CHADLO Cc1csc(C2([NH2+]Cc3oc(C)nc3C)CCCC2)n1 ZINC000683897566 1118432910 /nfs/dbraw/zinc/43/29/10/1118432910.db2.gz CNBGYVDLJHLOFC-UHFFFAOYSA-N 1 2 291.420 3.615 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)o1 ZINC000683897833 1118433287 /nfs/dbraw/zinc/43/32/87/1118433287.db2.gz CMBAPMLJVMZBTI-JTQLQIEISA-N 1 2 280.318 3.763 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)o1 ZINC000683897833 1118433289 /nfs/dbraw/zinc/43/32/89/1118433289.db2.gz CMBAPMLJVMZBTI-JTQLQIEISA-N 1 2 280.318 3.763 20 0 CHADLO COC(=O)c1ccc(C)c(Nc2ccc(C)c[nH+]2)c1C ZINC001211012246 1118439248 /nfs/dbraw/zinc/43/92/48/1118439248.db2.gz CUSRKADOLFCIAE-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO CCc1csc(CC2CCN(c3cccc[nH+]3)CC2)n1 ZINC000656213569 1118452029 /nfs/dbraw/zinc/45/20/29/1118452029.db2.gz DGEURZDVUVHEJW-UHFFFAOYSA-N 1 2 287.432 3.560 20 0 CHADLO CN(C)c1cc(Cl)cc(Cl)c1C[NH+]1CC(C)(C)C1 ZINC001658730463 1118471970 /nfs/dbraw/zinc/47/19/70/1118471970.db2.gz PQSXBIRTRXGJIT-UHFFFAOYSA-N 1 2 287.234 3.901 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCc3cc(F)ccc32)c(C)[nH+]1 ZINC000622776852 1118477947 /nfs/dbraw/zinc/47/79/47/1118477947.db2.gz SIKRNGXKVSGXEI-MRXNPFEDSA-N 1 2 298.361 3.814 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@H+]2Cc2cc3ccccc3[nH]2)n1 ZINC000684020285 1118489179 /nfs/dbraw/zinc/48/91/79/1118489179.db2.gz GSORRELPIUMQBB-MRXNPFEDSA-N 1 2 280.375 3.618 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@@H+]2Cc2cc3ccccc3[nH]2)n1 ZINC000684020285 1118489180 /nfs/dbraw/zinc/48/91/80/1118489180.db2.gz GSORRELPIUMQBB-MRXNPFEDSA-N 1 2 280.375 3.618 20 0 CHADLO C[N@H+](C/C=C/Cl)Cc1cc(Br)ccc1F ZINC000058396741 1118501583 /nfs/dbraw/zinc/50/15/83/1118501583.db2.gz GPMAMECPVOFBBD-GORDUTHDSA-N 1 2 292.579 3.773 20 0 CHADLO C[N@@H+](C/C=C/Cl)Cc1cc(Br)ccc1F ZINC000058396741 1118501584 /nfs/dbraw/zinc/50/15/84/1118501584.db2.gz GPMAMECPVOFBBD-GORDUTHDSA-N 1 2 292.579 3.773 20 0 CHADLO Cc1cc(C)c(Nc2c(C)cc(C)c(N)c2C)c[nH+]1 ZINC001213515744 1118512850 /nfs/dbraw/zinc/51/28/50/1118512850.db2.gz IDATXWKNGWYUPF-UHFFFAOYSA-N 1 2 255.365 3.950 20 0 CHADLO CO[C@H]1C[C@H]2C[C@@H](Nc3c[nH+]cc4c3CCCC4)C[C@H]2C1 ZINC000668441323 1118515732 /nfs/dbraw/zinc/51/57/32/1118515732.db2.gz TXWVHXFSVVNXAI-GEEKYZPCSA-N 1 2 286.419 3.576 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3[C@@H]2C)cc(C)c1F ZINC001143382039 1118517584 /nfs/dbraw/zinc/51/75/84/1118517584.db2.gz SVJBVZYPTCKINI-AWEZNQCLSA-N 1 2 272.367 3.821 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3[C@@H]2C)cc(C)c1F ZINC001143382039 1118517586 /nfs/dbraw/zinc/51/75/86/1118517586.db2.gz SVJBVZYPTCKINI-AWEZNQCLSA-N 1 2 272.367 3.821 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1cncs1 ZINC000181479283 1118520750 /nfs/dbraw/zinc/52/07/50/1118520750.db2.gz BNWRGIZZOHRZIL-UHFFFAOYSA-N 1 2 272.377 3.515 20 0 CHADLO Cc1cc(C)c(Nc2cccc3c2CCCO3)c[nH+]1 ZINC001213521146 1118523230 /nfs/dbraw/zinc/52/32/30/1118523230.db2.gz WDAQKKBCPGVUNQ-UHFFFAOYSA-N 1 2 254.333 3.767 20 0 CHADLO COC(=O)c1scc(C)c1Nc1c[nH+]cc(C)c1C ZINC001213522492 1118524019 /nfs/dbraw/zinc/52/40/19/1118524019.db2.gz ABXABCVKAMRSPQ-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO COc1ccc(-n2nccc2Nc2c[nH+]cc(C)c2C)cc1 ZINC001213527429 1118533404 /nfs/dbraw/zinc/53/34/04/1118533404.db2.gz KQGYQQDOHLMGRR-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO CN(Cc1cc(Br)ccc1F)c1cccc[nH+]1 ZINC000063736552 1118533918 /nfs/dbraw/zinc/53/39/18/1118533918.db2.gz CUTXMYVHSWSIPE-UHFFFAOYSA-N 1 2 295.155 3.620 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc3c(C(C)(C)C)cnn3c2)c1C ZINC001213528647 1118542152 /nfs/dbraw/zinc/54/21/52/1118542152.db2.gz JQSSVJXISXCXBY-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)CCCn2cc[nH+]c2)cc1 ZINC000684159274 1118546826 /nfs/dbraw/zinc/54/68/26/1118546826.db2.gz NYDQITGXENTQAQ-UHFFFAOYSA-N 1 2 299.418 3.990 20 0 CHADLO CCCc1noc(C[NH2+][C@H](CC)c2ccc(Cl)cc2)n1 ZINC000128802477 1118564964 /nfs/dbraw/zinc/56/49/64/1118564964.db2.gz NTJUVTRJDGKMLI-CYBMUJFWSA-N 1 2 293.798 3.916 20 0 CHADLO CCC[C@](C)(NC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000889479972 1118577530 /nfs/dbraw/zinc/57/75/30/1118577530.db2.gz XFBSPRIKHFADHW-YJBOKZPZSA-N 1 2 299.418 3.666 20 0 CHADLO Cc1cc(CNC(=O)C2CC3(C2)CCCCC3)cc(C)[nH+]1 ZINC000616363211 1128787558 /nfs/dbraw/zinc/78/75/58/1128787558.db2.gz LDOXEISODQJVSO-UHFFFAOYSA-N 1 2 286.419 3.675 20 0 CHADLO Cc1c[nH+]c(CN[C@@H](C)c2cc(Cl)ccc2Cl)n1C ZINC000341061700 1118598318 /nfs/dbraw/zinc/59/83/18/1118598318.db2.gz XSWOVHWHZVIQCP-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1c1noc(C[N@H+](CC)C2CC2)n1 ZINC000341168259 1118610346 /nfs/dbraw/zinc/61/03/46/1118610346.db2.gz FSQLDHRBTHLABM-OCCSQVGLSA-N 1 2 277.412 3.738 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1c1noc(C[N@@H+](CC)C2CC2)n1 ZINC000341168259 1118610348 /nfs/dbraw/zinc/61/03/48/1118610348.db2.gz FSQLDHRBTHLABM-OCCSQVGLSA-N 1 2 277.412 3.738 20 0 CHADLO Cc1cn2cc(NC(=O)CCc3cccc(C)c3)ccc2[nH+]1 ZINC000074859586 1118628075 /nfs/dbraw/zinc/62/80/75/1118628075.db2.gz GGTPENFZIPKEIR-UHFFFAOYSA-N 1 2 293.370 3.522 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccccc1 ZINC000341232040 1118630044 /nfs/dbraw/zinc/63/00/44/1118630044.db2.gz YSONXDAXLQVPLH-CYBMUJFWSA-N 1 2 273.380 3.608 20 0 CHADLO CC(C)n1c2ccccc2[nH+]c1NCc1nccs1 ZINC000656781261 1118654548 /nfs/dbraw/zinc/65/45/48/1118654548.db2.gz KSKODBLZBBXOIQ-UHFFFAOYSA-N 1 2 272.377 3.686 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccsc1 ZINC000157859366 1118654714 /nfs/dbraw/zinc/65/47/14/1118654714.db2.gz DOBKGAZAWWCRDX-NSHDSACASA-N 1 2 297.383 3.880 20 0 CHADLO COCc1csc(CNc2cc(C)c[nH+]c2C)c1 ZINC000656776265 1118655417 /nfs/dbraw/zinc/65/54/17/1118655417.db2.gz LISVCSQIRDDROD-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO CCCOc1ccc(C[NH2+]C(C)(C)C(F)F)cc1 ZINC000684414272 1118687320 /nfs/dbraw/zinc/68/73/20/1118687320.db2.gz WEZQMNJLFMEYIH-UHFFFAOYSA-N 1 2 257.324 3.609 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(Cl)s2)o1 ZINC000891500074 1118696778 /nfs/dbraw/zinc/69/67/78/1118696778.db2.gz SDXDIRSPDHCDTL-UHFFFAOYSA-N 1 2 271.769 3.635 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(Cl)s2)o1 ZINC000891500074 1118696781 /nfs/dbraw/zinc/69/67/81/1118696781.db2.gz SDXDIRSPDHCDTL-UHFFFAOYSA-N 1 2 271.769 3.635 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OCC(C)C)cc1 ZINC001212284148 1128794602 /nfs/dbraw/zinc/79/46/02/1128794602.db2.gz YYPXCCNXHSLOGN-UHFFFAOYSA-N 1 2 272.348 3.869 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCC(F)(F)[C@H](F)C2)s1 ZINC001138523720 1128794694 /nfs/dbraw/zinc/79/46/94/1128794694.db2.gz NAMLMTNPTDGNOT-GFCCVEGCSA-N 1 2 277.355 3.880 20 0 CHADLO CCCc1ccc(C[N@H+]2CCC(F)(F)[C@H](F)C2)s1 ZINC001138523720 1128794696 /nfs/dbraw/zinc/79/46/96/1128794696.db2.gz NAMLMTNPTDGNOT-GFCCVEGCSA-N 1 2 277.355 3.880 20 0 CHADLO CC(C)n1cc2c(n1)[C@@H]([NH2+][C@@H](C)c1ccns1)CCC2 ZINC000926184911 1118705725 /nfs/dbraw/zinc/70/57/25/1118705725.db2.gz UGXRKRVQWBUEJK-AAEUAGOBSA-N 1 2 290.436 3.649 20 0 CHADLO CC(C)COc1cc(N[C@@H](C)c2ccc(F)cn2)cc[nH+]1 ZINC001167485948 1118710106 /nfs/dbraw/zinc/71/01/06/1118710106.db2.gz GAHCHRODWTYLPW-LBPRGKRZSA-N 1 2 289.354 3.824 20 0 CHADLO CCc1cc(Nc2cccc(C3CC3)[nH+]2)ccc1N ZINC001216037537 1118711768 /nfs/dbraw/zinc/71/17/68/1118711768.db2.gz PGCRHYIMRCRUAT-UHFFFAOYSA-N 1 2 253.349 3.847 20 0 CHADLO Cc1noc(C[NH2+][C@H](CC(C)(C)C)c2ccc(F)cc2)n1 ZINC000341460284 1118712381 /nfs/dbraw/zinc/71/23/81/1118712381.db2.gz RXGKRSWPCXJCLK-CQSZACIVSA-N 1 2 291.370 3.784 20 0 CHADLO COc1cc(F)c(F)cc1C[N@H+](Cc1ccco1)C1CC1 ZINC001143399956 1118712710 /nfs/dbraw/zinc/71/27/10/1118712710.db2.gz GQXKSVBPMAQARX-UHFFFAOYSA-N 1 2 293.313 3.731 20 0 CHADLO COc1cc(F)c(F)cc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001143399956 1118712711 /nfs/dbraw/zinc/71/27/11/1118712711.db2.gz GQXKSVBPMAQARX-UHFFFAOYSA-N 1 2 293.313 3.731 20 0 CHADLO Cc1c2ccccc2oc1CNc1cc(C)[nH+]c(C2CC2)n1 ZINC000341483728 1118715413 /nfs/dbraw/zinc/71/54/13/1118715413.db2.gz NVJDLLBBZLOLCI-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO CN(C)c1ccc(Nc2ccc(N(C)C)c(Cl)c2)c[nH+]1 ZINC001216059221 1118727576 /nfs/dbraw/zinc/72/75/76/1118727576.db2.gz FQHICHPKYPHFJA-UHFFFAOYSA-N 1 2 290.798 3.611 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2coc(-c3ccccc3)n2)s1 ZINC000090418207 1118733230 /nfs/dbraw/zinc/73/32/30/1118733230.db2.gz XNTJDCHEMFYJPX-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(N3CCCC3)nc[nH+]2)cc1 ZINC000341571902 1118744034 /nfs/dbraw/zinc/74/40/34/1118744034.db2.gz IIXFZBWDRRNFSQ-AWEZNQCLSA-N 1 2 282.391 3.558 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(N3CCCC3)[nH+]cn2)cc1 ZINC000341571902 1118744037 /nfs/dbraw/zinc/74/40/37/1118744037.db2.gz IIXFZBWDRRNFSQ-AWEZNQCLSA-N 1 2 282.391 3.558 20 0 CHADLO C[C@H]([NH2+]C/C=C\Cl)c1ncc(-c2ccccc2)o1 ZINC000588443721 1118752585 /nfs/dbraw/zinc/75/25/85/1118752585.db2.gz WTOZPPWBEUTGJB-OFSNVTPPSA-N 1 2 262.740 3.745 20 0 CHADLO CCCCOc1ccccc1C[NH2+]Cc1csc(C)n1 ZINC000129044340 1118752600 /nfs/dbraw/zinc/75/26/00/1118752600.db2.gz ZNXFNZCTYHXLKX-UHFFFAOYSA-N 1 2 290.432 3.920 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1nc2cccnc2s1 ZINC000341595434 1118753127 /nfs/dbraw/zinc/75/31/27/1118753127.db2.gz DOFJSVGNXZIJLN-UHFFFAOYSA-N 1 2 287.388 3.695 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1nc2cccnc2s1 ZINC000341595434 1118753130 /nfs/dbraw/zinc/75/31/30/1118753130.db2.gz DOFJSVGNXZIJLN-UHFFFAOYSA-N 1 2 287.388 3.695 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)cc(Cl)c1N ZINC001216092442 1118755842 /nfs/dbraw/zinc/75/58/42/1118755842.db2.gz SJABUENQWCBKOR-UHFFFAOYSA-N 1 2 277.755 3.768 20 0 CHADLO CC(C)(C)CC(C)(C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000341630573 1118766971 /nfs/dbraw/zinc/76/69/71/1118766971.db2.gz ZHPFPMGNVYDZGI-UHFFFAOYSA-N 1 2 299.418 3.817 20 0 CHADLO CCC[C@H]1[C@@H](C)CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000933697170 1118774149 /nfs/dbraw/zinc/77/41/49/1118774149.db2.gz OKDVLAOITCLRPI-LRDDRELGSA-N 1 2 285.391 3.604 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C(F)(F)F)C[C@@H](C2CC2)O1 ZINC000510781317 1118815552 /nfs/dbraw/zinc/81/55/52/1118815552.db2.gz UIYGJQZFYCMRMW-ABAIWWIYSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)C[C@@H](C2CC2)O1 ZINC000510781317 1118815554 /nfs/dbraw/zinc/81/55/54/1118815554.db2.gz UIYGJQZFYCMRMW-ABAIWWIYSA-N 1 2 299.336 3.705 20 0 CHADLO FCCCC[N@@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000510815297 1118824210 /nfs/dbraw/zinc/82/42/10/1118824210.db2.gz ZDBXMEUVWLIYCA-UHFFFAOYSA-N 1 2 280.774 3.860 20 0 CHADLO FCCCC[N@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000510815297 1118824216 /nfs/dbraw/zinc/82/42/16/1118824216.db2.gz ZDBXMEUVWLIYCA-UHFFFAOYSA-N 1 2 280.774 3.860 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC[C@H]2C2CC2)cs1 ZINC000891934213 1118854439 /nfs/dbraw/zinc/85/44/39/1118854439.db2.gz YBQVOBHPODXRKQ-JTQLQIEISA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC[C@H]2C2CC2)cs1 ZINC000891934213 1118854442 /nfs/dbraw/zinc/85/44/42/1118854442.db2.gz YBQVOBHPODXRKQ-JTQLQIEISA-N 1 2 276.327 3.536 20 0 CHADLO Clc1ccccc1[C@H]1C[N@H+](Cc2cccs2)CCO1 ZINC000093080813 1118857381 /nfs/dbraw/zinc/85/73/81/1118857381.db2.gz GOFQKOBSXWTVNQ-OAHLLOKOSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccccc1[C@H]1C[N@@H+](Cc2cccs2)CCO1 ZINC000093080813 1118857389 /nfs/dbraw/zinc/85/73/89/1118857389.db2.gz GOFQKOBSXWTVNQ-OAHLLOKOSA-N 1 2 293.819 3.975 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)o1 ZINC000348710397 1118875345 /nfs/dbraw/zinc/87/53/45/1118875345.db2.gz OULPMTZJEPUAOM-XNRPHZJLSA-N 1 2 285.391 3.853 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)o1 ZINC000348710397 1118875347 /nfs/dbraw/zinc/87/53/47/1118875347.db2.gz OULPMTZJEPUAOM-XNRPHZJLSA-N 1 2 285.391 3.853 20 0 CHADLO C[C@H]([NH2+]C/C=C\c1ccc(F)c(F)c1)C(C)(F)F ZINC000449417443 1118877956 /nfs/dbraw/zinc/87/79/56/1118877956.db2.gz YACVFHNGBQCNIG-TYRPZCRBSA-N 1 2 261.262 3.611 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CCCCC3)s2)[C@H](C)CO1 ZINC000535783951 1118885518 /nfs/dbraw/zinc/88/55/18/1118885518.db2.gz XQXUKTYBSWAKEU-CHWSQXEVSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CCCCC3)s2)[C@H](C)CO1 ZINC000535783951 1118885525 /nfs/dbraw/zinc/88/55/25/1118885525.db2.gz XQXUKTYBSWAKEU-CHWSQXEVSA-N 1 2 294.464 3.800 20 0 CHADLO CS[C@@H]1CCCC[N@H+](Cc2cccc(Cl)c2O)C1 ZINC000180763509 1128808203 /nfs/dbraw/zinc/80/82/03/1128808203.db2.gz FLNWJRVEABFOJT-GFCCVEGCSA-N 1 2 285.840 3.763 20 0 CHADLO CS[C@@H]1CCCC[N@@H+](Cc2cccc(Cl)c2O)C1 ZINC000180763509 1128808207 /nfs/dbraw/zinc/80/82/07/1128808207.db2.gz FLNWJRVEABFOJT-GFCCVEGCSA-N 1 2 285.840 3.763 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@](C)(F)C2)c(OC(F)F)cc1C ZINC000535842623 1118906290 /nfs/dbraw/zinc/90/62/90/1118906290.db2.gz DDWNJXAJBOWUGG-HNNXBMFYSA-N 1 2 287.325 3.839 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@](C)(F)C2)c(OC(F)F)cc1C ZINC000535842623 1118906293 /nfs/dbraw/zinc/90/62/93/1118906293.db2.gz DDWNJXAJBOWUGG-HNNXBMFYSA-N 1 2 287.325 3.839 20 0 CHADLO COc1cc(Cl)ccc1C[NH+]1CC2(C1)CC(F)(F)C2 ZINC000684619803 1118908419 /nfs/dbraw/zinc/90/84/19/1118908419.db2.gz PRRIXNKFTIWBTP-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C2CC2)c(OC)c1 ZINC001216250957 1118923135 /nfs/dbraw/zinc/92/31/35/1118923135.db2.gz VYWLXMRLODMAPB-UHFFFAOYSA-N 1 2 270.332 3.720 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2Cl)[nH]c1C ZINC000657286849 1118957246 /nfs/dbraw/zinc/95/72/46/1118957246.db2.gz DKCYPIZNWWXNSV-LLVKDONJSA-N 1 2 263.772 3.531 20 0 CHADLO Cc1[nH]c(CN[C@H](C)c2ccccc2Cl)[nH+]c1C ZINC000657286849 1118957249 /nfs/dbraw/zinc/95/72/49/1118957249.db2.gz DKCYPIZNWWXNSV-LLVKDONJSA-N 1 2 263.772 3.531 20 0 CHADLO CC1(C)CCCC[C@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000342314190 1118974896 /nfs/dbraw/zinc/97/48/96/1118974896.db2.gz NDVLWWLRRYRSLM-MRXNPFEDSA-N 1 2 297.402 3.571 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1ccc(Oc2cccnc2)cc1 ZINC000342324539 1118978261 /nfs/dbraw/zinc/97/82/61/1118978261.db2.gz PXMRVWUNHRHILF-ZDUSSCGKSA-N 1 2 295.342 3.713 20 0 CHADLO Cc1nc(C)c([C@H](C)[NH2+]Cc2nc(C(C)(C)C)co2)s1 ZINC000724371268 1118978648 /nfs/dbraw/zinc/97/86/48/1118978648.db2.gz VLFUPZTZFPURHO-VIFPVBQESA-N 1 2 293.436 3.896 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1cccc(F)c1 ZINC000724402532 1118994477 /nfs/dbraw/zinc/99/44/77/1118994477.db2.gz CBDMWEDLLTXRST-NSHDSACASA-N 1 2 276.355 3.962 20 0 CHADLO C[C@H](Cc1ccsc1)[NH2+]c1ccc2c(c1)CCN2 ZINC000582056605 1118996931 /nfs/dbraw/zinc/99/69/31/1118996931.db2.gz HFXMZSYDDCOFFB-LLVKDONJSA-N 1 2 258.390 3.759 20 0 CHADLO C[C@@H]([NH2+]Cc1ncnn1C(C)(C)C)c1coc2ccccc12 ZINC000926366871 1119001104 /nfs/dbraw/zinc/00/11/04/1119001104.db2.gz PCBBXZSZWVUCRS-GFCCVEGCSA-N 1 2 298.390 3.630 20 0 CHADLO Cc1cc(C)cc(C[NH2+]Cc2nc(C(C)(C)C)co2)c1 ZINC000724438609 1119007785 /nfs/dbraw/zinc/00/77/85/1119007785.db2.gz QAQABCDKXQEANH-UHFFFAOYSA-N 1 2 272.392 3.879 20 0 CHADLO CC(C)c1ccc(C(C)(C)NC(=O)CCc2[nH]cc[nH+]2)cc1 ZINC000617091995 1128816753 /nfs/dbraw/zinc/81/67/53/1128816753.db2.gz CBVHQTNAJDJEBS-UHFFFAOYSA-N 1 2 299.418 3.517 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1ccc(F)c(F)c1F ZINC000657365232 1119029917 /nfs/dbraw/zinc/02/99/17/1119029917.db2.gz ACZULHBJBMTSMC-ZETCQYMHSA-N 1 2 284.281 3.560 20 0 CHADLO Cc1[nH]c(CN(Cc2ccccc2F)CC(C)C)[nH+]c1C ZINC000628142777 1128817451 /nfs/dbraw/zinc/81/74/51/1128817451.db2.gz PEDKKBKKEKVJQE-UHFFFAOYSA-N 1 2 289.398 3.824 20 0 CHADLO Cc1[nH]c(CN(C)[C@H](c2ccccc2)C(F)(F)F)[nH+]c1C ZINC000628162923 1128821111 /nfs/dbraw/zinc/82/11/11/1128821111.db2.gz JLQVBPDYFFWYMI-CQSZACIVSA-N 1 2 297.324 3.762 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC000684892334 1119097699 /nfs/dbraw/zinc/09/76/99/1119097699.db2.gz IHOWPHJOANQUPO-UWVGGRQHSA-N 1 2 277.393 3.512 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1ccsc1C(F)(F)F ZINC000628174868 1128821810 /nfs/dbraw/zinc/82/18/10/1128821810.db2.gz AMOSAAPEECKDIW-MRVPVSSYSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1ccsc1C(F)(F)F ZINC000628174868 1128821812 /nfs/dbraw/zinc/82/18/12/1128821812.db2.gz AMOSAAPEECKDIW-MRVPVSSYSA-N 1 2 281.368 3.704 20 0 CHADLO Cc1cnc(CCNc2cc[nH+]c3c(Cl)cccc23)nc1 ZINC000342697443 1119130036 /nfs/dbraw/zinc/13/00/36/1119130036.db2.gz CZYRTNYSAZJKLU-UHFFFAOYSA-N 1 2 298.777 3.641 20 0 CHADLO CCc1nc2ccc(NCc3[nH]c(C)c(C)[nH+]3)cc2s1 ZINC000657498584 1119132982 /nfs/dbraw/zinc/13/29/82/1119132982.db2.gz IEGWPDCARJCJJW-UHFFFAOYSA-N 1 2 286.404 3.811 20 0 CHADLO C[C@@H](CC(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)(C)C ZINC000342705696 1119134722 /nfs/dbraw/zinc/13/47/22/1119134722.db2.gz PFFNBOOIUYTXKU-ZDUSSCGKSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1ccc(Nc2cc(F)cc(C(C)(C)O)c2)c(C)[nH+]1 ZINC001216488397 1119135211 /nfs/dbraw/zinc/13/52/11/1119135211.db2.gz RXFQQDPTWHODJW-UHFFFAOYSA-N 1 2 274.339 3.809 20 0 CHADLO CSc1cc(NCc2[nH]c(C)c(C)[nH+]2)ccc1C ZINC000657503542 1119135882 /nfs/dbraw/zinc/13/58/82/1119135882.db2.gz MDXBEVBJLZTPKU-UHFFFAOYSA-N 1 2 261.394 3.669 20 0 CHADLO Cc1cccc(CCC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000097213985 1119139695 /nfs/dbraw/zinc/13/96/95/1119139695.db2.gz GSJCAFKWMQCBNZ-UHFFFAOYSA-N 1 2 282.387 3.887 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1-c1ccc[nH+]c1N ZINC000226557529 1119140212 /nfs/dbraw/zinc/14/02/12/1119140212.db2.gz KPYJQYGZCSXVIL-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2CCCc3cccnc32)cs1 ZINC000684955481 1119140858 /nfs/dbraw/zinc/14/08/58/1119140858.db2.gz NOIRQOZOMKQYEV-GXFFZTMASA-N 1 2 273.405 3.575 20 0 CHADLO Cc1c[nH+]c(CN2CC3(CCCC3)c3c2cccc3F)n1C ZINC000342769409 1119159617 /nfs/dbraw/zinc/15/96/17/1119159617.db2.gz RGCIDSXAXHRNAO-UHFFFAOYSA-N 1 2 299.393 3.700 20 0 CHADLO Cc1nc(N[C@@H]2CCCOc3cc(Cl)ccc32)cc[nH+]1 ZINC000342788270 1119167782 /nfs/dbraw/zinc/16/77/82/1119167782.db2.gz ODTMQAJYPWEOOV-CYBMUJFWSA-N 1 2 289.766 3.764 20 0 CHADLO CC(C)C[C@H]1CC[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000074994458 1119171941 /nfs/dbraw/zinc/17/19/41/1119171941.db2.gz OYJOUHYKYGPWCF-MRXNPFEDSA-N 1 2 299.418 3.528 20 0 CHADLO CC(C)C[C@H]1CC[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000074994458 1119171943 /nfs/dbraw/zinc/17/19/43/1119171943.db2.gz OYJOUHYKYGPWCF-MRXNPFEDSA-N 1 2 299.418 3.528 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](c2ccc(F)cc2)C(C)C)o1 ZINC000076292159 1119185058 /nfs/dbraw/zinc/18/50/58/1119185058.db2.gz CHIXBOXIQICXDH-HNNXBMFYSA-N 1 2 291.370 3.819 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1cccc(OC(F)F)c1 ZINC000076777040 1119187719 /nfs/dbraw/zinc/18/77/19/1119187719.db2.gz SSMFJDPCZHLVPJ-SNVBAGLBSA-N 1 2 264.275 3.856 20 0 CHADLO Cc1[nH]c(CN2CC(C)(C)[C@H]2c2ccccc2)[nH+]c1C ZINC000628153244 1119207308 /nfs/dbraw/zinc/20/73/08/1119207308.db2.gz GODBBKQRHGDSRD-MRXNPFEDSA-N 1 2 269.392 3.610 20 0 CHADLO COc1cc(F)c(C(C)C)cc1NCc1cc[nH+]c(N)c1 ZINC001167616232 1119212154 /nfs/dbraw/zinc/21/21/54/1119212154.db2.gz ARBJKIGKYDJWCD-UHFFFAOYSA-N 1 2 289.354 3.547 20 0 CHADLO Nc1cc(CNc2cccc(C3CCC3)c2)cc[nH+]1 ZINC001167617938 1119217126 /nfs/dbraw/zinc/21/71/26/1119217126.db2.gz AOAIXPQNSGMHKL-UHFFFAOYSA-N 1 2 253.349 3.543 20 0 CHADLO CCCCC[C@H](C)NC(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000078644941 1119242122 /nfs/dbraw/zinc/24/21/22/1119242122.db2.gz HMFNSSPEPQQOLE-HNNXBMFYSA-N 1 2 299.418 3.630 20 0 CHADLO C[N@@H+](Cc1nccs1)C[C@H]1CCC2(CCCCCC2)O1 ZINC001167631894 1119244324 /nfs/dbraw/zinc/24/43/24/1119244324.db2.gz XNCZAMFKZSRZDY-CQSZACIVSA-N 1 2 294.464 3.847 20 0 CHADLO C[N@H+](Cc1nccs1)C[C@H]1CCC2(CCCCCC2)O1 ZINC001167631894 1119244327 /nfs/dbraw/zinc/24/43/27/1119244327.db2.gz XNCZAMFKZSRZDY-CQSZACIVSA-N 1 2 294.464 3.847 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2c(C)cccc2C)o1 ZINC000342935960 1119255203 /nfs/dbraw/zinc/25/52/03/1119255203.db2.gz CORJXUWQPGXXDN-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2c(C)cccc2C)o1 ZINC000342935960 1119255206 /nfs/dbraw/zinc/25/52/06/1119255206.db2.gz CORJXUWQPGXXDN-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO O=c1cc(Cl)[nH]cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086288 1119256831 /nfs/dbraw/zinc/25/68/31/1119256831.db2.gz MKINJLKTLILRPM-UHFFFAOYSA-N 1 2 286.722 3.574 20 0 CHADLO Cc1oc(-c2ccsc2)nc1COc1cc[nH+]cc1 ZINC000431509983 1119263902 /nfs/dbraw/zinc/26/39/02/1119263902.db2.gz QWGPEHYYHRZHDW-UHFFFAOYSA-N 1 2 272.329 3.686 20 0 CHADLO CCc1nc(C[N@@H+](CC)Cc2cccc(F)c2)cs1 ZINC000042627383 1119266050 /nfs/dbraw/zinc/26/60/50/1119266050.db2.gz RLORKXACQOINGB-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCc1nc(C[N@H+](CC)Cc2cccc(F)c2)cs1 ZINC000042627383 1119266054 /nfs/dbraw/zinc/26/60/54/1119266054.db2.gz RLORKXACQOINGB-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCCCc1oc2ccccc2c1C[NH2+]Cc1ncccn1 ZINC000657734593 1119296351 /nfs/dbraw/zinc/29/63/51/1119296351.db2.gz IZJRVWQERKKQGI-UHFFFAOYSA-N 1 2 295.386 3.855 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CC4CCC3CC4)cc2[nH+]1 ZINC000343068272 1119296709 /nfs/dbraw/zinc/29/67/09/1119296709.db2.gz WFZSOMJOEPOXOE-YIZWMMSDSA-N 1 2 283.375 3.636 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nc(C)c(C)[nH]1 ZINC000628252042 1128835853 /nfs/dbraw/zinc/83/58/53/1128835853.db2.gz GECVFBYHTLEQEY-RAXLEYEMSA-N 1 2 269.392 3.562 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nc(C)c(C)[nH]1 ZINC000628252042 1128835859 /nfs/dbraw/zinc/83/58/59/1128835859.db2.gz GECVFBYHTLEQEY-RAXLEYEMSA-N 1 2 269.392 3.562 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccccc1)c1ccccn1)c1cncs1 ZINC000154615319 1119315060 /nfs/dbraw/zinc/31/50/60/1119315060.db2.gz JSSFWJCXRUFRNX-DYVFJYSZSA-N 1 2 295.411 3.978 20 0 CHADLO CCc1noc(C[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)n1 ZINC000092427000 1119338221 /nfs/dbraw/zinc/33/82/21/1119338221.db2.gz BKTVYUVYHPQFGT-UHFFFAOYSA-N 1 2 297.402 3.538 20 0 CHADLO CCc1noc(C[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)n1 ZINC000092427000 1119338223 /nfs/dbraw/zinc/33/82/23/1119338223.db2.gz BKTVYUVYHPQFGT-UHFFFAOYSA-N 1 2 297.402 3.538 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cn(C4CCC4)cn3)cc2)[nH]1 ZINC001213087857 1119342724 /nfs/dbraw/zinc/34/27/24/1119342724.db2.gz DJAXQROXXWTGBH-UHFFFAOYSA-N 1 2 279.347 3.742 20 0 CHADLO CCc1nc2ccccc2c(SCCCn2cc[nH+]c2)n1 ZINC000655543105 1119345024 /nfs/dbraw/zinc/34/50/24/1119345024.db2.gz GBEYAZXVNPSNKR-UHFFFAOYSA-N 1 2 298.415 3.571 20 0 CHADLO COc1cc(C)[nH+]c(CSc2nc3ccccc3[nH]2)c1 ZINC000536011575 1119351641 /nfs/dbraw/zinc/35/16/41/1119351641.db2.gz QWURHHQGLXOESF-UHFFFAOYSA-N 1 2 285.372 3.567 20 0 CHADLO COc1cccc(SCc2cc(OC)cc(C)[nH+]2)c1 ZINC000536010626 1119351646 /nfs/dbraw/zinc/35/16/46/1119351646.db2.gz FNWPBQPIKDVNAO-UHFFFAOYSA-N 1 2 275.373 3.700 20 0 CHADLO CCc1onc(C)c1CNc1ccc([NH+](C)C)c(C)c1 ZINC000892337796 1119362456 /nfs/dbraw/zinc/36/24/56/1119362456.db2.gz BUFOAPBQTLUEBM-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO CC(C)Oc1cccc(Nc2ccc(N(C)C)[nH+]c2)c1F ZINC001212317934 1128841437 /nfs/dbraw/zinc/84/14/37/1128841437.db2.gz IQDOAKZZZZJFJQ-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H](C)c3ccccc3F)cc2[nH+]1 ZINC000343299376 1119378824 /nfs/dbraw/zinc/37/88/24/1119378824.db2.gz GRNQKQLLBTVEGO-SNVBAGLBSA-N 1 2 297.333 3.753 20 0 CHADLO Cc1cc(N)nc(SCc2ccc3ccccc3c2)[nH+]1 ZINC000685172583 1119388291 /nfs/dbraw/zinc/38/82/91/1119388291.db2.gz LPLWBUSBEHRPHY-UHFFFAOYSA-N 1 2 281.384 3.813 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cccnc2Cl)cc1C ZINC000728571720 1119388657 /nfs/dbraw/zinc/38/86/57/1119388657.db2.gz KNSVFVHIYXUUNQ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cccnc2Cl)cc1C ZINC000728571720 1119388661 /nfs/dbraw/zinc/38/86/61/1119388661.db2.gz KNSVFVHIYXUUNQ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cc(C[NH+]2CC(CC(F)(F)F)C2)ccc1Cl ZINC000628307139 1128844325 /nfs/dbraw/zinc/84/43/25/1128844325.db2.gz PRWDWNVNZTVCDA-UHFFFAOYSA-N 1 2 293.716 3.733 20 0 CHADLO Cc1[nH]c(CN(C)[C@@H](C)c2ccccc2Cl)[nH+]c1C ZINC000628133257 1119424747 /nfs/dbraw/zinc/42/47/47/1119424747.db2.gz XGVMBMKLWLHWCM-LBPRGKRZSA-N 1 2 277.799 3.873 20 0 CHADLO CC[C@@H]1CCCC[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000131246385 1119426652 /nfs/dbraw/zinc/42/66/52/1119426652.db2.gz OYHGDKJQRLHHSS-SECBINFHSA-N 1 2 278.343 3.926 20 0 CHADLO CC[C@@H]1CCCC[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000131246385 1119426654 /nfs/dbraw/zinc/42/66/54/1119426654.db2.gz OYHGDKJQRLHHSS-SECBINFHSA-N 1 2 278.343 3.926 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cn1)c1c(F)cccc1F ZINC000147767612 1119456059 /nfs/dbraw/zinc/45/60/59/1119456059.db2.gz JRVUBWPRUFDPPO-VHSXEESVSA-N 1 2 280.293 3.911 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@H+](C)Cc1ccccc1F ZINC000343572299 1119457921 /nfs/dbraw/zinc/45/79/21/1119457921.db2.gz MQKRXQBZKJMZMK-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@@H+](C)Cc1ccccc1F ZINC000343572299 1119457922 /nfs/dbraw/zinc/45/79/22/1119457922.db2.gz MQKRXQBZKJMZMK-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO C[C@H](Nc1c[nH+]cc2c1CCCC2)C1CC(F)(F)C1 ZINC000628336928 1128848252 /nfs/dbraw/zinc/84/82/52/1128848252.db2.gz SQSUCJFCWDUAQK-JTQLQIEISA-N 1 2 266.335 3.806 20 0 CHADLO COc1cc(O)ccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001213092809 1119470071 /nfs/dbraw/zinc/47/00/71/1119470071.db2.gz NPAJSYVMCFZTMA-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2cc(C)c(F)c(C)c2)s1 ZINC000343758964 1119506555 /nfs/dbraw/zinc/50/65/55/1119506555.db2.gz PVZSWMGEWJPUTO-LLVKDONJSA-N 1 2 293.411 3.707 20 0 CHADLO Cc1nc(C)c(COc2cccc(-n3cc[nH+]c3)c2)s1 ZINC000081182124 1119510290 /nfs/dbraw/zinc/51/02/90/1119510290.db2.gz LBIUHMMYLOVOMG-UHFFFAOYSA-N 1 2 285.372 3.525 20 0 CHADLO Fc1ccc(Br)cc1C[N@@H+]1CCC12CCC2 ZINC000081562833 1119516683 /nfs/dbraw/zinc/51/66/83/1119516683.db2.gz XNXFJVAMPYCOPH-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Fc1ccc(Br)cc1C[N@H+]1CCC12CCC2 ZINC000081562833 1119516685 /nfs/dbraw/zinc/51/66/85/1119516685.db2.gz XNXFJVAMPYCOPH-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000081605685 1119520006 /nfs/dbraw/zinc/52/00/06/1119520006.db2.gz JSYOWDDOISZBNI-HNNXBMFYSA-N 1 2 276.767 3.892 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000081605685 1119520007 /nfs/dbraw/zinc/52/00/07/1119520007.db2.gz JSYOWDDOISZBNI-HNNXBMFYSA-N 1 2 276.767 3.892 20 0 CHADLO Cc1cc(C[N@H+]2CC=C(c3ccc(Cl)cc3)CC2)no1 ZINC000083422536 1119523941 /nfs/dbraw/zinc/52/39/41/1119523941.db2.gz WSGZBTITBKMNMQ-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1cc(C[N@@H+]2CC=C(c3ccc(Cl)cc3)CC2)no1 ZINC000083422536 1119523943 /nfs/dbraw/zinc/52/39/43/1119523943.db2.gz WSGZBTITBKMNMQ-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO C[C@@H]1C[NH+](Cc2ccc(F)c(F)c2F)C[C@@H](C)C1(F)F ZINC000628392972 1128853216 /nfs/dbraw/zinc/85/32/16/1128853216.db2.gz YNFOZGWCUDSPOT-RKDXNWHRSA-N 1 2 293.279 3.827 20 0 CHADLO C[C@@H](SCCn1cc[nH+]c1)c1ccc(OC(F)F)cc1 ZINC000090201247 1119543811 /nfs/dbraw/zinc/54/38/11/1119543811.db2.gz AETOMVGJYKEPRO-LLVKDONJSA-N 1 2 298.358 3.979 20 0 CHADLO COc1ccc(Cl)cc1[C@@H](C)[NH2+]Cc1ncccc1F ZINC000090720737 1119548986 /nfs/dbraw/zinc/54/89/86/1119548986.db2.gz ZLXLNWBAJKPCBV-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO Cc1noc(C[NH2+][C@H](c2ccc(F)cc2)C2CCCC2)n1 ZINC000091321437 1119554975 /nfs/dbraw/zinc/55/49/75/1119554975.db2.gz ZQRIUIFUUMTIBG-INIZCTEOSA-N 1 2 289.354 3.538 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cnc(C2CC2)s1 ZINC000092451894 1119561878 /nfs/dbraw/zinc/56/18/78/1119561878.db2.gz JAXDJWNQHGHCOU-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cnc(C2CC2)s1 ZINC000092451894 1119561881 /nfs/dbraw/zinc/56/18/81/1119561881.db2.gz JAXDJWNQHGHCOU-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO Nc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)ccc1F ZINC001212330340 1128855445 /nfs/dbraw/zinc/85/54/45/1128855445.db2.gz MKVMNHSYTGAOGQ-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO C[N@H+](CCOc1ccc2ccccc2c1)Cc1cscn1 ZINC000138781863 1119579418 /nfs/dbraw/zinc/57/94/18/1119579418.db2.gz WXUQEKTWQJNLBD-UHFFFAOYSA-N 1 2 298.411 3.807 20 0 CHADLO C[N@@H+](CCOc1ccc2ccccc2c1)Cc1cscn1 ZINC000138781863 1119579421 /nfs/dbraw/zinc/57/94/21/1119579421.db2.gz WXUQEKTWQJNLBD-UHFFFAOYSA-N 1 2 298.411 3.807 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+][C@H](C)c1cc2cnccc2o1 ZINC000657888400 1119599679 /nfs/dbraw/zinc/59/96/79/1119599679.db2.gz MAQOZCDGJZWOQX-CYBMUJFWSA-N 1 2 298.390 3.531 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2cccc(F)c2)oc1C ZINC000139988706 1119607674 /nfs/dbraw/zinc/60/76/74/1119607674.db2.gz AACAGEJCMJUNQP-OAHLLOKOSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2cccc(F)c2)oc1C ZINC000139988706 1119607676 /nfs/dbraw/zinc/60/76/76/1119607676.db2.gz AACAGEJCMJUNQP-OAHLLOKOSA-N 1 2 274.339 3.768 20 0 CHADLO CC(C)n1cc(C[NH2+][C@H](c2ccco2)c2ccccc2)cn1 ZINC000171839969 1119620985 /nfs/dbraw/zinc/62/09/85/1119620985.db2.gz KTWRCCJYPKIPGR-SFHVURJKSA-N 1 2 295.386 3.936 20 0 CHADLO Fc1cc(N[C@@H]2CCCC23CC3)ccc1-n1cc[nH+]c1 ZINC000657959417 1119652612 /nfs/dbraw/zinc/65/26/12/1119652612.db2.gz IAVSIPAVYMCKRP-OAHLLOKOSA-N 1 2 271.339 3.756 20 0 CHADLO C[C@@H]1CC(C)(C)C[C@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000235325046 1119665434 /nfs/dbraw/zinc/66/54/34/1119665434.db2.gz AYJQWVGZFAJHRD-RHSMWYFYSA-N 1 2 288.435 3.760 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cc3cnccc3o2)c1 ZINC000658046297 1119671019 /nfs/dbraw/zinc/67/10/19/1119671019.db2.gz YCXWZGMXVDSCOM-NSHDSACASA-N 1 2 283.331 3.542 20 0 CHADLO CCc1cccc2cc(C[N@@H+]3CCO[C@H](CCF)C3)oc21 ZINC000628459058 1128862734 /nfs/dbraw/zinc/86/27/34/1128862734.db2.gz KMABTYMNJLAVGN-OAHLLOKOSA-N 1 2 291.366 3.556 20 0 CHADLO CCc1cccc2cc(C[N@H+]3CCO[C@H](CCF)C3)oc21 ZINC000628459058 1128862741 /nfs/dbraw/zinc/86/27/41/1128862741.db2.gz KMABTYMNJLAVGN-OAHLLOKOSA-N 1 2 291.366 3.556 20 0 CHADLO COc1cc([C@H](C)[NH2+]C2(c3ccccc3F)CCC2)on1 ZINC000926633109 1119754765 /nfs/dbraw/zinc/75/47/65/1119754765.db2.gz JQXULGVPJZOVBA-NSHDSACASA-N 1 2 290.338 3.552 20 0 CHADLO CCSCCSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000188183842 1119755060 /nfs/dbraw/zinc/75/50/60/1119755060.db2.gz MODRPDPLPJFSAB-UHFFFAOYSA-N 1 2 278.446 3.859 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1ccc(F)cc1F ZINC000219102764 1119770572 /nfs/dbraw/zinc/77/05/72/1119770572.db2.gz MRMALNKNQHRUNW-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC000219102764 1119770576 /nfs/dbraw/zinc/77/05/76/1119770576.db2.gz MRMALNKNQHRUNW-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1ccc(Cl)nc1Cl ZINC000235546954 1119777001 /nfs/dbraw/zinc/77/70/01/1119777001.db2.gz URTVVTGEGJWKQX-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1ccc(Cl)nc1Cl ZINC000235546954 1119777003 /nfs/dbraw/zinc/77/70/03/1119777003.db2.gz URTVVTGEGJWKQX-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@H]1CCCc2oc(C)nc21 ZINC000924559468 1119833666 /nfs/dbraw/zinc/83/36/66/1119833666.db2.gz RENBSLZLFWDCEH-FZMZJTMJSA-N 1 2 286.375 3.720 20 0 CHADLO CCCC[N@H+](Cc1n[nH]cc1C)Cc1ccccc1F ZINC000685834156 1119853748 /nfs/dbraw/zinc/85/37/48/1119853748.db2.gz ZKJXOTHRXMWCSS-UHFFFAOYSA-N 1 2 275.371 3.660 20 0 CHADLO CCCC[N@@H+](Cc1n[nH]cc1C)Cc1ccccc1F ZINC000685834156 1119853752 /nfs/dbraw/zinc/85/37/52/1119853752.db2.gz ZKJXOTHRXMWCSS-UHFFFAOYSA-N 1 2 275.371 3.660 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCc2ccc(C(C)(C)C)cc2C1 ZINC000685843221 1119863100 /nfs/dbraw/zinc/86/31/00/1119863100.db2.gz SHPWDMAOIHXDGA-UHFFFAOYSA-N 1 2 283.419 3.574 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCc2ccc(C(C)(C)C)cc2C1 ZINC000685843221 1119863103 /nfs/dbraw/zinc/86/31/03/1119863103.db2.gz SHPWDMAOIHXDGA-UHFFFAOYSA-N 1 2 283.419 3.574 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(OCOC)cc2)c1 ZINC001211637639 1119864123 /nfs/dbraw/zinc/86/41/23/1119864123.db2.gz MGCPETZJONACKC-UHFFFAOYSA-N 1 2 272.348 3.760 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1Cl)c1ccn(C)n1 ZINC000414108733 1119900993 /nfs/dbraw/zinc/90/09/93/1119900993.db2.gz GKVKPEINNNTWMT-VHSXEESVSA-N 1 2 281.762 3.624 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1ccn(C)n1)c1ccc(F)cc1F ZINC000414117948 1119905862 /nfs/dbraw/zinc/90/58/62/1119905862.db2.gz TXYSNPGJTLWJBZ-BDJLRTHQSA-N 1 2 293.361 3.890 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1ccc(Cl)nc1 ZINC000158458135 1119909946 /nfs/dbraw/zinc/90/99/46/1119909946.db2.gz TZFBAQJRUGMSOQ-UHFFFAOYSA-N 1 2 291.782 3.919 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2c(s1)CCC2)c1ccc(F)cn1 ZINC000414133019 1119910505 /nfs/dbraw/zinc/91/05/05/1119910505.db2.gz AETREFAKUAUYGN-ZJUUUORDSA-N 1 2 291.395 3.578 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nnsc2Cl)[C@@H]2CCCC[C@@H]12 ZINC000613415434 1119919973 /nfs/dbraw/zinc/91/99/73/1119919973.db2.gz FXTNGVRIJFGKFS-JFGNBEQYSA-N 1 2 285.844 3.592 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nnsc2Cl)[C@@H]2CCCC[C@@H]12 ZINC000613415434 1119919971 /nfs/dbraw/zinc/91/99/71/1119919971.db2.gz FXTNGVRIJFGKFS-JFGNBEQYSA-N 1 2 285.844 3.592 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2ccnc(Cl)c2)C1 ZINC000801588094 1128880615 /nfs/dbraw/zinc/88/06/15/1128880615.db2.gz GDWIJTTUSNOBPJ-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2ccnc(Cl)c2)C1 ZINC000801588094 1128880619 /nfs/dbraw/zinc/88/06/19/1128880619.db2.gz GDWIJTTUSNOBPJ-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+]Cc1nccn1C1CC1 ZINC000892601099 1119969040 /nfs/dbraw/zinc/96/90/40/1119969040.db2.gz ZETRXNOLUBFEBA-AWEZNQCLSA-N 1 2 299.418 3.856 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccccc1F ZINC000414330734 1119985971 /nfs/dbraw/zinc/98/59/71/1119985971.db2.gz SGYPUVVRUYFELS-ZDUSSCGKSA-N 1 2 291.395 3.796 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C2CC2)no1)c1cc(F)ccc1F ZINC000660178801 1128883420 /nfs/dbraw/zinc/88/34/20/1128883420.db2.gz IBRCHGCHBXOQLF-SECBINFHSA-N 1 2 278.302 3.681 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)cc1 ZINC000375841908 1120015713 /nfs/dbraw/zinc/01/57/13/1120015713.db2.gz UHJFNUDLGHWFBG-IAQYHMDHSA-N 1 2 287.432 3.860 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@H]3CC[C@@H](C2)S3)c1 ZINC000624524619 1120022086 /nfs/dbraw/zinc/02/20/86/1120022086.db2.gz VSRQNJAIPSUOAY-KGLIPLIRSA-N 1 2 288.391 3.848 20 0 CHADLO CCOc1ccc(-c2cc[nH+]c(N3CCCC3)c2)cc1 ZINC001239563442 1120023714 /nfs/dbraw/zinc/02/37/14/1120023714.db2.gz FRSXUJLTFPSCGF-UHFFFAOYSA-N 1 2 268.360 3.748 20 0 CHADLO CC(C)O[C@H]1C[C@H](Nc2cccc(-n3cc[nH+]c3)c2)C1(C)C ZINC000765810045 1120047141 /nfs/dbraw/zinc/04/71/41/1120047141.db2.gz MQIXRZFORZTHQQ-IRXDYDNUSA-N 1 2 299.418 3.876 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@H]3C[C@H]4C[C@H]4C3)cc2)[nH+]c1C ZINC000921654720 1120055288 /nfs/dbraw/zinc/05/52/88/1120055288.db2.gz OVLYSUGZOFTNKW-FICVDOATSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)CC(C)(F)F)cc2)[nH+]c1C ZINC000921658849 1120057528 /nfs/dbraw/zinc/05/75/28/1120057528.db2.gz DSJWQWNKGVUTRW-UHFFFAOYSA-N 1 2 293.317 3.677 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C3(C4CC4)CC3)cc2)[nH+]c1C ZINC000921668889 1120062711 /nfs/dbraw/zinc/06/27/11/1120062711.db2.gz YZXSZTCDJRECKQ-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2cc(C)cnc2Cl)n1 ZINC000921668402 1120063275 /nfs/dbraw/zinc/06/32/75/1120063275.db2.gz YXANMUDSJYDPEL-JTQLQIEISA-N 1 2 281.812 3.659 20 0 CHADLO CCn1c(C[NH2+]Cc2ccc(Cl)o2)nc2ccccc21 ZINC000061027961 1120079840 /nfs/dbraw/zinc/07/98/40/1120079840.db2.gz WXIQDKSHOPHHTO-UHFFFAOYSA-N 1 2 289.766 3.592 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(O)c1)c1nc2ccccc2o1 ZINC000181228689 1120102987 /nfs/dbraw/zinc/10/29/87/1120102987.db2.gz XTKHTGICFBZYNK-VXGBXAGGSA-N 1 2 282.343 3.945 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1cccc(C(F)(F)F)c1 ZINC000182070962 1120114742 /nfs/dbraw/zinc/11/47/42/1120114742.db2.gz FEAYIDYMKOXWGA-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO Cc1c[nH+]cc(N[C@@H]2CCNc3ccccc32)c1C ZINC001168644772 1120144392 /nfs/dbraw/zinc/14/43/92/1120144392.db2.gz MAWFJLIYBBLCCF-OAHLLOKOSA-N 1 2 253.349 3.667 20 0 CHADLO CCOc1ccc(C[NH2+]C2(c3nc(C)cs3)CCC2)o1 ZINC000892842814 1120150044 /nfs/dbraw/zinc/15/00/44/1120150044.db2.gz UJYHGVDVXOGKCV-UHFFFAOYSA-N 1 2 292.404 3.612 20 0 CHADLO CC(C)Oc1cc(N[C@H]2CCNc3ccccc32)cc[nH+]1 ZINC001168646500 1120154163 /nfs/dbraw/zinc/15/41/63/1120154163.db2.gz RQWDGETUPGSVMS-INIZCTEOSA-N 1 2 283.375 3.838 20 0 CHADLO FC(F)Oc1ccc(C[NH+]2CC3(CC3)C2)cc1Cl ZINC000449371181 1128894513 /nfs/dbraw/zinc/89/45/13/1128894513.db2.gz RFDZJZBMHRTQRI-UHFFFAOYSA-N 1 2 273.710 3.537 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1nc(C)oc1C ZINC000659842624 1120164638 /nfs/dbraw/zinc/16/46/38/1120164638.db2.gz QXQWPLOCQSINPU-CQSZACIVSA-N 1 2 276.405 3.862 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1nc(C)oc1C ZINC000659842624 1120164641 /nfs/dbraw/zinc/16/46/41/1120164641.db2.gz QXQWPLOCQSINPU-CQSZACIVSA-N 1 2 276.405 3.862 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1)[N@@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000661514527 1120171699 /nfs/dbraw/zinc/17/16/99/1120171699.db2.gz YOERYEQRBMTZRN-GUYCJALGSA-N 1 2 298.361 3.600 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1)[N@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000661514527 1120171701 /nfs/dbraw/zinc/17/17/01/1120171701.db2.gz YOERYEQRBMTZRN-GUYCJALGSA-N 1 2 298.361 3.600 20 0 CHADLO Fc1cnccc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000449339449 1128895873 /nfs/dbraw/zinc/89/58/73/1128895873.db2.gz KLUSAMDAVSJFSY-KRWDZBQOSA-N 1 2 282.362 3.948 20 0 CHADLO Fc1cnccc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000449339449 1128895881 /nfs/dbraw/zinc/89/58/81/1128895881.db2.gz KLUSAMDAVSJFSY-KRWDZBQOSA-N 1 2 282.362 3.948 20 0 CHADLO C[C@H]1C[C@@H](CO[NH+]=C(N)c2cccc3ccccc32)CCO1 ZINC000783029730 1120175859 /nfs/dbraw/zinc/17/58/59/1120175859.db2.gz PEKKWHONRRYUBJ-KBPBESRZSA-N 1 2 298.386 3.501 20 0 CHADLO CC[C@H](NC(=O)CCCn1cc[nH+]c1)c1cc(C)ccc1C ZINC000629110731 1128896298 /nfs/dbraw/zinc/89/62/98/1128896298.db2.gz YXEJUSZIUPUJTG-KRWDZBQOSA-N 1 2 299.418 3.548 20 0 CHADLO CC(C)SCC[NH2+]CC(F)(F)c1ccccc1 ZINC000310378296 1120182667 /nfs/dbraw/zinc/18/26/67/1120182667.db2.gz BRTNUFXSJBPRSB-UHFFFAOYSA-N 1 2 259.365 3.510 20 0 CHADLO CC(=CC1CCC(C)CC1)C(=O)Nc1cc[nH+]c(C)c1 ZINC001127644724 1128896654 /nfs/dbraw/zinc/89/66/54/1128896654.db2.gz IVJUGPYKXJIQID-JLHYYAGUSA-N 1 2 272.392 3.523 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C)o1)c1ccc(Cl)cc1 ZINC000175528447 1120183928 /nfs/dbraw/zinc/18/39/28/1120183928.db2.gz XHDUEZRPRYLBCO-CYBMUJFWSA-N 1 2 264.756 3.877 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccoc2)c1Cl ZINC000659851245 1120190877 /nfs/dbraw/zinc/19/08/77/1120190877.db2.gz GUGJQJZYMCVFKG-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccoc2)c1Cl ZINC000659851245 1120190881 /nfs/dbraw/zinc/19/08/81/1120190881.db2.gz GUGJQJZYMCVFKG-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO Nc1ccc(Cl)cc1Nc1cccc(C2CC2)[nH+]1 ZINC001212434022 1120192565 /nfs/dbraw/zinc/19/25/65/1120192565.db2.gz QOMOKGDNVPLWDS-UHFFFAOYSA-N 1 2 259.740 3.938 20 0 CHADLO CC[N@H+](Cc1coc(C)n1)[C@@H](C)c1ccc(F)cc1 ZINC000659852412 1120192977 /nfs/dbraw/zinc/19/29/77/1120192977.db2.gz LRGSEWQKBFTNOL-NSHDSACASA-N 1 2 262.328 3.705 20 0 CHADLO CC[N@@H+](Cc1coc(C)n1)[C@@H](C)c1ccc(F)cc1 ZINC000659852412 1120192979 /nfs/dbraw/zinc/19/29/79/1120192979.db2.gz LRGSEWQKBFTNOL-NSHDSACASA-N 1 2 262.328 3.705 20 0 CHADLO Cc1nnsc1C[N@@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000584533371 1120193416 /nfs/dbraw/zinc/19/34/16/1120193416.db2.gz XYZYISVBTYMIDI-NSHDSACASA-N 1 2 287.388 3.786 20 0 CHADLO Cc1nnsc1C[N@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000584533371 1120193417 /nfs/dbraw/zinc/19/34/17/1120193417.db2.gz XYZYISVBTYMIDI-NSHDSACASA-N 1 2 287.388 3.786 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1C1CC1)c1ccc(F)cc1F ZINC000893000334 1120195283 /nfs/dbraw/zinc/19/52/83/1120195283.db2.gz HJBDTENDLIJWLZ-HNNXBMFYSA-N 1 2 291.345 3.737 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2coc(C)n2)cc1 ZINC000660465553 1120196232 /nfs/dbraw/zinc/19/62/32/1120196232.db2.gz MLXFWOFFLKNPPD-SNVBAGLBSA-N 1 2 262.378 3.556 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[NH2+]Cc1ccn(C(C)C)n1 ZINC000893007456 1120197670 /nfs/dbraw/zinc/19/76/70/1120197670.db2.gz BPZWXRLORDEXIE-UHFFFAOYSA-N 1 2 289.423 3.953 20 0 CHADLO CC(C)c1cccc(C[N@H+](C)Cc2cscn2)c1 ZINC000659857391 1120197777 /nfs/dbraw/zinc/19/77/77/1120197777.db2.gz JNRHROQUAPYXLG-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO CC(C)c1cccc(C[N@@H+](C)Cc2cscn2)c1 ZINC000659857391 1120197778 /nfs/dbraw/zinc/19/77/78/1120197778.db2.gz JNRHROQUAPYXLG-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2ncsc2Br)CC1 ZINC000659857973 1120201747 /nfs/dbraw/zinc/20/17/47/1120201747.db2.gz RLNDJRROHVLEEI-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2ncsc2Br)CC1 ZINC000659857973 1120201749 /nfs/dbraw/zinc/20/17/49/1120201749.db2.gz RLNDJRROHVLEEI-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2F)CC(C)C)co1 ZINC000659859150 1120201829 /nfs/dbraw/zinc/20/18/29/1120201829.db2.gz GYPSLOHHTASOLY-UHFFFAOYSA-N 1 2 276.355 3.780 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2F)CC(C)C)co1 ZINC000659859150 1120201830 /nfs/dbraw/zinc/20/18/30/1120201830.db2.gz GYPSLOHHTASOLY-UHFFFAOYSA-N 1 2 276.355 3.780 20 0 CHADLO CC[NH2+]Cc1noc(C[C@@H](C)c2ccc(C(C)C)cc2)n1 ZINC000843142383 1120223144 /nfs/dbraw/zinc/22/31/44/1120223144.db2.gz VUVMYMJJBBRZQV-CYBMUJFWSA-N 1 2 287.407 3.649 20 0 CHADLO Clc1ccc(/C=C\CSCCn2cc[nH+]c2)cc1 ZINC000468625303 1120223484 /nfs/dbraw/zinc/22/34/84/1120223484.db2.gz MYRBKVGLQBAKRG-UPHRSURJSA-N 1 2 278.808 3.983 20 0 CHADLO CCc1nc(N2CC(C)(C)[C@@H]2c2ccccc2)cc(C)[nH+]1 ZINC000672187723 1120251968 /nfs/dbraw/zinc/25/19/68/1120251968.db2.gz HGJBPDMCCZZQKZ-KRWDZBQOSA-N 1 2 281.403 3.935 20 0 CHADLO CC[C@@H]1C[C@@H](CNc2c[nH+]c3c(c2)CCCC3)CCO1 ZINC000542924744 1120254186 /nfs/dbraw/zinc/25/41/86/1120254186.db2.gz NHWOJIJCAJFGHP-XJKSGUPXSA-N 1 2 274.408 3.578 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1ccc(-n2c[nH+]c(C)c2C)nn1 ZINC001168691665 1120265664 /nfs/dbraw/zinc/26/56/64/1120265664.db2.gz VNHROGTWCFACFS-NEPJUHHUSA-N 1 2 287.411 3.516 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[NH2+]Cc1ncccc1F ZINC000893181746 1120284533 /nfs/dbraw/zinc/28/45/33/1120284533.db2.gz HXYHARPVGZHOAL-UHFFFAOYSA-N 1 2 276.355 3.709 20 0 CHADLO Cc1cn2cc(NC(=O)c3cccc(C)c3Cl)ccc2[nH+]1 ZINC000339112994 1120284838 /nfs/dbraw/zinc/28/48/38/1120284838.db2.gz QHDXXURQDHDLBI-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+]1CCO[C@H](c2ccccc2)C1 ZINC000076608618 1120288733 /nfs/dbraw/zinc/28/87/33/1120288733.db2.gz IVEOQABJPPVGLC-KDOFPFPSSA-N 1 2 285.362 3.960 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+]1CCO[C@H](c2ccccc2)C1 ZINC000076608618 1120288734 /nfs/dbraw/zinc/28/87/34/1120288734.db2.gz IVEOQABJPPVGLC-KDOFPFPSSA-N 1 2 285.362 3.960 20 0 CHADLO Cc1ccc(C)c(OCCC[N@@H+](C)Cc2cscn2)c1 ZINC000064516955 1120292341 /nfs/dbraw/zinc/29/23/41/1120292341.db2.gz BDWPYNAIYBPSNB-UHFFFAOYSA-N 1 2 290.432 3.661 20 0 CHADLO Cc1ccc(C)c(OCCC[N@H+](C)Cc2cscn2)c1 ZINC000064516955 1120292343 /nfs/dbraw/zinc/29/23/43/1120292343.db2.gz BDWPYNAIYBPSNB-UHFFFAOYSA-N 1 2 290.432 3.661 20 0 CHADLO O=C(CCc1cccs1)Nc1ccccc1-n1cc[nH+]c1 ZINC000339129395 1120294385 /nfs/dbraw/zinc/29/43/85/1120294385.db2.gz ALMCDJOUOHUXLE-UHFFFAOYSA-N 1 2 297.383 3.505 20 0 CHADLO Fc1ccc2c(cncc2-c2ccc3[nH+]ccn3c2)c1 ZINC001240218952 1120302813 /nfs/dbraw/zinc/30/28/13/1120302813.db2.gz RAGHCFWVGPWBSA-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO C[N@H+](CCSc1cccc(Cl)c1)Cc1ccon1 ZINC000659947845 1120303184 /nfs/dbraw/zinc/30/31/84/1120303184.db2.gz QKWKPVZFWCTEBE-UHFFFAOYSA-N 1 2 282.796 3.552 20 0 CHADLO C[N@@H+](CCSc1cccc(Cl)c1)Cc1ccon1 ZINC000659947845 1120303189 /nfs/dbraw/zinc/30/31/89/1120303189.db2.gz QKWKPVZFWCTEBE-UHFFFAOYSA-N 1 2 282.796 3.552 20 0 CHADLO CC(C)Oc1ccc(F)c(-c2[nH+]ccc3c2CCN3)c1F ZINC001204636009 1120314817 /nfs/dbraw/zinc/31/48/17/1120314817.db2.gz ZXVYPASIZLZZAD-UHFFFAOYSA-N 1 2 290.313 3.782 20 0 CHADLO Cc1ccc(C(C)(C)[NH2+]Cc2nc(C(C)(C)C)co2)cn1 ZINC000921995315 1120324934 /nfs/dbraw/zinc/32/49/34/1120324934.db2.gz FYXQTERUTOZPQO-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO COc1cc(C[N@@H+]2CCCC[C@H]2c2ccc(F)cc2)on1 ZINC000659989650 1120328174 /nfs/dbraw/zinc/32/81/74/1120328174.db2.gz QHIHAUFAWLFOOD-HNNXBMFYSA-N 1 2 290.338 3.550 20 0 CHADLO COc1cc(C[N@H+]2CCCC[C@H]2c2ccc(F)cc2)on1 ZINC000659989650 1120328180 /nfs/dbraw/zinc/32/81/80/1120328180.db2.gz QHIHAUFAWLFOOD-HNNXBMFYSA-N 1 2 290.338 3.550 20 0 CHADLO COc1cc(C[N@@H+]2CCCC[C@@H]2c2ccc(F)cc2)on1 ZINC000659989651 1120328254 /nfs/dbraw/zinc/32/82/54/1120328254.db2.gz QHIHAUFAWLFOOD-OAHLLOKOSA-N 1 2 290.338 3.550 20 0 CHADLO COc1cc(C[N@H+]2CCCC[C@@H]2c2ccc(F)cc2)on1 ZINC000659989651 1120328259 /nfs/dbraw/zinc/32/82/59/1120328259.db2.gz QHIHAUFAWLFOOD-OAHLLOKOSA-N 1 2 290.338 3.550 20 0 CHADLO Fc1ccc2c(c1)CCC[C@@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000672621972 1120336554 /nfs/dbraw/zinc/33/65/54/1120336554.db2.gz PTHDOFYSDVIIPF-UONOGXRCSA-N 1 2 269.310 3.981 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000679679337 1120354739 /nfs/dbraw/zinc/35/47/39/1120354739.db2.gz BCUSHUHBKPEWER-ZJUUUORDSA-N 1 2 291.288 3.729 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H]2CCCc3nc(C)sc32)c(C)o1 ZINC000660072814 1120363548 /nfs/dbraw/zinc/36/35/48/1120363548.db2.gz RBHVBZFPNWBEFW-AWEZNQCLSA-N 1 2 291.420 3.566 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H]2CCCc3nc(C)sc32)c(C)o1 ZINC000660072814 1120363552 /nfs/dbraw/zinc/36/35/52/1120363552.db2.gz RBHVBZFPNWBEFW-AWEZNQCLSA-N 1 2 291.420 3.566 20 0 CHADLO C[C@@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)c1ccsc1 ZINC000078077795 1120364757 /nfs/dbraw/zinc/36/47/57/1120364757.db2.gz BZABMMQYSRXDBK-GFCCVEGCSA-N 1 2 297.383 3.676 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)cc(F)c1O ZINC001212484056 1120364966 /nfs/dbraw/zinc/36/49/66/1120364966.db2.gz HQSDQUOFQJRWLR-UHFFFAOYSA-N 1 2 264.275 3.680 20 0 CHADLO CCCc1noc(C[N@@H+]2CCc3ccccc3[C@H]2CC)n1 ZINC000114563895 1120389818 /nfs/dbraw/zinc/38/98/18/1120389818.db2.gz LWUJUVOFCQZUME-OAHLLOKOSA-N 1 2 285.391 3.532 20 0 CHADLO CCCc1noc(C[N@H+]2CCc3ccccc3[C@H]2CC)n1 ZINC000114563895 1120389824 /nfs/dbraw/zinc/38/98/24/1120389824.db2.gz LWUJUVOFCQZUME-OAHLLOKOSA-N 1 2 285.391 3.532 20 0 CHADLO CCc1cccc(NC(=O)C[N@@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000543061311 1120395294 /nfs/dbraw/zinc/39/52/94/1120395294.db2.gz IFMFJIOZSMWPFR-CQSZACIVSA-N 1 2 294.398 3.764 20 0 CHADLO CCc1cccc(NC(=O)C[N@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000543061311 1120395299 /nfs/dbraw/zinc/39/52/99/1120395299.db2.gz IFMFJIOZSMWPFR-CQSZACIVSA-N 1 2 294.398 3.764 20 0 CHADLO C[C@@H](CCSc1ccccc1)N1C[C@@H](C)[N@@H+](C)[C@@H](C)C1 ZINC000584708767 1120417151 /nfs/dbraw/zinc/41/71/51/1120417151.db2.gz BKFOJDUPJURAIO-HRCADAONSA-N 1 2 292.492 3.582 20 0 CHADLO C[C@@H](CCSc1ccccc1)N1C[C@@H](C)[N@H+](C)[C@@H](C)C1 ZINC000584708767 1120417154 /nfs/dbraw/zinc/41/71/54/1120417154.db2.gz BKFOJDUPJURAIO-HRCADAONSA-N 1 2 292.492 3.582 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nc(C3CC3)nn2C)c(C)c1 ZINC000584717074 1120419479 /nfs/dbraw/zinc/41/94/79/1120419479.db2.gz AGWGYUUXTHATCD-CQSZACIVSA-N 1 2 298.434 3.502 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nc(C3CC3)nn2C)c(C)c1 ZINC000584717074 1120419480 /nfs/dbraw/zinc/41/94/80/1120419480.db2.gz AGWGYUUXTHATCD-CQSZACIVSA-N 1 2 298.434 3.502 20 0 CHADLO CC[C@@H]([NH2+]Cc1coc(C)n1)c1ccccc1OC(F)F ZINC000660182626 1120421774 /nfs/dbraw/zinc/42/17/74/1120421774.db2.gz DTRLGPMNZPCSJH-CYBMUJFWSA-N 1 2 296.317 3.825 20 0 CHADLO Cc1[nH+]cc(CN2Cc3ccccc3C2)n1-c1ccccc1 ZINC000119959995 1120426828 /nfs/dbraw/zinc/42/68/28/1120426828.db2.gz PJZUSSQZSARORS-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO Cc1ncc(C[NH+]2Cc3ccccc3C2)n1-c1ccccc1 ZINC000119959995 1120426831 /nfs/dbraw/zinc/42/68/31/1120426831.db2.gz PJZUSSQZSARORS-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO C[N@H+]1Cc2ccccc2[C@H](NCc2ccsc2Cl)C1 ZINC000682943604 1120430664 /nfs/dbraw/zinc/43/06/64/1120430664.db2.gz WHUVURDCURFPDS-CQSZACIVSA-N 1 2 292.835 3.678 20 0 CHADLO C[N@@H+]1Cc2ccccc2[C@H](NCc2ccsc2Cl)C1 ZINC000682943604 1120430666 /nfs/dbraw/zinc/43/06/66/1120430666.db2.gz WHUVURDCURFPDS-CQSZACIVSA-N 1 2 292.835 3.678 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1cccc(OC(F)F)c1 ZINC000624148089 1120434684 /nfs/dbraw/zinc/43/46/84/1120434684.db2.gz UZCHKUSSPWAQMP-VIFPVBQESA-N 1 2 279.277 3.984 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1cc(OC)c(OC)cc1C ZINC000624148218 1120441017 /nfs/dbraw/zinc/44/10/17/1120441017.db2.gz YQHWZYAURGSXHR-LLVKDONJSA-N 1 2 287.350 3.708 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)Nc1ccccc1F ZINC000079816506 1120448419 /nfs/dbraw/zinc/44/84/19/1120448419.db2.gz IOOCKRRHLLEMIQ-UHFFFAOYSA-N 1 2 296.305 3.655 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2ccncc2s1)C(F)F ZINC000641792544 1120448629 /nfs/dbraw/zinc/44/86/29/1120448629.db2.gz WVBLMMBKMCKUHG-JGVFFNPUSA-N 1 2 256.321 3.601 20 0 CHADLO CC1(C)CCC[N@H+](CC(=O)Nc2cc(Cl)ccc2F)C1 ZINC000497388287 1120451134 /nfs/dbraw/zinc/45/11/34/1120451134.db2.gz KXGBJBWKYXRRPL-UHFFFAOYSA-N 1 2 298.789 3.540 20 0 CHADLO CC1(C)CCC[N@@H+](CC(=O)Nc2cc(Cl)ccc2F)C1 ZINC000497388287 1120451138 /nfs/dbraw/zinc/45/11/38/1120451138.db2.gz KXGBJBWKYXRRPL-UHFFFAOYSA-N 1 2 298.789 3.540 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2oc3ccccc3c2C)co1 ZINC000660262913 1120471105 /nfs/dbraw/zinc/47/11/05/1120471105.db2.gz HUSIHARILZGYOS-NSHDSACASA-N 1 2 270.332 3.888 20 0 CHADLO C[C@H]1C[C@@H](CO)CC[N@@H+]1Cc1csc(Cl)c1Cl ZINC000584866652 1120473241 /nfs/dbraw/zinc/47/32/41/1120473241.db2.gz YZDFLSWGMIEAOA-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1C[C@@H](CO)CC[N@H+]1Cc1csc(Cl)c1Cl ZINC000584866652 1120473243 /nfs/dbraw/zinc/47/32/43/1120473243.db2.gz YZDFLSWGMIEAOA-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO Cc1ccc(Cl)cc1[C@@H](C)OCCn1cc[nH+]c1 ZINC001222134803 1120491440 /nfs/dbraw/zinc/49/14/40/1120491440.db2.gz OPGXWGRVFRJICA-GFCCVEGCSA-N 1 2 264.756 3.623 20 0 CHADLO C[C@@H](OCCn1cc[nH+]c1)c1cccc(C(F)(F)F)c1 ZINC001222134746 1120491616 /nfs/dbraw/zinc/49/16/16/1120491616.db2.gz MWEJYXITCRITPO-LLVKDONJSA-N 1 2 284.281 3.680 20 0 CHADLO CC(C)Oc1ccc(COCCn2cc[nH+]c2)cc1Cl ZINC001222139748 1120491800 /nfs/dbraw/zinc/49/18/00/1120491800.db2.gz WDFYPCQYKLJPCL-UHFFFAOYSA-N 1 2 294.782 3.541 20 0 CHADLO COC[C@H]1CC[N@@H+]1Cc1ccc(Cl)cc1C(F)(F)F ZINC001143508574 1120498063 /nfs/dbraw/zinc/49/80/63/1120498063.db2.gz NILOWOKDBJNONU-LLVKDONJSA-N 1 2 293.716 3.580 20 0 CHADLO COC[C@H]1CC[N@H+]1Cc1ccc(Cl)cc1C(F)(F)F ZINC001143508574 1120498067 /nfs/dbraw/zinc/49/80/67/1120498067.db2.gz NILOWOKDBJNONU-LLVKDONJSA-N 1 2 293.716 3.580 20 0 CHADLO Cc1cc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)cs1 ZINC000922521751 1120547073 /nfs/dbraw/zinc/54/70/73/1120547073.db2.gz MXAKKFHMRZBCPI-ZFWWWQNUSA-N 1 2 277.364 3.618 20 0 CHADLO COc1ccc2c(c1)CCC[C@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000398024424 1120555718 /nfs/dbraw/zinc/55/57/18/1120555718.db2.gz BRGSBWRCTHIVGW-HUUCEWRRSA-N 1 2 281.346 3.850 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(Cl)c(N(C)C)c1 ZINC000922607950 1120562624 /nfs/dbraw/zinc/56/26/24/1120562624.db2.gz VQQLAZDVUQAGEB-UHFFFAOYSA-N 1 2 292.814 3.796 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)[C@H](C)c2ccccc2)c1 ZINC000652068725 1120577006 /nfs/dbraw/zinc/57/70/06/1120577006.db2.gz MUGPTZBJMRDKGG-UONOGXRCSA-N 1 2 270.376 3.832 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)CCc2ccccc2F)c1 ZINC000652067876 1120577056 /nfs/dbraw/zinc/57/70/56/1120577056.db2.gz KMNXNFURFSRVTR-CYBMUJFWSA-N 1 2 288.366 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)CCc2ccccc2)c1 ZINC000652068647 1120577936 /nfs/dbraw/zinc/57/79/36/1120577936.db2.gz KARWWWDOUGLWSZ-CQSZACIVSA-N 1 2 270.376 3.661 20 0 CHADLO CCc1ccc2ccccc2c1C[NH2+]Cc1cn[nH]c1C ZINC000922718579 1120586331 /nfs/dbraw/zinc/58/63/31/1120586331.db2.gz AUQUKPUHEQJLEO-UHFFFAOYSA-N 1 2 279.387 3.724 20 0 CHADLO Fc1ccc(/C=C/C[NH2+][C@@H]2CCCC2(F)F)cc1F ZINC000673617781 1120600878 /nfs/dbraw/zinc/60/08/78/1120600878.db2.gz IQHCMSCWBFRBAJ-YWVDXFKGSA-N 1 2 273.273 3.755 20 0 CHADLO C/C(=C\c1ccccc1)C[NH2+][C@H]1CCCC1(F)F ZINC000673617836 1120601272 /nfs/dbraw/zinc/60/12/72/1120601272.db2.gz KSGCWUDUUBUIOR-WONIAPNHSA-N 1 2 251.320 3.867 20 0 CHADLO CC(C)(C)[C@H]1C[N@H+](Cc2nsc3ccccc32)CCO1 ZINC000660442654 1120615044 /nfs/dbraw/zinc/61/50/44/1120615044.db2.gz YCNLFUWHKHPONP-OAHLLOKOSA-N 1 2 290.432 3.543 20 0 CHADLO CC(C)(C)[C@H]1C[N@@H+](Cc2nsc3ccccc32)CCO1 ZINC000660442654 1120615047 /nfs/dbraw/zinc/61/50/47/1120615047.db2.gz YCNLFUWHKHPONP-OAHLLOKOSA-N 1 2 290.432 3.543 20 0 CHADLO CCc1nnc([C@H](C)[N@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000110106376 1120631321 /nfs/dbraw/zinc/63/13/21/1120631321.db2.gz MHTZJXNCUMOKAF-ZDUSSCGKSA-N 1 2 287.407 3.948 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000110106376 1120631324 /nfs/dbraw/zinc/63/13/24/1120631324.db2.gz MHTZJXNCUMOKAF-ZDUSSCGKSA-N 1 2 287.407 3.948 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1coc(C)n1 ZINC000660473964 1120635161 /nfs/dbraw/zinc/63/51/61/1120635161.db2.gz CRAFYRUAXLJIBQ-JTQLQIEISA-N 1 2 262.378 3.556 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C(F)(F)F)C3(CCC3)C2)co1 ZINC000660487894 1120639538 /nfs/dbraw/zinc/63/95/38/1120639538.db2.gz PBPSFUXGTOZAMI-LBPRGKRZSA-N 1 2 288.313 3.538 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C(F)(F)F)C3(CCC3)C2)co1 ZINC000660487894 1120639539 /nfs/dbraw/zinc/63/95/39/1120639539.db2.gz PBPSFUXGTOZAMI-LBPRGKRZSA-N 1 2 288.313 3.538 20 0 CHADLO Clc1cccc([C@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000432129242 1120650234 /nfs/dbraw/zinc/65/02/34/1120650234.db2.gz KEBVARMTSZPIHN-ZDUSSCGKSA-N 1 2 258.752 3.729 20 0 CHADLO CC(C)C[C@@H]1CCC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625228584 1120651945 /nfs/dbraw/zinc/65/19/45/1120651945.db2.gz SROUVDJAQZHVHX-ZDUSSCGKSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)C[C@@H]1CCC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625228584 1120651947 /nfs/dbraw/zinc/65/19/47/1120651947.db2.gz SROUVDJAQZHVHX-ZDUSSCGKSA-N 1 2 277.412 3.595 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cccc(F)c1OC(F)F ZINC000660615258 1120668668 /nfs/dbraw/zinc/66/86/68/1120668668.db2.gz RMRJKDYNQYGXFU-UHFFFAOYSA-N 1 2 299.292 3.961 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cccc(F)c1OC(F)F ZINC000660615258 1120668671 /nfs/dbraw/zinc/66/86/71/1120668671.db2.gz RMRJKDYNQYGXFU-UHFFFAOYSA-N 1 2 299.292 3.961 20 0 CHADLO CC[C@@H]1C[N@H+](CC(F)F)CC[C@H]1c1ccccc1 ZINC000673755224 1120669089 /nfs/dbraw/zinc/66/90/89/1120669089.db2.gz XSTZVSVTNPJZTA-TZMCWYRMSA-N 1 2 253.336 3.767 20 0 CHADLO CC[C@@H]1C[N@@H+](CC(F)F)CC[C@H]1c1ccccc1 ZINC000673755224 1120669091 /nfs/dbraw/zinc/66/90/91/1120669091.db2.gz XSTZVSVTNPJZTA-TZMCWYRMSA-N 1 2 253.336 3.767 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccncc2Cl)Cc2ccccc2O1 ZINC000429135913 1120672714 /nfs/dbraw/zinc/67/27/14/1120672714.db2.gz OMTCRFRZEHYPPK-GFCCVEGCSA-N 1 2 288.778 3.518 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccncc2Cl)Cc2ccccc2O1 ZINC000429135913 1120672717 /nfs/dbraw/zinc/67/27/17/1120672717.db2.gz OMTCRFRZEHYPPK-GFCCVEGCSA-N 1 2 288.778 3.518 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1noc2c1CCCC2 ZINC000162541325 1120676582 /nfs/dbraw/zinc/67/65/82/1120676582.db2.gz AZQPATNLSPWBAY-MRXNPFEDSA-N 1 2 285.391 3.532 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1noc2c1CCCC2 ZINC000162541325 1120676584 /nfs/dbraw/zinc/67/65/84/1120676584.db2.gz AZQPATNLSPWBAY-MRXNPFEDSA-N 1 2 285.391 3.532 20 0 CHADLO COc1cc(C[NH2+]C2(c3ccccc3Cl)CC2)sn1 ZINC000660902049 1120697965 /nfs/dbraw/zinc/69/79/65/1120697965.db2.gz QONZZVFDEZJNTR-UHFFFAOYSA-N 1 2 294.807 3.584 20 0 CHADLO Cc1cc(C[NH2+]C2(c3ccccc3Cl)CC2)nc(C)n1 ZINC000660904037 1120698259 /nfs/dbraw/zinc/69/82/59/1120698259.db2.gz ZMPOCFZGJDFGNX-UHFFFAOYSA-N 1 2 287.794 3.526 20 0 CHADLO CC(C)N(C(=O)CCCn1cc[nH+]c1)[C@H](C)c1ccccc1 ZINC000661045650 1120712236 /nfs/dbraw/zinc/71/22/36/1120712236.db2.gz FMBDXOYWXFVBEC-MRXNPFEDSA-N 1 2 299.418 3.662 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@H]2c2c(C)cccc2C)no1 ZINC000661134940 1120724424 /nfs/dbraw/zinc/72/44/24/1120724424.db2.gz XUTFJJYDPVQMTB-AWEZNQCLSA-N 1 2 285.391 3.586 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@H]2c2c(C)cccc2C)no1 ZINC000661134940 1120724429 /nfs/dbraw/zinc/72/44/29/1120724429.db2.gz XUTFJJYDPVQMTB-AWEZNQCLSA-N 1 2 285.391 3.586 20 0 CHADLO Cc1[nH+]cc(CNc2cccc(Cl)n2)n1-c1ccccc1 ZINC000149847774 1120740353 /nfs/dbraw/zinc/74/03/53/1120740353.db2.gz SUOCFDXSSPFREM-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Cc1nc(NC2c3ccccc3-c3ccccc32)cc[nH+]1 ZINC001167777270 1120752575 /nfs/dbraw/zinc/75/25/75/1120752575.db2.gz YUBRTIQJNDLACE-UHFFFAOYSA-N 1 2 273.339 3.967 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2ccc(F)c(Cl)c21)c1ccn(C)n1 ZINC000651829831 1120756142 /nfs/dbraw/zinc/75/61/42/1120756142.db2.gz OLQUDEUPHBKREX-TVQRCGJNSA-N 1 2 293.773 3.551 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2ccc(F)c(Cl)c21)c1ccn(C)n1 ZINC000651829829 1120756461 /nfs/dbraw/zinc/75/64/61/1120756461.db2.gz OLQUDEUPHBKREX-NOZJJQNGSA-N 1 2 293.773 3.551 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](c2ccccc2F)C(C)C)[nH]1 ZINC000661485020 1120770261 /nfs/dbraw/zinc/77/02/61/1120770261.db2.gz NYMYUNVILMFBDJ-IAQYHMDHSA-N 1 2 290.386 3.554 20 0 CHADLO C[C@H](O)[C@H]([NH2+]Cc1cscc1Cl)c1ccccc1F ZINC000651892856 1120771996 /nfs/dbraw/zinc/77/19/96/1120771996.db2.gz POUIPEGUZGJCPG-XPTSAGLGSA-N 1 2 299.798 3.752 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3cscn3)CC2)cc1 ZINC000093262911 1128941468 /nfs/dbraw/zinc/94/14/68/1128941468.db2.gz NONIAXWOEDZJMF-UHFFFAOYSA-N 1 2 284.428 3.995 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3cscn3)CC2)cc1 ZINC000093262911 1128941471 /nfs/dbraw/zinc/94/14/71/1128941471.db2.gz NONIAXWOEDZJMF-UHFFFAOYSA-N 1 2 284.428 3.995 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(C)on1)c1ccccc1F ZINC000652250505 1120804945 /nfs/dbraw/zinc/80/49/45/1120804945.db2.gz IXWAAMWXIDNUCC-RISCZKNCSA-N 1 2 262.328 3.924 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2csc(C)c2)c1 ZINC000652330254 1120827784 /nfs/dbraw/zinc/82/77/84/1120827784.db2.gz AIUXLXXVZKKIOI-LLVKDONJSA-N 1 2 262.378 3.771 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCc3ccc(Cl)cc32)c1 ZINC000652330269 1120828010 /nfs/dbraw/zinc/82/80/10/1120828010.db2.gz BCZURUAGWMOZET-HNNXBMFYSA-N 1 2 288.778 3.981 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(F)cc2)c1 ZINC000652330033 1120828101 /nfs/dbraw/zinc/82/81/01/1120828101.db2.gz RSNWLNNKCXHZRB-NSHDSACASA-N 1 2 260.312 3.540 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](c2ccccc2)C(F)(F)F)c1 ZINC000652330924 1120828760 /nfs/dbraw/zinc/82/87/60/1120828760.db2.gz SSHVQTHVMFSEBD-AWEZNQCLSA-N 1 2 296.292 3.944 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(F)cc2F)c1 ZINC000652330832 1120829082 /nfs/dbraw/zinc/82/90/82/1120829082.db2.gz QMUBTDGIIAIWDB-JTQLQIEISA-N 1 2 278.302 3.679 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](C)[C@@H](C)c2ccncc2)c1 ZINC000893539880 1120849976 /nfs/dbraw/zinc/84/99/76/1120849976.db2.gz NWZOIXXWEWBEPJ-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](C)[C@@H](C)c2ccncc2)c1 ZINC000893539880 1120849978 /nfs/dbraw/zinc/84/99/78/1120849978.db2.gz NWZOIXXWEWBEPJ-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO CC(C)CC1([NH2+]Cc2noc(C3CCCCC3)n2)CC1 ZINC000662072527 1120869714 /nfs/dbraw/zinc/86/97/14/1120869714.db2.gz RPWLAWHOIKGVBL-UHFFFAOYSA-N 1 2 277.412 3.786 20 0 CHADLO Fc1c(-c2c[nH+]c3n2CCCC3)cccc1C(F)F ZINC000630054116 1128946011 /nfs/dbraw/zinc/94/60/11/1128946011.db2.gz GGHUFGPMLSBCLE-UHFFFAOYSA-N 1 2 266.266 3.963 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc(OC3CC3)cc2)n1C ZINC000630054151 1128946163 /nfs/dbraw/zinc/94/61/63/1128946163.db2.gz ICEVGHKMRBTHTC-UHFFFAOYSA-N 1 2 256.349 3.752 20 0 CHADLO CCc1nc(C[N@H+](C(C)C)[C@H](CC)c2ccccc2)no1 ZINC000662109597 1120878105 /nfs/dbraw/zinc/87/81/05/1120878105.db2.gz YEUHBBHYUXJONU-OAHLLOKOSA-N 1 2 287.407 3.994 20 0 CHADLO CCc1nc(C[N@@H+](C(C)C)[C@H](CC)c2ccccc2)no1 ZINC000662109597 1120878108 /nfs/dbraw/zinc/87/81/08/1120878108.db2.gz YEUHBBHYUXJONU-OAHLLOKOSA-N 1 2 287.407 3.994 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1C[C@H]1CCC(F)(F)C1 ZINC000450925415 1128946504 /nfs/dbraw/zinc/94/65/04/1128946504.db2.gz IJDZCZXGBMWLMQ-UWVGGRQHSA-N 1 2 253.283 3.541 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1C[C@H]1CCC(F)(F)C1 ZINC000450925415 1128946508 /nfs/dbraw/zinc/94/65/08/1128946508.db2.gz IJDZCZXGBMWLMQ-UWVGGRQHSA-N 1 2 253.283 3.541 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+]1CCc2nccnc2C1 ZINC000662126149 1120880747 /nfs/dbraw/zinc/88/07/47/1120880747.db2.gz VFNVGXOFZDDZNY-INIZCTEOSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+]1CCc2nccnc2C1 ZINC000662126149 1120880753 /nfs/dbraw/zinc/88/07/53/1120880753.db2.gz VFNVGXOFZDDZNY-INIZCTEOSA-N 1 2 287.794 3.639 20 0 CHADLO Cc1nnc(C[N@H+](C)CCCc2ccccc2Cl)s1 ZINC000662255742 1120912717 /nfs/dbraw/zinc/91/27/17/1120912717.db2.gz DXOTYFNYOYDPEP-UHFFFAOYSA-N 1 2 295.839 3.565 20 0 CHADLO Cc1nnc(C[N@@H+](C)CCCc2ccccc2Cl)s1 ZINC000662255742 1120912723 /nfs/dbraw/zinc/91/27/23/1120912723.db2.gz DXOTYFNYOYDPEP-UHFFFAOYSA-N 1 2 295.839 3.565 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662365095 1120930838 /nfs/dbraw/zinc/93/08/38/1120930838.db2.gz QAMZAQWHIVLGSV-HFAKWTLXSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662365095 1120930839 /nfs/dbraw/zinc/93/08/39/1120930839.db2.gz QAMZAQWHIVLGSV-HFAKWTLXSA-N 1 2 287.325 3.631 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1nc(CC(C)C)no1 ZINC000603942537 1120972850 /nfs/dbraw/zinc/97/28/50/1120972850.db2.gz YUQCUPIJEAALEG-MRXNPFEDSA-N 1 2 299.418 3.778 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1nc(CC(C)C)no1 ZINC000603942537 1120972852 /nfs/dbraw/zinc/97/28/52/1120972852.db2.gz YUQCUPIJEAALEG-MRXNPFEDSA-N 1 2 299.418 3.778 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC000094966272 1120982473 /nfs/dbraw/zinc/98/24/73/1120982473.db2.gz IRJIEFPDOLNYBI-SECBINFHSA-N 1 2 293.436 3.896 20 0 CHADLO CC(C)c1nccc(Oc2cccc(-n3cc[nH+]c3)c2)n1 ZINC000150847766 1120993164 /nfs/dbraw/zinc/99/31/64/1120993164.db2.gz VFPMESGFFDGNGY-UHFFFAOYSA-N 1 2 280.331 3.578 20 0 CHADLO C[C@@H]([NH2+]Cc1cn2ccsc2n1)c1ccccc1Cl ZINC000057624759 1120998054 /nfs/dbraw/zinc/99/80/54/1120998054.db2.gz AGXXQKLVRCKJJJ-SNVBAGLBSA-N 1 2 291.807 3.900 20 0 CHADLO COc1cc[nH+]c(Nc2cc(C(F)(F)F)ccc2OC)c1 ZINC001174773693 1121026416 /nfs/dbraw/zinc/02/64/16/1121026416.db2.gz ACMIDMVIJNCVMK-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO c1cc2ccc(Nc3ccc(N4CCCC4)[nH+]c3)cc2[nH]1 ZINC001174750300 1121036107 /nfs/dbraw/zinc/03/61/07/1121036107.db2.gz FYOWJACJBDNGBG-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@@H+]1CC[C@@H](C)[C@H](C)C1 ZINC001307589507 1121044323 /nfs/dbraw/zinc/04/43/23/1121044323.db2.gz OHAZTGOLJYCQCE-JMSVASOKSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@H+]1CC[C@@H](C)[C@H](C)C1 ZINC001307589507 1121044335 /nfs/dbraw/zinc/04/43/35/1121044335.db2.gz OHAZTGOLJYCQCE-JMSVASOKSA-N 1 2 295.810 3.532 20 0 CHADLO CCc1cnc(C[NH2+]C2(c3ccc(F)cc3)CC2)s1 ZINC000344067032 1121071712 /nfs/dbraw/zinc/07/17/12/1121071712.db2.gz IJGJLYUYBYAWAJ-UHFFFAOYSA-N 1 2 276.380 3.624 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3c(c2)OCO3)cc1 ZINC001174868390 1121073156 /nfs/dbraw/zinc/07/31/56/1121073156.db2.gz FGACPYFCZQXHPO-UHFFFAOYSA-N 1 2 270.332 3.615 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3c(c2)OCO3)cc1 ZINC001174868390 1121073162 /nfs/dbraw/zinc/07/31/62/1121073162.db2.gz FGACPYFCZQXHPO-UHFFFAOYSA-N 1 2 270.332 3.615 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)C1CCCC1 ZINC000674078995 1121077052 /nfs/dbraw/zinc/07/70/52/1121077052.db2.gz HRGNTLQPJLELKA-ZDUSSCGKSA-N 1 2 283.375 3.637 20 0 CHADLO CN(C)c1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1 ZINC001174878526 1121078252 /nfs/dbraw/zinc/07/82/52/1121078252.db2.gz LZCLPYSVXNRNOM-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001174878298 1121078418 /nfs/dbraw/zinc/07/84/18/1121078418.db2.gz ILIMWUJEBQTWJQ-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO CN(c1ccc(COC(C)(C)C)cc1)c1[nH+]cccc1N ZINC001174911577 1121090104 /nfs/dbraw/zinc/09/01/04/1121090104.db2.gz NSZLKNDICYWBHA-UHFFFAOYSA-N 1 2 285.391 3.747 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237984113 1129235231 /nfs/dbraw/zinc/23/52/31/1129235231.db2.gz MHSMAZKMGKXLJU-UHFFFAOYSA-N 1 2 295.741 3.522 20 0 CHADLO COCc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001174921809 1121095450 /nfs/dbraw/zinc/09/54/50/1121095450.db2.gz OEMWQAHIFCZBLM-UHFFFAOYSA-N 1 2 293.370 3.821 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237984113 1129235235 /nfs/dbraw/zinc/23/52/35/1129235235.db2.gz MHSMAZKMGKXLJU-UHFFFAOYSA-N 1 2 295.741 3.522 20 0 CHADLO c1cnc2c(c1)CC[C@@H]2Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000318294241 1121101014 /nfs/dbraw/zinc/10/10/14/1121101014.db2.gz LNNHWBJJTOQHPP-INIZCTEOSA-N 1 2 294.402 3.566 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1ccc(OC)cc1Cl ZINC000674233243 1121101990 /nfs/dbraw/zinc/10/19/90/1121101990.db2.gz JWSUPNNKPCJUOS-UHFFFAOYSA-N 1 2 279.767 3.964 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1ccc(OC)cc1Cl ZINC000674233243 1121101993 /nfs/dbraw/zinc/10/19/93/1121101993.db2.gz JWSUPNNKPCJUOS-UHFFFAOYSA-N 1 2 279.767 3.964 20 0 CHADLO Cc1sc(C[NH2+]Cc2ccc(C)cn2)nc1C(C)C ZINC000344164591 1121109763 /nfs/dbraw/zinc/10/97/63/1121109763.db2.gz AFPWUUQFVNLTTC-UHFFFAOYSA-N 1 2 275.421 3.568 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(F)c(F)c(F)c2)[nH+]1 ZINC001174955194 1121110190 /nfs/dbraw/zinc/11/01/90/1121110190.db2.gz SZARSQMQZKQBSH-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO CCOc1cc(Nc2cc(F)cc(OC)c2)cc(C)[nH+]1 ZINC001175008144 1121131455 /nfs/dbraw/zinc/13/14/55/1121131455.db2.gz VHIHNXPVHRDRKZ-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO COc1ccc(Nc2c[nH+]c(C)cc2C)c(F)c1F ZINC001175019719 1121137681 /nfs/dbraw/zinc/13/76/81/1121137681.db2.gz DDZVSSFVIRAQEG-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OC)c(F)c1F ZINC001175021096 1121137900 /nfs/dbraw/zinc/13/79/00/1121137900.db2.gz VFBGNMGNGLZFAW-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO COc1c(F)cccc1C[N@@H+]1CCc2ccc(F)cc2C1 ZINC001143529649 1121139269 /nfs/dbraw/zinc/13/92/69/1121139269.db2.gz ADHJMEUFQXRSAE-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1c(F)cccc1C[N@H+]1CCc2ccc(F)cc2C1 ZINC001143529649 1121139276 /nfs/dbraw/zinc/13/92/76/1121139276.db2.gz ADHJMEUFQXRSAE-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCCc1cccc(Nc2ccc(N3CCOCC3)[nH+]c2)c1 ZINC001174981709 1121140335 /nfs/dbraw/zinc/14/03/35/1121140335.db2.gz OLRPFVQALQQUNT-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO CCOC(=O)Cc1ccc(Nc2c(C)cc[nH+]c2CC)cc1 ZINC001212519762 1121145973 /nfs/dbraw/zinc/14/59/73/1121145973.db2.gz GJBJLGWIYQTPLN-UHFFFAOYSA-N 1 2 298.386 3.802 20 0 CHADLO Cc1cc(CO[C@@H]2CCc3ccccc32)cc(C)[nH+]1 ZINC001223418868 1121146292 /nfs/dbraw/zinc/14/62/92/1121146292.db2.gz BWPDPTWXILHTBF-QGZVFWFLSA-N 1 2 253.345 3.903 20 0 CHADLO CSc1ccccc1C[N@@H+]1Cc2ccc(O)cc2C1 ZINC000625727941 1121151405 /nfs/dbraw/zinc/15/14/05/1121151405.db2.gz RIRQBYHYHZPVIP-UHFFFAOYSA-N 1 2 271.385 3.630 20 0 CHADLO CSc1ccccc1C[N@H+]1Cc2ccc(O)cc2C1 ZINC000625727941 1121151408 /nfs/dbraw/zinc/15/14/08/1121151408.db2.gz RIRQBYHYHZPVIP-UHFFFAOYSA-N 1 2 271.385 3.630 20 0 CHADLO CCc1cccc2c1N(Cc1cc(OC)cc(C)[nH+]1)CCC2 ZINC001167860438 1121155099 /nfs/dbraw/zinc/15/50/99/1121155099.db2.gz TXOJKFGQCWBOMK-UHFFFAOYSA-N 1 2 296.414 3.914 20 0 CHADLO [NH2+]=CNc1cc(-c2ccc(OC(F)(F)F)cc2)ccn1 ZINC001167863751 1121164102 /nfs/dbraw/zinc/16/41/02/1121164102.db2.gz FEDNWWZGUUZIOG-UHFFFAOYSA-N 1 2 281.237 3.666 20 0 CHADLO COc1cccc(OC)c1C[NH2+][C@@H](C)c1cc(C)c(C)o1 ZINC000658118240 1121167959 /nfs/dbraw/zinc/16/79/59/1121167959.db2.gz DDXYOEFEVKZNKV-LBPRGKRZSA-N 1 2 289.375 3.764 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000070349254 1128968006 /nfs/dbraw/zinc/96/80/06/1128968006.db2.gz HFXLHDQODFQAMI-RHSMWYFYSA-N 1 2 276.424 3.677 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@@H]1CCO[C@@H](C(C)C)C1 ZINC000070349254 1128968008 /nfs/dbraw/zinc/96/80/08/1128968008.db2.gz HFXLHDQODFQAMI-RHSMWYFYSA-N 1 2 276.424 3.677 20 0 CHADLO C[C@@H]1C[N@H+](CCC(=O)C(C)(C)C)C[C@@H](c2ccsc2)O1 ZINC000753739498 1121183287 /nfs/dbraw/zinc/18/32/87/1121183287.db2.gz UIWKZNGQRQYVKH-OCCSQVGLSA-N 1 2 295.448 3.515 20 0 CHADLO C[C@@H]1C[N@@H+](CCC(=O)C(C)(C)C)C[C@@H](c2ccsc2)O1 ZINC000753739498 1121183290 /nfs/dbraw/zinc/18/32/90/1121183290.db2.gz UIWKZNGQRQYVKH-OCCSQVGLSA-N 1 2 295.448 3.515 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(CC(=O)OCC)c2)c1 ZINC001212525866 1121202037 /nfs/dbraw/zinc/20/20/37/1121202037.db2.gz PRYUDXFXTVABCR-UHFFFAOYSA-N 1 2 298.386 3.883 20 0 CHADLO Cc1ccc(C)c(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001175165215 1121211627 /nfs/dbraw/zinc/21/16/27/1121211627.db2.gz SIOYVRXOFFTXMH-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO CCOc1cccc(Nc2c[nH+]c(C)cc2C)c1F ZINC001175240441 1121239508 /nfs/dbraw/zinc/23/95/08/1121239508.db2.gz OFLCBNRMFSJIPH-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]ccn32)c(F)c1 ZINC001175247360 1121244992 /nfs/dbraw/zinc/24/49/92/1121244992.db2.gz RIFDSNFTQSSATH-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](c2ccccc2C)C(C)C)no1 ZINC000112357805 1121254373 /nfs/dbraw/zinc/25/43/73/1121254373.db2.gz CBYXMFHVKWNPGM-MRXNPFEDSA-N 1 2 273.380 3.516 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](c2ccccc2C)C(C)C)no1 ZINC000112357805 1121254366 /nfs/dbraw/zinc/25/43/66/1121254366.db2.gz CBYXMFHVKWNPGM-MRXNPFEDSA-N 1 2 273.380 3.516 20 0 CHADLO CCCCCOc1ccc(Nc2[nH+]cccc2N)cc1 ZINC001175294672 1121259836 /nfs/dbraw/zinc/25/98/36/1121259836.db2.gz ZWAZYJUXINEQBT-UHFFFAOYSA-N 1 2 271.364 3.976 20 0 CHADLO Cc1cccc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c1F ZINC000123615131 1121270994 /nfs/dbraw/zinc/27/09/94/1121270994.db2.gz HALYITQORLNFAX-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CN(C)c1ccc(Nc2ccc(O)c3ccccc23)c[nH+]1 ZINC001175342893 1121272140 /nfs/dbraw/zinc/27/21/40/1121272140.db2.gz AKLDWUMLVHWRAC-UHFFFAOYSA-N 1 2 279.343 3.750 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2C(F)F)c[nH+]1 ZINC001175341657 1121272944 /nfs/dbraw/zinc/27/29/44/1121272944.db2.gz HKMNPZPMEKONFR-UHFFFAOYSA-N 1 2 263.291 3.829 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)cnc1Cl ZINC001175345934 1121273646 /nfs/dbraw/zinc/27/36/46/1121273646.db2.gz JNPZZGAYGTXVQE-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO CN(C)c1ccc(Nc2cccc3cncc(F)c32)c[nH+]1 ZINC001175345828 1121274105 /nfs/dbraw/zinc/27/41/05/1121274105.db2.gz KEAJVXROBQFBHZ-UHFFFAOYSA-N 1 2 282.322 3.579 20 0 CHADLO Cc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1OC(F)F ZINC001175346707 1121274121 /nfs/dbraw/zinc/27/41/21/1121274121.db2.gz YYRLRXYSMFBCKX-UHFFFAOYSA-N 1 2 293.317 3.801 20 0 CHADLO Cc1ccnc(Cl)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175345995 1121274387 /nfs/dbraw/zinc/27/43/87/1121274387.db2.gz LAYBAJMQWWOABD-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO Cc1cc(Cl)cc(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001175343714 1121274728 /nfs/dbraw/zinc/27/47/28/1121274728.db2.gz MKYNDUWXGOVCRQ-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(C)cc2F)[nH+]1 ZINC001175320704 1121282342 /nfs/dbraw/zinc/28/23/42/1121282342.db2.gz JTGXAYWGQGBOJU-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO CC[C@@H](CC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)C(C)(C)C ZINC000630909757 1128974872 /nfs/dbraw/zinc/97/48/72/1128974872.db2.gz ATXUGHGXMZTEPO-KBPBESRZSA-N 1 2 291.439 3.578 20 0 CHADLO Cc1ccc(C[S@@](=O)[C@@H]2CCc3ccccc32)c(C)[nH+]1 ZINC000614035245 1121286895 /nfs/dbraw/zinc/28/68/95/1121286895.db2.gz SIBMXCDCLWDMMA-YLJYHZDGSA-N 1 2 285.412 3.635 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2cc(C)ccc2F)o1 ZINC000174481765 1121286983 /nfs/dbraw/zinc/28/69/83/1121286983.db2.gz NKZWMXUVEBLQBR-INIZCTEOSA-N 1 2 291.366 3.767 20 0 CHADLO CN(C)c1ccc(Nc2ncc(Cl)cc2Cl)c[nH+]1 ZINC001175338705 1121290219 /nfs/dbraw/zinc/29/02/19/1121290219.db2.gz KVBWDVDEFJDJIO-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO CN(C)c1ccc(Nc2ccc(Cl)nc2Cl)c[nH+]1 ZINC001175338388 1121290474 /nfs/dbraw/zinc/29/04/74/1121290474.db2.gz GFAUYUUQUOAWAB-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO COc1c(F)cccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175349820 1121291436 /nfs/dbraw/zinc/29/14/36/1121291436.db2.gz FFBFMMHWSVXKOU-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO c1cc2ccc(Nc3ccc(N4CCCC4)[nH+]c3)cc2cn1 ZINC001175350999 1121292491 /nfs/dbraw/zinc/29/24/91/1121292491.db2.gz GRQYCEOHJGFRAJ-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO Cc1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1F ZINC001175351549 1121294350 /nfs/dbraw/zinc/29/43/50/1121294350.db2.gz IQSWMXKWYOPZAB-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1ccnc(F)c1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001212556025 1121294811 /nfs/dbraw/zinc/29/48/11/1121294811.db2.gz ULEHGIZUWKOOBF-UHFFFAOYSA-N 1 2 276.702 3.574 20 0 CHADLO C[N@H+](CCOCC1CC1)Cc1csc(Cl)c1Cl ZINC000432724481 1121297835 /nfs/dbraw/zinc/29/78/35/1121297835.db2.gz GHACAUUMMUIETG-UHFFFAOYSA-N 1 2 294.247 3.913 20 0 CHADLO C[N@@H+](CCOCC1CC1)Cc1csc(Cl)c1Cl ZINC000432724481 1121297838 /nfs/dbraw/zinc/29/78/38/1121297838.db2.gz GHACAUUMMUIETG-UHFFFAOYSA-N 1 2 294.247 3.913 20 0 CHADLO Cc1cn2cccc(Nc3ccc4ncccc4c3)c2[nH+]1 ZINC001175373051 1121302492 /nfs/dbraw/zinc/30/24/92/1121302492.db2.gz SOWLHFDNUIIPIE-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO CN(C)c1ccccc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000124875772 1121325477 /nfs/dbraw/zinc/32/54/77/1121325477.db2.gz PNTMEDWBAUWYJJ-UHFFFAOYSA-N 1 2 292.386 3.550 20 0 CHADLO Cn1ncc2cccc(Nc3cc(Cl)c4[nH+]ccn4c3)c21 ZINC001175441319 1121325995 /nfs/dbraw/zinc/32/59/95/1121325995.db2.gz IVFFNNVXZVNYCG-UHFFFAOYSA-N 1 2 297.749 3.618 20 0 CHADLO Cc1cc2ccccc2c(NC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC001129026635 1121326210 /nfs/dbraw/zinc/32/62/10/1121326210.db2.gz JGWHPDMXYKSJJG-AWEZNQCLSA-N 1 2 293.370 3.935 20 0 CHADLO COc1ccc2c(c1)nc(Cl)nc2NC(=[NH2+])C(C)(C)C ZINC001162657845 1121327023 /nfs/dbraw/zinc/32/70/23/1121327023.db2.gz RQOLVIHQBGPGHQ-UHFFFAOYSA-N 1 2 292.770 3.727 20 0 CHADLO COc1cc(C)cc(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001211721658 1121328556 /nfs/dbraw/zinc/32/85/56/1121328556.db2.gz MDVPUQXEYHQCSQ-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO Cc1[nH]c2ccc(-n3ccc4cc(N)c(F)cc43)cc2[nH+]1 ZINC001213077354 1121336352 /nfs/dbraw/zinc/33/63/52/1121336352.db2.gz TTWWWBQLBBCIGL-UHFFFAOYSA-N 1 2 280.306 3.537 20 0 CHADLO Fc1cnccc1C[N@@H+]1CCC[C@](F)(c2ccccc2)C1 ZINC001175491068 1121341076 /nfs/dbraw/zinc/34/10/76/1121341076.db2.gz IXQCMTXYZAMNOR-QGZVFWFLSA-N 1 2 288.341 3.682 20 0 CHADLO Fc1cnccc1C[N@H+]1CCC[C@](F)(c2ccccc2)C1 ZINC001175491068 1121341084 /nfs/dbraw/zinc/34/10/84/1121341084.db2.gz IXQCMTXYZAMNOR-QGZVFWFLSA-N 1 2 288.341 3.682 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](C)[C@@H]2CC[C@H](C)C2)no1 ZINC000429580852 1121341096 /nfs/dbraw/zinc/34/10/96/1121341096.db2.gz RHGMXROFQAWEMQ-XJKSGUPXSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](C)[C@@H]2CC[C@H](C)C2)no1 ZINC000429580852 1121341106 /nfs/dbraw/zinc/34/11/06/1121341106.db2.gz RHGMXROFQAWEMQ-XJKSGUPXSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cccc(CO)c1 ZINC001175513754 1121354751 /nfs/dbraw/zinc/35/47/51/1121354751.db2.gz JOGBHSZXPAARJM-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO Cc1cn2cccc(Nc3cc4c[nH]nc4c(C)c3)c2[nH+]1 ZINC001175516785 1121356324 /nfs/dbraw/zinc/35/63/24/1121356324.db2.gz HDXVZSWWETZKOR-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO COc1ccc2c(c1)CCC[N@@H+](C/C(Cl)=C/Cl)C2 ZINC000763533138 1128980072 /nfs/dbraw/zinc/98/00/72/1128980072.db2.gz MXBXNMDZJFMPQB-JYRVWZFOSA-N 1 2 286.202 3.762 20 0 CHADLO COc1ccc2c(c1)CCC[N@H+](C/C(Cl)=C/Cl)C2 ZINC000763533138 1128980077 /nfs/dbraw/zinc/98/00/77/1128980077.db2.gz MXBXNMDZJFMPQB-JYRVWZFOSA-N 1 2 286.202 3.762 20 0 CHADLO Cc1ccc(Nc2c3c[nH]nc3ccc2C)c(C)[nH+]1 ZINC001175524333 1121362690 /nfs/dbraw/zinc/36/26/90/1121362690.db2.gz BHDNTPITQULRQP-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO CCCC[C@H](C)[C@H](C)Nc1ccc(N2CCOCC2)c[nH+]1 ZINC000675859108 1121375382 /nfs/dbraw/zinc/37/53/82/1121375382.db2.gz GDDMXPFCRKQPSB-GJZGRUSLSA-N 1 2 291.439 3.545 20 0 CHADLO CCc1cccc(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001175551690 1121382093 /nfs/dbraw/zinc/38/20/93/1121382093.db2.gz ZEVUZLBRLJDIIT-UHFFFAOYSA-N 1 2 251.333 3.949 20 0 CHADLO CCOc1ncc(Nc2c[nH+]c(CC(C)C)cc2C)cn1 ZINC001175558132 1121386929 /nfs/dbraw/zinc/38/69/29/1121386929.db2.gz NBPVTGXHUKPEFA-UHFFFAOYSA-N 1 2 286.379 3.521 20 0 CHADLO OCc1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)cc1 ZINC001175563643 1121388868 /nfs/dbraw/zinc/38/88/68/1121388868.db2.gz ROSCVWAHIHIHBI-UHFFFAOYSA-N 1 2 284.746 3.546 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ccc(C)cc2F)n1 ZINC000631074654 1128982623 /nfs/dbraw/zinc/98/26/23/1128982623.db2.gz DBDGDEMVKDXJSM-UHFFFAOYSA-N 1 2 278.396 3.924 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3cn(C)nc3c2)cc1 ZINC001175616886 1121412335 /nfs/dbraw/zinc/41/23/35/1121412335.db2.gz AYUBXEILXMCTIO-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3cn(C)nc3c2)cc1 ZINC001175616886 1121412338 /nfs/dbraw/zinc/41/23/38/1121412338.db2.gz AYUBXEILXMCTIO-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO Cn1cc2cc(Nc3cc(Cl)c4[nH+]ccn4c3)ccc2n1 ZINC001175618364 1121413464 /nfs/dbraw/zinc/41/34/64/1121413464.db2.gz YVEZWIOZEIUJAA-UHFFFAOYSA-N 1 2 297.749 3.618 20 0 CHADLO Cc1[nH]nc2ccc(Nc3[nH+]cc(C)cc3C)cc21 ZINC001175693758 1121415229 /nfs/dbraw/zinc/41/52/29/1121415229.db2.gz JRCVBBNOTYJVQT-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3[nH]nc(C)c3c2)c1 ZINC001175699510 1121418246 /nfs/dbraw/zinc/41/82/46/1121418246.db2.gz RAHBWFLFTJOZHZ-UHFFFAOYSA-N 1 2 266.348 3.962 20 0 CHADLO CCOc1cc(Nc2ccc3c(C)n[nH]c3c2)cc(C)[nH+]1 ZINC001175657697 1121423197 /nfs/dbraw/zinc/42/31/97/1121423197.db2.gz XAJUDDYDZQEWSB-UHFFFAOYSA-N 1 2 282.347 3.717 20 0 CHADLO Cc1[nH]c(CN[C@H](c2cccc(Cl)c2)C(F)F)[nH+]c1C ZINC001175661675 1121426289 /nfs/dbraw/zinc/42/62/89/1121426289.db2.gz QIULHVGAGFRWSN-CYBMUJFWSA-N 1 2 299.752 3.776 20 0 CHADLO COc1cc(Nc2c(C)cc[nH+]c2C(C)C)c(F)cn1 ZINC001175691399 1121434024 /nfs/dbraw/zinc/43/40/24/1121434024.db2.gz JNSYLRQMUCJLBV-UHFFFAOYSA-N 1 2 275.327 3.800 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ccccc2F)c[nH+]1 ZINC001175713060 1121435364 /nfs/dbraw/zinc/43/53/64/1121435364.db2.gz ZLULSPCZVFLZHX-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO Cc1cc(F)ccc1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175712657 1121435390 /nfs/dbraw/zinc/43/53/90/1121435390.db2.gz QABYTKYQFHBOHY-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1cccc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175712549 1121435393 /nfs/dbraw/zinc/43/53/93/1121435393.db2.gz OEGNOTMBNRXVLP-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO CCc1cccc(O)c1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175716421 1121436748 /nfs/dbraw/zinc/43/67/48/1121436748.db2.gz LIQUKKLGZSDLIT-UHFFFAOYSA-N 1 2 297.280 3.694 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+](Cc2ccccc2)C2CC2)n1 ZINC000893919623 1121440117 /nfs/dbraw/zinc/44/01/17/1121440117.db2.gz LKVAGEUWCZOFNE-UHFFFAOYSA-N 1 2 269.392 3.698 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+](Cc2ccccc2)C2CC2)n1 ZINC000893919623 1121440121 /nfs/dbraw/zinc/44/01/21/1121440121.db2.gz LKVAGEUWCZOFNE-UHFFFAOYSA-N 1 2 269.392 3.698 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4ccncc4c3)ccc21 ZINC001175705992 1121448702 /nfs/dbraw/zinc/44/87/02/1121448702.db2.gz GHEOLTJAQRJBAB-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO Fc1cc(Cn2cc[nH+]c2)ccc1Oc1ccccc1 ZINC000676632269 1121450559 /nfs/dbraw/zinc/45/05/59/1121450559.db2.gz FTLGJMFDCCTDJK-UHFFFAOYSA-N 1 2 268.291 3.863 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CCCc3sccc3C2)n1 ZINC000894020876 1121456460 /nfs/dbraw/zinc/45/64/60/1121456460.db2.gz XETSZOZHDKHKEA-UHFFFAOYSA-N 1 2 275.421 3.543 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CCCc3sccc3C2)n1 ZINC000894020876 1121456463 /nfs/dbraw/zinc/45/64/63/1121456463.db2.gz XETSZOZHDKHKEA-UHFFFAOYSA-N 1 2 275.421 3.543 20 0 CHADLO FCCCC[N@@H+]1Cc2ccc(Br)cc2C1 ZINC000707968381 1121463605 /nfs/dbraw/zinc/46/36/05/1121463605.db2.gz XAYSYOFTIFHZDC-UHFFFAOYSA-N 1 2 272.161 3.514 20 0 CHADLO FCCCC[N@H+]1Cc2ccc(Br)cc2C1 ZINC000707968381 1121463606 /nfs/dbraw/zinc/46/36/06/1121463606.db2.gz XAYSYOFTIFHZDC-UHFFFAOYSA-N 1 2 272.161 3.514 20 0 CHADLO Cc1ccc(Nc2cnn(-c3ccccc3)c2)c(C)[nH+]1 ZINC001175790965 1121465390 /nfs/dbraw/zinc/46/53/90/1121465390.db2.gz HSEIKVYVBDPLMJ-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnn(-c2ccccc2)c1 ZINC001175791921 1121465727 /nfs/dbraw/zinc/46/57/27/1121465727.db2.gz BRWSNGLRWVZBHL-UHFFFAOYSA-N 1 2 278.359 3.882 20 0 CHADLO COCCOc1ccc(Nc2[nH+]cccc2C(C)C)cc1 ZINC001175785706 1121465898 /nfs/dbraw/zinc/46/58/98/1121465898.db2.gz YNUCUXHDGUXBDI-UHFFFAOYSA-N 1 2 286.375 3.974 20 0 CHADLO Cc1coc(C[N@@H+]2C[C@@H](c3ccsc3)O[C@@H](C)C2)c1 ZINC000894072333 1121466672 /nfs/dbraw/zinc/46/66/72/1121466672.db2.gz OPRCYGSVBGOETK-WFASDCNBSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1coc(C[N@H+]2C[C@@H](c3ccsc3)O[C@@H](C)C2)c1 ZINC000894072333 1121466676 /nfs/dbraw/zinc/46/66/76/1121466676.db2.gz OPRCYGSVBGOETK-WFASDCNBSA-N 1 2 277.389 3.612 20 0 CHADLO COc1c(F)cc(F)cc1C[N@@H+]1CCCC[C@](C)(F)C1 ZINC001143551930 1121468429 /nfs/dbraw/zinc/46/84/29/1121468429.db2.gz NOWULDBZXLNADK-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO COc1c(F)cc(F)cc1C[N@H+]1CCCC[C@](C)(F)C1 ZINC001143551930 1121468433 /nfs/dbraw/zinc/46/84/33/1121468433.db2.gz NOWULDBZXLNADK-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO c1ccc2cc(N3CCCC4(CCOCC4)C3)[nH+]cc2c1 ZINC000631129976 1128986803 /nfs/dbraw/zinc/98/68/03/1128986803.db2.gz HBIRENBCZWLZSZ-UHFFFAOYSA-N 1 2 282.387 3.632 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CCN1c1[nH+]ccc2c(N)cccc21 ZINC000663860116 1121477331 /nfs/dbraw/zinc/47/73/31/1121477331.db2.gz FHJYHDUCPMZOHQ-JOYOIKCWSA-N 1 2 295.308 3.594 20 0 CHADLO Cn1ccc2c1cccc2Nc1ccc2c(c1)[nH+]cn2C ZINC001175865719 1121484005 /nfs/dbraw/zinc/48/40/05/1121484005.db2.gz OGJQJRVUARCHIV-UHFFFAOYSA-N 1 2 276.343 3.809 20 0 CHADLO COc1cc(Nc2cccc3c2ccn3C)cc(C)[nH+]1 ZINC001175865292 1121484405 /nfs/dbraw/zinc/48/44/05/1121484405.db2.gz FWLGBZFVNMFQKJ-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[N@@H+]1CCCC(F)(F)CC1 ZINC000625767346 1121489194 /nfs/dbraw/zinc/48/91/94/1121489194.db2.gz JZYJJTHMVCBPGY-JTQLQIEISA-N 1 2 287.354 3.549 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[N@H+]1CCCC(F)(F)CC1 ZINC000625767346 1121489195 /nfs/dbraw/zinc/48/91/95/1121489195.db2.gz JZYJJTHMVCBPGY-JTQLQIEISA-N 1 2 287.354 3.549 20 0 CHADLO CCc1cccc(Nc2ccc3scnc3c2)[nH+]1 ZINC001175914157 1121496708 /nfs/dbraw/zinc/49/67/08/1121496708.db2.gz BAIZISZVZKFCNC-UHFFFAOYSA-N 1 2 255.346 3.997 20 0 CHADLO Cc1cc(C)c(/C=C/C[NH2+][C@@H](C)c2csnn2)c(C)c1 ZINC000631158453 1128988601 /nfs/dbraw/zinc/98/86/01/1128988601.db2.gz AXKQMFTYAZECJQ-GJBLVYBDSA-N 1 2 287.432 3.827 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000246697354 1121508587 /nfs/dbraw/zinc/50/85/87/1121508587.db2.gz ZXHBUKYXSWQWGP-XJKCOSOUSA-N 1 2 288.416 3.666 20 0 CHADLO C[C@H](c1ccccn1)[N@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000246697354 1121508591 /nfs/dbraw/zinc/50/85/91/1121508591.db2.gz ZXHBUKYXSWQWGP-XJKCOSOUSA-N 1 2 288.416 3.666 20 0 CHADLO COC(=O)[C@H](c1ccccc1F)[N@H+](C)C[C@H](C)C(C)(C)C ZINC001175984148 1121511509 /nfs/dbraw/zinc/51/15/09/1121511509.db2.gz REVOEMAOUGPIRJ-WFASDCNBSA-N 1 2 295.398 3.654 20 0 CHADLO COC(=O)[C@H](c1ccccc1F)[N@@H+](C)C[C@H](C)C(C)(C)C ZINC001175984148 1121511516 /nfs/dbraw/zinc/51/15/16/1121511516.db2.gz REVOEMAOUGPIRJ-WFASDCNBSA-N 1 2 295.398 3.654 20 0 CHADLO C[C@H]1CC2(CCC2)C[N@@H+]1Cc1ncc(Cl)s1 ZINC000433021581 1121521945 /nfs/dbraw/zinc/52/19/45/1121521945.db2.gz GSGJRWXPZSXHSY-VIFPVBQESA-N 1 2 256.802 3.561 20 0 CHADLO C[C@H]1CC2(CCC2)C[N@H+]1Cc1ncc(Cl)s1 ZINC000433021581 1121521949 /nfs/dbraw/zinc/52/19/49/1121521949.db2.gz GSGJRWXPZSXHSY-VIFPVBQESA-N 1 2 256.802 3.561 20 0 CHADLO C[C@H](Nc1cc2ccccc2c[nH+]1)[C@@H]1CCCCO1 ZINC000631196600 1128991110 /nfs/dbraw/zinc/99/11/10/1128991110.db2.gz SRSLNESOTFMSGQ-WFASDCNBSA-N 1 2 256.349 3.604 20 0 CHADLO c1ccc2cc(NCC[C@@H]3CCCCO3)[nH+]cc2c1 ZINC000631201346 1128991982 /nfs/dbraw/zinc/99/19/82/1128991982.db2.gz UIGSPUBOYDKFOF-HNNXBMFYSA-N 1 2 256.349 3.606 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C)c(F)c1F ZINC001176093484 1121538181 /nfs/dbraw/zinc/53/81/81/1121538181.db2.gz OCHUPJVNLYYKIK-UHFFFAOYSA-N 1 2 264.275 3.811 20 0 CHADLO COc1cc[nH+]cc1Nc1ncc(-c2ccccc2)s1 ZINC001176116413 1121556737 /nfs/dbraw/zinc/55/67/37/1121556737.db2.gz CCHUDGORVJBGFU-UHFFFAOYSA-N 1 2 283.356 3.957 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2c(s1)CCCC2 ZINC001176118622 1121557494 /nfs/dbraw/zinc/55/74/94/1121557494.db2.gz KELITZFEMMOUHO-UHFFFAOYSA-N 1 2 260.362 3.774 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(F)c1C(F)(F)F ZINC001176123923 1121557796 /nfs/dbraw/zinc/55/77/96/1121557796.db2.gz XVNJQKLCCJJKHJ-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)cc1OC(C)C ZINC001176123004 1121558185 /nfs/dbraw/zinc/55/81/85/1121558185.db2.gz FTVXCHQKSQUZQE-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2cncc(C)c21 ZINC001176124130 1121558490 /nfs/dbraw/zinc/55/84/90/1121558490.db2.gz IXIQWLAATHENPD-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(-c2nccs2)cc1 ZINC001176122558 1121558502 /nfs/dbraw/zinc/55/85/02/1121558502.db2.gz AMNYWDLHEQMASU-UHFFFAOYSA-N 1 2 283.356 3.957 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2c1CCCC2 ZINC001176123944 1121559006 /nfs/dbraw/zinc/55/90/06/1121559006.db2.gz ZFDCIHFQDPBREG-UHFFFAOYSA-N 1 2 254.333 3.713 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2c(c1)CCCCC2=O ZINC001176123589 1121559393 /nfs/dbraw/zinc/55/93/93/1121559393.db2.gz CANOVKXBRJTNAN-UHFFFAOYSA-N 1 2 282.343 3.743 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C)c(Cl)cc1F ZINC001176124579 1121560383 /nfs/dbraw/zinc/56/03/83/1121560383.db2.gz RIGHHHVABRISMW-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO CCCOc1ccccc1Nc1c[nH+]ccc1OC ZINC001176125042 1121560576 /nfs/dbraw/zinc/56/05/76/1121560576.db2.gz XPWIRWOHKUTGCO-UHFFFAOYSA-N 1 2 258.321 3.623 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1cccc(F)c1F ZINC000625979226 1121566377 /nfs/dbraw/zinc/56/63/77/1121566377.db2.gz YURWMRABQMXSAH-SECBINFHSA-N 1 2 261.262 3.584 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1cccc(F)c1F ZINC000625979226 1121566381 /nfs/dbraw/zinc/56/63/81/1121566381.db2.gz YURWMRABQMXSAH-SECBINFHSA-N 1 2 261.262 3.584 20 0 CHADLO CC(C)c1ocnc1C[N@H+](CCC(F)(F)F)CC1CC1 ZINC000092800361 1121574617 /nfs/dbraw/zinc/57/46/17/1121574617.db2.gz OHMZVAKJSIWMTI-UHFFFAOYSA-N 1 2 290.329 3.962 20 0 CHADLO CC(C)c1ocnc1C[N@@H+](CCC(F)(F)F)CC1CC1 ZINC000092800361 1121574619 /nfs/dbraw/zinc/57/46/19/1121574619.db2.gz OHMZVAKJSIWMTI-UHFFFAOYSA-N 1 2 290.329 3.962 20 0 CHADLO CCOc1ccc(C[N@@H+]2Cc3cccc(OC)c3C2)cc1 ZINC000626021125 1121581236 /nfs/dbraw/zinc/58/12/36/1121581236.db2.gz PHESBFIJTWZMPT-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO CCOc1ccc(C[N@H+]2Cc3cccc(OC)c3C2)cc1 ZINC000626021125 1121581242 /nfs/dbraw/zinc/58/12/42/1121581242.db2.gz PHESBFIJTWZMPT-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO COc1cccc2c1C[N@H+](CCCCC(F)(F)F)C2 ZINC000626022820 1121581544 /nfs/dbraw/zinc/58/15/44/1121581544.db2.gz LKDXXHGAQRRFEF-UHFFFAOYSA-N 1 2 273.298 3.743 20 0 CHADLO COc1cccc2c1C[N@@H+](CCCCC(F)(F)F)C2 ZINC000626022820 1121581550 /nfs/dbraw/zinc/58/15/50/1121581550.db2.gz LKDXXHGAQRRFEF-UHFFFAOYSA-N 1 2 273.298 3.743 20 0 CHADLO CCCOc1cccc(F)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001211783622 1121586727 /nfs/dbraw/zinc/58/67/27/1121586727.db2.gz WLESHOWMYWHAHA-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO CCCOc1cccc(F)c1Nc1c[nH+]ccc1OC ZINC001211785448 1121589431 /nfs/dbraw/zinc/58/94/31/1121589431.db2.gz BAPDUWNJFWYFQN-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cc(F)cc(OC(F)F)c1 ZINC000631258480 1128996047 /nfs/dbraw/zinc/99/60/47/1128996047.db2.gz UMVXTBKWHRAMQX-UHFFFAOYSA-N 1 2 299.296 3.817 20 0 CHADLO CCc1ccc(C2CC[NH+]([C@@H](C)c3noc(C)n3)CC2)cc1 ZINC000626086618 1121597283 /nfs/dbraw/zinc/59/72/83/1121597283.db2.gz IWVMBECNFMLVLK-ZDUSSCGKSA-N 1 2 299.418 3.881 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(O)cc1C(F)(F)F ZINC001176339149 1121601500 /nfs/dbraw/zinc/60/15/00/1121601500.db2.gz CQWHKLKOYPNXJZ-UHFFFAOYSA-N 1 2 298.264 3.948 20 0 CHADLO Cc1[nH+]c2cc(OCCCCOC(C)(C)C)ccc2n1C ZINC000664046727 1121604759 /nfs/dbraw/zinc/60/47/59/1121604759.db2.gz NOQCRVGSBGZFDQ-UHFFFAOYSA-N 1 2 290.407 3.856 20 0 CHADLO Cc1cn2cccc(Nc3c(F)cc(F)c(F)c3F)c2[nH+]1 ZINC001176347537 1121604927 /nfs/dbraw/zinc/60/49/27/1121604927.db2.gz SBQXIZQLZSOBEU-UHFFFAOYSA-N 1 2 295.239 3.943 20 0 CHADLO O=C1Cc2cc(Nc3cccc(C4CCC4)[nH+]3)ccc2N1 ZINC001176426733 1121619125 /nfs/dbraw/zinc/61/91/25/1121619125.db2.gz DCTHSQXZVKKKOX-UHFFFAOYSA-N 1 2 279.343 3.587 20 0 CHADLO CC[C@H]1CCCC[C@@H]1CNC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001129314021 1121622918 /nfs/dbraw/zinc/62/29/18/1121622918.db2.gz INKOWZALRMCEGZ-GOEBONIOSA-N 1 2 299.418 3.589 20 0 CHADLO CC(C)(C)c1cc(C[NH+]2CCC(OC3CCC3)CC2)no1 ZINC000419295467 1121626995 /nfs/dbraw/zinc/62/69/95/1121626995.db2.gz CCXVKWILKUYYNF-UHFFFAOYSA-N 1 2 292.423 3.506 20 0 CHADLO Clc1cccc(Cl)c1C1([NH2+]Cc2cncnc2)CC1 ZINC000268395655 1121648020 /nfs/dbraw/zinc/64/80/20/1121648020.db2.gz YURYIHLMDARQDO-UHFFFAOYSA-N 1 2 294.185 3.562 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](CC)C2CC2)c1 ZINC000611476814 1121651375 /nfs/dbraw/zinc/65/13/75/1121651375.db2.gz HVWVJSLBCKRMHK-CYBMUJFWSA-N 1 2 250.411 3.935 20 0 CHADLO C[C@@H]1CCCC[C@@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC000513009274 1121662000 /nfs/dbraw/zinc/66/20/00/1121662000.db2.gz RHJVVKUAEDZAMY-HIFRSBDPSA-N 1 2 259.397 3.672 20 0 CHADLO CC(C)(C)Oc1ccc(Nc2[nH+]cccc2N)cc1 ZINC001176637157 1121665117 /nfs/dbraw/zinc/66/51/17/1121665117.db2.gz FXFOWRAVMKQEHJ-UHFFFAOYSA-N 1 2 257.337 3.585 20 0 CHADLO Cc1cc(NCCSCc2ccco2)ccc1[NH+](C)C ZINC001164228184 1121672274 /nfs/dbraw/zinc/67/22/74/1121672274.db2.gz OXKJLSSCRCOQKD-UHFFFAOYSA-N 1 2 290.432 3.999 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](C)c2ccc(C3CC3)cc2)n1 ZINC000419345591 1121672560 /nfs/dbraw/zinc/67/25/60/1121672560.db2.gz UDFMTQZGXDWOSM-ZDUSSCGKSA-N 1 2 267.376 3.513 20 0 CHADLO Cc1cccc2nc(C[N@@H+](C)[C@H](C)c3ccccc3F)cn21 ZINC000052074508 1121674454 /nfs/dbraw/zinc/67/44/54/1121674454.db2.gz FPBNJVRTHOBROD-CQSZACIVSA-N 1 2 297.377 3.975 20 0 CHADLO Cc1cccc2nc(C[N@H+](C)[C@H](C)c3ccccc3F)cn21 ZINC000052074508 1121674457 /nfs/dbraw/zinc/67/44/57/1121674457.db2.gz FPBNJVRTHOBROD-CQSZACIVSA-N 1 2 297.377 3.975 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](C)c2ccc(C3CC3)cc2)n1 ZINC000419352665 1121675961 /nfs/dbraw/zinc/67/59/61/1121675961.db2.gz KGLQSJNLCSIXLW-LBPRGKRZSA-N 1 2 285.391 3.750 20 0 CHADLO CC(C)COCC[N@H+](C)Cc1c(F)cccc1Cl ZINC000500948231 1121676643 /nfs/dbraw/zinc/67/66/43/1121676643.db2.gz ACQRRSGQIHIESL-UHFFFAOYSA-N 1 2 273.779 3.584 20 0 CHADLO CC(C)COCC[N@@H+](C)Cc1c(F)cccc1Cl ZINC000500948231 1121676646 /nfs/dbraw/zinc/67/66/46/1121676646.db2.gz ACQRRSGQIHIESL-UHFFFAOYSA-N 1 2 273.779 3.584 20 0 CHADLO c1ccc([C@H]2CCCC[N@H+]2Cc2nc(C3CC3)no2)cc1 ZINC000273903144 1121681875 /nfs/dbraw/zinc/68/18/75/1121681875.db2.gz YAGSCYRAKHLVDR-OAHLLOKOSA-N 1 2 283.375 3.674 20 0 CHADLO c1ccc([C@H]2CCCC[N@@H+]2Cc2nc(C3CC3)no2)cc1 ZINC000273903144 1121681876 /nfs/dbraw/zinc/68/18/76/1121681876.db2.gz YAGSCYRAKHLVDR-OAHLLOKOSA-N 1 2 283.375 3.674 20 0 CHADLO CC(C)Cc1cc(Nc2ccc[nH+]c2N2CCCC2)on1 ZINC001176743411 1121686526 /nfs/dbraw/zinc/68/65/26/1121686526.db2.gz KCNXTTDAHYMXSD-UHFFFAOYSA-N 1 2 286.379 3.612 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2cccc(Cl)c2)CCO1 ZINC000052441033 1121686777 /nfs/dbraw/zinc/68/67/77/1121686777.db2.gz NDHYIIVVTYLOBW-SMDDNHRTSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2cccc(Cl)c2)CCO1 ZINC000052441033 1121686781 /nfs/dbraw/zinc/68/67/81/1121686781.db2.gz NDHYIIVVTYLOBW-SMDDNHRTSA-N 1 2 253.773 3.512 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccnn1Cc1ccccc1 ZINC001176703058 1121687657 /nfs/dbraw/zinc/68/76/57/1121687657.db2.gz BJQMORBPJOVKKC-UHFFFAOYSA-N 1 2 292.386 3.941 20 0 CHADLO Cc1c(Cl)nccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176750483 1121688203 /nfs/dbraw/zinc/68/82/03/1121688203.db2.gz WCHDMBCCCJUXGJ-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO COCOc1ccc(F)cc1Nc1c[nH+]c(C)cc1C ZINC001176715206 1121691414 /nfs/dbraw/zinc/69/14/14/1121691414.db2.gz PERVMGMLXOUQKI-UHFFFAOYSA-N 1 2 276.311 3.564 20 0 CHADLO Cc1cccnc1[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC001176896162 1121702311 /nfs/dbraw/zinc/70/23/11/1121702311.db2.gz DQJVYNGHXGJAFJ-GFCCVEGCSA-N 1 2 289.448 3.995 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2ccc(F)cc2Cl)o1 ZINC000291817855 1121703038 /nfs/dbraw/zinc/70/30/38/1121703038.db2.gz YZVGWAIDUPOFTG-UHFFFAOYSA-N 1 2 282.746 3.628 20 0 CHADLO CC(C)n1ncnc1C[N@@H+]1CCCC[C@H]1c1ccccc1 ZINC000279785218 1121709282 /nfs/dbraw/zinc/70/92/82/1121709282.db2.gz UWZCUGGXLVTAGT-INIZCTEOSA-N 1 2 284.407 3.586 20 0 CHADLO CC(C)n1ncnc1C[N@H+]1CCCC[C@H]1c1ccccc1 ZINC000279785218 1121709288 /nfs/dbraw/zinc/70/92/88/1121709288.db2.gz UWZCUGGXLVTAGT-INIZCTEOSA-N 1 2 284.407 3.586 20 0 CHADLO Cc1cccc(-c2ccc(C[NH2+]Cc3ccon3)cc2)c1 ZINC000607149599 1121714440 /nfs/dbraw/zinc/71/44/40/1121714440.db2.gz CZSWLPNOSUQYQG-UHFFFAOYSA-N 1 2 278.355 3.940 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)[C@H](C)c1nc(C2CC2)no1 ZINC000152799591 1129004429 /nfs/dbraw/zinc/00/44/29/1129004429.db2.gz WGYGTAUIPYOHFX-LLVKDONJSA-N 1 2 289.354 3.669 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)[C@H](C)c1nc(C2CC2)no1 ZINC000152799591 1129004431 /nfs/dbraw/zinc/00/44/31/1129004431.db2.gz WGYGTAUIPYOHFX-LLVKDONJSA-N 1 2 289.354 3.669 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1coc(C2CC2)n1 ZINC000727463672 1121720861 /nfs/dbraw/zinc/72/08/61/1121720861.db2.gz FAGSEFDCHXBRER-LBPRGKRZSA-N 1 2 286.375 3.720 20 0 CHADLO CCc1cc(N2Cc3ccc(Cl)cc3C2)nc(C)[nH+]1 ZINC000413454725 1129005760 /nfs/dbraw/zinc/00/57/60/1129005760.db2.gz MZSRSNQYFJRWBE-UHFFFAOYSA-N 1 2 273.767 3.521 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@H+](Cc2cnc(Cl)c(F)c2)C1 ZINC000877813069 1121755343 /nfs/dbraw/zinc/75/53/43/1121755343.db2.gz VXQJHLLYTIUVAQ-NSHDSACASA-N 1 2 296.695 3.648 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@@H+](Cc2cnc(Cl)c(F)c2)C1 ZINC000877813069 1121755347 /nfs/dbraw/zinc/75/53/47/1121755347.db2.gz VXQJHLLYTIUVAQ-NSHDSACASA-N 1 2 296.695 3.648 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@H+](Cc2cnc(Cl)c(F)c2)C1 ZINC000877813068 1121755455 /nfs/dbraw/zinc/75/54/55/1121755455.db2.gz VXQJHLLYTIUVAQ-LLVKDONJSA-N 1 2 296.695 3.648 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@@H+](Cc2cnc(Cl)c(F)c2)C1 ZINC000877813068 1121755460 /nfs/dbraw/zinc/75/54/60/1121755460.db2.gz VXQJHLLYTIUVAQ-LLVKDONJSA-N 1 2 296.695 3.648 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@H+](Cc2noc(C3CC3)n2)C1 ZINC000285622096 1121762671 /nfs/dbraw/zinc/76/26/71/1121762671.db2.gz KJZQKNQPXZXSTA-DOMZBBRYSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2noc(C3CC3)n2)C1 ZINC000285622096 1121762676 /nfs/dbraw/zinc/76/26/76/1121762676.db2.gz KJZQKNQPXZXSTA-DOMZBBRYSA-N 1 2 283.375 3.530 20 0 CHADLO CC(C)c1nc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2)no1 ZINC000285892732 1121763120 /nfs/dbraw/zinc/76/31/20/1121763120.db2.gz ZJDCKWKHYDFXCT-ZFWWWQNUSA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)c1nc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2)no1 ZINC000285892732 1121763125 /nfs/dbraw/zinc/76/31/25/1121763125.db2.gz ZJDCKWKHYDFXCT-ZFWWWQNUSA-N 1 2 285.391 3.776 20 0 CHADLO CN(C)c1ccc(NCc2cccc(C3CC3)c2)[nH+]c1 ZINC001178060426 1121763350 /nfs/dbraw/zinc/76/33/50/1121763350.db2.gz QTIURSDBZNDMJM-UHFFFAOYSA-N 1 2 267.376 3.637 20 0 CHADLO CC[C@H](C)Oc1cc(C)ccc1C[NH2+][C@H](C)c1ncc[nH]1 ZINC000286167740 1121764766 /nfs/dbraw/zinc/76/47/66/1121764766.db2.gz QFRUGRNNFFIWCX-UONOGXRCSA-N 1 2 287.407 3.746 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1ncc[nH]1)c1ccc(F)cc1F ZINC000286328900 1121766690 /nfs/dbraw/zinc/76/66/90/1121766690.db2.gz XWZAQLJXDXVNFJ-QMTHXVAHSA-N 1 2 279.334 3.880 20 0 CHADLO Cc1cc(CNc2ccc(-n3cc[nH+]c3)c(C)c2)sn1 ZINC001178139086 1121766828 /nfs/dbraw/zinc/76/68/28/1121766828.db2.gz MKFBQKNCUNTXGK-UHFFFAOYSA-N 1 2 284.388 3.558 20 0 CHADLO CNc1ccccc1CNc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC001178095070 1121766843 /nfs/dbraw/zinc/76/68/43/1121766843.db2.gz ZHHXZKNOSUTAPQ-UHFFFAOYSA-N 1 2 296.349 3.665 20 0 CHADLO CC(C)CCOc1ccccc1C[NH2+][C@H](C)c1ncc[nH]1 ZINC000286224663 1121767064 /nfs/dbraw/zinc/76/70/64/1121767064.db2.gz SNGVZQTXKNIKAJ-CQSZACIVSA-N 1 2 287.407 3.685 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H](C)c2cc(F)ccc2F)on1 ZINC000169215934 1121772662 /nfs/dbraw/zinc/77/26/62/1121772662.db2.gz SAOVNHGIFFXJHF-SNVBAGLBSA-N 1 2 280.318 3.927 20 0 CHADLO C[C@@H](OC(=O)c1ccc(-n2cc[nH+]c2)cc1)c1ccccc1 ZINC000728161401 1121775327 /nfs/dbraw/zinc/77/53/27/1121775327.db2.gz IDARHITUWXDLLN-CQSZACIVSA-N 1 2 292.338 3.790 20 0 CHADLO CC(C)c1nnc([C@H](C)[NH2+]Cc2ccc(Cl)c(F)c2)[nH]1 ZINC001178410008 1121786880 /nfs/dbraw/zinc/78/68/80/1121786880.db2.gz ZXIOEYVCMDOJLV-VIFPVBQESA-N 1 2 296.777 3.571 20 0 CHADLO CC[C@H](Nc1[nH+]c(C)nc2[nH]ccc21)c1cccs1 ZINC000433790482 1121811256 /nfs/dbraw/zinc/81/12/56/1121811256.db2.gz XUCICWWDWZLHMX-NSHDSACASA-N 1 2 272.377 3.891 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1Cc2ccccc2[C@H](C)C1 ZINC000730567034 1121816285 /nfs/dbraw/zinc/81/62/85/1121816285.db2.gz SUBZBPBCEYUKSP-CQSZACIVSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1Cc2ccccc2[C@H](C)C1 ZINC000730567034 1121816289 /nfs/dbraw/zinc/81/62/89/1121816289.db2.gz SUBZBPBCEYUKSP-CQSZACIVSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)[C@H](C)N(C(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000894231049 1121828814 /nfs/dbraw/zinc/82/88/14/1121828814.db2.gz VCEMRKIVADVSQG-HOTGVXAUSA-N 1 2 299.418 3.912 20 0 CHADLO CCn1ccnc1CNc1ccc(Nc2ccccc2)c[nH+]1 ZINC001179205881 1121858457 /nfs/dbraw/zinc/85/84/57/1121858457.db2.gz YDTWCWOYTQKUPZ-UHFFFAOYSA-N 1 2 293.374 3.654 20 0 CHADLO CCC[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1cc(C)ccn1 ZINC000631631784 1129015452 /nfs/dbraw/zinc/01/54/52/1129015452.db2.gz KDWPTPDUBRXGEG-OFQRWUPVSA-N 1 2 284.407 3.723 20 0 CHADLO CCc1ccc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)cc1 ZINC001179579084 1121888490 /nfs/dbraw/zinc/88/84/90/1121888490.db2.gz IWENGKRGYTXXIC-WMZOPIPTSA-N 1 2 285.362 3.810 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](Cc3ccccc3)C2)cc1F ZINC001168765847 1121912091 /nfs/dbraw/zinc/91/20/91/1121912091.db2.gz NEXBFTQYYAUQPH-GOSISDBHSA-N 1 2 299.389 3.578 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](Cc3ccccc3)C2)cc1F ZINC001168765847 1121912096 /nfs/dbraw/zinc/91/20/96/1121912096.db2.gz NEXBFTQYYAUQPH-GOSISDBHSA-N 1 2 299.389 3.578 20 0 CHADLO C[C@@H]1COC[C@H](c2ccccc2)[N@@H+]1Cc1ccccc1F ZINC000501024334 1121942199 /nfs/dbraw/zinc/94/21/99/1121942199.db2.gz MMRZRJBUNTWAAP-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1COC[C@H](c2ccccc2)[N@H+]1Cc1ccccc1F ZINC000501024334 1121942206 /nfs/dbraw/zinc/94/22/06/1121942206.db2.gz MMRZRJBUNTWAAP-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000822268646 1121950463 /nfs/dbraw/zinc/95/04/63/1121950463.db2.gz WQHWROBCSKMULQ-IUCAKERBSA-N 1 2 299.296 3.809 20 0 CHADLO C[C@@H]1COC[C@@H](c2ccccc2)[N@@H+]1Cc1cccc(F)c1 ZINC000501072065 1121950976 /nfs/dbraw/zinc/95/09/76/1121950976.db2.gz YOLAXAPICNEZPJ-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1COC[C@@H](c2ccccc2)[N@H+]1Cc1cccc(F)c1 ZINC000501072065 1121950980 /nfs/dbraw/zinc/95/09/80/1121950980.db2.gz YOLAXAPICNEZPJ-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1ncccc1F ZINC001180262346 1121969380 /nfs/dbraw/zinc/96/93/80/1121969380.db2.gz RHOSDGDFNKEZDC-CQSZACIVSA-N 1 2 276.380 3.792 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1ncccc1F ZINC001180262346 1121969382 /nfs/dbraw/zinc/96/93/82/1121969382.db2.gz RHOSDGDFNKEZDC-CQSZACIVSA-N 1 2 276.380 3.792 20 0 CHADLO Clc1ncc(C[N@@H+]2CCCc3sccc3C2)s1 ZINC000079321126 1129021640 /nfs/dbraw/zinc/02/16/40/1129021640.db2.gz SYTRCFQJNDYNPJ-UHFFFAOYSA-N 1 2 284.837 3.806 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1ncccc1F ZINC001180262345 1121970209 /nfs/dbraw/zinc/97/02/09/1121970209.db2.gz RHOSDGDFNKEZDC-AWEZNQCLSA-N 1 2 276.380 3.792 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1ncccc1F ZINC001180262345 1121970217 /nfs/dbraw/zinc/97/02/17/1121970217.db2.gz RHOSDGDFNKEZDC-AWEZNQCLSA-N 1 2 276.380 3.792 20 0 CHADLO Clc1ncc(C[N@H+]2CCCc3sccc3C2)s1 ZINC000079321126 1129021641 /nfs/dbraw/zinc/02/16/41/1129021641.db2.gz SYTRCFQJNDYNPJ-UHFFFAOYSA-N 1 2 284.837 3.806 20 0 CHADLO CCCC[N@@H+](Cc1ccccc1Cl)CN1CCCC1=O ZINC000733297139 1121973072 /nfs/dbraw/zinc/97/30/72/1121973072.db2.gz LIBIKFUNOJGSER-UHFFFAOYSA-N 1 2 294.826 3.522 20 0 CHADLO CCCC[N@H+](Cc1ccccc1Cl)CN1CCCC1=O ZINC000733297139 1121973079 /nfs/dbraw/zinc/97/30/79/1121973079.db2.gz LIBIKFUNOJGSER-UHFFFAOYSA-N 1 2 294.826 3.522 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733307198 1121975018 /nfs/dbraw/zinc/97/50/18/1121975018.db2.gz KLMYPTWRIGFWFJ-ZDUSSCGKSA-N 1 2 288.391 4.000 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733307198 1121975023 /nfs/dbraw/zinc/97/50/23/1121975023.db2.gz KLMYPTWRIGFWFJ-ZDUSSCGKSA-N 1 2 288.391 4.000 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@@H+]1CC[C@](C)(F)C1 ZINC000501412423 1121976579 /nfs/dbraw/zinc/97/65/79/1121976579.db2.gz UMFNSDRLRZEOJN-WBMJQRKESA-N 1 2 274.339 3.837 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@H+]1CC[C@](C)(F)C1 ZINC000501412423 1121976587 /nfs/dbraw/zinc/97/65/87/1121976587.db2.gz UMFNSDRLRZEOJN-WBMJQRKESA-N 1 2 274.339 3.837 20 0 CHADLO CSc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)nc1 ZINC000150893467 1121976864 /nfs/dbraw/zinc/97/68/64/1121976864.db2.gz GCIUTISFNAJDRI-UHFFFAOYSA-N 1 2 296.399 3.601 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1CC[C@](C)(F)C1 ZINC000501421367 1121977628 /nfs/dbraw/zinc/97/76/28/1121977628.db2.gz SQEBLTHJRUNPML-ZDUSSCGKSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1CC[C@](C)(F)C1 ZINC000501421367 1121977633 /nfs/dbraw/zinc/97/76/33/1121977633.db2.gz SQEBLTHJRUNPML-ZDUSSCGKSA-N 1 2 286.188 3.691 20 0 CHADLO CCOC(=O)CCCCc1cc(C2CC2)c[nH+]c1C ZINC001249980924 1122006102 /nfs/dbraw/zinc/00/61/02/1122006102.db2.gz ABLGHDDNTGRNKM-UHFFFAOYSA-N 1 2 261.365 3.543 20 0 CHADLO Fc1cccc(F)c1Cc1ccc(N2CCCC2)[nH+]c1 ZINC001249987205 1122014382 /nfs/dbraw/zinc/01/43/82/1122014382.db2.gz APZJZHLOGAECJH-UHFFFAOYSA-N 1 2 274.314 3.551 20 0 CHADLO CC[C@H](C)[N@@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000501875905 1122020462 /nfs/dbraw/zinc/02/04/62/1122020462.db2.gz XPXFOYVCBWRYMF-NSHDSACASA-N 1 2 262.784 3.909 20 0 CHADLO CC[C@H](C)[N@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000501875905 1122020468 /nfs/dbraw/zinc/02/04/68/1122020468.db2.gz XPXFOYVCBWRYMF-NSHDSACASA-N 1 2 262.784 3.909 20 0 CHADLO O=c1[nH]cccc1Nc1cc[nH+]c2cc(Cl)ccc12 ZINC001206439657 1122029642 /nfs/dbraw/zinc/02/96/42/1122029642.db2.gz OQJOIUQUMFFGLY-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO CCC(CC)CN(CC)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000420847875 1122038343 /nfs/dbraw/zinc/03/83/43/1122038343.db2.gz KUOXLMQJBYPKEX-UHFFFAOYSA-N 1 2 291.439 3.666 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nn(C)cc2Cl)s1 ZINC000420915479 1122047196 /nfs/dbraw/zinc/04/71/96/1122047196.db2.gz LZIWXODESJUTBK-CYBMUJFWSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nn(C)cc2Cl)s1 ZINC000420915479 1122047201 /nfs/dbraw/zinc/04/72/01/1122047201.db2.gz LZIWXODESJUTBK-CYBMUJFWSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1ccc(Nc2cnc(C)c(Br)c2)c(C)[nH+]1 ZINC001203367150 1122056020 /nfs/dbraw/zinc/05/60/20/1122056020.db2.gz IJNLFUUPYIYGLH-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)[nH]1 ZINC000421007145 1122060386 /nfs/dbraw/zinc/06/03/86/1122060386.db2.gz KWUMXQZOUSSXOT-LBPRGKRZSA-N 1 2 292.452 3.961 20 0 CHADLO CC(C)c1nnc(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)[nH]1 ZINC000421007145 1122060394 /nfs/dbraw/zinc/06/03/94/1122060394.db2.gz KWUMXQZOUSSXOT-LBPRGKRZSA-N 1 2 292.452 3.961 20 0 CHADLO CCn1ccnc1C[N@H+](C(C)C)[C@H](C)c1ccsc1 ZINC000421016274 1122062755 /nfs/dbraw/zinc/06/27/55/1122062755.db2.gz ULMACTAPKQCYFK-CYBMUJFWSA-N 1 2 277.437 3.936 20 0 CHADLO CCn1ccnc1C[N@@H+](C(C)C)[C@H](C)c1ccsc1 ZINC000421016274 1122062761 /nfs/dbraw/zinc/06/27/61/1122062761.db2.gz ULMACTAPKQCYFK-CYBMUJFWSA-N 1 2 277.437 3.936 20 0 CHADLO Cc1ccc(Nc2cccnc2Br)c(C)[nH+]1 ZINC001203367379 1122065207 /nfs/dbraw/zinc/06/52/07/1122065207.db2.gz KSDUPWKTFGHJGS-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO FC[C@@H]([NH2+][C@@H]1CCCc2ncccc21)c1ccc(F)cc1 ZINC000631797685 1129029880 /nfs/dbraw/zinc/02/98/80/1129029880.db2.gz DRZAUGDBVQWJMA-IAGOWNOFSA-N 1 2 288.341 3.899 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2cccc(C3CC3)c2)n1 ZINC000421353984 1122098825 /nfs/dbraw/zinc/09/88/25/1122098825.db2.gz GQQJCKQVUGFMGM-QGZVFWFLSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2cccc(C3CC3)c2)n1 ZINC000421353984 1122098830 /nfs/dbraw/zinc/09/88/30/1122098830.db2.gz GQQJCKQVUGFMGM-QGZVFWFLSA-N 1 2 297.402 3.983 20 0 CHADLO CC[C@](C)([NH2+]Cc1cc(F)ccc1OC)c1nccs1 ZINC000072684422 1122102096 /nfs/dbraw/zinc/10/20/96/1122102096.db2.gz ZHWAPVYMSOXNON-HNNXBMFYSA-N 1 2 294.395 3.706 20 0 CHADLO Cc1cnc(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)n1C ZINC000421378440 1122105340 /nfs/dbraw/zinc/10/53/40/1122105340.db2.gz VEEQUKIUIRJGDH-CYBMUJFWSA-N 1 2 277.437 3.762 20 0 CHADLO Cc1cnc(C[N@H+](C(C)C)[C@H](C)c2ccsc2)n1C ZINC000421378440 1122105345 /nfs/dbraw/zinc/10/53/45/1122105345.db2.gz VEEQUKIUIRJGDH-CYBMUJFWSA-N 1 2 277.437 3.762 20 0 CHADLO CC[C@H](C(=O)NCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC000421561398 1122133607 /nfs/dbraw/zinc/13/36/07/1122133607.db2.gz SKJQDTIRTCUEEN-KRWDZBQOSA-N 1 2 282.387 3.508 20 0 CHADLO Cc1ccc(C[C@H](C)C(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421574383 1122138731 /nfs/dbraw/zinc/13/87/31/1122138731.db2.gz FSVUYVJBVCWGKA-AWEZNQCLSA-N 1 2 296.414 3.502 20 0 CHADLO CCc1ccc([C@H](C)C(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421580043 1122140093 /nfs/dbraw/zinc/14/00/93/1122140093.db2.gz VKMCKGUYEXODIA-HNNXBMFYSA-N 1 2 296.414 3.681 20 0 CHADLO COc1ccc([C@@H](C)C[N@@H+]2CCCC[C@@H]2C(F)F)cc1 ZINC000503084644 1122166233 /nfs/dbraw/zinc/16/62/33/1122166233.db2.gz LRQACJQGMVXVJK-SWLSCSKDSA-N 1 2 283.362 3.918 20 0 CHADLO COc1ccc([C@@H](C)C[N@H+]2CCCC[C@@H]2C(F)F)cc1 ZINC000503084644 1122166235 /nfs/dbraw/zinc/16/62/35/1122166235.db2.gz LRQACJQGMVXVJK-SWLSCSKDSA-N 1 2 283.362 3.918 20 0 CHADLO Cc1ccc(Nc2cc(F)c(F)c(F)c2F)c(C)[nH+]1 ZINC001203372762 1122172392 /nfs/dbraw/zinc/17/23/92/1122172392.db2.gz HJCPAYWJYCORTI-UHFFFAOYSA-N 1 2 270.229 3.998 20 0 CHADLO Fc1ccc(CC[NH+]2Cc3cc(F)c(F)cc3C2)cc1 ZINC001182595328 1122178171 /nfs/dbraw/zinc/17/81/71/1122178171.db2.gz SBBWGNBFTOIXRM-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@H](C)Nc1cccc[nH+]1 ZINC000271975903 1122185750 /nfs/dbraw/zinc/18/57/50/1122185750.db2.gz VQQJEOCTVJOKBZ-NSHDSACASA-N 1 2 258.369 3.515 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2sc(C)nc2C)c1 ZINC000271994726 1122186316 /nfs/dbraw/zinc/18/63/16/1122186316.db2.gz CCHRLMBUDZNCAG-UHFFFAOYSA-N 1 2 294.445 3.905 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2nc(C)cs2)c1 ZINC000272080845 1122188806 /nfs/dbraw/zinc/18/88/06/1122188806.db2.gz SLHXPNDVXISSRX-UHFFFAOYSA-N 1 2 280.418 3.597 20 0 CHADLO COC(=O)c1cc(F)c(F)cc1Nc1ccc(C)[nH+]c1C ZINC001203373778 1122190596 /nfs/dbraw/zinc/19/05/96/1122190596.db2.gz OCPQLDNUIMLWEF-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Fc1cccc2c1OCCC[C@@H]2Nc1cccc[nH+]1 ZINC000272191229 1122194038 /nfs/dbraw/zinc/19/40/38/1122194038.db2.gz KYFLEIJCRLEIAY-ZDUSSCGKSA-N 1 2 258.296 3.547 20 0 CHADLO CCOC(=O)Cc1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001203374159 1122194779 /nfs/dbraw/zinc/19/47/79/1122194779.db2.gz GGGNMDIOUPLVJF-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO Cc1cc2c(cccc2Nc2ccc(C)[nH+]c2C)nn1 ZINC001203374369 1122195791 /nfs/dbraw/zinc/19/57/91/1122195791.db2.gz YGUGKYGALIZCTK-UHFFFAOYSA-N 1 2 264.332 3.694 20 0 CHADLO Cc1ccc(Nc2cccc(Cn3cccn3)c2)c(C)[nH+]1 ZINC001203374383 1122195999 /nfs/dbraw/zinc/19/59/99/1122195999.db2.gz YQSPVFPUWHZPAW-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO CCc1noc(C)c1C[N@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000272314028 1122196841 /nfs/dbraw/zinc/19/68/41/1122196841.db2.gz XISKNVZATAPFRA-UHFFFAOYSA-N 1 2 298.308 3.595 20 0 CHADLO CCc1noc(C)c1C[N@@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000272314028 1122196845 /nfs/dbraw/zinc/19/68/45/1122196845.db2.gz XISKNVZATAPFRA-UHFFFAOYSA-N 1 2 298.308 3.595 20 0 CHADLO CCc1cc(N2CCc3cccc(F)c3[C@H]2C)nc(C)[nH+]1 ZINC000545913717 1122202364 /nfs/dbraw/zinc/20/23/64/1122202364.db2.gz WNFMPFRQBHKNBK-LLVKDONJSA-N 1 2 285.366 3.610 20 0 CHADLO Cc1nc(N2CC[C@H]2c2ccc(F)cc2)c2c([nH+]1)CCCC2 ZINC000664291707 1122222540 /nfs/dbraw/zinc/22/25/40/1122222540.db2.gz YDBVBXLSVMUIQG-KRWDZBQOSA-N 1 2 297.377 3.754 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1nnc(C2CC2)[nH]1 ZINC000273412117 1122231940 /nfs/dbraw/zinc/23/19/40/1122231940.db2.gz ONXHYGDYAHDPPL-NSHDSACASA-N 1 2 296.374 3.588 20 0 CHADLO CCc1nnc(C[NH2+][C@H](CC)c2ccc(Cl)s2)o1 ZINC000273523073 1122237227 /nfs/dbraw/zinc/23/72/27/1122237227.db2.gz QWHRZRWDQYTYKX-MRVPVSSYSA-N 1 2 285.800 3.588 20 0 CHADLO CCc1cc(N(C)Cc2cccc(Cl)c2)nc(CC)[nH+]1 ZINC001184516419 1122283901 /nfs/dbraw/zinc/28/39/01/1122283901.db2.gz VEOSMFBYKBYYJV-UHFFFAOYSA-N 1 2 289.810 3.891 20 0 CHADLO Cc1nc(N[C@@H]2CCC[C@H](C)[C@H]2C)c2c([nH+]1)CCCC2 ZINC001184617173 1122288892 /nfs/dbraw/zinc/28/88/92/1122288892.db2.gz KBHWDFNJBXHCAZ-YWPYICTPSA-N 1 2 273.424 3.900 20 0 CHADLO CC(C)(F)CCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000664370339 1122296730 /nfs/dbraw/zinc/29/67/30/1122296730.db2.gz FWXMVDCLDHPZMK-UHFFFAOYSA-N 1 2 250.239 3.651 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccc(C)cc1 ZINC000774805534 1122308281 /nfs/dbraw/zinc/30/82/81/1122308281.db2.gz FSROSDOSIDCLSS-AWEZNQCLSA-N 1 2 287.407 3.916 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccc(C)cc1 ZINC000774805539 1122308394 /nfs/dbraw/zinc/30/83/94/1122308394.db2.gz FSROSDOSIDCLSS-CQSZACIVSA-N 1 2 287.407 3.916 20 0 CHADLO CC(=O)c1cccc(Nc2ccc[nH+]c2N2CCCC2)c1C ZINC001185042240 1122313919 /nfs/dbraw/zinc/31/39/19/1122313919.db2.gz BSQDLTOWZOTSSN-UHFFFAOYSA-N 1 2 295.386 3.936 20 0 CHADLO COc1c(C)[nH+]c(C2CC2)nc1NCC[C@@]1(C)CC1(F)F ZINC000664398494 1122336575 /nfs/dbraw/zinc/33/65/75/1122336575.db2.gz MAPGEKQDXBDTDM-AWEZNQCLSA-N 1 2 297.349 3.518 20 0 CHADLO CO[C@@H]1CCC[C@H]1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001185947875 1122366732 /nfs/dbraw/zinc/36/67/32/1122366732.db2.gz DRUUZEYSPRZXCI-DZGCQCFKSA-N 1 2 291.439 3.950 20 0 CHADLO C[C@H]1CCN(c2nc3ccccc3n3c[nH+]cc23)CC1(C)C ZINC001186062004 1122374459 /nfs/dbraw/zinc/37/44/59/1122374459.db2.gz RMMCALIIMLEOTJ-ZDUSSCGKSA-N 1 2 294.402 3.755 20 0 CHADLO Cc1nc(N2CCC[C@@H](C(C)(C)C)C2)c2c([nH+]1)CCCC2 ZINC001186249163 1122380140 /nfs/dbraw/zinc/38/01/40/1122380140.db2.gz VFVCREHNVVTMRT-CQSZACIVSA-N 1 2 287.451 3.926 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+]1CCOc2c(F)cccc2C1 ZINC000434611262 1122383097 /nfs/dbraw/zinc/38/30/97/1122383097.db2.gz FIUBULZHAPJCTG-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+]1CCOc2c(F)cccc2C1 ZINC000434611262 1122383103 /nfs/dbraw/zinc/38/31/03/1122383103.db2.gz FIUBULZHAPJCTG-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO CCC[C@H](CC)NC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000425142411 1122396890 /nfs/dbraw/zinc/39/68/90/1122396890.db2.gz IWWUJWHLIXHVSQ-HOTGVXAUSA-N 1 2 299.418 3.627 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3C[C@H](C)[C@H]3C)cc2)c1C ZINC000430078779 1122399720 /nfs/dbraw/zinc/39/97/20/1122399720.db2.gz KVMALFMRBMHZTN-XWCIJXRUSA-N 1 2 269.392 3.946 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)cn1 ZINC000430104181 1122401350 /nfs/dbraw/zinc/40/13/50/1122401350.db2.gz RFJULDUQRKVTKB-QGZVFWFLSA-N 1 2 281.403 3.622 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)cn1 ZINC000430104181 1122401352 /nfs/dbraw/zinc/40/13/52/1122401352.db2.gz RFJULDUQRKVTKB-QGZVFWFLSA-N 1 2 281.403 3.622 20 0 CHADLO CCOC(=O)[C@@H](CC1CC1)[NH2+]CC[C@@H](C)c1ccccc1 ZINC000775053725 1122409637 /nfs/dbraw/zinc/40/96/37/1122409637.db2.gz KCRMQMAOPMMDAO-RHSMWYFYSA-N 1 2 289.419 3.502 20 0 CHADLO CCc1cc(N[C@@H]2C[C@H]2c2ccccc2C)nc(CC)[nH+]1 ZINC001186937526 1122413515 /nfs/dbraw/zinc/41/35/15/1122413515.db2.gz ANPYHRZHANELGP-JKSUJKDBSA-N 1 2 281.403 3.878 20 0 CHADLO C[N@H+](Cc1cnc(-c2ccccc2)[nH]1)Cc1cccs1 ZINC000505554060 1122417542 /nfs/dbraw/zinc/41/75/42/1122417542.db2.gz UNGBMVTWYAWZSU-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO C[N@@H+](Cc1cnc(-c2ccccc2)[nH]1)Cc1cccs1 ZINC000505554060 1122417543 /nfs/dbraw/zinc/41/75/43/1122417543.db2.gz UNGBMVTWYAWZSU-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO C[N@@H+](Cc1c[nH]c(-c2ccccc2)n1)Cc1cccs1 ZINC000505554060 1122417547 /nfs/dbraw/zinc/41/75/47/1122417547.db2.gz UNGBMVTWYAWZSU-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO C[N@H+](Cc1c[nH]c(-c2ccccc2)n1)Cc1cccs1 ZINC000505554060 1122417550 /nfs/dbraw/zinc/41/75/50/1122417550.db2.gz UNGBMVTWYAWZSU-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO CC(C)c1cc(N2CC(Cc3ccco3)C2)nc(C(C)C)[nH+]1 ZINC001187035480 1122418765 /nfs/dbraw/zinc/41/87/65/1122418765.db2.gz XPNWGLXECPYSEL-UHFFFAOYSA-N 1 2 299.418 3.995 20 0 CHADLO CCc1cc(NC2CCC(OC(C)C)CC2)nc(CC)[nH+]1 ZINC001187108070 1122419297 /nfs/dbraw/zinc/41/92/97/1122419297.db2.gz JBJPVPQTMNIIKC-UHFFFAOYSA-N 1 2 291.439 3.750 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccnc2OC(F)(F)F)c1 ZINC001203656889 1122423605 /nfs/dbraw/zinc/42/36/05/1122423605.db2.gz BOKDGPSYMRUJOH-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc3cc(C(C)(C)C)nn3c2)c1 ZINC001203660373 1122426529 /nfs/dbraw/zinc/42/65/29/1122426529.db2.gz HBHIDDCQDAPBGP-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO Cc1cc(N(C)Cc2ccc3cc[nH]c3c2)nc(C2CC2)[nH+]1 ZINC001187414998 1122428350 /nfs/dbraw/zinc/42/83/50/1122428350.db2.gz LHUFMKAXHYBUNE-UHFFFAOYSA-N 1 2 292.386 3.780 20 0 CHADLO CC(C)c1cc(N2CC[C@@H](CF)C2)nc(C(C)C)[nH+]1 ZINC001187445390 1122429292 /nfs/dbraw/zinc/42/92/92/1122429292.db2.gz JEFUDQJLTLIAIV-LBPRGKRZSA-N 1 2 265.376 3.519 20 0 CHADLO Cc1nnc([C@@H](C)[NH+]2CCC(c3ccsc3)CC2)s1 ZINC000282455848 1122429425 /nfs/dbraw/zinc/42/94/25/1122429425.db2.gz JHXKZWAKCIUQFX-SNVBAGLBSA-N 1 2 293.461 3.849 20 0 CHADLO COc1cc2cccnc2c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203663673 1122430019 /nfs/dbraw/zinc/43/00/19/1122430019.db2.gz WEDQVDMOEMKZQI-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CCC(=O)Nc1cccc(Nc2cc(C)c[nH+]c2C)c1 ZINC001203663920 1122431124 /nfs/dbraw/zinc/43/11/24/1122431124.db2.gz ZABOPDWFOZQKFK-UHFFFAOYSA-N 1 2 269.348 3.791 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000505596055 1122432793 /nfs/dbraw/zinc/43/27/93/1122432793.db2.gz HNQUKLZJXLYGOY-SMDDNHRTSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000505596055 1122432795 /nfs/dbraw/zinc/43/27/95/1122432795.db2.gz HNQUKLZJXLYGOY-SMDDNHRTSA-N 1 2 287.325 3.705 20 0 CHADLO C[C@@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)c1cccc(Cl)c1F ZINC000775133865 1122434675 /nfs/dbraw/zinc/43/46/75/1122434675.db2.gz NQZAVFNSTCKFGQ-MFKMUULPSA-N 1 2 299.773 3.568 20 0 CHADLO C[C@@H](OC(=O)[C@@H]1CCCC[N@H+]1C)c1cccc(Cl)c1F ZINC000775133865 1122434679 /nfs/dbraw/zinc/43/46/79/1122434679.db2.gz NQZAVFNSTCKFGQ-MFKMUULPSA-N 1 2 299.773 3.568 20 0 CHADLO C[C@H](CNc1nc2ccccc2n2c[nH+]cc12)C(C)(C)C ZINC001187633729 1122435777 /nfs/dbraw/zinc/43/57/77/1122435777.db2.gz UFHJYNIGBCFHKW-GFCCVEGCSA-N 1 2 282.391 3.977 20 0 CHADLO CC[C@@H]1C[C@@H](C)C[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435131328 1122437195 /nfs/dbraw/zinc/43/71/95/1122437195.db2.gz YVIVWMRMXFCUPF-RKDXNWHRSA-N 1 2 278.343 3.782 20 0 CHADLO CC[C@@H]1C[C@@H](C)C[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435131328 1122437198 /nfs/dbraw/zinc/43/71/98/1122437198.db2.gz YVIVWMRMXFCUPF-RKDXNWHRSA-N 1 2 278.343 3.782 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)N(C)C(=O)C3(C)C)c1 ZINC001203668115 1122442358 /nfs/dbraw/zinc/44/23/58/1122442358.db2.gz USVKBIPEBVTFRZ-UHFFFAOYSA-N 1 2 295.386 3.696 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2coc(C3CC3)n2)c1 ZINC000775152798 1122446347 /nfs/dbraw/zinc/44/63/47/1122446347.db2.gz SGAKHQRJIKQSMN-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2coc(C3CC3)n2)c1 ZINC000775152798 1122446350 /nfs/dbraw/zinc/44/63/50/1122446350.db2.gz SGAKHQRJIKQSMN-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1c[nH]nc1-c1cccs1 ZINC000505655719 1122455558 /nfs/dbraw/zinc/45/55/58/1122455558.db2.gz AQWDPSKOOFKERH-LBPRGKRZSA-N 1 2 298.415 3.517 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1c[nH]nc1-c1cccs1 ZINC000505655719 1122455561 /nfs/dbraw/zinc/45/55/61/1122455561.db2.gz AQWDPSKOOFKERH-LBPRGKRZSA-N 1 2 298.415 3.517 20 0 CHADLO C[N@H+](Cc1nnsc1Cl)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000515005209 1122469203 /nfs/dbraw/zinc/46/92/03/1122469203.db2.gz ANZXWHWIJHKRSW-GXSJLCMTSA-N 1 2 287.860 3.838 20 0 CHADLO C[N@@H+](Cc1nnsc1Cl)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000515005209 1122469208 /nfs/dbraw/zinc/46/92/08/1122469208.db2.gz ANZXWHWIJHKRSW-GXSJLCMTSA-N 1 2 287.860 3.838 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(CO)c(F)c1Cl ZINC001250296398 1122479920 /nfs/dbraw/zinc/47/99/20/1122479920.db2.gz UDAPZQHBNMJQOZ-UHFFFAOYSA-N 1 2 296.729 3.509 20 0 CHADLO COc1ccc([C@@H](C)Cn2c[nH+]c(C(C)(C)C)c2)cc1 ZINC001189876850 1122517426 /nfs/dbraw/zinc/51/74/26/1122517426.db2.gz XCPRGBPESMNXBJ-ZDUSSCGKSA-N 1 2 272.392 3.993 20 0 CHADLO C/C=C/c1ccc(NC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000515655605 1122519841 /nfs/dbraw/zinc/51/98/41/1122519841.db2.gz KOLUQBYLTJHZPT-OOPCZODUSA-N 1 2 269.348 3.506 20 0 CHADLO COc1ccc(Cl)cc1C(C)(C)[NH2+]Cc1cc(C)no1 ZINC000425505811 1122530175 /nfs/dbraw/zinc/53/01/75/1122530175.db2.gz BMISIUUKOXEIQT-UHFFFAOYSA-N 1 2 294.782 3.670 20 0 CHADLO COCCC1(CNc2ccc(N(C)C)c[nH+]2)CCCCC1 ZINC000436304705 1122536001 /nfs/dbraw/zinc/53/60/01/1122536001.db2.gz YXATUEVIEWTURI-UHFFFAOYSA-N 1 2 291.439 3.547 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nnc(C(C)C)o1)c1ccccc1 ZINC000775389892 1122539566 /nfs/dbraw/zinc/53/95/66/1122539566.db2.gz IWFFWIIBIZOTSS-MRXNPFEDSA-N 1 2 273.380 3.608 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[NH+]1CCC(C)(C)CC1 ZINC001190742309 1122553821 /nfs/dbraw/zinc/55/38/21/1122553821.db2.gz IWGXGUJIZWJDHT-AWEZNQCLSA-N 1 2 295.810 3.676 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ccon1)c1cc2ccccc2o1 ZINC000775423221 1122561251 /nfs/dbraw/zinc/56/12/51/1122561251.db2.gz OMXQGDGUSSYNJQ-INIZCTEOSA-N 1 2 270.332 3.908 20 0 CHADLO CC[C@]1(C)CCC[N@H+](Cc2nc(C(C)C)ns2)C1 ZINC001191213643 1122581653 /nfs/dbraw/zinc/58/16/53/1122581653.db2.gz JIASGGXVDKWTLK-CQSZACIVSA-N 1 2 267.442 3.674 20 0 CHADLO CC[C@]1(C)CCC[N@@H+](Cc2nc(C(C)C)ns2)C1 ZINC001191213643 1122581656 /nfs/dbraw/zinc/58/16/56/1122581656.db2.gz JIASGGXVDKWTLK-CQSZACIVSA-N 1 2 267.442 3.674 20 0 CHADLO c1cnc2cc(-c3cc[nH+]c(N4CCCCC4)c3)ccc2n1 ZINC000142821603 1122602908 /nfs/dbraw/zinc/60/29/08/1122602908.db2.gz YUNUBJOTLALADI-UHFFFAOYSA-N 1 2 290.370 3.682 20 0 CHADLO Brc1cc(Cn2cc[nH+]c2)cc2ccccc12 ZINC001191673383 1122608867 /nfs/dbraw/zinc/60/88/67/1122608867.db2.gz WZEQUTJJCFPZMW-UHFFFAOYSA-N 1 2 287.160 3.847 20 0 CHADLO CC(C)c1ccc2c(c1)[C@H]([NH2+][C@@H](C)c1ncn(C)n1)CCC2 ZINC000437054299 1122609822 /nfs/dbraw/zinc/60/98/22/1122609822.db2.gz BGDZXIVRHDKITR-SUMWQHHRSA-N 1 2 298.434 3.667 20 0 CHADLO CCc1ccc(CC[N@H+](CC(F)F)C(C)C)cc1 ZINC001192184564 1122632324 /nfs/dbraw/zinc/63/23/24/1122632324.db2.gz SSLJWLIXHOGUQZ-UHFFFAOYSA-N 1 2 255.352 3.767 20 0 CHADLO CCc1ccc(CC[N@@H+](CC(F)F)C(C)C)cc1 ZINC001192184564 1122632327 /nfs/dbraw/zinc/63/23/27/1122632327.db2.gz SSLJWLIXHOGUQZ-UHFFFAOYSA-N 1 2 255.352 3.767 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1Cc1cc2c(cccc2F)[nH]1 ZINC000438118585 1122676807 /nfs/dbraw/zinc/67/68/07/1122676807.db2.gz HVDPGNFLRNDRCJ-CQSZACIVSA-N 1 2 290.382 3.554 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1Cc1cc2c(cccc2F)[nH]1 ZINC000438118585 1122676812 /nfs/dbraw/zinc/67/68/12/1122676812.db2.gz HVDPGNFLRNDRCJ-CQSZACIVSA-N 1 2 290.382 3.554 20 0 CHADLO c1cc(-c2n[nH]cc2C[NH+]2Cc3ccccc3C2)cs1 ZINC000438127048 1122681460 /nfs/dbraw/zinc/68/14/60/1122681460.db2.gz LGDWVQVGTCSJJL-UHFFFAOYSA-N 1 2 281.384 3.654 20 0 CHADLO Clc1cnc(C[N@@H+]2CCCC3(CCCC3)C2)s1 ZINC000438362734 1122694242 /nfs/dbraw/zinc/69/42/42/1122694242.db2.gz IOVJBIKDZFKVGS-UHFFFAOYSA-N 1 2 270.829 3.953 20 0 CHADLO Clc1cnc(C[N@H+]2CCCC3(CCCC3)C2)s1 ZINC000438362734 1122694245 /nfs/dbraw/zinc/69/42/45/1122694245.db2.gz IOVJBIKDZFKVGS-UHFFFAOYSA-N 1 2 270.829 3.953 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)[C@@H](C)[C@@H](C)O1 ZINC000438363794 1122694763 /nfs/dbraw/zinc/69/47/63/1122694763.db2.gz HXARBUGXWFVHPP-GRYCIOLGSA-N 1 2 287.325 3.703 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)[C@@H](C)[C@@H](C)O1 ZINC000438363794 1122694766 /nfs/dbraw/zinc/69/47/66/1122694766.db2.gz HXARBUGXWFVHPP-GRYCIOLGSA-N 1 2 287.325 3.703 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)[C@@H](C)[C@@H](C)O1 ZINC000438371705 1122696753 /nfs/dbraw/zinc/69/67/53/1122696753.db2.gz BLEGMWBBROOPFQ-FRRDWIJNSA-N 1 2 294.464 3.798 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)[C@@H](C)[C@@H](C)O1 ZINC000438371705 1122696757 /nfs/dbraw/zinc/69/67/57/1122696757.db2.gz BLEGMWBBROOPFQ-FRRDWIJNSA-N 1 2 294.464 3.798 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)OC1CCCCC1 ZINC001193596274 1122700644 /nfs/dbraw/zinc/70/06/44/1122700644.db2.gz HPZJZVGLMLSLLQ-UHFFFAOYSA-N 1 2 285.347 3.754 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)n1 ZINC000426047703 1122708235 /nfs/dbraw/zinc/70/82/35/1122708235.db2.gz GXOTUZXTXKJXOC-CQSZACIVSA-N 1 2 275.421 3.761 20 0 CHADLO CCCc1csc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)n1 ZINC000426047703 1122708240 /nfs/dbraw/zinc/70/82/40/1122708240.db2.gz GXOTUZXTXKJXOC-CQSZACIVSA-N 1 2 275.421 3.761 20 0 CHADLO Cc1c2[nH+]c([C@@H]3CCOC(C)(C)C3)[nH]c2ccc1F ZINC001250545717 1122725113 /nfs/dbraw/zinc/72/51/13/1122725113.db2.gz SZHOTZCWPIGPSH-SNVBAGLBSA-N 1 2 262.328 3.683 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cccc3[nH]ccc32)CC1(F)F ZINC000426384086 1122778896 /nfs/dbraw/zinc/77/88/96/1122778896.db2.gz DWKPLTYTFCXEAY-NSHDSACASA-N 1 2 264.319 3.645 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cccc3[nH]ccc32)CC1(F)F ZINC000426384086 1122778901 /nfs/dbraw/zinc/77/89/01/1122778901.db2.gz DWKPLTYTFCXEAY-NSHDSACASA-N 1 2 264.319 3.645 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cccc(OC)c2F)cs1 ZINC000192682535 1122818597 /nfs/dbraw/zinc/81/85/97/1122818597.db2.gz YSQMDYOMYYXXIH-SNVBAGLBSA-N 1 2 294.395 3.704 20 0 CHADLO CC[C@@H](C)C[C@H](C)NC(=O)c1cccc(-c2c[nH+]cn2C)c1 ZINC001194917768 1122822672 /nfs/dbraw/zinc/82/26/72/1122822672.db2.gz RBDVKJXKHRBJFI-KGLIPLIRSA-N 1 2 299.418 3.642 20 0 CHADLO CCc1nnc(C[NH2+]Cc2ccc3sccc3c2)s1 ZINC000894531777 1122870141 /nfs/dbraw/zinc/87/01/41/1122870141.db2.gz NVZRJESKIHRLNT-UHFFFAOYSA-N 1 2 289.429 3.605 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)nc1 ZINC000664828209 1122871524 /nfs/dbraw/zinc/87/15/24/1122871524.db2.gz CYILCUACPCRBCA-KRWDZBQOSA-N 1 2 281.403 3.622 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)nc1 ZINC000664828209 1122871530 /nfs/dbraw/zinc/87/15/30/1122871530.db2.gz CYILCUACPCRBCA-KRWDZBQOSA-N 1 2 281.403 3.622 20 0 CHADLO Cc1cc(N[C@@H]2C[C@@H](O)c3ccccc32)nc(C2CCC2)[nH+]1 ZINC000894550154 1122871952 /nfs/dbraw/zinc/87/19/52/1122871952.db2.gz VRBLHKTVYILDLL-HZPDHXFCSA-N 1 2 295.386 3.643 20 0 CHADLO Cc1cc(NCC[C@H]2CC2(F)F)nc(C2CCC2)[nH+]1 ZINC000894674557 1122890500 /nfs/dbraw/zinc/89/05/00/1122890500.db2.gz ZSZTURLIZLKAGO-NSHDSACASA-N 1 2 267.323 3.510 20 0 CHADLO COc1cccc2c1CN(c1cc(C)[nH+]c(C3CCC3)n1)C2 ZINC000894779428 1122904521 /nfs/dbraw/zinc/90/45/21/1122904521.db2.gz ZLGKDFPLKJEBSR-UHFFFAOYSA-N 1 2 295.386 3.581 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ccc(F)cc2F)nc1 ZINC000338907492 1122910650 /nfs/dbraw/zinc/91/06/50/1122910650.db2.gz YTJASNLZUSBYPP-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ccc(F)cc2F)nc1 ZINC000338907492 1122910653 /nfs/dbraw/zinc/91/06/53/1122910653.db2.gz YTJASNLZUSBYPP-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO CC(C)[N@H+](Cc1nccs1)Cc1cccc(F)c1 ZINC000507114998 1122926201 /nfs/dbraw/zinc/92/62/01/1122926201.db2.gz DMSDLKUSBAZVNN-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO CCCC[NH2+][C@@H](Cc1cccc2ccccc21)C(=O)OCC ZINC001196747596 1122933436 /nfs/dbraw/zinc/93/34/36/1122933436.db2.gz QQQRQWBQVXLGTB-SFHVURJKSA-N 1 2 299.414 3.704 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)Cc1ccc2ccccc2c1 ZINC000507375562 1122949979 /nfs/dbraw/zinc/94/99/79/1122949979.db2.gz CELOOGHTJVGXET-UHFFFAOYSA-N 1 2 285.387 3.613 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc2ccccc2c1 ZINC000507375562 1122949983 /nfs/dbraw/zinc/94/99/83/1122949983.db2.gz CELOOGHTJVGXET-UHFFFAOYSA-N 1 2 285.387 3.613 20 0 CHADLO CC(C)c1cc(N2CC(c3ccccn3)C2)nc(C(C)C)[nH+]1 ZINC000894845395 1122964363 /nfs/dbraw/zinc/96/43/63/1122964363.db2.gz GGUIMYCIOHLVSG-UHFFFAOYSA-N 1 2 296.418 3.722 20 0 CHADLO COc1cc(Nc2ccc(NC(=O)C(C)C)cc2)cc(C)[nH+]1 ZINC001197678986 1122965750 /nfs/dbraw/zinc/96/57/50/1122965750.db2.gz LWIBBQITJFQZOP-UHFFFAOYSA-N 1 2 299.374 3.737 20 0 CHADLO O=C(Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1)C1=CCC1 ZINC000334221431 1122971701 /nfs/dbraw/zinc/97/17/01/1122971701.db2.gz WZNVCOPERSXTPC-UHFFFAOYSA-N 1 2 293.370 3.545 20 0 CHADLO CCCc1ccc(C[N@H+](C)Cc2csnc2OC)cc1 ZINC001198122500 1122982152 /nfs/dbraw/zinc/98/21/52/1122982152.db2.gz MGJOUCYZWRTJIT-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCCc1ccc(C[N@@H+](C)Cc2csnc2OC)cc1 ZINC001198122500 1122982158 /nfs/dbraw/zinc/98/21/58/1122982158.db2.gz MGJOUCYZWRTJIT-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO Cc1cccc(C)c1NC(=S)Nc1cccc2[nH+]ccn21 ZINC001198322294 1122987187 /nfs/dbraw/zinc/98/71/87/1122987187.db2.gz MVRUMVMPLIBTRC-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO CSc1cccc(OC2C[NH+](Cc3ccccc3)C2)c1 ZINC001226232985 1122996506 /nfs/dbraw/zinc/99/65/06/1122996506.db2.gz OFPVVIKBQFDTQP-UHFFFAOYSA-N 1 2 285.412 3.672 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CCC[N@@H+]1Cc1cccnc1Cl ZINC000775867787 1122997073 /nfs/dbraw/zinc/99/70/73/1122997073.db2.gz SREIBSSBXALHFE-GXSJLCMTSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CCC[N@H+]1Cc1cccnc1Cl ZINC000775867787 1122997075 /nfs/dbraw/zinc/99/70/75/1122997075.db2.gz SREIBSSBXALHFE-GXSJLCMTSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@H+]1Cc1ccncc1Cl ZINC000794434156 1122998020 /nfs/dbraw/zinc/99/80/20/1122998020.db2.gz IYQGYEVICHIYNS-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1ccncc1Cl ZINC000794434156 1122998024 /nfs/dbraw/zinc/99/80/24/1122998024.db2.gz IYQGYEVICHIYNS-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cc(C(C)(C)C)on2)c(C)[nH+]1 ZINC000334395754 1123005181 /nfs/dbraw/zinc/00/51/81/1123005181.db2.gz WBMFZVDBLJEGIR-UHFFFAOYSA-N 1 2 287.363 3.545 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2CCCOc3ccc(F)cc32)no1 ZINC000334431340 1123012458 /nfs/dbraw/zinc/01/24/58/1123012458.db2.gz KHQIJWMJRVYXSB-SMDDNHRTSA-N 1 2 290.338 3.687 20 0 CHADLO CC[C@@H](F)C[N@H+](C)Cc1cc(Cl)ccc1OC ZINC000440701137 1123029528 /nfs/dbraw/zinc/02/95/28/1123029528.db2.gz IELNSACJCBOJHI-GFCCVEGCSA-N 1 2 259.752 3.529 20 0 CHADLO CC[C@@H](F)C[N@@H+](C)Cc1cc(Cl)ccc1OC ZINC000440701137 1123029529 /nfs/dbraw/zinc/02/95/29/1123029529.db2.gz IELNSACJCBOJHI-GFCCVEGCSA-N 1 2 259.752 3.529 20 0 CHADLO CCCc1nc(C[N@@H+](CCC)[C@@H]2CCc3ccccc32)no1 ZINC000519907338 1123036861 /nfs/dbraw/zinc/03/68/61/1123036861.db2.gz VOXUDIQGAOTGDX-MRXNPFEDSA-N 1 2 299.418 3.922 20 0 CHADLO CCCc1nc(C[N@H+](CCC)[C@@H]2CCc3ccccc32)no1 ZINC000519907338 1123036864 /nfs/dbraw/zinc/03/68/64/1123036864.db2.gz VOXUDIQGAOTGDX-MRXNPFEDSA-N 1 2 299.418 3.922 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000440790998 1123039988 /nfs/dbraw/zinc/03/99/88/1123039988.db2.gz HLVDPRRKRODBKC-DOTOQJQBSA-N 1 2 272.367 3.641 20 0 CHADLO CC[C@H](F)C[N@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000440790998 1123039990 /nfs/dbraw/zinc/03/99/90/1123039990.db2.gz HLVDPRRKRODBKC-DOTOQJQBSA-N 1 2 272.367 3.641 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000440789322 1123040709 /nfs/dbraw/zinc/04/07/09/1123040709.db2.gz AIXFFYQGECYVGH-NXEZZACHSA-N 1 2 257.299 3.632 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000440789322 1123040714 /nfs/dbraw/zinc/04/07/14/1123040714.db2.gz AIXFFYQGECYVGH-NXEZZACHSA-N 1 2 257.299 3.632 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(-c3cccs3)o2)CCC1(F)F ZINC000334491195 1123057804 /nfs/dbraw/zinc/05/78/04/1123057804.db2.gz YEMWUZRVZGQRCM-SNVBAGLBSA-N 1 2 298.358 3.880 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(-c3cccs3)o2)CCC1(F)F ZINC000334491195 1123057808 /nfs/dbraw/zinc/05/78/08/1123057808.db2.gz YEMWUZRVZGQRCM-SNVBAGLBSA-N 1 2 298.358 3.880 20 0 CHADLO CC(C)[C@@H]1CCC[C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000520235292 1123065931 /nfs/dbraw/zinc/06/59/31/1123065931.db2.gz WOFFQZDIWHOHSH-OAGGEKHMSA-N 1 2 291.439 3.555 20 0 CHADLO Cc1ccc(C(C)(C)C[N@H+](C)CC(=O)OC(C)(C)C)cc1 ZINC000441344030 1123081366 /nfs/dbraw/zinc/08/13/66/1123081366.db2.gz CBJMQZISSSBLFR-UHFFFAOYSA-N 1 2 291.435 3.546 20 0 CHADLO Cc1ccc(C(C)(C)C[N@@H+](C)CC(=O)OC(C)(C)C)cc1 ZINC000441344030 1123081369 /nfs/dbraw/zinc/08/13/69/1123081369.db2.gz CBJMQZISSSBLFR-UHFFFAOYSA-N 1 2 291.435 3.546 20 0 CHADLO Nc1ccc(Nc2ccc3nc(Cl)ccc3c2)c[nH+]1 ZINC001201291163 1123083063 /nfs/dbraw/zinc/08/30/63/1123083063.db2.gz CSJFDPSEQOTAPP-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)n(-c2ccccc2Cl)n1 ZINC001201272382 1123083618 /nfs/dbraw/zinc/08/36/18/1123083618.db2.gz MHTOVHINJOPOPR-UHFFFAOYSA-N 1 2 299.765 3.555 20 0 CHADLO Nc1ccc(N(Cc2ccccc2)c2ccccc2N)c[nH+]1 ZINC001201291851 1123084346 /nfs/dbraw/zinc/08/43/46/1123084346.db2.gz FSISHSNTZCPEQY-UHFFFAOYSA-N 1 2 290.370 3.584 20 0 CHADLO CCC(CC)Oc1ccc(Nc2ccc(N)[nH+]c2)cc1 ZINC001201295352 1123084864 /nfs/dbraw/zinc/08/48/64/1123084864.db2.gz PHVIWJDGNPUGTN-UHFFFAOYSA-N 1 2 271.364 3.975 20 0 CHADLO CC(C)(C)COc1ccc(Nc2ccc(N)[nH+]c2)cc1F ZINC001201294512 1123084968 /nfs/dbraw/zinc/08/49/68/1123084968.db2.gz CKAYYVBUUMJSLE-UHFFFAOYSA-N 1 2 289.354 3.971 20 0 CHADLO Cc1c(-c2ccccc2)nsc1Nc1ccc(N)[nH+]c1 ZINC001201279262 1123085418 /nfs/dbraw/zinc/08/54/18/1123085418.db2.gz NOGBOVQNWDYFSW-UHFFFAOYSA-N 1 2 282.372 3.839 20 0 CHADLO Nc1ccc(Nc2ccc(OC3CCCC3)c(F)c2)c[nH+]1 ZINC001201294565 1123085435 /nfs/dbraw/zinc/08/54/35/1123085435.db2.gz FGHKGIJEKHWJIX-UHFFFAOYSA-N 1 2 287.338 3.868 20 0 CHADLO Nc1ccc(Nc2cnc3cccc(Cl)c3c2)c[nH+]1 ZINC001201279225 1123085527 /nfs/dbraw/zinc/08/55/27/1123085527.db2.gz MLAAYIAZWLPCCG-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)Cc1cccc(Cl)c1 ZINC000048877311 1123090534 /nfs/dbraw/zinc/09/05/34/1123090534.db2.gz PEVXFFRIHYSXLZ-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)Cc1cccc(Cl)c1 ZINC000048877311 1123090538 /nfs/dbraw/zinc/09/05/38/1123090538.db2.gz PEVXFFRIHYSXLZ-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO CC(C)(C)C[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001201441819 1123105597 /nfs/dbraw/zinc/10/55/97/1123105597.db2.gz NGRBSCQIRGRDHN-AWEZNQCLSA-N 1 2 267.800 3.759 20 0 CHADLO CC(C)(C)C[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001201441819 1123105598 /nfs/dbraw/zinc/10/55/98/1123105598.db2.gz NGRBSCQIRGRDHN-AWEZNQCLSA-N 1 2 267.800 3.759 20 0 CHADLO Cc1cc(C[C@@H](C)Nc2ccc([NH2+]C(C)C)cc2)on1 ZINC001201488096 1123107886 /nfs/dbraw/zinc/10/78/86/1123107886.db2.gz OZVDRQCBRHKSAX-GFCCVEGCSA-N 1 2 273.380 3.846 20 0 CHADLO Cc1cnn2cc(Nc3ccc([NH2+]C(C)C)cc3)cnc12 ZINC001201488872 1123108268 /nfs/dbraw/zinc/10/82/68/1123108268.db2.gz KVCUKLACVKDUIY-UHFFFAOYSA-N 1 2 281.363 3.602 20 0 CHADLO Cc1cc(C(N)=O)c(Nc2ccc([NH2+]C(C)C)cc2)s1 ZINC001201488708 1123108386 /nfs/dbraw/zinc/10/83/86/1123108386.db2.gz HGAZMWDZEGFUOE-UHFFFAOYSA-N 1 2 289.404 3.719 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2c(N)ccnc2Cl)cc1 ZINC001201488994 1123108720 /nfs/dbraw/zinc/10/87/20/1123108720.db2.gz WCXRCWCRXDUGJN-UHFFFAOYSA-N 1 2 276.771 3.881 20 0 CHADLO CC(=O)Nc1cncc(Nc2ccc([NH2+]C(C)C)cc2)c1 ZINC001201491186 1123109356 /nfs/dbraw/zinc/10/93/56/1123109356.db2.gz OEWNOGIISKMCAS-UHFFFAOYSA-N 1 2 284.363 3.604 20 0 CHADLO CCSCC[C@@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000125361210 1123121260 /nfs/dbraw/zinc/12/12/60/1123121260.db2.gz RAPOFTAJFRRVOE-LLVKDONJSA-N 1 2 267.442 3.852 20 0 CHADLO FC[C@@H]1CC[N@H+](CCCc2ccccc2)CC1(F)F ZINC001201653215 1123137048 /nfs/dbraw/zinc/13/70/48/1123137048.db2.gz ZPUZANWTZSCOJK-AWEZNQCLSA-N 1 2 271.326 3.546 20 0 CHADLO FC[C@@H]1CC[N@@H+](CCCc2ccccc2)CC1(F)F ZINC001201653215 1123137050 /nfs/dbraw/zinc/13/70/50/1123137050.db2.gz ZPUZANWTZSCOJK-AWEZNQCLSA-N 1 2 271.326 3.546 20 0 CHADLO CC[C@H](C)Nc1c(Br)c[nH+]c2ccccc21 ZINC001168000087 1123145616 /nfs/dbraw/zinc/14/56/16/1123145616.db2.gz MICCLLGRTPWHKZ-VIFPVBQESA-N 1 2 279.181 3.630 20 0 CHADLO Cc1nc(N[C@@H](C)c2cccc(O)c2)c2c([nH+]1)CCCC2 ZINC000180432801 1123157478 /nfs/dbraw/zinc/15/74/78/1123157478.db2.gz VBNXWKLULMXRKZ-NSHDSACASA-N 1 2 283.375 3.543 20 0 CHADLO COCc1ccc(CNc2ccc([NH+]3CCCC3)cc2)o1 ZINC000269314267 1129102628 /nfs/dbraw/zinc/10/26/28/1129102628.db2.gz FBMUGPAEHZSLSS-UHFFFAOYSA-N 1 2 286.375 3.638 20 0 CHADLO Fc1ccc(C[C@H]2CC[N@H+](CC3CC3)CC2(F)F)cc1 ZINC001201952266 1123162391 /nfs/dbraw/zinc/16/23/91/1123162391.db2.gz INHAFPLOCZMOFF-CQSZACIVSA-N 1 2 283.337 3.735 20 0 CHADLO Fc1ccc(C[C@H]2CC[N@@H+](CC3CC3)CC2(F)F)cc1 ZINC001201952266 1123162392 /nfs/dbraw/zinc/16/23/92/1123162392.db2.gz INHAFPLOCZMOFF-CQSZACIVSA-N 1 2 283.337 3.735 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1CCOC2(CCC2)C1 ZINC000154255516 1123168445 /nfs/dbraw/zinc/16/84/45/1123168445.db2.gz IXOZKARXRWOIEZ-OAHLLOKOSA-N 1 2 281.346 3.671 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1CCOC2(CCC2)C1 ZINC000154255516 1123168446 /nfs/dbraw/zinc/16/84/46/1123168446.db2.gz IXOZKARXRWOIEZ-OAHLLOKOSA-N 1 2 281.346 3.671 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)Nc1ccccc1F ZINC001202001666 1123169097 /nfs/dbraw/zinc/16/90/97/1123169097.db2.gz ZTQUKBWSVYPEGG-UHFFFAOYSA-N 1 2 296.305 3.655 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCS[C@H](C)[C@@H]2C)cs1 ZINC000118415022 1123169844 /nfs/dbraw/zinc/16/98/44/1123169844.db2.gz ONOGBFZMQMAELF-WDEREUQCSA-N 1 2 270.467 3.592 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCS[C@H](C)[C@@H]2C)cs1 ZINC000118415022 1123169846 /nfs/dbraw/zinc/16/98/46/1123169846.db2.gz ONOGBFZMQMAELF-WDEREUQCSA-N 1 2 270.467 3.592 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@@H+]1CCO[C@@H]2CCC[C@H]21 ZINC000507779569 1123175000 /nfs/dbraw/zinc/17/50/00/1123175000.db2.gz UOUDPIAIDOBATL-IAGOWNOFSA-N 1 2 299.370 3.825 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@H+]1CCO[C@@H]2CCC[C@H]21 ZINC000507779569 1123175001 /nfs/dbraw/zinc/17/50/01/1123175001.db2.gz UOUDPIAIDOBATL-IAGOWNOFSA-N 1 2 299.370 3.825 20 0 CHADLO CCCCc1ccc(NC(=O)[C@@H]2C[C@H]2c2c[nH+]cn2C)cc1 ZINC000665114519 1123175141 /nfs/dbraw/zinc/17/51/41/1123175141.db2.gz WXOQGTUCSYOBFX-HZPDHXFCSA-N 1 2 297.402 3.505 20 0 CHADLO CC(C)CC[N@H+](C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000049281457 1123183922 /nfs/dbraw/zinc/18/39/22/1123183922.db2.gz PJYYLNMSINUVPZ-UHFFFAOYSA-N 1 2 290.436 3.543 20 0 CHADLO CC(C)CC[N@@H+](C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000049281457 1123183924 /nfs/dbraw/zinc/18/39/24/1123183924.db2.gz PJYYLNMSINUVPZ-UHFFFAOYSA-N 1 2 290.436 3.543 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc2c(c1)CCC2)c1nc(C(C)(C)C)no1 ZINC000194020609 1123200718 /nfs/dbraw/zinc/20/07/18/1123200718.db2.gz IBUWBKVUTLJDTA-GFCCVEGCSA-N 1 2 299.418 3.707 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000579588919 1123214132 /nfs/dbraw/zinc/21/41/32/1123214132.db2.gz NCAMWVFLRCOYEH-VXGBXAGGSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000579588919 1123214135 /nfs/dbraw/zinc/21/41/35/1123214135.db2.gz NCAMWVFLRCOYEH-VXGBXAGGSA-N 1 2 281.346 3.669 20 0 CHADLO CC[C@H]1C[C@H](C[N@@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000507815671 1123223257 /nfs/dbraw/zinc/22/32/57/1123223257.db2.gz PBKROIMTDUCVSD-CVEARBPZSA-N 1 2 297.389 3.959 20 0 CHADLO CC[C@H]1C[C@H](C[N@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000507815671 1123223260 /nfs/dbraw/zinc/22/32/60/1123223260.db2.gz PBKROIMTDUCVSD-CVEARBPZSA-N 1 2 297.389 3.959 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1cccc(O)c1 ZINC000155953687 1129107607 /nfs/dbraw/zinc/10/76/07/1129107607.db2.gz DLEJQRBRCYIGID-ZDUSSCGKSA-N 1 2 294.354 3.898 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)c2csnn2)cc1Cl ZINC000665242511 1123248573 /nfs/dbraw/zinc/24/85/73/1123248573.db2.gz HRDQPAKQUFEUDB-RKDXNWHRSA-N 1 2 297.811 3.612 20 0 CHADLO Cc1csc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000334552748 1123251797 /nfs/dbraw/zinc/25/17/97/1123251797.db2.gz IQTDKHYFRRIKRZ-UHFFFAOYSA-N 1 2 260.362 3.629 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccn(C)c2C2CCC2)c(C)[nH+]1 ZINC000334553363 1123251814 /nfs/dbraw/zinc/25/18/14/1123251814.db2.gz MFSOHALFCBFZLK-UHFFFAOYSA-N 1 2 297.402 3.865 20 0 CHADLO CC(=O)c1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)cs1 ZINC000334552464 1123252294 /nfs/dbraw/zinc/25/22/94/1123252294.db2.gz BMMUBRXXMMSWAM-UHFFFAOYSA-N 1 2 288.372 3.523 20 0 CHADLO CC[C@H]1C[C@H](CNc2c[nH+]cc3c2CCCC3)CCO1 ZINC000850343530 1123254443 /nfs/dbraw/zinc/25/44/43/1123254443.db2.gz WBMNONLIBCNNRP-HIFRSBDPSA-N 1 2 274.408 3.578 20 0 CHADLO CC[C@@H]1C[C@H](CNc2c[nH+]cc3c2CCCC3)CCO1 ZINC000850343533 1123254647 /nfs/dbraw/zinc/25/46/47/1123254647.db2.gz WBMNONLIBCNNRP-UKRRQHHQSA-N 1 2 274.408 3.578 20 0 CHADLO S=c1sc(C2CC2)nn1C[N@H+](C1CC1)C1CCCC1 ZINC000080581348 1129109432 /nfs/dbraw/zinc/10/94/32/1129109432.db2.gz GADJUMRXUUBZLL-UHFFFAOYSA-N 1 2 295.477 3.916 20 0 CHADLO S=c1sc(C2CC2)nn1C[N@@H+](C1CC1)C1CCCC1 ZINC000080581348 1129109433 /nfs/dbraw/zinc/10/94/33/1129109433.db2.gz GADJUMRXUUBZLL-UHFFFAOYSA-N 1 2 295.477 3.916 20 0 CHADLO COCc1ccc(C[N@@H+]2Cc3ccccc3[C@@H]2C)o1 ZINC000353847176 1123263793 /nfs/dbraw/zinc/26/37/93/1123263793.db2.gz RITJMLDOYASNPE-LBPRGKRZSA-N 1 2 257.333 3.503 20 0 CHADLO COCc1ccc(C[N@H+]2Cc3ccccc3[C@@H]2C)o1 ZINC000353847176 1123263795 /nfs/dbraw/zinc/26/37/95/1123263795.db2.gz RITJMLDOYASNPE-LBPRGKRZSA-N 1 2 257.333 3.503 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1cc2cnccc2o1 ZINC000850433623 1123266151 /nfs/dbraw/zinc/26/61/51/1123266151.db2.gz OWDRGHRJKFXHJJ-ZJUUUORDSA-N 1 2 273.361 3.696 20 0 CHADLO COC1([C@H](C)Nc2ccc([NH+]3CCCC3)cc2)CCC1 ZINC000850450761 1123268963 /nfs/dbraw/zinc/26/89/63/1123268963.db2.gz SZVPNTWAVKIRSJ-AWEZNQCLSA-N 1 2 274.408 3.656 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+][C@@H]3CCCC34CC4)cc2)CCO1 ZINC000850457153 1123270269 /nfs/dbraw/zinc/27/02/69/1123270269.db2.gz KMZJHHTVZLODMD-RHSMWYFYSA-N 1 2 286.419 3.656 20 0 CHADLO CCOC1CCC([NH2+]c2ccc3c(c2)CCCN3C)CC1 ZINC000850456514 1123270368 /nfs/dbraw/zinc/27/03/68/1123270368.db2.gz APSRGVGBKHZHQI-UHFFFAOYSA-N 1 2 288.435 3.829 20 0 CHADLO CCOC1CCC(Nc2ccc3c(c2)CCC[N@H+]3C)CC1 ZINC000850456514 1123270369 /nfs/dbraw/zinc/27/03/69/1123270369.db2.gz APSRGVGBKHZHQI-UHFFFAOYSA-N 1 2 288.435 3.829 20 0 CHADLO CCOC1CCC(Nc2ccc3c(c2)CCC[N@@H+]3C)CC1 ZINC000850456514 1123270370 /nfs/dbraw/zinc/27/03/70/1123270370.db2.gz APSRGVGBKHZHQI-UHFFFAOYSA-N 1 2 288.435 3.829 20 0 CHADLO CC(C)Cn1nccc1C[N@H+](C)Cc1ccc(Cl)s1 ZINC000647999546 1123271721 /nfs/dbraw/zinc/27/17/21/1123271721.db2.gz ONOTUFUUHDDLKF-UHFFFAOYSA-N 1 2 297.855 3.886 20 0 CHADLO CC(C)Cn1nccc1C[N@@H+](C)Cc1ccc(Cl)s1 ZINC000647999546 1123271723 /nfs/dbraw/zinc/27/17/23/1123271723.db2.gz ONOTUFUUHDDLKF-UHFFFAOYSA-N 1 2 297.855 3.886 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+][C@H]1C=CCC1 ZINC000850511101 1123277877 /nfs/dbraw/zinc/27/78/77/1123277877.db2.gz ZIMIRQPACNOSDB-UONOGXRCSA-N 1 2 251.320 3.561 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@H+]2Cc2nnc(C(C)C)[nH]2)cc1 ZINC000428217692 1123283283 /nfs/dbraw/zinc/28/32/83/1123283283.db2.gz KGMILPSWILAKRR-MRXNPFEDSA-N 1 2 298.434 3.964 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)cc1 ZINC000428217692 1123283286 /nfs/dbraw/zinc/28/32/86/1123283286.db2.gz KGMILPSWILAKRR-MRXNPFEDSA-N 1 2 298.434 3.964 20 0 CHADLO Cc1nc(C)c([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)s1 ZINC000335055871 1123290724 /nfs/dbraw/zinc/29/07/24/1123290724.db2.gz RICUNWRCFFYNTH-SNVBAGLBSA-N 1 2 273.405 3.901 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@H+](Cc2nccs2)CC12CCC2 ZINC000335065447 1123294268 /nfs/dbraw/zinc/29/42/68/1123294268.db2.gz UEXRQVZDHNPSFA-SNVBAGLBSA-N 1 2 290.354 3.698 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@@H+](Cc2nccs2)CC12CCC2 ZINC000335065447 1123294269 /nfs/dbraw/zinc/29/42/69/1123294269.db2.gz UEXRQVZDHNPSFA-SNVBAGLBSA-N 1 2 290.354 3.698 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3(CC(C)C)CC3)cc2[nH+]1 ZINC000442264130 1123308246 /nfs/dbraw/zinc/30/82/46/1123308246.db2.gz RYEOVTGBFPKGDV-UHFFFAOYSA-N 1 2 271.364 3.636 20 0 CHADLO COc1cc(C[N@H+](C)Cc2occc2C)c(Cl)cc1O ZINC000639224562 1123318673 /nfs/dbraw/zinc/31/86/73/1123318673.db2.gz SYGIZBRLJSLDGV-UHFFFAOYSA-N 1 2 295.766 3.588 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2occc2C)c(Cl)cc1O ZINC000639224562 1123318677 /nfs/dbraw/zinc/31/86/77/1123318677.db2.gz SYGIZBRLJSLDGV-UHFFFAOYSA-N 1 2 295.766 3.588 20 0 CHADLO CC[C@@H](CC(=O)Nc1ccccc1-n1cc[nH+]c1)C(C)C ZINC000521444408 1123329613 /nfs/dbraw/zinc/32/96/13/1123329613.db2.gz ACPWWMRQQGVBGN-AWEZNQCLSA-N 1 2 285.391 3.883 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@H]2c2cccnc2)cs1 ZINC000346988955 1123332161 /nfs/dbraw/zinc/33/21/61/1123332161.db2.gz UOXPOPBZQITXPD-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@H]2c2cccnc2)cs1 ZINC000346988955 1123332166 /nfs/dbraw/zinc/33/21/66/1123332166.db2.gz UOXPOPBZQITXPD-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CNc1ccc([NH2+]C[C@@H](C)c2ccc(OC)cc2)cc1 ZINC000629388890 1123343940 /nfs/dbraw/zinc/34/39/40/1123343940.db2.gz SZFXHIZBRCJBNT-CYBMUJFWSA-N 1 2 270.376 3.953 20 0 CHADLO CCc1c2ccccc2oc1[C@H](C)[NH2+][C@@H](C)c1nncn1C ZINC000271886377 1129115800 /nfs/dbraw/zinc/11/58/00/1129115800.db2.gz QTJOEJQFKNQPQF-RYUDHWBXSA-N 1 2 298.390 3.536 20 0 CHADLO CC(C)CCc1noc([C@H](C)[N@H+](C)Cc2ccccc2)n1 ZINC000443084921 1123354897 /nfs/dbraw/zinc/35/48/97/1123354897.db2.gz JJRVMTICRQETKS-AWEZNQCLSA-N 1 2 287.407 3.851 20 0 CHADLO CC(C)CCc1noc([C@H](C)[N@@H+](C)Cc2ccccc2)n1 ZINC000443084921 1123354900 /nfs/dbraw/zinc/35/49/00/1123354900.db2.gz JJRVMTICRQETKS-AWEZNQCLSA-N 1 2 287.407 3.851 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)nn1C ZINC000487423895 1123356530 /nfs/dbraw/zinc/35/65/30/1123356530.db2.gz CCCQOFDQANDUSB-ZDUSSCGKSA-N 1 2 283.375 3.668 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)nn1C ZINC000487423895 1123356533 /nfs/dbraw/zinc/35/65/33/1123356533.db2.gz CCCQOFDQANDUSB-ZDUSSCGKSA-N 1 2 283.375 3.668 20 0 CHADLO NC(=O)C[C@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000335483008 1123377500 /nfs/dbraw/zinc/37/75/00/1123377500.db2.gz ZWEKVVZWIHTBDC-LLVKDONJSA-N 1 2 287.338 3.516 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](CC3CC3)C2)c(C)[nH+]1 ZINC000335591942 1123380890 /nfs/dbraw/zinc/38/08/90/1123380890.db2.gz WVYRADSZLNKNBJ-HNNXBMFYSA-N 1 2 287.407 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC(C)(C)[C@H]2C2CC2)c(C)[nH+]1 ZINC000335591372 1123381002 /nfs/dbraw/zinc/38/10/02/1123381002.db2.gz VKGUHKNFIIRXAE-OAHLLOKOSA-N 1 2 287.407 3.659 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2cncs2)c(F)c1 ZINC000335573398 1123381970 /nfs/dbraw/zinc/38/19/70/1123381970.db2.gz SHGLNYYZHOWOIE-AWEZNQCLSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2cncs2)c(F)c1 ZINC000335573398 1123381971 /nfs/dbraw/zinc/38/19/71/1123381971.db2.gz SHGLNYYZHOWOIE-AWEZNQCLSA-N 1 2 280.343 3.758 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@](C)(c3ccccc3)C2)no1 ZINC000113264826 1123390698 /nfs/dbraw/zinc/39/06/98/1123390698.db2.gz OVVWEHQZSFUYSD-SFHVURJKSA-N 1 2 299.418 3.576 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@](C)(c3ccccc3)C2)no1 ZINC000113264826 1123390703 /nfs/dbraw/zinc/39/07/03/1123390703.db2.gz OVVWEHQZSFUYSD-SFHVURJKSA-N 1 2 299.418 3.576 20 0 CHADLO c1nonc1C[N@@H+]1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC000852162332 1123398998 /nfs/dbraw/zinc/39/89/98/1123398998.db2.gz YVZCXDVUXOEVOB-MRXNPFEDSA-N 1 2 283.375 3.577 20 0 CHADLO c1nonc1C[N@H+]1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC000852162332 1123399003 /nfs/dbraw/zinc/39/90/03/1123399003.db2.gz YVZCXDVUXOEVOB-MRXNPFEDSA-N 1 2 283.375 3.577 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc(C)cc(F)c1)C2 ZINC001143590357 1123404978 /nfs/dbraw/zinc/40/49/78/1123404978.db2.gz VDKLMVITYDMGLB-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc(C)cc(F)c1)C2 ZINC001143590357 1123404979 /nfs/dbraw/zinc/40/49/79/1123404979.db2.gz VDKLMVITYDMGLB-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2CCn3cccc3[C@@H]2C)c1 ZINC001143590317 1123405005 /nfs/dbraw/zinc/40/50/05/1123405005.db2.gz SPSBJOMEYNYEGF-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2CCn3cccc3[C@@H]2C)c1 ZINC001143590317 1123405006 /nfs/dbraw/zinc/40/50/06/1123405006.db2.gz SPSBJOMEYNYEGF-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1ccc(C)c([C@H](C)[NH2+][C@@H](C)c2csnn2)c1 ZINC000398444551 1123405880 /nfs/dbraw/zinc/40/58/80/1123405880.db2.gz ALYMCFOTFDXFJH-RYUDHWBXSA-N 1 2 261.394 3.567 20 0 CHADLO Cl/C=C/C[N@@H+]1CCc2nc(-c3ccccc3)sc2C1 ZINC000852475558 1123406390 /nfs/dbraw/zinc/40/63/90/1123406390.db2.gz HYQZDLNABIEILK-XBXARRHUSA-N 1 2 290.819 3.921 20 0 CHADLO Cl/C=C/C[N@H+]1CCc2nc(-c3ccccc3)sc2C1 ZINC000852475558 1123406392 /nfs/dbraw/zinc/40/63/92/1123406392.db2.gz HYQZDLNABIEILK-XBXARRHUSA-N 1 2 290.819 3.921 20 0 CHADLO c1[nH+]c(SCCC2SCCS2)n2ccccc12 ZINC000853014333 1123419249 /nfs/dbraw/zinc/41/92/49/1123419249.db2.gz QPMXNWWNHPQQLM-UHFFFAOYSA-N 1 2 282.459 3.623 20 0 CHADLO c1cn(-c2ccccc2CNc2nccc3occc32)c[nH+]1 ZINC000443513025 1123422955 /nfs/dbraw/zinc/42/29/55/1123422955.db2.gz YDKMPMNRGHEONV-UHFFFAOYSA-N 1 2 290.326 3.626 20 0 CHADLO Cc1[nH+]c2cc(OCc3ncc(C(C)C)o3)ccc2n1C ZINC000853705616 1123448723 /nfs/dbraw/zinc/44/87/23/1123448723.db2.gz CLPAKNKJAYRNMZ-UHFFFAOYSA-N 1 2 285.347 3.572 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccc(SC)cc2)s1 ZINC000273128707 1129122836 /nfs/dbraw/zinc/12/28/36/1129122836.db2.gz IIKFOYMRECNTCA-JTQLQIEISA-N 1 2 293.461 3.673 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1cc(F)cc(F)c1)[C@@H]1CC1(F)F ZINC000895604995 1123496177 /nfs/dbraw/zinc/49/61/77/1123496177.db2.gz MHSBWAFFKSLXRM-HQJQHLMTSA-N 1 2 297.242 3.690 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1ccc(F)cn1 ZINC000147722758 1123508200 /nfs/dbraw/zinc/50/82/00/1123508200.db2.gz YHRMJXDPKRNMPA-ZDUSSCGKSA-N 1 2 285.366 3.994 20 0 CHADLO C[N@H+](Cc1cc(-c2ccco2)on1)Cc1cccc(F)c1 ZINC000053094672 1123525392 /nfs/dbraw/zinc/52/53/92/1123525392.db2.gz RJGCEWRYSXHUNB-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[N@@H+](Cc1cc(-c2ccco2)on1)Cc1cccc(F)c1 ZINC000053094672 1123525393 /nfs/dbraw/zinc/52/53/93/1123525393.db2.gz RJGCEWRYSXHUNB-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(Cl)s2)s1 ZINC000273689051 1129127894 /nfs/dbraw/zinc/12/78/94/1129127894.db2.gz LLRPXGBQWSUGMG-NKWVEPMBSA-N 1 2 287.841 3.973 20 0 CHADLO Cc1nn(C(C)C)c(C)c1CNc1c[nH+]c(C)c(C)c1 ZINC000896021321 1123542675 /nfs/dbraw/zinc/54/26/75/1123542675.db2.gz IOHFDRNVIQNUFO-UHFFFAOYSA-N 1 2 272.396 3.705 20 0 CHADLO Cc1cc(NC(=O)c2ccc(SC(F)F)cc2)cc[nH+]1 ZINC000010893105 1123556529 /nfs/dbraw/zinc/55/65/29/1123556529.db2.gz PJLHMVJEPFLMDU-UHFFFAOYSA-N 1 2 294.326 3.957 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H]2CCSc3ccccc32)s1 ZINC000274247139 1129130318 /nfs/dbraw/zinc/13/03/18/1129130318.db2.gz RRKJJICKMONDMK-SKDRFNHKSA-N 1 2 291.445 3.734 20 0 CHADLO CC(C)(C)[C@H]1CCCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC000776931939 1123596288 /nfs/dbraw/zinc/59/62/88/1123596288.db2.gz NJUCOQIZPGMVRN-AWEZNQCLSA-N 1 2 299.418 3.623 20 0 CHADLO CC(C)c1cccc(C[N@@H+]2Cc3ccncc3C2)c1 ZINC000857883020 1123624080 /nfs/dbraw/zinc/62/40/80/1123624080.db2.gz YHWZRKQBXZZXSX-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO CC(C)c1cccc(C[N@H+]2Cc3ccncc3C2)c1 ZINC000857883020 1123624082 /nfs/dbraw/zinc/62/40/82/1123624082.db2.gz YHWZRKQBXZZXSX-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO c1nc(C[NH2+]Cc2ccccc2Oc2ccccc2)co1 ZINC000192299853 1123638363 /nfs/dbraw/zinc/63/83/63/1123638363.db2.gz SRVHLHILESNHSD-UHFFFAOYSA-N 1 2 280.327 3.757 20 0 CHADLO Cc1cc(NC(=O)Cc2ccc(CC(C)C)cc2)cc[nH+]1 ZINC000216400450 1123642203 /nfs/dbraw/zinc/64/22/03/1123642203.db2.gz HYNLWSPMQBGGHI-UHFFFAOYSA-N 1 2 282.387 3.770 20 0 CHADLO Cc1cccc(F)c1C[NH2+][C@@H]1CCCc2scnc21 ZINC000858366715 1123648611 /nfs/dbraw/zinc/64/86/11/1123648611.db2.gz LAHWDAMSPGYATH-CYBMUJFWSA-N 1 2 276.380 3.758 20 0 CHADLO Cc1csc([C@H](C)Nc2ccc([NH+](C)C)c(C)c2)n1 ZINC000858398916 1123650463 /nfs/dbraw/zinc/65/04/63/1123650463.db2.gz LTDFVDWXQKCJPJ-LBPRGKRZSA-N 1 2 275.421 3.999 20 0 CHADLO CS[C@H]1CC[C@H](Nc2nc3ccccc3n3c[nH+]cc23)C1 ZINC000858425079 1123653100 /nfs/dbraw/zinc/65/31/00/1123653100.db2.gz DLOHBJIOGLMBBD-RYUDHWBXSA-N 1 2 298.415 3.579 20 0 CHADLO CCc1cnc(C[N@H+]2CCc3sccc3[C@H]2C)s1 ZINC000346145430 1123667121 /nfs/dbraw/zinc/66/71/21/1123667121.db2.gz HAWGTPCAARSPSU-SNVBAGLBSA-N 1 2 278.446 3.886 20 0 CHADLO CCc1cnc(C[N@@H+]2CCc3sccc3[C@H]2C)s1 ZINC000346145430 1123667120 /nfs/dbraw/zinc/66/71/20/1123667120.db2.gz HAWGTPCAARSPSU-SNVBAGLBSA-N 1 2 278.446 3.886 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1ncccc1Cl)C2 ZINC000859120162 1123685111 /nfs/dbraw/zinc/68/51/11/1123685111.db2.gz NBQAVOGURYRTFV-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1ncccc1Cl)C2 ZINC000859120162 1123685114 /nfs/dbraw/zinc/68/51/14/1123685114.db2.gz NBQAVOGURYRTFV-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@H+](Cc2ccnc(F)c2)C1 ZINC000859295411 1123693863 /nfs/dbraw/zinc/69/38/63/1123693863.db2.gz UKUYOGXXUADVNI-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@@H+](Cc2ccnc(F)c2)C1 ZINC000859295411 1123693865 /nfs/dbraw/zinc/69/38/65/1123693865.db2.gz UKUYOGXXUADVNI-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1cc(Cl)n(C)n1 ZINC000859279462 1123693916 /nfs/dbraw/zinc/69/39/16/1123693916.db2.gz SIOQUDNZMJNLPD-LCYFTJDESA-N 1 2 289.810 3.609 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1cc(Cl)n(C)n1 ZINC000859279462 1123693919 /nfs/dbraw/zinc/69/39/19/1123693919.db2.gz SIOQUDNZMJNLPD-LCYFTJDESA-N 1 2 289.810 3.609 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cn(C(C)C)nc2C)cs1 ZINC000281421180 1123706697 /nfs/dbraw/zinc/70/66/97/1123706697.db2.gz QVQFGNMOZUQORQ-LBPRGKRZSA-N 1 2 292.452 3.642 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nc2ccccc2o1)c1ccns1 ZINC000860059264 1123720542 /nfs/dbraw/zinc/72/05/42/1123720542.db2.gz XRUIBLCQRLVIDD-NXEZZACHSA-N 1 2 273.361 3.696 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCC[C@@H]2c2cccnc2)c1 ZINC000346961266 1123735082 /nfs/dbraw/zinc/73/50/82/1123735082.db2.gz FSSULSRNEPZUOJ-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCC[C@@H]2c2cccnc2)c1 ZINC000346961266 1123735084 /nfs/dbraw/zinc/73/50/84/1123735084.db2.gz FSSULSRNEPZUOJ-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccn1-c1ccccc1)c1ccccn1 ZINC000346954703 1123736566 /nfs/dbraw/zinc/73/65/66/1123736566.db2.gz XYJAWGUTSRZQIL-CABCVRRESA-N 1 2 292.386 3.679 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@H](C)c1nnc(C)o1 ZINC000281694754 1123738692 /nfs/dbraw/zinc/73/86/92/1123738692.db2.gz NIDQSOBENYASRQ-VHSXEESVSA-N 1 2 277.393 3.512 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2CCOc3ccc(F)cc32)cs1 ZINC000336471092 1123772353 /nfs/dbraw/zinc/77/23/53/1123772353.db2.gz ZOOYEWYLSXRIOL-TVQRCGJNSA-N 1 2 292.379 3.765 20 0 CHADLO CS[C@H]1CCCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000336566155 1123775893 /nfs/dbraw/zinc/77/58/93/1123775893.db2.gz XJKPXWHDEBOQBT-VIFPVBQESA-N 1 2 276.858 3.514 20 0 CHADLO CS[C@H]1CCCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000336566155 1123775898 /nfs/dbraw/zinc/77/58/98/1123775898.db2.gz XJKPXWHDEBOQBT-VIFPVBQESA-N 1 2 276.858 3.514 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1cc(F)cc(Cl)c1 ZINC000777219988 1123785861 /nfs/dbraw/zinc/78/58/61/1123785861.db2.gz CIZCRBQFVMEWLD-UHFFFAOYSA-N 1 2 282.746 3.716 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1cc(F)cc(Cl)c1 ZINC000777219988 1123785864 /nfs/dbraw/zinc/78/58/64/1123785864.db2.gz CIZCRBQFVMEWLD-UHFFFAOYSA-N 1 2 282.746 3.716 20 0 CHADLO Cc1nc(C[N@H+]2CC=C(c3ccc(C)cc3)CC2)[nH]c1C ZINC000862216239 1123792730 /nfs/dbraw/zinc/79/27/30/1123792730.db2.gz GHRNUIYIHZMKJD-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO Cc1nc(C[N@@H+]2CC=C(c3ccc(C)cc3)CC2)[nH]c1C ZINC000862216239 1123792733 /nfs/dbraw/zinc/79/27/33/1123792733.db2.gz GHRNUIYIHZMKJD-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO Cc1[nH]c(CN2CC=C(c3ccc(C)cc3)CC2)[nH+]c1C ZINC000862216239 1123792735 /nfs/dbraw/zinc/79/27/35/1123792735.db2.gz GHRNUIYIHZMKJD-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)o1 ZINC000862245476 1123794379 /nfs/dbraw/zinc/79/43/79/1123794379.db2.gz PVTNPBWSLYXEHH-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)o1 ZINC000862245476 1123794383 /nfs/dbraw/zinc/79/43/83/1123794383.db2.gz PVTNPBWSLYXEHH-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1c(F)nccc1C[N@@H+]1CCc2cc(Cl)ccc2C1 ZINC000862341568 1123801781 /nfs/dbraw/zinc/80/17/81/1123801781.db2.gz ZUJSHNRNBVZQSS-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1c(F)nccc1C[N@H+]1CCc2cc(Cl)ccc2C1 ZINC000862341568 1123801790 /nfs/dbraw/zinc/80/17/90/1123801790.db2.gz ZUJSHNRNBVZQSS-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)C(C)(C)C2)oc1C ZINC000190173809 1123814982 /nfs/dbraw/zinc/81/49/82/1123814982.db2.gz ZTBJFMDZJBMVOG-LLVKDONJSA-N 1 2 290.329 3.702 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C(F)(F)F)C(C)(C)C2)oc1C ZINC000190173809 1123814986 /nfs/dbraw/zinc/81/49/86/1123814986.db2.gz ZTBJFMDZJBMVOG-LLVKDONJSA-N 1 2 290.329 3.702 20 0 CHADLO Cc1ccc2[nH+]cc(CN(C)c3ccc4cc[nH]c4c3)n2c1 ZINC000475349025 1123846340 /nfs/dbraw/zinc/84/63/40/1123846340.db2.gz VXMHIVSJYRKDCM-UHFFFAOYSA-N 1 2 290.370 3.760 20 0 CHADLO CN(C)c1cc(CSCc2ncccc2Cl)cc[nH+]1 ZINC000863396106 1123867441 /nfs/dbraw/zinc/86/74/41/1123867441.db2.gz NCTFKKJMKXJXMM-UHFFFAOYSA-N 1 2 293.823 3.629 20 0 CHADLO Cc1c[nH+]c(CCSCc2cc(Cl)n(C)n2)c(C)c1 ZINC000863403117 1123868263 /nfs/dbraw/zinc/86/82/63/1123868263.db2.gz JOCAQCIYYOCDJO-UHFFFAOYSA-N 1 2 295.839 3.561 20 0 CHADLO COc1ccc(CNc2cccc[nH+]2)c(C(F)(F)F)c1 ZINC000301160816 1123878782 /nfs/dbraw/zinc/87/87/82/1123878782.db2.gz HGWCYLRMTQTBJB-UHFFFAOYSA-N 1 2 282.265 3.721 20 0 CHADLO COCCSc1ccc([C@H](C)Nc2cccc[nH+]2)cc1 ZINC000301216726 1123882380 /nfs/dbraw/zinc/88/23/80/1123882380.db2.gz YTNYCNXEJFNLTF-ZDUSSCGKSA-N 1 2 288.416 3.993 20 0 CHADLO CCc1cccc(NC(=O)C[N@@H+]2CC[C@@H]2c2ccccc2)c1 ZINC000471180721 1129152083 /nfs/dbraw/zinc/15/20/83/1129152083.db2.gz HVDKTFHHJXFSMV-GOSISDBHSA-N 1 2 294.398 3.635 20 0 CHADLO CCc1cccc(NC(=O)C[N@H+]2CC[C@@H]2c2ccccc2)c1 ZINC000471180721 1129152084 /nfs/dbraw/zinc/15/20/84/1129152084.db2.gz HVDKTFHHJXFSMV-GOSISDBHSA-N 1 2 294.398 3.635 20 0 CHADLO Cc1cc(N[C@H](CCCO)c2ccccc2)nc(C(C)C)[nH+]1 ZINC000301346595 1123893509 /nfs/dbraw/zinc/89/35/09/1123893509.db2.gz JVULXHKNTOUVGI-MRXNPFEDSA-N 1 2 299.418 3.834 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(C(C)C)n1)c1ccncc1 ZINC000301390985 1123897741 /nfs/dbraw/zinc/89/77/41/1123897741.db2.gz GTRGNHLXIJPFRM-CQSZACIVSA-N 1 2 270.380 3.867 20 0 CHADLO C[C@H]1CCSCC[N@@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000794570543 1123903273 /nfs/dbraw/zinc/90/32/73/1123903273.db2.gz MTOCJJORCOZHST-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CCSCC[N@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000794570543 1123903276 /nfs/dbraw/zinc/90/32/76/1123903276.db2.gz MTOCJJORCOZHST-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO CC[C@H](CNc1cccc[nH+]1)Oc1cccc(C)c1 ZINC000301549817 1123911024 /nfs/dbraw/zinc/91/10/24/1123911024.db2.gz LXZDUHBKELVJQM-CQSZACIVSA-N 1 2 256.349 3.660 20 0 CHADLO COc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC000864033397 1123911639 /nfs/dbraw/zinc/91/16/39/1123911639.db2.gz VDTOFWHSDJYMFO-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1nc(N2CC[C@@H](c3ccccc3)[C@@H]2C)c(C)c(C)[nH+]1 ZINC000301669008 1123922720 /nfs/dbraw/zinc/92/27/20/1123922720.db2.gz NKHLTFQNAJVXJC-WMLDXEAASA-N 1 2 281.403 3.784 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@H+](CC)Cc1cccs1 ZINC000119989780 1123923079 /nfs/dbraw/zinc/92/30/79/1123923079.db2.gz BQPAXSBSTSKQQF-UHFFFAOYSA-N 1 2 297.855 3.720 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@@H+](CC)Cc1cccs1 ZINC000119989780 1123923082 /nfs/dbraw/zinc/92/30/82/1123923082.db2.gz BQPAXSBSTSKQQF-UHFFFAOYSA-N 1 2 297.855 3.720 20 0 CHADLO CCS[C@@H]1CCCCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC000301689665 1123924060 /nfs/dbraw/zinc/92/40/60/1123924060.db2.gz NARDAWGXQGYZEE-CQSZACIVSA-N 1 2 279.453 3.514 20 0 CHADLO CC(C)c1nn(C[N@H+](C)[C@H]2CCC(C)(C)C2)c(=S)n1C ZINC000794687533 1123932341 /nfs/dbraw/zinc/93/23/41/1123932341.db2.gz VASOZPKWFZXRQX-LBPRGKRZSA-N 1 2 296.484 3.542 20 0 CHADLO CC(C)c1nn(C[N@@H+](C)[C@H]2CCC(C)(C)C2)c(=S)n1C ZINC000794687533 1123932344 /nfs/dbraw/zinc/93/23/44/1123932344.db2.gz VASOZPKWFZXRQX-LBPRGKRZSA-N 1 2 296.484 3.542 20 0 CHADLO CN(Cc1ccc(Cl)cc1)c1nc(N)c2ccccc2[nH+]1 ZINC000301812250 1123934598 /nfs/dbraw/zinc/93/45/98/1123934598.db2.gz ZXKPVHDEQZIREJ-UHFFFAOYSA-N 1 2 298.777 3.502 20 0 CHADLO COCC[C@@H](C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301857340 1123938080 /nfs/dbraw/zinc/93/80/80/1123938080.db2.gz JBNBHKZZJBMEAE-GFCCVEGCSA-N 1 2 265.401 3.560 20 0 CHADLO Fc1cc(N2CC[C@@H](Nc3cccc[nH+]3)C2)ccc1Cl ZINC000301863442 1123938958 /nfs/dbraw/zinc/93/89/58/1123938958.db2.gz CWJAHNYPJRPFIH-LLVKDONJSA-N 1 2 291.757 3.565 20 0 CHADLO C[C@@H]1CCCC[C@H]1Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000301889640 1123941119 /nfs/dbraw/zinc/94/11/19/1123941119.db2.gz NQRHCGCEJWAMHX-DGCLKSJQSA-N 1 2 287.411 3.572 20 0 CHADLO Fc1cccc([C@H](Nc2cccc[nH+]2)c2ccccn2)c1 ZINC000301895749 1123941622 /nfs/dbraw/zinc/94/16/22/1123941622.db2.gz PYZXSAHNFMLZMP-KRWDZBQOSA-N 1 2 279.318 3.817 20 0 CHADLO NC(=[NH+]OCc1cccc(C(F)F)c1)c1ccccc1 ZINC000794714343 1123942534 /nfs/dbraw/zinc/94/25/34/1123942534.db2.gz NKLHYFCRIZQUTJ-UHFFFAOYSA-N 1 2 276.286 3.671 20 0 CHADLO CCOc1cccc(CNc2nc(C)[nH+]c3c2CCCC3)c1 ZINC000302060578 1123952008 /nfs/dbraw/zinc/95/20/08/1123952008.db2.gz SQMIKYBMZGIZEG-UHFFFAOYSA-N 1 2 297.402 3.675 20 0 CHADLO Cc1nc(NCCCNc2ccccc2)c2c([nH+]1)CCCC2 ZINC000302055931 1123952247 /nfs/dbraw/zinc/95/22/47/1123952247.db2.gz QOCDUVJPTARINP-UHFFFAOYSA-N 1 2 296.418 3.578 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2ccccc2C)nc(C)[nH+]1 ZINC000302048547 1123952382 /nfs/dbraw/zinc/95/23/82/1123952382.db2.gz MIPKAKORXIQVHI-QGZVFWFLSA-N 1 2 281.403 3.997 20 0 CHADLO CCSCC[C@H](C)Nc1nc(C)[nH+]c2c1CCCC2 ZINC000302059619 1123952697 /nfs/dbraw/zinc/95/26/97/1123952697.db2.gz BEXBLLKHQAGZMG-NSHDSACASA-N 1 2 279.453 3.607 20 0 CHADLO Cc1ccc(SC[C@H](C)Nc2cccc[nH+]2)cc1 ZINC000302234414 1123963580 /nfs/dbraw/zinc/96/35/80/1123963580.db2.gz DKSDEHNXIANAFJ-ZDUSSCGKSA-N 1 2 258.390 3.983 20 0 CHADLO COc1cccc2c(N[C@@H]3CCCSC3)cc[nH+]c12 ZINC000302503997 1123970283 /nfs/dbraw/zinc/97/02/83/1123970283.db2.gz DJAFOGHZMBWOIB-LLVKDONJSA-N 1 2 274.389 3.551 20 0 CHADLO COc1cccc2c(N[C@@H]3C[C@@H](C)O[C@@H](C)C3)cc[nH+]c12 ZINC000302534088 1123970918 /nfs/dbraw/zinc/97/09/18/1123970918.db2.gz MAFYDLMXVZMXLQ-CLLJXQQHSA-N 1 2 286.375 3.611 20 0 CHADLO CO[C@@H]1CN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC[C@H]1C ZINC000302583974 1123973672 /nfs/dbraw/zinc/97/36/72/1123973672.db2.gz UORCFLCTSMVDQW-UKRRQHHQSA-N 1 2 291.439 3.585 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N(C)Cc1cscn1 ZINC000302643525 1123975647 /nfs/dbraw/zinc/97/56/47/1123975647.db2.gz LSMVSPYCRYMAST-UHFFFAOYSA-N 1 2 299.399 3.645 20 0 CHADLO Cc1ccccc1-c1nc(Cn2c[nH+]c(C(C)(C)C)c2)no1 ZINC000865376172 1123976664 /nfs/dbraw/zinc/97/66/64/1123976664.db2.gz CIEHELRJFXICAP-UHFFFAOYSA-N 1 2 296.374 3.587 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N(C)Cc1ccco1 ZINC000302706019 1123979320 /nfs/dbraw/zinc/97/93/20/1123979320.db2.gz JUBDDCOEEWVFQL-UHFFFAOYSA-N 1 2 282.343 3.781 20 0 CHADLO c1cc(-c2ccccc2)oc1C[NH2+]Cc1cn(C2CC2)cn1 ZINC000865418549 1123982443 /nfs/dbraw/zinc/98/24/43/1123982443.db2.gz HVYVVEVVSROOLP-UHFFFAOYSA-N 1 2 293.370 3.768 20 0 CHADLO Fc1cc(N[C@@H]2CCC23CCC3)ccc1-n1cc[nH+]c1 ZINC000337385069 1123990693 /nfs/dbraw/zinc/99/06/93/1123990693.db2.gz FLBOLZWVHGHESL-OAHLLOKOSA-N 1 2 271.339 3.756 20 0 CHADLO Cc1ccc2[nH]c(Cc3ccccc3F)[nH+]c2c1C ZINC000389414954 1123997139 /nfs/dbraw/zinc/99/71/39/1123997139.db2.gz MDOJLBTWSQTAQM-UHFFFAOYSA-N 1 2 254.308 3.910 20 0 CHADLO Cc1[nH]c(CNc2cccc(F)c2C(C)(F)F)[nH+]c1C ZINC000865831454 1124019092 /nfs/dbraw/zinc/01/90/92/1124019092.db2.gz VVHKWDKASGCSOG-UHFFFAOYSA-N 1 2 283.297 3.889 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cncc(Cl)c2)CC2(CCCCC2)O1 ZINC000621313593 1129161214 /nfs/dbraw/zinc/16/12/14/1129161214.db2.gz OWWNAIPJRASACN-CYBMUJFWSA-N 1 2 294.826 3.659 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cncc(Cl)c2)CC2(CCCCC2)O1 ZINC000621313593 1129161215 /nfs/dbraw/zinc/16/12/15/1129161215.db2.gz OWWNAIPJRASACN-CYBMUJFWSA-N 1 2 294.826 3.659 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(OC)c(OC)c1 ZINC000389461468 1124028693 /nfs/dbraw/zinc/02/86/93/1124028693.db2.gz GDDKQZOBRVDWFT-JQWIXIFHSA-N 1 2 287.350 3.788 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc2c(c1)OCCCO2)C(C)(F)F ZINC000389471656 1124029862 /nfs/dbraw/zinc/02/98/62/1124029862.db2.gz RWPHKHAAEBLETJ-WDEREUQCSA-N 1 2 285.334 3.542 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2OC[C@H]1F)c1cccs1 ZINC000866217450 1124038712 /nfs/dbraw/zinc/03/87/12/1124038712.db2.gz NNEATGCETBIHMY-NVBFEUDRSA-N 1 2 277.364 3.871 20 0 CHADLO CC(C)c1cc(N[C@@H](C)c2ccnn2C)nc(C(C)C)[nH+]1 ZINC000866251593 1124041319 /nfs/dbraw/zinc/04/13/19/1124041319.db2.gz OWMZGPFQSIAPNW-LBPRGKRZSA-N 1 2 287.411 3.630 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+]1CCc2ccc(F)cc2C1 ZINC000428372519 1124045019 /nfs/dbraw/zinc/04/50/19/1124045019.db2.gz LOTFQJIYUHZQGQ-UHFFFAOYSA-N 1 2 288.366 3.804 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+]1CCc2ccc(F)cc2C1 ZINC000428372519 1124045022 /nfs/dbraw/zinc/04/50/22/1124045022.db2.gz LOTFQJIYUHZQGQ-UHFFFAOYSA-N 1 2 288.366 3.804 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+][C@@H]1c2ccccc2OC[C@@H]1F ZINC000866289347 1124048095 /nfs/dbraw/zinc/04/80/95/1124048095.db2.gz ZRAVIXXIOBNYRI-YLQAJVPDSA-N 1 2 286.350 3.512 20 0 CHADLO c1coc([C@@H]2[N@H+](Cc3ccns3)CC23CCCC3)c1 ZINC000639799019 1124079232 /nfs/dbraw/zinc/07/92/32/1124079232.db2.gz UPEPZKGDIGJLIE-AWEZNQCLSA-N 1 2 274.389 3.853 20 0 CHADLO c1coc([C@@H]2[N@@H+](Cc3ccns3)CC23CCCC3)c1 ZINC000639799019 1124079234 /nfs/dbraw/zinc/07/92/34/1124079234.db2.gz UPEPZKGDIGJLIE-AWEZNQCLSA-N 1 2 274.389 3.853 20 0 CHADLO Cc1nccnc1C[NH2+][C@@H](C)c1cccc(Cl)c1Cl ZINC000621337338 1129165079 /nfs/dbraw/zinc/16/50/79/1129165079.db2.gz VWBHZYQNYZJFBN-VIFPVBQESA-N 1 2 296.201 3.943 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+]1CCc2ncsc2C1 ZINC000445846022 1124104785 /nfs/dbraw/zinc/10/47/85/1124104785.db2.gz CNACLUOTUFMECH-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+]1CCc2ncsc2C1 ZINC000445846022 1124104786 /nfs/dbraw/zinc/10/47/86/1124104786.db2.gz CNACLUOTUFMECH-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1ccoc1 ZINC000631794905 1124106729 /nfs/dbraw/zinc/10/67/29/1124106729.db2.gz AYVAPRXFFUAHPE-QMTHXVAHSA-N 1 2 251.276 3.780 20 0 CHADLO C[C@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1ccoc1 ZINC000631794904 1124107040 /nfs/dbraw/zinc/10/70/40/1124107040.db2.gz AYVAPRXFFUAHPE-IINYFYTJSA-N 1 2 251.276 3.780 20 0 CHADLO Clc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)cn1 ZINC000841035836 1124111043 /nfs/dbraw/zinc/11/10/43/1124111043.db2.gz IYHAKHXIJRDPGF-UHFFFAOYSA-N 1 2 273.767 3.705 20 0 CHADLO FC(F)(F)c1ccc(C2([NH2+]Cc3ccon3)CCC2)cc1 ZINC000621351312 1129167069 /nfs/dbraw/zinc/16/70/69/1129167069.db2.gz MTBPAGAXFIIYQP-UHFFFAOYSA-N 1 2 296.292 3.862 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(F)c2)[C@H](c2ccccc2)CO1 ZINC000525268446 1124120934 /nfs/dbraw/zinc/12/09/34/1124120934.db2.gz YSKFYZZRVGBATO-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(F)c2)[C@H](c2ccccc2)CO1 ZINC000525268446 1124120936 /nfs/dbraw/zinc/12/09/36/1124120936.db2.gz YSKFYZZRVGBATO-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO CC(C)C[C@@H](C(=O)Nc1ccccc1Cl)n1cc[nH+]c1 ZINC000635060507 1129172252 /nfs/dbraw/zinc/17/22/52/1129172252.db2.gz HBODDBFBLOSEHD-AWEZNQCLSA-N 1 2 291.782 3.762 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@]12C[C@H]1CCCC2 ZINC000867943311 1124450998 /nfs/dbraw/zinc/45/09/98/1124450998.db2.gz RCUWUDKVTIQZNE-RDTXWAMCSA-N 1 2 295.386 3.904 20 0 CHADLO COC[C@H]([NH2+]Cc1cccc(F)c1Cl)c1ccco1 ZINC000339082779 1124486731 /nfs/dbraw/zinc/48/67/31/1124486731.db2.gz FFWXCUWPHLHBOK-LBPRGKRZSA-N 1 2 283.730 3.549 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1csc(COc2ccccc2)n1 ZINC000525997052 1124488404 /nfs/dbraw/zinc/48/84/04/1124488404.db2.gz TZAYURSFPDCTSR-STQMWFEESA-N 1 2 288.416 3.705 20 0 CHADLO Cc1cc(Oc2ccc(NC(=O)NC(C)C)cc2)cc[nH+]1 ZINC001202527487 1124500634 /nfs/dbraw/zinc/50/06/34/1124500634.db2.gz ZYHTYVOTNDYISR-UHFFFAOYSA-N 1 2 285.347 3.712 20 0 CHADLO CC(C)(C)[C@H]1C[N@H+](Cc2nc3ccccc3s2)CCO1 ZINC000447835773 1124534508 /nfs/dbraw/zinc/53/45/08/1124534508.db2.gz ZWEUMUHMSFDNTR-CQSZACIVSA-N 1 2 290.432 3.543 20 0 CHADLO CC(C)(C)[C@H]1C[N@@H+](Cc2nc3ccccc3s2)CCO1 ZINC000447835773 1124534517 /nfs/dbraw/zinc/53/45/17/1124534517.db2.gz ZWEUMUHMSFDNTR-CQSZACIVSA-N 1 2 290.432 3.543 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cc(OC(C)C)cnc1F ZINC001238893991 1131267341 /nfs/dbraw/zinc/26/73/41/1131267341.db2.gz XYFKENKTGOOFGU-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO CC(C)CCCC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000448673615 1124607020 /nfs/dbraw/zinc/60/70/20/1124607020.db2.gz SVWISWJLYMEEBB-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO COc1cc(C)[nH+]c(CSC[C@@H]2CC[C@H](C3CC3)O2)c1 ZINC001120250663 1131272370 /nfs/dbraw/zinc/27/23/70/1131272370.db2.gz CZIIMXZIJNKXSI-GOEBONIOSA-N 1 2 293.432 3.589 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1nocc1C ZINC000871721144 1124633645 /nfs/dbraw/zinc/63/36/45/1124633645.db2.gz TUNBHPBFMYYFMG-UHFFFAOYSA-N 1 2 284.363 3.593 20 0 CHADLO CCOCc1cncc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001238940380 1131274601 /nfs/dbraw/zinc/27/46/01/1131274601.db2.gz QPRQADBQLZYYPA-UHFFFAOYSA-N 1 2 297.333 3.610 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@H](C)c1cccc(O)c1F)CO2 ZINC000872047708 1124665066 /nfs/dbraw/zinc/66/50/66/1124665066.db2.gz CZNOCDQKEBCEKM-BXUZGUMPSA-N 1 2 287.334 3.624 20 0 CHADLO Clc1cc(C[N@@H+]2CCC[C@]23CCOC3)c(Cl)s1 ZINC000449311669 1124692061 /nfs/dbraw/zinc/69/20/61/1124692061.db2.gz NHOLHIQZYIVEAD-GFCCVEGCSA-N 1 2 292.231 3.810 20 0 CHADLO Clc1cc(C[N@H+]2CCC[C@]23CCOC3)c(Cl)s1 ZINC000449311669 1124692062 /nfs/dbraw/zinc/69/20/62/1124692062.db2.gz NHOLHIQZYIVEAD-GFCCVEGCSA-N 1 2 292.231 3.810 20 0 CHADLO Cc1cccc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)c1F ZINC000449313562 1124692419 /nfs/dbraw/zinc/69/24/19/1124692419.db2.gz ADTOCYGWLQTECK-HNNXBMFYSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cccc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)c1F ZINC000449313562 1124692424 /nfs/dbraw/zinc/69/24/24/1124692424.db2.gz ADTOCYGWLQTECK-HNNXBMFYSA-N 1 2 273.355 3.584 20 0 CHADLO CCn1ncc(N[C@H](C)c2ccc(-n3cc[nH+]c3)cc2)c1C ZINC000872136128 1124693705 /nfs/dbraw/zinc/69/37/05/1124693705.db2.gz CSCPOUSAWQJVBB-CYBMUJFWSA-N 1 2 295.390 3.570 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(Br)c1)C(F)F ZINC000449365757 1124701432 /nfs/dbraw/zinc/70/14/32/1124701432.db2.gz WXLBWAPQMIBOLR-SNVBAGLBSA-N 1 2 278.140 3.582 20 0 CHADLO Fc1cccc(F)c1-c1ncc(C[N@H+]2CC[C@H](F)C2)s1 ZINC000449397478 1124713686 /nfs/dbraw/zinc/71/36/86/1124713686.db2.gz VHJZYVWVDZTHPY-VIFPVBQESA-N 1 2 298.333 3.632 20 0 CHADLO Fc1cccc(F)c1-c1ncc(C[N@@H+]2CC[C@H](F)C2)s1 ZINC000449397478 1124713692 /nfs/dbraw/zinc/71/36/92/1124713692.db2.gz VHJZYVWVDZTHPY-VIFPVBQESA-N 1 2 298.333 3.632 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)C(C)(F)F)cc2cccnc12 ZINC000449415677 1124723567 /nfs/dbraw/zinc/72/35/67/1124723567.db2.gz PZZFZYOLXDVFST-LLVKDONJSA-N 1 2 264.319 3.677 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+]1CC(C)(C)[C@H]1c1nccn1C ZINC000449416633 1124723881 /nfs/dbraw/zinc/72/38/81/1124723881.db2.gz URQCNDDRBPCDGT-MLRPBERTSA-N 1 2 295.430 3.907 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+]1CC(C)(C)[C@H]1c1nccn1C ZINC000449416633 1124723899 /nfs/dbraw/zinc/72/38/99/1124723899.db2.gz URQCNDDRBPCDGT-MLRPBERTSA-N 1 2 295.430 3.907 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1nc(Cl)ccc1Cl ZINC001161563164 1124729332 /nfs/dbraw/zinc/72/93/32/1124729332.db2.gz QKQDSPNJOKFXCI-UHFFFAOYSA-N 1 2 285.178 3.778 20 0 CHADLO CSc1cc(-c2cccc3[nH+]ccn32)ccc1F ZINC001238965766 1131281000 /nfs/dbraw/zinc/28/10/00/1131281000.db2.gz PWCSPIOZBYLNPL-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO CC(C)CCn1nc(C[NH2+]Cc2ccco2)c2ccccc21 ZINC000449490007 1124768693 /nfs/dbraw/zinc/76/86/93/1124768693.db2.gz VPQKKVPRGILDDG-UHFFFAOYSA-N 1 2 297.402 3.965 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@H+](Cc1cccnc1)C2 ZINC000528722116 1124773341 /nfs/dbraw/zinc/77/33/41/1124773341.db2.gz WSDJMHPBDVMYED-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@@H+](Cc1cccnc1)C2 ZINC000528722116 1124773347 /nfs/dbraw/zinc/77/33/47/1124773347.db2.gz WSDJMHPBDVMYED-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO CCOc1cc(C)c(-c2ccccc2Cn2cc[nH+]c2)cn1 ZINC001238977939 1131283320 /nfs/dbraw/zinc/28/33/20/1131283320.db2.gz KFQANGLJWMZTEM-UHFFFAOYSA-N 1 2 293.370 3.701 20 0 CHADLO COc1cccc(-c2ccc3[nH+]ccn3c2C)c1C ZINC001238993737 1131287160 /nfs/dbraw/zinc/28/71/60/1131287160.db2.gz BHLMSYCPEXHGGG-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cc1cc(N[C@H](C)c2ncccc2C)nc(C2CCC2)[nH+]1 ZINC001120978726 1131287481 /nfs/dbraw/zinc/28/74/81/1131287481.db2.gz NEXXNGRFSZLHIY-CYBMUJFWSA-N 1 2 282.391 3.929 20 0 CHADLO C[C@@H](Nc1ccc(F)c(F)c1)c1cn2c([nH+]1)CCCC2 ZINC000820836308 1131305708 /nfs/dbraw/zinc/30/57/08/1131305708.db2.gz OLOLTFQZMOEASC-SNVBAGLBSA-N 1 2 277.318 3.671 20 0 CHADLO c1coc([C@H]2[N@H+](Cc3cccc4c3OCO4)CC23CCC3)c1 ZINC000449631739 1124827923 /nfs/dbraw/zinc/82/79/23/1124827923.db2.gz LJYLDVMWPSOKQE-QGZVFWFLSA-N 1 2 297.354 3.736 20 0 CHADLO c1coc([C@H]2[N@@H+](Cc3cccc4c3OCO4)CC23CCC3)c1 ZINC000449631739 1124827933 /nfs/dbraw/zinc/82/79/33/1124827933.db2.gz LJYLDVMWPSOKQE-QGZVFWFLSA-N 1 2 297.354 3.736 20 0 CHADLO CCCC[C@@H]([NH2+][C@@H](c1ccccc1)C1CCC1)C(=O)OC ZINC001168112416 1124849726 /nfs/dbraw/zinc/84/97/26/1124849726.db2.gz JASFBOJJGSBBMR-SJORKVTESA-N 1 2 289.419 3.849 20 0 CHADLO CCn1nccc1C[N@@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000449736081 1124855413 /nfs/dbraw/zinc/85/54/13/1124855413.db2.gz VVELAQAOEQXPAJ-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1nccc1C[N@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000449736081 1124855421 /nfs/dbraw/zinc/85/54/21/1124855421.db2.gz VVELAQAOEQXPAJ-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1nccc1C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000449736082 1124855450 /nfs/dbraw/zinc/85/54/50/1124855450.db2.gz VVELAQAOEQXPAJ-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1nccc1C[N@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000449736082 1124855473 /nfs/dbraw/zinc/85/54/73/1124855473.db2.gz VVELAQAOEQXPAJ-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO Cc1cn2cccc(Nc3cc(C)c(O)c(C)c3)c2[nH+]1 ZINC001211906029 1124917230 /nfs/dbraw/zinc/91/72/30/1124917230.db2.gz SXMLLZQFLMVGAI-UHFFFAOYSA-N 1 2 267.332 3.709 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC23CCCCC3)s1 ZINC001137105317 1124940659 /nfs/dbraw/zinc/94/06/59/1124940659.db2.gz MNENTJRYTPSTRP-UHFFFAOYSA-N 1 2 256.802 3.705 20 0 CHADLO Clc1cnc(C[N@H+]2CCC23CCCCC3)s1 ZINC001137105317 1124940664 /nfs/dbraw/zinc/94/06/64/1124940664.db2.gz MNENTJRYTPSTRP-UHFFFAOYSA-N 1 2 256.802 3.705 20 0 CHADLO C=C(Br)C[N@@H+](CC)[C@H](C)c1cccc(OC)c1 ZINC000054339748 1124942615 /nfs/dbraw/zinc/94/26/15/1124942615.db2.gz RTOSZFSLCICVQB-GFCCVEGCSA-N 1 2 298.224 3.987 20 0 CHADLO C=C(Br)C[N@H+](CC)[C@H](C)c1cccc(OC)c1 ZINC000054339748 1124942620 /nfs/dbraw/zinc/94/26/20/1124942620.db2.gz RTOSZFSLCICVQB-GFCCVEGCSA-N 1 2 298.224 3.987 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1c(Cl)cncc1Cl ZINC000876531711 1124959865 /nfs/dbraw/zinc/95/98/65/1124959865.db2.gz MZPYWRUAHKBKTA-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1c(Cl)cncc1Cl ZINC000876531711 1124959871 /nfs/dbraw/zinc/95/98/71/1124959871.db2.gz MZPYWRUAHKBKTA-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(F)cc2)C[C@H](c2ccsc2)O1 ZINC000120663749 1124966154 /nfs/dbraw/zinc/96/61/54/1124966154.db2.gz CJVIPHWZVHSYDO-BLLLJJGKSA-N 1 2 291.391 3.849 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@H](c2ccsc2)O1 ZINC000120663749 1124966158 /nfs/dbraw/zinc/96/61/58/1124966158.db2.gz CJVIPHWZVHSYDO-BLLLJJGKSA-N 1 2 291.391 3.849 20 0 CHADLO CCO[P@](C)(=O)CC[C@H](C)[NH2+]c1ccc(N(C)C)cc1 ZINC000876836850 1124983834 /nfs/dbraw/zinc/98/38/34/1124983834.db2.gz BYRFYYHEVUEZFU-RBZFPXEDSA-N 1 2 298.367 3.888 20 0 CHADLO CCO[P@](C)(=O)CC[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000876836850 1124983835 /nfs/dbraw/zinc/98/38/35/1124983835.db2.gz BYRFYYHEVUEZFU-RBZFPXEDSA-N 1 2 298.367 3.888 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1nc(Cl)cs1 ZINC000876882682 1124987229 /nfs/dbraw/zinc/98/72/29/1124987229.db2.gz NYOBNVWEBJAHMX-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1nc(Cl)cs1)CCO2 ZINC000877008545 1124996974 /nfs/dbraw/zinc/99/69/74/1124996974.db2.gz SYADNYSPCPPUGF-JTQLQIEISA-N 1 2 298.770 3.549 20 0 CHADLO CC[N@H+](Cc1ccncc1Cl)[C@H](C)c1cccnc1 ZINC000529565072 1125001596 /nfs/dbraw/zinc/00/15/96/1125001596.db2.gz DLSGXAVPSWSAOP-GFCCVEGCSA-N 1 2 275.783 3.713 20 0 CHADLO CC[N@@H+](Cc1ccncc1Cl)[C@H](C)c1cccnc1 ZINC000529565072 1125001604 /nfs/dbraw/zinc/00/16/04/1125001604.db2.gz DLSGXAVPSWSAOP-GFCCVEGCSA-N 1 2 275.783 3.713 20 0 CHADLO CCN(CC)c1ccc(NCc2nc(Cl)cs2)c[nH+]1 ZINC000877116539 1125010462 /nfs/dbraw/zinc/01/04/62/1125010462.db2.gz ZNYZRIVJSBDEGS-UHFFFAOYSA-N 1 2 296.827 3.650 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc3c(ccnc3Cl)o2)C[C@@H]1F ZINC000877166037 1125017606 /nfs/dbraw/zinc/01/76/06/1125017606.db2.gz VBBCFVQIRSMCHR-SKDRFNHKSA-N 1 2 282.746 3.661 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc3c(ccnc3Cl)o2)C[C@@H]1F ZINC000877166037 1125017611 /nfs/dbraw/zinc/01/76/11/1125017611.db2.gz VBBCFVQIRSMCHR-SKDRFNHKSA-N 1 2 282.746 3.661 20 0 CHADLO Cc1cc(Cl)ccc1C1=CC[N@H+](Cc2cocn2)CC1 ZINC000529668110 1125040317 /nfs/dbraw/zinc/04/03/17/1125040317.db2.gz IVHTUYAIOVBKEC-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1cc(Cl)ccc1C1=CC[N@@H+](Cc2cocn2)CC1 ZINC000529668110 1125040324 /nfs/dbraw/zinc/04/03/24/1125040324.db2.gz IVHTUYAIOVBKEC-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO CCCCc1nc(C[N@H+](C2CC2)C2CCCCC2)no1 ZINC000053608750 1125042570 /nfs/dbraw/zinc/04/25/70/1125042570.db2.gz GVNJDVSPYMMNHQ-UHFFFAOYSA-N 1 2 277.412 3.709 20 0 CHADLO CCCCc1nc(C[N@@H+](C2CC2)C2CCCCC2)no1 ZINC000053608750 1125042578 /nfs/dbraw/zinc/04/25/78/1125042578.db2.gz GVNJDVSPYMMNHQ-UHFFFAOYSA-N 1 2 277.412 3.709 20 0 CHADLO CC(C)(C)c1ccncc1C[NH2+]Cc1nc(Cl)cs1 ZINC000877587264 1125049653 /nfs/dbraw/zinc/04/96/53/1125049653.db2.gz AKYBAUNJEARHAR-UHFFFAOYSA-N 1 2 295.839 3.779 20 0 CHADLO CC[C@@H](C)Cc1noc([C@H](c2ccccc2)[N@H+](C)CC)n1 ZINC000632249308 1125061233 /nfs/dbraw/zinc/06/12/33/1125061233.db2.gz KJTSVRUPAAZSSH-CJNGLKHVSA-N 1 2 287.407 3.699 20 0 CHADLO CC[C@@H](C)Cc1noc([C@H](c2ccccc2)[N@@H+](C)CC)n1 ZINC000632249308 1125061242 /nfs/dbraw/zinc/06/12/42/1125061242.db2.gz KJTSVRUPAAZSSH-CJNGLKHVSA-N 1 2 287.407 3.699 20 0 CHADLO CSCC1CCC(Nc2[nH+]c(C)nc3[nH]ccc32)CC1 ZINC000450657586 1125080252 /nfs/dbraw/zinc/08/02/52/1125080252.db2.gz YFHQUHAQPNLPSC-UHFFFAOYSA-N 1 2 290.436 3.600 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@H+](Cc2csc(C3CC3)n2)C1 ZINC000877812402 1125084987 /nfs/dbraw/zinc/08/49/87/1125084987.db2.gz OZCFLCKJZFLJLK-GFCCVEGCSA-N 1 2 290.354 3.795 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@@H+](Cc2csc(C3CC3)n2)C1 ZINC000877812402 1125084994 /nfs/dbraw/zinc/08/49/94/1125084994.db2.gz OZCFLCKJZFLJLK-GFCCVEGCSA-N 1 2 290.354 3.795 20 0 CHADLO c1csc([C@@H]2CSCC[N@@H+]2CC2=CCCCC2)n1 ZINC000450750930 1125101054 /nfs/dbraw/zinc/10/10/54/1125101054.db2.gz BYMQFUQEIILMCK-ZDUSSCGKSA-N 1 2 280.462 3.733 20 0 CHADLO c1csc([C@@H]2CSCC[N@H+]2CC2=CCCCC2)n1 ZINC000450750930 1125101050 /nfs/dbraw/zinc/10/10/50/1125101050.db2.gz BYMQFUQEIILMCK-ZDUSSCGKSA-N 1 2 280.462 3.733 20 0 CHADLO C[N@H+](CCCOc1ccccc1)Cc1scnc1Cl ZINC000877922064 1125102615 /nfs/dbraw/zinc/10/26/15/1125102615.db2.gz PGIDKXAHUAZJOI-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO C[N@@H+](CCCOc1ccccc1)Cc1scnc1Cl ZINC000877922064 1125102618 /nfs/dbraw/zinc/10/26/18/1125102618.db2.gz PGIDKXAHUAZJOI-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1nocc1C ZINC000877966537 1125107037 /nfs/dbraw/zinc/10/70/37/1125107037.db2.gz NUEAQEMNUYCCEJ-CYBMUJFWSA-N 1 2 262.378 3.554 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1nocc1C ZINC000877966537 1125107040 /nfs/dbraw/zinc/10/70/40/1125107040.db2.gz NUEAQEMNUYCCEJ-CYBMUJFWSA-N 1 2 262.378 3.554 20 0 CHADLO Cc1conc1C[N@@H+](C)Cc1cccc(C(F)(F)F)c1 ZINC000877973749 1125109426 /nfs/dbraw/zinc/10/94/26/1125109426.db2.gz ZQBOYGAKCTTXCL-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1conc1C[N@H+](C)Cc1cccc(C(F)(F)F)c1 ZINC000877973749 1125109430 /nfs/dbraw/zinc/10/94/30/1125109430.db2.gz ZQBOYGAKCTTXCL-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1conc1C[N@H+](Cc1cccc(F)c1)C(C)C ZINC000877992902 1125110990 /nfs/dbraw/zinc/11/09/90/1125110990.db2.gz AHLFRGLDVXCZFW-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1conc1C[N@@H+](Cc1cccc(F)c1)C(C)C ZINC000877992902 1125110996 /nfs/dbraw/zinc/11/09/96/1125110996.db2.gz AHLFRGLDVXCZFW-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1nccn1CC(F)F ZINC000877993776 1125111305 /nfs/dbraw/zinc/11/13/05/1125111305.db2.gz AMUNLICWNNCBIU-UHFFFAOYSA-N 1 2 299.390 3.620 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1nccn1CC(F)F ZINC000877993776 1125111307 /nfs/dbraw/zinc/11/13/07/1125111307.db2.gz AMUNLICWNNCBIU-UHFFFAOYSA-N 1 2 299.390 3.620 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3c(o2)CCCC3)s1 ZINC000878045049 1125115271 /nfs/dbraw/zinc/11/52/71/1125115271.db2.gz UNUKERZBSPJEAB-UHFFFAOYSA-N 1 2 276.405 3.555 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3c(o2)CCCC3)s1 ZINC000878045049 1125115272 /nfs/dbraw/zinc/11/52/72/1125115272.db2.gz UNUKERZBSPJEAB-UHFFFAOYSA-N 1 2 276.405 3.555 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC000878132368 1125121915 /nfs/dbraw/zinc/12/19/15/1125121915.db2.gz SWGCADZZHLVQPJ-CYBMUJFWSA-N 1 2 282.387 3.500 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1nc2c(o1)CCCC2 ZINC000878132368 1125121916 /nfs/dbraw/zinc/12/19/16/1125121916.db2.gz SWGCADZZHLVQPJ-CYBMUJFWSA-N 1 2 282.387 3.500 20 0 CHADLO FC(F)(F)CC[N@@H+](Cc1scnc1Cl)CC1CC1 ZINC000878178830 1125129442 /nfs/dbraw/zinc/12/94/42/1125129442.db2.gz QEMYRKLKLUXVLB-UHFFFAOYSA-N 1 2 298.761 3.961 20 0 CHADLO FC(F)(F)CC[N@H+](Cc1scnc1Cl)CC1CC1 ZINC000878178830 1125129446 /nfs/dbraw/zinc/12/94/46/1125129446.db2.gz QEMYRKLKLUXVLB-UHFFFAOYSA-N 1 2 298.761 3.961 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C1CC1)[C@H]1CCCc2ccccc21 ZINC000121525456 1125131195 /nfs/dbraw/zinc/13/11/95/1125131195.db2.gz CFHUDWHWXZNPJD-SFHVURJKSA-N 1 2 281.403 3.760 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C1CC1)[C@H]1CCCc2ccccc21 ZINC000121525456 1125131198 /nfs/dbraw/zinc/13/11/98/1125131198.db2.gz CFHUDWHWXZNPJD-SFHVURJKSA-N 1 2 281.403 3.760 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)nc1Cl ZINC000878214628 1125133171 /nfs/dbraw/zinc/13/31/71/1125133171.db2.gz ZFEKZPLITIDMAY-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)nc1Cl ZINC000878214628 1125133172 /nfs/dbraw/zinc/13/31/72/1125133172.db2.gz ZFEKZPLITIDMAY-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C2CCC2)no1)c1ccccc1 ZINC000878362655 1125144300 /nfs/dbraw/zinc/14/43/00/1125144300.db2.gz ZFPPUDZSPLSGEU-KRWDZBQOSA-N 1 2 285.391 3.752 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3scnc3Cl)CC2)c1 ZINC000878365607 1125145691 /nfs/dbraw/zinc/14/56/91/1125145691.db2.gz ATGZYCYEKAWWNY-UHFFFAOYSA-N 1 2 282.771 3.715 20 0 CHADLO Cc1conc1C[NH2+]C1(c2ccc(F)cc2F)CCCC1 ZINC000878370140 1125145817 /nfs/dbraw/zinc/14/58/17/1125145817.db2.gz SALJFZSYOBPZAS-UHFFFAOYSA-N 1 2 292.329 3.820 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2scnc2Cl)CC1 ZINC000878365875 1125146058 /nfs/dbraw/zinc/14/60/58/1125146058.db2.gz SMYPMIKBACPVBM-UHFFFAOYSA-N 1 2 282.771 3.715 20 0 CHADLO COc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1nocc1C ZINC000878372006 1125146127 /nfs/dbraw/zinc/14/61/27/1125146127.db2.gz XJQWIRIHYZDFIB-OAHLLOKOSA-N 1 2 288.391 3.869 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1c(Cl)cncc1Cl ZINC000878403836 1125149063 /nfs/dbraw/zinc/14/90/63/1125149063.db2.gz UBRFZCCJWRSJSF-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccc(C)c(Cl)n2)n1 ZINC000878420700 1125151927 /nfs/dbraw/zinc/15/19/27/1125151927.db2.gz PJVQYAYPWKUREC-SNVBAGLBSA-N 1 2 281.812 3.659 20 0 CHADLO c1csc(CNc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000121834280 1125156814 /nfs/dbraw/zinc/15/68/14/1125156814.db2.gz VYTJVFKOGJDZFS-UHFFFAOYSA-N 1 2 255.346 3.546 20 0 CHADLO Fc1cccc(F)c1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000121829810 1125157432 /nfs/dbraw/zinc/15/74/32/1125157432.db2.gz ZDLUBKNYUVHVPS-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC000878506596 1125158139 /nfs/dbraw/zinc/15/81/39/1125158139.db2.gz LTXMQKHQMFBRBY-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC000878506596 1125158146 /nfs/dbraw/zinc/15/81/46/1125158146.db2.gz LTXMQKHQMFBRBY-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nc3c(o2)CCCC3)CC1 ZINC000878761408 1125178663 /nfs/dbraw/zinc/17/86/63/1125178663.db2.gz VFWWXPJMDXFEEH-UHFFFAOYSA-N 1 2 282.387 3.641 20 0 CHADLO Fc1ccc(C2CC[NH+](C/C(Cl)=C\Cl)CC2)nc1 ZINC000879004513 1125190191 /nfs/dbraw/zinc/19/01/91/1125190191.db2.gz DFMPQBQLYAHNES-YRNVUSSQSA-N 1 2 289.181 3.719 20 0 CHADLO Cc1ccsc1CCCC(=O)Nc1cc[nH+]c(C)c1 ZINC000122553872 1125193788 /nfs/dbraw/zinc/19/37/88/1125193788.db2.gz ZPACVINGOHLGAW-UHFFFAOYSA-N 1 2 274.389 3.721 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1noc2c1CC(C)(C)CC2 ZINC000879053590 1125194123 /nfs/dbraw/zinc/19/41/23/1125194123.db2.gz WUWWBFLGNJLYSL-LLVKDONJSA-N 1 2 298.377 3.809 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1noc2c1CC(C)(C)CC2 ZINC000879053590 1125194127 /nfs/dbraw/zinc/19/41/27/1125194127.db2.gz WUWWBFLGNJLYSL-LLVKDONJSA-N 1 2 298.377 3.809 20 0 CHADLO COc1ccc(-c2ccc(C)cc2)cc1C[NH+]1CCOCC1 ZINC001239481209 1131338516 /nfs/dbraw/zinc/33/85/16/1131338516.db2.gz PFZKFOBIIXNAIL-UHFFFAOYSA-N 1 2 297.398 3.503 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC000879386882 1125211720 /nfs/dbraw/zinc/21/17/20/1125211720.db2.gz ZZPITUQCEGRHSF-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC000879386882 1125211723 /nfs/dbraw/zinc/21/17/23/1125211723.db2.gz ZZPITUQCEGRHSF-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO C[C@H]1c2cc(F)cc(F)c2CC[N@H+]1CCC(F)(F)F ZINC000532090211 1125232415 /nfs/dbraw/zinc/23/24/15/1125232415.db2.gz TVYXJDVULYHQIY-QMMMGPOBSA-N 1 2 279.252 3.836 20 0 CHADLO C[C@H]1c2cc(F)cc(F)c2CC[N@@H+]1CCC(F)(F)F ZINC000532090211 1125232418 /nfs/dbraw/zinc/23/24/18/1125232418.db2.gz TVYXJDVULYHQIY-QMMMGPOBSA-N 1 2 279.252 3.836 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(OC)cc2)c1 ZINC001239485514 1131341007 /nfs/dbraw/zinc/34/10/07/1131341007.db2.gz IQOHCXIVKAJEKJ-UHFFFAOYSA-N 1 2 255.317 3.724 20 0 CHADLO CC[C@H]1CCC[C@]1(C)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000880321564 1125266335 /nfs/dbraw/zinc/26/63/35/1125266335.db2.gz XDNHHIGRHIGUKO-RDJZCZTQSA-N 1 2 274.408 3.531 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2C[C@@H]3CC[C@H]2c2ccccc23)n1 ZINC000880538449 1125279502 /nfs/dbraw/zinc/27/95/02/1125279502.db2.gz LHAXBOZCJXJCTI-KSSFIOAISA-N 1 2 281.403 3.898 20 0 CHADLO CC(C)n1ccc(C[N@H+]2C[C@@H]3CC[C@H]2c2ccccc23)n1 ZINC000880538449 1125279505 /nfs/dbraw/zinc/27/95/05/1125279505.db2.gz LHAXBOZCJXJCTI-KSSFIOAISA-N 1 2 281.403 3.898 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3nc(Cl)ccc3C2)c1 ZINC001137157382 1125283827 /nfs/dbraw/zinc/28/38/27/1125283827.db2.gz VZGZRFOBFBZGDJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1csc(C[N@H+]2CCc3nc(Cl)ccc3C2)c1 ZINC001137157382 1125283832 /nfs/dbraw/zinc/28/38/32/1125283832.db2.gz VZGZRFOBFBZGDJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1)Nc1ccc([NH+](C)C)cc1 ZINC000128761153 1125303407 /nfs/dbraw/zinc/30/34/07/1125303407.db2.gz PWCIWMSRQHHWNB-ZDUSSCGKSA-N 1 2 272.367 3.935 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1)[NH2+]c1ccc(N(C)C)cc1 ZINC000128761153 1125303413 /nfs/dbraw/zinc/30/34/13/1125303413.db2.gz PWCIWMSRQHHWNB-ZDUSSCGKSA-N 1 2 272.367 3.935 20 0 CHADLO C[C@H](Cc1cccc(F)c1)Nc1ccc([NH+](C)C)cc1 ZINC000128760966 1125303585 /nfs/dbraw/zinc/30/35/85/1125303585.db2.gz TXTZNIKXQWSVQW-CYBMUJFWSA-N 1 2 272.367 3.935 20 0 CHADLO C[C@H](Cc1cccc(F)c1)[NH2+]c1ccc(N(C)C)cc1 ZINC000128760966 1125303591 /nfs/dbraw/zinc/30/35/91/1125303591.db2.gz TXTZNIKXQWSVQW-CYBMUJFWSA-N 1 2 272.367 3.935 20 0 CHADLO Clc1ccc(C[N@@H+]2CCSc3ccccc3C2)cn1 ZINC001137169621 1125330794 /nfs/dbraw/zinc/33/07/94/1125330794.db2.gz KGUVFELTGJYISN-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1ccc(C[N@H+]2CCSc3ccccc3C2)cn1 ZINC001137169621 1125330795 /nfs/dbraw/zinc/33/07/95/1125330795.db2.gz KGUVFELTGJYISN-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Cc1cc(OCc2nccs2)c2ccccc2[nH+]1 ZINC000192192776 1125331984 /nfs/dbraw/zinc/33/19/84/1125331984.db2.gz LWYZKPXHJZJXQZ-UHFFFAOYSA-N 1 2 256.330 3.579 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccnc1)c1nc(-c2ccccc2)no1 ZINC000193119314 1125336524 /nfs/dbraw/zinc/33/65/24/1125336524.db2.gz VMRLEMHPIDYMSW-STQMWFEESA-N 1 2 294.358 3.543 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCC[C@H]2c2ccccc2)[nH]1 ZINC000428436655 1125358921 /nfs/dbraw/zinc/35/89/21/1125358921.db2.gz QRSIZTQCVJWYFJ-HNNXBMFYSA-N 1 2 284.407 3.655 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCC[C@H]2c2ccccc2)[nH]1 ZINC000428436655 1125358924 /nfs/dbraw/zinc/35/89/24/1125358924.db2.gz QRSIZTQCVJWYFJ-HNNXBMFYSA-N 1 2 284.407 3.655 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2ccc([C@H]3C[C@@H]3C)o2)n1 ZINC000882903488 1125361373 /nfs/dbraw/zinc/36/13/73/1125361373.db2.gz DFZFWANDGFCADE-AAEUAGOBSA-N 1 2 273.380 3.539 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2ccc(Cl)cc2F)n1 ZINC000883092659 1125371415 /nfs/dbraw/zinc/37/14/15/1125371415.db2.gz VRVHMIHOULCFFS-UHFFFAOYSA-N 1 2 281.762 3.615 20 0 CHADLO C[C@@H](Cc1nc([C@H]2CCCC(C)(C)C2)no1)n1cc[nH+]c1 ZINC000351463784 1125382710 /nfs/dbraw/zinc/38/27/10/1125382710.db2.gz PLRRHSWHCQNVKO-STQMWFEESA-N 1 2 288.395 3.754 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000883267047 1125389571 /nfs/dbraw/zinc/38/95/71/1125389571.db2.gz RIGYDTKSHVNXCF-MLGOLLRUSA-N 1 2 297.402 3.565 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3ncccn3)CCC2)c(C)s1 ZINC000883313550 1125393900 /nfs/dbraw/zinc/39/39/00/1125393900.db2.gz HCQNBLDNESEMNL-GFCCVEGCSA-N 1 2 287.432 3.885 20 0 CHADLO Cc1cc(NCc2nc3c(s2)CCCC3)c[nH+]c1C ZINC000883340876 1125397471 /nfs/dbraw/zinc/39/74/71/1125397471.db2.gz BIWZLSBLFSWOGO-UHFFFAOYSA-N 1 2 273.405 3.646 20 0 CHADLO Cc1cc(N[C@H](C)CC(=O)Nc2ccccc2)c[nH+]c1C ZINC000883341534 1125398329 /nfs/dbraw/zinc/39/83/29/1125398329.db2.gz SMONXNHNNMADGF-CYBMUJFWSA-N 1 2 283.375 3.528 20 0 CHADLO CCc1noc(C)c1[C@@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC000883342172 1125398639 /nfs/dbraw/zinc/39/86/39/1125398639.db2.gz NCYBLAYWGCETFX-LLVKDONJSA-N 1 2 259.353 3.730 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@@H]2c3ccccc3CC[C@@H]2F)c(C)n1 ZINC000883375924 1125404382 /nfs/dbraw/zinc/40/43/82/1125404382.db2.gz BYFVLOOPAJIOPL-UYHISHBKSA-N 1 2 299.393 3.770 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccncc1Cl ZINC000883377199 1125404873 /nfs/dbraw/zinc/40/48/73/1125404873.db2.gz BCTSDIPVNVMUSM-UHFFFAOYSA-N 1 2 293.823 3.995 20 0 CHADLO Cc1ccoc1C[NH2+][C@H]1c2ccccc2CC[C@H]1F ZINC000883383079 1125406727 /nfs/dbraw/zinc/40/67/27/1125406727.db2.gz SIEFGYZBLWEHRL-ZBFHGGJFSA-N 1 2 259.324 3.703 20 0 CHADLO Cc1ncc(C[NH2+][C@@H]2c3ccccc3CC[C@@H]2F)s1 ZINC000883384029 1125407733 /nfs/dbraw/zinc/40/77/33/1125407733.db2.gz KLFXPBOAHLVUDO-LSDHHAIUSA-N 1 2 276.380 3.567 20 0 CHADLO Cc1ccc2c(Nc3ncc(O)cc3C)cccc2[nH+]1 ZINC001212794438 1125412572 /nfs/dbraw/zinc/41/25/72/1125412572.db2.gz ZOPPSGCKKIEYBT-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1ccc2c(Nc3cnc(C)c(C)n3)cccc2[nH+]1 ZINC001212796390 1125419893 /nfs/dbraw/zinc/41/98/93/1125419893.db2.gz XTXVCFSQRLIAFD-UHFFFAOYSA-N 1 2 264.332 3.694 20 0 CHADLO Fc1cccc(NCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000035038784 1125423279 /nfs/dbraw/zinc/42/32/79/1125423279.db2.gz TVTBEYXERIRQKG-UHFFFAOYSA-N 1 2 267.307 3.624 20 0 CHADLO Cc1ccc2c(Nc3cc([C@@H](C)O)ccn3)cccc2[nH+]1 ZINC001212797095 1125430814 /nfs/dbraw/zinc/43/08/14/1125430814.db2.gz XUMAEICDKKJBOL-GFCCVEGCSA-N 1 2 279.343 3.735 20 0 CHADLO Cc1nc(N[C@H](c2cccc(F)c2)c2ccccn2)cc[nH+]1 ZINC000124374063 1125437890 /nfs/dbraw/zinc/43/78/90/1125437890.db2.gz LSDZKOGJBGKZAP-QGZVFWFLSA-N 1 2 294.333 3.521 20 0 CHADLO Cc1ccc2c(Nc3ccc(F)cc3C(N)=O)cccc2[nH+]1 ZINC001212797647 1125441580 /nfs/dbraw/zinc/44/15/80/1125441580.db2.gz BIAJSZJUROOFCT-UHFFFAOYSA-N 1 2 295.317 3.525 20 0 CHADLO Cc1ccc2c(Nc3ccc4c(c3)NC(=O)C4)cccc2[nH+]1 ZINC001212797813 1125443464 /nfs/dbraw/zinc/44/34/64/1125443464.db2.gz LRAHMKAEZMWZKG-UHFFFAOYSA-N 1 2 289.338 3.781 20 0 CHADLO CCCCc1noc([C@@H](C)[NH2+][C@H](C)c2ccccc2)n1 ZINC000107071086 1125446258 /nfs/dbraw/zinc/44/62/58/1125446258.db2.gz SDBPSHOLNDFHIB-CHWSQXEVSA-N 1 2 273.380 3.824 20 0 CHADLO C[C@H]1CCN(C(=O)CCCC(C)(C)C)C[C@H]1n1cc[nH+]c1 ZINC000125759155 1125452122 /nfs/dbraw/zinc/45/21/22/1125452122.db2.gz SXEFPGVHCPFTIW-LSDHHAIUSA-N 1 2 291.439 3.509 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1ccncc1Cl ZINC000348269231 1125455781 /nfs/dbraw/zinc/45/57/81/1125455781.db2.gz CXJLIUJUIIYBOH-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1ccncc1Cl ZINC000348269231 1125455782 /nfs/dbraw/zinc/45/57/82/1125455782.db2.gz CXJLIUJUIIYBOH-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO CC(C)C[C@@H](C(=O)N(C(C)C)C1CCCC1)n1cc[nH+]c1 ZINC000635513162 1129183540 /nfs/dbraw/zinc/18/35/40/1129183540.db2.gz XDWASHOGDJWELM-INIZCTEOSA-N 1 2 291.439 3.650 20 0 CHADLO Cc1c(F)cccc1NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000635636207 1129187946 /nfs/dbraw/zinc/18/79/46/1129187946.db2.gz YQVGCQKNKMKILK-OAHLLOKOSA-N 1 2 289.354 3.557 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1cccnc1F ZINC000795225297 1129192715 /nfs/dbraw/zinc/19/27/15/1129192715.db2.gz KMTQQDIAPQFSKJ-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccccc2CC(F)(F)F)no1 ZINC000637930195 1129237395 /nfs/dbraw/zinc/23/73/95/1129237395.db2.gz UXKLCGGPIITGRS-UHFFFAOYSA-N 1 2 298.308 3.720 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccccc2CC(F)(F)F)no1 ZINC000637930195 1129237397 /nfs/dbraw/zinc/23/73/97/1129237397.db2.gz UXKLCGGPIITGRS-UHFFFAOYSA-N 1 2 298.308 3.720 20 0 CHADLO CC(C)(C)C1CC(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001124708445 1131360004 /nfs/dbraw/zinc/36/00/04/1131360004.db2.gz LSFMJQNEHJXEAN-UHFFFAOYSA-N 1 2 297.402 3.883 20 0 CHADLO FC(F)c1ccc(C[N@H+]2CC=C(C(F)(F)F)CC2)cn1 ZINC000638115408 1129243679 /nfs/dbraw/zinc/24/36/79/1129243679.db2.gz SKFOGKAAYKWPEW-UHFFFAOYSA-N 1 2 292.251 3.714 20 0 CHADLO FC(F)c1ccc(C[N@@H+]2CC=C(C(F)(F)F)CC2)cn1 ZINC000638115408 1129243683 /nfs/dbraw/zinc/24/36/83/1129243683.db2.gz SKFOGKAAYKWPEW-UHFFFAOYSA-N 1 2 292.251 3.714 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)sn1 ZINC000638126804 1129245308 /nfs/dbraw/zinc/24/53/08/1129245308.db2.gz CICLPGHAGCHGQT-AWEZNQCLSA-N 1 2 262.353 3.538 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H]2c2cccc(F)c2)sn1 ZINC000638126804 1129245311 /nfs/dbraw/zinc/24/53/11/1129245311.db2.gz CICLPGHAGCHGQT-AWEZNQCLSA-N 1 2 262.353 3.538 20 0 CHADLO Cc1cnc(C[NH2+]Cc2cc(C)cc3c(C)c(C)[nH]c32)o1 ZINC000638566492 1129262909 /nfs/dbraw/zinc/26/29/09/1129262909.db2.gz KCETVBZKPAGLOE-UHFFFAOYSA-N 1 2 283.375 3.679 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@H](C)c1nnc(C)s1 ZINC000282603270 1129273472 /nfs/dbraw/zinc/27/34/72/1129273472.db2.gz YGINNOXOIVAQNP-NXEZZACHSA-N 1 2 293.461 3.980 20 0 CHADLO CCOC(=O)C[N@H+](Cc1cccc(C(F)F)c1)C1CCC1 ZINC000348024980 1129274628 /nfs/dbraw/zinc/27/46/28/1129274628.db2.gz CYSUHPMUMUCXLT-UHFFFAOYSA-N 1 2 297.345 3.542 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1cccc(C(F)F)c1)C1CCC1 ZINC000348024980 1129274631 /nfs/dbraw/zinc/27/46/31/1129274631.db2.gz CYSUHPMUMUCXLT-UHFFFAOYSA-N 1 2 297.345 3.542 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)[C@H](C)c2cnc(C)nc2C)c1 ZINC000639107874 1129282824 /nfs/dbraw/zinc/28/28/24/1129282824.db2.gz UDXODDBRLFPYBK-CYBMUJFWSA-N 1 2 287.382 3.734 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)[C@H](C)c2cnc(C)nc2C)c1 ZINC000639107874 1129282827 /nfs/dbraw/zinc/28/28/27/1129282827.db2.gz UDXODDBRLFPYBK-CYBMUJFWSA-N 1 2 287.382 3.734 20 0 CHADLO CCCC[N@H+](Cc1nonc1C)[C@@H](C)c1ccc(C)o1 ZINC000734146083 1129297522 /nfs/dbraw/zinc/29/75/22/1129297522.db2.gz BSUDCUFHSFRBGZ-ZDUSSCGKSA-N 1 2 277.368 3.643 20 0 CHADLO CCCC[N@@H+](Cc1nonc1C)[C@@H](C)c1ccc(C)o1 ZINC000734146083 1129297527 /nfs/dbraw/zinc/29/75/27/1129297527.db2.gz BSUDCUFHSFRBGZ-ZDUSSCGKSA-N 1 2 277.368 3.643 20 0 CHADLO CC(C)=Cc1ccc(-c2nc3c(o2)CC[N@@H+](C)C3)cc1 ZINC001240639933 1129298636 /nfs/dbraw/zinc/29/86/36/1129298636.db2.gz NVCFKJOVPFBXAU-UHFFFAOYSA-N 1 2 268.360 3.753 20 0 CHADLO CC(C)=Cc1ccc(-c2nc3c(o2)CC[N@H+](C)C3)cc1 ZINC001240639933 1129298639 /nfs/dbraw/zinc/29/86/39/1129298639.db2.gz NVCFKJOVPFBXAU-UHFFFAOYSA-N 1 2 268.360 3.753 20 0 CHADLO Nc1[nH+]c(N[C@H](c2ccccc2)C2CC2)ccc1Cl ZINC001154646839 1129299644 /nfs/dbraw/zinc/29/96/44/1129299644.db2.gz OEXYBUROMOKUHJ-CQSZACIVSA-N 1 2 273.767 3.880 20 0 CHADLO Nc1[nH+]c(N[C@@H](c2ccccc2)C2CC2)ccc1Cl ZINC001154646836 1129299677 /nfs/dbraw/zinc/29/96/77/1129299677.db2.gz OEXYBUROMOKUHJ-AWEZNQCLSA-N 1 2 273.767 3.880 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccc(F)cc1)[C@@H]1CC1(F)F ZINC000639327899 1129302524 /nfs/dbraw/zinc/30/25/24/1129302524.db2.gz DOZLOJHPLTVSNA-KCJUWKMLSA-N 1 2 279.252 3.551 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ncc(C)o1)c1ccc(F)cc1 ZINC000639359224 1129306973 /nfs/dbraw/zinc/30/69/73/1129306973.db2.gz JHWVUOSROXYVTD-OAHLLOKOSA-N 1 2 262.328 3.537 20 0 CHADLO CC(C)c1nc(C[NH+]2CCC(C)(F)CC2)cs1 ZINC000639388492 1129309561 /nfs/dbraw/zinc/30/95/61/1129309561.db2.gz DQGHHVQRQYSRIF-UHFFFAOYSA-N 1 2 256.390 3.591 20 0 CHADLO c1csc([C@@H]2CCC[N@H+]2Cc2nc(C3CCC3)no2)c1 ZINC000639435793 1129314107 /nfs/dbraw/zinc/31/41/07/1129314107.db2.gz ZGEOGSWPSCHXJW-LBPRGKRZSA-N 1 2 289.404 3.736 20 0 CHADLO c1csc([C@@H]2CCC[N@@H+]2Cc2nc(C3CCC3)no2)c1 ZINC000639435793 1129314111 /nfs/dbraw/zinc/31/41/11/1129314111.db2.gz ZGEOGSWPSCHXJW-LBPRGKRZSA-N 1 2 289.404 3.736 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCOC[C@H]2c2ccccc2)c(C)n1 ZINC000639504969 1129320971 /nfs/dbraw/zinc/32/09/71/1129320971.db2.gz VVTLFYKOEJONRR-IBGZPJMESA-N 1 2 296.414 3.580 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCOC[C@H]2c2ccccc2)c(C)n1 ZINC000639504969 1129320975 /nfs/dbraw/zinc/32/09/75/1129320975.db2.gz VVTLFYKOEJONRR-IBGZPJMESA-N 1 2 296.414 3.580 20 0 CHADLO Cc1ccccc1C(C)(C)[NH2+]Cc1nc(C2CCC2)no1 ZINC000639654713 1129327581 /nfs/dbraw/zinc/32/75/81/1129327581.db2.gz GQRAVFWAHQLLQB-UHFFFAOYSA-N 1 2 285.391 3.670 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(CC2CC2)no1)c1cccc(C2CC2)c1 ZINC000639658778 1129328243 /nfs/dbraw/zinc/32/82/43/1129328243.db2.gz JRLJDLXXRIXRBU-GFCCVEGCSA-N 1 2 297.402 3.750 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC000639864410 1129337395 /nfs/dbraw/zinc/33/73/95/1129337395.db2.gz CPQVYFNHVPAFPL-KRWDZBQOSA-N 1 2 296.418 3.641 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC000639864410 1129337397 /nfs/dbraw/zinc/33/73/97/1129337397.db2.gz CPQVYFNHVPAFPL-KRWDZBQOSA-N 1 2 296.418 3.641 20 0 CHADLO Cc1cncc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccco2)c1 ZINC000639928988 1129340230 /nfs/dbraw/zinc/34/02/30/1129340230.db2.gz JVJQQGUZNYFFLR-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cncc(C[N@H+]2CC(C)(C)[C@@H]2c2ccco2)c1 ZINC000639928988 1129340233 /nfs/dbraw/zinc/34/02/33/1129340233.db2.gz JVJQQGUZNYFFLR-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NCCC3=CCCCC3)nn2)c1C ZINC001168771025 1129383982 /nfs/dbraw/zinc/38/39/82/1129383982.db2.gz PVCOWLGZFOCHPF-UHFFFAOYSA-N 1 2 297.406 3.582 20 0 CHADLO CC(C)(C)c1ccc(CNc2cc(CO)cc[nH+]2)s1 ZINC000641684870 1129386946 /nfs/dbraw/zinc/38/69/46/1129386946.db2.gz CDSAKGKQDDKFJC-UHFFFAOYSA-N 1 2 276.405 3.545 20 0 CHADLO COc1ccc([C@@H]2CCCN2c2cc[nH+]cc2F)cc1F ZINC000641660154 1129386914 /nfs/dbraw/zinc/38/69/14/1129386914.db2.gz XGINNWDOHKBTSC-AWEZNQCLSA-N 1 2 290.313 3.710 20 0 CHADLO CSc1ccc(C[NH2+]Cc2ccc(Cl)o2)o1 ZINC000641703201 1129387682 /nfs/dbraw/zinc/38/76/82/1129387682.db2.gz IVDWOMIRAKTQPE-UHFFFAOYSA-N 1 2 257.742 3.538 20 0 CHADLO Cc1cccc(C)c1CO[NH+]=C(N)Cc1cccs1 ZINC000738288039 1129392221 /nfs/dbraw/zinc/39/22/21/1129392221.db2.gz COVFTMAXKFIEMK-UHFFFAOYSA-N 1 2 274.389 3.606 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cn1cc(Cl)cn1 ZINC000738241599 1129392405 /nfs/dbraw/zinc/39/24/05/1129392405.db2.gz GHWFHIKCGFTNEQ-OAHLLOKOSA-N 1 2 275.783 3.503 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cn1cc(Cl)cn1 ZINC000738241599 1129392410 /nfs/dbraw/zinc/39/24/10/1129392410.db2.gz GHWFHIKCGFTNEQ-OAHLLOKOSA-N 1 2 275.783 3.503 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(F)c(F)c2F)[nH+]1 ZINC001240989432 1129394097 /nfs/dbraw/zinc/39/40/97/1129394097.db2.gz GGTGTCOVQKNOQD-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)NC1CCCCCCC1 ZINC000738950875 1129400905 /nfs/dbraw/zinc/40/09/05/1129400905.db2.gz UNQOGYFUDCNOOW-UHFFFAOYSA-N 1 2 286.379 3.569 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc(CCO)cc3)ccc12 ZINC001212931821 1129403715 /nfs/dbraw/zinc/40/37/15/1129403715.db2.gz YMNGLTMCJSJLJV-UHFFFAOYSA-N 1 2 278.355 3.822 20 0 CHADLO COC(=O)c1cc2[nH+]c[nH]c2c(NCCC2=CCCCC2)c1 ZINC001168771679 1129407941 /nfs/dbraw/zinc/40/79/41/1129407941.db2.gz FYZLQDBEEVOPIZ-UHFFFAOYSA-N 1 2 299.374 3.652 20 0 CHADLO CC(C)([NH2+]Cc1noc2c1CCCC2)c1cccc(F)c1 ZINC000179574359 1129425630 /nfs/dbraw/zinc/42/56/30/1129425630.db2.gz CVMUAACPIHFVFV-UHFFFAOYSA-N 1 2 288.366 3.717 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CCCC1CC1 ZINC000643259106 1129435026 /nfs/dbraw/zinc/43/50/26/1129435026.db2.gz JCVCRMHMWJTJEK-UHFFFAOYSA-N 1 2 283.375 3.904 20 0 CHADLO Cc1cc(CNC(=O)C[C@@H](C)CC(C)(C)C)cc(C)[nH+]1 ZINC000643318633 1129438561 /nfs/dbraw/zinc/43/85/61/1129438561.db2.gz FSPOUKBRYNFZBK-GFCCVEGCSA-N 1 2 276.424 3.777 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)o1)c1ccncc1Cl ZINC000643347873 1129439194 /nfs/dbraw/zinc/43/91/94/1129439194.db2.gz VKRVJIBUWXLXII-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cn[nH]c1C)c1ccc(OC)cc1 ZINC000180001536 1129443193 /nfs/dbraw/zinc/44/31/93/1129443193.db2.gz DRUBBDJGAUKGAU-BZNIZROVSA-N 1 2 273.380 3.529 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CC(C)(C)OC2(C)C)cc1 ZINC000094423007 1129446092 /nfs/dbraw/zinc/44/60/92/1129446092.db2.gz XCGZAVZIEUSULI-AWEZNQCLSA-N 1 2 262.397 3.511 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](c1ccccc1)C1CC1 ZINC000180032946 1129447296 /nfs/dbraw/zinc/44/72/96/1129447296.db2.gz WTHARVWQLXPFLX-ZBEGNZNMSA-N 1 2 255.365 3.520 20 0 CHADLO COc1ccc(C)cc1CNc1ccc(C)[nH+]c1C ZINC000094664329 1129449734 /nfs/dbraw/zinc/44/97/34/1129449734.db2.gz KEKMZZUPGGSDCQ-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cc(F)cnc1Cl ZINC001138888602 1129450236 /nfs/dbraw/zinc/45/02/36/1129450236.db2.gz LCOZMJOPQHKHLC-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cc(F)cnc1Cl ZINC001138888602 1129450239 /nfs/dbraw/zinc/45/02/39/1129450239.db2.gz LCOZMJOPQHKHLC-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO CCCCCC[C@@H](C)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000741929553 1129461984 /nfs/dbraw/zinc/46/19/84/1129461984.db2.gz WFUBQIOKNNTZKV-CYBMUJFWSA-N 1 2 273.380 3.879 20 0 CHADLO C[C@H](CC(=O)Nc1cccc2[nH+]ccn21)CC(C)(C)C ZINC000741969886 1129463726 /nfs/dbraw/zinc/46/37/26/1129463726.db2.gz PMXPJTQYZLVQGC-GFCCVEGCSA-N 1 2 273.380 3.735 20 0 CHADLO CCCCc1ccc(C(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000741970891 1129463743 /nfs/dbraw/zinc/46/37/43/1129463743.db2.gz ONDZZXWJVIEQBA-UHFFFAOYSA-N 1 2 293.370 3.929 20 0 CHADLO COc1ccccc1-c1cc(F)c(C[NH+](C)C)c(F)c1 ZINC001239542779 1131376820 /nfs/dbraw/zinc/37/68/20/1131376820.db2.gz HBWRLKCWHZIAHO-UHFFFAOYSA-N 1 2 277.314 3.702 20 0 CHADLO Cc1nc(NCc2ccc(-c3ccccc3F)o2)cc[nH+]1 ZINC000742399764 1129477946 /nfs/dbraw/zinc/47/79/46/1129477946.db2.gz RBCXZZHGXSKUEM-UHFFFAOYSA-N 1 2 283.306 3.796 20 0 CHADLO C/C(=C/C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C(C)(C)C ZINC000742517823 1129484073 /nfs/dbraw/zinc/48/40/73/1129484073.db2.gz RZQZHVOMXLKPCP-QBFSEMIESA-N 1 2 283.375 3.803 20 0 CHADLO Cc1ccc([C@@H](C)C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)o1 ZINC000742517390 1129485732 /nfs/dbraw/zinc/48/57/32/1129485732.db2.gz MOQRHHLWHKBQEI-CYBMUJFWSA-N 1 2 295.342 3.516 20 0 CHADLO CCCCC[C@H](C)CC(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000742598461 1129489788 /nfs/dbraw/zinc/48/97/88/1129489788.db2.gz BDYFDVVQOYJHLU-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO S=c1[nH]ccn1C[N@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000743348026 1129522215 /nfs/dbraw/zinc/52/22/15/1129522215.db2.gz HOJLEZOSXHYHFO-ZDUSSCGKSA-N 1 2 293.823 3.620 20 0 CHADLO S=c1[nH]ccn1C[N@@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000743348026 1129522218 /nfs/dbraw/zinc/52/22/18/1129522218.db2.gz HOJLEZOSXHYHFO-ZDUSSCGKSA-N 1 2 293.823 3.620 20 0 CHADLO c1csc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccccc2)n1 ZINC000646227897 1129529430 /nfs/dbraw/zinc/52/94/30/1129529430.db2.gz LHQJXAHAOBCPJI-HNNXBMFYSA-N 1 2 270.401 3.870 20 0 CHADLO c1csc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccccc2)n1 ZINC000646227897 1129529432 /nfs/dbraw/zinc/52/94/32/1129529432.db2.gz LHQJXAHAOBCPJI-HNNXBMFYSA-N 1 2 270.401 3.870 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3ccc(C)cc3)CC2)o1 ZINC000743835647 1129538161 /nfs/dbraw/zinc/53/81/61/1129538161.db2.gz DSTLURCEYSYHJR-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3ccc(C)cc3)CC2)o1 ZINC000743835647 1129538164 /nfs/dbraw/zinc/53/81/64/1129538164.db2.gz DSTLURCEYSYHJR-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO CS[C@H]1CC[C@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000822447061 1131381217 /nfs/dbraw/zinc/38/12/17/1131381217.db2.gz NLTXBHHMEIXTAJ-CABCVRRESA-N 1 2 262.422 3.593 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3cccc(F)c3)CCC2)no1 ZINC000647329200 1129569148 /nfs/dbraw/zinc/56/91/48/1129569148.db2.gz IYZTYYHNUWCUKO-LBPRGKRZSA-N 1 2 274.339 3.852 20 0 CHADLO C/C(=C\C(=O)Nc1c(C)cc(C)[nH+]c1C)C(C)(C)C ZINC000744355439 1129574264 /nfs/dbraw/zinc/57/42/64/1129574264.db2.gz KQVCOSNFCSOTHD-PKNBQFBNSA-N 1 2 260.381 3.938 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]C1(c2ccc(C)cc2)CCC1 ZINC000647353451 1129574833 /nfs/dbraw/zinc/57/48/33/1129574833.db2.gz YOKCNOLVTNCPDG-CYBMUJFWSA-N 1 2 269.392 3.757 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2ccccc2Cl)co1 ZINC000647960004 1129589361 /nfs/dbraw/zinc/58/93/61/1129589361.db2.gz YTFAYXLEMVTXPY-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2ccccc2Cl)co1 ZINC000647960004 1129589364 /nfs/dbraw/zinc/58/93/64/1129589364.db2.gz YTFAYXLEMVTXPY-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO CC(C)(C)c1ncc(C[NH+]2CC(C)(CC(F)F)C2)s1 ZINC000648058632 1129594337 /nfs/dbraw/zinc/59/43/37/1129594337.db2.gz VOYGCNRCGLAZDW-UHFFFAOYSA-N 1 2 288.407 3.918 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1ccc(Cl)c(Cl)c1 ZINC000182065945 1129596062 /nfs/dbraw/zinc/59/60/62/1129596062.db2.gz MBCLYWZZZNLFKF-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccc2c(c1)OCCCCO2 ZINC000648588996 1129614947 /nfs/dbraw/zinc/61/49/47/1129614947.db2.gz BDSQRVZUOBLCKD-OAHLLOKOSA-N 1 2 297.345 3.515 20 0 CHADLO CCOc1ccccc1-c1cccc2[nH+]c(C)cn21 ZINC001239560482 1131386463 /nfs/dbraw/zinc/38/64/63/1131386463.db2.gz XLSPQABTQMRKBW-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1ncc(Cl)n1C)C1CC1 ZINC000746114143 1129625790 /nfs/dbraw/zinc/62/57/90/1129625790.db2.gz CQOPFLNQNBHAEE-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1ncc(Cl)n1C)C1CC1 ZINC000746114143 1129625792 /nfs/dbraw/zinc/62/57/92/1129625792.db2.gz CQOPFLNQNBHAEE-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Cc1ccccc1[C@H](CC(C)C)NC(=O)CCc1c[nH]c[nH+]1 ZINC000193242508 1129645128 /nfs/dbraw/zinc/64/51/28/1129645128.db2.gz MUOSTMHDBAWLFM-KRWDZBQOSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1ccccc1[C@H](CC(C)C)NC(=O)CCc1c[nH+]c[nH]1 ZINC000193242508 1129645131 /nfs/dbraw/zinc/64/51/31/1129645131.db2.gz MUOSTMHDBAWLFM-KRWDZBQOSA-N 1 2 299.418 3.554 20 0 CHADLO CCCC[N@H+](Cc1snnc1C)Cc1ccccc1F ZINC000649501204 1129654519 /nfs/dbraw/zinc/65/45/19/1129654519.db2.gz BOFFZHITVJQHIK-UHFFFAOYSA-N 1 2 293.411 3.788 20 0 CHADLO CCCC[N@@H+](Cc1snnc1C)Cc1ccccc1F ZINC000649501204 1129654521 /nfs/dbraw/zinc/65/45/21/1129654521.db2.gz BOFFZHITVJQHIK-UHFFFAOYSA-N 1 2 293.411 3.788 20 0 CHADLO CCOc1ccc(-c2cc(C)cn3cc[nH+]c23)cc1 ZINC001239564097 1131388581 /nfs/dbraw/zinc/38/85/81/1131388581.db2.gz COGMDUJKSGDJMK-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO C[N@H+](Cc1nnc(C2CC2)s1)C(C)(C)c1ccccc1 ZINC000649560072 1129658488 /nfs/dbraw/zinc/65/84/88/1129658488.db2.gz XTUJMLKIWUYINZ-UHFFFAOYSA-N 1 2 287.432 3.783 20 0 CHADLO C[N@@H+](Cc1nnc(C2CC2)s1)C(C)(C)c1ccccc1 ZINC000649560072 1129658489 /nfs/dbraw/zinc/65/84/89/1129658489.db2.gz XTUJMLKIWUYINZ-UHFFFAOYSA-N 1 2 287.432 3.783 20 0 CHADLO Cn1c[nH+]cc1COC(=O)CC1CCC(C(C)(C)C)CC1 ZINC000766381696 1129660735 /nfs/dbraw/zinc/66/07/35/1129660735.db2.gz MRUDLDIEBGAYJB-UHFFFAOYSA-N 1 2 292.423 3.706 20 0 CHADLO CC(C)CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)C1CCCC1 ZINC000649593327 1129661261 /nfs/dbraw/zinc/66/12/61/1129661261.db2.gz LFHWOMXTNQWLEL-OAHLLOKOSA-N 1 2 291.439 3.652 20 0 CHADLO CCC[C@@H](CC)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000766405484 1129663119 /nfs/dbraw/zinc/66/31/19/1129663119.db2.gz WBLARVIORVGXPJ-LLVKDONJSA-N 1 2 275.400 3.572 20 0 CHADLO CCSc1ccccc1[C@@H](C)[NH2+]Cc1ccon1 ZINC000766420307 1129665490 /nfs/dbraw/zinc/66/54/90/1129665490.db2.gz OZSZMPYFIULQOF-LLVKDONJSA-N 1 2 262.378 3.637 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2cccc(C3CC3)c2)o1 ZINC000649687166 1129670380 /nfs/dbraw/zinc/67/03/80/1129670380.db2.gz CXXIDJQGNWMHDQ-RYUDHWBXSA-N 1 2 285.391 3.921 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@@H+]1Cc1nc2ccccc2s1 ZINC000649715295 1129672722 /nfs/dbraw/zinc/67/27/22/1129672722.db2.gz YZZZPVMRRNPFGJ-GFCCVEGCSA-N 1 2 290.432 3.686 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@H+]1Cc1nc2ccccc2s1 ZINC000649715295 1129672723 /nfs/dbraw/zinc/67/27/23/1129672723.db2.gz YZZZPVMRRNPFGJ-GFCCVEGCSA-N 1 2 290.432 3.686 20 0 CHADLO COC(=[NH2+])c1cccc(N[C@@H](C)c2ccc(C)cn2)c1 ZINC001168859645 1129677935 /nfs/dbraw/zinc/67/79/35/1129677935.db2.gz ZEZLGGWOBSQMAR-LBPRGKRZSA-N 1 2 269.348 3.535 20 0 CHADLO CC(C)CC[C@@H]1CCCCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000649840730 1129681535 /nfs/dbraw/zinc/68/15/35/1129681535.db2.gz WNNSGSAQLBVFAS-CVEARBPZSA-N 1 2 291.439 3.652 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)c2ccc(Cl)cc2)c(C)c[nH+]1 ZINC000649883489 1129683603 /nfs/dbraw/zinc/68/36/03/1129683603.db2.gz UATZBRPQKSDCOG-GFCCVEGCSA-N 1 2 288.778 3.516 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cl)c(OC)c1F ZINC001212583560 1129686182 /nfs/dbraw/zinc/68/61/82/1129686182.db2.gz SQCFQGNQWUDRPB-UHFFFAOYSA-N 1 2 282.702 3.635 20 0 CHADLO FC1(F)C[C@@]12CC[N@H+](Cc1ncc(Cl)cc1Cl)C2 ZINC000649907243 1129686707 /nfs/dbraw/zinc/68/67/07/1129686707.db2.gz MESBHMNKRQVWKG-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@@]12CC[N@@H+](Cc1ncc(Cl)cc1Cl)C2 ZINC000649907243 1129686708 /nfs/dbraw/zinc/68/67/08/1129686708.db2.gz MESBHMNKRQVWKG-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO Cc1ccc(NC(=O)C[C@H](C)n2cc[nH+]c2)c(Cl)c1C ZINC000650122254 1129695930 /nfs/dbraw/zinc/69/59/30/1129695930.db2.gz WNZWDWOVQGLQNB-NSHDSACASA-N 1 2 291.782 3.743 20 0 CHADLO CCc1cccc(CNC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000650210565 1129700426 /nfs/dbraw/zinc/70/04/26/1129700426.db2.gz RJCKEXCOJYYCKR-UHFFFAOYSA-N 1 2 297.402 3.891 20 0 CHADLO CCc1cc(OCc2cc3n(n2)CCC3)c2ccccc2[nH+]1 ZINC000650318075 1129703985 /nfs/dbraw/zinc/70/39/85/1129703985.db2.gz DXHRWWGBEDRDQP-UHFFFAOYSA-N 1 2 293.370 3.519 20 0 CHADLO COc1c[nH+]c(N[C@H]2CCCC(F)(F)C2)c2[nH]ccc21 ZINC001169214788 1129708855 /nfs/dbraw/zinc/70/88/55/1129708855.db2.gz KSCKTJKMHJEKIB-VIFPVBQESA-N 1 2 281.306 3.561 20 0 CHADLO Cc1cc2cc(NCCCc3ccc(C)nc3)[nH+]cc2[nH]1 ZINC001169254902 1129713178 /nfs/dbraw/zinc/71/31/78/1129713178.db2.gz QVVYXTBUHRBTIH-UHFFFAOYSA-N 1 2 280.375 3.619 20 0 CHADLO COCCOc1cc(N[C@@H]2C[C@@H](C)c3ccccc32)cc[nH+]1 ZINC001169374880 1129721948 /nfs/dbraw/zinc/72/19/48/1129721948.db2.gz CXUHGWTXXSZELT-CXAGYDPISA-N 1 2 298.386 3.767 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC000651214131 1129733403 /nfs/dbraw/zinc/73/34/03/1129733403.db2.gz YAGUONCECOVZMR-DVOMOZLQSA-N 1 2 293.370 3.618 20 0 CHADLO C[C@@H](CNc1ccc(-n2cccc2)c[nH+]1)c1ccc(O)cc1 ZINC001169534520 1129733441 /nfs/dbraw/zinc/73/34/41/1129733441.db2.gz HZSABECCPXHGBR-AWEZNQCLSA-N 1 2 293.370 3.794 20 0 CHADLO Cc1nc(NC[C@H](C)c2ccc(O)cc2)c2c([nH+]1)CCCC2 ZINC001169534961 1129733504 /nfs/dbraw/zinc/73/35/04/1129733504.db2.gz IOEKSMCZOQSUFD-LBPRGKRZSA-N 1 2 297.402 3.585 20 0 CHADLO COCc1cc[nH+]c(NC[C@@H](C)c2ccc(F)cc2)c1 ZINC000652067783 1129757082 /nfs/dbraw/zinc/75/70/82/1129757082.db2.gz HJRXKGLZNPLMHE-GFCCVEGCSA-N 1 2 274.339 3.583 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCc3cc(OC)ccc32)c1 ZINC000652068010 1129757124 /nfs/dbraw/zinc/75/71/24/1129757124.db2.gz OXQFEDQYKSTCQF-KRWDZBQOSA-N 1 2 298.386 3.726 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2C[C@@H](C)c3ccccc32)c1 ZINC000652330456 1129763028 /nfs/dbraw/zinc/76/30/28/1129763028.db2.gz GUEAFBNUHBOTJG-MLGOLLRUSA-N 1 2 268.360 3.888 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@@]2(C)CC(C)C)c(C)c[nH+]1 ZINC000652797780 1129769420 /nfs/dbraw/zinc/76/94/20/1129769420.db2.gz HGWXDEBSXDTWNI-KRWDZBQOSA-N 1 2 289.423 3.553 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[NH+]1CCC(F)(F)CC1 ZINC000796541708 1129777557 /nfs/dbraw/zinc/77/75/57/1129777557.db2.gz XJQBCIVWCHIKCT-VIFPVBQESA-N 1 2 261.262 3.757 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2C[C@H](C)[C@@H]2c2ccccc2)o1 ZINC000746488619 1129814451 /nfs/dbraw/zinc/81/44/51/1129814451.db2.gz FZWIJOQOLKOTRM-SUMWQHHRSA-N 1 2 270.376 3.991 20 0 CHADLO CC(C)c1cnc(C[N@H+]2C[C@H](C)[C@@H]2c2ccccc2)o1 ZINC000746488619 1129814457 /nfs/dbraw/zinc/81/44/57/1129814457.db2.gz FZWIJOQOLKOTRM-SUMWQHHRSA-N 1 2 270.376 3.991 20 0 CHADLO CN(C)c1cc(CSCc2ccc(F)cc2)cc[nH+]1 ZINC000767869078 1129819125 /nfs/dbraw/zinc/81/91/25/1129819125.db2.gz LAIDZRGBJNEQKS-UHFFFAOYSA-N 1 2 276.380 3.720 20 0 CHADLO CC/C=C/CCSCc1cc[nH+]c(N(C)C)c1 ZINC000767874518 1129819329 /nfs/dbraw/zinc/81/93/29/1129819329.db2.gz HBKZUVPAQCOTOR-AATRIKPKSA-N 1 2 250.411 3.737 20 0 CHADLO CC[C@](C)(NC(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000767946380 1129823276 /nfs/dbraw/zinc/82/32/76/1129823276.db2.gz JENAIMIQVPDADY-SFHVURJKSA-N 1 2 297.402 3.567 20 0 CHADLO Cc1cc(NC[C@@]2(C)CC2(Cl)Cl)nc(C2CC2)[nH+]1 ZINC000828798350 1131400635 /nfs/dbraw/zinc/40/06/35/1131400635.db2.gz JQOCFMNANYSEJH-GFCCVEGCSA-N 1 2 286.206 3.658 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1occc1Br ZINC000768159360 1129836911 /nfs/dbraw/zinc/83/69/11/1129836911.db2.gz BYJDRYYMNONMKW-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO C[C@@H]1C[N@H+](Cc2coc(C3CC3)n2)Cc2ccccc21 ZINC000768194539 1129842911 /nfs/dbraw/zinc/84/29/11/1129842911.db2.gz AHDMZXLAPXKICS-GFCCVEGCSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2coc(C3CC3)n2)Cc2ccccc21 ZINC000768194539 1129842913 /nfs/dbraw/zinc/84/29/13/1129842913.db2.gz AHDMZXLAPXKICS-GFCCVEGCSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1coc(C2CC2)n1 ZINC000768197583 1129843559 /nfs/dbraw/zinc/84/35/59/1129843559.db2.gz KGAAMPICWPYNRE-LBPRGKRZSA-N 1 2 256.349 3.745 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1coc(C2CC2)n1 ZINC000768197583 1129843567 /nfs/dbraw/zinc/84/35/67/1129843567.db2.gz KGAAMPICWPYNRE-LBPRGKRZSA-N 1 2 256.349 3.745 20 0 CHADLO CCCCOC1C[NH+](Cc2cc3ccccc3s2)C1 ZINC001137685571 1131401242 /nfs/dbraw/zinc/40/12/42/1131401242.db2.gz QREUFSYZEQVUBR-UHFFFAOYSA-N 1 2 275.417 3.902 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)c(C)o1 ZINC000768230199 1129845384 /nfs/dbraw/zinc/84/53/84/1129845384.db2.gz RMFWJPRQZPGYFS-UHFFFAOYSA-N 1 2 280.371 3.936 20 0 CHADLO Cc1cc(C[N@H+]2CCc3[nH]c4ccccc4c3C2)c(C)o1 ZINC000768230199 1129845389 /nfs/dbraw/zinc/84/53/89/1129845389.db2.gz RMFWJPRQZPGYFS-UHFFFAOYSA-N 1 2 280.371 3.936 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(C)c(F)c2)no1 ZINC000768438924 1129856078 /nfs/dbraw/zinc/85/60/78/1129856078.db2.gz YCQRPFUQRXEQNR-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(C)c(F)c2)no1 ZINC000768438924 1129856081 /nfs/dbraw/zinc/85/60/81/1129856081.db2.gz YCQRPFUQRXEQNR-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc(N)nc(SCc2ccc(Cl)cc2C)[nH+]1 ZINC000768787086 1129873970 /nfs/dbraw/zinc/87/39/70/1129873970.db2.gz GREPEUOFJOCNBK-UHFFFAOYSA-N 1 2 279.796 3.621 20 0 CHADLO C[C@]12C[C@H]1CCC[C@H]2[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000800484019 1129877543 /nfs/dbraw/zinc/87/75/43/1129877543.db2.gz SPCNRKXXWULWIY-OLMNPRSZSA-N 1 2 286.419 3.514 20 0 CHADLO CCCCOC(=O)C[N@@H+]1CC[C@H](C)C[C@H]1c1ccc(C)o1 ZINC000743871485 1129879902 /nfs/dbraw/zinc/87/99/02/1129879902.db2.gz DYUNDGFQVWVQDA-ZFWWWQNUSA-N 1 2 293.407 3.704 20 0 CHADLO CCCCOC(=O)C[N@H+]1CC[C@H](C)C[C@H]1c1ccc(C)o1 ZINC000743871485 1129879906 /nfs/dbraw/zinc/87/99/06/1129879906.db2.gz DYUNDGFQVWVQDA-ZFWWWQNUSA-N 1 2 293.407 3.704 20 0 CHADLO Oc1ccc([C@@H]2CCC[N@@H+]2Cc2coc(C3CC3)n2)cc1 ZINC000769103997 1129890273 /nfs/dbraw/zinc/89/02/73/1129890273.db2.gz FPOVRZPOIGPHGP-INIZCTEOSA-N 1 2 284.359 3.595 20 0 CHADLO Oc1ccc([C@@H]2CCC[N@H+]2Cc2coc(C3CC3)n2)cc1 ZINC000769103997 1129890276 /nfs/dbraw/zinc/89/02/76/1129890276.db2.gz FPOVRZPOIGPHGP-INIZCTEOSA-N 1 2 284.359 3.595 20 0 CHADLO CC(C)SCCO[NH+]=C(N)Cc1ccccc1Cl ZINC000800867640 1129894485 /nfs/dbraw/zinc/89/44/85/1129894485.db2.gz JPTFWMDAUJNUOZ-UHFFFAOYSA-N 1 2 286.828 3.523 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+][C@H]1CC[C@H]1C1CCC1 ZINC000800976989 1129901597 /nfs/dbraw/zinc/90/15/97/1129901597.db2.gz LDVPJHJJPNELSB-ROUUACIJSA-N 1 2 286.419 3.514 20 0 CHADLO Cc1c(Cl)cccc1NCc1cccc2[nH+]ccn21 ZINC001461294616 1129909638 /nfs/dbraw/zinc/90/96/38/1129909638.db2.gz RHZBOYPRCTXFIO-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1c(C)cccc1C ZINC001239597935 1131407174 /nfs/dbraw/zinc/40/71/74/1131407174.db2.gz OQMSXBHRZUXEJS-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO COc1cc(C)nc(CNc2c(C)cc([NH+](C)C)cc2C)c1 ZINC000769563791 1129917693 /nfs/dbraw/zinc/91/76/93/1129917693.db2.gz XIPDPDLDRNSXOQ-UHFFFAOYSA-N 1 2 299.418 3.694 20 0 CHADLO Cc1cccc(C)c1-c1cc[nH+]c(N2CCCC2)c1 ZINC001239596699 1131407492 /nfs/dbraw/zinc/40/74/92/1131407492.db2.gz PUUVELQFWBICBE-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO FC(F)(F)C[C@H]1CC[N@H+](C/C(Cl)=C\Cl)C1 ZINC000769865091 1129926899 /nfs/dbraw/zinc/92/68/99/1129926899.db2.gz NIXRSRLQRQMEOJ-VKDKVYATSA-N 1 2 262.102 3.580 20 0 CHADLO FC(F)(F)C[C@H]1CC[N@@H+](C/C(Cl)=C\Cl)C1 ZINC000769865091 1129926900 /nfs/dbraw/zinc/92/69/00/1129926900.db2.gz NIXRSRLQRQMEOJ-VKDKVYATSA-N 1 2 262.102 3.580 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC000801588450 1129930187 /nfs/dbraw/zinc/93/01/87/1129930187.db2.gz OMLXBKYFUGEOCU-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC000801588450 1129930190 /nfs/dbraw/zinc/93/01/90/1129930190.db2.gz OMLXBKYFUGEOCU-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)[N@H+](C)CCF ZINC000746499117 1129933026 /nfs/dbraw/zinc/93/30/26/1129933026.db2.gz FRJGDRICYJMCJF-QMMMGPOBSA-N 1 2 250.144 3.956 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)[N@@H+](C)CCF ZINC000746499117 1129933029 /nfs/dbraw/zinc/93/30/29/1129933029.db2.gz FRJGDRICYJMCJF-QMMMGPOBSA-N 1 2 250.144 3.956 20 0 CHADLO c1[nH+]c(Cc2ccccc2)cn1C[C@H]1CCCC2(CCC2)O1 ZINC000901593232 1129938677 /nfs/dbraw/zinc/93/86/77/1129938677.db2.gz JKJXTSCHYARUGM-GOSISDBHSA-N 1 2 296.414 3.966 20 0 CHADLO Cc1cc([C@H](C)NC(=O)c2cccc3[nH+]ccn32)c(C)s1 ZINC000770264849 1129942919 /nfs/dbraw/zinc/94/29/19/1129942919.db2.gz KTEMLPBQHSSJRO-NSHDSACASA-N 1 2 299.399 3.504 20 0 CHADLO CN(C)c1ccc([NH2+]C[C@@H]2C[C@H]2c2ccccc2)cc1 ZINC000770409344 1129946809 /nfs/dbraw/zinc/94/68/09/1129946809.db2.gz ANPRFQMPKDQOHK-YJBOKZPZSA-N 1 2 266.388 3.968 20 0 CHADLO C[NH+](C)c1ccc(NC[C@@H]2C[C@H]2c2ccccc2)cc1 ZINC000770409344 1129946812 /nfs/dbraw/zinc/94/68/12/1129946812.db2.gz ANPRFQMPKDQOHK-YJBOKZPZSA-N 1 2 266.388 3.968 20 0 CHADLO Cc1cc(NCc2n[nH]c3ccccc23)ccc1[NH+](C)C ZINC000770526837 1129950460 /nfs/dbraw/zinc/95/04/60/1129950460.db2.gz CRVCZLKKEQIRCK-UHFFFAOYSA-N 1 2 280.375 3.549 20 0 CHADLO CC(C)(C)SCC[N@@H+]1CCO[C@H](c2ccccc2F)C1 ZINC000801917678 1129958014 /nfs/dbraw/zinc/95/80/14/1129958014.db2.gz LODCZRPKTQGZDZ-HNNXBMFYSA-N 1 2 297.439 3.731 20 0 CHADLO CC(C)(C)SCC[N@H+]1CCO[C@H](c2ccccc2F)C1 ZINC000801917678 1129958016 /nfs/dbraw/zinc/95/80/16/1129958016.db2.gz LODCZRPKTQGZDZ-HNNXBMFYSA-N 1 2 297.439 3.731 20 0 CHADLO c1cn(C2CC2)c(CNc2[nH+]c3ccccc3n2C2CC2)n1 ZINC000902065723 1129976712 /nfs/dbraw/zinc/97/67/12/1129976712.db2.gz KPHKVEAVFCXDIS-UHFFFAOYSA-N 1 2 293.374 3.515 20 0 CHADLO CNc1ccccc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000902084091 1129978442 /nfs/dbraw/zinc/97/84/42/1129978442.db2.gz ZIEYYPKZOFGJHK-UHFFFAOYSA-N 1 2 281.403 3.941 20 0 CHADLO CCSc1cc[nH+]c(NCc2ccc3c(c2)CCO3)c1 ZINC001156975200 1129991320 /nfs/dbraw/zinc/99/13/20/1129991320.db2.gz TXUKLRUDEJDEOG-UHFFFAOYSA-N 1 2 286.400 3.741 20 0 CHADLO CCc1nc(CNc2c(C)c[nH+]c3c(OC)cccc23)co1 ZINC000829183480 1131413496 /nfs/dbraw/zinc/41/34/96/1131413496.db2.gz BHSLBZJNGWEHKD-UHFFFAOYSA-N 1 2 297.358 3.714 20 0 CHADLO COc1ccc(-c2cc[nH+]c(N3CCCCC3)c2)cc1OC ZINC001239616162 1130012748 /nfs/dbraw/zinc/01/27/48/1130012748.db2.gz NKYATRPYEXRRTE-UHFFFAOYSA-N 1 2 298.386 3.756 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+]Cc3csc(N)n3)oc2c1 ZINC000902500473 1130018656 /nfs/dbraw/zinc/01/86/56/1130018656.db2.gz WGIWIHSFCRWTOP-JTQLQIEISA-N 1 2 287.388 3.631 20 0 CHADLO CC(=O)c1ccc(-c2cc[nH+]c(N3CCCC3)c2)cc1 ZINC001239630180 1130022997 /nfs/dbraw/zinc/02/29/97/1130022997.db2.gz UHOATASXTXBEPM-UHFFFAOYSA-N 1 2 266.344 3.551 20 0 CHADLO CC[C@@H]1c2ccccc2C[N@H+]1Cn1cc(C)cnc1=S ZINC000902666218 1130035884 /nfs/dbraw/zinc/03/58/84/1130035884.db2.gz MSDNMWRKJFOMFQ-OAHLLOKOSA-N 1 2 285.416 3.845 20 0 CHADLO CC[C@@H]1c2ccccc2C[N@@H+]1Cn1cc(C)cnc1=S ZINC000902666218 1130035890 /nfs/dbraw/zinc/03/58/90/1130035890.db2.gz MSDNMWRKJFOMFQ-OAHLLOKOSA-N 1 2 285.416 3.845 20 0 CHADLO Cc1cn2c(cccc2-c2cccc(O)c2Cl)[nH+]1 ZINC001242799477 1130051410 /nfs/dbraw/zinc/05/14/10/1130051410.db2.gz NFXYBXQFILTHMC-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO CCc1ccccc1-c1cc[nH+]c(N2CCCC2)c1 ZINC001239744138 1130085178 /nfs/dbraw/zinc/08/51/78/1130085178.db2.gz MWADUHIBZXKPBF-UHFFFAOYSA-N 1 2 252.361 3.911 20 0 CHADLO COc1ccc2[nH+]c(N3CCC[C@H]4C[C@H]43)cc(C)c2c1 ZINC001157135527 1130096937 /nfs/dbraw/zinc/09/69/37/1130096937.db2.gz VDYYAEGVQLXALM-BLLLJJGKSA-N 1 2 268.360 3.541 20 0 CHADLO COc1ccc(C)cc1-c1ccccc1Cn1cc[nH+]c1 ZINC001239769213 1130100825 /nfs/dbraw/zinc/10/08/25/1130100825.db2.gz DFWUXLKQQRERBR-UHFFFAOYSA-N 1 2 278.355 3.915 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H](c2ccccn2)C2CC2)cc1 ZINC000903143138 1130119069 /nfs/dbraw/zinc/11/90/69/1130119069.db2.gz VBBVXKZKUQCCRN-QGZVFWFLSA-N 1 2 267.376 3.711 20 0 CHADLO c1n[nH]c([C@H]([NH2+]C[C@]23C[C@H]2CCCC3)C2CCCCC2)n1 ZINC000903160917 1130121502 /nfs/dbraw/zinc/12/15/02/1130121502.db2.gz BCRGBHRGDZHVDH-INMHGKMJSA-N 1 2 288.439 3.596 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2nccc3c2CCCC3)n1 ZINC000903182016 1130124811 /nfs/dbraw/zinc/12/48/11/1130124811.db2.gz LNSFGAXRECGGSS-LBPRGKRZSA-N 1 2 287.432 3.576 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2nccc3c2CCCC3)cs1 ZINC000903181558 1130124878 /nfs/dbraw/zinc/12/48/78/1130124878.db2.gz KTZUPMWNUKJCDZ-NSHDSACASA-N 1 2 287.432 3.576 20 0 CHADLO C[C@H]([NH2+]Cc1nc2c(s1)CCC2)c1ccncc1Cl ZINC000903191294 1130127343 /nfs/dbraw/zinc/12/73/43/1130127343.db2.gz SBQCGFXUFOVVAC-VIFPVBQESA-N 1 2 293.823 3.531 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2OC)c(C)c1 ZINC001239829989 1130129464 /nfs/dbraw/zinc/12/94/64/1130129464.db2.gz VIROLKDEJROHLS-UHFFFAOYSA-N 1 2 294.354 3.865 20 0 CHADLO CCCC(C)(C)CC(=O)N[C@H](Cn1cc[nH+]c1)C(C)(C)C ZINC000787159123 1130134311 /nfs/dbraw/zinc/13/43/11/1130134311.db2.gz UFMZDYSMVOEZCE-CQSZACIVSA-N 1 2 293.455 3.630 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000787290049 1130142845 /nfs/dbraw/zinc/14/28/45/1130142845.db2.gz OHWFJFNAMLZITC-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1nc(F)ccc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000787290049 1130142847 /nfs/dbraw/zinc/14/28/47/1130142847.db2.gz OHWFJFNAMLZITC-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2ccc(C(N)=O)cc2)c1 ZINC001239873353 1130144010 /nfs/dbraw/zinc/14/40/10/1130144010.db2.gz UNGVIMNBXLNMQI-UHFFFAOYSA-N 1 2 282.387 3.607 20 0 CHADLO COCc1cccc(-c2cc[nH+]c(N3CCCCC3)c2)c1 ZINC001239935426 1130158258 /nfs/dbraw/zinc/15/82/58/1130158258.db2.gz KZIOHJXGNIVVLH-UHFFFAOYSA-N 1 2 282.387 3.885 20 0 CHADLO Cc1c(-c2cncc3ccccc32)ccc2[nH+]ccn21 ZINC001239948400 1130166409 /nfs/dbraw/zinc/16/64/09/1130166409.db2.gz RGARJSIOFHCNJD-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC(C)Oc1cccc(-c2ccc3[nH+]ccn3c2)c1 ZINC001239967512 1130176390 /nfs/dbraw/zinc/17/63/90/1130176390.db2.gz FKXHYHZONAIIQQ-UHFFFAOYSA-N 1 2 252.317 3.789 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+][C@H](c1ccncc1)C1CC1 ZINC000903430462 1130176686 /nfs/dbraw/zinc/17/66/86/1130176686.db2.gz XGEVUDMWOMERQN-NJAFHUGGSA-N 1 2 298.361 3.594 20 0 CHADLO Cc1cc(C)c(C(=O)N2C3CCCC2CCC3)c(C)[nH+]1 ZINC000903626205 1130185009 /nfs/dbraw/zinc/18/50/09/1130185009.db2.gz GTVYPXQSGHWBJT-UHFFFAOYSA-N 1 2 272.392 3.554 20 0 CHADLO COc1cc(F)ccc1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001239998342 1130186567 /nfs/dbraw/zinc/18/65/67/1130186567.db2.gz BVTFYWKMWZVMCL-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO COc1cc(F)ccc1-c1ccccc1Cn1cc[nH+]c1 ZINC001239998608 1130186596 /nfs/dbraw/zinc/18/65/96/1130186596.db2.gz GCZGVFKAJNLYQP-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO Cc1cc(-c2cnn(Cc3ccccc3)c2)cn2cc[nH+]c12 ZINC001240002700 1130188936 /nfs/dbraw/zinc/18/89/36/1130188936.db2.gz ICIUHFZYSYGRJC-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnc(Cl)cn1)c1cc(F)ccc1F ZINC000788488330 1130190555 /nfs/dbraw/zinc/19/05/55/1130190555.db2.gz KPHUNCJSMRZUIJ-CYBMUJFWSA-N 1 2 297.736 3.649 20 0 CHADLO C[NH+](C)c1ccc(NCc2cc3ccccc3[nH]2)cc1 ZINC000788523189 1130192994 /nfs/dbraw/zinc/19/29/94/1130192994.db2.gz HLCLIRBMJIQTFM-UHFFFAOYSA-N 1 2 265.360 3.846 20 0 CHADLO CC1=CC[C@H]([NH2+]c2ccc(N3CCO[C@@H](C)C3)cc2)CC1 ZINC000788731016 1130202954 /nfs/dbraw/zinc/20/29/54/1130202954.db2.gz ZLYSVCCZJVLSOC-HOTGVXAUSA-N 1 2 286.419 3.822 20 0 CHADLO COc1ccc(F)c(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001240118088 1130215855 /nfs/dbraw/zinc/21/58/55/1130215855.db2.gz MIVVPKOVABXWKM-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+][C@H]1CCC12CCCC2 ZINC000788986134 1130222242 /nfs/dbraw/zinc/22/22/42/1130222242.db2.gz VZVXTTGEJXBXOU-KRWDZBQOSA-N 1 2 286.419 3.658 20 0 CHADLO Cn1c[nH+]cc1-c1ccnc2cc(C(F)(F)F)ccc21 ZINC001243067753 1130225257 /nfs/dbraw/zinc/22/52/57/1130225257.db2.gz PCKQCHLZMYHPKJ-UHFFFAOYSA-N 1 2 277.249 3.654 20 0 CHADLO Cn1c[nH+]cc1-c1cc2cc[nH]c2c(C(F)(F)F)c1 ZINC001243071188 1130232392 /nfs/dbraw/zinc/23/23/92/1130232392.db2.gz OQMDNUQLHQSDAM-UHFFFAOYSA-N 1 2 265.238 3.587 20 0 CHADLO Fc1ccc2[nH]ccc2c1-c1ccc2[nH+]ccn2c1 ZINC001240213924 1130233767 /nfs/dbraw/zinc/23/37/67/1130233767.db2.gz OUTKQPRPUFBOTM-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO CCc1cc2c(ncnc2-c2ccc3[nH+]ccn3c2)s1 ZINC001240214566 1130234227 /nfs/dbraw/zinc/23/42/27/1130234227.db2.gz NPUTXBMSYVOFOG-UHFFFAOYSA-N 1 2 280.356 3.568 20 0 CHADLO C[C@@H]1CC[C@@H](Nc2ccc([NH+]3CCCC3)cc2)CS1 ZINC000689398655 1130234677 /nfs/dbraw/zinc/23/46/77/1130234677.db2.gz NFEJDTDQODWDDV-UKRRQHHQSA-N 1 2 276.449 3.983 20 0 CHADLO CCOC(=O)/C=C/c1cccc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218168 1130235583 /nfs/dbraw/zinc/23/55/83/1130235583.db2.gz DMKJWJZJRXWXBX-RMKNXTFCSA-N 1 2 292.338 3.578 20 0 CHADLO CC1(C)COC(c2ccc(-c3ccc4[nH+]ccn4c3)cc2)=N1 ZINC001240218176 1130235894 /nfs/dbraw/zinc/23/58/94/1130235894.db2.gz DXPUPKKFXXNGJF-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO FC(F)Oc1ccccc1-c1ccc2[nH+]ccn2c1 ZINC001240218039 1130236184 /nfs/dbraw/zinc/23/61/84/1130236184.db2.gz XKYSESBCJCJIND-UHFFFAOYSA-N 1 2 260.243 3.603 20 0 CHADLO CC(C)(C)c1ccc(-c2ccc3[nH+]ccn3c2)nc1 ZINC001240217395 1130236375 /nfs/dbraw/zinc/23/63/75/1130236375.db2.gz ORKMFIGPTFOMEY-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO Cc1ccc(-c2ccc3[nH+]ccn3c2)c2cccnc12 ZINC001240218326 1130236676 /nfs/dbraw/zinc/23/66/76/1130236676.db2.gz KUCPIISHDHDPNW-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC(C)Oc1cc(F)ccc1-c1ccc2[nH+]ccn2c1 ZINC001240218606 1130237061 /nfs/dbraw/zinc/23/70/61/1130237061.db2.gz GDHXXQMCTYYYGI-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO c1cn2cc(-c3ccnc4[nH]c(C5CC5)cc43)ccc2[nH+]1 ZINC001240218708 1130237201 /nfs/dbraw/zinc/23/72/01/1130237201.db2.gz UVROKJYYOWOMGN-UHFFFAOYSA-N 1 2 274.327 3.755 20 0 CHADLO Fc1cnc2ccc(-c3ccc4[nH+]ccn4c3)cc2c1 ZINC001240218902 1130237288 /nfs/dbraw/zinc/23/72/88/1130237288.db2.gz OOFDJEZCZOFFTG-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Oc1cccc(Cl)c1Cl ZINC000748600718 1130238084 /nfs/dbraw/zinc/23/80/84/1130238084.db2.gz BLWVGPVFRYJCRI-UHFFFAOYSA-N 1 2 299.157 3.576 20 0 CHADLO CCOc1cc(F)c(-c2ccc3[nH+]ccn3c2)cc1F ZINC001240222073 1130239720 /nfs/dbraw/zinc/23/97/20/1130239720.db2.gz WOGLVVOIBZSBIZ-UHFFFAOYSA-N 1 2 274.270 3.678 20 0 CHADLO Cc1cc(-c2cccc(N3CCCCC3)c2)c[nH+]c1N ZINC001240252184 1130248656 /nfs/dbraw/zinc/24/86/56/1130248656.db2.gz NWZSYBJWNCCYOJ-UHFFFAOYSA-N 1 2 267.376 3.630 20 0 CHADLO CN(C)c1cc(-c2cccc(N3CCCCC3)c2)cc[nH+]1 ZINC001240252524 1130249729 /nfs/dbraw/zinc/24/97/29/1130249729.db2.gz ZKHZXERXARUVFN-UHFFFAOYSA-N 1 2 281.403 3.805 20 0 CHADLO Sc1cccc(-c2cccc(CC[NH+]3CCOCC3)c2)c1 ZINC001240265481 1130252299 /nfs/dbraw/zinc/25/22/99/1130252299.db2.gz IPDAGEXFDYRGRJ-UHFFFAOYSA-N 1 2 299.439 3.517 20 0 CHADLO CCCCC[C@@H]([NH2+]C1CC(c2ccccc2)C1)C(=O)OC ZINC000789575486 1130259870 /nfs/dbraw/zinc/25/98/70/1130259870.db2.gz AAOMVAHLNFFEEW-OFLPRAFFSA-N 1 2 289.419 3.644 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2ccc(C)nc2)c1 ZINC001137265777 1130272816 /nfs/dbraw/zinc/27/28/16/1130272816.db2.gz YOISBGIBAVPUJL-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2ccc(C)nc2)c1 ZINC001137265777 1130272821 /nfs/dbraw/zinc/27/28/21/1130272821.db2.gz YOISBGIBAVPUJL-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cn2c(cccc2-c2cccc(C(C)(C)O)c2)[nH+]1 ZINC001240406813 1130294135 /nfs/dbraw/zinc/29/41/35/1130294135.db2.gz QDVVTVKCCJJRCV-UHFFFAOYSA-N 1 2 266.344 3.537 20 0 CHADLO CC(C)CCn1cc(-c2ccccc2Cn2cc[nH+]c2)cn1 ZINC001240420633 1130302372 /nfs/dbraw/zinc/30/23/72/1130302372.db2.gz YHMVYFAAQZEKPG-UHFFFAOYSA-N 1 2 294.402 3.841 20 0 CHADLO COc1ccc2nc(-c3ccn4cc[nH+]c4c3)ccc2c1 ZINC001240497080 1130329178 /nfs/dbraw/zinc/32/91/78/1130329178.db2.gz XWMXLRJALJTTRT-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)nc(-c2cccs2)n1 ZINC001240503577 1130333678 /nfs/dbraw/zinc/33/36/78/1130333678.db2.gz FIQAJYZXSAEYLO-UHFFFAOYSA-N 1 2 292.367 3.828 20 0 CHADLO c1cn2ccc(-c3ccc(-c4ccon4)cc3)cc2[nH+]1 ZINC001240509094 1130334269 /nfs/dbraw/zinc/33/42/69/1130334269.db2.gz QVJQYJDRHXHYNN-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO COc1ccc[nH+]c1NCc1cc2cc(C)ccc2o1 ZINC000677852498 1130334557 /nfs/dbraw/zinc/33/45/57/1130334557.db2.gz FNTNHMCTUUMXTG-UHFFFAOYSA-N 1 2 268.316 3.757 20 0 CHADLO COc1ccnc2ccc(-c3ccn4cc[nH+]c4c3)cc21 ZINC001240510822 1130335748 /nfs/dbraw/zinc/33/57/48/1130335748.db2.gz UCMLGBDIZLYUFF-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)c2ccccc2n1 ZINC001240510615 1130335809 /nfs/dbraw/zinc/33/58/09/1130335809.db2.gz OSAUTGVDGSTMNI-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COc1cc(-c2ccn3cc[nH+]c3c2)cc2ccoc21 ZINC001240511469 1130335904 /nfs/dbraw/zinc/33/59/04/1130335904.db2.gz DWKPTQCFFYIPAL-UHFFFAOYSA-N 1 2 264.284 3.756 20 0 CHADLO CO/C=C/c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240511628 1130336354 /nfs/dbraw/zinc/33/63/54/1130336354.db2.gz JYXGBZNQOFGETK-YRNVUSSQSA-N 1 2 250.301 3.618 20 0 CHADLO COc1cccc2c(-c3ccn4cc[nH+]c4c3)ccnc12 ZINC001240512088 1130337396 /nfs/dbraw/zinc/33/73/96/1130337396.db2.gz OLZTVGWAGHGJCK-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1cccc2c1cncc2-c1ccn2cc[nH+]c2c1 ZINC001240512107 1130337472 /nfs/dbraw/zinc/33/74/72/1130337472.db2.gz OXGGMQJMLVEADM-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CCCOc1ccc(F)cc1-c1ccn2cc[nH+]c2c1 ZINC001240513447 1130338054 /nfs/dbraw/zinc/33/80/54/1130338054.db2.gz SIXDAZNMBIQZRJ-UHFFFAOYSA-N 1 2 270.307 3.929 20 0 CHADLO COc1cc(C)cc(-c2ccc3[nH+]c(C)cn3c2)c1 ZINC001243244190 1130339935 /nfs/dbraw/zinc/33/99/35/1130339935.db2.gz CCRDVRZEHCIEME-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO COC1(CNc2ccc([NH+](C)C)c(C)c2)CCCCC1 ZINC000790976198 1130345364 /nfs/dbraw/zinc/34/53/64/1130345364.db2.gz GHVFVXQPTBRXPK-UHFFFAOYSA-N 1 2 276.424 3.822 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccc3c[nH]nc3c2)cc1F ZINC001240559708 1130358197 /nfs/dbraw/zinc/35/81/97/1130358197.db2.gz XXOPWJFERRGYNK-UHFFFAOYSA-N 1 2 287.313 3.570 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2cccc3cc[nH]c32)no1 ZINC000678201127 1130370089 /nfs/dbraw/zinc/37/00/89/1130370089.db2.gz NKODINQEHGDGBX-HNNXBMFYSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2cccc3cc[nH]c32)no1 ZINC000678201127 1130370092 /nfs/dbraw/zinc/37/00/92/1130370092.db2.gz NKODINQEHGDGBX-HNNXBMFYSA-N 1 2 296.374 3.587 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1nn(C)c2ccccc12)C1CC1 ZINC000678213410 1130372806 /nfs/dbraw/zinc/37/28/06/1130372806.db2.gz ULJPHKISNCOFDA-CYBMUJFWSA-N 1 2 295.386 3.892 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1nn(C)c2ccccc12)C1CC1 ZINC000678213410 1130372811 /nfs/dbraw/zinc/37/28/11/1130372811.db2.gz ULJPHKISNCOFDA-CYBMUJFWSA-N 1 2 295.386 3.892 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)[C@H]1CC[C@H](C)C1 ZINC000791439165 1130373410 /nfs/dbraw/zinc/37/34/10/1130373410.db2.gz YZMIPXMFGNVWAY-ZFWWWQNUSA-N 1 2 298.386 3.660 20 0 CHADLO c1cn2ccc(-c3ccc(N4CCCC4)cc3)cc2[nH+]1 ZINC001240590414 1130375714 /nfs/dbraw/zinc/37/57/14/1130375714.db2.gz UHVHYWHLACPKGK-UHFFFAOYSA-N 1 2 263.344 3.602 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cc(C)cc(F)c1 ZINC001240597399 1130380336 /nfs/dbraw/zinc/38/03/36/1130380336.db2.gz YSMYAIFINATQQA-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)o1 ZINC000678278754 1130389245 /nfs/dbraw/zinc/38/92/45/1130389245.db2.gz DOIDJWQZCBKRNN-KDOFPFPSSA-N 1 2 285.387 3.804 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)o1 ZINC000678278754 1130389250 /nfs/dbraw/zinc/38/92/50/1130389250.db2.gz DOIDJWQZCBKRNN-KDOFPFPSSA-N 1 2 285.387 3.804 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)cn1 ZINC000678283759 1130390303 /nfs/dbraw/zinc/39/03/03/1130390303.db2.gz REGVBPUEUKCAEO-INIZCTEOSA-N 1 2 273.355 3.550 20 0 CHADLO CC(C)n1cc(C[N@H+]2CC[C@H]2c2cccc(F)c2)cn1 ZINC000678283759 1130390308 /nfs/dbraw/zinc/39/03/08/1130390308.db2.gz REGVBPUEUKCAEO-INIZCTEOSA-N 1 2 273.355 3.550 20 0 CHADLO COCc1ccc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)o1 ZINC000678283729 1130391219 /nfs/dbraw/zinc/39/12/19/1130391219.db2.gz QKMOGVBOCOVCMH-INIZCTEOSA-N 1 2 275.323 3.512 20 0 CHADLO COCc1ccc(C[N@H+]2CC[C@H]2c2cccc(F)c2)o1 ZINC000678283729 1130391225 /nfs/dbraw/zinc/39/12/25/1130391225.db2.gz QKMOGVBOCOVCMH-INIZCTEOSA-N 1 2 275.323 3.512 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cnn([C@H]3CCCCO3)c2)cc1 ZINC001240679157 1130414772 /nfs/dbraw/zinc/41/47/72/1130414772.db2.gz VECTYJOVQBEZNS-MRXNPFEDSA-N 1 2 299.374 3.611 20 0 CHADLO CCn1nccc1-c1cc([NH+](CC)CC)ccc1C ZINC001240713213 1130433830 /nfs/dbraw/zinc/43/38/30/1130433830.db2.gz SMELJALQFTUEAR-UHFFFAOYSA-N 1 2 257.381 3.725 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+]Cc2ncc(C3CC3)o2)cc1 ZINC000678733272 1130448220 /nfs/dbraw/zinc/44/82/20/1130448220.db2.gz NJEXNXXIMRHSSL-GFCCVEGCSA-N 1 2 286.375 3.802 20 0 CHADLO Fc1ccc([C@H]([NH2+]CC(F)F)C2CCCC2)cc1 ZINC000678752749 1130449058 /nfs/dbraw/zinc/44/90/58/1130449058.db2.gz APZLJPQDDBWDNS-CQSZACIVSA-N 1 2 257.299 3.912 20 0 CHADLO CCc1c(C)cc(-c2ccc([C@@H]([NH3+])C(=O)OC)cc2)cc1C ZINC001240741136 1130449519 /nfs/dbraw/zinc/44/95/19/1130449519.db2.gz UXBHXEZEYRINFJ-GOSISDBHSA-N 1 2 297.398 3.706 20 0 CHADLO CC(C)c1cc(N[C@@H](C)c2ccon2)nc(C(C)C)[nH+]1 ZINC000678787026 1130455430 /nfs/dbraw/zinc/45/54/30/1130455430.db2.gz WFVSSPFWVZKMDM-NSHDSACASA-N 1 2 274.368 3.885 20 0 CHADLO Fc1c[nH+]ccc1N1CCC(Cc2ccccc2)CC1 ZINC000678786189 1130455441 /nfs/dbraw/zinc/45/54/41/1130455441.db2.gz FSPPHCUBZRYMGW-UHFFFAOYSA-N 1 2 270.351 3.680 20 0 CHADLO Cc1ccccc1[C@@H]1CCCN1c1cc[nH+]cc1F ZINC000678788854 1130455673 /nfs/dbraw/zinc/45/56/73/1130455673.db2.gz OIUJZZHSTGYQSU-HNNXBMFYSA-N 1 2 256.324 3.871 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1nc2c(s1)CCC2 ZINC000678811925 1130457576 /nfs/dbraw/zinc/45/75/76/1130457576.db2.gz ISHJPNIGVKUBOQ-UHFFFAOYSA-N 1 2 276.405 3.635 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1nc2c(s1)CCC2 ZINC000678811925 1130457579 /nfs/dbraw/zinc/45/75/79/1130457579.db2.gz ISHJPNIGVKUBOQ-UHFFFAOYSA-N 1 2 276.405 3.635 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1nc2c(s1)CCC2)C1CC1 ZINC000678819305 1130461284 /nfs/dbraw/zinc/46/12/84/1130461284.db2.gz PELSFWWAIGXVDW-NSHDSACASA-N 1 2 288.416 3.950 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1nc2c(s1)CCC2)C1CC1 ZINC000678819305 1130461289 /nfs/dbraw/zinc/46/12/89/1130461289.db2.gz PELSFWWAIGXVDW-NSHDSACASA-N 1 2 288.416 3.950 20 0 CHADLO C[C@@H]1C[C@H]1[C@H]([NH2+]Cc1csnn1)c1ccc(Cl)cc1 ZINC000678931080 1130474271 /nfs/dbraw/zinc/47/42/71/1130474271.db2.gz CPQNUJCGWCLMJM-OWYVNGRQSA-N 1 2 293.823 3.678 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc2cc[nH]c2c1 ZINC001240799107 1130478433 /nfs/dbraw/zinc/47/84/33/1130478433.db2.gz PBHLYBVNBPODMD-UHFFFAOYSA-N 1 2 263.300 3.720 20 0 CHADLO CO[C@@H](C)C1C[NH+](Cc2c(Cl)ccc(F)c2Cl)C1 ZINC001137359457 1130483574 /nfs/dbraw/zinc/48/35/74/1130483574.db2.gz SHTKUBFSZYAPGW-QMMMGPOBSA-N 1 2 292.181 3.599 20 0 CHADLO Cc1cc(Cl)cc2c1OCCC[C@@H]2[NH2+]Cc1cocn1 ZINC000679051907 1130489054 /nfs/dbraw/zinc/48/90/54/1130489054.db2.gz ZPKUHAAFDYFMOM-AWEZNQCLSA-N 1 2 292.766 3.640 20 0 CHADLO COc1ccc(CC[C@H](C)Nc2[nH+]cccc2OC)cc1 ZINC000679087213 1130492289 /nfs/dbraw/zinc/49/22/89/1130492289.db2.gz SKFCWTGHYDUWJM-ZDUSSCGKSA-N 1 2 286.375 3.532 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cnn(CC(C)C)c2)c1 ZINC001240887408 1130502679 /nfs/dbraw/zinc/50/26/79/1130502679.db2.gz IMUUJAHLXWTARS-UHFFFAOYSA-N 1 2 271.364 3.568 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H]1F ZINC000679220646 1130504086 /nfs/dbraw/zinc/50/40/86/1130504086.db2.gz IMVYRSYLFMRLBP-MFKMUULPSA-N 1 2 275.289 3.885 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H]1F ZINC000679220646 1130504091 /nfs/dbraw/zinc/50/40/91/1130504091.db2.gz IMVYRSYLFMRLBP-MFKMUULPSA-N 1 2 275.289 3.885 20 0 CHADLO Nc1[nH+]cccc1-c1cc(C(F)(F)F)cc2[nH]ccc21 ZINC001243472762 1130504537 /nfs/dbraw/zinc/50/45/37/1130504537.db2.gz AABJLHCSYRNPGI-UHFFFAOYSA-N 1 2 277.249 3.831 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@H](C)[C@@H](F)C2)cc1Cl ZINC000679225257 1130505311 /nfs/dbraw/zinc/50/53/11/1130505311.db2.gz PNRLKTFMPOWOLF-GWCFXTLKSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@H](C)[C@@H](F)C2)cc1Cl ZINC000679225257 1130505313 /nfs/dbraw/zinc/50/53/13/1130505313.db2.gz PNRLKTFMPOWOLF-GWCFXTLKSA-N 1 2 271.763 3.529 20 0 CHADLO Nc1ccc(Cl)cc1-c1cc[nH+]c(N2CCCC2)c1 ZINC001243482364 1130509613 /nfs/dbraw/zinc/50/96/13/1130509613.db2.gz BQKWJUSKFGCGFC-UHFFFAOYSA-N 1 2 273.767 3.584 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@H+]1CC=CCC1 ZINC000679322253 1130514456 /nfs/dbraw/zinc/51/44/56/1130514456.db2.gz XQCSHVPSEAMSLK-NSHDSACASA-N 1 2 277.318 3.754 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@@H+]1CC=CCC1 ZINC000679322253 1130514461 /nfs/dbraw/zinc/51/44/61/1130514461.db2.gz XQCSHVPSEAMSLK-NSHDSACASA-N 1 2 277.318 3.754 20 0 CHADLO CN(C)c1ccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)cc1 ZINC001240916894 1130515769 /nfs/dbraw/zinc/51/57/69/1130515769.db2.gz SSFGYRKOUHYBLE-UHFFFAOYSA-N 1 2 290.357 3.759 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cc(F)ccc1C ZINC001240921077 1130517236 /nfs/dbraw/zinc/51/72/36/1130517236.db2.gz BRBLUKKZANMCFM-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+]2CC[C@@H](C)[C@@H](F)C2)s1 ZINC000679359924 1130521793 /nfs/dbraw/zinc/52/17/93/1130521793.db2.gz SCCCDNMVTDJGDK-YPMHNXCESA-N 1 2 270.417 3.522 20 0 CHADLO CC(C)Cc1ncc(C[N@H+]2CC[C@@H](C)[C@@H](F)C2)s1 ZINC000679359924 1130521800 /nfs/dbraw/zinc/52/18/00/1130521800.db2.gz SCCCDNMVTDJGDK-YPMHNXCESA-N 1 2 270.417 3.522 20 0 CHADLO Cc1cn2c(cccc2-c2cccc3ncccc32)[nH+]1 ZINC001240938254 1130525219 /nfs/dbraw/zinc/52/52/19/1130525219.db2.gz UFOUHSGRWKUCNG-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COCc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)cc1 ZINC001240953823 1130533396 /nfs/dbraw/zinc/53/33/96/1130533396.db2.gz UIYDETJLAYRZMR-UHFFFAOYSA-N 1 2 278.355 3.901 20 0 CHADLO CC(C)([NH2+]Cc1ccc2c(c1)CC(C)(C)O2)C(F)F ZINC000793255061 1130535895 /nfs/dbraw/zinc/53/58/95/1130535895.db2.gz NVXRFXGGEBUXQE-UHFFFAOYSA-N 1 2 269.335 3.533 20 0 CHADLO Fc1ccc(Cl)cc1C[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000679740779 1130554922 /nfs/dbraw/zinc/55/49/22/1130554922.db2.gz RBQPXHXYBJYNGV-LLVKDONJSA-N 1 2 293.716 3.683 20 0 CHADLO Fc1ccc(Cl)cc1C[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000679740779 1130554927 /nfs/dbraw/zinc/55/49/27/1130554927.db2.gz RBQPXHXYBJYNGV-LLVKDONJSA-N 1 2 293.716 3.683 20 0 CHADLO Cc1cn2c(n1)C[N@H+]([C@H](C)c1c(C)cc(C)cc1C)CC2 ZINC000679869422 1130561591 /nfs/dbraw/zinc/56/15/91/1130561591.db2.gz XFXVDUOYLQJDLQ-MRXNPFEDSA-N 1 2 283.419 3.694 20 0 CHADLO Cc1cn2c(n1)C[N@@H+]([C@H](C)c1c(C)cc(C)cc1C)CC2 ZINC000679869422 1130561597 /nfs/dbraw/zinc/56/15/97/1130561597.db2.gz XFXVDUOYLQJDLQ-MRXNPFEDSA-N 1 2 283.419 3.694 20 0 CHADLO CCOc1cc(F)cc(-c2cc(C)cn3cc[nH+]c23)c1 ZINC001241024706 1130570391 /nfs/dbraw/zinc/57/03/91/1130570391.db2.gz GXFVCGANXGMOEH-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2c(Cl)cccc2Cl)n(C)n1 ZINC000793529672 1130571704 /nfs/dbraw/zinc/57/17/04/1130571704.db2.gz SYQVWUQEPURMDL-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO C[C@H](C[N@H+](C)Cc1nc2ccccc2s1)C(F)(F)F ZINC000441149019 1130635454 /nfs/dbraw/zinc/63/54/54/1130635454.db2.gz UWJQGMQSYCLICX-SECBINFHSA-N 1 2 288.338 3.927 20 0 CHADLO C[C@H](C[N@@H+](C)Cc1nc2ccccc2s1)C(F)(F)F ZINC000441149019 1130635460 /nfs/dbraw/zinc/63/54/60/1130635460.db2.gz UWJQGMQSYCLICX-SECBINFHSA-N 1 2 288.338 3.927 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H]2CCCC[C@@H]2F)cc1 ZINC001234588400 1130659139 /nfs/dbraw/zinc/65/91/39/1130659139.db2.gz NOUXBJYOLSQROI-UONOGXRCSA-N 1 2 265.328 3.708 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H](C)COc2ccccc2)cc1 ZINC001234587842 1130659211 /nfs/dbraw/zinc/65/92/11/1130659211.db2.gz DMJOMPCHWDKJKQ-AWEZNQCLSA-N 1 2 299.370 3.895 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H](C)Cc2ccco2)cc1 ZINC001234587920 1130659748 /nfs/dbraw/zinc/65/97/48/1130659748.db2.gz FXTQDILHIZJFSH-GFCCVEGCSA-N 1 2 273.332 3.651 20 0 CHADLO CC1(C)CC[N@H+](Cc2nc(Cl)ccc2C(F)(F)F)C1 ZINC001235239913 1130726464 /nfs/dbraw/zinc/72/64/64/1130726464.db2.gz YAIRXZLCLCUVMT-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO CC1(C)CC[N@@H+](Cc2nc(Cl)ccc2C(F)(F)F)C1 ZINC001235239913 1130726469 /nfs/dbraw/zinc/72/64/69/1130726469.db2.gz YAIRXZLCLCUVMT-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(F)c(F)c(F)c2F)[C@H](C)C1 ZINC001235354044 1130735077 /nfs/dbraw/zinc/73/50/77/1130735077.db2.gz HUJIKGQFLNSCRQ-RKDXNWHRSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(F)c(F)c(F)c2F)[C@H](C)C1 ZINC001235354044 1130735082 /nfs/dbraw/zinc/73/50/82/1130735082.db2.gz HUJIKGQFLNSCRQ-RKDXNWHRSA-N 1 2 275.289 3.863 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1cc(F)c(F)c(F)c1F ZINC001235354394 1130735772 /nfs/dbraw/zinc/73/57/72/1130735772.db2.gz JEEYISSCLSRKLV-UHFFFAOYSA-N 1 2 289.297 3.937 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1cc(F)c(F)c(F)c1F ZINC001235354394 1130735776 /nfs/dbraw/zinc/73/57/76/1130735776.db2.gz JEEYISSCLSRKLV-UHFFFAOYSA-N 1 2 289.297 3.937 20 0 CHADLO O=C(CCCC1CCCCC1)OCCCn1cc[nH+]c1 ZINC000781824793 1130741800 /nfs/dbraw/zinc/74/18/00/1130741800.db2.gz FQVVBGJQCCULJJ-UHFFFAOYSA-N 1 2 278.396 3.567 20 0 CHADLO CCOc1cc(C[NH+]2CC(C)(C)C2)ccc1Br ZINC001235437858 1130744566 /nfs/dbraw/zinc/74/45/66/1130744566.db2.gz KLQHTBWIYOVJKJ-UHFFFAOYSA-N 1 2 298.224 3.690 20 0 CHADLO CC[N@H+](Cc1c(F)cc(C)cc1Br)C1CC1 ZINC001235460408 1130746771 /nfs/dbraw/zinc/74/67/71/1130746771.db2.gz KRRRRILWSMJFQV-UHFFFAOYSA-N 1 2 286.188 3.881 20 0 CHADLO CC[N@@H+](Cc1c(F)cc(C)cc1Br)C1CC1 ZINC001235460408 1130746775 /nfs/dbraw/zinc/74/67/75/1130746775.db2.gz KRRRRILWSMJFQV-UHFFFAOYSA-N 1 2 286.188 3.881 20 0 CHADLO Cc1ccccc1CSCc1cc[nH+]c(N(C)C)c1 ZINC000782109504 1130755675 /nfs/dbraw/zinc/75/56/75/1130755675.db2.gz BMDUFEIWJYSXLZ-UHFFFAOYSA-N 1 2 272.417 3.889 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCC[C@@H]2c2cccnc2)c1Cl ZINC001235577924 1130755833 /nfs/dbraw/zinc/75/58/33/1130755833.db2.gz WLJHTLAEDWCGAQ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccnc(C[N@H+]2CCC[C@@H]2c2cccnc2)c1Cl ZINC001235577924 1130755838 /nfs/dbraw/zinc/75/58/38/1130755838.db2.gz WLJHTLAEDWCGAQ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccnc(C[N@H+](C)CCOc2ccccc2)c1Cl ZINC001235578447 1130756085 /nfs/dbraw/zinc/75/60/85/1130756085.db2.gz BCPAJUDRJXLNDK-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO Cc1ccnc(C[N@@H+](C)CCOc2ccccc2)c1Cl ZINC001235578447 1130756092 /nfs/dbraw/zinc/75/60/92/1130756092.db2.gz BCPAJUDRJXLNDK-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO CC(=O)C[N@@H+](C)Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235593436 1130756719 /nfs/dbraw/zinc/75/67/19/1130756719.db2.gz ULHWJVWKHGYWML-UHFFFAOYSA-N 1 2 280.582 3.668 20 0 CHADLO CC(=O)C[N@H+](C)Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235593436 1130756724 /nfs/dbraw/zinc/75/67/24/1130756724.db2.gz ULHWJVWKHGYWML-UHFFFAOYSA-N 1 2 280.582 3.668 20 0 CHADLO COc1ccc(F)cc1N[C@H](C)c1cn2c([nH+]1)CCCC2 ZINC000813328289 1130759191 /nfs/dbraw/zinc/75/91/91/1130759191.db2.gz KVTLXMMQTRVSFM-LLVKDONJSA-N 1 2 289.354 3.540 20 0 CHADLO CCOc1ccccc1N[C@H](C)c1cn2c([nH+]1)CCCC2 ZINC000813329743 1130760245 /nfs/dbraw/zinc/76/02/45/1130760245.db2.gz WPLBWEFBDCAVJP-CYBMUJFWSA-N 1 2 285.391 3.791 20 0 CHADLO C[C@H](C1CC1)[N@@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000782193350 1130761124 /nfs/dbraw/zinc/76/11/24/1130761124.db2.gz LYUIVKYHNCTAGU-ABAIWWIYSA-N 1 2 299.336 3.877 20 0 CHADLO C[C@H](C1CC1)[N@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000782193350 1130761128 /nfs/dbraw/zinc/76/11/28/1130761128.db2.gz LYUIVKYHNCTAGU-ABAIWWIYSA-N 1 2 299.336 3.877 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCC34CCCCC4)[nH]c2c1 ZINC001235682383 1130768257 /nfs/dbraw/zinc/76/82/57/1130768257.db2.gz JSFHPIWBCKEWMC-UHFFFAOYSA-N 1 2 273.355 3.611 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCC34CCCCC4)[nH]c2c1 ZINC001235682383 1130768262 /nfs/dbraw/zinc/76/82/62/1130768262.db2.gz JSFHPIWBCKEWMC-UHFFFAOYSA-N 1 2 273.355 3.611 20 0 CHADLO Cc1ccc(CC[N@@H+](C)Cc2nc3ccc(F)cc3[nH]2)cc1 ZINC001235698859 1130769331 /nfs/dbraw/zinc/76/93/31/1130769331.db2.gz YQSXMXKSJMYOTD-UHFFFAOYSA-N 1 2 297.377 3.685 20 0 CHADLO Cc1ccc(CC[N@H+](C)Cc2nc3ccc(F)cc3[nH]2)cc1 ZINC001235698859 1130769333 /nfs/dbraw/zinc/76/93/33/1130769333.db2.gz YQSXMXKSJMYOTD-UHFFFAOYSA-N 1 2 297.377 3.685 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nc2cc(F)ccc2[nH]1 ZINC001235692534 1130770623 /nfs/dbraw/zinc/77/06/23/1130770623.db2.gz DBNATCBQKBCRAO-GFCCVEGCSA-N 1 2 295.361 3.649 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1nc2cc(F)ccc2[nH]1 ZINC001235692534 1130770628 /nfs/dbraw/zinc/77/06/28/1130770628.db2.gz DBNATCBQKBCRAO-GFCCVEGCSA-N 1 2 295.361 3.649 20 0 CHADLO Cc1ccc2cc(OC(=O)CCCn3cc[nH+]c3)ccc2c1 ZINC001133818302 1130771390 /nfs/dbraw/zinc/77/13/90/1130771390.db2.gz YWNCDJAHHMUKGY-UHFFFAOYSA-N 1 2 294.354 3.731 20 0 CHADLO Cc1cc(Br)cc(C)c1C[N@@H+]1CC[C@H](F)C1 ZINC001235781807 1130778051 /nfs/dbraw/zinc/77/80/51/1130778051.db2.gz RWRJVLLQUAZIBN-LBPRGKRZSA-N 1 2 286.188 3.610 20 0 CHADLO Cc1cc(Br)cc(C)c1C[N@H+]1CC[C@H](F)C1 ZINC001235781807 1130778057 /nfs/dbraw/zinc/77/80/57/1130778057.db2.gz RWRJVLLQUAZIBN-LBPRGKRZSA-N 1 2 286.188 3.610 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2c(CC)noc2C)o1 ZINC000813883674 1130793378 /nfs/dbraw/zinc/79/33/78/1130793378.db2.gz BUCQYOVWYZZPKW-LLVKDONJSA-N 1 2 291.395 3.645 20 0 CHADLO Cc1ccc(C2CC[NH+](C/C(Cl)=C\Cl)CC2)cn1 ZINC000783020510 1130793855 /nfs/dbraw/zinc/79/38/55/1130793855.db2.gz OXCDEXSCHJZGCR-RIYZIHGNSA-N 1 2 285.218 3.888 20 0 CHADLO CCCC(C)(C)CC(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000783046258 1130795072 /nfs/dbraw/zinc/79/50/72/1130795072.db2.gz KRHAFCWUWFHBSY-UHFFFAOYSA-N 1 2 292.423 3.540 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2c(F)ccc(N)c2F)cc1 ZINC001235960651 1130818258 /nfs/dbraw/zinc/81/82/58/1130818258.db2.gz WFVYUBLNWDIYJG-UHFFFAOYSA-N 1 2 290.357 3.741 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2c(F)ccc(N)c2F)cc1 ZINC001235960651 1130818263 /nfs/dbraw/zinc/81/82/63/1130818263.db2.gz WFVYUBLNWDIYJG-UHFFFAOYSA-N 1 2 290.357 3.741 20 0 CHADLO Cc1ccc([C@H](C)Nc2[nH+]cnc3[nH]cc(F)c32)c(C)c1 ZINC001170723350 1130818349 /nfs/dbraw/zinc/81/83/49/1130818349.db2.gz PHOXMBPUNPKZTC-NSHDSACASA-N 1 2 284.338 3.887 20 0 CHADLO Nc1ccc(/C=C/c2ccc(C(F)(F)F)cc2)c[nH+]1 ZINC001235978697 1130821261 /nfs/dbraw/zinc/82/12/61/1130821261.db2.gz AJWHNFLPGHTHJC-OWOJBTEDSA-N 1 2 264.250 3.853 20 0 CHADLO CC(C)Oc1cc(N[C@@H](C)c2cccc(N)c2)cc[nH+]1 ZINC001170732973 1130843234 /nfs/dbraw/zinc/84/32/34/1130843234.db2.gz BKJXECZAJIEMMO-LBPRGKRZSA-N 1 2 271.364 3.624 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cccc(C2CC2)c1 ZINC001236087788 1130855200 /nfs/dbraw/zinc/85/52/00/1130855200.db2.gz OMTXVAMUSYJECZ-UHFFFAOYSA-N 1 2 264.328 3.887 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cccnc2N2CCCC2)cc1 ZINC001236133301 1130872096 /nfs/dbraw/zinc/87/20/96/1130872096.db2.gz QIOCDRYCACWGHL-UHFFFAOYSA-N 1 2 295.386 3.711 20 0 CHADLO Cc1cc(-c2ccc(F)c(C(=O)N3CCCC3)c2)cc(C)[nH+]1 ZINC001236162667 1130876907 /nfs/dbraw/zinc/87/69/07/1130876907.db2.gz RQDOINVEECHJGQ-UHFFFAOYSA-N 1 2 298.361 3.741 20 0 CHADLO COc1cc(-c2ccc(-n3cc[nH+]c3)cc2)cc(C)c1F ZINC001236189706 1130880873 /nfs/dbraw/zinc/88/08/73/1130880873.db2.gz DSJWAXXHZZCEQZ-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO COc1cc(C)cc(F)c1-c1[nH+]c(C)cc2[nH]ccc21 ZINC001236210779 1130888826 /nfs/dbraw/zinc/88/88/26/1130888826.db2.gz DJMDUPFKCUSECC-UHFFFAOYSA-N 1 2 270.307 3.994 20 0 CHADLO COc1cc(C)cc(F)c1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001236220914 1130895154 /nfs/dbraw/zinc/89/51/54/1130895154.db2.gz FMIOMNVGTWRJNZ-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO COc1cc(C)cc(F)c1-c1cc(C)cn2cc[nH+]c12 ZINC001236221060 1130895984 /nfs/dbraw/zinc/89/59/84/1130895984.db2.gz GVYAMSBVOQPBHM-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO C/C(=C\C=C\[NH3+])c1c(F)c(F)c(OC(C)C)c(F)c1F ZINC001236226835 1130896815 /nfs/dbraw/zinc/89/68/15/1130896815.db2.gz YURVOJMJERHVTN-HLQBBKRNSA-N 1 2 289.272 3.906 20 0 CHADLO COc1cc(C)[nH+]cc1-c1c(F)cc(C)cc1OC ZINC001236231463 1130899088 /nfs/dbraw/zinc/89/90/88/1130899088.db2.gz IMQVHIMXPNRORO-UHFFFAOYSA-N 1 2 261.296 3.522 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(C2=CCSCC2)cc1 ZINC001236370872 1130921943 /nfs/dbraw/zinc/92/19/43/1130921943.db2.gz YBZFEUOGNWOFQX-UHFFFAOYSA-N 1 2 256.374 3.607 20 0 CHADLO Cc1c(-c2cc3[nH]ccc3c[nH+]2)cnn1-c1ccccc1 ZINC001236399035 1130927718 /nfs/dbraw/zinc/92/77/18/1130927718.db2.gz VZNDKCITZNGCKD-UHFFFAOYSA-N 1 2 274.327 3.724 20 0 CHADLO Cc1c(-c2ccc3[nH+]ccn3c2C)cnn1-c1ccccc1 ZINC001236403750 1130929228 /nfs/dbraw/zinc/92/92/28/1130929228.db2.gz FCGDQYZKKFCBCE-UHFFFAOYSA-N 1 2 288.354 3.804 20 0 CHADLO Cc1ccc(F)c(-c2cccc(-c3c[nH+]cn3C)c2)c1O ZINC001236416858 1130933510 /nfs/dbraw/zinc/93/35/10/1130933510.db2.gz ZGPYSPQWLSUCJU-UHFFFAOYSA-N 1 2 282.318 3.907 20 0 CHADLO CCCOc1ccc(C)c(Nc2ccc(NC)[nH+]c2)c1 ZINC001159253739 1130933813 /nfs/dbraw/zinc/93/38/13/1130933813.db2.gz HIXYZFVDTNJTBC-UHFFFAOYSA-N 1 2 271.364 3.964 20 0 CHADLO CCCCOc1ccc(Nc2ccc(N)[nH+]c2)c(C)c1 ZINC001159282397 1130954879 /nfs/dbraw/zinc/95/48/79/1130954879.db2.gz WMVWXPMALMWKSS-UHFFFAOYSA-N 1 2 271.364 3.895 20 0 CHADLO CCOc1ncc(Cl)cc1-c1ccc2[nH+]c(C)cn2c1 ZINC001236497066 1130958197 /nfs/dbraw/zinc/95/81/97/1130958197.db2.gz CVDTYQXBPIWMIN-UHFFFAOYSA-N 1 2 287.750 3.757 20 0 CHADLO COc1cccc(C[NH2+]Cc2c(F)cc(F)cc2F)c1F ZINC000815152122 1130961665 /nfs/dbraw/zinc/96/16/65/1130961665.db2.gz NPXYIMDXAOTLOG-UHFFFAOYSA-N 1 2 299.267 3.541 20 0 CHADLO Cc1oc(C(C)C)cc1C(=O)OCc1cccc2[nH+]ccn21 ZINC000797163915 1130966985 /nfs/dbraw/zinc/96/69/85/1130966985.db2.gz NQGLWHFEIIPEGF-UHFFFAOYSA-N 1 2 298.342 3.716 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001236606403 1130983140 /nfs/dbraw/zinc/98/31/40/1130983140.db2.gz ADEPUNLOVNDACW-SKDRFNHKSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001236606403 1130983144 /nfs/dbraw/zinc/98/31/44/1130983144.db2.gz ADEPUNLOVNDACW-SKDRFNHKSA-N 1 2 286.188 3.690 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1nccnc1Cl ZINC001236616453 1130985860 /nfs/dbraw/zinc/98/58/60/1130985860.db2.gz AWSWIMWCKMPKOB-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1nccnc1Cl ZINC001236616453 1130985862 /nfs/dbraw/zinc/98/58/62/1130985862.db2.gz AWSWIMWCKMPKOB-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO CCCOC1C[NH+](Cc2cc3cc(OC)ccc3s2)C1 ZINC001236661201 1130991288 /nfs/dbraw/zinc/99/12/88/1130991288.db2.gz IJDXETXBCXHCCL-UHFFFAOYSA-N 1 2 291.416 3.521 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1cccc2sccc21 ZINC001236689479 1130994362 /nfs/dbraw/zinc/99/43/62/1130994362.db2.gz LAGHSWVNJZUNMA-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1cccc2sccc21 ZINC001236689479 1130994366 /nfs/dbraw/zinc/99/43/66/1130994366.db2.gz LAGHSWVNJZUNMA-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO COc1cc(C)c([C@H](C)[NH2+]CC(C)(F)F)cc1C ZINC000815644969 1131014916 /nfs/dbraw/zinc/01/49/16/1131014916.db2.gz RKZBJNNOEUYDSP-NSHDSACASA-N 1 2 257.324 3.618 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc3ccccc3cc2Cl)C[C@@H]1F ZINC001236870790 1131027791 /nfs/dbraw/zinc/02/77/91/1131027791.db2.gz LIIXZCSGFQPNNQ-MFKMUULPSA-N 1 2 278.758 3.678 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc3ccccc3cc2Cl)C[C@@H]1F ZINC001236870790 1131027796 /nfs/dbraw/zinc/02/77/96/1131027796.db2.gz LIIXZCSGFQPNNQ-MFKMUULPSA-N 1 2 278.758 3.678 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccccc1OC(C)(C)C ZINC001237032411 1131037981 /nfs/dbraw/zinc/03/79/81/1131037981.db2.gz RBVQHRJAQSRVRV-INIZCTEOSA-N 1 2 289.419 3.807 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1ccccc1OC(C)(C)C ZINC001237032411 1131037984 /nfs/dbraw/zinc/03/79/84/1131037984.db2.gz RBVQHRJAQSRVRV-INIZCTEOSA-N 1 2 289.419 3.807 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1ccccc1OC(C)(C)C ZINC001237032413 1131039008 /nfs/dbraw/zinc/03/90/08/1131039008.db2.gz RBVQHRJAQSRVRV-MRXNPFEDSA-N 1 2 289.419 3.807 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1ccccc1OC(C)(C)C ZINC001237032413 1131039012 /nfs/dbraw/zinc/03/90/12/1131039012.db2.gz RBVQHRJAQSRVRV-MRXNPFEDSA-N 1 2 289.419 3.807 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1cc(O)cc2ccccc21 ZINC001237075088 1131045161 /nfs/dbraw/zinc/04/51/61/1131045161.db2.gz VIXDOUJDJPKCOG-UHFFFAOYSA-N 1 2 284.384 3.634 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1cc(O)cc2ccccc21 ZINC001237075088 1131045165 /nfs/dbraw/zinc/04/51/65/1131045165.db2.gz VIXDOUJDJPKCOG-UHFFFAOYSA-N 1 2 284.384 3.634 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1cc2cc(F)ccc2[nH]1 ZINC001245574848 1131057617 /nfs/dbraw/zinc/05/76/17/1131057617.db2.gz GLJBXGOOBZWDTF-UHFFFAOYSA-N 1 2 269.323 3.743 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2ccnc3c(Cl)cccc23)C1 ZINC001237147552 1131059128 /nfs/dbraw/zinc/05/91/28/1131059128.db2.gz ZKXWZSDNOLXSRN-LBPRGKRZSA-N 1 2 278.758 3.822 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2ccnc3c(Cl)cccc23)C1 ZINC001237147552 1131059133 /nfs/dbraw/zinc/05/91/33/1131059133.db2.gz ZKXWZSDNOLXSRN-LBPRGKRZSA-N 1 2 278.758 3.822 20 0 CHADLO COc1cccc(C[N@@H+]2CCCC[C@H](F)C2)c1Cl ZINC001237185442 1131066087 /nfs/dbraw/zinc/06/60/87/1131066087.db2.gz OSWOHFAKADBIAI-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cccc(C[N@H+]2CCCC[C@H](F)C2)c1Cl ZINC001237185442 1131066091 /nfs/dbraw/zinc/06/60/91/1131066091.db2.gz OSWOHFAKADBIAI-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO FC(F)(F)[C@@H]1C[N@H+](Cc2ccc3cccccc2-3)CCO1 ZINC001237194237 1131070193 /nfs/dbraw/zinc/07/01/93/1131070193.db2.gz YUVVYBKUSJYYND-HNNXBMFYSA-N 1 2 295.304 3.555 20 0 CHADLO FC(F)(F)[C@@H]1C[N@@H+](Cc2ccc3cccccc2-3)CCO1 ZINC001237194237 1131070197 /nfs/dbraw/zinc/07/01/97/1131070197.db2.gz YUVVYBKUSJYYND-HNNXBMFYSA-N 1 2 295.304 3.555 20 0 CHADLO FC(F)C1C[NH+](Cc2ccc3nccc(Cl)c3c2)C1 ZINC001237277014 1131077653 /nfs/dbraw/zinc/07/76/53/1131077653.db2.gz XLFLLJUUMKTVQQ-UHFFFAOYSA-N 1 2 282.721 3.585 20 0 CHADLO CSc1ccccc1C[N@@H+]1CCC=C(Br)C1 ZINC000799327750 1131079489 /nfs/dbraw/zinc/07/94/89/1131079489.db2.gz ZYYVQDMIFCKJRN-UHFFFAOYSA-N 1 2 298.249 3.893 20 0 CHADLO CSc1ccccc1C[N@H+]1CCC=C(Br)C1 ZINC000799327750 1131079495 /nfs/dbraw/zinc/07/94/95/1131079495.db2.gz ZYYVQDMIFCKJRN-UHFFFAOYSA-N 1 2 298.249 3.893 20 0 CHADLO C[N@H+](Cc1ccccc1F)Cc1ccncc1C(F)(F)F ZINC001237291257 1131079637 /nfs/dbraw/zinc/07/96/37/1131079637.db2.gz VIEWGTVMVSPRQD-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccccc1F)Cc1ccncc1C(F)(F)F ZINC001237291257 1131079645 /nfs/dbraw/zinc/07/96/45/1131079645.db2.gz VIEWGTVMVSPRQD-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([N@@H+]1CCC=C(Br)C1)CC2 ZINC000799327742 1131079748 /nfs/dbraw/zinc/07/97/48/1131079748.db2.gz ZTDJFJMTYYFKEU-AWEZNQCLSA-N 1 2 296.183 3.798 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([N@H+]1CCC=C(Br)C1)CC2 ZINC000799327742 1131079755 /nfs/dbraw/zinc/07/97/55/1131079755.db2.gz ZTDJFJMTYYFKEU-AWEZNQCLSA-N 1 2 296.183 3.798 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+]1CCC=C(Br)C1 ZINC000799326207 1131080344 /nfs/dbraw/zinc/08/03/44/1131080344.db2.gz DTHKIGVEPAFVDY-JTQLQIEISA-N 1 2 284.172 3.871 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+]1CCC=C(Br)C1 ZINC000799326207 1131080350 /nfs/dbraw/zinc/08/03/50/1131080350.db2.gz DTHKIGVEPAFVDY-JTQLQIEISA-N 1 2 284.172 3.871 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@H+](C)Cc1nccs1 ZINC001237324973 1131083557 /nfs/dbraw/zinc/08/35/57/1131083557.db2.gz GPLWTLLRGDSDDS-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@@H+](C)Cc1nccs1 ZINC001237324973 1131083563 /nfs/dbraw/zinc/08/35/63/1131083563.db2.gz GPLWTLLRGDSDDS-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO CCc1cccc(C)c1C[N@@H+]1CC[C@@H](CF)C(F)(F)C1 ZINC001237336533 1131087390 /nfs/dbraw/zinc/08/73/90/1131087390.db2.gz XBQBBXSOZBFNNW-AWEZNQCLSA-N 1 2 285.353 3.984 20 0 CHADLO CCc1cccc(C)c1C[N@H+]1CC[C@@H](CF)C(F)(F)C1 ZINC001237336533 1131087395 /nfs/dbraw/zinc/08/73/95/1131087395.db2.gz XBQBBXSOZBFNNW-AWEZNQCLSA-N 1 2 285.353 3.984 20 0 CHADLO CCCOC1C[NH+](Cc2c(C)cc(Cl)cc2C)C1 ZINC001237350595 1131088567 /nfs/dbraw/zinc/08/85/67/1131088567.db2.gz DSJXZVFQUUAFKN-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)[C@@H]2CCCOC2)c1Cl ZINC001237339061 1131088785 /nfs/dbraw/zinc/08/87/85/1131088785.db2.gz HCBIZFVAGDLUQU-LLVKDONJSA-N 1 2 288.218 3.913 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)[C@@H]2CCCOC2)c1Cl ZINC001237339061 1131088789 /nfs/dbraw/zinc/08/87/89/1131088789.db2.gz HCBIZFVAGDLUQU-LLVKDONJSA-N 1 2 288.218 3.913 20 0 CHADLO COc1c(C)cccc1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC001237500383 1131106173 /nfs/dbraw/zinc/10/61/73/1131106173.db2.gz KCXDUSKEJIBXPW-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO COc1c(C)cccc1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC001237500383 1131106176 /nfs/dbraw/zinc/10/61/76/1131106176.db2.gz KCXDUSKEJIBXPW-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO C[C@H]1C[C@H](C)C[NH+](Cc2cc(Cl)nc(Cl)c2O)C1 ZINC001237539553 1131111952 /nfs/dbraw/zinc/11/19/52/1131111952.db2.gz QMQNOCYNDHBCJV-IUCAKERBSA-N 1 2 289.206 3.572 20 0 CHADLO Cc1sccc1C[N@@H+]1CCC=C(Br)C1 ZINC000816599464 1131113978 /nfs/dbraw/zinc/11/39/78/1131113978.db2.gz NZFWPOGWAAKRMY-UHFFFAOYSA-N 1 2 272.211 3.541 20 0 CHADLO Cc1sccc1C[N@H+]1CCC=C(Br)C1 ZINC000816599464 1131113983 /nfs/dbraw/zinc/11/39/83/1131113983.db2.gz NZFWPOGWAAKRMY-UHFFFAOYSA-N 1 2 272.211 3.541 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[N@@H+]1C[C@H](C)[C@@H]1C ZINC000816649170 1131120771 /nfs/dbraw/zinc/12/07/71/1131120771.db2.gz VGPQCRKQRLBKEU-IUCAKERBSA-N 1 2 274.191 3.842 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[N@H+]1C[C@H](C)[C@@H]1C ZINC000816649170 1131120773 /nfs/dbraw/zinc/12/07/73/1131120773.db2.gz VGPQCRKQRLBKEU-IUCAKERBSA-N 1 2 274.191 3.842 20 0 CHADLO CCc1cc(C[N@@H+]2CCCC(=O)[C@@H](C)C2)ccc1Cl ZINC001237739371 1131136115 /nfs/dbraw/zinc/13/61/15/1131136115.db2.gz WCJDVBZSRISCEI-LBPRGKRZSA-N 1 2 279.811 3.703 20 0 CHADLO CCc1cc(C[N@H+]2CCCC(=O)[C@@H](C)C2)ccc1Cl ZINC001237739371 1131136117 /nfs/dbraw/zinc/13/61/17/1131136117.db2.gz WCJDVBZSRISCEI-LBPRGKRZSA-N 1 2 279.811 3.703 20 0 CHADLO CCc1cc(C[N@@H+]2CCc3cccnc3C2)ccc1Cl ZINC001237740916 1131136151 /nfs/dbraw/zinc/13/61/51/1131136151.db2.gz ZVNQGSFJALAZDY-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cc(C[N@H+]2CCc3cccnc3C2)ccc1Cl ZINC001237740916 1131136152 /nfs/dbraw/zinc/13/61/52/1131136152.db2.gz ZVNQGSFJALAZDY-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cc(C[N@@H+]2CCCC[C@@H]2C(C)=O)ccc1Cl ZINC001237740302 1131137027 /nfs/dbraw/zinc/13/70/27/1131137027.db2.gz RIDSRZJETAESER-MRXNPFEDSA-N 1 2 279.811 3.846 20 0 CHADLO CCc1cc(C[N@H+]2CCCC[C@@H]2C(C)=O)ccc1Cl ZINC001237740302 1131137029 /nfs/dbraw/zinc/13/70/29/1131137029.db2.gz RIDSRZJETAESER-MRXNPFEDSA-N 1 2 279.811 3.846 20 0 CHADLO F[C@H]1CC[N@H+](Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237769921 1131139026 /nfs/dbraw/zinc/13/90/26/1131139026.db2.gz HZXJXKQKHOWFGM-LBPRGKRZSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@H]1CC[N@@H+](Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237769921 1131139029 /nfs/dbraw/zinc/13/90/29/1131139029.db2.gz HZXJXKQKHOWFGM-LBPRGKRZSA-N 1 2 280.730 3.539 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@H+](C/C(Cl)=C\Cl)C1 ZINC000817281850 1131150238 /nfs/dbraw/zinc/15/02/38/1131150238.db2.gz QWGZNRRXBZWHFS-MOIHINDBSA-N 1 2 276.129 3.970 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@@H+](C/C(Cl)=C\Cl)C1 ZINC000817281850 1131150243 /nfs/dbraw/zinc/15/02/43/1131150243.db2.gz QWGZNRRXBZWHFS-MOIHINDBSA-N 1 2 276.129 3.970 20 0 CHADLO CCCOc1cc(F)ccc1C[NH+]1CC2(C1)CC(F)(F)C2 ZINC001237903425 1131150750 /nfs/dbraw/zinc/15/07/50/1131150750.db2.gz JPZSLWASMSFPJH-UHFFFAOYSA-N 1 2 299.336 3.846 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+]1CCCC[C@H](F)C1 ZINC001237903532 1131150763 /nfs/dbraw/zinc/15/07/63/1131150763.db2.gz MOLDBULVWRHTSG-HNNXBMFYSA-N 1 2 283.362 3.939 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+]1CCCC[C@H](F)C1 ZINC001237903532 1131150765 /nfs/dbraw/zinc/15/07/65/1131150765.db2.gz MOLDBULVWRHTSG-HNNXBMFYSA-N 1 2 283.362 3.939 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCC23CCCC3)cc1Cl ZINC001237985444 1131156487 /nfs/dbraw/zinc/15/64/87/1131156487.db2.gz YDSHRRZQSRVPQL-UHFFFAOYSA-N 1 2 269.747 3.703 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CCC23CCCC3)cc1Cl ZINC001237985444 1131156491 /nfs/dbraw/zinc/15/64/91/1131156491.db2.gz YDSHRRZQSRVPQL-UHFFFAOYSA-N 1 2 269.747 3.703 20 0 CHADLO CCCCC[N@H+](C)Cc1cc(F)c(O)c(Cl)c1 ZINC001237989778 1131157725 /nfs/dbraw/zinc/15/77/25/1131157725.db2.gz KUNGSFBFBQQJMG-UHFFFAOYSA-N 1 2 259.752 3.807 20 0 CHADLO CCCCC[N@@H+](C)Cc1cc(F)c(O)c(Cl)c1 ZINC001237989778 1131157729 /nfs/dbraw/zinc/15/77/29/1131157729.db2.gz KUNGSFBFBQQJMG-UHFFFAOYSA-N 1 2 259.752 3.807 20 0 CHADLO Oc1c(F)cc(C[NH+]2C[C@H]3CCCC[C@@H]3C2)cc1Cl ZINC001237982950 1131157787 /nfs/dbraw/zinc/15/77/87/1131157787.db2.gz AYHCYWDYAPBNOP-VXGBXAGGSA-N 1 2 283.774 3.807 20 0 CHADLO CN(CCO)c1ccc([NH2+]C2CC3(C2)CCCCC3)cc1 ZINC000817406152 1131157925 /nfs/dbraw/zinc/15/79/25/1131157925.db2.gz OPBNEEOFXIDGDA-UHFFFAOYSA-N 1 2 288.435 3.640 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237983480 1131158069 /nfs/dbraw/zinc/15/80/69/1131158069.db2.gz AUAYILYMEYAZRA-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237983480 1131158071 /nfs/dbraw/zinc/15/80/71/1131158071.db2.gz AUAYILYMEYAZRA-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CC[C@@H]3CCC[C@@H]3C2)cc1Cl ZINC001237984064 1131158097 /nfs/dbraw/zinc/15/80/97/1131158097.db2.gz LGYYVFJFDOLWEQ-NWDGAFQWSA-N 1 2 283.774 3.807 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CC[C@@H]3CCC[C@@H]3C2)cc1Cl ZINC001237984064 1131158099 /nfs/dbraw/zinc/15/80/99/1131158099.db2.gz LGYYVFJFDOLWEQ-NWDGAFQWSA-N 1 2 283.774 3.807 20 0 CHADLO CCC1CC[NH+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237983663 1131158490 /nfs/dbraw/zinc/15/84/90/1131158490.db2.gz JCSAUJWGJRGXEE-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO Oc1c(F)cc(C[NH+]2CCCCCC2)cc1Cl ZINC001237983048 1131158548 /nfs/dbraw/zinc/15/85/48/1131158548.db2.gz CQKOUTMWJPXRGJ-UHFFFAOYSA-N 1 2 257.736 3.561 20 0 CHADLO Cc1cc(CNC(=O)/C(F)=C/C2CCCCC2)cc(C)[nH+]1 ZINC000817458154 1131162466 /nfs/dbraw/zinc/16/24/66/1131162466.db2.gz DOJFUPZCIGZWPF-YBEGLDIGSA-N 1 2 290.382 3.748 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ncccc3C2)cc(C)c1Cl ZINC001238096465 1131170495 /nfs/dbraw/zinc/17/04/95/1131170495.db2.gz NUYYJBBUTJPEHR-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ncccc3C2)cc(C)c1Cl ZINC001238096465 1131170497 /nfs/dbraw/zinc/17/04/97/1131170497.db2.gz NUYYJBBUTJPEHR-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C)cc(NC(=S)NCc2cc(C)[nH+]c(C)c2)c1 ZINC000817561893 1131175508 /nfs/dbraw/zinc/17/55/08/1131175508.db2.gz CYIXZGMLUNMHRW-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO CCCCCC[C@@](C)(CC)c1nnc(C[NH+](C)C)o1 ZINC001117229049 1131180319 /nfs/dbraw/zinc/18/03/19/1131180319.db2.gz MHKLYCTXCJZCCZ-OAHLLOKOSA-N 1 2 267.417 3.769 20 0 CHADLO COC[C@H]1CC[N@@H+]1Cc1cc(Cl)c(Cl)cc1C ZINC001238256513 1131187689 /nfs/dbraw/zinc/18/76/89/1131187689.db2.gz IHXBATUOZFUNNM-LLVKDONJSA-N 1 2 274.191 3.523 20 0 CHADLO COC[C@H]1CC[N@H+]1Cc1cc(Cl)c(Cl)cc1C ZINC001238256513 1131187691 /nfs/dbraw/zinc/18/76/91/1131187691.db2.gz IHXBATUOZFUNNM-LLVKDONJSA-N 1 2 274.191 3.523 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H](F)C2)c(C)cc1Br ZINC001238280114 1131188179 /nfs/dbraw/zinc/18/81/79/1131188179.db2.gz XYFAITMFGHQCRR-LBPRGKRZSA-N 1 2 286.188 3.610 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H](F)C2)c(C)cc1Br ZINC001238280114 1131188186 /nfs/dbraw/zinc/18/81/86/1131188186.db2.gz XYFAITMFGHQCRR-LBPRGKRZSA-N 1 2 286.188 3.610 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccncc2)c(F)cc1Cl ZINC001238298156 1131188966 /nfs/dbraw/zinc/18/89/66/1131188966.db2.gz FILJXJPWLPYMLS-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccncc2)c(F)cc1Cl ZINC001238298156 1131188970 /nfs/dbraw/zinc/18/89/70/1131188970.db2.gz FILJXJPWLPYMLS-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[NH+]2Cc3ccccc3C2)cnc1OC(C)C ZINC001238376660 1131194945 /nfs/dbraw/zinc/19/49/45/1131194945.db2.gz SGHZGVQMEHSJKZ-UHFFFAOYSA-N 1 2 282.387 3.693 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+]C/C=C\C1CC1 ZINC001117749928 1131195981 /nfs/dbraw/zinc/19/59/81/1131195981.db2.gz DGSTWLQGWCZGCG-QZDDGCDVSA-N 1 2 265.347 3.809 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(F)c(C3CC3)c2)CCC1=O ZINC001238497557 1131209723 /nfs/dbraw/zinc/20/97/23/1131209723.db2.gz FESNGLVOAGSASZ-GFCCVEGCSA-N 1 2 275.367 3.504 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(F)c(C3CC3)c2)CCC1=O ZINC001238497557 1131209726 /nfs/dbraw/zinc/20/97/26/1131209726.db2.gz FESNGLVOAGSASZ-GFCCVEGCSA-N 1 2 275.367 3.504 20 0 CHADLO CCn1nc(C)c(C[NH2+][C@H](C)c2cc3ccc(C)cc3o2)n1 ZINC000818345813 1131209947 /nfs/dbraw/zinc/20/99/47/1131209947.db2.gz PMTPPADMCJPMEB-CYBMUJFWSA-N 1 2 298.390 3.512 20 0 CHADLO Cc1c(Cl)ccc(C[N@@H+]2C[C@@H](C)C[C@H]2CF)c1F ZINC001238514149 1131212732 /nfs/dbraw/zinc/21/27/32/1131212732.db2.gz ZDZIYJMYMWATKC-CABZTGNLSA-N 1 2 273.754 3.967 20 0 CHADLO Cc1c(Cl)ccc(C[N@H+]2C[C@@H](C)C[C@H]2CF)c1F ZINC001238514149 1131212735 /nfs/dbraw/zinc/21/27/35/1131212735.db2.gz ZDZIYJMYMWATKC-CABZTGNLSA-N 1 2 273.754 3.967 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)Cc2ccncc2)c1 ZINC001238560747 1131216318 /nfs/dbraw/zinc/21/63/18/1131216318.db2.gz OZUBPBJOPBEFIW-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)Cc2ccncc2)c1 ZINC001238560747 1131216323 /nfs/dbraw/zinc/21/63/23/1131216323.db2.gz OZUBPBJOPBEFIW-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)c1 ZINC001238565992 1131216880 /nfs/dbraw/zinc/21/68/80/1131216880.db2.gz YBRAIJWIEAEEKL-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)c1 ZINC001238565992 1131216883 /nfs/dbraw/zinc/21/68/83/1131216883.db2.gz YBRAIJWIEAEEKL-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2scnc2Cl)cc1 ZINC001137823340 1131419754 /nfs/dbraw/zinc/41/97/54/1131419754.db2.gz CMVVMZOOPRIBQK-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2scnc2Cl)cc1 ZINC001137823340 1131419755 /nfs/dbraw/zinc/41/97/55/1131419755.db2.gz CMVVMZOOPRIBQK-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO Clc1cc2n[nH]cc2c(Nc2ccc3[nH]c[nH+]c3c2)c1 ZINC001160224056 1131424166 /nfs/dbraw/zinc/42/41/66/1131424166.db2.gz OHJMESJTTJHRII-UHFFFAOYSA-N 1 2 283.722 3.836 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccnc(Cl)c2F)c1 ZINC000823386701 1131424664 /nfs/dbraw/zinc/42/46/64/1131424664.db2.gz KGUDJYOVZNTFRJ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccnc(Cl)c2F)c1 ZINC000823386701 1131424666 /nfs/dbraw/zinc/42/46/66/1131424666.db2.gz KGUDJYOVZNTFRJ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccnc(Cl)c2F)cc1 ZINC000823386707 1131425090 /nfs/dbraw/zinc/42/50/90/1131425090.db2.gz KKKMRVHWTCFBCF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccnc(Cl)c2F)cc1 ZINC000823386707 1131425093 /nfs/dbraw/zinc/42/50/93/1131425093.db2.gz KKKMRVHWTCFBCF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COc1cc(C)ccc1C[N@@H+]1CCC[C@@H]1c1cc(C)on1 ZINC000823404364 1131425708 /nfs/dbraw/zinc/42/57/08/1131425708.db2.gz PUXLSLYNOASXGN-MRXNPFEDSA-N 1 2 286.375 3.637 20 0 CHADLO COc1cc(C)ccc1C[N@H+]1CCC[C@@H]1c1cc(C)on1 ZINC000823404364 1131425710 /nfs/dbraw/zinc/42/57/10/1131425710.db2.gz PUXLSLYNOASXGN-MRXNPFEDSA-N 1 2 286.375 3.637 20 0 CHADLO CCC[N@H+](Cc1ccc(F)cc1)Cc1cc(F)ncc1F ZINC000823403805 1131426513 /nfs/dbraw/zinc/42/65/13/1131426513.db2.gz BDKDWICCRGGGDS-UHFFFAOYSA-N 1 2 294.320 3.911 20 0 CHADLO CCC[N@@H+](Cc1ccc(F)cc1)Cc1cc(F)ncc1F ZINC000823403805 1131426514 /nfs/dbraw/zinc/42/65/14/1131426514.db2.gz BDKDWICCRGGGDS-UHFFFAOYSA-N 1 2 294.320 3.911 20 0 CHADLO CC1(F)CC[NH+](Cc2c(F)ccc(Cl)c2F)CC1 ZINC001137876542 1131428252 /nfs/dbraw/zinc/42/82/52/1131428252.db2.gz RFROSIYRFXQWTQ-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1CC[C@]2(CC2(F)F)C1 ZINC001137883226 1131428888 /nfs/dbraw/zinc/42/88/88/1131428888.db2.gz YQBYTQBNMHIQEW-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@H](C(F)F)C2)cc1F ZINC001137891547 1131429676 /nfs/dbraw/zinc/42/96/76/1131429676.db2.gz YIIMMBCHWUALQS-QMMMGPOBSA-N 1 2 279.252 3.581 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@H](C(F)F)C2)cc1F ZINC001137891547 1131429680 /nfs/dbraw/zinc/42/96/80/1131429680.db2.gz YIIMMBCHWUALQS-QMMMGPOBSA-N 1 2 279.252 3.581 20 0 CHADLO C/C=C(\C)C(=O)Nc1ccccc1-c1[nH+]cc2n1CCCC2 ZINC000823769388 1131441172 /nfs/dbraw/zinc/44/11/72/1131441172.db2.gz AQBJWRNSDMVTDL-QLKAYGNNSA-N 1 2 295.386 3.791 20 0 CHADLO CC(C)C[C@H](C)OC(=O)Oc1cccc(-n2cc[nH+]c2)c1 ZINC000830579117 1131461613 /nfs/dbraw/zinc/46/16/13/1131461613.db2.gz UXJZDLFOTIJBNZ-ZDUSSCGKSA-N 1 2 288.347 3.822 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1cc(Br)c(F)cc1F ZINC001136849824 1131463037 /nfs/dbraw/zinc/46/30/37/1131463037.db2.gz ZKVYUXOSOVQMJB-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1cc(Br)c(F)cc1F ZINC001136849824 1131463041 /nfs/dbraw/zinc/46/30/41/1131463041.db2.gz ZKVYUXOSOVQMJB-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO CC[N@H+](Cc1ccnc(Cl)c1F)[C@@H](C)c1cccnc1 ZINC000824396718 1131467551 /nfs/dbraw/zinc/46/75/51/1131467551.db2.gz TZGCHLXDLFVFDZ-NSHDSACASA-N 1 2 293.773 3.852 20 0 CHADLO CC[N@@H+](Cc1ccnc(Cl)c1F)[C@@H](C)c1cccnc1 ZINC000824396718 1131467554 /nfs/dbraw/zinc/46/75/54/1131467554.db2.gz TZGCHLXDLFVFDZ-NSHDSACASA-N 1 2 293.773 3.852 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)n1 ZINC000830765384 1131467959 /nfs/dbraw/zinc/46/79/59/1131467959.db2.gz QYKMVURRZYQHCN-GOSISDBHSA-N 1 2 291.398 3.678 20 0 CHADLO Cc1ccnc(C[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)n1 ZINC000830765384 1131467961 /nfs/dbraw/zinc/46/79/61/1131467961.db2.gz QYKMVURRZYQHCN-GOSISDBHSA-N 1 2 291.398 3.678 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)n1 ZINC000830765385 1131468043 /nfs/dbraw/zinc/46/80/43/1131468043.db2.gz QYKMVURRZYQHCN-SFHVURJKSA-N 1 2 291.398 3.678 20 0 CHADLO Cc1ccnc(C[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)n1 ZINC000830765385 1131468044 /nfs/dbraw/zinc/46/80/44/1131468044.db2.gz QYKMVURRZYQHCN-SFHVURJKSA-N 1 2 291.398 3.678 20 0 CHADLO C[N@H+](Cc1c[nH]cn1)Cc1ccc(Oc2ccccc2)cc1 ZINC001136871757 1131469634 /nfs/dbraw/zinc/46/96/34/1131469634.db2.gz HAUASECXRCMIST-UHFFFAOYSA-N 1 2 293.370 3.834 20 0 CHADLO C[N@@H+](Cc1c[nH]cn1)Cc1ccc(Oc2ccccc2)cc1 ZINC001136871757 1131469636 /nfs/dbraw/zinc/46/96/36/1131469636.db2.gz HAUASECXRCMIST-UHFFFAOYSA-N 1 2 293.370 3.834 20 0 CHADLO CC[C@H]1CCC[N@H+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138236339 1131480324 /nfs/dbraw/zinc/48/03/24/1131480324.db2.gz WAYUVMPPNCYIPK-VIFPVBQESA-N 1 2 275.289 3.865 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138236339 1131480325 /nfs/dbraw/zinc/48/03/25/1131480325.db2.gz WAYUVMPPNCYIPK-VIFPVBQESA-N 1 2 275.289 3.865 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)c(F)cc(F)c2F)[C@@H](C)C1 ZINC001138235752 1131480343 /nfs/dbraw/zinc/48/03/43/1131480343.db2.gz HVABXBAHUOBOLM-BDAKNGLRSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)c(F)cc(F)c2F)[C@@H](C)C1 ZINC001138235752 1131480346 /nfs/dbraw/zinc/48/03/46/1131480346.db2.gz HVABXBAHUOBOLM-BDAKNGLRSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1C[C@@H](C)C[NH+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138235960 1131480704 /nfs/dbraw/zinc/48/07/04/1131480704.db2.gz JSEORXICZFPUAP-RKDXNWHRSA-N 1 2 275.289 3.721 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)cn2)cn1 ZINC001136931676 1131481807 /nfs/dbraw/zinc/48/18/07/1131481807.db2.gz OVMSHSSUBNYMLF-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ccc(Cl)cn2)cn1 ZINC001136931676 1131481808 /nfs/dbraw/zinc/48/18/08/1131481808.db2.gz OVMSHSSUBNYMLF-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO C[C@H](CCNC(=O)c1cc2c[nH+]ccc2[nH]1)CC(C)(C)C ZINC001138250202 1131482121 /nfs/dbraw/zinc/48/21/21/1131482121.db2.gz RHOKYOGGZDDXMP-GFCCVEGCSA-N 1 2 287.407 3.755 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(C(F)(F)F)cc2C)co1 ZINC000825062540 1131496674 /nfs/dbraw/zinc/49/66/74/1131496674.db2.gz ZXOLPRCGXZBFEQ-UHFFFAOYSA-N 1 2 298.308 3.854 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1ncccc1O ZINC001138394590 1131498731 /nfs/dbraw/zinc/49/87/31/1131498731.db2.gz XPCQEGBGENZWMM-RHSMWYFYSA-N 1 2 292.423 3.550 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1ncccc1O ZINC001138394590 1131498732 /nfs/dbraw/zinc/49/87/32/1131498732.db2.gz XPCQEGBGENZWMM-RHSMWYFYSA-N 1 2 292.423 3.550 20 0 CHADLO Clc1cncc(C[N@@H+]2CCCC[C@H]2c2cccnc2)c1 ZINC001136994492 1131500136 /nfs/dbraw/zinc/50/01/36/1131500136.db2.gz YIDSJXIMTHITLR-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1cncc(C[N@H+]2CCCC[C@H]2c2cccnc2)c1 ZINC001136994492 1131500140 /nfs/dbraw/zinc/50/01/40/1131500140.db2.gz YIDSJXIMTHITLR-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2cncc(Cl)c2)cn1 ZINC001136996582 1131501107 /nfs/dbraw/zinc/50/11/07/1131501107.db2.gz QKFISQIDILDCBW-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2cncc(Cl)c2)cn1 ZINC001136996582 1131501110 /nfs/dbraw/zinc/50/11/10/1131501110.db2.gz QKFISQIDILDCBW-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO COc1c(Cl)cc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)cc1Cl ZINC001138482082 1131511847 /nfs/dbraw/zinc/51/18/47/1131511847.db2.gz ZYHASANXCNJVHJ-MFKMUULPSA-N 1 2 286.202 3.986 20 0 CHADLO COc1c(Cl)cc(C[N@H+]2CCC[C@@H]3C[C@@H]32)cc1Cl ZINC001138482082 1131511849 /nfs/dbraw/zinc/51/18/49/1131511849.db2.gz ZYHASANXCNJVHJ-MFKMUULPSA-N 1 2 286.202 3.986 20 0 CHADLO O=C(/C=C/C1CCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000843529810 1131517332 /nfs/dbraw/zinc/51/73/32/1131517332.db2.gz BNHLCPKVILPKNL-CMDGGOBGSA-N 1 2 281.359 3.557 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(F)c(Cl)c2F)cn1 ZINC001143629235 1131519529 /nfs/dbraw/zinc/51/95/29/1131519529.db2.gz YAVJBGQREKSCDJ-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(F)c(Cl)c2F)cn1 ZINC001143629235 1131519532 /nfs/dbraw/zinc/51/95/32/1131519532.db2.gz YAVJBGQREKSCDJ-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1ccccc1N1CCCC1)C2 ZINC001138614452 1131527228 /nfs/dbraw/zinc/52/72/28/1131527228.db2.gz YNSIJMIESADORU-UHFFFAOYSA-N 1 2 293.414 3.506 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1ccccc1N1CCCC1)C2 ZINC001138614452 1131527230 /nfs/dbraw/zinc/52/72/30/1131527230.db2.gz YNSIJMIESADORU-UHFFFAOYSA-N 1 2 293.414 3.506 20 0 CHADLO CCOc1c(Cl)ccc(C[NH+]2CCC(F)CC2)c1F ZINC001143824630 1131536384 /nfs/dbraw/zinc/53/63/84/1131536384.db2.gz LELHTVBHJMIDMJ-UHFFFAOYSA-N 1 2 289.753 3.812 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1c(Cl)[nH]c2ccccc21 ZINC001138689282 1131538464 /nfs/dbraw/zinc/53/84/64/1131538464.db2.gz QBAPWCQPMDWAHB-OAHLLOKOSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1c(Cl)[nH]c2ccccc21 ZINC001138689282 1131538469 /nfs/dbraw/zinc/53/84/69/1131538469.db2.gz QBAPWCQPMDWAHB-OAHLLOKOSA-N 1 2 290.794 3.765 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc3c(c1)CCCO3)C2 ZINC001138718777 1131540977 /nfs/dbraw/zinc/54/09/77/1131540977.db2.gz LHAQPPRNVNMDKM-UHFFFAOYSA-N 1 2 295.382 3.536 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc3c(c1)CCCO3)C2 ZINC001138718777 1131540981 /nfs/dbraw/zinc/54/09/81/1131540981.db2.gz LHAQPPRNVNMDKM-UHFFFAOYSA-N 1 2 295.382 3.536 20 0 CHADLO CC(C)c1ccc(-c2cc[nH+]c(N3CCOCC3)c2)cc1 ZINC000844233646 1131542091 /nfs/dbraw/zinc/54/20/91/1131542091.db2.gz GWIUEQYWGXTXMJ-UHFFFAOYSA-N 1 2 282.387 3.709 20 0 CHADLO CSCC[N@H+](C)Cc1c(F)ccc(Cl)c1Cl ZINC001143866445 1131546724 /nfs/dbraw/zinc/54/67/24/1131546724.db2.gz COWPEODWMXACRK-UHFFFAOYSA-N 1 2 282.211 3.927 20 0 CHADLO CSCC[N@@H+](C)Cc1c(F)ccc(Cl)c1Cl ZINC001143866445 1131546728 /nfs/dbraw/zinc/54/67/28/1131546728.db2.gz COWPEODWMXACRK-UHFFFAOYSA-N 1 2 282.211 3.927 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2ccccc2F)nn1C ZINC001138829525 1131553956 /nfs/dbraw/zinc/55/39/56/1131553956.db2.gz XZDLLGFGSCKYNU-KRWDZBQOSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2ccccc2F)nn1C ZINC001138829525 1131553960 /nfs/dbraw/zinc/55/39/60/1131553960.db2.gz XZDLLGFGSCKYNU-KRWDZBQOSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1ccc(N(C(=O)C[C@@H](C)n2cc[nH+]c2)C(C)C)cc1 ZINC000844362897 1131554783 /nfs/dbraw/zinc/55/47/83/1131554783.db2.gz LXQHRWMRGIUSAJ-OAHLLOKOSA-N 1 2 285.391 3.584 20 0 CHADLO Cc1c(C[N@@H+]2CCC(=O)[C@H](C)CC2)cccc1C(F)(F)F ZINC001143923612 1131555211 /nfs/dbraw/zinc/55/52/11/1131555211.db2.gz ZRBYIDLMBINJEK-LLVKDONJSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1c(C[N@H+]2CCC(=O)[C@H](C)CC2)cccc1C(F)(F)F ZINC001143923612 1131555212 /nfs/dbraw/zinc/55/52/12/1131555212.db2.gz ZRBYIDLMBINJEK-LLVKDONJSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1cc(NC(=O)/C=C/C2CCCC2)ccc1-n1cc[nH+]c1 ZINC001143940136 1131556320 /nfs/dbraw/zinc/55/63/20/1131556320.db2.gz URTSNPFOVFHNCK-RMKNXTFCSA-N 1 2 295.386 3.866 20 0 CHADLO Cc1c(C[N@H+]2C[C@@H](C)OC[C@H]2C)cccc1C(F)(F)F ZINC001143930094 1131558048 /nfs/dbraw/zinc/55/80/48/1131558048.db2.gz LBFBLWZHVWTJKO-GHMZBOCLSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1c(C[N@@H+]2C[C@@H](C)OC[C@H]2C)cccc1C(F)(F)F ZINC001143930094 1131558052 /nfs/dbraw/zinc/55/80/52/1131558052.db2.gz LBFBLWZHVWTJKO-GHMZBOCLSA-N 1 2 287.325 3.623 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957117 1131559073 /nfs/dbraw/zinc/55/90/73/1131559073.db2.gz JGUSNVXTFLRQNB-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957117 1131559078 /nfs/dbraw/zinc/55/90/78/1131559078.db2.gz JGUSNVXTFLRQNB-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957081 1131559433 /nfs/dbraw/zinc/55/94/33/1131559433.db2.gz IAMKSQFVZBXNOC-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957081 1131559434 /nfs/dbraw/zinc/55/94/34/1131559434.db2.gz IAMKSQFVZBXNOC-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CCCCc1ccc(N(C)C(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000844415993 1131560106 /nfs/dbraw/zinc/56/01/06/1131560106.db2.gz IRJWUZBMPMTDMV-HNNXBMFYSA-N 1 2 299.418 3.840 20 0 CHADLO CCCCCC(C)(C)CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000844423210 1131561166 /nfs/dbraw/zinc/56/11/66/1131561166.db2.gz XFGJIFLSVFFINQ-AWEZNQCLSA-N 1 2 279.428 3.557 20 0 CHADLO Cc1cccc(C)c1[C@H](C)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000844464970 1131568909 /nfs/dbraw/zinc/56/89/09/1131568909.db2.gz PGEYMIIQKFXGKD-GJZGRUSLSA-N 1 2 286.375 3.755 20 0 CHADLO C[C@H](CC(=O)OCc1cccc(C(F)F)c1)n1cc[nH+]c1 ZINC000844506676 1131569339 /nfs/dbraw/zinc/56/93/39/1131569339.db2.gz NJIYGRNCIOHURS-LLVKDONJSA-N 1 2 294.301 3.515 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139047547 1131576210 /nfs/dbraw/zinc/57/62/10/1131576210.db2.gz LXPNOGHEPDJMHB-VIFPVBQESA-N 1 2 259.727 3.850 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139047547 1131576212 /nfs/dbraw/zinc/57/62/12/1131576212.db2.gz LXPNOGHEPDJMHB-VIFPVBQESA-N 1 2 259.727 3.850 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139052622 1131577040 /nfs/dbraw/zinc/57/70/40/1131577040.db2.gz SZNWPKAJJJJFGV-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139052622 1131577046 /nfs/dbraw/zinc/57/70/46/1131577046.db2.gz SZNWPKAJJJJFGV-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1C[C@H]2CC[C@@H]1C2 ZINC001139051986 1131577542 /nfs/dbraw/zinc/57/75/42/1131577542.db2.gz AVNSPGZSFHLTPK-WCBMZHEXSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1C[C@H]2CC[C@@H]1C2 ZINC001139051986 1131577545 /nfs/dbraw/zinc/57/75/45/1131577545.db2.gz AVNSPGZSFHLTPK-WCBMZHEXSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CC[C@]3(CC3(F)F)C2)cc1Cl ZINC001144130835 1131591189 /nfs/dbraw/zinc/59/11/89/1131591189.db2.gz QNMOCGPINPGDNV-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CC[C@]3(CC3(F)F)C2)cc1Cl ZINC001144130835 1131591192 /nfs/dbraw/zinc/59/11/92/1131591192.db2.gz QNMOCGPINPGDNV-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Cn1ccc2ccc(C[N@@H+]3CCCCC(F)(F)C3)cc21 ZINC001139200896 1131596098 /nfs/dbraw/zinc/59/60/98/1131596098.db2.gz GMNRBBDUQLQNPF-UHFFFAOYSA-N 1 2 278.346 3.800 20 0 CHADLO Cn1ccc2ccc(C[N@H+]3CCCCC(F)(F)C3)cc21 ZINC001139200896 1131596101 /nfs/dbraw/zinc/59/61/01/1131596101.db2.gz GMNRBBDUQLQNPF-UHFFFAOYSA-N 1 2 278.346 3.800 20 0 CHADLO c1cc(-c2ccccc2)sc1C[NH+]1CC2(C1)CCCO2 ZINC001139413009 1131608284 /nfs/dbraw/zinc/60/82/84/1131608284.db2.gz UCCBDFRMEHKERE-UHFFFAOYSA-N 1 2 285.412 3.780 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](C)CCOc2ccccc2)c1 ZINC001139589906 1131618241 /nfs/dbraw/zinc/61/82/41/1131618241.db2.gz KQQYVSQURHHECE-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](C)CCOc2ccccc2)c1 ZINC001139589906 1131618242 /nfs/dbraw/zinc/61/82/42/1131618242.db2.gz KQQYVSQURHHECE-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO CCc1cccc(C[N@@H+]2Cc3ccc(C(=O)OC)cc3C2)c1 ZINC001144326548 1131618645 /nfs/dbraw/zinc/61/86/45/1131618645.db2.gz FHAAJRKTQQJYJO-UHFFFAOYSA-N 1 2 295.382 3.551 20 0 CHADLO CCc1cccc(C[N@H+]2Cc3ccc(C(=O)OC)cc3C2)c1 ZINC001144326548 1131618649 /nfs/dbraw/zinc/61/86/49/1131618649.db2.gz FHAAJRKTQQJYJO-UHFFFAOYSA-N 1 2 295.382 3.551 20 0 CHADLO CCOc1ccc(C)cc1C[N@@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001139600274 1131620412 /nfs/dbraw/zinc/62/04/12/1131620412.db2.gz MGYXOUBWHLFGCK-HOTGVXAUSA-N 1 2 283.362 3.666 20 0 CHADLO CCOc1ccc(C)cc1C[N@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001139600274 1131620414 /nfs/dbraw/zinc/62/04/14/1131620414.db2.gz MGYXOUBWHLFGCK-HOTGVXAUSA-N 1 2 283.362 3.666 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ccc(O)cc2C(F)(F)F)C1 ZINC001144382475 1131623225 /nfs/dbraw/zinc/62/32/25/1131623225.db2.gz XLYZQULCOQTCLO-CYBMUJFWSA-N 1 2 291.288 3.735 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ccc(O)cc2C(F)(F)F)C1 ZINC001144382475 1131623226 /nfs/dbraw/zinc/62/32/26/1131623226.db2.gz XLYZQULCOQTCLO-CYBMUJFWSA-N 1 2 291.288 3.735 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ncc(-c3ccccc3)s2)nc1 ZINC001202964264 1131631951 /nfs/dbraw/zinc/63/19/51/1131631951.db2.gz KHPIYQKDNTXAIS-UHFFFAOYSA-N 1 2 295.411 3.803 20 0 CHADLO COc1ncc(Cl)cc1C[N@H+](C)Cc1ccc(F)cc1 ZINC001139744915 1131634709 /nfs/dbraw/zinc/63/47/09/1131634709.db2.gz WNUYHGAPRANYFS-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ncc(Cl)cc1C[N@@H+](C)Cc1ccc(F)cc1 ZINC001139744915 1131634711 /nfs/dbraw/zinc/63/47/11/1131634711.db2.gz WNUYHGAPRANYFS-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO FC(F)c1ccccc1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC001139803076 1131638123 /nfs/dbraw/zinc/63/81/23/1131638123.db2.gz YORIKRANIIXLCJ-CQSZACIVSA-N 1 2 289.329 3.751 20 0 CHADLO FC(F)c1ccccc1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC001139803076 1131638126 /nfs/dbraw/zinc/63/81/26/1131638126.db2.gz YORIKRANIIXLCJ-CQSZACIVSA-N 1 2 289.329 3.751 20 0 CHADLO CCc1ccc(/C=C\COC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000845544964 1131643317 /nfs/dbraw/zinc/64/33/17/1131643317.db2.gz SUSAHYCYXCLOCY-FOSCPCJNSA-N 1 2 298.386 3.653 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc3ccccc3n1C)C2 ZINC001139871723 1131643800 /nfs/dbraw/zinc/64/38/00/1131643800.db2.gz NEJDUXJIBZMBAD-UHFFFAOYSA-N 1 2 292.382 3.703 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc3ccccc3n1C)C2 ZINC001139871723 1131643808 /nfs/dbraw/zinc/64/38/08/1131643808.db2.gz NEJDUXJIBZMBAD-UHFFFAOYSA-N 1 2 292.382 3.703 20 0 CHADLO CCc1ccc(/C=C\COC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000845544971 1131643892 /nfs/dbraw/zinc/64/38/92/1131643892.db2.gz SUSAHYCYXCLOCY-XVWMLYKFSA-N 1 2 298.386 3.653 20 0 CHADLO C[C@H]1C[N@H+](Cc2sc(Cl)nc2Cl)C2(CC2)C1 ZINC001139959473 1131652709 /nfs/dbraw/zinc/65/27/09/1131652709.db2.gz VEEUJYVXYRGMRN-SSDOTTSWSA-N 1 2 277.220 3.824 20 0 CHADLO C[C@H]1C[N@@H+](Cc2sc(Cl)nc2Cl)C2(CC2)C1 ZINC001139959473 1131652713 /nfs/dbraw/zinc/65/27/13/1131652713.db2.gz VEEUJYVXYRGMRN-SSDOTTSWSA-N 1 2 277.220 3.824 20 0 CHADLO FC(F)(F)c1cccnc1CNc1cc2cc[nH]c2c[nH+]1 ZINC001202987636 1131653042 /nfs/dbraw/zinc/65/30/42/1131653042.db2.gz YZJHQHFSVCBUBO-UHFFFAOYSA-N 1 2 292.264 3.589 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@@H+]2Cc2ccnnc2)s1 ZINC001139984002 1131654031 /nfs/dbraw/zinc/65/40/31/1131654031.db2.gz RJIWVJDAKJRGNW-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@H+]2Cc2ccnnc2)s1 ZINC001139984002 1131654034 /nfs/dbraw/zinc/65/40/34/1131654034.db2.gz RJIWVJDAKJRGNW-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@H]2CCC[N@@H+]2Cc2cccnn2)s1 ZINC001139971937 1131654140 /nfs/dbraw/zinc/65/41/40/1131654140.db2.gz XBMQRSFTZWZQTH-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@H]2CCC[N@H+]2Cc2cccnn2)s1 ZINC001139971937 1131654141 /nfs/dbraw/zinc/65/41/41/1131654141.db2.gz XBMQRSFTZWZQTH-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Oc1ccc(C[N@H+](Cc2ccco2)C2CC2)c(Cl)c1 ZINC001140083028 1131662636 /nfs/dbraw/zinc/66/26/36/1131662636.db2.gz FVTZXBJCBAAURV-UHFFFAOYSA-N 1 2 277.751 3.803 20 0 CHADLO Oc1ccc(C[N@@H+](Cc2ccco2)C2CC2)c(Cl)c1 ZINC001140083028 1131662639 /nfs/dbraw/zinc/66/26/39/1131662639.db2.gz FVTZXBJCBAAURV-UHFFFAOYSA-N 1 2 277.751 3.803 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3cc4cnccc4o3)ccc21 ZINC000845952301 1131670149 /nfs/dbraw/zinc/67/01/49/1131670149.db2.gz OSHIPDMDZKPSCN-UHFFFAOYSA-N 1 2 293.370 3.822 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3cc4cnccc4o3)ccc21 ZINC000845952301 1131670151 /nfs/dbraw/zinc/67/01/51/1131670151.db2.gz OSHIPDMDZKPSCN-UHFFFAOYSA-N 1 2 293.370 3.822 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1cc(F)c(O)cc1F ZINC001144646627 1131673734 /nfs/dbraw/zinc/67/37/34/1131673734.db2.gz DZYRUQINNXCDBP-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO Oc1cc(F)c(C[NH+]2CCC3(CC[C@H](F)C3)CC2)cc1F ZINC001144657834 1131675867 /nfs/dbraw/zinc/67/58/67/1131675867.db2.gz KEWUJQJCABRVFR-LBPRGKRZSA-N 1 2 299.336 3.775 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[N@@H+]1C[C@H]2[C@@H](C1)C2(F)F ZINC000846111673 1131687579 /nfs/dbraw/zinc/68/75/79/1131687579.db2.gz NKFBCWCHRQXYFV-AOOOYVTPSA-N 1 2 295.226 3.541 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[N@H+]1C[C@H]2[C@@H](C1)C2(F)F ZINC000846111673 1131687581 /nfs/dbraw/zinc/68/75/81/1131687581.db2.gz NKFBCWCHRQXYFV-AOOOYVTPSA-N 1 2 295.226 3.541 20 0 CHADLO CC[C@@H](OCC[NH2+][C@@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000846181709 1131696570 /nfs/dbraw/zinc/69/65/70/1131696570.db2.gz HMVZTRGLKASJIQ-SJLPKXTDSA-N 1 2 299.418 3.545 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC(C)(C)Oc2ccc(F)cc21)c1ncc[nH]1 ZINC000846200380 1131699346 /nfs/dbraw/zinc/69/93/46/1131699346.db2.gz GEXYFCBPJFUDQH-MFKMUULPSA-N 1 2 289.354 3.502 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(F)cc2C)c1 ZINC000846212336 1131704458 /nfs/dbraw/zinc/70/44/58/1131704458.db2.gz KUWMWFUSRQVYLI-LBPRGKRZSA-N 1 2 274.339 3.849 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H]1CCn2ccnc21)c1cc(F)ccc1F ZINC000834829990 1131708746 /nfs/dbraw/zinc/70/87/46/1131708746.db2.gz ATSFFHGPEDAWIN-LSDHHAIUSA-N 1 2 291.345 3.593 20 0 CHADLO CC(C)(C)Nc1nc(Cl)c(C[NH+]2CC3(CCC3)C2)s1 ZINC001140392210 1131711989 /nfs/dbraw/zinc/71/19/89/1131711989.db2.gz QITBHWYJWHEITB-UHFFFAOYSA-N 1 2 299.871 3.993 20 0 CHADLO Fc1c(Cl)cccc1Nc1ccn2cc[nH+]c2c1 ZINC001203033770 1131717165 /nfs/dbraw/zinc/71/71/65/1131717165.db2.gz OYOKJGOBDQPKDR-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(F)c(Cl)c2)co1 ZINC000834899003 1131722975 /nfs/dbraw/zinc/72/29/75/1131722975.db2.gz DRCIQUPVPOOKRT-VIFPVBQESA-N 1 2 282.746 3.880 20 0 CHADLO CCCC(=O)c1ccccc1OC1C[NH+](C(C)(C)C)C1 ZINC001228024034 1131790744 /nfs/dbraw/zinc/79/07/44/1131790744.db2.gz CXGBQEPUFSZKCV-UHFFFAOYSA-N 1 2 275.392 3.531 20 0 CHADLO COc1cc2c(cc1O[C@@H]1CCc3ccccc31)C=[NH+]CC2 ZINC001228088483 1131806683 /nfs/dbraw/zinc/80/66/83/1131806683.db2.gz WWJITRPSBYFTDV-QGZVFWFLSA-N 1 2 293.366 3.737 20 0 CHADLO CCO[C@@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC001140588992 1131813162 /nfs/dbraw/zinc/81/31/62/1131813162.db2.gz CZYGYIBALKWIRG-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO CCO[C@@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC001140588992 1131813171 /nfs/dbraw/zinc/81/31/71/1131813171.db2.gz CZYGYIBALKWIRG-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO CCC[C@H](C)COC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835343788 1131822721 /nfs/dbraw/zinc/82/27/21/1131822721.db2.gz QPHLTEZZVYGINA-AWEZNQCLSA-N 1 2 286.375 3.524 20 0 CHADLO COC1(C)CC[NH+](Cc2cc(F)c(Cl)cc2F)CC1 ZINC001140699306 1131880910 /nfs/dbraw/zinc/88/09/10/1131880910.db2.gz LHQGYLXEHLKYLQ-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2cc(F)c(Cl)cc2F)[C@@H](C)C1 ZINC001140704387 1131886023 /nfs/dbraw/zinc/88/60/23/1131886023.db2.gz OHFAXMQSHHFCPU-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2cc(F)c(Cl)cc2F)[C@@H](C)C1 ZINC001140704387 1131886033 /nfs/dbraw/zinc/88/60/33/1131886033.db2.gz OHFAXMQSHHFCPU-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@H](C)c1cc(C)on1 ZINC000282780627 1131898575 /nfs/dbraw/zinc/89/85/75/1131898575.db2.gz POBMIBPSHSMYEJ-CHWSQXEVSA-N 1 2 274.364 3.712 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2cn[nH]c21)c1nc2ccccc2s1 ZINC000773065769 1131931946 /nfs/dbraw/zinc/93/19/46/1131931946.db2.gz QYHFGFIPDKLAAF-MFKMUULPSA-N 1 2 298.415 3.748 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2c[nH]nc21)c1nc2ccccc2s1 ZINC000773065769 1131931952 /nfs/dbraw/zinc/93/19/52/1131931952.db2.gz QYHFGFIPDKLAAF-MFKMUULPSA-N 1 2 298.415 3.748 20 0 CHADLO c1ccc(-c2ccc(CNc3cccc[nH+]3)cc2)nc1 ZINC000083448612 1131976329 /nfs/dbraw/zinc/97/63/29/1131976329.db2.gz ROWGJVSCDDEZBG-UHFFFAOYSA-N 1 2 261.328 3.756 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)c(F)c1)Cc1ccccc1Cl ZINC001140989683 1131978643 /nfs/dbraw/zinc/97/86/43/1131978643.db2.gz AWRJZFBAXSAFAC-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)c(F)c1)Cc1ccccc1Cl ZINC001140989683 1131978650 /nfs/dbraw/zinc/97/86/50/1131978650.db2.gz AWRJZFBAXSAFAC-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO c1cc2n(c1)CC[N@@H+](Cc1ccc(-c3ccccn3)s1)C2 ZINC001141000584 1131981008 /nfs/dbraw/zinc/98/10/08/1131981008.db2.gz KRFNOMPMVGDWRM-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO c1cc2n(c1)CC[N@H+](Cc1ccc(-c3ccccn3)s1)C2 ZINC001141000584 1131981014 /nfs/dbraw/zinc/98/10/14/1131981014.db2.gz KRFNOMPMVGDWRM-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccns1 ZINC000715931982 1131996554 /nfs/dbraw/zinc/99/65/54/1131996554.db2.gz LNIBTPFVBRNTJN-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCC[C@@H]1c1cc(F)ccc1F ZINC001141053028 1131997786 /nfs/dbraw/zinc/99/77/86/1131997786.db2.gz YRIADTGHCKSBMW-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncoc1C[N@H+]1CCC[C@@H]1c1cc(F)ccc1F ZINC001141053028 1131997790 /nfs/dbraw/zinc/99/77/90/1131997790.db2.gz YRIADTGHCKSBMW-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO COc1ccc2nc(Cl)c(C[NH+]3CC(C)(C)C3)cc2c1 ZINC001141060998 1132000870 /nfs/dbraw/zinc/00/08/70/1132000870.db2.gz GISZVABRVZTFJA-UHFFFAOYSA-N 1 2 290.794 3.739 20 0 CHADLO CCCCc1ncc(C[N@H+]2Cc3ccccc3[C@H](C)C2)[nH]1 ZINC001141067904 1132003544 /nfs/dbraw/zinc/00/35/44/1132003544.db2.gz QXUYVCMOGKJADE-CQSZACIVSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1ncc(C[N@@H+]2Cc3ccccc3[C@H](C)C2)[nH]1 ZINC001141067904 1132003546 /nfs/dbraw/zinc/00/35/46/1132003546.db2.gz QXUYVCMOGKJADE-CQSZACIVSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1nc(C[N@H+]2Cc3ccccc3[C@H](C)C2)c[nH]1 ZINC001141067904 1132003551 /nfs/dbraw/zinc/00/35/51/1132003551.db2.gz QXUYVCMOGKJADE-CQSZACIVSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1nc(C[N@@H+]2Cc3ccccc3[C@H](C)C2)c[nH]1 ZINC001141067904 1132003555 /nfs/dbraw/zinc/00/35/55/1132003555.db2.gz QXUYVCMOGKJADE-CQSZACIVSA-N 1 2 283.419 3.872 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@H]3CCC[C@@H]32)c(Cl)n1 ZINC001141136023 1132026277 /nfs/dbraw/zinc/02/62/77/1132026277.db2.gz JZRYLIXXOYEMFZ-KOLCDFICSA-N 1 2 286.206 3.548 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@H]3CCC[C@@H]32)c(Cl)n1 ZINC001141136023 1132026283 /nfs/dbraw/zinc/02/62/83/1132026283.db2.gz JZRYLIXXOYEMFZ-KOLCDFICSA-N 1 2 286.206 3.548 20 0 CHADLO CC(=O)CC(C)(C)Nc1[nH+]ccc2cc(F)ccc21 ZINC001171495553 1132038657 /nfs/dbraw/zinc/03/86/57/1132038657.db2.gz HPRVYMOLZZUXDR-UHFFFAOYSA-N 1 2 260.312 3.544 20 0 CHADLO Cc1ccc(C[N@@H+]2CCOc3ccccc3C2)c(F)c1 ZINC001141194494 1132040113 /nfs/dbraw/zinc/04/01/13/1132040113.db2.gz AMKIVDZBDDSOQU-UHFFFAOYSA-N 1 2 271.335 3.529 20 0 CHADLO Cc1ccc(C[N@H+]2CCOc3ccccc3C2)c(F)c1 ZINC001141194494 1132040117 /nfs/dbraw/zinc/04/01/17/1132040117.db2.gz AMKIVDZBDDSOQU-UHFFFAOYSA-N 1 2 271.335 3.529 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccccc2F)c(F)c1 ZINC001141194917 1132040190 /nfs/dbraw/zinc/04/01/90/1132040190.db2.gz SUAVMEAKRTZMSE-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccccc2F)c(F)c1 ZINC001141194917 1132040192 /nfs/dbraw/zinc/04/01/92/1132040192.db2.gz SUAVMEAKRTZMSE-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO c1ccc(C2(CNc3ccc(N4CCCC4)[nH+]c3)CC2)cc1 ZINC001171466646 1132040564 /nfs/dbraw/zinc/04/05/64/1132040564.db2.gz NLDWLEDFDHCPJG-UHFFFAOYSA-N 1 2 293.414 3.826 20 0 CHADLO c1ccn(-c2ccc(NCCOC3CCCCC3)[nH+]c2)c1 ZINC001171477579 1132042569 /nfs/dbraw/zinc/04/25/69/1132042569.db2.gz GZMGWZITVLIGRJ-UHFFFAOYSA-N 1 2 285.391 3.634 20 0 CHADLO CC(C)Oc1cc(NCCOC2CCCCC2)cc[nH+]1 ZINC001171477244 1132042930 /nfs/dbraw/zinc/04/29/30/1132042930.db2.gz JZWMMNCSEPVGNL-UHFFFAOYSA-N 1 2 278.396 3.630 20 0 CHADLO Cc1cc2cc(NC(C)(C)c3ccncc3)[nH+]cc2[nH]1 ZINC001171513088 1132047581 /nfs/dbraw/zinc/04/75/81/1132047581.db2.gz GEKIJWHSNFOEPS-UHFFFAOYSA-N 1 2 266.348 3.614 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001141229628 1132052011 /nfs/dbraw/zinc/05/20/11/1132052011.db2.gz JAKMVQAOBBKPGT-HZPDHXFCSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001141229628 1132052016 /nfs/dbraw/zinc/05/20/16/1132052016.db2.gz JAKMVQAOBBKPGT-HZPDHXFCSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cnccc3C2)ccc1Cl ZINC001141234528 1132054196 /nfs/dbraw/zinc/05/41/96/1132054196.db2.gz NGIJQUMVYOOXCT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cnccc3C2)ccc1Cl ZINC001141234528 1132054205 /nfs/dbraw/zinc/05/42/05/1132054205.db2.gz NGIJQUMVYOOXCT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO COc1c(C)c[nH+]c(CNC(=O)CCCCC(C)C)c1C ZINC000837378617 1132070976 /nfs/dbraw/zinc/07/09/76/1132070976.db2.gz YSVYAAKOELWSMO-UHFFFAOYSA-N 1 2 292.423 3.540 20 0 CHADLO Cc1ccc(CC[C@@H](C)[N@@H+]2CCC(F)(F)C2)cc1 ZINC001171672249 1132073689 /nfs/dbraw/zinc/07/36/89/1132073689.db2.gz WBETXZZJZBXYAL-CYBMUJFWSA-N 1 2 253.336 3.657 20 0 CHADLO Cc1ccc(CC[C@@H](C)[N@H+]2CCC(F)(F)C2)cc1 ZINC001171672249 1132073697 /nfs/dbraw/zinc/07/36/97/1132073697.db2.gz WBETXZZJZBXYAL-CYBMUJFWSA-N 1 2 253.336 3.657 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)[C@H](C)CO1 ZINC001171707474 1132084381 /nfs/dbraw/zinc/08/43/81/1132084381.db2.gz REVWOJOFVXROBZ-RISCZKNCSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)[C@H](C)CO1 ZINC001171707474 1132084389 /nfs/dbraw/zinc/08/43/89/1132084389.db2.gz REVWOJOFVXROBZ-RISCZKNCSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)[C@@H](C)CO1 ZINC001171707473 1132084952 /nfs/dbraw/zinc/08/49/52/1132084952.db2.gz REVWOJOFVXROBZ-FZMZJTMJSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)[C@@H](C)CO1 ZINC001171707473 1132084961 /nfs/dbraw/zinc/08/49/61/1132084961.db2.gz REVWOJOFVXROBZ-FZMZJTMJSA-N 1 2 287.325 3.705 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1cccc(C)n1 ZINC001204071755 1132085934 /nfs/dbraw/zinc/08/59/34/1132085934.db2.gz ZIOBBAUOBRSRGH-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1cccc(C)n1 ZINC001204071755 1132085942 /nfs/dbraw/zinc/08/59/42/1132085942.db2.gz ZIOBBAUOBRSRGH-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO C[C@@H](Cc1ccc(C(F)(F)F)cc1)[NH+]1C[C@@H](F)[C@H](F)C1 ZINC001171969428 1132118578 /nfs/dbraw/zinc/11/85/78/1132118578.db2.gz JOXJNKBXPUYWGP-ZWKOPEQDSA-N 1 2 293.279 3.628 20 0 CHADLO Cc1nc(C(F)(F)F)ccc1C[N@@H+]1CCc2occc2C1 ZINC001141434744 1132125377 /nfs/dbraw/zinc/12/53/77/1132125377.db2.gz OWBVYJJMJOIOFJ-UHFFFAOYSA-N 1 2 296.292 3.560 20 0 CHADLO Cc1nc(C(F)(F)F)ccc1C[N@H+]1CCc2occc2C1 ZINC001141434744 1132125383 /nfs/dbraw/zinc/12/53/83/1132125383.db2.gz OWBVYJJMJOIOFJ-UHFFFAOYSA-N 1 2 296.292 3.560 20 0 CHADLO C[C@@H](COc1ccc(-n2cc[nH+]c2)cc1)Oc1ccccc1 ZINC001229954345 1132136816 /nfs/dbraw/zinc/13/68/16/1132136816.db2.gz NZZKHDIEILNGHX-HNNXBMFYSA-N 1 2 294.354 3.719 20 0 CHADLO C[C@@H](Oc1ccc(-n2cc[nH+]c2)cc1)c1ccncc1F ZINC001229954206 1132137359 /nfs/dbraw/zinc/13/73/59/1132137359.db2.gz LJCKDYYPPVJHED-GFCCVEGCSA-N 1 2 283.306 3.546 20 0 CHADLO CC(C)C[C@@H](Oc1cc2c(cc1O)C=[NH+]CC2)C(C)C ZINC001230920213 1132227197 /nfs/dbraw/zinc/22/71/97/1132227197.db2.gz KCAXXRPGNSHEBS-MRXNPFEDSA-N 1 2 275.392 3.817 20 0 CHADLO CC[N@H+](C)Cc1c(F)c(Cl)ccc1Br ZINC001141690011 1132233678 /nfs/dbraw/zinc/23/36/78/1132233678.db2.gz WNTBWXXKLCVSSV-UHFFFAOYSA-N 1 2 280.568 3.693 20 0 CHADLO CC[N@@H+](C)Cc1c(F)c(Cl)ccc1Br ZINC001141690011 1132233682 /nfs/dbraw/zinc/23/36/82/1132233682.db2.gz WNTBWXXKLCVSSV-UHFFFAOYSA-N 1 2 280.568 3.693 20 0 CHADLO C[C@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1ncccc1F ZINC001231083700 1132252701 /nfs/dbraw/zinc/25/27/01/1132252701.db2.gz NNZWARLRJWJPHL-LBPRGKRZSA-N 1 2 297.333 3.761 20 0 CHADLO C[C@@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccccn1 ZINC001231080178 1132252938 /nfs/dbraw/zinc/25/29/38/1132252938.db2.gz AFBUPHAJRYJONY-GFCCVEGCSA-N 1 2 265.316 3.612 20 0 CHADLO Cc1ccc([C@H](C)Oc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001231080353 1132253703 /nfs/dbraw/zinc/25/37/03/1132253703.db2.gz CDIATBAFPUOZHT-ZDUSSCGKSA-N 1 2 279.343 3.920 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@@H]2CCC(=O)CC2(C)C)cc1 ZINC001231083910 1132255297 /nfs/dbraw/zinc/25/52/97/1132255297.db2.gz PCCSIYBUMRLBHY-QGZVFWFLSA-N 1 2 298.386 3.614 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2coc3ccc(F)cc23)C[C@H]1F ZINC001141847063 1132256484 /nfs/dbraw/zinc/25/64/84/1132256484.db2.gz VTOBISGJWVLSSL-CABCVRRESA-N 1 2 283.293 3.844 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2coc3ccc(F)cc23)C[C@H]1F ZINC001141847063 1132256489 /nfs/dbraw/zinc/25/64/89/1132256489.db2.gz VTOBISGJWVLSSL-CABCVRRESA-N 1 2 283.293 3.844 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@@H+](Cc2csc(C(F)(F)F)n2)C1 ZINC001141883859 1132261845 /nfs/dbraw/zinc/26/18/45/1132261845.db2.gz XVRJIKIOSNCSAL-DTORHVGOSA-N 1 2 278.343 3.640 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@H+](Cc2csc(C(F)(F)F)n2)C1 ZINC001141883859 1132261849 /nfs/dbraw/zinc/26/18/49/1132261849.db2.gz XVRJIKIOSNCSAL-DTORHVGOSA-N 1 2 278.343 3.640 20 0 CHADLO COc1c(F)ccc(F)c1C[NH+]1CC2(C1)CCCCC2 ZINC001231413817 1132292561 /nfs/dbraw/zinc/29/25/61/1132292561.db2.gz BYAIGLIZMJXWLL-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]Cc1nnc(C2CC2)s1 ZINC000840893642 1132306722 /nfs/dbraw/zinc/30/67/22/1132306722.db2.gz ORYYEIXUWTVTEZ-JTQLQIEISA-N 1 2 291.395 3.714 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2cc(Cl)cc(Cl)c2Cl)C1 ZINC001231598363 1132323689 /nfs/dbraw/zinc/32/36/89/1132323689.db2.gz PCVANBWLUSSPOZ-SNVBAGLBSA-N 1 2 294.609 3.868 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2cc(Cl)cc(Cl)c2Cl)C1 ZINC001231598363 1132323695 /nfs/dbraw/zinc/32/36/95/1132323695.db2.gz PCVANBWLUSSPOZ-SNVBAGLBSA-N 1 2 294.609 3.868 20 0 CHADLO COc1ccc([NH2+]CC[C@@H](C)c2ccccc2)cc1N ZINC000841040631 1132323696 /nfs/dbraw/zinc/32/36/96/1132323696.db2.gz QJUQBNHARZLJSR-CYBMUJFWSA-N 1 2 270.376 3.883 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3cccc(Cl)c3C2)nc1 ZINC001231642708 1132330983 /nfs/dbraw/zinc/33/09/83/1132330983.db2.gz NJSYQYDTMOLDQO-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3cccc(Cl)c3C2)nc1 ZINC001231642708 1132330988 /nfs/dbraw/zinc/33/09/88/1132330988.db2.gz NJSYQYDTMOLDQO-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[N@@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001142163165 1132336254 /nfs/dbraw/zinc/33/62/54/1132336254.db2.gz SIVJASKJGVLUIE-GDBMZVCRSA-N 1 2 299.409 3.527 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[N@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001142163165 1132336261 /nfs/dbraw/zinc/33/62/61/1132336261.db2.gz SIVJASKJGVLUIE-GDBMZVCRSA-N 1 2 299.409 3.527 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@@H+]([C@H](C)Cc1c(F)cccc1Cl)C2 ZINC001172856744 1132341804 /nfs/dbraw/zinc/34/18/04/1132341804.db2.gz LDWZAAHUAPAPAZ-WQGACYEGSA-N 1 2 297.801 3.663 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@H+]([C@H](C)Cc1c(F)cccc1Cl)C2 ZINC001172856744 1132341813 /nfs/dbraw/zinc/34/18/13/1132341813.db2.gz LDWZAAHUAPAPAZ-WQGACYEGSA-N 1 2 297.801 3.663 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)cc1Cl ZINC001231712007 1132345922 /nfs/dbraw/zinc/34/59/22/1132345922.db2.gz CQGSVFMVULODCY-KCQAQPDRSA-N 1 2 297.801 3.917 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)cc1Cl ZINC001231712007 1132345931 /nfs/dbraw/zinc/34/59/31/1132345931.db2.gz CQGSVFMVULODCY-KCQAQPDRSA-N 1 2 297.801 3.917 20 0 CHADLO CSc1ccccc1C[N@H+](C)CC(=O)c1ccccc1 ZINC001231785956 1132363258 /nfs/dbraw/zinc/36/32/58/1132363258.db2.gz MDMFMVHVUKZAJP-UHFFFAOYSA-N 1 2 285.412 3.723 20 0 CHADLO CSc1ccccc1C[N@@H+](C)CC(=O)c1ccccc1 ZINC001231785956 1132363268 /nfs/dbraw/zinc/36/32/68/1132363268.db2.gz MDMFMVHVUKZAJP-UHFFFAOYSA-N 1 2 285.412 3.723 20 0 CHADLO Clc1ncccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212692359 1132372084 /nfs/dbraw/zinc/37/20/84/1132372084.db2.gz OXQCIXUBDZIHRP-UHFFFAOYSA-N 1 2 284.750 3.723 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)cc1 ZINC001142354628 1132372800 /nfs/dbraw/zinc/37/28/00/1132372800.db2.gz VSEXTDJTTONVLF-AWEZNQCLSA-N 1 2 271.326 3.818 20 0 CHADLO CC(=O)c1sccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212695165 1132373374 /nfs/dbraw/zinc/37/33/74/1132373374.db2.gz QPXRBGPLLDHQJP-UHFFFAOYSA-N 1 2 297.383 3.939 20 0 CHADLO CC(=O)Nc1ccc(Nc2c[nH+]c(C)cc2C)cc1F ZINC001212701700 1132381632 /nfs/dbraw/zinc/38/16/32/1132381632.db2.gz HDCHBJJGMVGPIY-UHFFFAOYSA-N 1 2 273.311 3.540 20 0 CHADLO CSCC[N@H+](C)Cc1cnc(C(F)(F)F)cc1Cl ZINC001231904156 1132389899 /nfs/dbraw/zinc/38/98/99/1132389899.db2.gz GSOQIZXEEHAIDV-UHFFFAOYSA-N 1 2 298.761 3.549 20 0 CHADLO CSCC[N@@H+](C)Cc1cnc(C(F)(F)F)cc1Cl ZINC001231904156 1132389905 /nfs/dbraw/zinc/38/99/05/1132389905.db2.gz GSOQIZXEEHAIDV-UHFFFAOYSA-N 1 2 298.761 3.549 20 0 CHADLO FC(F)c1cc(C[N@@H+]2CCc3ccsc3C2)ccn1 ZINC001231890072 1132393646 /nfs/dbraw/zinc/39/36/46/1132393646.db2.gz TWBRFZBPGCLHFI-UHFFFAOYSA-N 1 2 280.343 3.639 20 0 CHADLO FC(F)c1cc(C[N@H+]2CCc3ccsc3C2)ccn1 ZINC001231890072 1132393654 /nfs/dbraw/zinc/39/36/54/1132393654.db2.gz TWBRFZBPGCLHFI-UHFFFAOYSA-N 1 2 280.343 3.639 20 0 CHADLO COc1cccc2c(C[N@@H+]3CCn4cccc4[C@H]3C)c[nH]c21 ZINC001231899715 1132396177 /nfs/dbraw/zinc/39/61/77/1132396177.db2.gz UAEQMKNZVASZOX-CYBMUJFWSA-N 1 2 295.386 3.555 20 0 CHADLO COc1cccc2c(C[N@H+]3CCn4cccc4[C@H]3C)c[nH]c21 ZINC001231899715 1132396184 /nfs/dbraw/zinc/39/61/84/1132396184.db2.gz UAEQMKNZVASZOX-CYBMUJFWSA-N 1 2 295.386 3.555 20 0 CHADLO Fc1cnc(Br)cc1C[N@@H+]1CCC12CCCC2 ZINC001231915288 1132400626 /nfs/dbraw/zinc/40/06/26/1132400626.db2.gz MFZAMQXIIKRYKR-UHFFFAOYSA-N 1 2 299.187 3.502 20 0 CHADLO Fc1cnc(Br)cc1C[N@H+]1CCC12CCCC2 ZINC001231915288 1132400628 /nfs/dbraw/zinc/40/06/28/1132400628.db2.gz MFZAMQXIIKRYKR-UHFFFAOYSA-N 1 2 299.187 3.502 20 0 CHADLO Cn1nc(C(F)F)c(C[N@H+](C)Cc2ccccc2)c1Cl ZINC001232052828 1132415784 /nfs/dbraw/zinc/41/57/84/1132415784.db2.gz XFIQNLROTKSDAE-UHFFFAOYSA-N 1 2 299.752 3.643 20 0 CHADLO Cn1nc(C(F)F)c(C[N@@H+](C)Cc2ccccc2)c1Cl ZINC001232052828 1132415787 /nfs/dbraw/zinc/41/57/87/1132415787.db2.gz XFIQNLROTKSDAE-UHFFFAOYSA-N 1 2 299.752 3.643 20 0 CHADLO C[C@H](CC(c1ccccc1)c1ccccc1)[N@@H+]1CCC(=O)C1 ZINC001173211090 1132416173 /nfs/dbraw/zinc/41/61/73/1132416173.db2.gz PQQXZLNAILYYSW-MRXNPFEDSA-N 1 2 293.410 3.872 20 0 CHADLO C[C@H](CC(c1ccccc1)c1ccccc1)[N@H+]1CCC(=O)C1 ZINC001173211090 1132416178 /nfs/dbraw/zinc/41/61/78/1132416178.db2.gz PQQXZLNAILYYSW-MRXNPFEDSA-N 1 2 293.410 3.872 20 0 CHADLO C[C@@H](CC(c1ccccc1)c1ccccc1)[N@@H+]1CCC(=O)C1 ZINC001173211089 1132416320 /nfs/dbraw/zinc/41/63/20/1132416320.db2.gz PQQXZLNAILYYSW-INIZCTEOSA-N 1 2 293.410 3.872 20 0 CHADLO C[C@@H](CC(c1ccccc1)c1ccccc1)[N@H+]1CCC(=O)C1 ZINC001173211089 1132416323 /nfs/dbraw/zinc/41/63/23/1132416323.db2.gz PQQXZLNAILYYSW-INIZCTEOSA-N 1 2 293.410 3.872 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1cc3ncccc3[nH]1)C2 ZINC001232064928 1132416727 /nfs/dbraw/zinc/41/67/27/1132416727.db2.gz IFVIZSNQOQOVOQ-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1cc3ncccc3[nH]1)C2 ZINC001232064928 1132416730 /nfs/dbraw/zinc/41/67/30/1132416730.db2.gz IFVIZSNQOQOVOQ-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cccc(C(F)(F)F)n2)C1 ZINC001232069279 1132417096 /nfs/dbraw/zinc/41/70/96/1132417096.db2.gz MKQDHPJZAGEGSN-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cccc(C(F)(F)F)n2)C1 ZINC001232069279 1132417098 /nfs/dbraw/zinc/41/70/98/1132417098.db2.gz MKQDHPJZAGEGSN-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cc3ncccc3[nH]1)CC2 ZINC001232065999 1132417197 /nfs/dbraw/zinc/41/71/97/1132417197.db2.gz KHTMFVDARUNFCR-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cc3ncccc3[nH]1)CC2 ZINC001232065999 1132417199 /nfs/dbraw/zinc/41/71/99/1132417199.db2.gz KHTMFVDARUNFCR-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO C[N@@H+](Cc1c(F)cc(F)cc1Cl)C[C@H]1CCCCO1 ZINC001232101858 1132424625 /nfs/dbraw/zinc/42/46/25/1132424625.db2.gz NNMFXZDHEKIJAJ-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO C[N@H+](Cc1c(F)cc(F)cc1Cl)C[C@H]1CCCCO1 ZINC001232101858 1132424629 /nfs/dbraw/zinc/42/46/29/1132424629.db2.gz NNMFXZDHEKIJAJ-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)OC[C@H](C)CC(F)F)c1ccccc1 ZINC000842439501 1132430386 /nfs/dbraw/zinc/43/03/86/1132430386.db2.gz PIIXAIOXTOWWAA-DOMZBBRYSA-N 1 2 299.361 3.514 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)OC[C@H](C)CC(F)F)c1ccccc1 ZINC000842439501 1132430390 /nfs/dbraw/zinc/43/03/90/1132430390.db2.gz PIIXAIOXTOWWAA-DOMZBBRYSA-N 1 2 299.361 3.514 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccnc(C(F)(F)F)c2)CCC1(F)F ZINC001232237392 1132443121 /nfs/dbraw/zinc/44/31/21/1132443121.db2.gz PCHHODWJPHLZTL-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccnc(C(F)(F)F)c2)CCC1(F)F ZINC001232237392 1132443125 /nfs/dbraw/zinc/44/31/25/1132443125.db2.gz PCHHODWJPHLZTL-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1nccc3ccccc31)C2 ZINC001232248733 1132443787 /nfs/dbraw/zinc/44/37/87/1132443787.db2.gz WUNJPESCAHSKSK-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1nccc3ccccc31)C2 ZINC001232248733 1132443791 /nfs/dbraw/zinc/44/37/91/1132443791.db2.gz WUNJPESCAHSKSK-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Cn1c(=S)n(C[N@H+](C)CCC(C)(C)C)nc1C1CCC1 ZINC000842631768 1132446324 /nfs/dbraw/zinc/44/63/24/1132446324.db2.gz RKWDFUQMMQYCFM-UHFFFAOYSA-N 1 2 296.484 3.544 20 0 CHADLO Cn1c(=S)n(C[N@@H+](C)CCC(C)(C)C)nc1C1CCC1 ZINC000842631768 1132446330 /nfs/dbraw/zinc/44/63/30/1132446330.db2.gz RKWDFUQMMQYCFM-UHFFFAOYSA-N 1 2 296.484 3.544 20 0 CHADLO Cc1cc(N[C@H](C)c2cc3n(n2)CCCC3)ccc1[NH+](C)C ZINC000842860166 1132472362 /nfs/dbraw/zinc/47/23/62/1132472362.db2.gz DTNKZOMIDZYZNR-CQSZACIVSA-N 1 2 298.434 3.767 20 0 CHADLO CCc1csc(C[N@@H+]2CC[C@H]2c2ccccc2)n1 ZINC001232474856 1132475047 /nfs/dbraw/zinc/47/50/47/1132475047.db2.gz GCUSKDWJPKMECR-AWEZNQCLSA-N 1 2 258.390 3.653 20 0 CHADLO CCc1csc(C[N@H+]2CC[C@H]2c2ccccc2)n1 ZINC001232474856 1132475057 /nfs/dbraw/zinc/47/50/57/1132475057.db2.gz GCUSKDWJPKMECR-AWEZNQCLSA-N 1 2 258.390 3.653 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccc2ccsc2c1 ZINC001232616623 1132495392 /nfs/dbraw/zinc/49/53/92/1132495392.db2.gz ROQRCAZILLRNEZ-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccc2ccsc2c1 ZINC001232616623 1132495396 /nfs/dbraw/zinc/49/53/96/1132495396.db2.gz ROQRCAZILLRNEZ-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@](C)(F)C2)c(F)cc1F ZINC001232785401 1132522535 /nfs/dbraw/zinc/52/25/35/1132522535.db2.gz UEWHYQATNWOXKS-AWEZNQCLSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@](C)(F)C2)c(F)cc1F ZINC001232785401 1132522542 /nfs/dbraw/zinc/52/25/42/1132522542.db2.gz UEWHYQATNWOXKS-AWEZNQCLSA-N 1 2 257.299 3.597 20 0 CHADLO Oc1ccc2[nH]cc(C[N@@H+]3Cc4ccc(F)cc4C3)c2c1 ZINC001232865733 1132533861 /nfs/dbraw/zinc/53/38/61/1132533861.db2.gz IRBBRMPDRXYWDN-UHFFFAOYSA-N 1 2 282.318 3.528 20 0 CHADLO Oc1ccc2[nH]cc(C[N@H+]3Cc4ccc(F)cc4C3)c2c1 ZINC001232865733 1132533867 /nfs/dbraw/zinc/53/38/67/1132533867.db2.gz IRBBRMPDRXYWDN-UHFFFAOYSA-N 1 2 282.318 3.528 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ccc(F)c(O)c2F)cc1 ZINC001232937260 1132544790 /nfs/dbraw/zinc/54/47/90/1132544790.db2.gz MAENXTIQOBYXMB-UHFFFAOYSA-N 1 2 291.341 3.865 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ccc(F)c(O)c2F)cc1 ZINC001232937260 1132544794 /nfs/dbraw/zinc/54/47/94/1132544794.db2.gz MAENXTIQOBYXMB-UHFFFAOYSA-N 1 2 291.341 3.865 20 0 CHADLO Oc1c(F)ccc(C[N@H+](Cc2ccccc2)C2CC2)c1F ZINC001232937988 1132546238 /nfs/dbraw/zinc/54/62/38/1132546238.db2.gz XQYUWLLBEHKJMW-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Oc1c(F)ccc(C[N@@H+](Cc2ccccc2)C2CC2)c1F ZINC001232937988 1132546242 /nfs/dbraw/zinc/54/62/42/1132546242.db2.gz XQYUWLLBEHKJMW-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccc(OC(F)F)nc1)C2 ZINC001232954540 1132549085 /nfs/dbraw/zinc/54/90/85/1132549085.db2.gz YTRWVPHGFTYJRW-UHFFFAOYSA-N 1 2 290.313 3.507 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccc(OC(F)F)nc1)C2 ZINC001232954540 1132549090 /nfs/dbraw/zinc/54/90/90/1132549090.db2.gz YTRWVPHGFTYJRW-UHFFFAOYSA-N 1 2 290.313 3.507 20 0 CHADLO CCOc1ccc(Nc2ccc(N(C)CC)[nH+]c2)cc1 ZINC001173851631 1132554466 /nfs/dbraw/zinc/55/44/66/1132554466.db2.gz MSFJSKHSTIBFPZ-UHFFFAOYSA-N 1 2 271.364 3.680 20 0 CHADLO CCOc1ccc(Nc2cc[nH+]c(SC)c2)cc1 ZINC001173852017 1132556033 /nfs/dbraw/zinc/55/60/33/1132556033.db2.gz YFHPGWBCSDAZEQ-UHFFFAOYSA-N 1 2 260.362 3.946 20 0 CHADLO Fc1cccc(F)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001173859440 1132561880 /nfs/dbraw/zinc/56/18/80/1132561880.db2.gz SJWRFZIQSRHKGR-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO COc1ccc(Nc2cccn3cc(C)[nH+]c23)cc1F ZINC001173861860 1132563968 /nfs/dbraw/zinc/56/39/68/1132563968.db2.gz RPHXXPDGPYYJGF-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO COc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1F ZINC001173863511 1132566304 /nfs/dbraw/zinc/56/63/04/1132566304.db2.gz KVMZWKSYRLXCLD-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO C(=Cc1ccccc1)Nc1ccccc1C[NH+]1CCOCC1 ZINC001173872622 1132576084 /nfs/dbraw/zinc/57/60/84/1132576084.db2.gz RMYNQVYAMJGPCO-ZHACJKMWSA-N 1 2 294.398 3.602 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2CCC[C@@]3(CCO3)C2)cs1 ZINC001233178332 1132578431 /nfs/dbraw/zinc/57/84/31/1132578431.db2.gz KTLDXJSEDVKKIJ-GFCCVEGCSA-N 1 2 291.338 3.522 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2CCC[C@@]3(CCO3)C2)cs1 ZINC001233178332 1132578436 /nfs/dbraw/zinc/57/84/36/1132578436.db2.gz KTLDXJSEDVKKIJ-GFCCVEGCSA-N 1 2 291.338 3.522 20 0 CHADLO COc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001173825481 1132580940 /nfs/dbraw/zinc/58/09/40/1132580940.db2.gz LLAUIMOTQTWXQI-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO Cc1ccc(CCCO)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203376078 1132581190 /nfs/dbraw/zinc/58/11/90/1132581190.db2.gz JIFRWIDVFIHSOZ-UHFFFAOYSA-N 1 2 270.376 3.675 20 0 CHADLO Cc1ccc(Nc2ccccc2CN2CCCC2=O)c(C)[nH+]1 ZINC001203375885 1132582010 /nfs/dbraw/zinc/58/20/10/1132582010.db2.gz DZGFBSQOHOVDHP-UHFFFAOYSA-N 1 2 295.386 3.564 20 0 CHADLO COc1cc(F)c(C[N@@H+]2CCc3ccccc3C2)cc1F ZINC001233340792 1132604734 /nfs/dbraw/zinc/60/47/34/1132604734.db2.gz QMQHKOPNZRKPMO-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(F)c(C[N@H+]2CCc3ccccc3C2)cc1F ZINC001233340792 1132604739 /nfs/dbraw/zinc/60/47/39/1132604739.db2.gz QMQHKOPNZRKPMO-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCOc1cc(Nc2cc(OC)ccc2OC)cc(C)[nH+]1 ZINC001173917993 1132612461 /nfs/dbraw/zinc/61/24/61/1132612461.db2.gz MUMRTIOAFQUABH-UHFFFAOYSA-N 1 2 288.347 3.550 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(F)cc3F)ccc21 ZINC001173927427 1132614491 /nfs/dbraw/zinc/61/44/91/1132614491.db2.gz ZFLPDABFNUWCHO-UHFFFAOYSA-N 1 2 259.259 3.595 20 0 CHADLO Clc1ccc2cc(C[N@@H+]3Cc4ccncc4C3)ccc2n1 ZINC001233444870 1132623100 /nfs/dbraw/zinc/62/31/00/1132623100.db2.gz HUSIZDGISBLHRW-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO Clc1ccc2cc(C[N@H+]3Cc4ccncc4C3)ccc2n1 ZINC001233444870 1132623103 /nfs/dbraw/zinc/62/31/03/1132623103.db2.gz HUSIZDGISBLHRW-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2cncc(Cl)n2)cc1 ZINC001233446990 1132625667 /nfs/dbraw/zinc/62/56/67/1132625667.db2.gz PWPWOYDDRBBMCV-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2cncc(Cl)n2)cc1 ZINC001233446990 1132625671 /nfs/dbraw/zinc/62/56/71/1132625671.db2.gz PWPWOYDDRBBMCV-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2C2CC2)cc1OC ZINC001173886801 1132626358 /nfs/dbraw/zinc/62/63/58/1132626358.db2.gz OEXOJEWOCUKYRU-UHFFFAOYSA-N 1 2 270.332 3.720 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2ccsc2Br)C1 ZINC001233479080 1132627230 /nfs/dbraw/zinc/62/72/30/1132627230.db2.gz ISDQMAQUNHHRRK-WPRPVWTQSA-N 1 2 292.217 3.691 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2ccsc2Br)C1 ZINC001233479080 1132627233 /nfs/dbraw/zinc/62/72/33/1132627233.db2.gz ISDQMAQUNHHRRK-WPRPVWTQSA-N 1 2 292.217 3.691 20 0 CHADLO CC(F)(F)CNc1[nH+]ccc2cc(Cl)ccc21 ZINC001154854914 1132628306 /nfs/dbraw/zinc/62/83/06/1132628306.db2.gz GLNOEVPEPXYROX-UHFFFAOYSA-N 1 2 256.683 3.955 20 0 CHADLO COc1ccc(Nc2ccc3c(C)cc[nH+]c3c2)cn1 ZINC001173960167 1132632861 /nfs/dbraw/zinc/63/28/61/1132632861.db2.gz FIIXSUROFKWQCH-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO CSc1c[nH+]c(NC[C@H]2CCC(F)(F)C2)c(C)c1 ZINC001155174020 1132644786 /nfs/dbraw/zinc/64/47/86/1132644786.db2.gz QBRKHIVIRUODLX-JTQLQIEISA-N 1 2 272.364 3.959 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1nc(Cl)nc2c(F)cccc12 ZINC001155181099 1132646321 /nfs/dbraw/zinc/64/63/21/1132646321.db2.gz HMUKBBCJOAPVPH-UHFFFAOYSA-N 1 2 280.734 3.858 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1nc(Cl)nc2c(Cl)nccc12 ZINC001155179717 1132646596 /nfs/dbraw/zinc/64/65/96/1132646596.db2.gz CZLISOJAXQZAIP-UHFFFAOYSA-N 1 2 298.177 3.767 20 0 CHADLO c1cc2cc(Nc3ccc[nH+]c3N3CCCC3)ccc2[nH]1 ZINC001173936691 1132648338 /nfs/dbraw/zinc/64/83/38/1132648338.db2.gz RFGVBHOPYUWGMN-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO CCSc1cc[nH+]c(NCc2ccc(C)nc2)c1 ZINC001155320768 1132653643 /nfs/dbraw/zinc/65/36/43/1132653643.db2.gz WNVJVRNCJAFDKP-UHFFFAOYSA-N 1 2 259.378 3.509 20 0 CHADLO Fc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1F ZINC001173945975 1132653925 /nfs/dbraw/zinc/65/39/25/1132653925.db2.gz MMWNVCNQESBWJM-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO CCOC(CCCNc1cc(SCC)cc[nH+]1)OCC ZINC001155726088 1132665247 /nfs/dbraw/zinc/66/52/47/1132665247.db2.gz ZGNNTINAHOJSIG-UHFFFAOYSA-N 1 2 298.452 3.785 20 0 CHADLO COC1C[C@H]2CC[C@@H](C1)N2c1ccc(C(C)(C)C)c[nH+]1 ZINC001155817909 1132666910 /nfs/dbraw/zinc/66/69/10/1132666910.db2.gz PFLDQZGRBKCBKJ-YIONKMFJSA-N 1 2 274.408 3.525 20 0 CHADLO Cc1cc(C)cc(CNc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC001155897748 1132670089 /nfs/dbraw/zinc/67/00/89/1132670089.db2.gz MEZVSDGBBDLLFT-UHFFFAOYSA-N 1 2 267.376 3.891 20 0 CHADLO c1ccc2c(c1)C[C@H](CNc1cc3ccccc3c[nH+]1)O2 ZINC001155970965 1132673435 /nfs/dbraw/zinc/67/34/35/1132673435.db2.gz QNMZTTAAEJQWDQ-MRXNPFEDSA-N 1 2 276.339 3.650 20 0 CHADLO COc1ccc2[nH+]c(NCc3ccccc3N)c(C)cc2c1 ZINC001155981766 1132674528 /nfs/dbraw/zinc/67/45/28/1132674528.db2.gz SXAGFHJFLSPMCW-UHFFFAOYSA-N 1 2 293.370 3.746 20 0 CHADLO CC(=O)Nc1ccc(Nc2[nH+]cccc2C(C)C)cc1 ZINC001173977191 1132685508 /nfs/dbraw/zinc/68/55/08/1132685508.db2.gz IZJMOYGFGPWXSU-UHFFFAOYSA-N 1 2 269.348 3.907 20 0 CHADLO Cc1ccc(Nc2ccc(-n3ccccc3=O)cc2)c(C)[nH+]1 ZINC001203376533 1132689235 /nfs/dbraw/zinc/68/92/35/1132689235.db2.gz NQPCQDANJCANFV-UHFFFAOYSA-N 1 2 291.354 3.593 20 0 CHADLO c1ccc(-c2cc[nH+]c(NC[C@@H]3CCCCO3)c2)cc1 ZINC001156372564 1132690783 /nfs/dbraw/zinc/69/07/83/1132690783.db2.gz PYDVMGSMGIDSDG-INIZCTEOSA-N 1 2 268.360 3.730 20 0 CHADLO COc1ncccc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001174044210 1132707601 /nfs/dbraw/zinc/70/76/01/1132707601.db2.gz MCIMBNHVAONQNK-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO COc1cccc(F)c1CNc1cc(C)[nH+]c(C(C)C)n1 ZINC001156743851 1132707879 /nfs/dbraw/zinc/70/78/79/1132707879.db2.gz GSAHOFBVANVYDT-UHFFFAOYSA-N 1 2 289.354 3.668 20 0 CHADLO COCc1cccc(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001174091860 1132710184 /nfs/dbraw/zinc/71/01/84/1132710184.db2.gz JCRRRGQBHLZMTG-UHFFFAOYSA-N 1 2 267.332 3.533 20 0 CHADLO CSc1nc(NCc2nccc3ccccc32)cc(C)[nH+]1 ZINC001156864491 1132710774 /nfs/dbraw/zinc/71/07/74/1132710774.db2.gz KWFOAQOGZDIMJH-UHFFFAOYSA-N 1 2 296.399 3.667 20 0 CHADLO COc1c[nH+]c(NCc2nccc3ccccc32)c(C)c1 ZINC001156863402 1132710847 /nfs/dbraw/zinc/71/08/47/1132710847.db2.gz XGCBFOVNMBGWAE-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2c(ccn2C)c1 ZINC001174097441 1132714808 /nfs/dbraw/zinc/71/48/08/1132714808.db2.gz WZVVKNAMZXBTLQ-UHFFFAOYSA-N 1 2 267.332 3.716 20 0 CHADLO CCOc1cc(Nc2ccccc2COC)cc(C)[nH+]1 ZINC001174103783 1132720596 /nfs/dbraw/zinc/72/05/96/1132720596.db2.gz QLVPVQSDNDVBAY-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO CN(Cc1cncs1)c1[nH+]ccc2cc(Cl)ccc21 ZINC001157084774 1132720741 /nfs/dbraw/zinc/72/07/41/1132720741.db2.gz IQPFLTBYJYIIQJ-UHFFFAOYSA-N 1 2 289.791 3.981 20 0 CHADLO COCc1ccccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001174105349 1132722125 /nfs/dbraw/zinc/72/21/25/1132722125.db2.gz IYRMSQCCSVPQHC-UHFFFAOYSA-N 1 2 279.343 3.762 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)c2ccc(C(C)(C)C)cc2)[nH+]1 ZINC001157119559 1132722465 /nfs/dbraw/zinc/72/24/65/1132722465.db2.gz MOOSFUHBXXUCDL-UHFFFAOYSA-N 1 2 299.418 3.761 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)c2ccc(C(C)(C)C)cc2)c[nH+]1 ZINC001157119559 1132722470 /nfs/dbraw/zinc/72/24/70/1132722470.db2.gz MOOSFUHBXXUCDL-UHFFFAOYSA-N 1 2 299.418 3.761 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC(C)C)cc1 ZINC001174107852 1132724139 /nfs/dbraw/zinc/72/41/39/1132724139.db2.gz ROXHHJHBCSANLI-UHFFFAOYSA-N 1 2 258.321 3.621 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H]1C[C@H]1c1ccc(F)c(F)c1 ZINC001157298751 1132726015 /nfs/dbraw/zinc/72/60/15/1132726015.db2.gz HRBXZVSWLLIPCF-IINYFYTJSA-N 1 2 278.277 3.775 20 0 CHADLO CCN(Cc1cccc(F)c1F)c1[nH+]ccc(F)c1C ZINC001157363451 1132729555 /nfs/dbraw/zinc/72/95/55/1132729555.db2.gz NNAJJQOEOJVGBT-UHFFFAOYSA-N 1 2 280.293 3.834 20 0 CHADLO COc1cc(F)ccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001174131662 1132740645 /nfs/dbraw/zinc/74/06/45/1132740645.db2.gz ZHIBFBMESHEUES-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO CC(C)(C)[C@H](CO)Nc1[nH+]ccc2cc(Cl)ccc21 ZINC001157757604 1132740793 /nfs/dbraw/zinc/74/07/93/1132740793.db2.gz OWMKMDYSSLZIPS-ZDUSSCGKSA-N 1 2 278.783 3.707 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc4cnccc43)ccc21 ZINC001174138021 1132743572 /nfs/dbraw/zinc/74/35/72/1132743572.db2.gz LCTKNICIFSGBQP-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(C(=O)NC(C)C)cc1 ZINC001174148489 1132748876 /nfs/dbraw/zinc/74/88/76/1132748876.db2.gz DAUHZMPSIDTHDX-UHFFFAOYSA-N 1 2 297.402 3.834 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2N(C)C)cc1Cl ZINC001174152716 1132750081 /nfs/dbraw/zinc/75/00/81/1132750081.db2.gz IBOXMGOKVXXFEL-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO CCN(CC)C(=O)c1cccc(Nc2[nH+]cccc2C)c1 ZINC001174164438 1132751658 /nfs/dbraw/zinc/75/16/58/1132751658.db2.gz KQWXMGYIIRROOO-UHFFFAOYSA-N 1 2 283.375 3.616 20 0 CHADLO COc1cc2ccc(NC(C3CC3)C3CC3)[nH+]c2cc1OC ZINC001157920733 1132755129 /nfs/dbraw/zinc/75/51/29/1132755129.db2.gz IFJIQSHDXSOBOR-UHFFFAOYSA-N 1 2 298.386 3.853 20 0 CHADLO Cc1nc(NCc2cc(C(C)C)no2)cc(C(C)C)[nH+]1 ZINC001157986429 1132758754 /nfs/dbraw/zinc/75/87/54/1132758754.db2.gz AQCCUVXDCOTRKV-UHFFFAOYSA-N 1 2 274.368 3.632 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1c(Cl)cncc1Cl ZINC001158303048 1132768876 /nfs/dbraw/zinc/76/88/76/1132768876.db2.gz WBNUHLXZACZVFH-UHFFFAOYSA-N 1 2 298.173 3.713 20 0 CHADLO CC(=O)NCc1ccc(Nc2[nH+]cccc2C(C)C)cc1 ZINC001174232959 1132768894 /nfs/dbraw/zinc/76/88/94/1132768894.db2.gz XJDZPAAXUVRGKJ-UHFFFAOYSA-N 1 2 283.375 3.585 20 0 CHADLO CCc1ccc[nH+]c1Nc1cccc(-n2cccn2)c1 ZINC001174299014 1132778594 /nfs/dbraw/zinc/77/85/94/1132778594.db2.gz IZVVNERIXWLPMY-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO Cc1cn2cccc(Nc3cccc(-n4cccn4)c3)c2[nH+]1 ZINC001174299706 1132778998 /nfs/dbraw/zinc/77/89/98/1132778998.db2.gz SUDMFLNOIDEMAR-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc4n[nH]cc4c3)cc2)c[nH+]1 ZINC001174275062 1132784245 /nfs/dbraw/zinc/78/42/45/1132784245.db2.gz NMDNEWPORJJRAX-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)C[C@H](C)C(=O)N3C)c(C)[nH+]1 ZINC001203380701 1132788053 /nfs/dbraw/zinc/78/80/53/1132788053.db2.gz MGZNRNTXPSEGEX-NSHDSACASA-N 1 2 295.386 3.597 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1c[nH]c2ncc(F)cc12 ZINC001159106878 1132807160 /nfs/dbraw/zinc/80/71/60/1132807160.db2.gz IUPQMOYIDXIYEG-UHFFFAOYSA-N 1 2 270.311 3.711 20 0 CHADLO CC(C)c1cc(N=C2CCC[N@H+](Cc3ccccc3)C2)on1 ZINC001174392868 1132808534 /nfs/dbraw/zinc/80/85/34/1132808534.db2.gz LDFCTFSYPWJBEL-UHFFFAOYSA-N 1 2 297.402 4.000 20 0 CHADLO CC(C)c1cc(N=C2CCC[N@@H+](Cc3ccccc3)C2)on1 ZINC001174392868 1132808542 /nfs/dbraw/zinc/80/85/42/1132808542.db2.gz LDFCTFSYPWJBEL-UHFFFAOYSA-N 1 2 297.402 4.000 20 0 CHADLO Nc1cc(NC=Cc2ccc(-c3ccccc3)cc2)nc[nH+]1 ZINC001159224508 1132817294 /nfs/dbraw/zinc/81/72/94/1132817294.db2.gz LWLXTLUVNNBEQY-ZHACJKMWSA-N 1 2 288.354 3.809 20 0 CHADLO Cc1c[nH+]c(Nc2c(Cl)cccc2CO)c(C)c1 ZINC001159233823 1132818228 /nfs/dbraw/zinc/81/82/28/1132818228.db2.gz ATRSFBDTJIPSRT-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO CC(C)c1ccc(Nc2ccc(N)[nH+]c2)c(Cl)n1 ZINC001159282179 1132823001 /nfs/dbraw/zinc/82/30/01/1132823001.db2.gz QUBOLHULFHOPPC-UHFFFAOYSA-N 1 2 262.744 3.579 20 0 CHADLO CC(C)COc1cc(F)cc(Nc2ccc(N)[nH+]c2)c1 ZINC001159281030 1132823743 /nfs/dbraw/zinc/82/37/43/1132823743.db2.gz MWDMBHHRAXGXSG-UHFFFAOYSA-N 1 2 275.327 3.581 20 0 CHADLO Nc1ccc(Nc2c(F)ccc(C3CC3)c2F)c[nH+]1 ZINC001159282117 1132823949 /nfs/dbraw/zinc/82/39/49/1132823949.db2.gz NULKYKHZTFDNLS-UHFFFAOYSA-N 1 2 261.275 3.563 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccc(Cl)nn3)ccc21 ZINC001174381016 1132828730 /nfs/dbraw/zinc/82/87/30/1132828730.db2.gz PUDKILBTWSVBCR-UHFFFAOYSA-N 1 2 287.754 3.804 20 0 CHADLO O=c1[nH]cc(Nc2cc[nH+]c3[nH]ccc32)c2ccccc12 ZINC001174409091 1132848251 /nfs/dbraw/zinc/84/82/51/1132848251.db2.gz YLXDBUZWOLEAAV-UHFFFAOYSA-N 1 2 276.299 3.512 20 0 CHADLO CC(=O)Nc1cc(Nc2cc[nH+]c3[nH]ccc32)ccc1C ZINC001174411402 1132849981 /nfs/dbraw/zinc/84/99/81/1132849981.db2.gz XOIKQAVAYGBYRD-UHFFFAOYSA-N 1 2 280.331 3.525 20 0 CHADLO CC1(C)Oc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2O1 ZINC001174411239 1132850019 /nfs/dbraw/zinc/85/00/19/1132850019.db2.gz QHDDPAWBAVGPFW-UHFFFAOYSA-N 1 2 281.315 3.765 20 0 CHADLO O=C1CCCc2cc(Nc3cc[nH+]c4[nH]ccc43)ccc2N1 ZINC001174412556 1132851114 /nfs/dbraw/zinc/85/11/14/1132851114.db2.gz AAGRACUOMJWAGQ-UHFFFAOYSA-N 1 2 292.342 3.533 20 0 CHADLO CNc1ccc(Nc2cc(Br)ccc2C)c[nH+]1 ZINC001159591095 1132869271 /nfs/dbraw/zinc/86/92/71/1132869271.db2.gz IEHQTWMEAVMKFS-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)OCC3)c1 ZINC001206671964 1132874433 /nfs/dbraw/zinc/87/44/33/1132874433.db2.gz JMJZIRSKKLSPBU-UHFFFAOYSA-N 1 2 254.333 3.713 20 0 CHADLO CCC=CNc1[nH+]cnc2c1ccn2C1CCCC1 ZINC001159668729 1132886894 /nfs/dbraw/zinc/88/68/94/1132886894.db2.gz YJTMMJBAKSNTPD-YCRREMRBSA-N 1 2 256.353 3.882 20 0 CHADLO Cc1cccc2c1cc[nH+]c2NCOc1ccccc1 ZINC001159702337 1132893595 /nfs/dbraw/zinc/89/35/95/1132893595.db2.gz PCRIXWGHIXFGCC-UHFFFAOYSA-N 1 2 264.328 3.992 20 0 CHADLO COc1cc(Nc2c(C)cc[nH+]c2C(C)C)ccc1O ZINC001174542006 1132901590 /nfs/dbraw/zinc/90/15/90/1132901590.db2.gz QPIJIHKOAIMCHV-UHFFFAOYSA-N 1 2 272.348 3.971 20 0 CHADLO Cc1cn2cccc(Nc3cccc(-c4cc[nH]n4)c3)c2[nH+]1 ZINC001174506098 1132903871 /nfs/dbraw/zinc/90/38/71/1132903871.db2.gz CIXVBIYZXIUYPL-UHFFFAOYSA-N 1 2 289.342 3.776 20 0 CHADLO CCc1ccc[nH+]c1Nc1cccc(-c2cc[nH]n2)c1 ZINC001174507805 1132905159 /nfs/dbraw/zinc/90/51/59/1132905159.db2.gz VBAFFYOEFURTMZ-UHFFFAOYSA-N 1 2 264.332 3.778 20 0 CHADLO Clc1ccncc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174521609 1132911367 /nfs/dbraw/zinc/91/13/67/1132911367.db2.gz MILXPMIXPOBMAD-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(N2CCCC2)cc1 ZINC001174524260 1132912444 /nfs/dbraw/zinc/91/24/44/1132912444.db2.gz BSKPVDXHYGXJJA-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1CO ZINC001174523768 1132912844 /nfs/dbraw/zinc/91/28/44/1132912844.db2.gz QMSPULJGUKGMNN-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3nc(N)sc3c2)c1C ZINC001159823047 1132912990 /nfs/dbraw/zinc/91/29/90/1132912990.db2.gz SQIXNXZMNQJRLX-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(N2CCCC2)cc1 ZINC001174525115 1132914189 /nfs/dbraw/zinc/91/41/89/1132914189.db2.gz KJBCQEDAVRRQHL-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO Cc1cc(N[C@@H]2CCc3ccc(O)cc3C2)nc(C(C)C)[nH+]1 ZINC001159895817 1132921077 /nfs/dbraw/zinc/92/10/77/1132921077.db2.gz LWKBIVDHUAHUJH-OAHLLOKOSA-N 1 2 297.402 3.583 20 0 CHADLO Cc1cc(O)ccc1Nc1[nH+]ccc2ccccc21 ZINC001174559510 1132923925 /nfs/dbraw/zinc/92/39/25/1132923925.db2.gz NLNXXYXFXAFTJZ-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO Cc1cc(O)ccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001174562708 1132924702 /nfs/dbraw/zinc/92/47/02/1132924702.db2.gz OXWFQCUNHSDCDJ-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO [NH3+][C@H]1CCCN(c2ccccc2Nc2cccc(S)c2)C1 ZINC001159982659 1132932542 /nfs/dbraw/zinc/93/25/42/1132932542.db2.gz PFVHCMYFBUMPHM-ZDUSSCGKSA-N 1 2 299.443 3.646 20 0 CHADLO CCSc1cc[nH+]c(N[C@H]2COCc3ccccc32)c1 ZINC001160123173 1132940634 /nfs/dbraw/zinc/94/06/34/1132940634.db2.gz AMFAJNIBYNRSEI-HNNXBMFYSA-N 1 2 286.400 3.877 20 0 CHADLO Cc1c(F)cccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001174664738 1132955886 /nfs/dbraw/zinc/95/58/86/1132955886.db2.gz ALCUUIXAYIRKBJ-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(Br)c(N)c2)c1 ZINC001160303736 1132959142 /nfs/dbraw/zinc/95/91/42/1132959142.db2.gz ORTKQFYGDRHRTN-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)CCCO3)c(N2CCCC2)c1 ZINC001174720486 1132963417 /nfs/dbraw/zinc/96/34/17/1132963417.db2.gz BNGAYZLVGIAVCN-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO Oc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1F ZINC001174737625 1132968977 /nfs/dbraw/zinc/96/89/77/1132968977.db2.gz BKSYHZURMWSWHK-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1cc(Cl)c(C)c(N[C@@](C)(CO)c2ccccc2)[nH+]1 ZINC001160434528 1132969823 /nfs/dbraw/zinc/96/98/23/1132969823.db2.gz IQPDNZGGQJPCFA-INIZCTEOSA-N 1 2 290.794 3.671 20 0 CHADLO CC(C)c1ccc(N[C@](C)(CO)c2ccccc2)[nH+]c1 ZINC001160436783 1132970184 /nfs/dbraw/zinc/97/01/84/1132970184.db2.gz WWRWWUQTXQGXJW-QGZVFWFLSA-N 1 2 270.376 3.525 20 0 CHADLO COc1cnc(N[C@@H](C)c2c[nH+]c3c(C)cccn23)c(C)c1 ZINC001160683769 1132978149 /nfs/dbraw/zinc/97/81/49/1132978149.db2.gz SDZLFBALUQCWIM-ZDUSSCGKSA-N 1 2 296.374 3.528 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3nc(C)[nH+]c(C)c3C)cnc12 ZINC001160687178 1132978617 /nfs/dbraw/zinc/97/86/17/1132978617.db2.gz FKGQCUBTYBZXRC-ZDUSSCGKSA-N 1 2 295.390 3.531 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3cc(Cl)ccn3)c[nH+]c12 ZINC001160684041 1132978814 /nfs/dbraw/zinc/97/88/14/1132978814.db2.gz WKYMBPNUIWXKQM-NSHDSACASA-N 1 2 286.766 3.864 20 0 CHADLO COc1cc(C)cc(N[C@@H](C)c2c[nH+]c3c(C)cccn23)n1 ZINC001160683340 1132978943 /nfs/dbraw/zinc/97/89/43/1132978943.db2.gz LVEHAVFBZUGBJU-ZDUSSCGKSA-N 1 2 296.374 3.528 20 0 CHADLO c1[nH]c2c(cccc2Nc2cncc3ccccc32)[nH+]1 ZINC001213026265 1132979981 /nfs/dbraw/zinc/97/99/81/1132979981.db2.gz QDFWBWWXBHDOCS-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO CC(C)C[C@H](C(=O)N[C@@]1(C)CCCC[C@H]1C)n1cc[nH+]c1 ZINC001160888085 1132986419 /nfs/dbraw/zinc/98/64/19/1132986419.db2.gz KSAOLYGBQZESGT-INMHGKMJSA-N 1 2 291.439 3.555 20 0 CHADLO Cc1ccc(Nc2cccc3[nH+]c[nH]c32)c(Cl)n1 ZINC001213028049 1132988526 /nfs/dbraw/zinc/98/85/26/1132988526.db2.gz GSNFRRYAFMVJGW-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO CCNc1ccnc(Cl)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213028141 1132988971 /nfs/dbraw/zinc/98/89/71/1132988971.db2.gz IMVREIIXSAZWDX-UHFFFAOYSA-N 1 2 287.754 3.787 20 0 CHADLO COc1cc(C)cc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213031479 1132995885 /nfs/dbraw/zinc/99/58/85/1132995885.db2.gz BUJCRGQRMHDYRR-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO CCOc1ccccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213031520 1132996475 /nfs/dbraw/zinc/99/64/75/1132996475.db2.gz DGZOLMLCEBFDSX-UHFFFAOYSA-N 1 2 253.305 3.705 20 0 CHADLO Fc1cc(F)c(Nc2cccc3[nH+]c[nH]c32)c(F)c1 ZINC001213031580 1132996834 /nfs/dbraw/zinc/99/68/34/1132996834.db2.gz HNFOCANVRPRHDF-UHFFFAOYSA-N 1 2 263.222 3.724 20 0 CHADLO CC(=O)c1ccc(Nc2cccc3[nH+]c[nH]c32)c(F)c1 ZINC001213032415 1133000007 /nfs/dbraw/zinc/00/00/07/1133000007.db2.gz DTJZIZSMJRLOHE-UHFFFAOYSA-N 1 2 269.279 3.648 20 0 CHADLO Oc1ccc(Nc2cccc3[nH+]c[nH]c32)cc1Cl ZINC001213032396 1133000920 /nfs/dbraw/zinc/00/09/20/1133000920.db2.gz CYCNKEJRQPVOSM-UHFFFAOYSA-N 1 2 259.696 3.666 20 0 CHADLO Cc1ccc(CCCO)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213033423 1133004773 /nfs/dbraw/zinc/00/47/73/1133004773.db2.gz FPTFUGUORZCGFT-UHFFFAOYSA-N 1 2 281.359 3.540 20 0 CHADLO COCc1ccc(Nc2cccc3[nH+]c[nH]c32)cc1F ZINC001213033503 1133005293 /nfs/dbraw/zinc/00/52/93/1133005293.db2.gz JWPZRILWFZGQFX-UHFFFAOYSA-N 1 2 271.295 3.592 20 0 CHADLO Nc1cccc(C(F)(F)F)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213033746 1133010236 /nfs/dbraw/zinc/01/02/36/1133010236.db2.gz VKLJUDXRRWGECG-UHFFFAOYSA-N 1 2 292.264 3.908 20 0 CHADLO Cc1ccccc1CNc1nc(N(C)C)c2ccccc2[nH+]1 ZINC001161621308 1133010990 /nfs/dbraw/zinc/01/09/90/1133010990.db2.gz ZTOUTFPVMCDRGX-UHFFFAOYSA-N 1 2 292.386 3.616 20 0 CHADLO FC(F)(F)CNc1ccc2c(c1)[nH+]cn2-c1ccccc1 ZINC001161630353 1133012110 /nfs/dbraw/zinc/01/21/10/1133012110.db2.gz GMSKONLSBVQHOZ-UHFFFAOYSA-N 1 2 291.276 4.000 20 0 CHADLO Cc1cc(NCCCn2cc[nH+]c2)c(OC(C)C)cc1C ZINC001161689406 1133016602 /nfs/dbraw/zinc/01/66/02/1133016602.db2.gz RXXGGCNIOLDRLL-UHFFFAOYSA-N 1 2 287.407 3.789 20 0 CHADLO COc1cccc2c(NCc3ccc(C)o3)cc[nH+]c12 ZINC001161768065 1133026819 /nfs/dbraw/zinc/02/68/19/1133026819.db2.gz DJWKETQCUUHFJT-UHFFFAOYSA-N 1 2 268.316 3.757 20 0 CHADLO CC(C)Cn1cnc(CNc2ccc(C(C)(C)C)c[nH+]2)c1 ZINC001161799647 1133029845 /nfs/dbraw/zinc/02/98/45/1133029845.db2.gz QLPZTMMCBCVZTI-UHFFFAOYSA-N 1 2 286.423 3.844 20 0 CHADLO COc1cccc2[nH+]c(NCc3ncccc3C)ccc21 ZINC001161880722 1133036971 /nfs/dbraw/zinc/03/69/71/1133036971.db2.gz GYMFTIIUWGATOK-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CCOc1cccc(CNc2[nH+]c(C)cc(Cl)c2C)n1 ZINC001161889944 1133038594 /nfs/dbraw/zinc/03/85/94/1133038594.db2.gz HAHKNSPDWHBYRJ-UHFFFAOYSA-N 1 2 291.782 3.758 20 0 CHADLO CCCCNc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001162202109 1133059141 /nfs/dbraw/zinc/05/91/41/1133059141.db2.gz IJEKGOBULNOJPH-UHFFFAOYSA-N 1 2 258.287 3.888 20 0 CHADLO COc1cc[nH+]c(C(C)(C)CNc2cccc(Cl)n2)c1 ZINC001162128926 1133053311 /nfs/dbraw/zinc/05/33/11/1133053311.db2.gz XIBABNSKCCIENP-UHFFFAOYSA-N 1 2 291.782 3.528 20 0 CHADLO COc1ccc2cc(NCc3cnc(C)s3)[nH+]cc2c1 ZINC001162155618 1133055006 /nfs/dbraw/zinc/05/50/06/1133055006.db2.gz YZXPSYZDGXNZMF-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO CCN(Cc1ccoc1)c1cc(C)[nH+]c(C2CCC2)n1 ZINC001162385575 1133073891 /nfs/dbraw/zinc/07/38/91/1133073891.db2.gz SOQRWGKEWCEUPW-UHFFFAOYSA-N 1 2 271.364 3.672 20 0 CHADLO CC[C@@H]1CCCN(c2nc3ccccc3n3c[nH+]cc23)CC1 ZINC001162489321 1133081802 /nfs/dbraw/zinc/08/18/02/1133081802.db2.gz BBQDQWRYIPRUQC-CQSZACIVSA-N 1 2 294.402 3.899 20 0 CHADLO COc1cccc2c(N[C@@H]3CCCC[C@H]3F)cc[nH+]c12 ZINC001162513007 1133083613 /nfs/dbraw/zinc/08/36/13/1133083613.db2.gz SBCBXXMKGSPWSB-TZMCWYRMSA-N 1 2 274.339 3.936 20 0 CHADLO C[C@H]1CCCC[C@H]1CNc1cc(C2(C)OCCO2)cc[nH+]1 ZINC001162524481 1133088005 /nfs/dbraw/zinc/08/80/05/1133088005.db2.gz NGFBLCFKJNSDDP-KBPBESRZSA-N 1 2 290.407 3.539 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@H]3CCCC[C@@H]3C)nn2)c1C ZINC001162527947 1133089053 /nfs/dbraw/zinc/08/90/53/1133089053.db2.gz ZMQJGPUSYOGMMF-SWLSCSKDSA-N 1 2 299.422 3.517 20 0 CHADLO Cc1ccc2cc(NCc3c(C)cc(C)[nH]c3=O)[nH+]cc2c1 ZINC001162599742 1133093934 /nfs/dbraw/zinc/09/39/34/1133093934.db2.gz NBHIDLMFXCSCIU-UHFFFAOYSA-N 1 2 293.370 3.873 20 0 CHADLO Cn1cccc1CNc1cc[nH+]c(OCc2ccccc2)c1 ZINC001162709427 1133104994 /nfs/dbraw/zinc/10/49/94/1133104994.db2.gz JLXMQLPDWIJQRQ-UHFFFAOYSA-N 1 2 293.370 3.611 20 0 CHADLO CSc1cc[nH+]c(NC2(Cc3ccc(O)cc3)CC2)c1 ZINC001162734795 1133106516 /nfs/dbraw/zinc/10/65/16/1133106516.db2.gz LNHSINXZDUMWSB-UHFFFAOYSA-N 1 2 286.400 3.696 20 0 CHADLO CSc1nc(N[C@H](c2cccnc2)C(C)C)cc(C)[nH+]1 ZINC001163058419 1133127982 /nfs/dbraw/zinc/12/79/82/1133127982.db2.gz JGEGIWPOHDLZPN-AWEZNQCLSA-N 1 2 288.420 3.711 20 0 CHADLO Cc1ccc2nc(NCc3ccc4[nH+]ccn4c3)ccc2c1 ZINC001163135317 1133130694 /nfs/dbraw/zinc/13/06/94/1133130694.db2.gz CGOPFYNUJAHKCD-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO Cc1cc(N[C@H](C)c2ccno2)[nH+]c2ccccc12 ZINC001163207650 1133136487 /nfs/dbraw/zinc/13/64/87/1133136487.db2.gz DBTSRKKCNXJDIV-LLVKDONJSA-N 1 2 253.305 3.704 20 0 CHADLO CN(C)c1cc(N[C@@H]2CCC[C@@H](c3ccccc3)C2)[nH+]cn1 ZINC001163328589 1133142317 /nfs/dbraw/zinc/14/23/17/1133142317.db2.gz WEXIQZPLQACCKB-HZPDHXFCSA-N 1 2 296.418 3.681 20 0 CHADLO CN(C)c1cc(N[C@@H]2CCC[C@@H](c3ccccc3)C2)nc[nH+]1 ZINC001163328589 1133142322 /nfs/dbraw/zinc/14/23/22/1133142322.db2.gz WEXIQZPLQACCKB-HZPDHXFCSA-N 1 2 296.418 3.681 20 0 CHADLO CC(C)(C)OC(=O)CCNc1cc2cc(F)ccc2c[nH+]1 ZINC001163408493 1133149332 /nfs/dbraw/zinc/14/93/32/1133149332.db2.gz WGTCWCRZBVXTRO-UHFFFAOYSA-N 1 2 290.338 3.518 20 0 CHADLO CCSc1cc[nH+]c(N2CC[C@@H](F)C(F)(F)CC2)c1 ZINC001163783468 1133175014 /nfs/dbraw/zinc/17/50/14/1133175014.db2.gz BHGPNBAHBNZPTG-LLVKDONJSA-N 1 2 290.354 3.767 20 0 CHADLO F[C@H]1CCN(c2cccc(C3CC3)[nH+]2)CCC1(F)F ZINC001163786254 1133175715 /nfs/dbraw/zinc/17/57/15/1133175715.db2.gz PPNOJPSXAOFYBK-LBPRGKRZSA-N 1 2 270.298 3.533 20 0 CHADLO Cc1ccc2cc[nH+]c(N3CCC(=O)[C@H]4CCCC[C@H]43)c2c1 ZINC001163789121 1133175780 /nfs/dbraw/zinc/17/57/80/1133175780.db2.gz AKNKLEJVDRAPBS-DOTOQJQBSA-N 1 2 294.398 3.881 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001163795726 1133175845 /nfs/dbraw/zinc/17/58/45/1133175845.db2.gz RUOALVYBGINUFP-IAGOWNOFSA-N 1 2 294.398 3.881 20 0 CHADLO COc1ccccc1[C@@H]1CCN(c2[nH+]ccc(F)c2C)C1 ZINC001163807759 1133176363 /nfs/dbraw/zinc/17/63/63/1133176363.db2.gz YHUABVYRNKHZDI-CYBMUJFWSA-N 1 2 286.350 3.532 20 0 CHADLO CN(C)c1ccc(NC(C)(C)Cc2ccc(F)cc2)[nH+]c1 ZINC001163853232 1133179875 /nfs/dbraw/zinc/17/98/75/1133179875.db2.gz RZCIYNLCYGDQLE-UHFFFAOYSA-N 1 2 287.382 3.720 20 0 CHADLO Cn1c(CNc2cc3cc[nH]c3c[nH+]2)cc2ccccc21 ZINC001163932727 1133185687 /nfs/dbraw/zinc/18/56/87/1133185687.db2.gz AJSBTOYBFVEVEN-UHFFFAOYSA-N 1 2 276.343 3.667 20 0 CHADLO Cc1cc(N2CC[C@@H](C)C(F)(F)CC2)nc(C(C)C)[nH+]1 ZINC001164117631 1133198551 /nfs/dbraw/zinc/19/85/51/1133198551.db2.gz HDRWQPATYIJESJ-LLVKDONJSA-N 1 2 283.366 3.780 20 0 CHADLO FC(F)(F)[C@H](Nc1cc2cc[nH]c2c[nH+]1)c1cccnc1 ZINC001164173344 1133202884 /nfs/dbraw/zinc/20/28/84/1133202884.db2.gz FIQUMMHYKLFRND-CYBMUJFWSA-N 1 2 292.264 3.673 20 0 CHADLO COc1ccc(CCCNc2cc3cc(C)[nH]c3c[nH+]2)cc1 ZINC001164190201 1133204545 /nfs/dbraw/zinc/20/45/45/1133204545.db2.gz JGKINOMHFFKJJI-UHFFFAOYSA-N 1 2 295.386 3.925 20 0 CHADLO COc1ccc2[nH+]c(N3C[C@@H](C)C[C@H]3CF)cc(C)c2c1 ZINC001164261334 1133210958 /nfs/dbraw/zinc/21/09/58/1133210958.db2.gz XPSCUDJDPOJSOI-AAEUAGOBSA-N 1 2 288.366 3.736 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC[C@@](C)(F)[C@H](F)C2)[nH+]1 ZINC001164333420 1133213321 /nfs/dbraw/zinc/21/33/21/1133213321.db2.gz MLIXSVHYYAPGSK-DGCLKSJQSA-N 1 2 274.742 3.628 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CC(C)(C)C[C@@]1(C)CO ZINC001164359294 1133215993 /nfs/dbraw/zinc/21/59/93/1133215993.db2.gz XFQVHTPFECZWEI-SFHVURJKSA-N 1 2 284.403 3.531 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CC(C)(C)C[C@]1(C)CO ZINC001164359288 1133216136 /nfs/dbraw/zinc/21/61/36/1133216136.db2.gz XFQVHTPFECZWEI-GOSISDBHSA-N 1 2 284.403 3.531 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCCn2cccc2)c1 ZINC001164457944 1133220621 /nfs/dbraw/zinc/22/06/21/1133220621.db2.gz JYFJTKQWDVGQDV-UHFFFAOYSA-N 1 2 271.408 3.755 20 0 CHADLO CC(C)(C)c1ccc(N2CC(F)(F)C[C@]2(C)CF)[nH+]c1 ZINC001164568182 1133223559 /nfs/dbraw/zinc/22/35/59/1133223559.db2.gz QRJWKWBCCGPKHB-CQSZACIVSA-N 1 2 286.341 3.953 20 0 CHADLO CCOc1c(F)cccc1Nc1ccc(C)[nH+]c1C ZINC001203381354 1133225956 /nfs/dbraw/zinc/22/59/56/1133225956.db2.gz VCTHWIZNUUJLSI-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO COc1cc2cc[nH+]c(N3CCC34CCCC4)c2cc1F ZINC001165281532 1133236439 /nfs/dbraw/zinc/23/64/39/1133236439.db2.gz TXWWZKYZIOPFEC-UHFFFAOYSA-N 1 2 286.350 3.905 20 0 CHADLO Cc1cc(NC/C=C/c2ccccc2)[nH+]c2cc[nH]c21 ZINC001166154295 1133261588 /nfs/dbraw/zinc/26/15/88/1133261588.db2.gz VDWXLQARZSPMCY-VMPITWQZSA-N 1 2 263.344 3.997 20 0 CHADLO Cc1nc(N2C[C@@H]3C[C@H]2C[C@H]3F)cc(C2CCCCC2)[nH+]1 ZINC001166531100 1133267688 /nfs/dbraw/zinc/26/76/88/1133267688.db2.gz QYXRLSVCJNKFQD-SOUVJXGZSA-N 1 2 289.398 3.769 20 0 CHADLO Cc1nc(N(C)Cc2ccc(Cl)cc2)c2c([nH+]1)CCC2 ZINC001166676404 1133280253 /nfs/dbraw/zinc/28/02/53/1133280253.db2.gz HFGTVHMEMKZPRT-UHFFFAOYSA-N 1 2 287.794 3.564 20 0 CHADLO Cc1nc(N2CCC(c3ccccn3)CC2)cc(C(C)C)[nH+]1 ZINC001166671043 1133280671 /nfs/dbraw/zinc/28/06/71/1133280671.db2.gz ODTIJKBWJFTVQD-UHFFFAOYSA-N 1 2 296.418 3.687 20 0 CHADLO Cc1nc([C@H]2CCCCN2c2ccc(C(C)C)c[nH+]2)no1 ZINC001166715071 1133283721 /nfs/dbraw/zinc/28/37/21/1133283721.db2.gz MCGGCEKNAHEDHN-CQSZACIVSA-N 1 2 286.379 3.628 20 0 CHADLO Cc1cc(N2CCC[C@H](C(F)F)C2)nc(C(C)(C)C)[nH+]1 ZINC001166774160 1133286073 /nfs/dbraw/zinc/28/60/73/1133286073.db2.gz IAYLBURRFQOHSO-NSHDSACASA-N 1 2 283.366 3.564 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1coc2ccccc21 ZINC001203154865 1133303274 /nfs/dbraw/zinc/30/32/74/1133303274.db2.gz RWKRWNNDFPUTRT-UHFFFAOYSA-N 1 2 263.300 3.662 20 0 CHADLO Brc1ccc2c(Nc3cnoc3)cc[nH+]c2c1 ZINC001203234512 1133307537 /nfs/dbraw/zinc/30/75/37/1133307537.db2.gz RKVDJFROPJNCBV-UHFFFAOYSA-N 1 2 290.120 3.729 20 0 CHADLO Cc1ccc(Nc2ccc3nnc(C4CCC4)n3c2)c(C)[nH+]1 ZINC001203370275 1133319366 /nfs/dbraw/zinc/31/93/66/1133319366.db2.gz YVHCRUCQVWJZOG-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccccc2Cl)C[C@@H]1F ZINC001203377101 1133319932 /nfs/dbraw/zinc/31/99/32/1133319932.db2.gz BTLIHLAYQAUHSM-QWHCGFSZSA-N 1 2 259.727 3.612 20 0 CHADLO Cc1ccc(Nc2nc(F)c(F)c(Cl)c2F)c(C)[nH+]1 ZINC001203369609 1133319551 /nfs/dbraw/zinc/31/95/51/1133319551.db2.gz QWKOUIIXWBKZMQ-UHFFFAOYSA-N 1 2 287.672 3.908 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccccc2Cl)C[C@@H]1F ZINC001203377101 1133319936 /nfs/dbraw/zinc/31/99/36/1133319936.db2.gz BTLIHLAYQAUHSM-QWHCGFSZSA-N 1 2 259.727 3.612 20 0 CHADLO Cc1ccc(Nc2cccc3c2CCC3=O)c(C)[nH+]1 ZINC001203374971 1133319885 /nfs/dbraw/zinc/31/98/85/1133319885.db2.gz RAVLPRHOEQYSRK-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO CNc1ccc(Nc2cc(Cl)c(Cl)cc2O)c[nH+]1 ZINC001203457842 1133328953 /nfs/dbraw/zinc/32/89/53/1133328953.db2.gz BTTKDLFHZPUSDI-UHFFFAOYSA-N 1 2 284.146 3.879 20 0 CHADLO CNc1ccc(Nc2cccc3c(C)ccnc23)c[nH+]1 ZINC001203458332 1133328962 /nfs/dbraw/zinc/32/89/62/1133328962.db2.gz KRIJSDRQCHUQTP-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO CNc1ccc(Nc2cccc(C3CCC3)n2)c[nH+]1 ZINC001203453154 1133329319 /nfs/dbraw/zinc/32/93/19/1133329319.db2.gz WXILSBBZLJKGRP-UHFFFAOYSA-N 1 2 254.337 3.529 20 0 CHADLO CNc1ccc(Nc2cc(C)nc3c(F)cccc23)c[nH+]1 ZINC001203449170 1133329416 /nfs/dbraw/zinc/32/94/16/1133329416.db2.gz BJDSSQFDZTYZLO-UHFFFAOYSA-N 1 2 282.322 3.863 20 0 CHADLO F[C@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1cccc2c1OCC2 ZINC001203463879 1133330981 /nfs/dbraw/zinc/33/09/81/1133330981.db2.gz HZVPEXQCXXXVSP-ROUUACIJSA-N 1 2 297.373 3.737 20 0 CHADLO CNc1ccc(Nc2ccc(Cl)c(Cl)c2O)c[nH+]1 ZINC001203459261 1133331241 /nfs/dbraw/zinc/33/12/41/1133331241.db2.gz IGLLVDIKHUPRSF-UHFFFAOYSA-N 1 2 284.146 3.879 20 0 CHADLO Cc1cc(F)c(CNc2c[nH+]c(C)c(C)c2)c(F)c1 ZINC001203485541 1133333129 /nfs/dbraw/zinc/33/31/29/1133333129.db2.gz MMWUJCNNEPHQAJ-UHFFFAOYSA-N 1 2 262.303 3.897 20 0 CHADLO Cc1nocc1Nc1ccc(N(C)Cc2ccccc2)[nH+]c1 ZINC001203515000 1133333602 /nfs/dbraw/zinc/33/36/02/1133333602.db2.gz AQASXCOJVAUCKN-UHFFFAOYSA-N 1 2 294.358 3.758 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(C)cc(C)c(N)c2C)c1 ZINC001203663053 1133348821 /nfs/dbraw/zinc/34/88/21/1133348821.db2.gz GVMCWEMMTWOQCY-UHFFFAOYSA-N 1 2 255.365 3.950 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(C(F)(F)F)nc2)c1 ZINC001203654962 1133349307 /nfs/dbraw/zinc/34/93/07/1133349307.db2.gz VILNUNQXPNFZJX-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO COC(=O)c1c(C)csc1Nc1cc(C)c[nH+]c1C ZINC001203656267 1133349939 /nfs/dbraw/zinc/34/99/39/1133349939.db2.gz OVCPKRTUELJFAB-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO F[C@H]1COc2ccccc2[C@@H]1[NH2+]Cc1cccc2ccoc21 ZINC001203665908 1133350911 /nfs/dbraw/zinc/35/09/11/1133350911.db2.gz SZEOLZSWLMTINJ-RDJZCZTQSA-N 1 2 297.329 3.994 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(N)ccc(Cl)c2C)c1 ZINC001203663466 1133352034 /nfs/dbraw/zinc/35/20/34/1133352034.db2.gz KXFUFULGZXBBTE-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1ccccc1C(F)(F)F ZINC001203687137 1133354057 /nfs/dbraw/zinc/35/40/57/1133354057.db2.gz KNTAJXPWCZMCOF-MWLCHTKSSA-N 1 2 261.262 3.638 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1ccccc1C(F)(F)F ZINC001203687137 1133354062 /nfs/dbraw/zinc/35/40/62/1133354062.db2.gz KNTAJXPWCZMCOF-MWLCHTKSSA-N 1 2 261.262 3.638 20 0 CHADLO c1cc2c(cn1)onc2Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203718245 1133359392 /nfs/dbraw/zinc/35/93/92/1133359392.db2.gz XPKCYHRVFFJKDM-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO Clc1ccc(C[NH+]2CC(c3cccnc3)C2)cc1Cl ZINC001203801900 1133371031 /nfs/dbraw/zinc/37/10/31/1133371031.db2.gz GTEJDGLZATXPQM-UHFFFAOYSA-N 1 2 293.197 3.988 20 0 CHADLO CC(=O)[C@H]1CCC[N@H+](Cc2cc(Cl)cc(Cl)c2)C1 ZINC001203810826 1133372468 /nfs/dbraw/zinc/37/24/68/1133372468.db2.gz KFCJDXKABHZNCY-LBPRGKRZSA-N 1 2 286.202 3.794 20 0 CHADLO CC(=O)[C@H]1CCC[N@@H+](Cc2cc(Cl)cc(Cl)c2)C1 ZINC001203810826 1133372472 /nfs/dbraw/zinc/37/24/72/1133372472.db2.gz KFCJDXKABHZNCY-LBPRGKRZSA-N 1 2 286.202 3.794 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3ccc(F)cc3C2)cc1C ZINC001203899824 1133383401 /nfs/dbraw/zinc/38/34/01/1133383401.db2.gz YNCQUIWMPJJETK-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(C[N@H+]2Cc3ccc(F)cc3C2)cc1C ZINC001203899824 1133383408 /nfs/dbraw/zinc/38/34/08/1133383408.db2.gz YNCQUIWMPJJETK-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1ccc3ccccc3c1)C2 ZINC001204002510 1133398364 /nfs/dbraw/zinc/39/83/64/1133398364.db2.gz SRKXWDYMFPOSRX-UHFFFAOYSA-N 1 2 278.355 3.695 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1ccc3ccccc3c1)C2 ZINC001204002510 1133398366 /nfs/dbraw/zinc/39/83/66/1133398366.db2.gz SRKXWDYMFPOSRX-UHFFFAOYSA-N 1 2 278.355 3.695 20 0 CHADLO Fc1ccc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)cc1F ZINC001204226637 1133420492 /nfs/dbraw/zinc/42/04/92/1133420492.db2.gz IFPQQGUMJDWNQS-KRWDZBQOSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc(C[N@H+]2CCOC[C@H]2C2CCCCC2)cc1F ZINC001204226637 1133420496 /nfs/dbraw/zinc/42/04/96/1133420496.db2.gz IFPQQGUMJDWNQS-KRWDZBQOSA-N 1 2 295.373 3.746 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cc(F)cc(F)c1)C2 ZINC001204227365 1133421050 /nfs/dbraw/zinc/42/10/50/1133421050.db2.gz FBVPOFPZBKOKPJ-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cc(F)cc(F)c1)C2 ZINC001204227365 1133421051 /nfs/dbraw/zinc/42/10/51/1133421051.db2.gz FBVPOFPZBKOKPJ-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO CCN(C)c1ccc(Nc2c3cc[nH]c3ccc2F)c[nH+]1 ZINC001204284585 1133428269 /nfs/dbraw/zinc/42/82/69/1133428269.db2.gz SPDCHRUQDANDTK-UHFFFAOYSA-N 1 2 284.338 3.902 20 0 CHADLO CC1(C)C[NH+](Cc2ccc(F)c(C(F)(F)F)c2)C1 ZINC001204482832 1133452007 /nfs/dbraw/zinc/45/20/07/1133452007.db2.gz NINFALPAECTYTN-UHFFFAOYSA-N 1 2 261.262 3.686 20 0 CHADLO CSc1ccccc1Nc1ccn2cc[nH+]c2c1 ZINC001204977252 1133511768 /nfs/dbraw/zinc/51/17/68/1133511768.db2.gz DENATFGJVOIUOA-UHFFFAOYSA-N 1 2 255.346 3.800 20 0 CHADLO CCOc1c(F)cccc1Nc1ccn2cc[nH+]c2c1 ZINC001204998076 1133515208 /nfs/dbraw/zinc/51/52/08/1133515208.db2.gz SOLOAZQHUGHNSG-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CC1C[NH+](Cc2cccc(C(F)(F)F)c2Cl)C1 ZINC001205031171 1133517927 /nfs/dbraw/zinc/51/79/27/1133517927.db2.gz SZGQKPHBDKDVGO-UHFFFAOYSA-N 1 2 263.690 3.811 20 0 CHADLO Fc1cc(F)c(-c2ccc3[nH+]ccn3c2)c(F)c1F ZINC001205173174 1133540779 /nfs/dbraw/zinc/54/07/79/1133540779.db2.gz CUDJYFWCVGVDJS-UHFFFAOYSA-N 1 2 266.197 3.558 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cccc3[nH]ccc32)CC1(F)F ZINC001205255309 1133550170 /nfs/dbraw/zinc/55/01/70/1133550170.db2.gz BLOJIOMNXPYRMH-AWEZNQCLSA-N 1 2 282.309 3.737 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cccc3[nH]ccc32)CC1(F)F ZINC001205255309 1133550174 /nfs/dbraw/zinc/55/01/74/1133550174.db2.gz BLOJIOMNXPYRMH-AWEZNQCLSA-N 1 2 282.309 3.737 20 0 CHADLO COC1CCC(C[N@H+](C)c2ccc(C)cc2C)CC1 ZINC001205328270 1133562799 /nfs/dbraw/zinc/56/27/99/1133562799.db2.gz WWFMNAHIMZRLED-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO COC1CCC(C[N@@H+](C)c2ccc(C)cc2C)CC1 ZINC001205328270 1133562804 /nfs/dbraw/zinc/56/28/04/1133562804.db2.gz WWFMNAHIMZRLED-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO FC1(F)CSC2(C[NH+](Cc3sccc3Cl)C2)C1 ZINC001205347159 1133565857 /nfs/dbraw/zinc/56/58/57/1133565857.db2.gz HNWSBBHJCMNQIP-UHFFFAOYSA-N 1 2 295.807 3.728 20 0 CHADLO COCOc1cc(C)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001205468383 1133579058 /nfs/dbraw/zinc/57/90/58/1133579058.db2.gz IKZWDZCMNNPIJW-UHFFFAOYSA-N 1 2 297.358 3.608 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)s2)n(C)n1 ZINC001205910466 1133629760 /nfs/dbraw/zinc/62/97/60/1133629760.db2.gz BJVUDYOLUAMIDU-LBPRGKRZSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccc(Cl)s2)n(C)n1 ZINC001205910466 1133629763 /nfs/dbraw/zinc/62/97/63/1133629763.db2.gz BJVUDYOLUAMIDU-LBPRGKRZSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1c[nH]c2ncc(Nc3cccc(-n4cc[nH+]c4)c3)cc12 ZINC001206042795 1133647605 /nfs/dbraw/zinc/64/76/05/1133647605.db2.gz HUKHQNCEOISKJO-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO COc1cccc([C@@H]2CCCC[N@@H+]2Cc2coc(C)n2)c1 ZINC001206067639 1133653208 /nfs/dbraw/zinc/65/32/08/1133653208.db2.gz GRCANJSATXBKBL-KRWDZBQOSA-N 1 2 286.375 3.719 20 0 CHADLO COc1cccc([C@@H]2CCCC[N@H+]2Cc2coc(C)n2)c1 ZINC001206067639 1133653209 /nfs/dbraw/zinc/65/32/09/1133653209.db2.gz GRCANJSATXBKBL-KRWDZBQOSA-N 1 2 286.375 3.719 20 0 CHADLO Oc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c(F)c1 ZINC001206088575 1133655976 /nfs/dbraw/zinc/65/59/76/1133655976.db2.gz OCTYTYFWMQPZFH-UHFFFAOYSA-N 1 2 272.254 3.523 20 0 CHADLO Fc1cc(-c2cccc3[nH+]ccn32)cc(N2CCCC2)c1 ZINC001206113686 1133659074 /nfs/dbraw/zinc/65/90/74/1133659074.db2.gz GKFOGTMWMTYBEE-UHFFFAOYSA-N 1 2 281.334 3.741 20 0 CHADLO C[C@H]1CC[C@@H](Nc2c[nH+]cc3c2CCCC3)CS1 ZINC001206637010 1133730298 /nfs/dbraw/zinc/73/02/98/1133730298.db2.gz UMTNJIZWAMMSGU-WCQYABFASA-N 1 2 262.422 3.656 20 0 CHADLO Cc1nc(C[N@@H+]2CCCC[C@H]2c2cccnc2)cs1 ZINC001206802007 1133751380 /nfs/dbraw/zinc/75/13/80/1133751380.db2.gz UNOZDFNFOMOQRU-HNNXBMFYSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1nc(C[N@H+]2CCCC[C@H]2c2cccnc2)cs1 ZINC001206802007 1133751384 /nfs/dbraw/zinc/75/13/84/1133751384.db2.gz UNOZDFNFOMOQRU-HNNXBMFYSA-N 1 2 273.405 3.574 20 0 CHADLO C[N@H+](CCc1ccc(Cl)cc1)Cc1c(F)cncc1F ZINC001206863237 1133757570 /nfs/dbraw/zinc/75/75/70/1133757570.db2.gz YWUZWQRMXMLSLQ-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1ccc(Cl)cc1)Cc1c(F)cncc1F ZINC001206863237 1133757574 /nfs/dbraw/zinc/75/75/74/1133757574.db2.gz YWUZWQRMXMLSLQ-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@H+](Cc1ccccc1C(F)(F)F)Cc1ncccc1F ZINC001207034311 1133761719 /nfs/dbraw/zinc/76/17/19/1133761719.db2.gz OSMMXWKCTAZYCF-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccccc1C(F)(F)F)Cc1ncccc1F ZINC001207034311 1133761721 /nfs/dbraw/zinc/76/17/21/1133761721.db2.gz OSMMXWKCTAZYCF-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO CCc1nccc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)n1 ZINC001207089050 1133766237 /nfs/dbraw/zinc/76/62/37/1133766237.db2.gz CHXDQXPRWWXMJI-LBPRGKRZSA-N 1 2 289.810 3.885 20 0 CHADLO CCc1nccc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)n1 ZINC001207089050 1133766240 /nfs/dbraw/zinc/76/62/40/1133766240.db2.gz CHXDQXPRWWXMJI-LBPRGKRZSA-N 1 2 289.810 3.885 20 0 CHADLO O=C1CC[N@@H+](Cc2cccc(C(F)F)c2)[C@H]2CCCC[C@@H]12 ZINC001207127120 1133771160 /nfs/dbraw/zinc/77/11/60/1133771160.db2.gz YKMNFCIJMNHZIG-CABCVRRESA-N 1 2 293.357 3.958 20 0 CHADLO O=C1CC[N@H+](Cc2cccc(C(F)F)c2)[C@H]2CCCC[C@@H]12 ZINC001207127120 1133771165 /nfs/dbraw/zinc/77/11/65/1133771165.db2.gz YKMNFCIJMNHZIG-CABCVRRESA-N 1 2 293.357 3.958 20 0 CHADLO CCn1ccnc1C[N@H+](Cc1cccc(C)c1C)C1CC1 ZINC001207147825 1133772589 /nfs/dbraw/zinc/77/25/89/1133772589.db2.gz LYXBMQPQDLQQQI-UHFFFAOYSA-N 1 2 283.419 3.684 20 0 CHADLO CCn1ccnc1C[N@@H+](Cc1cccc(C)c1C)C1CC1 ZINC001207147825 1133772597 /nfs/dbraw/zinc/77/25/97/1133772597.db2.gz LYXBMQPQDLQQQI-UHFFFAOYSA-N 1 2 283.419 3.684 20 0 CHADLO CCC[C@H](CNc1[nH+]cccc1CSCC)OC ZINC001207283036 1133786551 /nfs/dbraw/zinc/78/65/51/1133786551.db2.gz MEJGMYOYBQDTFI-CYBMUJFWSA-N 1 2 268.426 3.562 20 0 CHADLO Cc1cc(N[C@@H]2Cc3ccc(Cl)cc3C2)c[nH+]c1C ZINC001207325420 1133792249 /nfs/dbraw/zinc/79/22/49/1133792249.db2.gz FYKCCXOGACDSEL-OAHLLOKOSA-N 1 2 272.779 3.931 20 0 CHADLO CC(C)c1ccc(CC[N@H+](C)CC(=O)OC(C)(C)C)cc1 ZINC001207520057 1133813834 /nfs/dbraw/zinc/81/38/34/1133813834.db2.gz ZKRASRFGGDDTSE-UHFFFAOYSA-N 1 2 291.435 3.626 20 0 CHADLO CC(C)c1ccc(CC[N@@H+](C)CC(=O)OC(C)(C)C)cc1 ZINC001207520057 1133813841 /nfs/dbraw/zinc/81/38/41/1133813841.db2.gz ZKRASRFGGDDTSE-UHFFFAOYSA-N 1 2 291.435 3.626 20 0 CHADLO Cc1cc(C)c2cc(N[C@H](C)CCn3cccn3)ccc2[nH+]1 ZINC001207554426 1133819482 /nfs/dbraw/zinc/81/94/82/1133819482.db2.gz VRKTTXYKWBJWER-CQSZACIVSA-N 1 2 294.402 3.939 20 0 CHADLO c1cncc([C@@H]2COCC[N@H+]2CCCC2CCCCC2)c1 ZINC001207609360 1133830266 /nfs/dbraw/zinc/83/02/66/1133830266.db2.gz XBJGAUPOMAKMMN-SFHVURJKSA-N 1 2 288.435 3.815 20 0 CHADLO c1cncc([C@@H]2COCC[N@@H+]2CCCC2CCCCC2)c1 ZINC001207609360 1133830272 /nfs/dbraw/zinc/83/02/72/1133830272.db2.gz XBJGAUPOMAKMMN-SFHVURJKSA-N 1 2 288.435 3.815 20 0 CHADLO c1cncc([C@H]2COCC[N@H+]2CCCC2CCCCC2)c1 ZINC001207609359 1133831027 /nfs/dbraw/zinc/83/10/27/1133831027.db2.gz XBJGAUPOMAKMMN-GOSISDBHSA-N 1 2 288.435 3.815 20 0 CHADLO c1cncc([C@H]2COCC[N@@H+]2CCCC2CCCCC2)c1 ZINC001207609359 1133831030 /nfs/dbraw/zinc/83/10/30/1133831030.db2.gz XBJGAUPOMAKMMN-GOSISDBHSA-N 1 2 288.435 3.815 20 0 CHADLO FC[C@@H]1CC[N@H+](CCCCc2ccccc2)CC1(F)F ZINC001207608037 1133831395 /nfs/dbraw/zinc/83/13/95/1133831395.db2.gz BTESHJKLNNLTNA-HNNXBMFYSA-N 1 2 285.353 3.936 20 0 CHADLO FC[C@@H]1CC[N@@H+](CCCCc2ccccc2)CC1(F)F ZINC001207608037 1133831402 /nfs/dbraw/zinc/83/14/02/1133831402.db2.gz BTESHJKLNNLTNA-HNNXBMFYSA-N 1 2 285.353 3.936 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](CCc1c(F)cccc1F)C2 ZINC001208117882 1133878139 /nfs/dbraw/zinc/87/81/39/1133878139.db2.gz GIOHMOKYSVWKCY-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)C[N@H+](CCc1c(F)cccc1F)C2 ZINC001208117882 1133878144 /nfs/dbraw/zinc/87/81/44/1133878144.db2.gz GIOHMOKYSVWKCY-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CC/C=C\CC[N@@H+]1Cc2cnc(-c3ccc(F)cc3)nc2C1 ZINC001208733896 1133937213 /nfs/dbraw/zinc/93/72/13/1133937213.db2.gz LDUKDOSSXPGZIG-ARJAWSKDSA-N 1 2 297.377 3.955 20 0 CHADLO CC/C=C\CC[N@H+]1Cc2cnc(-c3ccc(F)cc3)nc2C1 ZINC001208733896 1133937219 /nfs/dbraw/zinc/93/72/19/1133937219.db2.gz LDUKDOSSXPGZIG-ARJAWSKDSA-N 1 2 297.377 3.955 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(F)c(OC)c2Cl)c1 ZINC001209800660 1134066990 /nfs/dbraw/zinc/06/69/90/1134066990.db2.gz PZURVCYNBPLRDE-UHFFFAOYSA-N 1 2 282.702 3.635 20 0 CHADLO Nc1ccc(N(c2ccc3[nH]c[nH+]c3c2)C2CCCC2)nc1 ZINC001209848756 1134084838 /nfs/dbraw/zinc/08/48/38/1134084838.db2.gz RGSCTVCNYDWZRD-UHFFFAOYSA-N 1 2 293.374 3.621 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc2[nH]nc(N)c2c1 ZINC001209859027 1134086866 /nfs/dbraw/zinc/08/68/66/1134086866.db2.gz RHMDWONYEXJNFD-UHFFFAOYSA-N 1 2 281.363 3.716 20 0 CHADLO CCCOc1ccc(Nc2cccc3[nH+]ccn32)cc1 ZINC001209864858 1134088839 /nfs/dbraw/zinc/08/88/39/1134088839.db2.gz PMROYVHERZJNNL-UHFFFAOYSA-N 1 2 267.332 3.867 20 0 CHADLO COc1cc(C)c(Nc2ccc[nH+]c2N2CCCCC2)cn1 ZINC001209867335 1134090265 /nfs/dbraw/zinc/09/02/65/1134090265.db2.gz BLZURXHCMIBYGN-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO CO[C@H](C)c1cccc(Nc2cccn3cc[nH+]c23)c1 ZINC001209948113 1134107312 /nfs/dbraw/zinc/10/73/12/1134107312.db2.gz WKJROAGTKRFPAI-GFCCVEGCSA-N 1 2 267.332 3.785 20 0 CHADLO COc1cc(Nc2ccc(O)c(C)c2)[nH+]cc1C(F)(F)F ZINC001209976886 1134114515 /nfs/dbraw/zinc/11/45/15/1134114515.db2.gz ZZHVBZDHASJMIL-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO COc1cc(Nc2ccc(O)c(C(F)(F)F)c2)cc(C)[nH+]1 ZINC001209984821 1134117123 /nfs/dbraw/zinc/11/71/23/1134117123.db2.gz QWZNSVFBKRJJJJ-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO CC(=O)Nc1cccc(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210040833 1134131248 /nfs/dbraw/zinc/13/12/48/1134131248.db2.gz CWPPBQSCPFORRY-UHFFFAOYSA-N 1 2 283.375 3.763 20 0 CHADLO COc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1 ZINC001210041288 1134131378 /nfs/dbraw/zinc/13/13/78/1134131378.db2.gz KGURGSRWBLJLLO-UHFFFAOYSA-N 1 2 256.349 3.813 20 0 CHADLO COc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1F ZINC001210041284 1134131425 /nfs/dbraw/zinc/13/14/25/1134131425.db2.gz KAANVQVYQYYKNO-UHFFFAOYSA-N 1 2 274.339 3.952 20 0 CHADLO COC(=O)c1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1 ZINC001210041305 1134131495 /nfs/dbraw/zinc/13/14/95/1134131495.db2.gz KVWYVLRDZMMOGP-UHFFFAOYSA-N 1 2 284.359 3.591 20 0 CHADLO Cc1cc(Nc2cccc3nn(C)cc32)ccc1[NH+](C)C ZINC001210043040 1134132217 /nfs/dbraw/zinc/13/22/17/1134132217.db2.gz JYWQJRFPUAXDGM-UHFFFAOYSA-N 1 2 280.375 3.691 20 0 CHADLO COc1ccc2ccccc2c1Nc1[nH+]cc(C)cc1N ZINC001210168277 1134159081 /nfs/dbraw/zinc/15/90/81/1134159081.db2.gz HVNQDHVZIYOVLB-UHFFFAOYSA-N 1 2 279.343 3.878 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(Cl)c2O)c[nH+]1 ZINC001210221198 1134170274 /nfs/dbraw/zinc/17/02/74/1134170274.db2.gz XBFSCSFPSZCIIQ-UHFFFAOYSA-N 1 2 277.755 3.640 20 0 CHADLO Nc1ccc(N(c2cccc3cc[nH+]cc32)C2CC2)nc1 ZINC001210225174 1134173038 /nfs/dbraw/zinc/17/30/38/1134173038.db2.gz DZURXOQFBDFOQE-UHFFFAOYSA-N 1 2 276.343 3.513 20 0 CHADLO Oc1cc(F)c(F)cc1Nc1cccc2cc[nH+]cc21 ZINC001210230654 1134174240 /nfs/dbraw/zinc/17/42/40/1134174240.db2.gz KHTUJXPUFMUSNM-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO Cc1ccc(Nc2cccc(C3CCC3)[nH+]2)cc1CO ZINC001210245439 1134177646 /nfs/dbraw/zinc/17/76/46/1134177646.db2.gz QOXDJXJUPDXESE-UHFFFAOYSA-N 1 2 268.360 3.893 20 0 CHADLO Cc1[nH+]cc(Nc2cccc3c(Cl)nccc23)cc1N ZINC001210290546 1134186688 /nfs/dbraw/zinc/18/66/88/1134186688.db2.gz NSYUNKXVOUZTHR-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)c(N)c2)c(C)cc1Cl ZINC001210288215 1134187029 /nfs/dbraw/zinc/18/70/29/1134187029.db2.gz NSPGBSZULBFZEW-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3c(c2)CCC(C)(C)O3)cc1N ZINC001210293222 1134187728 /nfs/dbraw/zinc/18/77/28/1134187728.db2.gz HMPDIWNMNZJCKZ-UHFFFAOYSA-N 1 2 283.375 3.819 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3sc(Cl)nc3c2)cc1N ZINC001210290877 1134187932 /nfs/dbraw/zinc/18/79/32/1134187932.db2.gz SLEVPMDXCPALLA-UHFFFAOYSA-N 1 2 290.779 3.979 20 0 CHADLO Cc1[nH+]cc(Nc2cccc3c2CCCC3)cc1N ZINC001210291212 1134187983 /nfs/dbraw/zinc/18/79/83/1134187983.db2.gz URWCEHAMXXPDDQ-UHFFFAOYSA-N 1 2 253.349 3.595 20 0 CHADLO COc1c(F)cccc1Nc1cccn2cc(C)[nH+]c12 ZINC001210404207 1134208710 /nfs/dbraw/zinc/20/87/10/1134208710.db2.gz ATDYJDIHTHATAN-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO COc1c(F)cccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001210408369 1134210213 /nfs/dbraw/zinc/21/02/13/1134210213.db2.gz VNVILLUWNYJKPN-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Fc1cccc(Nc2cccc3[nH+]ccn32)c1Cl ZINC001210539494 1134242594 /nfs/dbraw/zinc/24/25/94/1134242594.db2.gz BBBBELIIXZJNMQ-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)c(F)cc1OC ZINC001210545650 1134244369 /nfs/dbraw/zinc/24/43/69/1134244369.db2.gz AROHZZMTNCGJHM-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO Cc1oncc1Nc1cc[nH+]c2cc(Cl)ccc12 ZINC001210578894 1134254554 /nfs/dbraw/zinc/25/45/54/1134254554.db2.gz XBBYERQWBPIAEH-UHFFFAOYSA-N 1 2 259.696 3.928 20 0 CHADLO CCc1cccc(Nc2ccc(C(C)(C)O)cc2)[nH+]1 ZINC001210616145 1134260631 /nfs/dbraw/zinc/26/06/31/1134260631.db2.gz DKHSKXRDLUVKRH-UHFFFAOYSA-N 1 2 256.349 3.615 20 0 CHADLO COc1cc(Nc2cnc(Cl)c(Cl)c2)cc(C)[nH+]1 ZINC001210774608 1134293600 /nfs/dbraw/zinc/29/36/00/1134293600.db2.gz OXNFPCQBIBFDCA-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc(Nc2cc(-c3ccccc3)nn2C)cc(C)[nH+]1 ZINC001210776335 1134294173 /nfs/dbraw/zinc/29/41/73/1134294173.db2.gz NWSDVGOQGNZSLC-UHFFFAOYSA-N 1 2 294.358 3.543 20 0 CHADLO COc1cc(Nc2ccc(C(C)=O)cc2Cl)cc(C)[nH+]1 ZINC001210783623 1134294901 /nfs/dbraw/zinc/29/49/01/1134294901.db2.gz HAOYZROMEZVCFB-UHFFFAOYSA-N 1 2 290.750 3.998 20 0 CHADLO COc1cc(Nc2cnc3c(F)cccc3c2)cc(C)[nH+]1 ZINC001210779579 1134294960 /nfs/dbraw/zinc/29/49/60/1134294960.db2.gz SZLBTPZPNAWICI-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO COc1cc(Nc2ccnn2-c2cccc(C)c2)cc(C)[nH+]1 ZINC001210779456 1134295315 /nfs/dbraw/zinc/29/53/15/1134295315.db2.gz QADQTONDQZJEPV-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COc1cc(Nc2ccc(C(C)=O)c(Cl)c2)cc(C)[nH+]1 ZINC001210784950 1134295611 /nfs/dbraw/zinc/29/56/11/1134295611.db2.gz WDTOSWCXLPLLDD-UHFFFAOYSA-N 1 2 290.750 3.998 20 0 CHADLO COc1cc(Nc2cncc(C(C)(C)C)c2)cc(C)[nH+]1 ZINC001210780661 1134295653 /nfs/dbraw/zinc/29/56/53/1134295653.db2.gz ZFRDQSPQXLFNEG-UHFFFAOYSA-N 1 2 271.364 3.835 20 0 CHADLO Cc1ccc(Nc2cccc(OC3CC3)c2)c(C)[nH+]1 ZINC001210859444 1134313969 /nfs/dbraw/zinc/31/39/69/1134313969.db2.gz USXNPFUUFPROEE-UHFFFAOYSA-N 1 2 254.333 3.983 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1cc(F)c(N)c(F)c1 ZINC001210907251 1134324640 /nfs/dbraw/zinc/32/46/40/1134324640.db2.gz HNMLRKIEKURCAA-UHFFFAOYSA-N 1 2 263.291 3.809 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2c(c1)C(=O)CCC2 ZINC001210930574 1134329897 /nfs/dbraw/zinc/32/98/97/1134329897.db2.gz HFQDZIYASNXOQZ-UHFFFAOYSA-N 1 2 282.343 3.743 20 0 CHADLO Sc1cccc(Nc2c[nH+]ccc2N2CCCC2)c1 ZINC001210946810 1134335244 /nfs/dbraw/zinc/33/52/44/1134335244.db2.gz VWHAJMSRCQRTJB-UHFFFAOYSA-N 1 2 271.389 3.714 20 0 CHADLO COC(=O)c1cc(C)c(C)c(Nc2ccc(C)c[nH+]2)c1 ZINC001211012938 1134348812 /nfs/dbraw/zinc/34/88/12/1134348812.db2.gz OXRNOZCPYHUWSN-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO COC(=O)c1cc(Nc2ccc(C)c[nH+]2)c(C)cc1C ZINC001211012574 1134348893 /nfs/dbraw/zinc/34/88/93/1134348893.db2.gz JYQANGULVLGFLP-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO CCOC(=O)c1ccc(C)c(Nc2ccc(C)c[nH+]2)c1 ZINC001211011839 1134349640 /nfs/dbraw/zinc/34/96/40/1134349640.db2.gz FARCULZOBUEBEZ-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO COCCCOc1ccc(Nc2ccc(C)c[nH+]2)cc1F ZINC001211014047 1134350520 /nfs/dbraw/zinc/35/05/20/1134350520.db2.gz SZXYEBQUEXWQBV-UHFFFAOYSA-N 1 2 290.338 3.688 20 0 CHADLO CCOc1ccc(F)c(Nc2[nH+]c3ccccc3n2C)c1 ZINC001211051345 1134357159 /nfs/dbraw/zinc/35/71/59/1134357159.db2.gz YLHFXWZHXYJNQS-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnn4ccccc34)ccc12 ZINC001211095421 1134367802 /nfs/dbraw/zinc/36/78/02/1134367802.db2.gz VRXCNUCKKXNGNM-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnn3ccccc23)cc1 ZINC001211099924 1134368327 /nfs/dbraw/zinc/36/83/27/1134368327.db2.gz ZYIWUGSTZMDOJZ-UHFFFAOYSA-N 1 2 266.348 3.534 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnn3ccccc23)cc1 ZINC001211099924 1134368334 /nfs/dbraw/zinc/36/83/34/1134368334.db2.gz ZYIWUGSTZMDOJZ-UHFFFAOYSA-N 1 2 266.348 3.534 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(SC)c(F)c1 ZINC001211108458 1134370656 /nfs/dbraw/zinc/37/06/56/1134370656.db2.gz HRBSHTWLVVERBP-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO COc1cc(C)ccc1Nc1cc[nH+]c(SC)c1 ZINC001211115308 1134371826 /nfs/dbraw/zinc/37/18/26/1134371826.db2.gz TUVDWUKCSPUJLH-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4c(c3)COC4)cc2)[nH]1 ZINC001213087796 1134424046 /nfs/dbraw/zinc/42/40/46/1134424046.db2.gz ARWXNPMUBPHBPP-UHFFFAOYSA-N 1 2 277.327 3.851 20 0 CHADLO COc1cncc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1C ZINC001213086098 1134424185 /nfs/dbraw/zinc/42/41/85/1134424185.db2.gz GJDQXWAHAGHNRR-UHFFFAOYSA-N 1 2 280.331 3.532 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4c(c3)OCCO4)cc2)[nH]1 ZINC001213088379 1134424222 /nfs/dbraw/zinc/42/42/22/1134424222.db2.gz ZORZFAOERAJFDE-UHFFFAOYSA-N 1 2 293.326 3.592 20 0 CHADLO COc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001213087800 1134424808 /nfs/dbraw/zinc/42/48/08/1134424808.db2.gz AWTULGPTNBFRLQ-UHFFFAOYSA-N 1 2 265.316 3.829 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4c3OCO4)cc2)[nH]1 ZINC001213087793 1134424890 /nfs/dbraw/zinc/42/48/90/1134424890.db2.gz AQDCYHZPUNUGOL-UHFFFAOYSA-N 1 2 279.299 3.549 20 0 CHADLO Cc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1O ZINC001213089916 1134425742 /nfs/dbraw/zinc/42/57/42/1134425742.db2.gz KYFDIMPRERJBMV-UHFFFAOYSA-N 1 2 265.316 3.834 20 0 CHADLO Oc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(F)cc1F ZINC001213089191 1134425829 /nfs/dbraw/zinc/42/58/29/1134425829.db2.gz YHTIYRGSCXRLEY-UHFFFAOYSA-N 1 2 287.269 3.804 20 0 CHADLO COC(=O)c1ccccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090041 1134425988 /nfs/dbraw/zinc/42/59/88/1134425988.db2.gz QYAXDSJDDPVIRB-UHFFFAOYSA-N 1 2 293.326 3.607 20 0 CHADLO COC(=O)c1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001213088945 1134426013 /nfs/dbraw/zinc/42/60/13/1134426013.db2.gz LUCLLPBMMIQMHJ-UHFFFAOYSA-N 1 2 293.326 3.607 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213091875 1134426036 /nfs/dbraw/zinc/42/60/36/1134426036.db2.gz PPSSBMMAYGDKNI-UHFFFAOYSA-N 1 2 288.354 3.911 20 0 CHADLO COc1ccc(F)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089848 1134426049 /nfs/dbraw/zinc/42/60/49/1134426049.db2.gz HTVITYBMPAJFLO-UHFFFAOYSA-N 1 2 283.306 3.968 20 0 CHADLO Cc1ccc(Nc2ccc(OCC(F)F)cc2)[nH+]c1 ZINC001213298548 1134439873 /nfs/dbraw/zinc/43/98/73/1134439873.db2.gz FHUJPEXOZQMYJV-UHFFFAOYSA-N 1 2 264.275 3.778 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(N)cc(Br)c2)c1C ZINC001213534266 1134463030 /nfs/dbraw/zinc/46/30/30/1134463030.db2.gz URFWHHAPQVXYPN-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO CCOC(=O)c1ccncc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491265 1134453980 /nfs/dbraw/zinc/45/39/80/1134453980.db2.gz FPFUEHIGNNKDQF-UHFFFAOYSA-N 1 2 297.358 3.583 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(F)c(CO)c1 ZINC001213499624 1134454779 /nfs/dbraw/zinc/45/47/79/1134454779.db2.gz SIRRPXWMVDYQQC-UHFFFAOYSA-N 1 2 272.323 3.642 20 0 CHADLO Cc1ncc(C2CC2)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001213499383 1134454884 /nfs/dbraw/zinc/45/48/84/1134454884.db2.gz IKVSNVKVYSSSPA-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(-n2cncn2)c1 ZINC001213499530 1134454932 /nfs/dbraw/zinc/45/49/32/1134454932.db2.gz NIEZFNUPFMVYAT-UHFFFAOYSA-N 1 2 291.358 3.592 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2nc[nH]c(=O)c2c1 ZINC001213499597 1134454961 /nfs/dbraw/zinc/45/49/61/1134454961.db2.gz REBVJMOYTMAKPS-UHFFFAOYSA-N 1 2 292.342 3.660 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(N)cc(F)cc1F ZINC001213499528 1134455213 /nfs/dbraw/zinc/45/52/13/1134455213.db2.gz NHEUJEPGEZSABQ-UHFFFAOYSA-N 1 2 275.302 3.871 20 0 CHADLO COC(=O)c1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1O ZINC001213499477 1134455676 /nfs/dbraw/zinc/45/56/76/1134455676.db2.gz KWOGBWLMYZSXTR-UHFFFAOYSA-N 1 2 298.342 3.503 20 0 CHADLO Cc1ncc(C2CC2)cc1Nc1ccn2cc[nH+]c2c1 ZINC001213497549 1134455690 /nfs/dbraw/zinc/45/56/90/1134455690.db2.gz UZWDEIGAPPYGOU-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(F)c(F)c1O ZINC001213501431 1134456388 /nfs/dbraw/zinc/45/63/88/1134456388.db2.gz YGPFPJOOSPZWJO-UHFFFAOYSA-N 1 2 276.286 3.995 20 0 CHADLO Cc1cn(-c2ccc(Nc3c[nH+]c(C)cc3C)cc2)cn1 ZINC001213513340 1134457423 /nfs/dbraw/zinc/45/74/23/1134457423.db2.gz DIJNROWSEOFDAG-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1cc(C)c(Nc2cnccc2OC(F)(F)F)c[nH+]1 ZINC001213510924 1134457475 /nfs/dbraw/zinc/45/74/75/1134457475.db2.gz MNCHPAQYACIKRR-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1cc(C)c(Nc2cccnc2Br)c[nH+]1 ZINC001213505292 1134457552 /nfs/dbraw/zinc/45/75/52/1134457552.db2.gz AVLHSBAIVASMNG-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO COC(=O)c1sc(C)cc1Nc1c[nH+]c(C)cc1C ZINC001213505604 1134457587 /nfs/dbraw/zinc/45/75/87/1134457587.db2.gz JPWAWEWZRLMKRD-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1nc(Cl)c(Nc2c[nH+]c(C)cc2C)c(Cl)n1 ZINC001213507750 1134457782 /nfs/dbraw/zinc/45/77/82/1134457782.db2.gz DYPBCAUTJGTNBP-UHFFFAOYSA-N 1 2 283.162 3.847 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1-n1ccc2c1c(N)ccc2F ZINC001213503761 1134457848 /nfs/dbraw/zinc/45/78/48/1134457848.db2.gz WRDGCPGMBKSMRB-UHFFFAOYSA-N 1 2 281.334 3.933 20 0 CHADLO Cc1cnc(Nc2c[nH+]c(C)cc2C)c(Br)c1 ZINC001213503806 1134457911 /nfs/dbraw/zinc/45/79/11/1134457911.db2.gz YXJLWORVYATAAV-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2cnc3ccc(O)cc3c2)c[nH+]1 ZINC001213508612 1134458032 /nfs/dbraw/zinc/45/80/32/1134458032.db2.gz XNTNCYBSNXZOKA-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)OC(C)(C)C(=O)N3)c[nH+]1 ZINC001213515876 1134458995 /nfs/dbraw/zinc/45/89/95/1134458995.db2.gz QISZQVWKWRLFCS-UHFFFAOYSA-N 1 2 297.358 3.552 20 0 CHADLO Cc1cc(C)c(Nc2ccccc2OC2CCOCC2)c[nH+]1 ZINC001213518317 1134459101 /nfs/dbraw/zinc/45/91/01/1134459101.db2.gz HMCJTVABAKDPQZ-UHFFFAOYSA-N 1 2 298.386 4.000 20 0 CHADLO COC(=O)c1ccc(F)c(F)c1Nc1c[nH+]c(C)cc1C ZINC001213515753 1134459106 /nfs/dbraw/zinc/45/91/06/1134459106.db2.gz IQMRDPLFUWOZLJ-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO COc1cc(C(C)=O)ccc1Nc1c[nH+]c(C)cc1C ZINC001213516017 1134459250 /nfs/dbraw/zinc/45/92/50/1134459250.db2.gz YRCUYVDBWOIJPV-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnn2Cc2ccc(F)cc2)c1C ZINC001213523118 1134460379 /nfs/dbraw/zinc/46/03/79/1134460379.db2.gz LOHJZWNPAFXJHN-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO COc1cccc2cc(Nc3c[nH+]cc(C)c3C)cnc21 ZINC001213527496 1134460425 /nfs/dbraw/zinc/46/04/25/1134460425.db2.gz OSBJVWGWVFSNQT-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(OC(C)C)nc2)c1C ZINC001213527605 1134460622 /nfs/dbraw/zinc/46/06/22/1134460622.db2.gz VJEWGKNZYLHKGR-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO Cc1cn(-c2ccc(Nc3c[nH+]cc(C)c3C)cc2)cn1 ZINC001213529114 1134461225 /nfs/dbraw/zinc/46/12/25/1134461225.db2.gz WFKHYWDJBOWZNY-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO COC(=O)c1ccc(Nc2c[nH+]cc(C)c2C)cc1Cl ZINC001213529133 1134461403 /nfs/dbraw/zinc/46/14/03/1134461403.db2.gz WOOCTXZYLRVWGU-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1c[nH+]cc(Nc2c(F)ccc(F)c2F)c1C ZINC001213530603 1134461449 /nfs/dbraw/zinc/46/14/49/1134461449.db2.gz GJBHLRRKSWMJDP-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Cc1c[nH+]cc(Nc2c(N)ccc(Cl)c2C)c1C ZINC001213532582 1134461546 /nfs/dbraw/zinc/46/15/46/1134461546.db2.gz PNLQYCMOLRRCIH-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(O)ccc2Br)c1C ZINC001213530440 1134461799 /nfs/dbraw/zinc/46/17/99/1134461799.db2.gz BBYIVHCXIOFVCQ-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(F)c(F)c(F)c2)c1C ZINC001213530946 1134461960 /nfs/dbraw/zinc/46/19/60/1134461960.db2.gz QMLNLCUZKYWGOP-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(N2CCOCC2)cc1C ZINC001213556446 1134464149 /nfs/dbraw/zinc/46/41/49/1134464149.db2.gz KYYKKVLLGXNGKH-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO COCc1ccc(C)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213572164 1134464951 /nfs/dbraw/zinc/46/49/51/1134464951.db2.gz ZRAIQJSLBACEOU-UHFFFAOYSA-N 1 2 256.349 3.897 20 0 CHADLO COC(=O)Cc1cccc(Nc2[nH+]cccc2C(C)C)c1 ZINC001213712033 1134479399 /nfs/dbraw/zinc/47/93/99/1134479399.db2.gz AKZXZKZRCJDRAF-UHFFFAOYSA-N 1 2 284.359 3.664 20 0 CHADLO O=c1ccc2cc(Nc3[nH+]cccc3C3CC3)ccc2[nH]1 ZINC001213772222 1134482544 /nfs/dbraw/zinc/48/25/44/1134482544.db2.gz SSFSWOCFXRBEOL-UHFFFAOYSA-N 1 2 277.327 3.544 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3[nH]c(=O)ccc3c2)c1 ZINC001213774244 1134482560 /nfs/dbraw/zinc/48/25/60/1134482560.db2.gz ODFQKWFPCDCSMC-UHFFFAOYSA-N 1 2 279.343 3.619 20 0 CHADLO CSc1cc(Nc2ccc3cc[nH]c(=O)c3c2)cc[nH+]1 ZINC001213958638 1134501394 /nfs/dbraw/zinc/50/13/94/1134501394.db2.gz BORQEARFLPDFDC-UHFFFAOYSA-N 1 2 283.356 3.801 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2[nH]c(=O)ccc12 ZINC001213972722 1134502930 /nfs/dbraw/zinc/50/29/30/1134502930.db2.gz ZBSOJCJWPKPHDC-UHFFFAOYSA-N 1 2 279.343 3.950 20 0 CHADLO Cc1cc(N)ccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001214117194 1134512207 /nfs/dbraw/zinc/51/22/07/1134512207.db2.gz NJVKGWARHWEJHS-UHFFFAOYSA-N 1 2 278.359 3.566 20 0 CHADLO CSc1cc(Nc2ccc(Cl)cc2O)cc[nH+]1 ZINC001214407274 1134535528 /nfs/dbraw/zinc/53/55/28/1134535528.db2.gz MFHVKBRJVKEHIQ-UHFFFAOYSA-N 1 2 266.753 3.906 20 0 CHADLO Cc1cn2cccc(Nc3ccc(Cl)cc3O)c2[nH+]1 ZINC001214402746 1134535614 /nfs/dbraw/zinc/53/56/14/1134535614.db2.gz UGDMXBTXJYSGAS-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO COc1cc(Nc2c(F)c(C)ccc2OC)cc(C)[nH+]1 ZINC001214627009 1134558430 /nfs/dbraw/zinc/55/84/30/1134558430.db2.gz DEBAOMCKXREZHK-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO COc1ccc(C)c(F)c1Nc1cccn2cc(C)[nH+]c12 ZINC001214625021 1134558755 /nfs/dbraw/zinc/55/87/55/1134558755.db2.gz SZYPHJMQQRIFBX-UHFFFAOYSA-N 1 2 285.322 3.842 20 0 CHADLO Cc1cc(CO)ccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001214661360 1134562360 /nfs/dbraw/zinc/56/23/60/1134562360.db2.gz RJWCYZSIDGCDIE-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(CO)cc2C)cc1 ZINC001214672956 1134564402 /nfs/dbraw/zinc/56/44/02/1134564402.db2.gz GELXSYFJROYXNS-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(CO)cc2C)cc1 ZINC001214672956 1134564404 /nfs/dbraw/zinc/56/44/04/1134564404.db2.gz GELXSYFJROYXNS-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO COc1ccc(F)c(Nc2c[nH+]c(C)cc2C)c1OC ZINC001214691654 1134565254 /nfs/dbraw/zinc/56/52/54/1134565254.db2.gz IHOUCWWBNCMNMB-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO COc1cc(Nc2c(F)ccc(C(C)=O)c2F)cc(C)[nH+]1 ZINC001214757903 1134569226 /nfs/dbraw/zinc/56/92/26/1134569226.db2.gz AVJACKACYZJNIV-UHFFFAOYSA-N 1 2 292.285 3.623 20 0 CHADLO Cc1cc[nH+]c(C)c1Nc1ccc(Cl)c(O)c1F ZINC001214794039 1134573179 /nfs/dbraw/zinc/57/31/79/1134573179.db2.gz WEEGTINQXBQZQR-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO COCOc1c(C)cccc1Nc1[nH+]c(C)ccc1C ZINC001214846899 1134577931 /nfs/dbraw/zinc/57/79/31/1134577931.db2.gz RDQWPZCDLDSGON-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO COc1c(F)cc(Nc2cccc3[nH+]ccn32)cc1Cl ZINC001214963552 1134588597 /nfs/dbraw/zinc/58/85/97/1134588597.db2.gz DMYBZFYTNQDKJM-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO c1cc(N2CCCCC2)ccc1[NH2+]CC[C@@H]1CCOC1 ZINC000400616208 1134594964 /nfs/dbraw/zinc/59/49/64/1134594964.db2.gz NFBRJMSTVQRLAX-OAHLLOKOSA-N 1 2 274.408 3.515 20 0 CHADLO COCOc1ccc(Nc2ccc(C)c[nH+]2)cc1Cl ZINC001215251950 1134611856 /nfs/dbraw/zinc/61/18/56/1134611856.db2.gz WMYABYNZQNKRJB-UHFFFAOYSA-N 1 2 278.739 3.770 20 0 CHADLO CSc1ccc(Nc2[nH+]c(C)ccc2O)c(C)c1 ZINC001215473118 1134630920 /nfs/dbraw/zinc/63/09/20/1134630920.db2.gz CESQYMWYIYMFJD-UHFFFAOYSA-N 1 2 260.362 3.870 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(F)c(OC(C)C)c2F)c1 ZINC001215726171 1134667011 /nfs/dbraw/zinc/66/70/11/1134667011.db2.gz FTFUCGNNWQCZNU-UHFFFAOYSA-N 1 2 294.301 3.899 20 0 CHADLO CSc1cc(Nc2ccnc(OC(C)C)c2)cc[nH+]1 ZINC001215767014 1134680717 /nfs/dbraw/zinc/68/07/17/1134680717.db2.gz QTMWUGQTCGKYNM-UHFFFAOYSA-N 1 2 275.377 3.729 20 0 CHADLO CSc1cc(Nc2ccn3cc[nH+]c3c2)ccc1F ZINC001215794469 1134688068 /nfs/dbraw/zinc/68/80/68/1134688068.db2.gz RXKFROXLUFCAJV-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CCOc1cc(C)ccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001215874203 1134710061 /nfs/dbraw/zinc/71/00/61/1134710061.db2.gz VXLNFTUMCATAHH-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CCOc1cc(C)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001215874874 1134710998 /nfs/dbraw/zinc/71/09/98/1134710998.db2.gz IMLYUGBCEVATLA-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO CSc1cc(F)cc(Nc2[nH+]cc(O)cc2C)c1 ZINC001215902933 1134713426 /nfs/dbraw/zinc/71/34/26/1134713426.db2.gz SAGFCKDFFIXHKF-UHFFFAOYSA-N 1 2 264.325 3.700 20 0 CHADLO Cc1cccc(Nc2ccc(OC(C)C)nc2C)[nH+]1 ZINC001215917456 1134718415 /nfs/dbraw/zinc/71/84/15/1134718415.db2.gz VMPGRQVLDIZMBQ-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO Cc1cn2cccc(Nc3ccc(OC(C)C)nc3C)c2[nH+]1 ZINC001215918739 1134719725 /nfs/dbraw/zinc/71/97/25/1134719725.db2.gz FLALJJNCNJGSGD-UHFFFAOYSA-N 1 2 296.374 3.877 20 0 CHADLO Cc1nc(OC(C)C)ccc1Nc1cccn2cc[nH+]c12 ZINC001215919045 1134719936 /nfs/dbraw/zinc/71/99/36/1134719936.db2.gz LAJHCQANAOSSIL-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO COc1ccc(Nc2ccn3cc[nH+]c3c2)cc1SC ZINC001215933189 1134724860 /nfs/dbraw/zinc/72/48/60/1134724860.db2.gz VYCKYWGBOPMUQK-UHFFFAOYSA-N 1 2 285.372 3.808 20 0 CHADLO Clc1ccc(Nc2cccc3[nH+]ccn32)c2c[nH]nc21 ZINC001215940149 1134725665 /nfs/dbraw/zinc/72/56/65/1134725665.db2.gz BYFYZHXDUPPFSX-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO Clc1ccc(Nc2ccn3cc[nH+]c3c2)c2c[nH]nc21 ZINC001215942683 1134726076 /nfs/dbraw/zinc/72/60/76/1134726076.db2.gz NMUWAUOETUIYBJ-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO CC(C)c1ccc(N)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001216022139 1134752141 /nfs/dbraw/zinc/75/21/41/1134752141.db2.gz JKBFAJJKIYITHJ-UHFFFAOYSA-N 1 2 266.348 3.784 20 0 CHADLO COc1cc(Nc2ccc(N)c(C(F)(F)F)c2)cc(C)[nH+]1 ZINC001216049707 1134761161 /nfs/dbraw/zinc/76/11/61/1134761161.db2.gz BCTRTMSUEWSAMQ-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1ccc(C)c(Nc2ccc(Cl)c3c[nH]nc32)[nH+]1 ZINC001216056086 1134762152 /nfs/dbraw/zinc/76/21/52/1134762152.db2.gz SZFNZFVQASOTJT-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(C)c(F)c(O)c2)c1 ZINC001216079265 1134769135 /nfs/dbraw/zinc/76/91/35/1134769135.db2.gz ZCJBBJCXBCIENE-UHFFFAOYSA-N 1 2 260.312 3.931 20 0 CHADLO Cc1cc(C)c(N)c(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001216100648 1134777274 /nfs/dbraw/zinc/77/72/74/1134777274.db2.gz WGLPYVAKNUUVED-UHFFFAOYSA-N 1 2 278.359 3.815 20 0 CHADLO Cc1cc(C)c(N)c(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001216100671 1134777956 /nfs/dbraw/zinc/77/79/56/1134777956.db2.gz XYLPXRUONLGUJR-UHFFFAOYSA-N 1 2 278.359 3.815 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(C)c(CO)c(C)c2)cc1 ZINC001216124355 1134786010 /nfs/dbraw/zinc/78/60/10/1134786010.db2.gz RTUSBFXTNYFTGR-UHFFFAOYSA-N 1 2 284.403 3.995 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(C)c(CO)c(C)c2)cc1 ZINC001216124355 1134786015 /nfs/dbraw/zinc/78/60/15/1134786015.db2.gz RTUSBFXTNYFTGR-UHFFFAOYSA-N 1 2 284.403 3.995 20 0 CHADLO CNc1cc(F)cc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001216186998 1134799774 /nfs/dbraw/zinc/79/97/74/1134799774.db2.gz OZOUCTZSMKUTEQ-UHFFFAOYSA-N 1 2 286.354 3.606 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NC1C[C@H]2CC[C@@H](C1)S2 ZINC000403564070 1134806176 /nfs/dbraw/zinc/80/61/76/1134806176.db2.gz KGOHQRPSMUCAJK-YHWZYXNKSA-N 1 2 278.421 3.707 20 0 CHADLO CCOc1ccc(C)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001216235468 1134816906 /nfs/dbraw/zinc/81/69/06/1134816906.db2.gz BQGNJGRCYLJWJC-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO COc1ccc(Nc2cccc(C(C)C)[nH+]2)cc1N ZINC001216255814 1134829090 /nfs/dbraw/zinc/82/90/90/1134829090.db2.gz MMPZWFVMUZPAKF-UHFFFAOYSA-N 1 2 257.337 3.539 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)c(C)cc1OC ZINC001216373373 1134871815 /nfs/dbraw/zinc/87/18/15/1134871815.db2.gz GUZJZBBAYXYFIA-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO Nc1c(Cl)cccc1Nc1cccc(C2CC2)[nH+]1 ZINC001216526540 1134915740 /nfs/dbraw/zinc/91/57/40/1134915740.db2.gz PMNVGCIHWAVTEQ-UHFFFAOYSA-N 1 2 259.740 3.938 20 0 CHADLO CCn1ncc2cc(Nc3cc[nH+]c(SC)c3)ccc21 ZINC001216590125 1134926087 /nfs/dbraw/zinc/92/60/87/1134926087.db2.gz UREQCSSMPQPTHU-UHFFFAOYSA-N 1 2 284.388 3.917 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cncc(OCC2CC2)c1 ZINC001216595495 1134928589 /nfs/dbraw/zinc/92/85/89/1134928589.db2.gz JHBFROVEAFNDEI-UHFFFAOYSA-N 1 2 294.358 3.501 20 0 CHADLO BrC1=CCC[N@H+](C/C=C/c2ccccc2)C1 ZINC000404201624 1134938901 /nfs/dbraw/zinc/93/89/01/1134938901.db2.gz QBLAPPUIPCGADK-XBXARRHUSA-N 1 2 278.193 3.684 20 0 CHADLO BrC1=CCC[N@@H+](C/C=C/c2ccccc2)C1 ZINC000404201624 1134938908 /nfs/dbraw/zinc/93/89/08/1134938908.db2.gz QBLAPPUIPCGADK-XBXARRHUSA-N 1 2 278.193 3.684 20 0 CHADLO Cc1cc(O[C@H]2CC[NH2+]CC2(F)F)c(C(C)C)cc1N=O ZINC001218005410 1135040859 /nfs/dbraw/zinc/04/08/59/1135040859.db2.gz FPQRTWXDRVZCKP-AWEZNQCLSA-N 1 2 298.333 3.892 20 0 CHADLO C[C@@H](Oc1ccc[nH+]c1N)c1cccc(C(F)(F)F)c1 ZINC001218195026 1135067069 /nfs/dbraw/zinc/06/70/69/1135067069.db2.gz DIGCCTIVUTUNKZ-SECBINFHSA-N 1 2 282.265 3.823 20 0 CHADLO C[C@@H](Oc1cc(N)cc[nH+]1)c1ccc(Br)cc1 ZINC001218216062 1135070889 /nfs/dbraw/zinc/07/08/89/1135070889.db2.gz KVMJXTCZHRXVQP-SECBINFHSA-N 1 2 293.164 3.566 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]CC=C(Cl)Cl ZINC000407138266 1135098610 /nfs/dbraw/zinc/09/86/10/1135098610.db2.gz UZPUPNYIZUBHKF-MRVPVSSYSA-N 1 2 278.154 3.804 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1sc2ccccc2c1C)C(=O)OC ZINC000312386872 1135134267 /nfs/dbraw/zinc/13/42/67/1135134267.db2.gz NDCMSYITSDKDOD-DGCLKSJQSA-N 1 2 291.416 3.812 20 0 CHADLO Oc1cc(F)c(-c2cccc(C3=[NH+]CCC3)c2)cc1F ZINC001222083264 1135190477 /nfs/dbraw/zinc/19/04/77/1135190477.db2.gz WRTMMJQIOHLEML-UHFFFAOYSA-N 1 2 273.282 3.920 20 0 CHADLO CCCC[C@@H](CC)[C@@H](CC)OCCn1cc[nH+]c1 ZINC001222139952 1135198439 /nfs/dbraw/zinc/19/84/39/1135198439.db2.gz ZEBLFEODJXMZOX-HUUCEWRRSA-N 1 2 252.402 3.895 20 0 CHADLO CCCC[C@H](CC)[C@H](CC)OCCn1cc[nH+]c1 ZINC001222139951 1135198515 /nfs/dbraw/zinc/19/85/15/1135198515.db2.gz ZEBLFEODJXMZOX-GJZGRUSLSA-N 1 2 252.402 3.895 20 0 CHADLO Clc1cc2c(cc1Cl)[C@@H](OCCn1cc[nH+]c1)CC2 ZINC001222134838 1135198668 /nfs/dbraw/zinc/19/86/68/1135198668.db2.gz PVIPRTHFTFZLHM-AWEZNQCLSA-N 1 2 297.185 3.894 20 0 CHADLO COc1c(F)c(C)ccc1-c1ccc2[nH+]c(C)cn2c1 ZINC001222308419 1135221636 /nfs/dbraw/zinc/22/16/36/1135221636.db2.gz CRZSAOUPFGQPGA-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO Cc1cc(-c2ccc(Cl)c(CO)c2F)cn2cc[nH+]c12 ZINC001222355175 1135232121 /nfs/dbraw/zinc/23/21/21/1135232121.db2.gz LSNXXZVWTJDLMT-UHFFFAOYSA-N 1 2 290.725 3.595 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccccc1Cl ZINC001222440386 1135242998 /nfs/dbraw/zinc/24/29/98/1135242998.db2.gz BKPFUVCYWRUOEI-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO Nc1cn2ccc(-c3cc(Cl)cc(Cl)c3)cc2[nH+]1 ZINC001222593293 1135254236 /nfs/dbraw/zinc/25/42/36/1135254236.db2.gz LHZPFKXZCQJZDB-UHFFFAOYSA-N 1 2 278.142 3.890 20 0 CHADLO Cn1c[nH+]cc1COC1c2ccccc2Oc2ccccc21 ZINC001222601120 1135254824 /nfs/dbraw/zinc/25/48/24/1135254824.db2.gz YDJNEKWODIDKQA-UHFFFAOYSA-N 1 2 292.338 3.832 20 0 CHADLO CCCC[C@H](CC)[C@@H](CC)OCc1c[nH+]cn1C ZINC001222599866 1135255183 /nfs/dbraw/zinc/25/51/83/1135255183.db2.gz HNUWUEKLKGXMSV-DZGCQCFKSA-N 1 2 252.402 3.932 20 0 CHADLO CCCC[C@H](CC)C[C@H](C)OCc1c[nH+]cn1C ZINC001222600659 1135255651 /nfs/dbraw/zinc/25/56/51/1135255651.db2.gz UBJVOIYWKPLBCJ-KBPBESRZSA-N 1 2 252.402 3.932 20 0 CHADLO COc1ccc(O)cc1-c1c[nH+]c(N2CCCCC2)cc1C ZINC001222628956 1135257465 /nfs/dbraw/zinc/25/74/65/1135257465.db2.gz LRXXTPIYSZHJEX-UHFFFAOYSA-N 1 2 298.386 3.762 20 0 CHADLO Cc1cc(CO[C@@H]2CCOc3ccccc32)cc(C)[nH+]1 ZINC001223420132 1135342695 /nfs/dbraw/zinc/34/26/95/1135342695.db2.gz IGXFUBPWIIJWCK-QGZVFWFLSA-N 1 2 269.344 3.739 20 0 CHADLO Cc1cnc(F)c([C@H](C)OCc2cc(C)[nH+]c(C)c2)c1 ZINC001223424121 1135343130 /nfs/dbraw/zinc/34/31/30/1135343130.db2.gz HKGTUTVMHHOHEI-ZDUSSCGKSA-N 1 2 274.339 3.819 20 0 CHADLO Cc1cc(CO[C@H]2C[C@H](OCc3ccccc3)C2)cc(C)[nH+]1 ZINC001223424087 1135343351 /nfs/dbraw/zinc/34/33/51/1135343351.db2.gz GIUFBKOLEODZKY-WGSAOQKQSA-N 1 2 297.398 3.963 20 0 CHADLO Cc1cccc(OC[C@H](C)OCc2cc(C)[nH+]c(C)c2)c1 ZINC001223424299 1135343761 /nfs/dbraw/zinc/34/37/61/1135343761.db2.gz JDSWFDNQEJGRCH-INIZCTEOSA-N 1 2 285.387 3.991 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](C)COc1ccccc1 ZINC001224960445 1135496854 /nfs/dbraw/zinc/49/68/54/1135496854.db2.gz CHQDHHRNXDYYGW-AWEZNQCLSA-N 1 2 279.380 3.655 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](C)c1cccc(OC)c1 ZINC001224963522 1135496882 /nfs/dbraw/zinc/49/68/82/1135496882.db2.gz OHZIPTFJEOXCGL-CYBMUJFWSA-N 1 2 279.380 3.957 20 0 CHADLO CCCC(=O)[C@@H](CCC)OCCCCC(=[NH2+])OCC ZINC001224963214 1135497542 /nfs/dbraw/zinc/49/75/42/1135497542.db2.gz JOUWMVQTAVVCGT-CQSZACIVSA-N 1 2 271.401 3.725 20 0 CHADLO Cc1ccc(OC(C)C)c(COc2cc[nH+]cc2)c1 ZINC001225290793 1135535666 /nfs/dbraw/zinc/53/56/66/1135535666.db2.gz IDUYAPRHDCXXFI-UHFFFAOYSA-N 1 2 257.333 3.756 20 0 CHADLO c1ccc(CO[C@H]2CC[C@H](Oc3cc[nH+]cc3)C2)cc1 ZINC001225296495 1135537578 /nfs/dbraw/zinc/53/75/78/1135537578.db2.gz WEUBFHKLXJPVFD-IRXDYDNUSA-N 1 2 269.344 3.598 20 0 CHADLO CC(=O)c1ccc2ccccc2c1OC1C[NH+](C(C)C)C1 ZINC001226034886 1135655634 /nfs/dbraw/zinc/65/56/34/1135655634.db2.gz WHVHAPIGYWAXIK-UHFFFAOYSA-N 1 2 283.371 3.514 20 0 CHADLO CCc1nocc1C[NH2+][C@H](C)c1nc(C(C)(C)C)cs1 ZINC001330558781 1135689033 /nfs/dbraw/zinc/68/90/33/1135689033.db2.gz JCERPIANNXHWIR-SNVBAGLBSA-N 1 2 293.436 3.842 20 0 CHADLO COc1ccccc1[C@@H](C)Oc1c(C)cc[nH+]c1C ZINC001227260500 1135811567 /nfs/dbraw/zinc/81/15/67/1135811567.db2.gz RTRMLOSJIFVWTJ-CYBMUJFWSA-N 1 2 257.333 3.847 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H](C)COCc1ccccc1 ZINC001227263723 1135812755 /nfs/dbraw/zinc/81/27/55/1135812755.db2.gz HGEIVBGQIYYWBM-CQSZACIVSA-N 1 2 271.360 3.683 20 0 CHADLO CC[C@]1(C)CCN(C(=O)Nc2c(C)cc(C)[nH+]c2C)C1 ZINC000334712077 529398660 /nfs/dbraw/zinc/39/86/60/529398660.db2.gz ILPWNWUTJFXYIB-MRXNPFEDSA-N 1 2 275.396 3.661 20 0 CHADLO CC[C@@H](C)c1noc(/C=C/c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000355894596 529435506 /nfs/dbraw/zinc/43/55/06/529435506.db2.gz POZJGZCBBFNYRD-YSKGHYERSA-N 1 2 294.358 3.939 20 0 CHADLO CCc1cc(N2CC[C@H](C)C[C@@H]2c2ccco2)nc(C)[nH+]1 ZINC000302061218 529535861 /nfs/dbraw/zinc/53/58/61/529535861.db2.gz BOGSPNOKDNYZNQ-SWLSCSKDSA-N 1 2 285.391 3.918 20 0 CHADLO CC[C@H](NC(=O)c1cccc(-c2ccoc2)c1)c1[nH]cc[nH+]1 ZINC000347970382 529570777 /nfs/dbraw/zinc/57/07/77/529570777.db2.gz RUYRSLKQVCBNIN-HNNXBMFYSA-N 1 2 295.342 3.551 20 0 CHADLO CCc1ccc(C[NH2+]Cc2cc(-c3ccccc3)on2)cn1 ZINC000352768112 529653591 /nfs/dbraw/zinc/65/35/91/529653591.db2.gz FCVOXNUDEHWDEW-UHFFFAOYSA-N 1 2 293.370 3.589 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2nc3ccccc3s2)cn1 ZINC000347651604 529635790 /nfs/dbraw/zinc/63/57/90/529635790.db2.gz LCEPGCHVIOQHEY-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2nc3ccccc3s2)cn1 ZINC000347651604 529635793 /nfs/dbraw/zinc/63/57/93/529635793.db2.gz LCEPGCHVIOQHEY-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1cnccc1[C@@H](C)NC(=O)Nc1c(C)cc[nH+]c1C ZINC000351923411 529676893 /nfs/dbraw/zinc/67/68/93/529676893.db2.gz VPKLGVPLEHPAPI-GFCCVEGCSA-N 1 2 298.390 3.539 20 0 CHADLO COCCSCCCOc1cc(C)[nH+]c2ccccc21 ZINC000573432676 334937211 /nfs/dbraw/zinc/93/72/11/334937211.db2.gz BHGJEPXQDJSAMN-UHFFFAOYSA-N 1 2 291.416 3.692 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1ccc(-n2cccn2)cc1 ZINC000352553134 529730361 /nfs/dbraw/zinc/73/03/61/529730361.db2.gz FQEBKRXTPSZBBZ-UHFFFAOYSA-N 1 2 293.370 3.717 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[N@H+](C)Cc1ccsc1 ZINC000127191077 187288479 /nfs/dbraw/zinc/28/84/79/187288479.db2.gz CNVMYWCTAWHVSE-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[N@@H+](C)Cc1ccsc1 ZINC000127191077 187288481 /nfs/dbraw/zinc/28/84/81/187288481.db2.gz CNVMYWCTAWHVSE-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO Clc1cccc2c(N3CC[C@@H]4OCC[C@@H]4C3)cc[nH+]c12 ZINC000573959130 334980577 /nfs/dbraw/zinc/98/05/77/334980577.db2.gz RKVFTDDADJWKNA-ABAIWWIYSA-N 1 2 288.778 3.503 20 0 CHADLO C[C@@H](c1noc([C@@H](c2ccccc2)[NH+](C)C)n1)C(C)(C)C ZINC000574197159 334999969 /nfs/dbraw/zinc/99/99/69/334999969.db2.gz KCGSQMPCKXBKTH-GXTWGEPZSA-N 1 2 287.407 3.870 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2cncs2)cs1 ZINC000162324590 261277846 /nfs/dbraw/zinc/27/78/46/261277846.db2.gz BHGOSKGHDMHRTG-RKDXNWHRSA-N 1 2 267.423 3.574 20 0 CHADLO Cc1ccc([C@@H]2CCCN2C(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000574403468 335017110 /nfs/dbraw/zinc/01/71/10/335017110.db2.gz CRRKKCADJMRSML-WBVHZDCISA-N 1 2 297.402 3.506 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCOC[C@H](C2CCC2)C1 ZINC000574501167 335024226 /nfs/dbraw/zinc/02/42/26/335024226.db2.gz OSNYIRLQPSDDPH-QGZVFWFLSA-N 1 2 296.414 3.796 20 0 CHADLO CO[C@H](CSc1[nH+]cc2ccccn21)c1ccccc1 ZINC000574587299 335032208 /nfs/dbraw/zinc/03/22/08/335032208.db2.gz YXXVCZVQUKWQMJ-OAHLLOKOSA-N 1 2 284.384 3.814 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(Cl)n1)c1nc(C)cs1 ZINC000184406507 262019349 /nfs/dbraw/zinc/01/93/49/262019349.db2.gz SQHWGHMFXOMNAJ-NSHDSACASA-N 1 2 281.812 3.741 20 0 CHADLO C[C@@H]([NH2+]C/C=C/Cl)c1nc(C2CCCCC2)no1 ZINC000185269827 262048630 /nfs/dbraw/zinc/04/86/30/262048630.db2.gz JXEALDUPGPUZMW-VHODGJRUSA-N 1 2 269.776 3.520 20 0 CHADLO CSc1ccc(C[NH2+]Cc2c(F)ccc(O)c2F)cc1 ZINC000352231217 532958024 /nfs/dbraw/zinc/95/80/24/532958024.db2.gz QCROUISCCXWSGH-UHFFFAOYSA-N 1 2 295.354 3.682 20 0 CHADLO CSc1ccc(C[NH2+][C@H](C)c2nnc(C(C)C)[nH]2)s1 ZINC000352831462 532959346 /nfs/dbraw/zinc/95/93/46/532959346.db2.gz CWZAXGMNVXQISV-SECBINFHSA-N 1 2 296.465 3.562 20 0 CHADLO CSc1ccc(N[C@@H]2[C@@H]3CCO[C@H]3C23CCCC3)[nH+]c1 ZINC000347259305 532984072 /nfs/dbraw/zinc/98/40/72/532984072.db2.gz OVUXTGANHCPCKD-NWANDNLSSA-N 1 2 290.432 3.563 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@@H](C)c2nc3ccccc3o2)ccn1 ZINC000347565021 533130558 /nfs/dbraw/zinc/13/05/58/533130558.db2.gz QJFHYZDURMEBOR-RYUDHWBXSA-N 1 2 297.358 3.643 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)C2=C(C)CC(C)(C)CC2)[nH+]1 ZINC000574884291 335055136 /nfs/dbraw/zinc/05/51/36/335055136.db2.gz OLZVGEIBCDBZIB-UHFFFAOYSA-N 1 2 289.423 3.596 20 0 CHADLO Fc1ccc(F)c(CNc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000036982090 260060358 /nfs/dbraw/zinc/06/03/58/260060358.db2.gz QYRVEJRAQGTJTC-UHFFFAOYSA-N 1 2 289.329 3.572 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000179707401 260064042 /nfs/dbraw/zinc/06/40/42/260064042.db2.gz CESHLAQGFAPLDM-HNNXBMFYSA-N 1 2 294.320 3.780 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000179707401 260064043 /nfs/dbraw/zinc/06/40/43/260064043.db2.gz CESHLAQGFAPLDM-HNNXBMFYSA-N 1 2 294.320 3.780 20 0 CHADLO COc1c(C[NH2+][C@H](C)c2nccs2)oc2ccccc21 ZINC000353335532 533420479 /nfs/dbraw/zinc/42/04/79/533420479.db2.gz RLWPMLYKCSEIJP-SNVBAGLBSA-N 1 2 288.372 3.749 20 0 CHADLO COc1cccc(C[NH+]2Cc3ccccc3C2)c1OC(C)C ZINC000353387735 533486633 /nfs/dbraw/zinc/48/66/33/533486633.db2.gz OWQDREBNCLSMME-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1nc2c(s1)CCC2 ZINC000355101167 533509671 /nfs/dbraw/zinc/50/96/71/533509671.db2.gz HXMKLCBQTAUNQY-DTWKUNHWSA-N 1 2 279.434 3.500 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2ccc(Cl)cc21)c1ccco1 ZINC000336119810 533530495 /nfs/dbraw/zinc/53/04/95/533530495.db2.gz LQROREMLBWAYAF-BXKDBHETSA-N 1 2 263.724 3.717 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cccc(C)n2)c(Cl)c1 ZINC000353747373 533543658 /nfs/dbraw/zinc/54/36/58/533543658.db2.gz MCAAFIGWAABVBF-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cccc(C)n2)c(Cl)c1 ZINC000353747373 533543664 /nfs/dbraw/zinc/54/36/64/533543664.db2.gz MCAAFIGWAABVBF-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cccc(Cl)c2Cl)nc1 ZINC000341822694 130058109 /nfs/dbraw/zinc/05/81/09/130058109.db2.gz LDBRZTXLVGBFPR-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO Clc1cccc2c(NCCc3nccs3)cc[nH+]c12 ZINC000341838876 130082511 /nfs/dbraw/zinc/08/25/11/130082511.db2.gz IIAVTIXNCIJXGT-UHFFFAOYSA-N 1 2 289.791 3.999 20 0 CHADLO C[C@H](c1ccsc1)[NH+]1CCN(CCCCCF)CC1 ZINC000341908891 130121441 /nfs/dbraw/zinc/12/14/41/130121441.db2.gz GQAUFPOSOHFXFY-CQSZACIVSA-N 1 2 284.444 3.567 20 0 CHADLO Clc1ccc2[nH+]c(CSCc3cccnc3)cn2c1 ZINC000341921490 130131599 /nfs/dbraw/zinc/13/15/99/130131599.db2.gz DUJUOVIPIWBGRC-UHFFFAOYSA-N 1 2 289.791 3.816 20 0 CHADLO Cc1nnc([C@@H](C)[NH+]2CCC(CC(F)(F)F)CC2)s1 ZINC000341982150 130168038 /nfs/dbraw/zinc/16/80/38/130168038.db2.gz DDUSIHQENSREJA-MRVPVSSYSA-N 1 2 293.358 3.572 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccccc2C(F)(F)F)nc1 ZINC000341995617 130187048 /nfs/dbraw/zinc/18/70/48/130187048.db2.gz POKYYULAFWYGND-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1csc(-c2ccoc2)n1 ZINC000341985882 130209171 /nfs/dbraw/zinc/20/91/71/130209171.db2.gz NYHYVVAZTPOKMN-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1csc(-c2ccoc2)n1 ZINC000341985882 130209172 /nfs/dbraw/zinc/20/91/72/130209172.db2.gz NYHYVVAZTPOKMN-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO CO[C@@H]1CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000342070773 130235053 /nfs/dbraw/zinc/23/50/53/130235053.db2.gz FVMZNPHBVNRPLJ-LLVKDONJSA-N 1 2 276.767 3.503 20 0 CHADLO Cn1c2cccc(F)c2nc1-c1cc(-n2cc[nH+]c2)cs1 ZINC000342143789 130334525 /nfs/dbraw/zinc/33/45/25/130334525.db2.gz QZFZLEPBUXGFHI-UHFFFAOYSA-N 1 2 298.346 3.627 20 0 CHADLO CC(=O)Nc1ccc(SCc2cn3ccccc3[nH+]2)cc1 ZINC000006465546 170203295 /nfs/dbraw/zinc/20/32/95/170203295.db2.gz HPRIHWCVGJXEIQ-UHFFFAOYSA-N 1 2 297.383 3.585 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2Cl)cs1 ZINC000020253188 171105446 /nfs/dbraw/zinc/10/54/46/171105446.db2.gz IPEISECFXLSMOL-SECBINFHSA-N 1 2 266.797 3.956 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc2c(c1)Cc1ccccc1-2 ZINC000020585162 171258707 /nfs/dbraw/zinc/25/87/07/171258707.db2.gz CTPKNTVGWABXMY-UHFFFAOYSA-N 1 2 273.339 3.979 20 0 CHADLO COc1c(C)c[nH+]c(CSc2ccc(O)cc2)c1C ZINC000038079144 174089515 /nfs/dbraw/zinc/08/95/15/174089515.db2.gz NIVKKHCPAUTVSI-UHFFFAOYSA-N 1 2 275.373 3.705 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(F)c(C(F)(F)F)c1 ZINC000040712696 174381241 /nfs/dbraw/zinc/38/12/41/174381241.db2.gz GIIVADBAAGHUBX-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO Cc1cc(C[NH2+]C(c2ccccc2)c2ccccc2)on1 ZINC000044300507 175161802 /nfs/dbraw/zinc/16/18/02/175161802.db2.gz SQAYPXDRIALGCK-UHFFFAOYSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1coc(-c2ccccc2)n1 ZINC000344451639 225062152 /nfs/dbraw/zinc/06/21/52/225062152.db2.gz RXJORULYZZTVGA-UHFFFAOYSA-N 1 2 268.316 3.533 20 0 CHADLO Cc1ccc(NC(=O)Cc2cccc(C(F)F)c2)c(C)[nH+]1 ZINC000344453223 225062728 /nfs/dbraw/zinc/06/27/28/225062728.db2.gz KHXLFULJOFUYPM-UHFFFAOYSA-N 1 2 290.313 3.817 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2ccc(Cl)o2)C2CC2)s1 ZINC000344506224 225086826 /nfs/dbraw/zinc/08/68/26/225086826.db2.gz AUVXODXUUHFKAU-LBPRGKRZSA-N 1 2 282.796 3.939 20 0 CHADLO Cn1cc[nH+]c1[C@H](NC(=O)CCCCC(C)(C)C)C1CC1 ZINC000344692200 225127442 /nfs/dbraw/zinc/12/74/42/225127442.db2.gz IKPSOZFMGZISDQ-OAHLLOKOSA-N 1 2 291.439 3.594 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CCCC(F)(F)C2)c(C)[nH+]1 ZINC000334718887 225286712 /nfs/dbraw/zinc/28/67/12/225286712.db2.gz XENSIOFWVBCNKF-GFCCVEGCSA-N 1 2 297.349 3.706 20 0 CHADLO Clc1cccc(C[NH+]2CC3(C2)CCOCC3)c1Cl ZINC000334797041 225300851 /nfs/dbraw/zinc/30/08/51/225300851.db2.gz QVCYZTPKBQQPHP-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)o2)on1 ZINC000334815776 225308194 /nfs/dbraw/zinc/30/81/94/225308194.db2.gz GABWPZGVHRICKY-LLVKDONJSA-N 1 2 266.728 3.567 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(Cl)o2)on1 ZINC000334815776 225308199 /nfs/dbraw/zinc/30/81/99/225308199.db2.gz GABWPZGVHRICKY-LLVKDONJSA-N 1 2 266.728 3.567 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2c(Cl)cccc21)c1csnn1 ZINC000334846004 225334802 /nfs/dbraw/zinc/33/48/02/225334802.db2.gz DWUGMEYRFBQPFO-RNCFNFMXSA-N 1 2 293.823 3.920 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCC[C@@H]1c1cccnc1 ZINC000346973839 226000043 /nfs/dbraw/zinc/00/00/43/226000043.db2.gz ILHHGHFZUGYNKS-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCC[C@@H]1c1cccnc1 ZINC000346973839 226000049 /nfs/dbraw/zinc/00/00/49/226000049.db2.gz ILHHGHFZUGYNKS-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Cc1ccc(N[C@H](C[C@@H]2CCOC2)c2ccccc2)c[nH+]1 ZINC000347150431 226061033 /nfs/dbraw/zinc/06/10/33/226061033.db2.gz NYJJQNTUIYXXGH-MAUKXSAKSA-N 1 2 282.387 3.970 20 0 CHADLO c1ccc([C@H]2CCC[C@@H]2Nc2cc[nH+]c(C3CC3)n2)cc1 ZINC000347182432 226071224 /nfs/dbraw/zinc/07/12/24/226071224.db2.gz KYZCEAFIIJUPDW-CVEARBPZSA-N 1 2 279.387 3.524 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@H]2C)s1 ZINC000347266822 226105588 /nfs/dbraw/zinc/10/55/88/226105588.db2.gz IKUXSTSIAYDAAR-SECBINFHSA-N 1 2 294.370 3.849 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3c(F)cc(F)cc3[C@H]2C)s1 ZINC000347266822 226105591 /nfs/dbraw/zinc/10/55/91/226105591.db2.gz IKUXSTSIAYDAAR-SECBINFHSA-N 1 2 294.370 3.849 20 0 CHADLO Cc1[nH+]cc(CNc2ncccc2Cl)n1-c1ccccc1 ZINC000347415851 226165312 /nfs/dbraw/zinc/16/53/12/226165312.db2.gz OHZWMMWGTMFJGL-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2nc(C)sc2C)C2CC2)n1 ZINC000347783145 226375320 /nfs/dbraw/zinc/37/53/20/226375320.db2.gz KHBWWRPJKSUTIH-CYBMUJFWSA-N 1 2 293.461 3.766 20 0 CHADLO Cc1csc([C@H]([NH2+][C@H](C)c2ncc(C)o2)C2CC2)n1 ZINC000347795225 226376268 /nfs/dbraw/zinc/37/62/68/226376268.db2.gz GVOMKOMTRBRNDM-ZYHUDNBSSA-N 1 2 277.393 3.550 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(N2CCC(C)CC2)c1 ZINC000417846133 226664369 /nfs/dbraw/zinc/66/43/69/226664369.db2.gz YCFUDVMPZWMJIS-UHFFFAOYSA-N 1 2 298.434 3.751 20 0 CHADLO Cc1cnc(C[NH2+][C@@H]2CCc3c2c(F)ccc3F)s1 ZINC000335729094 226750911 /nfs/dbraw/zinc/75/09/11/226750911.db2.gz IWHODLKEKYRVHK-GFCCVEGCSA-N 1 2 280.343 3.507 20 0 CHADLO Cc1ccc(NC(=O)NC[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000349712209 226812457 /nfs/dbraw/zinc/81/24/57/226812457.db2.gz ANXYBJKXFNMHNG-GFCCVEGCSA-N 1 2 283.375 3.624 20 0 CHADLO Cc1ccc(C(=O)N2C[C@H](C)[C@@H]2C)cc1Oc1cc[nH+]cc1 ZINC000336436892 227008514 /nfs/dbraw/zinc/00/85/14/227008514.db2.gz RKJQLEMYHPFDOJ-KBPBESRZSA-N 1 2 296.370 3.663 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1cnc(C2CCCC2)s1 ZINC000354518698 227021483 /nfs/dbraw/zinc/02/14/83/227021483.db2.gz BBNVKPDVQIKTSM-LBPRGKRZSA-N 1 2 268.401 3.735 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1cnc(C2CCCC2)s1 ZINC000354518698 227021486 /nfs/dbraw/zinc/02/14/86/227021486.db2.gz BBNVKPDVQIKTSM-LBPRGKRZSA-N 1 2 268.401 3.735 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cnc(-c2cccs2)s1 ZINC000354521171 227021756 /nfs/dbraw/zinc/02/17/56/227021756.db2.gz MFLWVPPCVOKOPO-SNVBAGLBSA-N 1 2 282.409 3.806 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cnc(-c2cccs2)s1 ZINC000354521171 227021758 /nfs/dbraw/zinc/02/17/58/227021758.db2.gz MFLWVPPCVOKOPO-SNVBAGLBSA-N 1 2 282.409 3.806 20 0 CHADLO OC[C@H](CNc1[nH+]ccc2ccc(F)cc21)c1ccccc1 ZINC000354548563 227028749 /nfs/dbraw/zinc/02/87/49/227028749.db2.gz VNWFVQHIXDYIOU-HNNXBMFYSA-N 1 2 296.345 3.562 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CS[C@@H]1CCO[C@@H]1C ZINC000191567821 227040750 /nfs/dbraw/zinc/04/07/50/227040750.db2.gz YFSCBURDYAIBLA-CZUORRHYSA-N 1 2 288.416 3.591 20 0 CHADLO Cc1ccc(CCCNc2ccc(OC(C)C)nc2)c[nH+]1 ZINC000354579071 227041277 /nfs/dbraw/zinc/04/12/77/227041277.db2.gz ZOGNWTNBMYULHW-UHFFFAOYSA-N 1 2 285.391 3.617 20 0 CHADLO Cc1ccc(CS(=O)(=O)C2CCC(C)(C)CC2)c(C)[nH+]1 ZINC000336491071 227060610 /nfs/dbraw/zinc/06/06/10/227060610.db2.gz FVTQSWNEZAPJTO-UHFFFAOYSA-N 1 2 295.448 3.582 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC=C(c3ccco3)C2)s1 ZINC000336587888 227141600 /nfs/dbraw/zinc/14/16/00/227141600.db2.gz ISEPIWRAQOOXNS-UHFFFAOYSA-N 1 2 280.780 3.679 20 0 CHADLO Clc1cnc(C[N@H+]2CCC=C(c3ccco3)C2)s1 ZINC000336587888 227141606 /nfs/dbraw/zinc/14/16/06/227141606.db2.gz ISEPIWRAQOOXNS-UHFFFAOYSA-N 1 2 280.780 3.679 20 0 CHADLO FC(F)(F)c1cscc1C[NH2+]Cc1nccs1 ZINC000352839845 227315002 /nfs/dbraw/zinc/31/50/02/227315002.db2.gz LHWICAGYTQDSFG-UHFFFAOYSA-N 1 2 278.324 3.513 20 0 CHADLO C[C@@H]1c2cc(Cl)c(Cl)cc2CCN1Cc1c[nH+]c[nH]1 ZINC000355027247 227324049 /nfs/dbraw/zinc/32/40/49/227324049.db2.gz ZMJFKBPLENRSIR-SECBINFHSA-N 1 2 296.201 3.836 20 0 CHADLO C[C@@H]1c2cc(Cl)c(Cl)cc2CCN1Cc1c[nH]c[nH+]1 ZINC000355027247 227324055 /nfs/dbraw/zinc/32/40/55/227324055.db2.gz ZMJFKBPLENRSIR-SECBINFHSA-N 1 2 296.201 3.836 20 0 CHADLO Cc1nc(N2CCc3ccc(Cl)cc3C2)c(C)c(C)[nH+]1 ZINC000301857367 227709297 /nfs/dbraw/zinc/70/92/97/227709297.db2.gz JCGREJPMCXPGBA-UHFFFAOYSA-N 1 2 287.794 3.618 20 0 CHADLO Cc1cc(F)ccc1-c1ccc(C[N@@H+](C)Cc2cc[nH]n2)o1 ZINC000353522378 228114299 /nfs/dbraw/zinc/11/42/99/228114299.db2.gz UIJRPDVFDGNCSE-UHFFFAOYSA-N 1 2 299.349 3.749 20 0 CHADLO Cc1cc(F)ccc1-c1ccc(C[N@H+](C)Cc2cc[nH]n2)o1 ZINC000353522378 228114301 /nfs/dbraw/zinc/11/43/01/228114301.db2.gz UIJRPDVFDGNCSE-UHFFFAOYSA-N 1 2 299.349 3.749 20 0 CHADLO O=C(CC/C=C\c1ccccc1)Nc1cccc2[nH+]ccn21 ZINC000460340842 228034948 /nfs/dbraw/zinc/03/49/48/228034948.db2.gz VWRQCDXFDJZTHF-WTKPLQERSA-N 1 2 291.354 3.766 20 0 CHADLO Cc1ccc(CCCNc2cccc(-n3cccn3)c2)c[nH+]1 ZINC000353375684 228092815 /nfs/dbraw/zinc/09/28/15/228092815.db2.gz AIFHJASNHLHEGJ-UHFFFAOYSA-N 1 2 292.386 3.620 20 0 CHADLO Cc1ccc(Cc2noc(-c3ccc(Cl)cc3)n2)c[nH+]1 ZINC000356115523 228126869 /nfs/dbraw/zinc/12/68/69/228126869.db2.gz SRKYVSAIJUOVBZ-UHFFFAOYSA-N 1 2 285.734 3.684 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc(N3CCCC3)c[nH+]2)c1 ZINC000353613108 228131692 /nfs/dbraw/zinc/13/16/92/228131692.db2.gz MFKIJJDGLJJXDJ-AWEZNQCLSA-N 1 2 282.391 3.558 20 0 CHADLO Cc1ccc(Cc2noc(CC3CCC(C)CC3)n2)c[nH+]1 ZINC000356144277 228138792 /nfs/dbraw/zinc/13/87/92/228138792.db2.gz YSCHYYQMGUHLIJ-UHFFFAOYSA-N 1 2 285.391 3.733 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC2(C(C)C)CC2)c(C)[nH+]1 ZINC000331924726 228139426 /nfs/dbraw/zinc/13/94/26/228139426.db2.gz VTTOUQSTBGQFIL-UHFFFAOYSA-N 1 2 275.396 3.565 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2coc(-c3ccccc3)n2)n1 ZINC000353747944 228150598 /nfs/dbraw/zinc/15/05/98/228150598.db2.gz QXHIWAOHHNVDMW-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2coc(-c3ccccc3)n2)n1 ZINC000353747944 228150600 /nfs/dbraw/zinc/15/06/00/228150600.db2.gz QXHIWAOHHNVDMW-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CCC(C)(C)C3)cc2[nH+]1 ZINC000332211547 228152089 /nfs/dbraw/zinc/15/20/89/228152089.db2.gz GJSAMSXMFAFMGP-NSHDSACASA-N 1 2 271.364 3.636 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCC1C(C)(C)C1(C)C ZINC000351935904 228167824 /nfs/dbraw/zinc/16/78/24/228167824.db2.gz JJRWKTMENNCLMV-UHFFFAOYSA-N 1 2 275.396 3.502 20 0 CHADLO C[C@@H]1CC=CC[C@@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000351950830 228168284 /nfs/dbraw/zinc/16/82/84/228168284.db2.gz HEOBNRDDTREYAU-DOMZBBRYSA-N 1 2 281.359 3.618 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1nnc(C2CC2)s1 ZINC000336203591 228213556 /nfs/dbraw/zinc/21/35/56/228213556.db2.gz DUIVPTCNERKCBL-LLVKDONJSA-N 1 2 285.416 3.535 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1nnc(C2CC2)s1 ZINC000336203591 228213557 /nfs/dbraw/zinc/21/35/57/228213557.db2.gz DUIVPTCNERKCBL-LLVKDONJSA-N 1 2 285.416 3.535 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000519957682 260028961 /nfs/dbraw/zinc/02/89/61/260028961.db2.gz OUVVSSVXLRWKCT-GHMZBOCLSA-N 1 2 269.335 3.525 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000519957682 260028962 /nfs/dbraw/zinc/02/89/62/260028962.db2.gz OUVVSSVXLRWKCT-GHMZBOCLSA-N 1 2 269.335 3.525 20 0 CHADLO CCCCC/C(=C\c1ccccc1)C[NH2+]Cc1ncn(C)n1 ZINC000179560360 260055416 /nfs/dbraw/zinc/05/54/16/260055416.db2.gz AFGHHQUHIIHWAG-SFQUDFHCSA-N 1 2 298.434 3.569 20 0 CHADLO Cc1cccc(CNc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000036982116 260060571 /nfs/dbraw/zinc/06/05/71/260060571.db2.gz YYISBYDFIZIFEF-UHFFFAOYSA-N 1 2 267.376 3.602 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H]1c2ccccc2CC[C@H]1C ZINC000179850116 260077446 /nfs/dbraw/zinc/07/74/46/260077446.db2.gz PEKKPEXEVRXSIO-SJKOYZFVSA-N 1 2 295.386 3.835 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@H](C)c2ncc(C)o2)cc1 ZINC000220886956 260140575 /nfs/dbraw/zinc/14/05/75/260140575.db2.gz GUWFUKBSSQDMSD-CHWSQXEVSA-N 1 2 258.365 3.957 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)c1 ZINC000393524394 260145824 /nfs/dbraw/zinc/14/58/24/260145824.db2.gz SGJKRGMFSWOBCG-GFCCVEGCSA-N 1 2 277.799 3.541 20 0 CHADLO Cn1c(C[NH2+]Cc2ccccc2Cl)nc2ccccc21 ZINC000070162923 260152842 /nfs/dbraw/zinc/15/28/42/260152842.db2.gz HRXGRJMZZHDXJK-UHFFFAOYSA-N 1 2 285.778 3.517 20 0 CHADLO CCc1cc(C[NH2+]Cc2ccc(-c3ccccc3)o2)on1 ZINC000525462256 260154472 /nfs/dbraw/zinc/15/44/72/260154472.db2.gz FXJISULOVFQBPG-UHFFFAOYSA-N 1 2 282.343 3.787 20 0 CHADLO COC(=O)[C@@H]([NH2+]C[C@@H](C)c1cccc(C)c1)c1ccccc1 ZINC000525462053 260154521 /nfs/dbraw/zinc/15/45/21/260154521.db2.gz WWHFNQSHCRUFJW-QAPCUYQASA-N 1 2 297.398 3.602 20 0 CHADLO C[C@@H](Nc1ccc2oc(C3CC3)nc2c1)c1[nH+]ccn1C ZINC000070444111 260157293 /nfs/dbraw/zinc/15/72/93/260157293.db2.gz UAGMFGBLWKPSJQ-SNVBAGLBSA-N 1 2 282.347 3.612 20 0 CHADLO COc1ccc(OC)c([C@H](C)[NH2+][C@@H](C)c2nccs2)c1 ZINC000080264946 260179093 /nfs/dbraw/zinc/17/90/93/260179093.db2.gz GROCDWJWAQBBOF-QWRGUYRKSA-N 1 2 292.404 3.572 20 0 CHADLO CC[C@H]([NH2+]Cc1ccn(-c2ccccc2)n1)c1nccs1 ZINC000080277701 260179902 /nfs/dbraw/zinc/17/99/02/260179902.db2.gz BWARKZJHRSFUQF-HNNXBMFYSA-N 1 2 298.415 3.570 20 0 CHADLO Fc1cccc2c1CC[C@@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000317386228 260209552 /nfs/dbraw/zinc/20/95/52/260209552.db2.gz FHEJUMNCZIAGII-KRWDZBQOSA-N 1 2 297.377 3.920 20 0 CHADLO CCC(O)(CC)CNc1[nH+]c2ccccc2cc1C ZINC000087029747 260211416 /nfs/dbraw/zinc/21/14/16/260211416.db2.gz NSFCMGYYXAOFLD-UHFFFAOYSA-N 1 2 258.365 3.506 20 0 CHADLO [NH3+][C@H](c1nc(C2CCCCCC2)no1)c1ccccc1 ZINC000087945614 260219962 /nfs/dbraw/zinc/21/99/62/260219962.db2.gz GHDQEDRBKNQVGR-AWEZNQCLSA-N 1 2 271.364 3.556 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc2cccnc21 ZINC000090207476 260239422 /nfs/dbraw/zinc/23/94/22/260239422.db2.gz VJSRRLZTHWCJNP-UHFFFAOYSA-N 1 2 266.348 3.624 20 0 CHADLO Cc1noc(C)c1[C@@H](C)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000092340361 260269391 /nfs/dbraw/zinc/26/93/91/260269391.db2.gz JSRSROCOIATQGC-SSDOTTSWSA-N 1 2 285.269 3.878 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2noc(-c3ccccc3)n2)s1 ZINC000076497087 260293458 /nfs/dbraw/zinc/29/34/58/260293458.db2.gz IOEBBUCYFIKIJF-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2noc(-c3ccccc3)n2)s1 ZINC000076497087 260293459 /nfs/dbraw/zinc/29/34/59/260293459.db2.gz IOEBBUCYFIKIJF-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+][C@@H]2CCCOC2)C(F)F)c1 ZINC000521068125 260372213 /nfs/dbraw/zinc/37/22/13/260372213.db2.gz MXTXYVICYIMEFH-HIFRSBDPSA-N 1 2 299.361 3.549 20 0 CHADLO COC1CCC([NH2+]c2ccc(OC(C)C)cc2)CC1 ZINC000129859577 260390599 /nfs/dbraw/zinc/39/05/99/260390599.db2.gz RIWHQCOJNVJPST-UHFFFAOYSA-N 1 2 263.381 3.843 20 0 CHADLO CC(C)c1nc(NCc2cccc3ccccc32)cc[nH+]1 ZINC000518392140 260396936 /nfs/dbraw/zinc/39/69/36/260396936.db2.gz BVWPZJJOHPOBBW-UHFFFAOYSA-N 1 2 277.371 3.787 20 0 CHADLO C[C@H](CC(C)(C)C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000449322134 260411200 /nfs/dbraw/zinc/41/12/00/260411200.db2.gz WDNMBWGBORXONP-CYBMUJFWSA-N 1 2 272.396 3.563 20 0 CHADLO CN(C)c1ccc(NCc2cc3ccccc3s2)[nH+]c1 ZINC000427764999 260830991 /nfs/dbraw/zinc/83/09/91/260830991.db2.gz AUEUWOPEQQYMQD-UHFFFAOYSA-N 1 2 283.400 3.974 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1ccccn1 ZINC000169274495 260921839 /nfs/dbraw/zinc/92/18/39/260921839.db2.gz OISYFCMYIWLFIN-NSHDSACASA-N 1 2 276.767 3.594 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1ccc(C(C)(C)C)s1 ZINC000151222588 260979175 /nfs/dbraw/zinc/97/91/75/260979175.db2.gz NWTVWIURCATPJZ-UHFFFAOYSA-N 1 2 290.388 3.702 20 0 CHADLO CC[C@](C)([NH2+]Cc1cc(F)ccc1F)c1nccs1 ZINC000152663037 261067244 /nfs/dbraw/zinc/06/72/44/261067244.db2.gz QZCRMXKTNGIQLM-AWEZNQCLSA-N 1 2 282.359 3.836 20 0 CHADLO CC1(c2ccccc2)CC[NH+](Cc2noc(C3CC3)n2)CC1 ZINC000153708201 261081637 /nfs/dbraw/zinc/08/16/37/261081637.db2.gz NGNLVJROVQBDSK-UHFFFAOYSA-N 1 2 297.402 3.501 20 0 CHADLO Cc1ccc(NC(=O)N2CCCC[C@H]2C(C)C)c(C)[nH+]1 ZINC000156176259 261116027 /nfs/dbraw/zinc/11/60/27/261116027.db2.gz JFFOQPYBCVLTHD-HNNXBMFYSA-N 1 2 275.396 3.741 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1ccccc1OC)c1ccc(C)o1 ZINC000156424809 261122646 /nfs/dbraw/zinc/12/26/46/261122646.db2.gz BNBWDUYJRVDSQF-HIFRSBDPSA-N 1 2 289.375 3.635 20 0 CHADLO Cc1nc(N(C)[C@H](C)Cc2ccc(Cl)cc2)cc[nH+]1 ZINC000361046320 261152380 /nfs/dbraw/zinc/15/23/80/261152380.db2.gz WOMKCICOPYPILO-LLVKDONJSA-N 1 2 275.783 3.506 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@H](C(F)(F)F)[C@@H]2C)s1 ZINC000361141249 261165145 /nfs/dbraw/zinc/16/51/45/261165145.db2.gz MVLCHJPFTGZZFK-ONGXEEELSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@H](C(F)(F)F)[C@@H]2C)s1 ZINC000361141249 261165147 /nfs/dbraw/zinc/16/51/47/261165147.db2.gz MVLCHJPFTGZZFK-ONGXEEELSA-N 1 2 292.370 3.868 20 0 CHADLO Cc1nc(CNc2c[nH+]ccc2OC(C)(C)C)cs1 ZINC000158450875 261165550 /nfs/dbraw/zinc/16/55/50/261165550.db2.gz LBNYQFPONJLZDQ-UHFFFAOYSA-N 1 2 277.393 3.636 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccc([C@H]3C[C@H]3C)o2)s1 ZINC000174627315 261190930 /nfs/dbraw/zinc/19/09/30/261190930.db2.gz PFEJQPCQOLRZEC-MFKMUULPSA-N 1 2 276.405 3.712 20 0 CHADLO Cc1cc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)ccc1F ZINC000103433341 261282864 /nfs/dbraw/zinc/28/28/64/261282864.db2.gz MITYEFGCGLHMLG-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000162550617 261285824 /nfs/dbraw/zinc/28/58/24/261285824.db2.gz CHGUZPRIEJAIRQ-AWEZNQCLSA-N 1 2 287.407 3.950 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000162550617 261285826 /nfs/dbraw/zinc/28/58/26/261285826.db2.gz CHGUZPRIEJAIRQ-AWEZNQCLSA-N 1 2 287.407 3.950 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)c1ccc(F)cc1F ZINC000103966674 261286506 /nfs/dbraw/zinc/28/65/06/261286506.db2.gz JHZLRMKMJZDSPX-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO Cc1ccc(NC(=O)c2cc3c(cccc3F)[nH]2)c(C)[nH+]1 ZINC000176510804 261293539 /nfs/dbraw/zinc/29/35/39/261293539.db2.gz RVBJKJATMYXQOW-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO Cc1cc(C)c(NC(=O)C2(Cc3ccccc3)CC2)c(C)[nH+]1 ZINC000176906904 261316699 /nfs/dbraw/zinc/31/66/99/261316699.db2.gz LWSRNBTXRHRAEG-UHFFFAOYSA-N 1 2 294.398 3.968 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccs1)c1ccccc1F ZINC000163472871 261318092 /nfs/dbraw/zinc/31/80/92/261318092.db2.gz QLGROGWVCXILJS-GFCCVEGCSA-N 1 2 250.342 3.523 20 0 CHADLO CCc1nc2cc(N[C@@H](CC)c3[nH+]ccn3C)ccc2o1 ZINC000179875589 261820973 /nfs/dbraw/zinc/82/09/73/261820973.db2.gz FBOXAQSZXREZDP-LBPRGKRZSA-N 1 2 284.363 3.687 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2cccc(OC(C)C)c2)s1 ZINC000180682944 261870066 /nfs/dbraw/zinc/87/00/66/261870066.db2.gz GJAYSCAJHGQTGI-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2cccc(OC(C)C)c2)s1 ZINC000180682944 261870069 /nfs/dbraw/zinc/87/00/69/261870069.db2.gz GJAYSCAJHGQTGI-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2cccc(Cl)n2)s1 ZINC000183965624 262002878 /nfs/dbraw/zinc/00/28/78/262002878.db2.gz YIJIGHKSUAYFRM-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccnc(Cl)c2)cs1 ZINC000184676136 262026170 /nfs/dbraw/zinc/02/61/70/262026170.db2.gz MWZQPFPKQODRIA-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO c1coc(-c2cc(CSc3[nH+]cc4ccccn43)no2)c1 ZINC000019122415 262032356 /nfs/dbraw/zinc/03/23/56/262032356.db2.gz RKTCZBDOBJJCFJ-UHFFFAOYSA-N 1 2 297.339 3.875 20 0 CHADLO COCc1ccc([C@H]2CCC[N@@H+]2Cc2ncc(C)s2)o1 ZINC000186142819 262081631 /nfs/dbraw/zinc/08/16/31/262081631.db2.gz YOZSEKCFTKHXLY-CYBMUJFWSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1ccc([C@H]2CCC[N@H+]2Cc2ncc(C)s2)o1 ZINC000186142819 262081632 /nfs/dbraw/zinc/08/16/32/262081632.db2.gz YOZSEKCFTKHXLY-CYBMUJFWSA-N 1 2 292.404 3.528 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+][C@H](CO)c2ccccc2)cs1 ZINC000186340662 262089646 /nfs/dbraw/zinc/08/96/46/262089646.db2.gz PEQXAURERZZWQC-TZMCWYRMSA-N 1 2 290.432 3.651 20 0 CHADLO Cc1cccc(C[NH2+]Cc2cc(COC(C)(C)C)on2)c1 ZINC000186431796 262092935 /nfs/dbraw/zinc/09/29/35/262092935.db2.gz OCWSZXWEZRQMKY-UHFFFAOYSA-N 1 2 288.391 3.588 20 0 CHADLO Cc1ccsc1C[N@@H+]1CCSC[C@@H]1c1nccs1 ZINC000186661264 262104161 /nfs/dbraw/zinc/10/41/61/262104161.db2.gz LYJQPKJEKKDURB-LLVKDONJSA-N 1 2 296.486 3.803 20 0 CHADLO Cc1ccsc1C[N@H+]1CCSC[C@@H]1c1nccs1 ZINC000186661264 262104164 /nfs/dbraw/zinc/10/41/64/262104164.db2.gz LYJQPKJEKKDURB-LLVKDONJSA-N 1 2 296.486 3.803 20 0 CHADLO C[C@@H](C(=O)Nc1ccsc1Cl)[NH+]1CCCCCC1 ZINC000186973785 262118966 /nfs/dbraw/zinc/11/89/66/262118966.db2.gz AOTKHYZOEWYQEN-JTQLQIEISA-N 1 2 286.828 3.605 20 0 CHADLO CC(C)(C)c1ccc2c(c1)C[N@@H+](Cc1ccon1)CC2 ZINC000182253404 262148943 /nfs/dbraw/zinc/14/89/43/262148943.db2.gz TWOCXASDXSESMO-UHFFFAOYSA-N 1 2 270.376 3.530 20 0 CHADLO CC(C)(C)c1ccc2c(c1)C[N@H+](Cc1ccon1)CC2 ZINC000182253404 262148944 /nfs/dbraw/zinc/14/89/44/262148944.db2.gz TWOCXASDXSESMO-UHFFFAOYSA-N 1 2 270.376 3.530 20 0 CHADLO CCC(C)(C)N(C)C(=O)/C=C\c1ccc(-n2cc[nH+]c2)cc1 ZINC000492015543 262175413 /nfs/dbraw/zinc/17/54/13/262175413.db2.gz DNCRSPHQBNCDLG-FLIBITNWSA-N 1 2 297.402 3.533 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@H](C)c3ccsc3)ccc2n1C ZINC000525775114 262198522 /nfs/dbraw/zinc/19/85/22/262198522.db2.gz XFYSPJNVPMNMAC-SNVBAGLBSA-N 1 2 299.399 3.685 20 0 CHADLO C(=C\c1ccccc1)\C[N@@H+]1CCOC[C@H]1c1ccccc1 ZINC000525781304 262227070 /nfs/dbraw/zinc/22/70/70/262227070.db2.gz SANGPSDMJXXRSC-KWEPMOPPSA-N 1 2 279.383 3.773 20 0 CHADLO C(=C\c1ccccc1)\C[N@H+]1CCOC[C@H]1c1ccccc1 ZINC000525781304 262227071 /nfs/dbraw/zinc/22/70/71/262227071.db2.gz SANGPSDMJXXRSC-KWEPMOPPSA-N 1 2 279.383 3.773 20 0 CHADLO CCc1cnc(C[N@@H+](CC)Cc2ccc(Cl)cc2)o1 ZINC000525782279 262230560 /nfs/dbraw/zinc/23/05/60/262230560.db2.gz BGKFMNXUNQZAFN-UHFFFAOYSA-N 1 2 278.783 3.913 20 0 CHADLO CCc1cnc(C[N@H+](CC)Cc2ccc(Cl)cc2)o1 ZINC000525782279 262230561 /nfs/dbraw/zinc/23/05/61/262230561.db2.gz BGKFMNXUNQZAFN-UHFFFAOYSA-N 1 2 278.783 3.913 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2n(n1)CCC2)c1ccccc1Cl ZINC000414047117 262797508 /nfs/dbraw/zinc/79/75/08/262797508.db2.gz BMKVJEOABZOLHJ-NEPJUHHUSA-N 1 2 289.810 3.895 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2nccc(C(F)(F)F)n2)o1 ZINC000414237132 262821470 /nfs/dbraw/zinc/82/14/70/262821470.db2.gz IUQMZCOPGUDHMJ-VIFPVBQESA-N 1 2 299.296 3.502 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2cnccc2C(C)(C)C)cs1 ZINC000414224263 262828236 /nfs/dbraw/zinc/82/82/36/262828236.db2.gz HINGZDNBQDUNBJ-LLVKDONJSA-N 1 2 289.448 3.995 20 0 CHADLO COc1ccc(Cl)cc1[C@@H](C)[NH2+][C@H](C)c1csnn1 ZINC000414304579 262848582 /nfs/dbraw/zinc/84/85/82/262848582.db2.gz OXHYYAXHHUJGAR-RKDXNWHRSA-N 1 2 297.811 3.612 20 0 CHADLO COCC[N@H+](CC(F)F)[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437620683 263026872 /nfs/dbraw/zinc/02/68/72/263026872.db2.gz MZUZVSRKMJLSNK-WBVHZDCISA-N 1 2 297.389 3.710 20 0 CHADLO COCC[N@@H+](CC(F)F)[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437620683 263026873 /nfs/dbraw/zinc/02/68/73/263026873.db2.gz MZUZVSRKMJLSNK-WBVHZDCISA-N 1 2 297.389 3.710 20 0 CHADLO CCN(C)c1ccc(CNc2ccc3c(c2)CCC[NH2+]3)cn1 ZINC000414850282 263066144 /nfs/dbraw/zinc/06/61/44/263066144.db2.gz MKQGNVIMTBHUSG-UHFFFAOYSA-N 1 2 296.418 3.508 20 0 CHADLO CC(C)(C)n1cc(CNc2ccc3c(c2)CCC[NH2+]3)cn1 ZINC000414852132 263069332 /nfs/dbraw/zinc/06/93/32/263069332.db2.gz PGFIXPVUOVWTBO-UHFFFAOYSA-N 1 2 284.407 3.608 20 0 CHADLO COc1cccc(CNc2ccc3c(c2)CCC[NH2+]3)c1OC ZINC000414855774 263072069 /nfs/dbraw/zinc/07/20/69/263072069.db2.gz XMUOYZYIAKVUQH-UHFFFAOYSA-N 1 2 298.386 3.674 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414856297 263073042 /nfs/dbraw/zinc/07/30/42/263073042.db2.gz YQPQIOWQKQVQBN-UHFFFAOYSA-N 1 2 284.407 3.743 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cnn(-c2ccccc2)c1C ZINC000296581141 263193190 /nfs/dbraw/zinc/19/31/90/263193190.db2.gz VYUDZSISLXKMRV-ZDUSSCGKSA-N 1 2 295.390 3.570 20 0 CHADLO CC[C@@H]([NH2+][C@H](COC)c1ccc(Cl)cc1)C(F)F ZINC000453254008 263210953 /nfs/dbraw/zinc/21/09/53/263210953.db2.gz XOVIXLJPHZWAFP-VXGBXAGGSA-N 1 2 277.742 3.661 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](c2ccc(F)cc2)C(C)(C)C)o1 ZINC000299088813 263230207 /nfs/dbraw/zinc/23/02/07/263230207.db2.gz VDWHLQZCUIRIGF-HNNXBMFYSA-N 1 2 291.370 3.648 20 0 CHADLO Cc1cccc(C[N@H+](Cc2cnn(C)c2)Cc2ccco2)c1 ZINC000299559503 263246646 /nfs/dbraw/zinc/24/66/46/263246646.db2.gz LFBSIXZFZLCVQK-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2cnn(C)c2)Cc2ccco2)c1 ZINC000299559503 263246647 /nfs/dbraw/zinc/24/66/47/263246647.db2.gz LFBSIXZFZLCVQK-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO CC(C)n1ccnc1C[NH2+][C@H](C)c1ccccc1Cl ZINC000299555142 263246977 /nfs/dbraw/zinc/24/69/77/263246977.db2.gz DBKHMRJELSHCDE-GFCCVEGCSA-N 1 2 277.799 3.968 20 0 CHADLO CCCc1ncc(C[NH2+][C@H](C)c2cc(F)ccc2F)o1 ZINC000453396659 263264535 /nfs/dbraw/zinc/26/45/35/263264535.db2.gz UYSDCVCDSKEKCH-SNVBAGLBSA-N 1 2 280.318 3.756 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ncc[nH]2)c2ccc(F)cc2)cc1 ZINC000187689897 263265450 /nfs/dbraw/zinc/26/54/50/263265450.db2.gz UWWAQYRVEMQMLI-KRWDZBQOSA-N 1 2 295.361 3.736 20 0 CHADLO CC[C@H](NC(=O)c1cccc(Cl)c1Cl)c1[nH]cc[nH+]1 ZINC000140558823 263677916 /nfs/dbraw/zinc/67/79/16/263677916.db2.gz ANRJQHKKTBDOTQ-JTQLQIEISA-N 1 2 298.173 3.598 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)[C@H](C)[C@H]2C)c(C)[nH+]1 ZINC000417148217 263783846 /nfs/dbraw/zinc/78/38/46/263783846.db2.gz GPNLGRMKLVBXTO-VHRBIJSZSA-N 1 2 275.396 3.515 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)Cc1ccc(F)cc1Cl ZINC000425341942 264009694 /nfs/dbraw/zinc/00/96/94/264009694.db2.gz XYDFOWYUKDAVFJ-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO C[C@@H]([NH2+]C1CCC(c2ccc(O)cc2)CC1)C(F)(F)F ZINC000425350392 264024580 /nfs/dbraw/zinc/02/45/80/264024580.db2.gz HQWPXSRDTCZBLR-XSRFYTQQSA-N 1 2 287.325 3.959 20 0 CHADLO CC[C@@H]1C[C@@H](CC(=O)Nc2c(C)cc(C)[nH+]c2C)CCO1 ZINC000330784464 264052769 /nfs/dbraw/zinc/05/27/69/264052769.db2.gz KASDNTQNLBHIEU-LSDHHAIUSA-N 1 2 290.407 3.541 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C(C)(C)C)o1)c1c(C)noc1C ZINC000330863547 264056541 /nfs/dbraw/zinc/05/65/41/264056541.db2.gz QVWHDANORFWYQP-LBPRGKRZSA-N 1 2 291.395 3.818 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CC[C@H](C)c2ccccc21 ZINC000425362493 264134294 /nfs/dbraw/zinc/13/42/94/264134294.db2.gz VHKNFEHIQUWOOM-AWEZNQCLSA-N 1 2 269.392 3.978 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCC(F)(F)[C@H](C)C2)c(F)c1 ZINC000425381978 264218412 /nfs/dbraw/zinc/21/84/12/264218412.db2.gz NBAJHQRIDDIHFJ-SNVBAGLBSA-N 1 2 275.289 3.750 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCC(F)(F)[C@H](C)C2)c(F)c1 ZINC000425381978 264218414 /nfs/dbraw/zinc/21/84/14/264218414.db2.gz NBAJHQRIDDIHFJ-SNVBAGLBSA-N 1 2 275.289 3.750 20 0 CHADLO COc1cc(N[C@@H](c2[nH]cc[nH+]2)c2ccccc2)ccc1F ZINC000188585521 264223846 /nfs/dbraw/zinc/22/38/46/264223846.db2.gz GHCPLRBMGGORRP-MRXNPFEDSA-N 1 2 297.333 3.759 20 0 CHADLO CCC[N@H+](Cc1nc(C(F)F)no1)Cc1ccc(F)cc1 ZINC000425396513 264275829 /nfs/dbraw/zinc/27/58/29/264275829.db2.gz KCNMNSIIKGFORI-UHFFFAOYSA-N 1 2 299.296 3.559 20 0 CHADLO CCC[N@@H+](Cc1nc(C(F)F)no1)Cc1ccc(F)cc1 ZINC000425396513 264275830 /nfs/dbraw/zinc/27/58/30/264275830.db2.gz KCNMNSIIKGFORI-UHFFFAOYSA-N 1 2 299.296 3.559 20 0 CHADLO CC[N@H+](Cc1nc(C(F)F)no1)[C@H](C)c1ccc(F)cc1 ZINC000425399404 264276778 /nfs/dbraw/zinc/27/67/78/264276778.db2.gz BDQYUUQUMZQIDA-SECBINFHSA-N 1 2 299.296 3.729 20 0 CHADLO CC[N@@H+](Cc1nc(C(F)F)no1)[C@H](C)c1ccc(F)cc1 ZINC000425399404 264276779 /nfs/dbraw/zinc/27/67/79/264276779.db2.gz BDQYUUQUMZQIDA-SECBINFHSA-N 1 2 299.296 3.729 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nc(C(F)F)no1)c1ccc(F)cc1 ZINC000425411484 264293674 /nfs/dbraw/zinc/29/36/74/264293674.db2.gz DKRDHNBRQMKFDM-LBPRGKRZSA-N 1 2 299.296 3.633 20 0 CHADLO COc1cccc2c(NCc3cncc(C)c3)cc[nH+]c12 ZINC000189562753 264307847 /nfs/dbraw/zinc/30/78/47/264307847.db2.gz SGEMCPOGXGVRFJ-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2cc3ccc(C)cc3o2)[nH]1 ZINC000426015112 264309325 /nfs/dbraw/zinc/30/93/25/264309325.db2.gz FJNHLKKJYPTXPW-RYUDHWBXSA-N 1 2 298.390 3.834 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1nc(C(F)F)no1)c1ccccc1 ZINC000425427336 264314645 /nfs/dbraw/zinc/31/46/45/264314645.db2.gz SJDPZIKZZQGMNR-GFCCVEGCSA-N 1 2 295.333 3.884 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nc(C(F)F)no1)C(C)C ZINC000425454296 264329035 /nfs/dbraw/zinc/32/90/35/264329035.db2.gz GLLXKVNVEFNKJM-CYBMUJFWSA-N 1 2 295.333 3.803 20 0 CHADLO CC(C)CC1(C)CC[NH+](Cc2nc(C(F)F)no2)CC1 ZINC000425458574 264330436 /nfs/dbraw/zinc/33/04/36/264330436.db2.gz NGKYWIWDMOXCEA-UHFFFAOYSA-N 1 2 287.354 3.655 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1ncn(C)n1)c1ccc(Cl)cc1 ZINC000391872943 265019327 /nfs/dbraw/zinc/01/93/27/265019327.db2.gz JIBDMPAJVJXAAG-BXUZGUMPSA-N 1 2 292.814 3.661 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccn(C(F)F)n2)[C@H](c2ccco2)C1 ZINC000347707770 265136858 /nfs/dbraw/zinc/13/68/58/265136858.db2.gz RLCPDWRAESRDPC-AAEUAGOBSA-N 1 2 295.333 3.844 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccn(C(F)F)n2)[C@H](c2ccco2)C1 ZINC000347707770 265136859 /nfs/dbraw/zinc/13/68/59/265136859.db2.gz RLCPDWRAESRDPC-AAEUAGOBSA-N 1 2 295.333 3.844 20 0 CHADLO Cc1c[nH+]c(C)nc1N1C[C@@H](c2ccc(F)cc2)C[C@@H]1C ZINC000519700820 265554429 /nfs/dbraw/zinc/55/44/29/265554429.db2.gz GUMWGOLCPMUCRC-WFASDCNBSA-N 1 2 285.366 3.615 20 0 CHADLO CC1(C)CCC(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000356277030 266085969 /nfs/dbraw/zinc/08/59/69/266085969.db2.gz ILXHMZGEFPEOAU-UHFFFAOYSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)c(C)c2)o1 ZINC000356281659 266086815 /nfs/dbraw/zinc/08/68/15/266086815.db2.gz MPGBIUZPJXFTMQ-NWDGAFQWSA-N 1 2 262.328 3.842 20 0 CHADLO OCc1ccc(NCc2ccc(-c3ccccc3F)o2)[nH+]c1 ZINC000356310741 266094177 /nfs/dbraw/zinc/09/41/77/266094177.db2.gz AGCBOJHYBWHVGQ-UHFFFAOYSA-N 1 2 298.317 3.585 20 0 CHADLO OCc1ccc(NCc2ccc(-c3ccc(F)cc3)o2)[nH+]c1 ZINC000356316227 266094994 /nfs/dbraw/zinc/09/49/94/266094994.db2.gz JDSNQGUAMBXROG-UHFFFAOYSA-N 1 2 298.317 3.585 20 0 CHADLO COc1cc(F)c(F)cc1NCCCc1ccc(C)[nH+]c1 ZINC000356374704 266104132 /nfs/dbraw/zinc/10/41/32/266104132.db2.gz XDZIMYVTQUKABX-UHFFFAOYSA-N 1 2 292.329 3.722 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)s1 ZINC000356415035 266110034 /nfs/dbraw/zinc/11/00/34/266110034.db2.gz OOWDGDAXQISCSC-LLVKDONJSA-N 1 2 279.434 3.530 20 0 CHADLO CSc1ccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)s1 ZINC000356415035 266110037 /nfs/dbraw/zinc/11/00/37/266110037.db2.gz OOWDGDAXQISCSC-LLVKDONJSA-N 1 2 279.434 3.530 20 0 CHADLO CSc1ccc(CN2CCC[C@@H]2c2[nH]cc[nH+]2)s1 ZINC000356415035 266110040 /nfs/dbraw/zinc/11/00/40/266110040.db2.gz OOWDGDAXQISCSC-LLVKDONJSA-N 1 2 279.434 3.530 20 0 CHADLO C[C@@H]([NH2+]Cc1cscc1C(F)(F)F)c1ccon1 ZINC000356435996 266113341 /nfs/dbraw/zinc/11/33/41/266113341.db2.gz UCUTXUQEUCEHPF-SSDOTTSWSA-N 1 2 276.283 3.606 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CC=C(c2cccc(Cl)c2)CC1 ZINC000356433976 266113675 /nfs/dbraw/zinc/11/36/75/266113675.db2.gz VTWQZWMSNFIYTP-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CC=C(c2cccc(Cl)c2)CC1 ZINC000356433976 266113678 /nfs/dbraw/zinc/11/36/78/266113678.db2.gz VTWQZWMSNFIYTP-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1ccc([C@@H](C)c2noc(Cc3ccc(C)[nH+]c3)n2)cc1 ZINC000356500137 266126764 /nfs/dbraw/zinc/12/67/64/266126764.db2.gz CTCOYTSOLWJLSI-CQSZACIVSA-N 1 2 293.370 3.824 20 0 CHADLO C[C@@H]1CCCC[C@@H]1c1noc(C[N@H+](C)Cc2ccccc2)n1 ZINC000356589675 266144139 /nfs/dbraw/zinc/14/41/39/266144139.db2.gz BGSXLDUHIFPFFI-ZBFHGGJFSA-N 1 2 299.418 3.995 20 0 CHADLO C[C@@H]1CCCC[C@@H]1c1noc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000356589675 266144145 /nfs/dbraw/zinc/14/41/45/266144145.db2.gz BGSXLDUHIFPFFI-ZBFHGGJFSA-N 1 2 299.418 3.995 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+][C@@H](C)c1nc(C)cs1 ZINC000356906523 266209823 /nfs/dbraw/zinc/20/98/23/266209823.db2.gz WAUDBNVYYPMVOU-RYUDHWBXSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(-c3ccc(C)cc3)o2)n1 ZINC000356919935 266211564 /nfs/dbraw/zinc/21/15/64/266211564.db2.gz OLBLDXRWJDKEDK-LBPRGKRZSA-N 1 2 297.358 3.797 20 0 CHADLO CC[N@H+](Cc1cccs1)[C@@H](C)c1ncc(C)o1 ZINC000356958052 266218438 /nfs/dbraw/zinc/21/84/38/266218438.db2.gz SPVNFJYGIDTJBT-NSHDSACASA-N 1 2 250.367 3.628 20 0 CHADLO CC[N@@H+](Cc1cccs1)[C@@H](C)c1ncc(C)o1 ZINC000356958052 266218443 /nfs/dbraw/zinc/21/84/43/266218443.db2.gz SPVNFJYGIDTJBT-NSHDSACASA-N 1 2 250.367 3.628 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Oc3ccccc3)o2)[C@@H](C)CO1 ZINC000356996168 266226724 /nfs/dbraw/zinc/22/67/24/266226724.db2.gz QAUPMUNCGSSKCQ-UONOGXRCSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Oc3ccccc3)o2)[C@@H](C)CO1 ZINC000356996168 266226729 /nfs/dbraw/zinc/22/67/29/266226729.db2.gz QAUPMUNCGSSKCQ-UONOGXRCSA-N 1 2 287.359 3.681 20 0 CHADLO COc1c(C)cc(C[N@H+](C)[C@H](C)c2ncc(C)o2)cc1C ZINC000357003853 266230256 /nfs/dbraw/zinc/23/02/56/266230256.db2.gz OLNAQFPPHZQLOK-CQSZACIVSA-N 1 2 288.391 3.801 20 0 CHADLO COc1c(C)cc(C[N@@H+](C)[C@H](C)c2ncc(C)o2)cc1C ZINC000357003853 266230259 /nfs/dbraw/zinc/23/02/59/266230259.db2.gz OLNAQFPPHZQLOK-CQSZACIVSA-N 1 2 288.391 3.801 20 0 CHADLO CCOCCCSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000357062142 266240831 /nfs/dbraw/zinc/24/08/31/266240831.db2.gz WAEJURCLKNMGGZ-UHFFFAOYSA-N 1 2 276.405 3.532 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@]3(CC=CCC3)C2)no1 ZINC000114495238 266309353 /nfs/dbraw/zinc/30/93/53/266309353.db2.gz APXOKHSKRNFZJA-QGZVFWFLSA-N 1 2 289.423 3.735 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@]3(CC=CCC3)C2)no1 ZINC000114495238 266309355 /nfs/dbraw/zinc/30/93/55/266309355.db2.gz APXOKHSKRNFZJA-QGZVFWFLSA-N 1 2 289.423 3.735 20 0 CHADLO Cc1ccc(CNc2cc[nH+]c(OCC(F)(F)F)c2)o1 ZINC000357700003 266348623 /nfs/dbraw/zinc/34/86/23/266348623.db2.gz FHWUVWLRJBUWHF-UHFFFAOYSA-N 1 2 286.253 3.536 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1cc(-c2ccco2)on1 ZINC000357775677 266358307 /nfs/dbraw/zinc/35/83/07/266358307.db2.gz QKSNUKNBGWGSLD-ZDUSSCGKSA-N 1 2 294.354 3.881 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1cc(-c2ccco2)on1 ZINC000357775677 266358311 /nfs/dbraw/zinc/35/83/11/266358311.db2.gz QKSNUKNBGWGSLD-ZDUSSCGKSA-N 1 2 294.354 3.881 20 0 CHADLO Cc1ccc(NC(=O)C2(C)CCCCCC2)c(C)[nH+]1 ZINC000357990450 266395059 /nfs/dbraw/zinc/39/50/59/266395059.db2.gz RSNPXZTXULDTJQ-UHFFFAOYSA-N 1 2 260.381 3.997 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[NH2+][C@H](C)c1ccccn1 ZINC000359659423 266617876 /nfs/dbraw/zinc/61/78/76/266617876.db2.gz UQTHIWMEVUBSPA-CYBMUJFWSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1ccc(C(=O)NC(C)(C)C)cc1Oc1cc[nH+]cc1 ZINC000114996865 267030920 /nfs/dbraw/zinc/03/09/20/267030920.db2.gz UAPJODBPQRJTGG-UHFFFAOYSA-N 1 2 284.359 3.711 20 0 CHADLO CN(Cc1ccc(Cl)cc1)c1cc[nH+]c2ccncc21 ZINC000362836564 267052916 /nfs/dbraw/zinc/05/29/16/267052916.db2.gz HQZSMTSUCOQSFQ-UHFFFAOYSA-N 1 2 283.762 3.920 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2ccc(F)cc2)nn1C ZINC000362954421 267065604 /nfs/dbraw/zinc/06/56/04/267065604.db2.gz KRMLHQROGUCOHO-KRWDZBQOSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2ccc(F)cc2)nn1C ZINC000362954421 267065605 /nfs/dbraw/zinc/06/56/05/267065605.db2.gz KRMLHQROGUCOHO-KRWDZBQOSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1c2cc(F)ccc2oc1C[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367727739 267113718 /nfs/dbraw/zinc/11/37/18/267113718.db2.gz QHWOTDBHFYJXBW-AWEZNQCLSA-N 1 2 299.349 3.771 20 0 CHADLO CCCCC[C@](C)(CC)C(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000368711864 267198110 /nfs/dbraw/zinc/19/81/10/267198110.db2.gz IQEJDDQFNCWSNS-KRWDZBQOSA-N 1 2 291.439 3.530 20 0 CHADLO CCCCC[C@@](C)(CC)C(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000368711865 267198708 /nfs/dbraw/zinc/19/87/08/267198708.db2.gz IQEJDDQFNCWSNS-QGZVFWFLSA-N 1 2 291.439 3.530 20 0 CHADLO Fc1ccc2c(c1)CC[N@@H+](Cc1cc(-c3ccco3)on1)C2 ZINC000118990626 267284375 /nfs/dbraw/zinc/28/43/75/267284375.db2.gz ZFWQREFJBCMZQZ-UHFFFAOYSA-N 1 2 298.317 3.632 20 0 CHADLO Fc1ccc2c(c1)CC[N@H+](Cc1cc(-c3ccco3)on1)C2 ZINC000118990626 267284377 /nfs/dbraw/zinc/28/43/77/267284377.db2.gz ZFWQREFJBCMZQZ-UHFFFAOYSA-N 1 2 298.317 3.632 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000370053951 267287011 /nfs/dbraw/zinc/28/70/11/267287011.db2.gz SHMWWMXZJNOILK-INIZCTEOSA-N 1 2 285.391 3.534 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000370053951 267287013 /nfs/dbraw/zinc/28/70/13/267287013.db2.gz SHMWWMXZJNOILK-INIZCTEOSA-N 1 2 285.391 3.534 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)c2ccccc2F)c(C)[nH+]1 ZINC000119221281 267311224 /nfs/dbraw/zinc/31/12/24/267311224.db2.gz DRIJJVXTCCHCSS-LLVKDONJSA-N 1 2 272.323 3.580 20 0 CHADLO Cc1cc(NC(=O)N(C)CCCCc2ccccc2)cc[nH+]1 ZINC000119274569 267314367 /nfs/dbraw/zinc/31/43/67/267314367.db2.gz MKISJBNYLIIDFO-UHFFFAOYSA-N 1 2 297.402 3.877 20 0 CHADLO CCO[C@@H](CNc1cc(C)[nH+]c(C2CC2)n1)c1ccccc1 ZINC000193395518 267317876 /nfs/dbraw/zinc/31/78/76/267317876.db2.gz UOAOQZWWSASVBF-INIZCTEOSA-N 1 2 297.402 3.852 20 0 CHADLO Cc1oncc1C[N@@H+]1CCCC[C@H]1c1nc2ccccc2o1 ZINC000370453731 267319455 /nfs/dbraw/zinc/31/94/55/267319455.db2.gz VUMFJCGJQWOBJI-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1oncc1C[N@H+]1CCCC[C@H]1c1nc2ccccc2o1 ZINC000370453731 267319457 /nfs/dbraw/zinc/31/94/57/267319457.db2.gz VUMFJCGJQWOBJI-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1cc(NC(=O)N[C@H]2CCCc3ccccc32)cc[nH+]1 ZINC000119307268 267320901 /nfs/dbraw/zinc/32/09/01/267320901.db2.gz IXQNLIMNKNFRCS-INIZCTEOSA-N 1 2 281.359 3.589 20 0 CHADLO C[C@@H]1CCC[C@@H](CC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C1 ZINC000120126416 267384957 /nfs/dbraw/zinc/38/49/57/267384957.db2.gz LZQDVPJHCKQKAD-RBSFLKMASA-N 1 2 289.423 3.680 20 0 CHADLO CCc1ncc(C[NH2+]Cc2ncc(-c3ccccc3)s2)o1 ZINC000570787957 327619079 /nfs/dbraw/zinc/61/90/79/327619079.db2.gz NQEUQWVLRNXXFU-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO Cc1cnccc1SCCCc1[nH]c2ccccc2[nH+]1 ZINC000570806206 327620718 /nfs/dbraw/zinc/62/07/18/327620718.db2.gz DDHXOFKPOQPMRG-UHFFFAOYSA-N 1 2 283.400 3.991 20 0 CHADLO CCc1cc(N)nc(SCc2ccc(C(F)F)cc2)[nH+]1 ZINC000580153695 327712923 /nfs/dbraw/zinc/71/29/23/327712923.db2.gz NFXZNFZVMCJQQR-UHFFFAOYSA-N 1 2 295.358 3.681 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000181752135 327741698 /nfs/dbraw/zinc/74/16/98/327741698.db2.gz RAPIBKFDBRMIKX-VIFPVBQESA-N 1 2 282.746 3.935 20 0 CHADLO CC(C)(C)[C@H]([NH2+]C[C@@H]1CCC(F)(F)C1)C(F)(F)F ZINC000582103427 327856052 /nfs/dbraw/zinc/85/60/52/327856052.db2.gz RVDYGRURFSVKLE-BDAKNGLRSA-N 1 2 273.289 3.988 20 0 CHADLO C[C@H]([NH2+]Cc1cn2ccsc2n1)c1ccccc1Cl ZINC000057624755 327930444 /nfs/dbraw/zinc/93/04/44/327930444.db2.gz AGXXQKLVRCKJJJ-JTQLQIEISA-N 1 2 291.807 3.900 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1nccs1 ZINC000063105927 327944164 /nfs/dbraw/zinc/94/41/64/327944164.db2.gz RMOJOVPSMHVQIZ-UHFFFAOYSA-N 1 2 255.346 3.612 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2nnc(C(C)C)o2)cc1 ZINC000337214523 327951667 /nfs/dbraw/zinc/95/16/67/327951667.db2.gz FGZGDOBGYXWNNY-LLVKDONJSA-N 1 2 291.420 3.766 20 0 CHADLO COc1ccc([NH2+][C@H]2CCCC23CCOCC3)c(C)c1 ZINC000282587021 327969769 /nfs/dbraw/zinc/96/97/69/327969769.db2.gz VJEJWJYLHUGVRY-INIZCTEOSA-N 1 2 275.392 3.765 20 0 CHADLO COc1ccc(F)c(NCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000123884600 327971107 /nfs/dbraw/zinc/97/11/07/327971107.db2.gz QVWWCIDKTWBBPB-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1cc(Nc2ccc3ncsc3c2)nc(C(C)C)[nH+]1 ZINC000531600089 328020366 /nfs/dbraw/zinc/02/03/66/328020366.db2.gz BSXDTDMSSGUYFK-UHFFFAOYSA-N 1 2 284.388 3.684 20 0 CHADLO CCC1(C(=O)Nc2ccc3c(c2)[nH+]c(C(C)(C)C)n3C)CC1 ZINC000534115666 328036130 /nfs/dbraw/zinc/03/61/30/328036130.db2.gz PLLYNDMVKXZKHT-UHFFFAOYSA-N 1 2 299.418 4.000 20 0 CHADLO FC(F)C[N@H+](CCc1ccc2c(c1)CCO2)CC1CCC1 ZINC000534170734 328037909 /nfs/dbraw/zinc/03/79/09/328037909.db2.gz DYJZIOMWRBVHJQ-UHFFFAOYSA-N 1 2 295.373 3.531 20 0 CHADLO FC(F)C[N@@H+](CCc1ccc2c(c1)CCO2)CC1CCC1 ZINC000534170734 328037911 /nfs/dbraw/zinc/03/79/11/328037911.db2.gz DYJZIOMWRBVHJQ-UHFFFAOYSA-N 1 2 295.373 3.531 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCCc2ccccc2C1 ZINC000534282685 328042600 /nfs/dbraw/zinc/04/26/00/328042600.db2.gz BVDYPNYJDZKKJX-UHFFFAOYSA-N 1 2 277.371 3.526 20 0 CHADLO CC(C)CC[N@H+](Cc1nnsc1Cl)C1CCCC1 ZINC000110364277 328048938 /nfs/dbraw/zinc/04/89/38/328048938.db2.gz ILFSUFMKPZTHAC-UHFFFAOYSA-N 1 2 287.860 3.982 20 0 CHADLO CC(C)CC[N@@H+](Cc1nnsc1Cl)C1CCCC1 ZINC000110364277 328048939 /nfs/dbraw/zinc/04/89/39/328048939.db2.gz ILFSUFMKPZTHAC-UHFFFAOYSA-N 1 2 287.860 3.982 20 0 CHADLO CC(C)[C@@H](CC1CCCCC1)C(=O)N[C@H](C)c1[nH]cc[nH+]1 ZINC000563412048 328064556 /nfs/dbraw/zinc/06/45/56/328064556.db2.gz IPMKOXVRAZPQBJ-UKRRQHHQSA-N 1 2 291.439 3.830 20 0 CHADLO Cc1ncccc1C[N@H+]1Cc2cccc(Cl)c2C1 ZINC000377850276 329030430 /nfs/dbraw/zinc/03/04/30/329030430.db2.gz DBRQMFHDJVMFFN-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO c1sc(C[N@@H+]2CCC=C(c3ccccn3)C2)nc1C1CC1 ZINC000563545487 328072874 /nfs/dbraw/zinc/07/28/74/328072874.db2.gz OPQQRTOSFSVFGG-UHFFFAOYSA-N 1 2 297.427 3.705 20 0 CHADLO c1sc(C[N@H+]2CCC=C(c3ccccn3)C2)nc1C1CC1 ZINC000563545487 328072875 /nfs/dbraw/zinc/07/28/75/328072875.db2.gz OPQQRTOSFSVFGG-UHFFFAOYSA-N 1 2 297.427 3.705 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]C/C=C/c2ccc(Cl)cc2)n1 ZINC000563640625 328079761 /nfs/dbraw/zinc/07/97/61/328079761.db2.gz FDNPJDUQYLNOAD-SNAWJCMRSA-N 1 2 291.782 3.570 20 0 CHADLO C[C@H]1[C@H](CO)CCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000412068102 328102519 /nfs/dbraw/zinc/10/25/19/328102519.db2.gz DXAIQYJTWTUOJU-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1[C@H](CO)CCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000412068102 328102521 /nfs/dbraw/zinc/10/25/21/328102521.db2.gz DXAIQYJTWTUOJU-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1[C@H](CO)CCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000412067678 328102728 /nfs/dbraw/zinc/10/27/28/328102728.db2.gz DXAIQYJTWTUOJU-BDAKNGLRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1[C@H](CO)CCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000412067678 328102730 /nfs/dbraw/zinc/10/27/30/328102730.db2.gz DXAIQYJTWTUOJU-BDAKNGLRSA-N 1 2 294.247 3.648 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+]Cc2cnc(C3CC3)o2)cs1 ZINC000571494949 328125632 /nfs/dbraw/zinc/12/56/32/328125632.db2.gz ORSBNHJBHYQYJL-JTQLQIEISA-N 1 2 291.420 3.983 20 0 CHADLO Cc1cc2[nH+]cn([C@@H]3CCC(C)(C)C3=O)c2cc1C ZINC000413008984 328139129 /nfs/dbraw/zinc/13/91/29/328139129.db2.gz ZTRYRRUCWKCBBX-CYBMUJFWSA-N 1 2 256.349 3.583 20 0 CHADLO Cc1cc(N2C[C@@H](c3ccccc3)C3(CCC3)C2)nc[nH+]1 ZINC000413526774 328148624 /nfs/dbraw/zinc/14/86/24/328148624.db2.gz CUZIYIKZAWPZNK-INIZCTEOSA-N 1 2 279.387 3.559 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H](C)c2nccs2)s1 ZINC000414497283 328189110 /nfs/dbraw/zinc/18/91/10/328189110.db2.gz SJLGOELFCFWUSA-VIFPVBQESA-N 1 2 267.423 3.574 20 0 CHADLO CC1=CC[N@H+](Cc2nc3ccccc3n2C(F)F)CC1 ZINC000270114509 328191676 /nfs/dbraw/zinc/19/16/76/328191676.db2.gz DSCLWYUNLOAGEB-UHFFFAOYSA-N 1 2 277.318 3.583 20 0 CHADLO CC1=CC[N@@H+](Cc2nc3ccccc3n2C(F)F)CC1 ZINC000270114509 328191677 /nfs/dbraw/zinc/19/16/77/328191677.db2.gz DSCLWYUNLOAGEB-UHFFFAOYSA-N 1 2 277.318 3.583 20 0 CHADLO CCCCOc1ncccc1C[NH2+][C@H](C)c1cscn1 ZINC000271139562 328195492 /nfs/dbraw/zinc/19/54/92/328195492.db2.gz NYVDPVOFEIBTDG-GFCCVEGCSA-N 1 2 291.420 3.568 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCC2(C1)CCCCC2 ZINC000290047585 328257715 /nfs/dbraw/zinc/25/77/15/328257715.db2.gz BVLKJHNRQKFLMB-UHFFFAOYSA-N 1 2 298.390 3.522 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cccc(C)c2F)s1 ZINC000294882777 328283690 /nfs/dbraw/zinc/28/36/90/328283690.db2.gz QVXYGOLACYBLMP-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cccc(C)c2F)s1 ZINC000294882777 328283691 /nfs/dbraw/zinc/28/36/91/328283691.db2.gz QVXYGOLACYBLMP-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCC[C@H](c2ccncc2)C1 ZINC000295715155 328286720 /nfs/dbraw/zinc/28/67/20/328286720.db2.gz GMNYYQCGPRHMRO-OEMOTLHWSA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCC[C@H](c2ccncc2)C1 ZINC000295715155 328286722 /nfs/dbraw/zinc/28/67/22/328286722.db2.gz GMNYYQCGPRHMRO-OEMOTLHWSA-N 1 2 271.191 3.580 20 0 CHADLO CC(C)c1nc(N(C)CCCc2ccccc2)cc[nH+]1 ZINC000534606466 328321617 /nfs/dbraw/zinc/32/16/17/328321617.db2.gz WYMQPZKUOIAVGP-UHFFFAOYSA-N 1 2 269.392 3.669 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)C(C)C)cc2[nH+]1 ZINC000049486877 328363966 /nfs/dbraw/zinc/36/39/66/328363966.db2.gz AJVWMCODIWJQNL-UHFFFAOYSA-N 1 2 259.353 3.500 20 0 CHADLO Clc1ccc(OCCCSCCn2cc[nH+]c2)cc1 ZINC000534867708 328366121 /nfs/dbraw/zinc/36/61/21/328366121.db2.gz HQJJNTMGPGTIFD-UHFFFAOYSA-N 1 2 296.823 3.739 20 0 CHADLO CCCn1c(C)nnc1C[N@H+](C)[C@@H]1CCCc2ccccc21 ZINC000535008709 328376102 /nfs/dbraw/zinc/37/61/02/328376102.db2.gz IIRWEMNFKZHIJJ-QGZVFWFLSA-N 1 2 298.434 3.506 20 0 CHADLO CCCn1c(C)nnc1C[N@@H+](C)[C@@H]1CCCc2ccccc21 ZINC000535008709 328376103 /nfs/dbraw/zinc/37/61/03/328376103.db2.gz IIRWEMNFKZHIJJ-QGZVFWFLSA-N 1 2 298.434 3.506 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)c2cccc(Cl)c2)c(C)c[nH+]1 ZINC000535036552 328379304 /nfs/dbraw/zinc/37/93/04/328379304.db2.gz UNWXUHMFAIJVLW-GFCCVEGCSA-N 1 2 288.778 3.516 20 0 CHADLO CCn1c2ccccc2nc1C[N@H+](C)Cc1ccccc1F ZINC000535081393 328385287 /nfs/dbraw/zinc/38/52/87/328385287.db2.gz UGSXKCWLMNSOMK-UHFFFAOYSA-N 1 2 297.377 3.827 20 0 CHADLO CCn1c2ccccc2nc1C[N@@H+](C)Cc1ccccc1F ZINC000535081393 328385288 /nfs/dbraw/zinc/38/52/88/328385288.db2.gz UGSXKCWLMNSOMK-UHFFFAOYSA-N 1 2 297.377 3.827 20 0 CHADLO c1nc(C[NH+]2CCC(OCC3CCCCC3)CC2)cs1 ZINC000535083483 328385634 /nfs/dbraw/zinc/38/56/34/328385634.db2.gz KOYBMKZPRHTTKV-UHFFFAOYSA-N 1 2 294.464 3.704 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc3ncccc3c2)cs1 ZINC000535084841 328386088 /nfs/dbraw/zinc/38/60/88/328386088.db2.gz QOGXWTSENIFNLC-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc3ncccc3c2)cs1 ZINC000535084841 328386089 /nfs/dbraw/zinc/38/60/89/328386089.db2.gz QOGXWTSENIFNLC-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2CSc3ccccc32)c(C)[nH+]1 ZINC000532033717 328396474 /nfs/dbraw/zinc/39/64/74/328396474.db2.gz AVMXDVKSYXNEGD-AWEZNQCLSA-N 1 2 299.399 3.667 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1cc2c(s1)CCCC2 ZINC000398374939 328591596 /nfs/dbraw/zinc/59/15/96/328591596.db2.gz QTWXYAGKIYSLKW-VHSXEESVSA-N 1 2 293.461 3.890 20 0 CHADLO CC(C)c1cc(N[C@@H](C)C[C@@H]2CCCO2)nc(C(C)C)[nH+]1 ZINC000535593807 328595531 /nfs/dbraw/zinc/59/55/31/328595531.db2.gz ZYCKMXCDNKGBLI-KBPBESRZSA-N 1 2 291.439 3.515 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2cc(F)ccc2F)C(C)C)s1 ZINC000530465624 326813486 /nfs/dbraw/zinc/81/34/86/326813486.db2.gz SPFMWLSLOIOFCU-AWEZNQCLSA-N 1 2 297.374 3.612 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1Cl)c1csnn1 ZINC000398291628 326877890 /nfs/dbraw/zinc/87/78/90/326877890.db2.gz CHSFCWUHYKIGIO-HTQZYQBOSA-N 1 2 285.775 3.742 20 0 CHADLO C[C@@H](CC(=O)N(CC(C)(C)C)CC(C)(C)C)n1cc[nH+]c1 ZINC000584056084 326910102 /nfs/dbraw/zinc/91/01/02/326910102.db2.gz DIFHTTUWBWRMNI-AWEZNQCLSA-N 1 2 293.455 3.755 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccccc1)c1ccncc1)c1nccs1 ZINC000080267079 326928067 /nfs/dbraw/zinc/92/80/67/326928067.db2.gz DRTUNTQJGPZYMP-CJNGLKHVSA-N 1 2 295.411 3.978 20 0 CHADLO CCCCCC(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000536324556 326936215 /nfs/dbraw/zinc/93/62/15/326936215.db2.gz JZXKURJUJVBMKG-UHFFFAOYSA-N 1 2 285.391 3.970 20 0 CHADLO CC(C)c1ccc(NC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000556687915 326958865 /nfs/dbraw/zinc/95/88/65/326958865.db2.gz VKDFXMRAJALVOB-ZDUSSCGKSA-N 1 2 271.364 3.596 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@H]2CCCC[C@H]2C)n1 ZINC000557359390 327002765 /nfs/dbraw/zinc/00/27/65/327002765.db2.gz LXVVVXMQIZSWBD-TZMCWYRMSA-N 1 2 285.391 3.733 20 0 CHADLO CC/C=C\CC[N@@H+](C)Cc1nc([C@@H](C)SCC)no1 ZINC000342212550 327026189 /nfs/dbraw/zinc/02/61/89/327026189.db2.gz XNOHUUJOYSWNGQ-QDZRJHCZSA-N 1 2 283.441 3.672 20 0 CHADLO CC/C=C\CC[N@H+](C)Cc1nc([C@@H](C)SCC)no1 ZINC000342212550 327026191 /nfs/dbraw/zinc/02/61/91/327026191.db2.gz XNOHUUJOYSWNGQ-QDZRJHCZSA-N 1 2 283.441 3.672 20 0 CHADLO Cc1ccc(Cc2nc(Cc3ccc[nH+]c3C)no2)cc1C ZINC000565824412 327027266 /nfs/dbraw/zinc/02/72/66/327027266.db2.gz LTUQNWPKSPLCHK-UHFFFAOYSA-N 1 2 293.370 3.571 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(Cl)c(C(F)(F)F)c1 ZINC000090165262 327031601 /nfs/dbraw/zinc/03/16/01/327031601.db2.gz YVPXJJBUWVCFSA-UHFFFAOYSA-N 1 2 289.688 3.704 20 0 CHADLO Cc1ccc(SCC[N@@H+]2Cc3cccnc3C2)cc1C ZINC000564880780 327088406 /nfs/dbraw/zinc/08/84/06/327088406.db2.gz DCROKPDPCLJDNQ-UHFFFAOYSA-N 1 2 284.428 3.806 20 0 CHADLO Cc1ccc(SCC[N@H+]2Cc3cccnc3C2)cc1C ZINC000564880780 327088407 /nfs/dbraw/zinc/08/84/07/327088407.db2.gz DCROKPDPCLJDNQ-UHFFFAOYSA-N 1 2 284.428 3.806 20 0 CHADLO Cc1cccc2c1CC[C@@H]2NC(=O)Nc1c(C)cc[nH+]c1C ZINC000558450379 327095316 /nfs/dbraw/zinc/09/53/16/327095316.db2.gz VTCVLQVMQHCRKC-INIZCTEOSA-N 1 2 295.386 3.816 20 0 CHADLO Cc1ccc2sc(C(=O)Nc3cc[nH+]cc3C)cc2c1 ZINC000558526239 327101905 /nfs/dbraw/zinc/10/19/05/327101905.db2.gz LUDKGDSFTOKLFC-UHFFFAOYSA-N 1 2 282.368 3.587 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@@H](C)[NH2+][C@H](C)c1cscn1 ZINC000558681168 327114027 /nfs/dbraw/zinc/11/40/27/327114027.db2.gz FESJOUNMJWKRSH-ZYHUDNBSSA-N 1 2 292.452 3.815 20 0 CHADLO C[C@@H](NC(=O)[C@H](C)c1ccc2ccccc2c1)c1[nH]cc[nH+]1 ZINC000518148361 327147506 /nfs/dbraw/zinc/14/75/06/327147506.db2.gz PPJOBAUTIJKWLM-CHWSQXEVSA-N 1 2 293.370 3.544 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(C(C)(C)C)s2)no1 ZINC000092254538 327189859 /nfs/dbraw/zinc/18/98/59/327189859.db2.gz RDBHDWIUGPCOQR-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(C(C)(C)C)s2)no1 ZINC000092254538 327189860 /nfs/dbraw/zinc/18/98/60/327189860.db2.gz RDBHDWIUGPCOQR-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)no1 ZINC000569533129 327194469 /nfs/dbraw/zinc/19/44/69/327194469.db2.gz CYHIAFYQRPEJFF-JTQLQIEISA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)no1 ZINC000569533129 327194471 /nfs/dbraw/zinc/19/44/71/327194471.db2.gz CYHIAFYQRPEJFF-JTQLQIEISA-N 1 2 290.329 3.746 20 0 CHADLO Cc1cccc(CCSc2nc3ccccc3n2C)[nH+]1 ZINC000566209011 327195885 /nfs/dbraw/zinc/19/58/85/327195885.db2.gz GCWBPXXQPLPSHY-UHFFFAOYSA-N 1 2 283.400 3.612 20 0 CHADLO C[C@H](C[N@@H+]1CCC[C@@H]1C(F)F)c1ccc(F)cc1 ZINC000584259294 327202889 /nfs/dbraw/zinc/20/28/89/327202889.db2.gz HCICGBLXVRTXAJ-ZWNOBZJWSA-N 1 2 257.299 3.659 20 0 CHADLO C[C@H](C[N@H+]1CCC[C@@H]1C(F)F)c1ccc(F)cc1 ZINC000584259294 327202890 /nfs/dbraw/zinc/20/28/90/327202890.db2.gz HCICGBLXVRTXAJ-ZWNOBZJWSA-N 1 2 257.299 3.659 20 0 CHADLO COc1ccsc1C[N@H+](C)Cc1c(F)cccc1F ZINC000354355666 327202910 /nfs/dbraw/zinc/20/29/10/327202910.db2.gz JZMGGNYHMDENRV-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1ccsc1C[N@@H+](C)Cc1c(F)cccc1F ZINC000354355666 327202911 /nfs/dbraw/zinc/20/29/11/327202911.db2.gz JZMGGNYHMDENRV-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO C[C@@H]1CCC[C@@H](Nc2ccc(N3CCCC3)[nH+]c2)C1 ZINC000036982137 327210594 /nfs/dbraw/zinc/21/05/94/327210594.db2.gz WQRBCWBHPJBBAQ-ZIAGYGMSSA-N 1 2 259.397 3.672 20 0 CHADLO CCOc1cc(Cl)ccc1C(=O)Nc1cc[nH+]cc1C ZINC000181648363 327212099 /nfs/dbraw/zinc/21/20/99/327212099.db2.gz BEMYFWUHYFUAHN-UHFFFAOYSA-N 1 2 290.750 3.694 20 0 CHADLO Cc1cc(N[C@H](C)CCc2ccco2)nc(C(C)C)[nH+]1 ZINC000042271128 327236556 /nfs/dbraw/zinc/23/65/56/327236556.db2.gz ONKJFARXGYEVAC-GFCCVEGCSA-N 1 2 273.380 3.935 20 0 CHADLO Cc1cc(C)cc(OCCC[N@@H+](C)Cc2cscn2)c1 ZINC000064516963 327239407 /nfs/dbraw/zinc/23/94/07/327239407.db2.gz GBHNFQMLRLHGOT-UHFFFAOYSA-N 1 2 290.432 3.661 20 0 CHADLO Cc1cc(C)cc(OCCC[N@H+](C)Cc2cscn2)c1 ZINC000064516963 327239408 /nfs/dbraw/zinc/23/94/08/327239408.db2.gz GBHNFQMLRLHGOT-UHFFFAOYSA-N 1 2 290.432 3.661 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc(C)cc2OC)s1 ZINC000224318833 327254126 /nfs/dbraw/zinc/25/41/26/327254126.db2.gz HCGRQLRVYILPSX-LBPRGKRZSA-N 1 2 290.432 3.873 20 0 CHADLO CC(C)(C)C(C)(C)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000559871754 327266172 /nfs/dbraw/zinc/26/61/72/327266172.db2.gz OBZLFWWXRIDNMT-UHFFFAOYSA-N 1 2 299.418 3.561 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1cc2c(s1)CCCC2 ZINC000559878148 327267282 /nfs/dbraw/zinc/26/72/82/327267282.db2.gz KBZQPOHOPULPAS-SECBINFHSA-N 1 2 259.365 3.933 20 0 CHADLO Cc1c[nH+]cc(CCc2nc(C3CCC(C)CC3)no2)c1 ZINC000574348449 327317187 /nfs/dbraw/zinc/31/71/87/327317187.db2.gz MVYLJSWMRHKYSE-UHFFFAOYSA-N 1 2 285.391 3.852 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2ccc3c(n2)CCC3)c1 ZINC000560612573 327330309 /nfs/dbraw/zinc/33/03/09/327330309.db2.gz XHGKNCCOZORPBX-UHFFFAOYSA-N 1 2 284.378 3.650 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2ccc3c(n2)CCC3)c1 ZINC000560612573 327330310 /nfs/dbraw/zinc/33/03/10/327330310.db2.gz XHGKNCCOZORPBX-UHFFFAOYSA-N 1 2 284.378 3.650 20 0 CHADLO Cc1cc([N@H+]2CCCC[C@H]2C)ccc1Nc1cccnn1 ZINC000561262457 327380899 /nfs/dbraw/zinc/38/08/99/327380899.db2.gz BFNRCRYPQUQJFF-CQSZACIVSA-N 1 2 282.391 3.907 20 0 CHADLO Cc1cc([N@@H+]2CCCC[C@H]2C)ccc1Nc1cccnn1 ZINC000561262457 327380901 /nfs/dbraw/zinc/38/09/01/327380901.db2.gz BFNRCRYPQUQJFF-CQSZACIVSA-N 1 2 282.391 3.907 20 0 CHADLO Cc1cc[nH+]c(N[C@@H](C)[C@H]2CCCCO2)c1Br ZINC000356754671 327385923 /nfs/dbraw/zinc/38/59/23/327385923.db2.gz JYOZYSYBSXBUKE-WDEREUQCSA-N 1 2 299.212 3.522 20 0 CHADLO C[N@H+](Cc1ccon1)C1c2ccccc2-c2ccccc21 ZINC000150871420 327394163 /nfs/dbraw/zinc/39/41/63/327394163.db2.gz KEHVENDODFPOTN-UHFFFAOYSA-N 1 2 276.339 3.876 20 0 CHADLO C[N@@H+](Cc1ccon1)C1c2ccccc2-c2ccccc21 ZINC000150871420 327394165 /nfs/dbraw/zinc/39/41/65/327394165.db2.gz KEHVENDODFPOTN-UHFFFAOYSA-N 1 2 276.339 3.876 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(SC(C)C)cc1)C(=O)OC ZINC000579607664 327395250 /nfs/dbraw/zinc/39/52/50/327395250.db2.gz MVXPAHOSTBEZGF-WFASDCNBSA-N 1 2 295.448 3.789 20 0 CHADLO CC(=Cc1ccccc1C(F)(F)F)CNc1c[nH]c[nH+]1 ZINC000562212742 327456637 /nfs/dbraw/zinc/45/66/37/327456637.db2.gz ZTRJMHHZAXNJAW-POHAHGRESA-N 1 2 281.281 3.944 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H]2C[C@@]2(C)C(C)C)n1 ZINC000566779572 327458251 /nfs/dbraw/zinc/45/82/51/327458251.db2.gz HDPUBXCBTPJVTB-DYVFJYSZSA-N 1 2 285.391 3.708 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)c2ccsc2)c(C)[nH+]1 ZINC000151622018 327483177 /nfs/dbraw/zinc/48/31/77/327483177.db2.gz WQPFAKDVHZZIGX-NSHDSACASA-N 1 2 274.389 3.811 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1nnc(C2CC2)o1 ZINC000562512491 327486455 /nfs/dbraw/zinc/48/64/55/327486455.db2.gz NGFFUANBRQXGKK-JTQLQIEISA-N 1 2 289.404 3.520 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@@H]2CCCC[C@@H]2C)c[nH+]1 ZINC000562638772 327501345 /nfs/dbraw/zinc/50/13/45/327501345.db2.gz HBKKMDABFNPELP-WFASDCNBSA-N 1 2 274.408 3.531 20 0 CHADLO C[C@@H](CC(F)(F)F)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000569786514 327546618 /nfs/dbraw/zinc/54/66/18/327546618.db2.gz PUSYJCVTRKHWNP-VIFPVBQESA-N 1 2 297.280 3.604 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ccc(C(C)C)cc2)C(C)C)no1 ZINC000154350400 327583961 /nfs/dbraw/zinc/58/39/61/327583961.db2.gz XNTRDPVFJSPZNP-KRWDZBQOSA-N 1 2 287.407 3.988 20 0 CHADLO CC[C@@H](C)[C@H](C(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000535748431 328625601 /nfs/dbraw/zinc/62/56/01/328625601.db2.gz LDHGMMYOTKRMLO-ACJLOTCBSA-N 1 2 296.414 3.889 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@H]2CCC(C)C)c(C)c[nH+]1 ZINC000535773488 328629822 /nfs/dbraw/zinc/62/98/22/328629822.db2.gz RZTIIRZEYKXILR-HNNXBMFYSA-N 1 2 289.423 3.553 20 0 CHADLO Cc1cc(NC(=O)N(C)[C@@H](C)c2ccccc2C)c(C)c[nH+]1 ZINC000536619133 328670485 /nfs/dbraw/zinc/67/04/85/328670485.db2.gz BPMNPMJGCALDBV-HNNXBMFYSA-N 1 2 297.402 3.654 20 0 CHADLO Cc1ccccc1[C@@H](CO)Nc1[nH+]ccc2ccc(F)cc21 ZINC000536686585 328683666 /nfs/dbraw/zinc/68/36/66/328683666.db2.gz GCHCFRHJLWBTDH-QGZVFWFLSA-N 1 2 296.345 3.828 20 0 CHADLO COc1ccc(C)cc1NCc1cn2cc(C)ccc2[nH+]1 ZINC000102679959 328713640 /nfs/dbraw/zinc/71/36/40/328713640.db2.gz AQCUDCVKKVBSNZ-UHFFFAOYSA-N 1 2 281.359 3.572 20 0 CHADLO COc1ccc[nH+]c1N[C@H]1CCC[C@@H](C(F)(F)F)C1 ZINC000526113168 328739764 /nfs/dbraw/zinc/73/97/64/328739764.db2.gz OPPMVXZFZVYAFE-ZJUUUORDSA-N 1 2 274.286 3.623 20 0 CHADLO CC[C@@H](CC(=O)NCc1ccccc1-n1cc[nH+]c1)C(C)C ZINC000104863928 328810602 /nfs/dbraw/zinc/81/06/02/328810602.db2.gz MRVHFYTZNYKDKH-HNNXBMFYSA-N 1 2 299.418 3.561 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(Br)c1)C(C)(F)F ZINC000389458519 328847256 /nfs/dbraw/zinc/84/72/56/328847256.db2.gz ADZDIOIJHSXXIX-MRVPVSSYSA-N 1 2 278.140 3.582 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(-n2ccnc2)cc1)C(C)(F)F ZINC000389468268 328848765 /nfs/dbraw/zinc/84/87/65/328848765.db2.gz LQKQOZJGFGIELA-NWDGAFQWSA-N 1 2 279.334 3.567 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)C(C)(F)F)cc1F ZINC000389477976 328850403 /nfs/dbraw/zinc/85/04/03/328850403.db2.gz BEOKFBDAZVEKRL-BDAKNGLRSA-N 1 2 261.287 3.529 20 0 CHADLO OCc1ccc(NCc2csc(Cl)c2Cl)[nH+]c1 ZINC000356332348 328861998 /nfs/dbraw/zinc/86/19/98/328861998.db2.gz FXYRFNDGMHNBFN-UHFFFAOYSA-N 1 2 289.187 3.554 20 0 CHADLO CC[C@@H](C)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000363097438 328931628 /nfs/dbraw/zinc/93/16/28/328931628.db2.gz VZBYDXLTZFATCJ-SNVBAGLBSA-N 1 2 271.364 3.678 20 0 CHADLO CCCCSC[C@H](O)Cn1c[nH+]c2cc(C)c(C)cc21 ZINC000582263443 328933294 /nfs/dbraw/zinc/93/32/94/328933294.db2.gz TXOHUESDLVIXCD-CQSZACIVSA-N 1 2 292.448 3.547 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1Cl)[N@@H+]1C[C@H]2CCCC[C@H]21 ZINC000377728280 329027264 /nfs/dbraw/zinc/02/72/64/329027264.db2.gz WCXBUVXPHKLNRR-YWPYICTPSA-N 1 2 292.810 3.541 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1Cl)[N@H+]1C[C@H]2CCCC[C@H]21 ZINC000377728280 329027265 /nfs/dbraw/zinc/02/72/65/329027265.db2.gz WCXBUVXPHKLNRR-YWPYICTPSA-N 1 2 292.810 3.541 20 0 CHADLO Cc1ncccc1C[N@@H+]1Cc2cccc(Cl)c2C1 ZINC000377850276 329030428 /nfs/dbraw/zinc/03/04/28/329030428.db2.gz DBRQMFHDJVMFFN-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1ncccc1C[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000377847365 329031592 /nfs/dbraw/zinc/03/15/92/329031592.db2.gz LWLDHADJAPAGQQ-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO Cc1ncccc1C[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000377847365 329031593 /nfs/dbraw/zinc/03/15/93/329031593.db2.gz LWLDHADJAPAGQQ-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO CCn1cc(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)cn1 ZINC000360072471 329071239 /nfs/dbraw/zinc/07/12/39/329071239.db2.gz CHJSMCUDFGKJQB-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cc(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)cn1 ZINC000360072471 329071240 /nfs/dbraw/zinc/07/12/40/329071240.db2.gz CHJSMCUDFGKJQB-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCc2cc(F)ccc21)C(=O)OC(C)(C)C ZINC000582700859 329126349 /nfs/dbraw/zinc/12/63/49/329126349.db2.gz CXCJBYCKQUMECG-CABCVRRESA-N 1 2 293.382 3.523 20 0 CHADLO CC[C@H]1CCCCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000172438013 329135511 /nfs/dbraw/zinc/13/55/11/329135511.db2.gz SFJIVCANHJYQHV-INIZCTEOSA-N 1 2 297.402 3.667 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1csc(-c2cccs2)n1 ZINC000172589364 329137548 /nfs/dbraw/zinc/13/75/48/329137548.db2.gz RFIQCQPIPAITIE-JTQLQIEISA-N 1 2 296.486 3.809 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1csc(-c2cccs2)n1 ZINC000172589364 329137549 /nfs/dbraw/zinc/13/75/49/329137549.db2.gz RFIQCQPIPAITIE-JTQLQIEISA-N 1 2 296.486 3.809 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H]1C[C@]12CCCc1ccccc12 ZINC000173173367 329143145 /nfs/dbraw/zinc/14/31/45/329143145.db2.gz QMLFQWUJGOHCPW-APWZRJJASA-N 1 2 292.382 3.623 20 0 CHADLO CO[C@@H](C)c1nc(C[N@H+](C)Cc2ccc(F)cc2)cs1 ZINC000175033077 329162418 /nfs/dbraw/zinc/16/24/18/329162418.db2.gz QNBROOBEAROCQB-NSHDSACASA-N 1 2 294.395 3.622 20 0 CHADLO CO[C@@H](C)c1nc(C[N@@H+](C)Cc2ccc(F)cc2)cs1 ZINC000175033077 329162419 /nfs/dbraw/zinc/16/24/19/329162419.db2.gz QNBROOBEAROCQB-NSHDSACASA-N 1 2 294.395 3.622 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1ncc(-c2cccc(F)c2)o1 ZINC000175033429 329162529 /nfs/dbraw/zinc/16/25/29/329162529.db2.gz XYMSZNLGPTZORG-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1ncc(-c2cccc(F)c2)o1 ZINC000175033429 329162530 /nfs/dbraw/zinc/16/25/30/329162530.db2.gz XYMSZNLGPTZORG-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[C@@H]([NH2+]Cc1cn2ccsc2n1)c1ccc(F)cc1F ZINC000177759179 329186770 /nfs/dbraw/zinc/18/67/70/329186770.db2.gz OSECGCRLSQXZEW-SECBINFHSA-N 1 2 293.342 3.525 20 0 CHADLO c1nc(C[N@@H+]2CCc3cc(-c4ccccc4)oc3C2)cs1 ZINC000177719749 329189652 /nfs/dbraw/zinc/18/96/52/329189652.db2.gz NFUQXJIRXGYAGN-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO c1nc(C[N@H+]2CCc3cc(-c4ccccc4)oc3C2)cs1 ZINC000177719749 329189653 /nfs/dbraw/zinc/18/96/53/329189653.db2.gz NFUQXJIRXGYAGN-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(Cl)cc2C)c(C)[nH+]1 ZINC000178223301 329194637 /nfs/dbraw/zinc/19/46/37/329194637.db2.gz QWBJLTGHQCRASN-UHFFFAOYSA-N 1 2 274.751 3.913 20 0 CHADLO Cc1ccc(N[C@H](C)c2[nH+]ccn2C)cc1-c1ncco1 ZINC000180006404 329214198 /nfs/dbraw/zinc/21/41/98/329214198.db2.gz XSZAZCXFJIFDPN-GFCCVEGCSA-N 1 2 282.347 3.557 20 0 CHADLO Cc1cc(NC(=O)c2cc(C)c(C)cc2Cl)cc[nH+]1 ZINC000181177717 329233218 /nfs/dbraw/zinc/23/32/18/329233218.db2.gz NOUAHCQQEKWICH-UHFFFAOYSA-N 1 2 274.751 3.913 20 0 CHADLO Cc1nc([C@@H]([NH2+][C@@H](C)CC(C)C)c2ccccc2F)no1 ZINC000182083420 329239442 /nfs/dbraw/zinc/23/94/42/329239442.db2.gz ONYFFHGDCCVIKK-NHYWBVRUSA-N 1 2 291.370 3.631 20 0 CHADLO CCC[C@H](C)C[NH2+][C@@H](c1noc(C)n1)c1ccccc1F ZINC000182244407 329241327 /nfs/dbraw/zinc/24/13/27/329241327.db2.gz YXLIDKCDVUVNSG-XHDPSFHLSA-N 1 2 291.370 3.632 20 0 CHADLO Cc1cncc(C[NH2+][C@H](c2nccs2)c2ccccc2)c1 ZINC000183359200 329247371 /nfs/dbraw/zinc/24/73/71/329247371.db2.gz XGSZTYGAFGZAFW-INIZCTEOSA-N 1 2 295.411 3.726 20 0 CHADLO Clc1cnc(C[NH2+][C@H]2CCCc3occc32)s1 ZINC000225312315 329250826 /nfs/dbraw/zinc/25/08/26/329250826.db2.gz DZVNJQQJBNKXSF-VIFPVBQESA-N 1 2 268.769 3.557 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+]CCN2CCC(C)CC2)cs1 ZINC000184726263 329262756 /nfs/dbraw/zinc/26/27/56/329262756.db2.gz OEDGDIXLBGHBTO-AWEZNQCLSA-N 1 2 295.496 3.649 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+]CCN2CCC(C)CC2)cs1 ZINC000184726284 329262762 /nfs/dbraw/zinc/26/27/62/329262762.db2.gz OEDGDIXLBGHBTO-CQSZACIVSA-N 1 2 295.496 3.649 20 0 CHADLO CCc1ccc(C[NH2+]Cc2nc3ccccc3n2C2CC2)o1 ZINC000184779458 329264255 /nfs/dbraw/zinc/26/42/55/329264255.db2.gz NRJBBYRBVBZYNN-UHFFFAOYSA-N 1 2 295.386 3.816 20 0 CHADLO C[C@H](c1ccsc1)[NH+]1CCN(Cc2cccs2)CC1 ZINC000184973112 329266181 /nfs/dbraw/zinc/26/61/81/329266181.db2.gz GRKFVDXTRXQEOD-CYBMUJFWSA-N 1 2 292.473 3.688 20 0 CHADLO C[C@H](CC(=O)N[C@@H](C)CCC1CCCCC1)n1cc[nH+]c1 ZINC000582968431 329295612 /nfs/dbraw/zinc/29/56/12/329295612.db2.gz QRSIWIVURSUXIR-LSDHHAIUSA-N 1 2 291.439 3.699 20 0 CHADLO C[C@H]([NH2+]Cc1ccn(C)n1)c1cccc(Cl)c1Cl ZINC000227530137 329327522 /nfs/dbraw/zinc/32/75/22/329327522.db2.gz LJHNEAWLMAYELJ-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO Cc1cnc(NCc2c[nH+]c(C)n2-c2ccccc2)s1 ZINC000185857375 329333710 /nfs/dbraw/zinc/33/37/10/329333710.db2.gz SJAAPDFBCWJYIR-UHFFFAOYSA-N 1 2 284.388 3.558 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(Cl)c(Cl)c2)[nH]1 ZINC000392448694 329340742 /nfs/dbraw/zinc/34/07/42/329340742.db2.gz GFSTUHBQJXADDN-HTQZYQBOSA-N 1 2 299.205 3.832 20 0 CHADLO Cc1ccc(C[S@@](=O)[C@@H](C)c2ccccc2F)c(C)[nH+]1 ZINC000187071126 329352221 /nfs/dbraw/zinc/35/22/21/329352221.db2.gz DECKZHHACCHLLO-RNODOKPDSA-N 1 2 291.391 3.847 20 0 CHADLO CCCNc1nnc(SCc2ccc(C)[nH+]c2C)s1 ZINC000187284594 329356431 /nfs/dbraw/zinc/35/64/31/329356431.db2.gz XGLXWPJHIQBADH-UHFFFAOYSA-N 1 2 294.449 3.664 20 0 CHADLO CC(=O)c1cccc(NCc2ccc(C)[nH+]c2C)c1 ZINC000187704087 329362551 /nfs/dbraw/zinc/36/25/51/329362551.db2.gz PBDTWQQNSKGMJS-UHFFFAOYSA-N 1 2 254.333 3.513 20 0 CHADLO CCN(C)c1ccc(CNc2ccc(F)cc2C)c[nH+]1 ZINC000190806750 329402747 /nfs/dbraw/zinc/40/27/47/329402747.db2.gz QVLJUJLLWVHQKO-UHFFFAOYSA-N 1 2 273.355 3.597 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)c(C)s1 ZINC000193130365 329437819 /nfs/dbraw/zinc/43/78/19/329437819.db2.gz CYJUUAYJWWXMNX-SNVBAGLBSA-N 1 2 293.436 3.896 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(Cl)c(F)c1 ZINC000398348680 329442505 /nfs/dbraw/zinc/44/25/05/329442505.db2.gz WBQIYCYSLHKLGJ-SFYZADRCSA-N 1 2 285.775 3.742 20 0 CHADLO Cc1cccc2[nH+]c(CNc3ccc(Cl)cc3)cn21 ZINC000035451252 329547079 /nfs/dbraw/zinc/54/70/79/329547079.db2.gz APZPJDQRHFEFND-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO Cc1cc[nH+]cc1NCc1csc(C(C)(C)C)n1 ZINC000119045674 329547522 /nfs/dbraw/zinc/54/75/22/329547522.db2.gz NSSJSIDRDXVRSV-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO COc1cccc(Cl)c1CNc1c[nH+]cc(C)c1 ZINC000119563530 329548400 /nfs/dbraw/zinc/54/84/00/329548400.db2.gz MUBLQZZQIREWHO-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2csc(C)n2)c(C)o1 ZINC000041010482 329560494 /nfs/dbraw/zinc/56/04/94/329560494.db2.gz BXPMGDCRBDTOIF-VIFPVBQESA-N 1 2 250.367 3.512 20 0 CHADLO Cc1cc(NC(=O)c2cc3ccc(Cl)cc3[nH]2)cc[nH+]1 ZINC000126784349 329594411 /nfs/dbraw/zinc/59/44/11/329594411.db2.gz ISYIWMGLZIJDGU-UHFFFAOYSA-N 1 2 285.734 3.777 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCCc3sccc32)cc[nH+]1 ZINC000132581981 329647470 /nfs/dbraw/zinc/64/74/70/329647470.db2.gz VCQWCGBKQGYACI-CYBMUJFWSA-N 1 2 272.373 3.510 20 0 CHADLO Cc1cc(N[C@H]2CC[C@@H](F)C2)c2cccc(F)c2[nH+]1 ZINC000564056883 329660634 /nfs/dbraw/zinc/66/06/34/329660634.db2.gz FEJAFKGLTBFHJJ-MNOVXSKESA-N 1 2 262.303 3.985 20 0 CHADLO COCCCCN(C)c1cc(C)[nH+]c2c(F)cccc12 ZINC000419016899 329703581 /nfs/dbraw/zinc/70/35/81/329703581.db2.gz QAOXFJNHRQBOSI-UHFFFAOYSA-N 1 2 276.355 3.545 20 0 CHADLO CC[C@@H](CSCc1ccc(-n2cc[nH+]c2)cc1C)OC ZINC000419467597 329726688 /nfs/dbraw/zinc/72/66/88/329726688.db2.gz SYCLAMAAYSNGEM-INIZCTEOSA-N 1 2 290.432 3.839 20 0 CHADLO Cc1cccn2cc(CSCCC3CCOCC3)[nH+]c12 ZINC000419432805 329728607 /nfs/dbraw/zinc/72/86/07/329728607.db2.gz YLUCJXHVWXQGKY-UHFFFAOYSA-N 1 2 290.432 3.693 20 0 CHADLO CCc1nc(C(C)C)ccc1CSCCn1cc[nH+]c1 ZINC000419489966 329737129 /nfs/dbraw/zinc/73/71/29/329737129.db2.gz HAQCSYWZCXWCRY-UHFFFAOYSA-N 1 2 289.448 3.897 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSC[C@H](O)C(F)(F)F ZINC000419494950 329737614 /nfs/dbraw/zinc/73/76/14/329737614.db2.gz QRGHWIWOQANHIP-LBPRGKRZSA-N 1 2 293.354 3.670 20 0 CHADLO CCC1(c2nc(Cc3ccc[nH+]c3C)no2)CCCC1 ZINC000420510745 329772606 /nfs/dbraw/zinc/77/26/06/329772606.db2.gz KVBJCNBMUBNLFJ-UHFFFAOYSA-N 1 2 271.364 3.586 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H]2CCCC2(C)C)n1 ZINC000420510914 329772868 /nfs/dbraw/zinc/77/28/68/329772868.db2.gz LUTLWRLEJZDGHX-CYBMUJFWSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C2(C)CCCCCC2)n1 ZINC000420514159 329773640 /nfs/dbraw/zinc/77/36/40/329773640.db2.gz YXPKRLJMELQUHG-UHFFFAOYSA-N 1 2 285.391 3.976 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H](C)Cc2ccccc2)n1 ZINC000420514259 329773746 /nfs/dbraw/zinc/77/37/46/329773746.db2.gz ZNJHUYRIGGLGEI-ZDUSSCGKSA-N 1 2 293.370 3.710 20 0 CHADLO CCc1ccc(C(C)(C)[NH2+]Cc2nn(C)cc2Cl)cc1 ZINC000420933287 329793791 /nfs/dbraw/zinc/79/37/91/329793791.db2.gz HQPHJLHTEKHWPL-UHFFFAOYSA-N 1 2 291.826 3.661 20 0 CHADLO Cc1ccc(/C=C\CC(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421579265 329843392 /nfs/dbraw/zinc/84/33/92/329843392.db2.gz TZSZKKWZPPSYHJ-PLNGDYQASA-N 1 2 294.398 3.727 20 0 CHADLO CCC[C@H](C(=O)Nc1cc[nH+]cc1CC)c1ccccc1 ZINC000509746421 332880641 /nfs/dbraw/zinc/88/06/41/332880641.db2.gz ZCAXPEFENLSFDV-INIZCTEOSA-N 1 2 282.387 3.588 20 0 CHADLO Cc1cc(CNC(=O)C(C2CCC2)C2CCC2)cc(C)[nH+]1 ZINC000421573581 329848237 /nfs/dbraw/zinc/84/82/37/329848237.db2.gz BLMQXSVRCAKLPR-UHFFFAOYSA-N 1 2 286.419 3.531 20 0 CHADLO Clc1cccc([C@H]([NH2+]Cc2ncc[nH]2)c2ccccc2)c1 ZINC000067696412 329851046 /nfs/dbraw/zinc/85/10/46/329851046.db2.gz YVLWDFMQSOSWEF-QGZVFWFLSA-N 1 2 297.789 3.942 20 0 CHADLO Cc1ccccc1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000074829969 329865480 /nfs/dbraw/zinc/86/54/80/329865480.db2.gz LGOSXMXYULGCKY-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO CCCCn1ncc(NCc2[nH]c(C)c(C)[nH+]2)c1C(C)C ZINC000571940639 329932378 /nfs/dbraw/zinc/93/23/78/329932378.db2.gz YDTDDJNEJRYRGM-UHFFFAOYSA-N 1 2 289.427 3.759 20 0 CHADLO CSc1ccc(C)c(NC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000572246436 329957980 /nfs/dbraw/zinc/95/79/80/329957980.db2.gz BMDDGIGGHJPRHB-LBPRGKRZSA-N 1 2 289.404 3.503 20 0 CHADLO Cc1ccc(O)c(CNc2ccc(-c3ccccc3)c[nH+]2)n1 ZINC000162221229 329984936 /nfs/dbraw/zinc/98/49/36/329984936.db2.gz MTELJLVFSMQNOZ-UHFFFAOYSA-N 1 2 291.354 3.770 20 0 CHADLO COC[C@@H](C)[N@H+](C)Cc1csc(Cl)c1Cl ZINC000432742886 330014624 /nfs/dbraw/zinc/01/46/24/330014624.db2.gz VWERYDHEPOTSES-SSDOTTSWSA-N 1 2 268.209 3.522 20 0 CHADLO COC[C@@H](C)[N@@H+](C)Cc1csc(Cl)c1Cl ZINC000432742886 330014626 /nfs/dbraw/zinc/01/46/26/330014626.db2.gz VWERYDHEPOTSES-SSDOTTSWSA-N 1 2 268.209 3.522 20 0 CHADLO COc1ccc(SCCc2cc[nH+]cc2C)cc1 ZINC000421829529 330084389 /nfs/dbraw/zinc/08/43/89/330084389.db2.gz IHUITUAGZZTXGE-UHFFFAOYSA-N 1 2 259.374 3.733 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccncc1Cl)c1ccncc1F ZINC000421852495 330088035 /nfs/dbraw/zinc/08/80/35/330088035.db2.gz IJUMDYDYKJQEMI-NXEZZACHSA-N 1 2 279.746 3.681 20 0 CHADLO Cc1cc(C(=O)NCc2cc(C)[nH+]c(C)c2)c(C)n1C(C)C ZINC000421919995 330109464 /nfs/dbraw/zinc/10/94/64/330109464.db2.gz NMGSGKWGGDZVPR-UHFFFAOYSA-N 1 2 299.418 3.628 20 0 CHADLO Cc1nc2ccccn2c1C[NH2+]C1(C(F)F)CCCCC1 ZINC000510154251 332895019 /nfs/dbraw/zinc/89/50/19/332895019.db2.gz HQGOZIIVXULUQH-UHFFFAOYSA-N 1 2 293.361 3.700 20 0 CHADLO CN(CC[NH2+][C@@H](c1ccccc1)C(F)F)c1ccccc1 ZINC000433060166 330143497 /nfs/dbraw/zinc/14/34/97/330143497.db2.gz XLWMHRMUAKEEPL-INIZCTEOSA-N 1 2 290.357 3.719 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)cc1 ZINC000423218644 330179079 /nfs/dbraw/zinc/17/90/79/330179079.db2.gz SDWMWVUJDYPMCO-LBPRGKRZSA-N 1 2 268.360 3.836 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)cc1OC ZINC000423220805 330179263 /nfs/dbraw/zinc/17/92/63/330179263.db2.gz VUNFTLWLRPQGMX-LBPRGKRZSA-N 1 2 298.386 3.845 20 0 CHADLO COc1ccc2c(c1F)CC[C@@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423221811 330179609 /nfs/dbraw/zinc/17/96/09/330179609.db2.gz YHBQCJBAZRLHJK-INIZCTEOSA-N 1 2 298.361 3.902 20 0 CHADLO Fc1cccc2c1CC[C@@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423221860 330179804 /nfs/dbraw/zinc/17/98/04/330179804.db2.gz YMBXATJYBNBZLB-KRWDZBQOSA-N 1 2 268.335 3.893 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1cc(Cl)ccc1OC(F)F ZINC000527238505 330240468 /nfs/dbraw/zinc/24/04/68/330240468.db2.gz DXUGFWXAMDUFIG-IUCAKERBSA-N 1 2 275.726 3.924 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)ccn1 ZINC000527531944 330262555 /nfs/dbraw/zinc/26/25/55/330262555.db2.gz DKEACQNKWMYOSM-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)ccn1 ZINC000527531944 330262557 /nfs/dbraw/zinc/26/25/57/330262557.db2.gz DKEACQNKWMYOSM-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)ccn1 ZINC000527536303 330263772 /nfs/dbraw/zinc/26/37/72/330263772.db2.gz VXMOYEJDDOTAAJ-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)ccn1 ZINC000527536303 330263774 /nfs/dbraw/zinc/26/37/74/330263774.db2.gz VXMOYEJDDOTAAJ-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2ncccc2Cl)C1 ZINC000527630249 330266932 /nfs/dbraw/zinc/26/69/32/330266932.db2.gz UDSNEWICDURNBQ-VIFPVBQESA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2ncccc2Cl)C1 ZINC000527630249 330266934 /nfs/dbraw/zinc/26/69/34/330266934.db2.gz UDSNEWICDURNBQ-VIFPVBQESA-N 1 2 278.705 3.509 20 0 CHADLO Cc1cnc(C[NH2+]Cc2c(F)ccc(F)c2Cl)s1 ZINC000527667658 330274404 /nfs/dbraw/zinc/27/44/04/330274404.db2.gz PZFBKXNQKLWAEY-UHFFFAOYSA-N 1 2 288.750 3.673 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2cnc(C3CC3)o2)c1Cl ZINC000527717636 330276813 /nfs/dbraw/zinc/27/68/13/330276813.db2.gz QANWVIVOJIDLRY-UHFFFAOYSA-N 1 2 298.720 3.773 20 0 CHADLO CC1(C)COc2cc(NCc3cn4ccccc4[nH+]3)ccc21 ZINC000527744690 330280180 /nfs/dbraw/zinc/28/01/80/330280180.db2.gz JUKBFVRFNUGZLU-UHFFFAOYSA-N 1 2 293.370 3.616 20 0 CHADLO Clc1cnc(C[NH2+][C@H](c2ccccn2)C2CCC2)s1 ZINC000527771264 330282019 /nfs/dbraw/zinc/28/20/19/330282019.db2.gz KCCBBDFPMNPIFU-AWEZNQCLSA-N 1 2 293.823 3.823 20 0 CHADLO CC(C)c1cc(Cl)ccc1NCc1c[nH+]cn1C ZINC000527783518 330283139 /nfs/dbraw/zinc/28/31/39/330283139.db2.gz XTZHVESTRARBMQ-UHFFFAOYSA-N 1 2 263.772 3.809 20 0 CHADLO CC[C@@H]([NH2+]Cc1cn[nH]c1C)c1cc(C)ccc1C ZINC000527823008 330286354 /nfs/dbraw/zinc/28/63/54/330286354.db2.gz QHOBRRUSDKDZKR-MRXNPFEDSA-N 1 2 257.381 3.576 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](C)c2ncccc2F)c1F ZINC000527828215 330286863 /nfs/dbraw/zinc/28/68/63/330286863.db2.gz PWYFLDYSTVZGMV-NSHDSACASA-N 1 2 262.303 3.519 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1csnn1)c1cc(C)ccc1C ZINC000527908479 330290527 /nfs/dbraw/zinc/29/05/27/330290527.db2.gz LPZSVSXHSCAZNT-TZMCWYRMSA-N 1 2 275.421 3.957 20 0 CHADLO C[C@@H]1CCN(c2nccc3ccccc32)C[C@H]1n1cc[nH+]c1 ZINC000527923985 330296252 /nfs/dbraw/zinc/29/62/52/330296252.db2.gz DGXKLBCBIBJADM-RHSMWYFYSA-N 1 2 292.386 3.519 20 0 CHADLO C[C@H]1C[C@@H](Nc2cccc(C3CCOCC3)c2)c2[nH+]ccn21 ZINC000527993775 330299343 /nfs/dbraw/zinc/29/93/43/330299343.db2.gz FXKVUAPWKFXQMA-SUMWQHHRSA-N 1 2 297.402 3.895 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccc1F)c1cccc(F)c1F ZINC000528035861 330302730 /nfs/dbraw/zinc/30/27/30/330302730.db2.gz LZBWLQVYBRCYIE-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3ccccc32)C[C@@H](C)C1(F)F ZINC000528094567 330307720 /nfs/dbraw/zinc/30/77/20/330307720.db2.gz ULHCJUPJAIDNRJ-VXGBXAGGSA-N 1 2 276.330 3.962 20 0 CHADLO CCCn1ncc(NCc2c[nH+]cn2C(C)C)c1C1CC1 ZINC000424168520 330310157 /nfs/dbraw/zinc/31/01/57/330310157.db2.gz GAPXYVXNEWCWIU-UHFFFAOYSA-N 1 2 287.411 3.560 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H]2COCc3ccccc32)c(F)c1 ZINC000424168630 330310198 /nfs/dbraw/zinc/31/01/98/330310198.db2.gz IQPQBJGVEFCOIT-KRWDZBQOSA-N 1 2 289.325 3.634 20 0 CHADLO CC[C@H](Nc1[nH+]cnc2c1cnn2C)c1cc(C)ccc1C ZINC000528223336 330315811 /nfs/dbraw/zinc/31/58/11/330315811.db2.gz OHSHFQPWUXETNA-HNNXBMFYSA-N 1 2 295.390 3.543 20 0 CHADLO Clc1cccnc1C[N@H+](Cc1cccs1)C1CC1 ZINC000528336594 330319464 /nfs/dbraw/zinc/31/94/64/330319464.db2.gz CGLZNTDVWWAMRE-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Clc1cccnc1C[N@@H+](Cc1cccs1)C1CC1 ZINC000528336594 330319465 /nfs/dbraw/zinc/31/94/65/330319465.db2.gz CGLZNTDVWWAMRE-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1nc2cc(C)ccc2s1 ZINC000528350369 330321451 /nfs/dbraw/zinc/32/14/51/330321451.db2.gz KEMSNHDZXXJAOU-QWHCGFSZSA-N 1 2 290.432 3.604 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@H+]1Cc1nc2cc(C)ccc2s1 ZINC000528350369 330321452 /nfs/dbraw/zinc/32/14/52/330321452.db2.gz KEMSNHDZXXJAOU-QWHCGFSZSA-N 1 2 290.432 3.604 20 0 CHADLO COc1cc(NCc2c[nH+]cn2C(C)C)c(C)cc1C ZINC000424189804 330322853 /nfs/dbraw/zinc/32/28/53/330322853.db2.gz LLEVDEPKGNSDMG-UHFFFAOYSA-N 1 2 273.380 3.702 20 0 CHADLO CC1=C(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CCC1 ZINC000533011157 330324234 /nfs/dbraw/zinc/32/42/34/330324234.db2.gz ZIMOIRFEAAWBRA-UHFFFAOYSA-N 1 2 267.332 3.516 20 0 CHADLO CC[C@@](C)([NH2+]CCCCCn1cc(C)cn1)C(F)(F)F ZINC000533066466 330325722 /nfs/dbraw/zinc/32/57/22/330325722.db2.gz HYTTYFDKUIWVKB-CYBMUJFWSA-N 1 2 291.361 3.682 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2nccs2)c(Cl)c1 ZINC000106250700 330333762 /nfs/dbraw/zinc/33/37/62/330333762.db2.gz AJHGKCLOLLRQTE-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCC[C@H](C(F)(F)F)C1)C(F)(F)F ZINC000424329750 330342026 /nfs/dbraw/zinc/34/20/26/330342026.db2.gz SEQKEIQPWZZTRB-CSMHCCOUSA-N 1 2 263.225 3.648 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cc2ccccc2cc1O ZINC000107038846 330346013 /nfs/dbraw/zinc/34/60/13/330346013.db2.gz ZAIMNDHIARYDBQ-UHFFFAOYSA-N 1 2 278.311 3.501 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1Cc1cnc2ccccn12 ZINC000533291931 330356076 /nfs/dbraw/zinc/35/60/76/330356076.db2.gz LVNBSNUGRKGIHN-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1Cc1cnc2ccccn12 ZINC000533291931 330356077 /nfs/dbraw/zinc/35/60/77/330356077.db2.gz LVNBSNUGRKGIHN-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO Cc1ccc(NC(=O)CSc2ccccc2C)c(C)[nH+]1 ZINC000119212656 330371971 /nfs/dbraw/zinc/37/19/71/330371971.db2.gz VWZNMLAMQUVZKN-UHFFFAOYSA-N 1 2 286.400 3.738 20 0 CHADLO Cc1ccc(NC(=O)Cc2ccc3c(c2)CCCC3)c(C)[nH+]1 ZINC000119244836 330372199 /nfs/dbraw/zinc/37/21/99/330372199.db2.gz AJDWVQPCOBAMAP-UHFFFAOYSA-N 1 2 294.398 3.758 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(OC(F)F)cc2)c(C)[nH+]1 ZINC000119246661 330372270 /nfs/dbraw/zinc/37/22/70/330372270.db2.gz AFKLDLJJCDUNRO-UHFFFAOYSA-N 1 2 292.285 3.552 20 0 CHADLO Cc1cc(NC(=O)N2[C@H](C)C[C@H]3CCCC[C@@H]32)cc[nH+]1 ZINC000119342609 330372473 /nfs/dbraw/zinc/37/24/73/330372473.db2.gz UAZQLHXSNISISD-NFAWXSAZSA-N 1 2 273.380 3.575 20 0 CHADLO Cc1cc(C)c(CSCCn2cc[nH+]c2)c(C)c1 ZINC000090200827 330391166 /nfs/dbraw/zinc/39/11/66/330391166.db2.gz OOJLMGVDCJPJDH-UHFFFAOYSA-N 1 2 260.406 3.742 20 0 CHADLO CSc1ccc(NCc2c[nH+]cn2C(C)C)cc1 ZINC000090207491 330391320 /nfs/dbraw/zinc/39/13/20/330391320.db2.gz VPMIGVHMLHFGAN-UHFFFAOYSA-N 1 2 261.394 3.798 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nnsc1Cl)c1ccccc1 ZINC000537040608 330393777 /nfs/dbraw/zinc/39/37/77/330393777.db2.gz JMZGXWHJLCEARH-LLVKDONJSA-N 1 2 281.812 3.823 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1cccc(F)c1F ZINC000090963443 330397353 /nfs/dbraw/zinc/39/73/53/330397353.db2.gz PRUWMIJBRNAEHD-BDAKNGLRSA-N 1 2 268.332 3.833 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)s1 ZINC000393337679 330430438 /nfs/dbraw/zinc/43/04/38/330430438.db2.gz UPCRRHIPJIQNDN-SECBINFHSA-N 1 2 283.828 3.548 20 0 CHADLO Cc1ccc(C)c(CN(C)C(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000429749527 330554268 /nfs/dbraw/zinc/55/42/68/330554268.db2.gz RGHWNTNMRTYXSS-UHFFFAOYSA-N 1 2 297.402 3.979 20 0 CHADLO Cc1cncc([C@H](C)[NH2+]Cc2c(F)cc(F)c(F)c2F)c1 ZINC000430748963 330572868 /nfs/dbraw/zinc/57/28/68/330572868.db2.gz SORLSNCFEFTHNT-VIFPVBQESA-N 1 2 298.283 3.797 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2[C@H](c3ccccc3)[C@@H]2C)c(C)[nH+]1 ZINC000430792238 330574345 /nfs/dbraw/zinc/57/43/45/330574345.db2.gz QNYPAEVIEJGLQJ-AFAVFJNCSA-N 1 2 280.371 3.687 20 0 CHADLO Cc1cc(COc2cc[nH+]cc2)ccc1Br ZINC000431501284 330585815 /nfs/dbraw/zinc/58/58/15/330585815.db2.gz DXMZCFSQMCKJAN-UHFFFAOYSA-N 1 2 278.149 3.732 20 0 CHADLO FC(F)(F)COc1ccccc1COc1cc[nH+]cc1 ZINC000431508373 330585884 /nfs/dbraw/zinc/58/58/84/330585884.db2.gz YFSWRLFFONIODI-UHFFFAOYSA-N 1 2 283.249 3.602 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@H]2C(C)C)nc(-c2ccncc2)[nH+]1 ZINC000431509941 330586105 /nfs/dbraw/zinc/58/61/05/330586105.db2.gz XFQRWHUQOIFRSZ-MRXNPFEDSA-N 1 2 296.418 3.718 20 0 CHADLO COC1CC[NH+](Cc2ccc(F)c(Cl)c2Cl)CC1 ZINC000432754212 330606076 /nfs/dbraw/zinc/60/60/76/330606076.db2.gz JQSAYGAKZWZMTB-UHFFFAOYSA-N 1 2 292.181 3.743 20 0 CHADLO CC(C)c1nc(N2CCC=C(F)C2)cc(C(C)(C)C)[nH+]1 ZINC000433776654 330631086 /nfs/dbraw/zinc/63/10/86/330631086.db2.gz YZAKNOONABMNKY-UHFFFAOYSA-N 1 2 277.387 3.961 20 0 CHADLO Cc1ccc(N[C@@H]2CCC[C@@H](C(F)(F)F)C2)c[nH+]1 ZINC000154237449 330654624 /nfs/dbraw/zinc/65/46/24/330654624.db2.gz HWZNVOMOYOURCF-GHMZBOCLSA-N 1 2 258.287 3.923 20 0 CHADLO CC1=CCCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000435596257 330658481 /nfs/dbraw/zinc/65/84/81/330658481.db2.gz HALPFKNJAXSHDF-UHFFFAOYSA-N 1 2 259.397 3.880 20 0 CHADLO Fc1cc(Cl)cc(CN2CCC[C@@H]2c2[nH]cc[nH+]2)c1 ZINC000437980094 330712669 /nfs/dbraw/zinc/71/26/69/330712669.db2.gz SMTGRBLZVCOEOI-CYBMUJFWSA-N 1 2 279.746 3.539 20 0 CHADLO CSc1ccc(NCc2ccc(Cl)cc2F)[nH+]c1 ZINC000438192926 330719467 /nfs/dbraw/zinc/71/94/67/330719467.db2.gz URHXGEXWKGIKEV-UHFFFAOYSA-N 1 2 282.771 3.630 20 0 CHADLO CCC[C@H]([NH2+]CC(C)(C)c1cccc(C)c1)C(=O)OCC ZINC000439566921 330740540 /nfs/dbraw/zinc/74/05/40/330740540.db2.gz GMKQQNDEHLXLLO-INIZCTEOSA-N 1 2 291.435 3.594 20 0 CHADLO COc1cccc2c(N3[C@@H](C)CC[C@@H]3C)cc[nH+]c12 ZINC000440680009 330753978 /nfs/dbraw/zinc/75/39/78/330753978.db2.gz XENUURPOWGHKJF-RYUDHWBXSA-N 1 2 256.349 3.621 20 0 CHADLO FC(F)C1CCC(Nc2ccc(N3CCCC3)[nH+]c2)CC1 ZINC000442855266 330787446 /nfs/dbraw/zinc/78/74/46/330787446.db2.gz XGCBZICDGVCTKM-UHFFFAOYSA-N 1 2 295.377 3.918 20 0 CHADLO c1cc2ccc(NC3CCN(c4cccc[nH+]4)CC3)cc2o1 ZINC000443101024 330794913 /nfs/dbraw/zinc/79/49/13/330794913.db2.gz YRTLPVIOTQINMF-UHFFFAOYSA-N 1 2 293.370 3.909 20 0 CHADLO CC[C@@H](NC(=O)C[C@H](c1ccccc1)C(C)C)c1[nH]cc[nH+]1 ZINC000443111309 330795364 /nfs/dbraw/zinc/79/53/64/330795364.db2.gz CWDGFVYYIWGSCV-JKSUJKDBSA-N 1 2 299.418 3.807 20 0 CHADLO Cc1cc(N2CCC[C@H](C(C)C)C2)nc(-c2ccncc2)[nH+]1 ZINC000443980292 330808349 /nfs/dbraw/zinc/80/83/49/330808349.db2.gz AOWPAWOECKJJSP-INIZCTEOSA-N 1 2 296.418 3.719 20 0 CHADLO C[C@H](Nc1cc[nH+]c2ccncc12)[C@@H](C)c1ccccc1 ZINC000444079445 330809943 /nfs/dbraw/zinc/80/99/43/330809943.db2.gz QDPAXGDGCSTTSC-KGLIPLIRSA-N 1 2 277.371 3.656 20 0 CHADLO c1ccc2[nH+]c(N3CCCC4(CCCC4)C3)[nH]c2c1 ZINC000445663313 330834148 /nfs/dbraw/zinc/83/41/48/330834148.db2.gz GJXFXLOGAHUBEG-UHFFFAOYSA-N 1 2 255.365 3.724 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2C[C@H]2C2CCCCC2)c(C)[nH+]1 ZINC000447192410 330862791 /nfs/dbraw/zinc/86/27/91/330862791.db2.gz OAOGFHIEWTWCCW-HOCLYGCPSA-N 1 2 287.407 3.789 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2ncc(C)s2)on1 ZINC000449168093 330903033 /nfs/dbraw/zinc/90/30/33/330903033.db2.gz LVQGQERGDXUROY-UHFFFAOYSA-N 1 2 279.409 3.633 20 0 CHADLO CC[C@@H]([NH2+]C/C(C)=C\c1ccccc1COC)C(F)F ZINC000449358910 330918622 /nfs/dbraw/zinc/91/86/22/330918622.db2.gz GTDJERHKHNZVAC-HMVFGEFZSA-N 1 2 283.362 3.870 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(F)c(Br)c1)C(F)F ZINC000449364804 330918928 /nfs/dbraw/zinc/91/89/28/330918928.db2.gz UFWDGECDEKROHH-SNVBAGLBSA-N 1 2 296.130 3.722 20 0 CHADLO COCc1ccccc1/C=C(\C)C[NH2+][C@@H](C)C(C)(F)F ZINC000449414705 330923814 /nfs/dbraw/zinc/92/38/14/330923814.db2.gz MRJPDDCGGSAUAB-SRXBQZRASA-N 1 2 283.362 3.870 20 0 CHADLO COc1cc(C[NH2+][C@H](C)C(C)(F)F)ccc1SC ZINC000449417682 330924148 /nfs/dbraw/zinc/92/41/48/330924148.db2.gz YTWUAXNCNFKUBW-SECBINFHSA-N 1 2 275.364 3.550 20 0 CHADLO CCn1cc[nH+]c1CNc1ccccc1C(C)(F)F ZINC000449471572 330928385 /nfs/dbraw/zinc/92/83/85/330928385.db2.gz PCYXXXKSEMEAOM-UHFFFAOYSA-N 1 2 265.307 3.627 20 0 CHADLO Cc1ccncc1C[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000449520002 330933371 /nfs/dbraw/zinc/93/33/71/330933371.db2.gz CRSCQVAXOITIGJ-MRXNPFEDSA-N 1 2 290.357 3.746 20 0 CHADLO CCc1nc(C[NH+]2CC(c3ccc(Cl)cc3)C2)cs1 ZINC000449555550 330936371 /nfs/dbraw/zinc/93/63/71/330936371.db2.gz HYIFBYQEKBKXEL-UHFFFAOYSA-N 1 2 292.835 3.958 20 0 CHADLO CCc1cnc(C[NH+]2CC(c3ccc(Cl)cc3)C2)s1 ZINC000449558743 330936464 /nfs/dbraw/zinc/93/64/64/330936464.db2.gz ZQVYVAVGJIXGCK-UHFFFAOYSA-N 1 2 292.835 3.958 20 0 CHADLO CCc1ncc(C[NH2+]Cc2cc(Cl)ccc2Cl)o1 ZINC000449607900 330939125 /nfs/dbraw/zinc/93/91/25/330939125.db2.gz CUJKPWVOQAHFML-UHFFFAOYSA-N 1 2 285.174 3.834 20 0 CHADLO COC(C)(C)C[C@@H](C)Nc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000450357003 330974489 /nfs/dbraw/zinc/97/44/89/330974489.db2.gz YBAARJQXINTMFG-LLVKDONJSA-N 1 2 298.390 3.727 20 0 CHADLO Cc1cc(N2[C@H](C)C[C@H](c3ccccc3)[C@H]2C)nc[nH+]1 ZINC000450605012 330989024 /nfs/dbraw/zinc/98/90/24/330989024.db2.gz YCWRDXKYELOPQW-FMKPAKJESA-N 1 2 267.376 3.556 20 0 CHADLO C[C@H]1CC(O)C[C@H](C)[NH+]1Cc1cc(Cl)sc1Cl ZINC000450813090 331000746 /nfs/dbraw/zinc/00/07/46/331000746.db2.gz UQYNNIKXOMSISX-YUMQZZPRSA-N 1 2 294.247 3.789 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc2cccc(F)c2o1)c1csnn1 ZINC000450835853 331001839 /nfs/dbraw/zinc/00/18/39/331001839.db2.gz PMYIVBSDUHBDKW-RKDXNWHRSA-N 1 2 291.351 3.835 20 0 CHADLO C[N@H+](Cc1nnc(C2CC2)s1)Cc1cccc2[nH]ccc21 ZINC000450847024 331002620 /nfs/dbraw/zinc/00/26/20/331002620.db2.gz OANQMASKTZUDRO-UHFFFAOYSA-N 1 2 298.415 3.529 20 0 CHADLO C[N@@H+](Cc1nnc(C2CC2)s1)Cc1cccc2[nH]ccc21 ZINC000450847024 331002621 /nfs/dbraw/zinc/00/26/21/331002621.db2.gz OANQMASKTZUDRO-UHFFFAOYSA-N 1 2 298.415 3.529 20 0 CHADLO COC[C@H]1CCCN(c2[nH+]ccc3ccc(F)cc32)CC1 ZINC000450950677 331008526 /nfs/dbraw/zinc/00/85/26/331008526.db2.gz WFOWWEPLDXZINA-ZDUSSCGKSA-N 1 2 288.366 3.627 20 0 CHADLO Clc1ccc([C@@H]2CCCC[N@@H+]2Cc2ncccn2)cc1 ZINC000451731236 331040447 /nfs/dbraw/zinc/04/04/47/331040447.db2.gz DELYJBRJQKKQEJ-HNNXBMFYSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1ccc([C@@H]2CCCC[N@H+]2Cc2ncccn2)cc1 ZINC000451731236 331040448 /nfs/dbraw/zinc/04/04/48/331040448.db2.gz DELYJBRJQKKQEJ-HNNXBMFYSA-N 1 2 287.794 3.857 20 0 CHADLO CCCC[C@@H](C(=O)OC)[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451827718 331043908 /nfs/dbraw/zinc/04/39/08/331043908.db2.gz AINOLZJECAJDOU-ZFWWWQNUSA-N 1 2 291.391 3.538 20 0 CHADLO CCCC[C@@H](C(=O)OC)[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451827718 331043909 /nfs/dbraw/zinc/04/39/09/331043909.db2.gz AINOLZJECAJDOU-ZFWWWQNUSA-N 1 2 291.391 3.538 20 0 CHADLO CCc1ccc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)nc1 ZINC000451858926 331045021 /nfs/dbraw/zinc/04/50/21/331045021.db2.gz SDVJCYJQRFZEIK-QGZVFWFLSA-N 1 2 282.387 3.964 20 0 CHADLO CCc1ccc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)nc1 ZINC000451858926 331045022 /nfs/dbraw/zinc/04/50/22/331045022.db2.gz SDVJCYJQRFZEIK-QGZVFWFLSA-N 1 2 282.387 3.964 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451857564 331045025 /nfs/dbraw/zinc/04/50/25/331045025.db2.gz QVGITTCDXFEDHY-MRXNPFEDSA-N 1 2 286.375 3.866 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451857564 331045026 /nfs/dbraw/zinc/04/50/26/331045026.db2.gz QVGITTCDXFEDHY-MRXNPFEDSA-N 1 2 286.375 3.866 20 0 CHADLO Cc1c2ccccc2oc1C(=O)Nc1c[nH+]c2n1CCCC2 ZINC000452344831 331062770 /nfs/dbraw/zinc/06/27/70/331062770.db2.gz PIPSNYCDGNZMRY-UHFFFAOYSA-N 1 2 295.342 3.526 20 0 CHADLO Cc1cc2[nH+]cn(C[C@H](O)[C@H](C)c3ccccc3)c2cc1C ZINC000453017110 331083669 /nfs/dbraw/zinc/08/36/69/331083669.db2.gz NLINBIHBMNRXRX-BEFAXECRSA-N 1 2 294.398 3.818 20 0 CHADLO CCc1[nH+]c2ccccc2n1C[C@H](O)[C@H](C)c1ccccc1 ZINC000453020470 331083798 /nfs/dbraw/zinc/08/37/98/331083798.db2.gz QAUGOZDSCLYXMT-KDOFPFPSSA-N 1 2 294.398 3.763 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2C[C@@H](C)n3ccnc32)c(OC(C)C)c1 ZINC000453120165 331091178 /nfs/dbraw/zinc/09/11/78/331091178.db2.gz CLUMCHRLSNDZTL-GDBMZVCRSA-N 1 2 299.418 3.774 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1nc(C(C)C)c(C)s1 ZINC000453125360 331091727 /nfs/dbraw/zinc/09/17/27/331091727.db2.gz QVUQSYZPCLCHPP-NSHDSACASA-N 1 2 292.452 3.642 20 0 CHADLO CC(C)c1nc([C@H](C)N[C@@H]2C[C@H](C)n3cc[nH+]c32)cs1 ZINC000453143686 331093813 /nfs/dbraw/zinc/09/38/13/331093813.db2.gz LNVQCJQKVGOPDC-SDDRHHMPSA-N 1 2 290.436 3.820 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H](C)c1cc(F)c(F)c(F)c1 ZINC000453158388 331095242 /nfs/dbraw/zinc/09/52/42/331095242.db2.gz LAKFJFROLVROPO-NXEZZACHSA-N 1 2 297.324 3.732 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1ccc(C)c(OC)c1C ZINC000453175641 331097215 /nfs/dbraw/zinc/09/72/15/331097215.db2.gz KPJWBASISQPWRF-CYBMUJFWSA-N 1 2 273.380 3.702 20 0 CHADLO Cc1cc2cccnc2c(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)c1 ZINC000453175255 331097222 /nfs/dbraw/zinc/09/72/22/331097222.db2.gz CGXHNNBVSRCHSZ-IUODEOHRSA-N 1 2 278.359 3.858 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1cc(C)cc2cccnc21 ZINC000453180848 331097936 /nfs/dbraw/zinc/09/79/36/331097936.db2.gz KPJKHIDBWLDILS-CYBMUJFWSA-N 1 2 280.375 3.933 20 0 CHADLO CC(C)Cn1ncc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)c1C1CC1 ZINC000453212026 331102052 /nfs/dbraw/zinc/10/20/52/331102052.db2.gz BOIAFMWVKDZCFA-GXTWGEPZSA-N 1 2 299.422 3.731 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cnn(C(C)(C)C)c1C)C(F)F ZINC000453228541 331104214 /nfs/dbraw/zinc/10/42/14/331104214.db2.gz BWFXSUVXZBSIKW-BXKDBHETSA-N 1 2 273.371 3.641 20 0 CHADLO CC[C@@H]([NH2+][C@H](CC)C(F)F)c1ccc2c(c1)OCCO2 ZINC000453231951 331104560 /nfs/dbraw/zinc/10/45/60/331104560.db2.gz FTOKTSWIMSMQSX-VXGBXAGGSA-N 1 2 285.334 3.542 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C2CC2)ccc1F)c1ccn(C)n1 ZINC000453265106 331109092 /nfs/dbraw/zinc/10/90/92/331109092.db2.gz WWMZEFZAJKCDCA-MRXNPFEDSA-N 1 2 287.382 3.678 20 0 CHADLO CCc1nc2c(s1)[C@@H]([NH2+][C@@H](C)C(C)(F)F)CCC2 ZINC000453280684 331110465 /nfs/dbraw/zinc/11/04/65/331110465.db2.gz HETYUEKKOKJKPS-IUCAKERBSA-N 1 2 274.380 3.716 20 0 CHADLO CCc1nc(C)c([C@@H](C)[NH2+][C@@H](C)C(C)(F)F)s1 ZINC000453300203 331113095 /nfs/dbraw/zinc/11/30/95/331113095.db2.gz VNXNBZBVSRTFGL-APPZFPTMSA-N 1 2 262.369 3.708 20 0 CHADLO CC/C=C\C[NH2+][C@H](c1nccn1C)c1ccc(Cl)cc1 ZINC000453320704 331116405 /nfs/dbraw/zinc/11/64/05/331116405.db2.gz NMCJPBRZQWHEIG-XVWMLYKFSA-N 1 2 289.810 3.719 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H](C)c2ccc(OC)cc2F)o1 ZINC000453355525 331121602 /nfs/dbraw/zinc/12/16/02/331121602.db2.gz DEEDOZPJITXTDQ-NSHDSACASA-N 1 2 292.354 3.626 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CC2)o1)c1ccc(F)cc1F ZINC000453370557 331123911 /nfs/dbraw/zinc/12/39/11/331123911.db2.gz UGIYNMBIZKSRNP-VIFPVBQESA-N 1 2 278.302 3.681 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H](C)c1cc(C)c(C)o1 ZINC000453371941 331124267 /nfs/dbraw/zinc/12/42/67/331124267.db2.gz WFLZVPVDQFYCRV-NWDGAFQWSA-N 1 2 261.369 3.525 20 0 CHADLO C[C@@H]([NH2+]CC(=O)OC(C)(C)C)c1cccc2ccccc21 ZINC000164115510 331164856 /nfs/dbraw/zinc/16/48/56/331164856.db2.gz JYBDRZCLMIQIIP-CYBMUJFWSA-N 1 2 285.387 3.832 20 0 CHADLO CC[C@H](C)CN(CC)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455469985 331170371 /nfs/dbraw/zinc/17/03/71/331170371.db2.gz AGHJMTGJYQCITL-NSHDSACASA-N 1 2 277.412 3.907 20 0 CHADLO Cc1cc(C)c(NC(=O)NCCc2ccccc2C)c(C)[nH+]1 ZINC000455469299 331170419 /nfs/dbraw/zinc/17/04/19/331170419.db2.gz HQCLWTYVGPKIBH-UHFFFAOYSA-N 1 2 297.402 3.679 20 0 CHADLO CCN(C(=O)Nc1c(C)cc(C)[nH+]c1C)[C@@H](C)C(C)C ZINC000455487609 331170944 /nfs/dbraw/zinc/17/09/44/331170944.db2.gz SWUFNICKNJNOSK-AWEZNQCLSA-N 1 2 277.412 3.905 20 0 CHADLO Cc1cc(C)c(NC(=O)NC2CC(C(C)(C)C)C2)c(C)[nH+]1 ZINC000455511660 331171191 /nfs/dbraw/zinc/17/11/91/331171191.db2.gz HTLLJNVEHBQLDZ-UHFFFAOYSA-N 1 2 289.423 3.953 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2CC[C@H](C)C2)c(C)[nH+]1 ZINC000455527537 331172133 /nfs/dbraw/zinc/17/21/33/331172133.db2.gz QHPPSSMFHRNPIV-IINYFYTJSA-N 1 2 275.396 3.565 20 0 CHADLO CCC[C@H](C(=O)N[C@H](C)c1[nH+]ccn1CC)c1ccccc1 ZINC000456377986 331191729 /nfs/dbraw/zinc/19/17/29/331191729.db2.gz IKJKQYMXZHOEDP-ZBFHGGJFSA-N 1 2 299.418 3.664 20 0 CHADLO C[C@H](CC(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21)C1CCCCC1 ZINC000456391416 331192035 /nfs/dbraw/zinc/19/20/35/331192035.db2.gz QVIADYYXBOVYCX-VNHYZAJKSA-N 1 2 289.423 3.612 20 0 CHADLO CC[C@@H](NC(=O)c1cccc(-n2cc[nH+]c2)c1)C1CCCC1 ZINC000456655337 331198466 /nfs/dbraw/zinc/19/84/66/331198466.db2.gz IETWCZAFSVITRA-QGZVFWFLSA-N 1 2 297.402 3.571 20 0 CHADLO CC[C@H]1CCCC[C@@H]1C(=O)NCc1c[nH+]c(C)cc1C ZINC000456855020 331207524 /nfs/dbraw/zinc/20/75/24/331207524.db2.gz YVXXXQTXFFPBAQ-HOCLYGCPSA-N 1 2 274.408 3.531 20 0 CHADLO CCCC[C@H](CC)C(=O)NCc1c[nH+]c(C)cc1C ZINC000456860448 331207744 /nfs/dbraw/zinc/20/77/44/331207744.db2.gz NWSUNPWECAFWBX-AWEZNQCLSA-N 1 2 262.397 3.531 20 0 CHADLO CCC[C@@H]1CCCCN1C(=O)NCc1c[nH+]c(C)cc1C ZINC000459079958 331269524 /nfs/dbraw/zinc/26/95/24/331269524.db2.gz PHGUFDUSYVUOGH-MRXNPFEDSA-N 1 2 289.423 3.563 20 0 CHADLO Cc1cc(C)c(CNC(=O)N(C)C2CCCCCC2)c[nH+]1 ZINC000459118978 331270825 /nfs/dbraw/zinc/27/08/25/331270825.db2.gz LDYACUGLLVKQTB-UHFFFAOYSA-N 1 2 289.423 3.563 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[NH2+]CC(F)F ZINC000459546629 331287100 /nfs/dbraw/zinc/28/71/00/331287100.db2.gz NCZFSHIKMXGNLD-UHFFFAOYSA-N 1 2 277.314 3.717 20 0 CHADLO CCOc1cc(C[NH2+][C@H](C)C(C)(F)F)cc(Cl)c1O ZINC000459572990 331288537 /nfs/dbraw/zinc/28/85/37/331288537.db2.gz RDKYDVAXLLUFCC-MRVPVSSYSA-N 1 2 293.741 3.578 20 0 CHADLO Clc1cc(Cl)cc(C[NH+]2CC3(C2)CCCOC3)c1 ZINC000459613297 331290550 /nfs/dbraw/zinc/29/05/50/331290550.db2.gz WGKKOGSUBPVXJL-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Cn1ccnc1C[N@@H+]1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC000459716087 331295550 /nfs/dbraw/zinc/29/55/50/331295550.db2.gz PMLPMCKNRJDXNR-SFHVURJKSA-N 1 2 295.430 3.928 20 0 CHADLO Cn1ccnc1C[N@H+]1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC000459716087 331295551 /nfs/dbraw/zinc/29/55/51/331295551.db2.gz PMLPMCKNRJDXNR-SFHVURJKSA-N 1 2 295.430 3.928 20 0 CHADLO CCC1(c2ccccc2)C[NH+](Cc2csc(C)n2)C1 ZINC000459756188 331298686 /nfs/dbraw/zinc/29/86/86/331298686.db2.gz NXKNGRKNOZBJMY-UHFFFAOYSA-N 1 2 272.417 3.615 20 0 CHADLO Cc1ccc(C[N@@H+]2Cc3ccc(Cl)cc3C2)c(C)n1 ZINC000459767132 331299446 /nfs/dbraw/zinc/29/94/46/331299446.db2.gz PLLHBLVOOPTORC-UHFFFAOYSA-N 1 2 272.779 3.868 20 0 CHADLO Cc1ccc(C[N@H+]2Cc3ccc(Cl)cc3C2)c(C)n1 ZINC000459767132 331299447 /nfs/dbraw/zinc/29/94/47/331299447.db2.gz PLLHBLVOOPTORC-UHFFFAOYSA-N 1 2 272.779 3.868 20 0 CHADLO CCC1(C(=O)NCc2cn3cc(C)ccc3[nH+]2)CCCCC1 ZINC000460321694 331309126 /nfs/dbraw/zinc/30/91/26/331309126.db2.gz PNUZZAVGMMEEFD-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO CC[C@@H](C(=O)Nc1cc[nH+]cc1C)C1CCC(C)CC1 ZINC000461012654 331310427 /nfs/dbraw/zinc/31/04/27/331310427.db2.gz BXKBTHRYXWRKNK-PESDSKBTSA-N 1 2 274.408 3.603 20 0 CHADLO Cc1cc(N2CC[C@H](C)C3(CCCCC3)C2)nc[nH+]1 ZINC000462700168 331325344 /nfs/dbraw/zinc/32/53/44/331325344.db2.gz LSICPMHBCPWKKL-ZDUSSCGKSA-N 1 2 259.397 3.582 20 0 CHADLO CC(C)c1nc(N2CC[C@H](Cc3ccccc3)C2)cc[nH+]1 ZINC000462860740 331333004 /nfs/dbraw/zinc/33/30/04/331333004.db2.gz PMQYXDXBZOSNKY-MRXNPFEDSA-N 1 2 281.403 3.669 20 0 CHADLO COc1ccc([C@H](C)CCNc2cc[nH+]c(C(C)C)n2)cc1 ZINC000462872086 331333496 /nfs/dbraw/zinc/33/34/96/331333496.db2.gz CEZGZUXLGHTMMB-CQSZACIVSA-N 1 2 299.418 3.636 20 0 CHADLO CC(C)c1nc(NC[C@@H](C)c2ccc(F)cc2F)cc[nH+]1 ZINC000463015833 331337130 /nfs/dbraw/zinc/33/71/30/331337130.db2.gz YMKXLKVQCPCWJR-LLVKDONJSA-N 1 2 291.345 3.516 20 0 CHADLO Fc1ccccc1C1C[NH+](Cc2cc(F)c(F)c(F)c2)C1 ZINC000463041351 331338286 /nfs/dbraw/zinc/33/82/86/331338286.db2.gz YNXZXYOKJORMRP-UHFFFAOYSA-N 1 2 295.279 3.842 20 0 CHADLO C[C@@H]1CCCN1c1nc(N2CCC[C@@H]2C)[nH+]c2ccccc21 ZINC000463063261 331339129 /nfs/dbraw/zinc/33/91/29/331339129.db2.gz GPGDQJHQBWAVDC-KGLIPLIRSA-N 1 2 296.418 3.607 20 0 CHADLO Cc1ccc(CC[C@H](C)Nc2ccc3ccccc3[nH+]2)o1 ZINC000463075129 331339477 /nfs/dbraw/zinc/33/94/77/331339477.db2.gz XJDPVDMXGQHTPA-ZDUSSCGKSA-N 1 2 280.371 3.991 20 0 CHADLO C[C@@H](CNc1ccc2ccccc2[nH+]1)Oc1ccccc1F ZINC000463074845 331339545 /nfs/dbraw/zinc/33/95/45/331339545.db2.gz JWLYSNSKZDCWNK-ZDUSSCGKSA-N 1 2 296.345 3.675 20 0 CHADLO COc1cc(C)ccc1[NH2+]CCCC1CCOCC1 ZINC000463292647 331345553 /nfs/dbraw/zinc/34/55/53/331345553.db2.gz XEXHMZZUHFYOGG-UHFFFAOYSA-N 1 2 263.381 3.622 20 0 CHADLO COC(=O)C[N@H+](C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464764885 331366633 /nfs/dbraw/zinc/36/66/33/331366633.db2.gz YBXUYYARSJQXPN-AWEZNQCLSA-N 1 2 283.371 3.519 20 0 CHADLO COC(=O)C[N@@H+](C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464764885 331366634 /nfs/dbraw/zinc/36/66/34/331366634.db2.gz YBXUYYARSJQXPN-AWEZNQCLSA-N 1 2 283.371 3.519 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+][C@H](C)c3ccccc3F)nc2c1 ZINC000465400338 331382724 /nfs/dbraw/zinc/38/27/24/331382724.db2.gz FHBJJRBRBBUTDF-GFCCVEGCSA-N 1 2 283.350 3.861 20 0 CHADLO Cc1ccc2nc(C[NH2+][C@H](C)c3ccccc3F)[nH]c2c1 ZINC000465400338 331382725 /nfs/dbraw/zinc/38/27/25/331382725.db2.gz FHBJJRBRBBUTDF-GFCCVEGCSA-N 1 2 283.350 3.861 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1ccc(F)cc1Cl)CO2 ZINC000466453819 331397486 /nfs/dbraw/zinc/39/74/86/331397486.db2.gz HEISTDSFGDEMFE-AWEZNQCLSA-N 1 2 295.716 3.842 20 0 CHADLO CC(C)N(Cc1c[nH+]c2ccccn12)c1ccccc1 ZINC000469261388 331448568 /nfs/dbraw/zinc/44/85/68/331448568.db2.gz UDPNQIBWLDOTDW-UHFFFAOYSA-N 1 2 265.360 3.749 20 0 CHADLO Cc1cccc(CC[C@H](C)[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1 ZINC000470338178 331479854 /nfs/dbraw/zinc/47/98/54/331479854.db2.gz PKBBPKRUOOHIOU-GJZGRUSLSA-N 1 2 291.435 3.636 20 0 CHADLO CCN(Cc1c(C)[nH+]c2ccccn21)c1ccc(F)cc1 ZINC000470956907 331497373 /nfs/dbraw/zinc/49/73/73/331497373.db2.gz FCYZYBIAFQUWCN-UHFFFAOYSA-N 1 2 283.350 3.808 20 0 CHADLO CCC[C@](C)([NH2+]Cc1cccc(SCC)c1)C(=O)OC ZINC000473139432 331548778 /nfs/dbraw/zinc/54/87/78/331548778.db2.gz AMSJLLMFEODALJ-INIZCTEOSA-N 1 2 295.448 3.620 20 0 CHADLO C[C@@H]1C[C@@H]1CC(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000353773483 533711502 /nfs/dbraw/zinc/71/15/02/533711502.db2.gz NYYLXVDKNMNJQO-CHWSQXEVSA-N 1 2 282.343 3.859 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000475536230 331636158 /nfs/dbraw/zinc/63/61/58/331636158.db2.gz IGDXEHUFGZEBCZ-HIFRSBDPSA-N 1 2 285.391 3.798 20 0 CHADLO Cc1ccc(CCC(=O)Nc2c(C)cc[nH+]c2C)c(C)c1 ZINC000485476626 331915218 /nfs/dbraw/zinc/91/52/18/331915218.db2.gz FOMMTNWHQINVKO-UHFFFAOYSA-N 1 2 282.387 3.887 20 0 CHADLO Cc1nc(N[C@H]2CCC[C@@H](c3ccccc3)C2)cc[nH+]1 ZINC000487127720 331956693 /nfs/dbraw/zinc/95/66/93/331956693.db2.gz ASBQSTPVSWAJAH-CVEARBPZSA-N 1 2 267.376 3.923 20 0 CHADLO CC(C)c1cc(NCC2CC(F)(F)C2)nc(C(C)C)[nH+]1 ZINC000488434489 332007990 /nfs/dbraw/zinc/00/79/90/332007990.db2.gz TUNGTGZVNCVHPB-UHFFFAOYSA-N 1 2 283.366 3.603 20 0 CHADLO CC(C)[C@@H]1C[C@H](Nc2ccc(N3CCCC3)c[nH+]2)CS1 ZINC000488437809 332008441 /nfs/dbraw/zinc/00/84/41/332008441.db2.gz ZWEYDWIAOVPMKK-ZFWWWQNUSA-N 1 2 291.464 3.624 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@H]2c2cccnc2)nc(C(C)C)[nH+]1 ZINC000488485826 332013244 /nfs/dbraw/zinc/01/32/44/332013244.db2.gz ZALNLUGNHLASFI-MRXNPFEDSA-N 1 2 296.418 3.891 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+]1CCOc2ccc(F)cc2C1 ZINC000489157400 332042784 /nfs/dbraw/zinc/04/27/84/332042784.db2.gz LGQBVNSLFODSJM-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+]1CCOc2ccc(F)cc2C1 ZINC000489157400 332042786 /nfs/dbraw/zinc/04/27/86/332042786.db2.gz LGQBVNSLFODSJM-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1cc(NC[C@@H]2CCCC[C@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000489226834 332043889 /nfs/dbraw/zinc/04/38/89/332043889.db2.gz SGYCAPCCHBQEJV-CJNGLKHVSA-N 1 2 296.418 3.507 20 0 CHADLO CC[C@H]1CCCC[C@H]1Nc1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000489245388 332044365 /nfs/dbraw/zinc/04/43/65/332044365.db2.gz FVJLCMCVFYAFAF-GOEBONIOSA-N 1 2 296.418 3.650 20 0 CHADLO FC(F)C1CCC([NH2+][C@H](C2CC2)C(F)(F)F)CC1 ZINC000489797921 332068298 /nfs/dbraw/zinc/06/82/98/332068298.db2.gz VARVINSBDVFFGP-UDNWOFFPSA-N 1 2 271.273 3.741 20 0 CHADLO CC(C)C1(C)CCN(c2cc[nH+]c3ccncc32)CC1 ZINC000489920734 332072880 /nfs/dbraw/zinc/07/28/80/332072880.db2.gz FZPXVIFJUYXKRX-UHFFFAOYSA-N 1 2 269.392 3.892 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+][C@H](c1ccccc1)C(C)(C)C ZINC000490004179 332076299 /nfs/dbraw/zinc/07/62/99/332076299.db2.gz MDVHJKJQEUHRLQ-OAHLLOKOSA-N 1 2 277.408 3.705 20 0 CHADLO CCCOc1cccc2c(NC[C@@](C)(O)CC)cc[nH+]c12 ZINC000492212290 332387506 /nfs/dbraw/zinc/38/75/06/332387506.db2.gz YZDXVJKVSUEZGI-KRWDZBQOSA-N 1 2 288.391 3.597 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1ccc(C(C)C)cc1 ZINC000492300304 332391487 /nfs/dbraw/zinc/39/14/87/332391487.db2.gz QHGRHRQGDAGVAX-KTKRTIGZSA-N 1 2 283.375 3.678 20 0 CHADLO O=C(/C=C/c1[nH]cc[nH+]1)N1CCCCC[C@H]1c1ccccc1 ZINC000493110353 332426583 /nfs/dbraw/zinc/42/65/83/332426583.db2.gz ZXQVOOKLIWXZLD-OFAQMXQXSA-N 1 2 295.386 3.567 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C(C)(C)CCCc1ccccc1 ZINC000494747192 332485054 /nfs/dbraw/zinc/48/50/54/332485054.db2.gz QHBYUZKYBRFOJL-UHFFFAOYSA-N 1 2 296.414 3.800 20 0 CHADLO c1coc(C[N@H+](Cc2cccs2)Cc2ccncc2)c1 ZINC000247834264 334290830 /nfs/dbraw/zinc/29/08/30/334290830.db2.gz MWVUHBKILCBXTQ-UHFFFAOYSA-N 1 2 284.384 3.939 20 0 CHADLO c1coc(C[N@@H+](Cc2cccs2)Cc2ccncc2)c1 ZINC000247834264 334290831 /nfs/dbraw/zinc/29/08/31/334290831.db2.gz MWVUHBKILCBXTQ-UHFFFAOYSA-N 1 2 284.384 3.939 20 0 CHADLO CCCCOc1cc(C[NH2+]C2(C(F)F)CC2)ccc1OC ZINC000500482197 332610675 /nfs/dbraw/zinc/61/06/75/332610675.db2.gz BTNKZBPLTHNRJD-UHFFFAOYSA-N 1 2 299.361 3.761 20 0 CHADLO FC(F)C1([NH2+]Cc2cccc(OC3CCCC3)c2)CC1 ZINC000500500191 332611628 /nfs/dbraw/zinc/61/16/28/332611628.db2.gz RDJMYGBFYIGVKQ-UHFFFAOYSA-N 1 2 281.346 3.895 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]CC1CC(F)(F)C1)C(F)(F)F ZINC000566050239 334618517 /nfs/dbraw/zinc/61/85/17/334618517.db2.gz KMEITFBTEYYBFU-MRVPVSSYSA-N 1 2 259.262 3.598 20 0 CHADLO C[C@]12C[C@H]1CCC[C@@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000502410323 332657708 /nfs/dbraw/zinc/65/77/08/332657708.db2.gz VMIBRPHXZUKAPV-KMFMINBZSA-N 1 2 271.408 3.672 20 0 CHADLO FC(F)C1([NH2+][C@H]2CCc3ccc(Cl)cc32)CC1 ZINC000503931469 332699778 /nfs/dbraw/zinc/69/97/78/332699778.db2.gz VXBMNJDFZSLBKR-NSHDSACASA-N 1 2 257.711 3.715 20 0 CHADLO CC(C)(C)OC(=O)c1ccc(C[NH2+]C2(C(F)F)CC2)cc1 ZINC000504560543 332715045 /nfs/dbraw/zinc/71/50/45/332715045.db2.gz HODAQPGNZUFYCR-UHFFFAOYSA-N 1 2 297.345 3.529 20 0 CHADLO Cc1cc(C)c(CNc2ccc(N3CCCC3)c[nH+]2)cc1C ZINC000513059862 333001941 /nfs/dbraw/zinc/00/19/41/333001941.db2.gz WKBYDKOKOUAWRV-UHFFFAOYSA-N 1 2 295.430 3.641 20 0 CHADLO Cc1cccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)c1C ZINC000174661069 333005229 /nfs/dbraw/zinc/00/52/29/333005229.db2.gz GQIGVZZJBZVTBY-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO CC(C)c1ccc(C[N@@H+]2CCOC[C@H]2CC(F)F)cc1 ZINC000513416613 333018153 /nfs/dbraw/zinc/01/81/53/333018153.db2.gz OWXOYRKIFJSKPZ-OAHLLOKOSA-N 1 2 283.362 3.666 20 0 CHADLO CC(C)c1ccc(C[N@H+]2CCOC[C@H]2CC(F)F)cc1 ZINC000513416613 333018154 /nfs/dbraw/zinc/01/81/54/333018154.db2.gz OWXOYRKIFJSKPZ-OAHLLOKOSA-N 1 2 283.362 3.666 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](CC(C)C)c1ccccc1C)C1CC1 ZINC000513451582 333020416 /nfs/dbraw/zinc/02/04/16/333020416.db2.gz IPCHHFRXNKSPHE-DLBZAZTESA-N 1 2 289.419 3.623 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](CC(C)C)c1ccccc1C)C1CC1 ZINC000513451584 333020571 /nfs/dbraw/zinc/02/05/71/333020571.db2.gz IPCHHFRXNKSPHE-IRXDYDNUSA-N 1 2 289.419 3.623 20 0 CHADLO COCc1ccccc1/C=C(\C)C[NH2+]C1(C(F)F)CC1 ZINC000513523911 333023938 /nfs/dbraw/zinc/02/39/38/333023938.db2.gz DMZITWHDAVQCSS-FMIVXFBMSA-N 1 2 281.346 3.624 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1ccc(Cl)cc1Cl ZINC000513708378 333034486 /nfs/dbraw/zinc/03/44/86/333034486.db2.gz RFUHJTYHORHRMT-GXFFZTMASA-N 1 2 288.218 3.993 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@H+]1Cc1ccc(Cl)cc1Cl ZINC000513708378 333034487 /nfs/dbraw/zinc/03/44/87/333034487.db2.gz RFUHJTYHORHRMT-GXFFZTMASA-N 1 2 288.218 3.993 20 0 CHADLO Cc1cc(NC(=O)c2ccc3ccc(Cl)cc3n2)cc[nH+]1 ZINC000175889046 333036672 /nfs/dbraw/zinc/03/66/72/333036672.db2.gz GGLUOZOOPSXPHQ-UHFFFAOYSA-N 1 2 297.745 3.844 20 0 CHADLO COc1cc[nH+]cc1COc1ccc(C(C)C)cc1 ZINC000311678235 333071134 /nfs/dbraw/zinc/07/11/34/333071134.db2.gz ZTAFLXNUCLIAGR-UHFFFAOYSA-N 1 2 257.333 3.793 20 0 CHADLO COc1cc(C)[nH+]c(COc2cc(F)ccc2Cl)c1 ZINC000311768255 333072220 /nfs/dbraw/zinc/07/22/20/333072220.db2.gz WILZYMZLARCYNP-UHFFFAOYSA-N 1 2 281.714 3.770 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)Nc2cc[nH+]c(C(C)C)n2)cc1 ZINC000517650281 333105124 /nfs/dbraw/zinc/10/51/24/333105124.db2.gz IRJTTYUNKARXHH-CQSZACIVSA-N 1 2 299.418 3.982 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc[nH+]c(C(C)C)n2)cc1 ZINC000517655641 333105260 /nfs/dbraw/zinc/10/52/60/333105260.db2.gz MGSSYRZJZQNPKL-ZDUSSCGKSA-N 1 2 255.365 3.503 20 0 CHADLO Cc1ccc(C2CC([NH2+][C@@H](C)C(=O)OC(C)(C)C)C2)cc1 ZINC000184562604 333129739 /nfs/dbraw/zinc/12/97/39/333129739.db2.gz VPYKZGMHRFFJHH-JEYLPNPQSA-N 1 2 289.419 3.561 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[NH2+]Cc1ccc(C(F)F)cc1 ZINC000184927784 333133264 /nfs/dbraw/zinc/13/32/64/333133264.db2.gz PBQPOSLNGSBUJS-CQSZACIVSA-N 1 2 299.361 3.692 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H]2CCC[C@@H]2C)c(C)[nH+]1 ZINC000186615666 333163814 /nfs/dbraw/zinc/16/38/14/333163814.db2.gz FFWQLHXLVYQOTD-IINYFYTJSA-N 1 2 260.381 3.772 20 0 CHADLO C[C@@H]1CCC[C@H]1CC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000186651019 333163983 /nfs/dbraw/zinc/16/39/83/333163983.db2.gz CBZULLABPHKXAL-KGLIPLIRSA-N 1 2 297.402 3.852 20 0 CHADLO O=C(C[C@@H]1C=CCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000186797882 333165279 /nfs/dbraw/zinc/16/52/79/333165279.db2.gz SIRIKHFALRFGHE-CQSZACIVSA-N 1 2 281.359 3.557 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@@H+]1CCOC2(CCC2)C1 ZINC000187381889 333169995 /nfs/dbraw/zinc/16/99/95/333169995.db2.gz RENKWKIMQVCERN-NSHDSACASA-N 1 2 283.774 3.795 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@H+]1CCOC2(CCC2)C1 ZINC000187381889 333169997 /nfs/dbraw/zinc/16/99/97/333169997.db2.gz RENKWKIMQVCERN-NSHDSACASA-N 1 2 283.774 3.795 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)cc2F)o1 ZINC000220889162 333170315 /nfs/dbraw/zinc/17/03/15/333170315.db2.gz POPVPVRDZVPDLB-NXEZZACHSA-N 1 2 266.291 3.673 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccccc2C(F)(F)F)o1 ZINC000220924493 333170807 /nfs/dbraw/zinc/17/08/07/333170807.db2.gz RJVJYYADCFHKDB-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1cncc(F)c1 ZINC000222226795 333177660 /nfs/dbraw/zinc/17/76/60/333177660.db2.gz QDMCHIDKJHYDQO-NXEZZACHSA-N 1 2 280.293 3.911 20 0 CHADLO Cc1ccc(CNc2[nH+]cccc2OC(F)F)s1 ZINC000189273489 333189002 /nfs/dbraw/zinc/18/90/02/333189002.db2.gz HFLMNACAOUYQMP-UHFFFAOYSA-N 1 2 270.304 3.665 20 0 CHADLO C[C@H](C[NH2+]Cc1c(F)cccc1Cl)N1CCC(C)CC1 ZINC000521833792 333192179 /nfs/dbraw/zinc/19/21/79/333192179.db2.gz BQFYUOWHLSDGCC-CYBMUJFWSA-N 1 2 298.833 3.689 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccn[nH]2)ccc1-c1ccccc1 ZINC000189514646 333194361 /nfs/dbraw/zinc/19/43/61/333194361.db2.gz YYIVOEJVFUCLBL-UHFFFAOYSA-N 1 2 277.371 3.675 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cccc4ccn(C)c43)n2c1 ZINC000190908105 333212100 /nfs/dbraw/zinc/21/21/00/333212100.db2.gz WYMGMCAVRIGPLF-UHFFFAOYSA-N 1 2 290.370 3.747 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)C3(C)CCCC3)ccc2[nH+]1 ZINC000521954774 333226998 /nfs/dbraw/zinc/22/69/98/333226998.db2.gz WLPDYWKHZRSISK-UHFFFAOYSA-N 1 2 297.402 3.820 20 0 CHADLO CC(C)=CCNC(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000192237277 333233376 /nfs/dbraw/zinc/23/33/76/333233376.db2.gz MSZGMDVZQKPZNR-UHFFFAOYSA-N 1 2 297.358 3.962 20 0 CHADLO Cc1ccc(NC(=O)Nc2cc(C3CCCC3)[nH]n2)c(C)[nH+]1 ZINC000192278649 333233886 /nfs/dbraw/zinc/23/38/86/333233886.db2.gz FLJKNDJYUVJCFO-UHFFFAOYSA-N 1 2 299.378 3.723 20 0 CHADLO COCCCCCNc1[nH+]c2ccccc2cc1C ZINC000225354014 333235335 /nfs/dbraw/zinc/23/53/35/333235335.db2.gz RIAPVXIDHNAJQM-UHFFFAOYSA-N 1 2 258.365 3.772 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(F)c1)c1nc(C(C)(C)C)no1 ZINC000192621934 333237092 /nfs/dbraw/zinc/23/70/92/333237092.db2.gz RYQKGAYKGHAYPQ-QWRGUYRKSA-N 1 2 291.370 3.918 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCc3c(C)noc3C)c2c1 ZINC000192977066 333240978 /nfs/dbraw/zinc/24/09/78/333240978.db2.gz URBSPPVMXKSSDW-UHFFFAOYSA-N 1 2 298.342 3.736 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)[C@H]3CC=CCC3)ccc2[nH+]1 ZINC000195058140 333244247 /nfs/dbraw/zinc/24/42/47/333244247.db2.gz YMJDNDWQBRVMJI-ZDUSSCGKSA-N 1 2 295.386 3.596 20 0 CHADLO Cc1cc(N(C)[C@@H](C)C(C)(C)C)nc(-c2ccncc2)[nH+]1 ZINC000522183035 333257713 /nfs/dbraw/zinc/25/77/13/333257713.db2.gz YHEYFAYIXVAKOG-ZDUSSCGKSA-N 1 2 284.407 3.718 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc(-c3ccco3)s2)o1 ZINC000194307172 333268767 /nfs/dbraw/zinc/26/87/67/333268767.db2.gz COHAPMOLQGKAPZ-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc(-c3ccco3)s2)o1 ZINC000194307172 333268768 /nfs/dbraw/zinc/26/87/68/333268768.db2.gz COHAPMOLQGKAPZ-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(CNc2ccc3ccccc3[nH+]2)o1 ZINC000522284675 333279072 /nfs/dbraw/zinc/27/90/72/333279072.db2.gz LFKFJRIWPYRODL-WFASDCNBSA-N 1 2 278.355 3.985 20 0 CHADLO c1ccc2[nH+]c(NCC[C@@H]3CCCCO3)ccc2c1 ZINC000227316231 333280306 /nfs/dbraw/zinc/28/03/06/333280306.db2.gz MGNNHBWNOBEOJX-AWEZNQCLSA-N 1 2 256.349 3.606 20 0 CHADLO CN(C)c1ccc(NCc2ccc(F)cc2Cl)c[nH+]1 ZINC000227599018 333286628 /nfs/dbraw/zinc/28/66/28/333286628.db2.gz PBHPIZCRMDNGCL-UHFFFAOYSA-N 1 2 279.746 3.552 20 0 CHADLO COc1ccc(C[NH2+]CC(F)(F)c2ccccc2)cc1 ZINC000227898255 333290170 /nfs/dbraw/zinc/29/01/70/333290170.db2.gz FAISZBHTIWFMNA-UHFFFAOYSA-N 1 2 277.314 3.577 20 0 CHADLO CC[C@H](Cc1ccccc1)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC000522566039 333290417 /nfs/dbraw/zinc/29/04/17/333290417.db2.gz ZNUCDRKZGMGLTC-MRXNPFEDSA-N 1 2 281.403 3.518 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccc([C@@H]3C[C@H]3C)o2)s1 ZINC000250226084 333303666 /nfs/dbraw/zinc/30/36/66/333303666.db2.gz GSCYYQGBUZGXGI-QMTHXVAHSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccc([C@@H]3C[C@H]3C)o2)s1 ZINC000250226084 333303667 /nfs/dbraw/zinc/30/36/67/333303667.db2.gz GSCYYQGBUZGXGI-QMTHXVAHSA-N 1 2 276.405 3.800 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ccc(F)cc2F)C[C@@H](C)O1 ZINC000303494577 333342909 /nfs/dbraw/zinc/34/29/09/333342909.db2.gz SWZWDYDAZSHBBF-NTZNESFSSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ccc(F)cc2F)C[C@@H](C)O1 ZINC000303494577 333342910 /nfs/dbraw/zinc/34/29/10/333342910.db2.gz SWZWDYDAZSHBBF-NTZNESFSSA-N 1 2 269.335 3.525 20 0 CHADLO Cc1ccc([C@H](C)CNc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000524103866 333353437 /nfs/dbraw/zinc/35/34/37/333353437.db2.gz IPRCOYGZABFDJG-CYBMUJFWSA-N 1 2 281.403 3.608 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+](Cc2noc(C(C)(C)C)n2)CC1 ZINC000524483231 333363023 /nfs/dbraw/zinc/36/30/23/333363023.db2.gz KEYZHRCYKFDNMZ-CYBMUJFWSA-N 1 2 279.428 3.625 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+](Cc2noc(C(C)(C)C)n2)CC1 ZINC000524483231 333363024 /nfs/dbraw/zinc/36/30/24/333363024.db2.gz KEYZHRCYKFDNMZ-CYBMUJFWSA-N 1 2 279.428 3.625 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1)C(C)(C)C ZINC000524520983 333364547 /nfs/dbraw/zinc/36/45/47/333364547.db2.gz MHBCHKBELABVAH-LLVKDONJSA-N 1 2 271.364 3.697 20 0 CHADLO CCc1cc(N2CCC[C@@H]2C(C)C)nc(-c2ccncc2)[nH+]1 ZINC000524626169 333369314 /nfs/dbraw/zinc/36/93/14/333369314.db2.gz XWNACWYQCVYXFU-MRXNPFEDSA-N 1 2 296.418 3.726 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](CC(C)C)C(=O)OC(C)C)s1 ZINC000236647477 333374277 /nfs/dbraw/zinc/37/42/77/333374277.db2.gz RRVVLIVWLUWOMO-AWEZNQCLSA-N 1 2 283.437 3.512 20 0 CHADLO CCO[C@@H]1C[C@H]([NH2+]c2ccc(-n3cccn3)cc2)C12CCC2 ZINC000315932467 333384698 /nfs/dbraw/zinc/38/46/98/333384698.db2.gz RJRCKCBTLCMAFM-DLBZAZTESA-N 1 2 297.402 3.632 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3cccc(F)c3[C@H]2C)cs1 ZINC000524755714 333386760 /nfs/dbraw/zinc/38/67/60/333386760.db2.gz QINCIFYWJUVPOA-SNVBAGLBSA-N 1 2 276.380 3.710 20 0 CHADLO Cc1nc(C[N@H+]2CCc3cccc(F)c3[C@H]2C)cs1 ZINC000524755714 333386762 /nfs/dbraw/zinc/38/67/62/333386762.db2.gz QINCIFYWJUVPOA-SNVBAGLBSA-N 1 2 276.380 3.710 20 0 CHADLO CC[C@H]1CCC[C@H](Nc2cc(C)[nH+]c(-c3cccnc3)n2)C1 ZINC000524843490 333390231 /nfs/dbraw/zinc/39/02/31/333390231.db2.gz QBSWDIJLAMOWKV-HOCLYGCPSA-N 1 2 296.418 3.650 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]Cc1ncccc1Cl ZINC000528413939 333435169 /nfs/dbraw/zinc/43/51/69/333435169.db2.gz NOKWOKPCMKQCGE-UHFFFAOYSA-N 1 2 290.794 3.769 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](c2cccc(C)c2)C(C)C)no1 ZINC000528763236 333456060 /nfs/dbraw/zinc/45/60/60/333456060.db2.gz ANNSEEJOHLSHMM-DOMZBBRYSA-N 1 2 273.380 3.734 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CC[C@@H]2c2ccc(F)cc2)c(C)[nH+]1 ZINC000528808808 333459478 /nfs/dbraw/zinc/45/94/78/333459478.db2.gz DKTRZGWPOYKOSC-CVEARBPZSA-N 1 2 298.361 3.970 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2CC[C@H]3C[C@H]3C2)c(C)[nH+]1 ZINC000529102484 333472471 /nfs/dbraw/zinc/47/24/71/333472471.db2.gz HWBWKMZPVBELKZ-QLFBSQMISA-N 1 2 287.407 3.565 20 0 CHADLO CC[C@H](C)C[C@H](CC)[NH2+]c1ccc(NS(C)(=O)=O)cc1 ZINC000037077027 333474539 /nfs/dbraw/zinc/47/45/39/333474539.db2.gz PERVKJUELZVSOD-STQMWFEESA-N 1 2 298.452 3.685 20 0 CHADLO CCc1nc(C[NH2+][C@H](c2cccs2)C(CC)CC)no1 ZINC000538180605 333577870 /nfs/dbraw/zinc/57/78/70/333577870.db2.gz VYVPCZHYWVZZAI-HNNXBMFYSA-N 1 2 293.436 3.961 20 0 CHADLO Cc1ccc(C(=O)NC2(C)CCC2)cc1Oc1cc[nH+]cc1 ZINC000125981606 333586076 /nfs/dbraw/zinc/58/60/76/333586076.db2.gz ADYLWMQOOBGAHO-UHFFFAOYSA-N 1 2 296.370 3.855 20 0 CHADLO Cc1c(Cl)ccc2c1N(CCCn1cc[nH+]c1)CCC2 ZINC000538349760 333586624 /nfs/dbraw/zinc/58/66/24/333586624.db2.gz LCWXZJRDCFEHBP-UHFFFAOYSA-N 1 2 289.810 3.688 20 0 CHADLO CCc1ccccc1C[NH2+][C@@H](c1ncc[nH]1)c1ccccc1 ZINC000127287285 333625731 /nfs/dbraw/zinc/62/57/31/333625731.db2.gz NIFGGDBXYHYTJD-GOSISDBHSA-N 1 2 291.398 3.851 20 0 CHADLO Cc1cc(NC(=O)c2ccc(F)c(C(F)(F)F)c2)cc[nH+]1 ZINC000067571371 333639832 /nfs/dbraw/zinc/63/98/32/333639832.db2.gz MRIWZJHMJMAFAX-UHFFFAOYSA-N 1 2 298.239 3.800 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc([C@@H]3C[C@H]3C)o2)sc1C ZINC000174435643 335154992 /nfs/dbraw/zinc/15/49/92/335154992.db2.gz GTHSSIKTUSDGKZ-NOZJJQNGSA-N 1 2 276.405 3.766 20 0 CHADLO CC(C)[C@H](C)[NH2+][C@H](Cc1ccccc1)C(=O)OC(C)(C)C ZINC000539682761 333685088 /nfs/dbraw/zinc/68/50/88/333685088.db2.gz WKLZYWZNTCWKAG-GOEBONIOSA-N 1 2 291.435 3.574 20 0 CHADLO Fc1cc(F)cc(C[NH2+][C@H](Cc2ccccc2)C(F)F)c1 ZINC000539854664 333699227 /nfs/dbraw/zinc/69/92/27/333699227.db2.gz JZGJMNWHDWXGTF-OAHLLOKOSA-N 1 2 297.295 3.931 20 0 CHADLO CC(C)C[C@H]1CCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000128839710 333719146 /nfs/dbraw/zinc/71/91/46/333719146.db2.gz YPOHEGLTZCQEAA-QGZVFWFLSA-N 1 2 297.402 3.523 20 0 CHADLO C[C@@]1([NH2+]Cc2nnsc2Cl)CCCc2ccccc21 ZINC000540906901 333752744 /nfs/dbraw/zinc/75/27/44/333752744.db2.gz ZKWPHUUWWYCDPI-CQSZACIVSA-N 1 2 293.823 3.533 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@@H](C)c1ccccn1 ZINC000129223441 333753400 /nfs/dbraw/zinc/75/34/00/333753400.db2.gz UZPKOTLVOGGBFZ-RYUDHWBXSA-N 1 2 274.339 3.641 20 0 CHADLO Cc1cc(N2CC[C@@H](C(C)(C)C)C2)nc(C(C)C)[nH+]1 ZINC000541341641 333773256 /nfs/dbraw/zinc/77/32/56/333773256.db2.gz XDWXWMKLHOGCCD-CYBMUJFWSA-N 1 2 261.413 3.781 20 0 CHADLO CC[C@H](c1ccncc1)N(C)c1nc(C)[nH+]c2c1CCCC2 ZINC000542019714 333807003 /nfs/dbraw/zinc/80/70/03/333807003.db2.gz CUVWKMSWUOBFRU-QGZVFWFLSA-N 1 2 296.418 3.646 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+][C@H](c2cccnc2)C2CC2)o1 ZINC000542519048 333830114 /nfs/dbraw/zinc/83/01/14/333830114.db2.gz DJGKIAHSNIENOV-INIZCTEOSA-N 1 2 285.391 3.608 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccc1F)c1nccs1 ZINC000070984377 333841184 /nfs/dbraw/zinc/84/11/84/333841184.db2.gz FMOWSDCMEMHFLT-UWVGGRQHSA-N 1 2 250.342 3.694 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2nc3ccccc3n2C)o1 ZINC000071006989 333841568 /nfs/dbraw/zinc/84/15/68/333841568.db2.gz FPAZQEMRKIUZMZ-JSGCOSHPSA-N 1 2 295.386 3.580 20 0 CHADLO CC[C@@](C)([NH2+]CCCCC(=O)OC(C)(C)C)C(F)(F)F ZINC000543140340 333860203 /nfs/dbraw/zinc/86/02/03/333860203.db2.gz UQDMLUMTPQTZSA-CYBMUJFWSA-N 1 2 297.361 3.819 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(Cl)c1Cl)c1ccn(C)n1 ZINC000543591240 333882306 /nfs/dbraw/zinc/88/23/06/333882306.db2.gz DPCSMNLMSKZXAO-GFCCVEGCSA-N 1 2 298.217 3.968 20 0 CHADLO Cc1cccc([C@@H]2CCN(c3cc(C)[nH+]c(C4CC4)n3)C2)c1 ZINC000544142989 333918600 /nfs/dbraw/zinc/91/86/00/333918600.db2.gz RRBRJAMGQCSSSG-QGZVFWFLSA-N 1 2 293.414 3.965 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(Cl)cccc1Cl)c1ccn(C)n1 ZINC000544574857 333936924 /nfs/dbraw/zinc/93/69/24/333936924.db2.gz KZNDWURTVJOJJH-CYBMUJFWSA-N 1 2 298.217 3.968 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)c1ccoc1C1CC1 ZINC000545258205 333974084 /nfs/dbraw/zinc/97/40/84/333974084.db2.gz ZXOUWRZOCBHJNS-UHFFFAOYSA-N 1 2 293.326 3.799 20 0 CHADLO COc1cc(C)[nH+]c(CSCC2CCCC2)c1 ZINC000545500658 333984851 /nfs/dbraw/zinc/98/48/51/333984851.db2.gz UGTYGUXXEUHQTC-UHFFFAOYSA-N 1 2 251.395 3.822 20 0 CHADLO CCCc1nc(C[N@@H+]2CCc3ccsc3C2)cs1 ZINC000132714030 334003038 /nfs/dbraw/zinc/00/30/38/334003038.db2.gz APGBYHLSGGHEGQ-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO CCCc1nc(C[N@H+]2CCc3ccsc3C2)cs1 ZINC000132714030 334003039 /nfs/dbraw/zinc/00/30/39/334003039.db2.gz APGBYHLSGGHEGQ-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO CCc1cc(C[NH2+][C@@H](C)c2csc(C(C)C)n2)on1 ZINC000545984935 334010495 /nfs/dbraw/zinc/01/04/95/334010495.db2.gz WFGJYJIFFKUXKL-JTQLQIEISA-N 1 2 279.409 3.668 20 0 CHADLO Cc1cc(COc2cc(C)[nH+]c3c(C)cccc23)no1 ZINC000546181432 334018743 /nfs/dbraw/zinc/01/87/43/334018743.db2.gz QOWVXFXMIGRWDJ-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO CC(C)CC(C)(C)c1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000546333650 334028252 /nfs/dbraw/zinc/02/82/52/334028252.db2.gz XPWFANBZANWFMF-UHFFFAOYSA-N 1 2 298.390 3.632 20 0 CHADLO CC(C)c1nc(N2CCc3cccc(F)c3[C@@H]2C)cc[nH+]1 ZINC000546620095 334040666 /nfs/dbraw/zinc/04/06/66/334040666.db2.gz OUBJXVSAHGINBH-LBPRGKRZSA-N 1 2 285.366 3.863 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(-c3ccccc3)no2)c(C)c1 ZINC000546660695 334044431 /nfs/dbraw/zinc/04/44/31/334044431.db2.gz QIKFGOFBLONDFJ-UHFFFAOYSA-N 1 2 293.370 3.643 20 0 CHADLO CC(C)c1nc(NC[C@H](C[C@H](C)O)c2ccccc2)cc[nH+]1 ZINC000133611371 334047741 /nfs/dbraw/zinc/04/77/41/334047741.db2.gz QENDTVHYGLDPRG-HOCLYGCPSA-N 1 2 299.418 3.567 20 0 CHADLO CC(C)c1nc(NC[C@@H](C[C@@H](C)O)c2ccccc2)cc[nH+]1 ZINC000133610726 334047819 /nfs/dbraw/zinc/04/78/19/334047819.db2.gz QENDTVHYGLDPRG-GDBMZVCRSA-N 1 2 299.418 3.567 20 0 CHADLO Cc1cc(OC[C@@H]2CCCOC2)c2cccc(C)c2[nH+]1 ZINC000546886637 334053984 /nfs/dbraw/zinc/05/39/84/334053984.db2.gz YGBHWVQSYCKNHZ-CQSZACIVSA-N 1 2 271.360 3.657 20 0 CHADLO Cc1cccn2cc(Cc3noc(CCC(C)(C)C)n3)[nH+]c12 ZINC000547090379 334066229 /nfs/dbraw/zinc/06/62/29/334066229.db2.gz OEVJQPPFHNHSAA-UHFFFAOYSA-N 1 2 298.390 3.595 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1ccoc1 ZINC000133985786 334076733 /nfs/dbraw/zinc/07/67/33/334076733.db2.gz KVJFSOVKEDMBGV-GFCCVEGCSA-N 1 2 268.316 3.785 20 0 CHADLO CO[C@@H]1CCC[N@H+](Cc2csc(C3CCCCC3)n2)C1 ZINC000076344495 334079730 /nfs/dbraw/zinc/07/97/30/334079730.db2.gz JITDBHWUTNYOTF-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO CO[C@@H]1CCC[N@@H+](Cc2csc(C3CCCCC3)n2)C1 ZINC000076344495 334079731 /nfs/dbraw/zinc/07/97/31/334079731.db2.gz JITDBHWUTNYOTF-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1cc(F)ccc1COc1cccc(-n2cc[nH+]c2)c1 ZINC000547492152 334093846 /nfs/dbraw/zinc/09/38/46/334093846.db2.gz HDPNFDDOGVRFLE-UHFFFAOYSA-N 1 2 282.318 3.899 20 0 CHADLO CC(C)([NH2+]Cc1cc(F)c(F)cc1F)c1nccs1 ZINC000136160017 334148427 /nfs/dbraw/zinc/14/84/27/334148427.db2.gz KBXMZAQEKLOLLF-UHFFFAOYSA-N 1 2 286.322 3.585 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000548424387 334155651 /nfs/dbraw/zinc/15/56/51/334155651.db2.gz ZWVIFSHCPGUUED-RKDXNWHRSA-N 1 2 284.190 3.624 20 0 CHADLO Cc1ccc(CCNc2ccc3ccc(Cl)cc3n2)c[nH+]1 ZINC000548481428 334159426 /nfs/dbraw/zinc/15/94/26/334159426.db2.gz FRIIEBVQVQLBQU-UHFFFAOYSA-N 1 2 297.789 3.668 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(C(C)C)cc2)o1 ZINC000079876752 334163620 /nfs/dbraw/zinc/16/36/20/334163620.db2.gz RTKBBEFRMAJIGT-NWDGAFQWSA-N 1 2 273.380 3.913 20 0 CHADLO C[C@H]1CC[C@@H](Nc2ccc(N3CCCCC3)[nH+]c2)C1 ZINC000080433488 334171472 /nfs/dbraw/zinc/17/14/72/334171472.db2.gz IRKVNVGSURDFHM-UONOGXRCSA-N 1 2 259.397 3.672 20 0 CHADLO CC[C@@H]([NH2+]C/C(C)=C/c1ccccc1)C(=O)OC(C)(C)C ZINC000548779689 334173762 /nfs/dbraw/zinc/17/37/62/334173762.db2.gz LFTFQJSCGWJIDI-WCRPCQDQSA-N 1 2 289.419 3.800 20 0 CHADLO COCC1(CCNc2[nH+]c3ccccc3cc2C)CCC1 ZINC000549198182 334187536 /nfs/dbraw/zinc/18/75/36/334187536.db2.gz BKYRIQWMLOYROV-UHFFFAOYSA-N 1 2 284.403 3.584 20 0 CHADLO CC[C@H](C(=O)OC)[NH+]1Cc2ccccc2-c2ccccc2C1 ZINC000549230201 334188188 /nfs/dbraw/zinc/18/81/88/334188188.db2.gz MBZMIHJROZOJDX-GOSISDBHSA-N 1 2 295.382 3.621 20 0 CHADLO CC(C)n1cccc1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000138067816 334189571 /nfs/dbraw/zinc/18/95/71/334189571.db2.gz SJENTKXANLMZOL-UHFFFAOYSA-N 1 2 294.358 3.507 20 0 CHADLO C[C@H](C[N@@H+]1CC[C@](F)(c2ccccc2F)C1)C(F)(F)F ZINC000549414032 334193235 /nfs/dbraw/zinc/19/32/35/334193235.db2.gz IDVJMEXASLXFAN-ZWNOBZJWSA-N 1 2 293.279 3.895 20 0 CHADLO C[C@H](C[N@H+]1CC[C@](F)(c2ccccc2F)C1)C(F)(F)F ZINC000549414032 334193236 /nfs/dbraw/zinc/19/32/36/334193236.db2.gz IDVJMEXASLXFAN-ZWNOBZJWSA-N 1 2 293.279 3.895 20 0 CHADLO Cc1cc(Nc2ccc([C@H]3CCOC3)cc2)nc(C2CC2)[nH+]1 ZINC000549636784 334200628 /nfs/dbraw/zinc/20/06/28/334200628.db2.gz FOINVVHEFZKZAZ-HNNXBMFYSA-N 1 2 295.386 3.910 20 0 CHADLO Cc1cc(N[C@H]2C[C@H](c3ccccc3Cl)C2)nc[nH+]1 ZINC000549706787 334202366 /nfs/dbraw/zinc/20/23/66/334202366.db2.gz YQLXXIAXBVKWFK-HAQNSBGRSA-N 1 2 273.767 3.797 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cc(Cl)cc2cccnc21 ZINC000549863110 334207097 /nfs/dbraw/zinc/20/70/97/334207097.db2.gz RLGPMJKMAHXZKC-UHFFFAOYSA-N 1 2 270.710 3.633 20 0 CHADLO C[C@H]1C[N@H+](Cc2c(F)ccc(Br)c2F)[C@H]1C ZINC000549921954 334208954 /nfs/dbraw/zinc/20/89/54/334208954.db2.gz SBDMNEMSLCMGIL-YUMQZZPRSA-N 1 2 290.151 3.568 20 0 CHADLO C[C@H]1C[N@@H+](Cc2c(F)ccc(Br)c2F)[C@H]1C ZINC000549921954 334208955 /nfs/dbraw/zinc/20/89/55/334208955.db2.gz SBDMNEMSLCMGIL-YUMQZZPRSA-N 1 2 290.151 3.568 20 0 CHADLO c1cc(C[N@@H+]2CCc3ccccc3[C@@H]2c2ccccc2)no1 ZINC000550347289 334220470 /nfs/dbraw/zinc/22/04/70/334220470.db2.gz MZBDHDHHTHBOCS-IBGZPJMESA-N 1 2 290.366 3.822 20 0 CHADLO c1cc(C[N@H+]2CCc3ccccc3[C@@H]2c2ccccc2)no1 ZINC000550347289 334220472 /nfs/dbraw/zinc/22/04/72/334220472.db2.gz MZBDHDHHTHBOCS-IBGZPJMESA-N 1 2 290.366 3.822 20 0 CHADLO FC[C@H]1CCC[N@@H+]1CCOc1cc(Cl)ccc1Cl ZINC000550406039 334221797 /nfs/dbraw/zinc/22/17/97/334221797.db2.gz ZWUMOLDHCJGFRH-LLVKDONJSA-N 1 2 292.181 3.806 20 0 CHADLO FC[C@H]1CCC[N@H+]1CCOc1cc(Cl)ccc1Cl ZINC000550406039 334221798 /nfs/dbraw/zinc/22/17/98/334221798.db2.gz ZWUMOLDHCJGFRH-LLVKDONJSA-N 1 2 292.181 3.806 20 0 CHADLO COc1cc2c(cc1Cl)C[N@H+](CCC(F)(F)F)CC2 ZINC000550471955 334223214 /nfs/dbraw/zinc/22/32/14/334223214.db2.gz HNWHFDRBGPNWLL-UHFFFAOYSA-N 1 2 293.716 3.659 20 0 CHADLO COc1cc2c(cc1Cl)C[N@@H+](CCC(F)(F)F)CC2 ZINC000550471955 334223215 /nfs/dbraw/zinc/22/32/15/334223215.db2.gz HNWHFDRBGPNWLL-UHFFFAOYSA-N 1 2 293.716 3.659 20 0 CHADLO COc1ccc2ccccc2c1C[NH2+][C@@H](C)c1cc(C)on1 ZINC000551268105 334244202 /nfs/dbraw/zinc/24/42/02/334244202.db2.gz ZSNZXVKWLZZVBV-ZDUSSCGKSA-N 1 2 296.370 3.996 20 0 CHADLO CC1(C)C[N@H+](Cc2cnc(C3CC3)s2)[C@H]1c1ccncc1 ZINC000552064685 334284178 /nfs/dbraw/zinc/28/41/78/334284178.db2.gz HZZBFSIMSSEVIZ-HNNXBMFYSA-N 1 2 299.443 3.999 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnc(C3CC3)s2)[C@H]1c1ccncc1 ZINC000552064685 334284179 /nfs/dbraw/zinc/28/41/79/334284179.db2.gz HZZBFSIMSSEVIZ-HNNXBMFYSA-N 1 2 299.443 3.999 20 0 CHADLO Clc1cccc([C@@H]2C[N@@H+]([C@@H]3C=CCCC3)CCO2)c1 ZINC000248613120 334308746 /nfs/dbraw/zinc/30/87/46/334308746.db2.gz IXRYWYDFSNGQGG-CVEARBPZSA-N 1 2 277.795 3.822 20 0 CHADLO Clc1cccc([C@@H]2C[N@H+]([C@@H]3C=CCCC3)CCO2)c1 ZINC000248613120 334308748 /nfs/dbraw/zinc/30/87/48/334308748.db2.gz IXRYWYDFSNGQGG-CVEARBPZSA-N 1 2 277.795 3.822 20 0 CHADLO CC(C)[C@@H]1[N@H+](Cc2c(F)ccc(O)c2F)CC1(C)C ZINC000552293159 334314262 /nfs/dbraw/zinc/31/42/62/334314262.db2.gz TWYDMNQYDRYGMC-AWEZNQCLSA-N 1 2 269.335 3.537 20 0 CHADLO CC(C)[C@@H]1[N@@H+](Cc2c(F)ccc(O)c2F)CC1(C)C ZINC000552293159 334314263 /nfs/dbraw/zinc/31/42/63/334314263.db2.gz TWYDMNQYDRYGMC-AWEZNQCLSA-N 1 2 269.335 3.537 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)cc1Cl ZINC000552424222 334326308 /nfs/dbraw/zinc/32/63/08/334326308.db2.gz QBOKBTUPTQFIPL-HZPDHXFCSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)cc1Cl ZINC000552424222 334326309 /nfs/dbraw/zinc/32/63/09/334326309.db2.gz QBOKBTUPTQFIPL-HZPDHXFCSA-N 1 2 295.785 3.623 20 0 CHADLO Clc1ccc(-c2ccc(C[NH2+]Cc3ccno3)o2)cc1 ZINC000552454858 334328653 /nfs/dbraw/zinc/32/86/53/334328653.db2.gz AIKZPPHNJAXMCJ-UHFFFAOYSA-N 1 2 288.734 3.878 20 0 CHADLO CCCOc1cc(C)ccc1[NH2+]CCCCOC ZINC000089658966 334348092 /nfs/dbraw/zinc/34/80/92/334348092.db2.gz GXGZIJVIOHBJQE-UHFFFAOYSA-N 1 2 251.370 3.622 20 0 CHADLO CC(=O)Nc1ccc(-c2[nH]c3ccc(C)c(C)c3[nH+]2)cc1 ZINC000090065919 334356795 /nfs/dbraw/zinc/35/67/95/334356795.db2.gz VZYPYTKGOYAQFO-UHFFFAOYSA-N 1 2 279.343 3.805 20 0 CHADLO C[C@@H](SCCn1cc[nH+]c1)c1ccc(F)cc1 ZINC000090200607 334358457 /nfs/dbraw/zinc/35/84/57/334358457.db2.gz PICRAVNXKBSYJA-LLVKDONJSA-N 1 2 250.342 3.517 20 0 CHADLO CCC[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000553144742 334364696 /nfs/dbraw/zinc/36/46/96/334364696.db2.gz DYOCZBGSAAYDKA-GFCCVEGCSA-N 1 2 290.794 3.894 20 0 CHADLO Cc1nnc(CNc2cc[nH+]c3c(Cl)cccc23)s1 ZINC000553180683 334367368 /nfs/dbraw/zinc/36/73/68/334367368.db2.gz FBENCAHFJCUCBW-UHFFFAOYSA-N 1 2 290.779 3.660 20 0 CHADLO CO[C@H]1CC[C@@H](Nc2cc[nH+]c3c(Cl)cccc23)C1 ZINC000553245750 334371501 /nfs/dbraw/zinc/37/15/01/334371501.db2.gz ILOQGYVJELNUKX-MNOVXSKESA-N 1 2 276.767 3.868 20 0 CHADLO COc1cc[nH+]cc1CSc1ccc(C)c(C)c1 ZINC000553362968 334380636 /nfs/dbraw/zinc/38/06/36/334380636.db2.gz UOWCNZKDRVPIPP-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO Cc1cc(CCNc2cc(C)[nH+]c3c(F)cccc23)on1 ZINC000553390328 334381982 /nfs/dbraw/zinc/38/19/82/334381982.db2.gz ZFKSQASBWXUEKX-UHFFFAOYSA-N 1 2 285.322 3.633 20 0 CHADLO CN(C[C@H]1CCCOC1)c1cc[nH+]c2c(Cl)cccc12 ZINC000553391470 334382020 /nfs/dbraw/zinc/38/20/20/334382020.db2.gz ZUUYZSVWNDFLTG-GFCCVEGCSA-N 1 2 290.794 3.751 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccccc2SC)o1 ZINC000554553673 334437822 /nfs/dbraw/zinc/43/78/22/334437822.db2.gz SRCNPVHCDATHCZ-LLVKDONJSA-N 1 2 276.405 3.810 20 0 CHADLO Cc1ccc(/C=C\CC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000254969818 334497169 /nfs/dbraw/zinc/49/71/69/334497169.db2.gz UKJZVGZDVALCTL-ARJAWSKDSA-N 1 2 266.344 3.740 20 0 CHADLO Fc1ccc([C@@H]([NH2+][C@H]2CCC[C@H]2F)c2ccccn2)cc1 ZINC000556259859 334504627 /nfs/dbraw/zinc/50/46/27/334504627.db2.gz JIJDWRPMVMLKOP-HLLBOEOZSA-N 1 2 288.341 3.790 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C1(CC(C)C)CCCC1 ZINC000152876697 334520769 /nfs/dbraw/zinc/52/07/69/334520769.db2.gz HNAVBYZAESHJSQ-UHFFFAOYSA-N 1 2 260.381 3.935 20 0 CHADLO CC(C)=CCC[C@@H](C)CC(=O)NC(C)(C)c1[nH]cc(C)[nH+]1 ZINC000565108421 334538972 /nfs/dbraw/zinc/53/89/72/334538972.db2.gz XGKMYIBMKSXLKG-CYBMUJFWSA-N 1 2 291.439 3.842 20 0 CHADLO Cc1[nH]c(CNc2cc(C)c(C)c3ncccc23)[nH+]c1C ZINC000565238589 334547270 /nfs/dbraw/zinc/54/72/70/334547270.db2.gz QHYMQIMEADRKQG-UHFFFAOYSA-N 1 2 280.375 3.804 20 0 CHADLO FC(F)[C@H]1C[C@@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000566788500 334664245 /nfs/dbraw/zinc/66/42/45/334664245.db2.gz WQJFEGBMPMAKMW-KWQFWETISA-N 1 2 268.694 3.954 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H]2CC[C@@H](C)C2)c(C)[nH+]1 ZINC000153722389 334678324 /nfs/dbraw/zinc/67/83/24/334678324.db2.gz SMJSMCZLZBOQLN-QMTHXVAHSA-N 1 2 260.381 3.772 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccc1F)c1ccccc1Cl ZINC000154895008 334706669 /nfs/dbraw/zinc/70/66/69/334706669.db2.gz UUQSZZNDXMNFNU-SNVBAGLBSA-N 1 2 264.731 3.725 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2ccnc(OC)c2)cs1 ZINC000568237656 334807271 /nfs/dbraw/zinc/80/72/71/334807271.db2.gz DAKGPUOSONPDBB-MNOVXSKESA-N 1 2 291.420 3.521 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+][C@H](C)c1cscn1 ZINC000573105370 334907472 /nfs/dbraw/zinc/90/74/72/334907472.db2.gz UVRYQCBJSPWVBC-GHMZBOCLSA-N 1 2 261.394 3.512 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)[C@@H](C)c2ccc(C)cc2C)on1 ZINC000576408425 335200093 /nfs/dbraw/zinc/20/00/93/335200093.db2.gz IHEMRXUTHRVWEJ-ZDUSSCGKSA-N 1 2 286.375 3.687 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)[C@@H](C)c2ccc(C)cc2C)on1 ZINC000576408425 335200095 /nfs/dbraw/zinc/20/00/95/335200095.db2.gz IHEMRXUTHRVWEJ-ZDUSSCGKSA-N 1 2 286.375 3.687 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CC2(C3CC3)CCC2)C2CCCCC2)n1 ZINC000576413079 335201231 /nfs/dbraw/zinc/20/12/31/335201231.db2.gz HYLKUHRNXUTEHJ-HNNXBMFYSA-N 1 2 288.439 3.596 20 0 CHADLO CC1=CCC[C@H](C)[C@H]1C[NH2+][C@H](C1CC1)C(F)(F)F ZINC000576692843 335235208 /nfs/dbraw/zinc/23/52/08/335235208.db2.gz LIOXHEFOGNVAOD-WCFLWFBJSA-N 1 2 261.331 3.909 20 0 CHADLO C[C@@H]1C[C@H](N[C@@H](c2ccco2)c2ccccc2)c2[nH+]ccn21 ZINC000345323818 335313393 /nfs/dbraw/zinc/31/33/93/335313393.db2.gz BBZONSUMAHYBQL-UKPHBRMFSA-N 1 2 293.370 3.861 20 0 CHADLO Cc1ccccc1C[C@@H](C)[N@@H+](C)Cc1noc(C(C)C)n1 ZINC000577180628 335317976 /nfs/dbraw/zinc/31/79/76/335317976.db2.gz RLFCMTMULFRBBX-CQSZACIVSA-N 1 2 287.407 3.564 20 0 CHADLO Cc1ccccc1C[C@@H](C)[N@H+](C)Cc1noc(C(C)C)n1 ZINC000577180628 335317977 /nfs/dbraw/zinc/31/79/77/335317977.db2.gz RLFCMTMULFRBBX-CQSZACIVSA-N 1 2 287.407 3.564 20 0 CHADLO COc1nc2ccccc2cc1C[N@H+](C)Cc1occc1C ZINC000577257151 335330641 /nfs/dbraw/zinc/33/06/41/335330641.db2.gz ASUXDTBYGOKLAQ-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1nc2ccccc2cc1C[N@@H+](C)Cc1occc1C ZINC000577257151 335330643 /nfs/dbraw/zinc/33/06/43/335330643.db2.gz ASUXDTBYGOKLAQ-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+](C)Cc2ccc(F)cc2)n1 ZINC000193017073 335366789 /nfs/dbraw/zinc/36/67/89/335366789.db2.gz FVCQQHISLPJNLX-GFCCVEGCSA-N 1 2 291.370 3.744 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+](C)Cc2ccc(F)cc2)n1 ZINC000193017073 335366790 /nfs/dbraw/zinc/36/67/90/335366790.db2.gz FVCQQHISLPJNLX-GFCCVEGCSA-N 1 2 291.370 3.744 20 0 CHADLO O=C(Nc1cccc(Oc2cc[nH+]cc2)c1)[C@@H]1C[C@@H]1C1CC1 ZINC000177353200 335370655 /nfs/dbraw/zinc/37/06/55/335370655.db2.gz YQXHLAFEPGMMSW-IAGOWNOFSA-N 1 2 294.354 3.859 20 0 CHADLO Cc1[nH+]cccc1Cc1nc(-c2cc3ccccc3o2)no1 ZINC000349738517 335480515 /nfs/dbraw/zinc/48/05/15/335480515.db2.gz UFMQBHFGOBYOLF-UHFFFAOYSA-N 1 2 291.310 3.777 20 0 CHADLO FC1(c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)CCCC1 ZINC000350082430 335492467 /nfs/dbraw/zinc/49/24/67/335492467.db2.gz WJYWYENAHJRQOW-UHFFFAOYSA-N 1 2 298.321 3.661 20 0 CHADLO COc1cc(C)[nH+]c(CSCCCC2CCOCC2)c1 ZINC000578112596 335499150 /nfs/dbraw/zinc/49/91/50/335499150.db2.gz FCISXPQTJWFYPB-UHFFFAOYSA-N 1 2 295.448 3.839 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N(C)[C@H]1CCc2ccccc21 ZINC000179861715 335526372 /nfs/dbraw/zinc/52/63/72/335526372.db2.gz CWRUBSCOBVRAAX-INIZCTEOSA-N 1 2 281.359 3.541 20 0 CHADLO C[C@H](CC(=O)N([C@@H](C)c1ccccc1)C1CC1)n1cc[nH+]c1 ZINC000578365107 335620738 /nfs/dbraw/zinc/62/07/38/335620738.db2.gz WUPRWWUSRVAYQB-CABCVRRESA-N 1 2 297.402 3.586 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](C)c2ccc(C)cc2)n1 ZINC000182310983 335809713 /nfs/dbraw/zinc/80/97/13/335809713.db2.gz PFEODENAIUNSCA-CYBMUJFWSA-N 1 2 273.380 3.571 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc(C)[nH+]c2)cc1NC(C)=O ZINC000182909793 335849708 /nfs/dbraw/zinc/84/97/08/335849708.db2.gz TUUAUIHYSNMVKF-LBPRGKRZSA-N 1 2 299.374 3.530 20 0 CHADLO COc1ccc([C@H](C)[NH2+]C2(C(F)F)CC2)cc1Cl ZINC000579735803 335877870 /nfs/dbraw/zinc/87/78/70/335877870.db2.gz ACXKUYGYIUSTKZ-QMMMGPOBSA-N 1 2 275.726 3.797 20 0 CHADLO Cc1cc2[nH+]cn(CCCO[C@H]3CCCCO3)c2cc1C ZINC000194644337 336035290 /nfs/dbraw/zinc/03/52/90/336035290.db2.gz LWWPVRFOPKZRQL-KRWDZBQOSA-N 1 2 288.391 3.586 20 0 CHADLO CC[C@H](c1nc(C(C)(C)C)no1)[N@H+](C)Cc1ccccc1 ZINC000581283577 336036084 /nfs/dbraw/zinc/03/60/84/336036084.db2.gz SRWQIXDGDFMZHE-CQSZACIVSA-N 1 2 287.407 3.950 20 0 CHADLO CC[C@H](c1nc(C(C)(C)C)no1)[N@@H+](C)Cc1ccccc1 ZINC000581283577 336036086 /nfs/dbraw/zinc/03/60/86/336036086.db2.gz SRWQIXDGDFMZHE-CQSZACIVSA-N 1 2 287.407 3.950 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](Cc1cnccn1)C1CC1 ZINC000581652611 336117920 /nfs/dbraw/zinc/11/79/20/336117920.db2.gz LYQVSFNDODLRHE-GFCCVEGCSA-N 1 2 287.794 3.856 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](Cc1cnccn1)C1CC1 ZINC000581652611 336117922 /nfs/dbraw/zinc/11/79/22/336117922.db2.gz LYQVSFNDODLRHE-GFCCVEGCSA-N 1 2 287.794 3.856 20 0 CHADLO Oc1cc(F)cc(C[N@@H+]2CC[C@](F)(c3ccccc3)C2)c1 ZINC000581679667 336127809 /nfs/dbraw/zinc/12/78/09/336127809.db2.gz IWZFRZVWBIKQAX-QGZVFWFLSA-N 1 2 289.325 3.602 20 0 CHADLO Oc1cc(F)cc(C[N@H+]2CC[C@](F)(c3ccccc3)C2)c1 ZINC000581679667 336127810 /nfs/dbraw/zinc/12/78/10/336127810.db2.gz IWZFRZVWBIKQAX-QGZVFWFLSA-N 1 2 289.325 3.602 20 0 CHADLO C[C@H]([NH2+]Cc1nc2ccccc2o1)c1nc2c(s1)CCC2 ZINC000581771771 336145101 /nfs/dbraw/zinc/14/51/01/336145101.db2.gz XVTNYRBFDIRSIG-JTQLQIEISA-N 1 2 299.399 3.624 20 0 CHADLO OC[C@@H](Nc1ccc2ccccc2[nH+]1)C1CCCCC1 ZINC000386189808 336167887 /nfs/dbraw/zinc/16/78/87/336167887.db2.gz DFUVUSATNOXHDO-MRXNPFEDSA-N 1 2 270.376 3.588 20 0 CHADLO COc1cccc2c(NC[C@H](C)c3nccs3)cc[nH+]c12 ZINC000302549363 533846195 /nfs/dbraw/zinc/84/61/95/533846195.db2.gz PTXXJTUYRBCARE-NSHDSACASA-N 1 2 299.399 3.916 20 0 CHADLO COc1cccc2c(NCc3ncccc3C)cc[nH+]c12 ZINC000302536028 533847684 /nfs/dbraw/zinc/84/76/84/533847684.db2.gz MMKMCCJQTHCLBN-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO Cc1c(N[C@@H]2CCC[C@H](c3[nH+]cccc3C)C2)cnn1C ZINC000334617476 533924633 /nfs/dbraw/zinc/92/46/33/533924633.db2.gz YDEIBIMEUJIJHF-LSDHHAIUSA-N 1 2 284.407 3.570 20 0 CHADLO Cc1ccc(C[N@@H+]2CCOC[C@H]2CC(F)F)c(Cl)c1 ZINC000353282162 533939114 /nfs/dbraw/zinc/93/91/14/533939114.db2.gz FNQGCJNLDQVGAD-GFCCVEGCSA-N 1 2 289.753 3.504 20 0 CHADLO Cc1ccc(C[N@H+]2CCOC[C@H]2CC(F)F)c(Cl)c1 ZINC000353282162 533939119 /nfs/dbraw/zinc/93/91/19/533939119.db2.gz FNQGCJNLDQVGAD-GFCCVEGCSA-N 1 2 289.753 3.504 20 0 CHADLO Cc1cc(OCc2nnc(C(C)C)o2)c2cccc(C)c2[nH+]1 ZINC000354552498 534027626 /nfs/dbraw/zinc/02/76/26/534027626.db2.gz ZUULNWTVTACKJR-UHFFFAOYSA-N 1 2 297.358 3.937 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC(C(F)F)CC2)c(C)[nH+]1 ZINC000334711300 534073173 /nfs/dbraw/zinc/07/31/73/534073173.db2.gz AQNCURPKQBUAAS-UHFFFAOYSA-N 1 2 297.349 3.516 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@@H]3CCC[C@@H]32)c(C)[nH+]1 ZINC000336145001 534073973 /nfs/dbraw/zinc/07/39/73/534073973.db2.gz KXOYOJSSQGSTJO-GJZGRUSLSA-N 1 2 287.407 3.803 20 0 CHADLO Cc1cc2[nH+]cn(CC[C@@H]3CCCCO3)c2cc1C ZINC000352327145 534138479 /nfs/dbraw/zinc/13/84/79/534138479.db2.gz UWXKMFTWASBSAV-AWEZNQCLSA-N 1 2 258.365 3.612 20 0 CHADLO C[C@@H]1CC[C@H](c2ccccc2)[N@H+](Cc2noc(C3CC3)n2)C1 ZINC000352805276 534261313 /nfs/dbraw/zinc/26/13/13/534261313.db2.gz RMMHZWVXFKXRHA-CZUORRHYSA-N 1 2 297.402 3.920 20 0 CHADLO C[C@@H]1CC[C@H](c2ccccc2)[N@@H+](Cc2noc(C3CC3)n2)C1 ZINC000352805276 534261315 /nfs/dbraw/zinc/26/13/15/534261315.db2.gz RMMHZWVXFKXRHA-CZUORRHYSA-N 1 2 297.402 3.920 20 0 CHADLO CC(C)CCN(CCC(C)C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000556688475 517412441 /nfs/dbraw/zinc/41/24/41/517412441.db2.gz UMJFNZIVCWGJKO-INIZCTEOSA-N 1 2 293.455 3.755 20 0 CHADLO CC(C)[C@@H]([NH2+]C/C=C\c1ccccc1)C(=O)OC(C)(C)C ZINC000537346097 517464424 /nfs/dbraw/zinc/46/44/24/517464424.db2.gz YQPPNSAVYWANOK-HMWXGYMHSA-N 1 2 289.419 3.656 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)c(F)c1)c1csnn1 ZINC000398348674 518178458 /nfs/dbraw/zinc/17/84/58/518178458.db2.gz WBQIYCYSLHKLGJ-HTQZYQBOSA-N 1 2 285.775 3.742 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC[C@H]2c2ccncc2)no1 ZINC000278532937 518996745 /nfs/dbraw/zinc/99/67/45/518996745.db2.gz FIXOYBAUSUMRFV-HNNXBMFYSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC[C@H]2c2ccncc2)no1 ZINC000278532937 518996749 /nfs/dbraw/zinc/99/67/49/518996749.db2.gz FIXOYBAUSUMRFV-HNNXBMFYSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+]C(C)(C)c2nccs2)s1 ZINC000279123831 519070901 /nfs/dbraw/zinc/07/09/01/519070901.db2.gz LYORLTAESBAINJ-UHFFFAOYSA-N 1 2 295.477 3.922 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000366554565 519088991 /nfs/dbraw/zinc/08/89/91/519088991.db2.gz MMIPNBNQHVWJCG-MRXNPFEDSA-N 1 2 298.434 3.685 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000366554565 519088997 /nfs/dbraw/zinc/08/89/97/519088997.db2.gz MMIPNBNQHVWJCG-MRXNPFEDSA-N 1 2 298.434 3.685 20 0 CHADLO CC(C)(C)c1noc(C[NH2+]C(C)(C)c2ccccc2F)n1 ZINC000292179432 519135385 /nfs/dbraw/zinc/13/53/85/519135385.db2.gz QHEYWQQCDDISKJ-UHFFFAOYSA-N 1 2 291.370 3.531 20 0 CHADLO CC(C)(C)n1cc(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)cn1 ZINC000292790230 519154966 /nfs/dbraw/zinc/15/49/66/519154966.db2.gz MHQIYZCGULVXAR-MRXNPFEDSA-N 1 2 298.434 3.616 20 0 CHADLO CC(C)(C)n1cc(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)cn1 ZINC000292790230 519154974 /nfs/dbraw/zinc/15/49/74/519154974.db2.gz MHQIYZCGULVXAR-MRXNPFEDSA-N 1 2 298.434 3.616 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@H+](Cc2cscn2)CC12CCC2 ZINC000335928488 534411361 /nfs/dbraw/zinc/41/13/61/534411361.db2.gz TZBOONOFAZOICY-LLVKDONJSA-N 1 2 290.354 3.698 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@@H+](Cc2cscn2)CC12CCC2 ZINC000335928488 534411368 /nfs/dbraw/zinc/41/13/68/534411368.db2.gz TZBOONOFAZOICY-LLVKDONJSA-N 1 2 290.354 3.698 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H](C)c1nc2c(s1)CCCC2 ZINC000344584708 534446925 /nfs/dbraw/zinc/44/69/25/534446925.db2.gz ODFBFADCCJCYLC-NSHDSACASA-N 1 2 276.405 3.774 20 0 CHADLO CC(C)Oc1ccc([NH2+][C@@H]2CCOC3(CCC3)C2)cc1 ZINC000094367026 519781203 /nfs/dbraw/zinc/78/12/03/519781203.db2.gz NWSBWMGXQAUSIK-OAHLLOKOSA-N 1 2 275.392 3.987 20 0 CHADLO CC(C)[C@@H](NC(=O)c1cc2ccccc2s1)c1[nH]cc[nH+]1 ZINC000276622933 519798298 /nfs/dbraw/zinc/79/82/98/519798298.db2.gz OGHRORIDBFATLK-CQSZACIVSA-N 1 2 299.399 3.752 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)Cc2nncn2C(C)C)cc1 ZINC000292512797 519835918 /nfs/dbraw/zinc/83/59/18/519835918.db2.gz VOZZSKZXOKIUMV-UHFFFAOYSA-N 1 2 286.423 3.614 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)Cc2nncn2C(C)C)cc1 ZINC000292512797 519835919 /nfs/dbraw/zinc/83/59/19/519835919.db2.gz VOZZSKZXOKIUMV-UHFFFAOYSA-N 1 2 286.423 3.614 20 0 CHADLO CC(C)c1cccc2c1CC[N@@H+](Cc1ncnn1C(C)C)C2 ZINC000279703176 519843958 /nfs/dbraw/zinc/84/39/58/519843958.db2.gz JGGPDZFIFPYFCI-UHFFFAOYSA-N 1 2 298.434 3.541 20 0 CHADLO CC(C)c1cccc2c1CC[N@H+](Cc1ncnn1C(C)C)C2 ZINC000279703176 519843961 /nfs/dbraw/zinc/84/39/61/519843961.db2.gz JGGPDZFIFPYFCI-UHFFFAOYSA-N 1 2 298.434 3.541 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H](C)c2cncs2)n1 ZINC000128588226 519855101 /nfs/dbraw/zinc/85/51/01/519855101.db2.gz ATMFNYJMGANGFY-SECBINFHSA-N 1 2 267.423 3.574 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2cc3ccccc3o2)[nH]1 ZINC000292969658 519863901 /nfs/dbraw/zinc/86/39/01/519863901.db2.gz WOMWJZJQTJNVCF-NSHDSACASA-N 1 2 284.363 3.525 20 0 CHADLO CC(C)c1nc2ccccc2c(SCc2[nH+]ccn2C)n1 ZINC000278346620 519869488 /nfs/dbraw/zinc/86/94/88/519869488.db2.gz WTCQZHFGZKUIQJ-UHFFFAOYSA-N 1 2 298.415 3.779 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccc(Cl)c(F)c1)CC2 ZINC000351964930 534454096 /nfs/dbraw/zinc/45/40/96/534454096.db2.gz PVTWMZOXCNJSCQ-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccc(Cl)c(F)c1)CC2 ZINC000351964930 534454105 /nfs/dbraw/zinc/45/41/05/534454105.db2.gz PVTWMZOXCNJSCQ-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO CCCCC(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000280385443 519914228 /nfs/dbraw/zinc/91/42/28/519914228.db2.gz ZIADEDKTSJXNSQ-UHFFFAOYSA-N 1 2 257.337 3.514 20 0 CHADLO CC(C)n1ccnc1C[N@H+](C)[C@H](C)c1cccs1 ZINC000299653181 520024798 /nfs/dbraw/zinc/02/47/98/520024798.db2.gz YNEBOMXZCLUNGV-GFCCVEGCSA-N 1 2 263.410 3.719 20 0 CHADLO CC(C)n1ccnc1C[N@@H+](C)[C@H](C)c1cccs1 ZINC000299653181 520024807 /nfs/dbraw/zinc/02/48/07/520024807.db2.gz YNEBOMXZCLUNGV-GFCCVEGCSA-N 1 2 263.410 3.719 20 0 CHADLO CC(C)n1ncnc1C[N@@H+]1CCCCC[C@@H]1c1ccco1 ZINC000279976224 520129334 /nfs/dbraw/zinc/12/93/34/520129334.db2.gz JKODSZNZYDKZSF-CQSZACIVSA-N 1 2 288.395 3.569 20 0 CHADLO CC(C)n1ncnc1C[N@H+]1CCCCC[C@@H]1c1ccco1 ZINC000279976224 520129340 /nfs/dbraw/zinc/12/93/40/520129340.db2.gz JKODSZNZYDKZSF-CQSZACIVSA-N 1 2 288.395 3.569 20 0 CHADLO CC/C=C(\C)C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000280337750 520194479 /nfs/dbraw/zinc/19/44/79/520194479.db2.gz WBOBMDBGXZNJLU-LFYBBSHMSA-N 1 2 269.348 3.680 20 0 CHADLO CCC=C(C)C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000280337750 520194488 /nfs/dbraw/zinc/19/44/88/520194488.db2.gz WBOBMDBGXZNJLU-LFYBBSHMSA-N 1 2 269.348 3.680 20 0 CHADLO CC[N@H+](C)[C@@H](c1nc([C@H](C)C2CC2)no1)c1ccccc1 ZINC000290179927 520269702 /nfs/dbraw/zinc/26/97/02/520269702.db2.gz VIQBWYAJOAYRDU-IUODEOHRSA-N 1 2 285.391 3.624 20 0 CHADLO CC[N@@H+](C)[C@@H](c1nc([C@H](C)C2CC2)no1)c1ccccc1 ZINC000290179927 520269708 /nfs/dbraw/zinc/26/97/08/520269708.db2.gz VIQBWYAJOAYRDU-IUODEOHRSA-N 1 2 285.391 3.624 20 0 CHADLO CCCCN(C(=O)CCn1cc[nH+]c1)[C@H](C)c1ccccc1 ZINC000299675280 520341181 /nfs/dbraw/zinc/34/11/81/520341181.db2.gz QDVRZOKLDQIYBY-MRXNPFEDSA-N 1 2 299.418 3.663 20 0 CHADLO Fc1ccc2cc[nH+]c(N3CCO[C@@H](c4ccco4)C3)c2c1 ZINC000354540902 534508589 /nfs/dbraw/zinc/50/85/89/534508589.db2.gz NWLHPXHSSACHQT-MRXNPFEDSA-N 1 2 298.317 3.545 20 0 CHADLO CCCC[C@@H](C)N(C)C(=O)Nc1ccc(C)[nH+]c1C ZINC000290082386 520996579 /nfs/dbraw/zinc/99/65/79/520996579.db2.gz WENPOAJQMQMMGT-GFCCVEGCSA-N 1 2 263.385 3.741 20 0 CHADLO Cc1oc(C(C)C)cc1C(=O)Nc1c(C)cc[nH+]c1C ZINC000335512505 534541472 /nfs/dbraw/zinc/54/14/72/534541472.db2.gz DMZVABXDWVXEIR-UHFFFAOYSA-N 1 2 272.348 3.976 20 0 CHADLO CCC[N@H+](Cc1[nH]ncc1C)[C@H](C)c1ccccc1OC ZINC000290349809 521693322 /nfs/dbraw/zinc/69/33/22/521693322.db2.gz FKUGJUJTHGLRJO-CQSZACIVSA-N 1 2 287.407 3.700 20 0 CHADLO CCC[N@@H+](Cc1[nH]ncc1C)[C@H](C)c1ccccc1OC ZINC000290349809 521693325 /nfs/dbraw/zinc/69/33/25/521693325.db2.gz FKUGJUJTHGLRJO-CQSZACIVSA-N 1 2 287.407 3.700 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)COC(C)C)c1 ZINC000337267877 521719654 /nfs/dbraw/zinc/71/96/54/521719654.db2.gz WVZFZNMGIWFLCL-GFCCVEGCSA-N 1 2 268.426 3.560 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)Cc1cccc(C)c1Cl ZINC000276876537 522080122 /nfs/dbraw/zinc/08/01/22/522080122.db2.gz BFPAXEQECAIOJR-UHFFFAOYSA-N 1 2 288.778 3.787 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1ccc(COC(C)C)cc1 ZINC000265249587 522081626 /nfs/dbraw/zinc/08/16/26/522081626.db2.gz SSWSDLSPGKOUEZ-UHFFFAOYSA-N 1 2 298.386 3.821 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2csc(C(C)(C)C)n2)o1 ZINC000291730258 522204518 /nfs/dbraw/zinc/20/45/18/522204518.db2.gz NPJPQZBITDPQCB-UHFFFAOYSA-N 1 2 293.436 3.589 20 0 CHADLO COc1cc(C)c([NH2+]C2CCC(OC)CC2)cc1C ZINC000278517947 522216116 /nfs/dbraw/zinc/21/61/16/522216116.db2.gz SADDJHJUJZVBDH-UHFFFAOYSA-N 1 2 263.381 3.682 20 0 CHADLO CCc1nc(N(Cc2ccccc2OC)C2CC2)cc(C)[nH+]1 ZINC000339966419 522236827 /nfs/dbraw/zinc/23/68/27/522236827.db2.gz AISROKDEBRVLIO-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2cccc(F)c2)cc(C)[nH+]1 ZINC000339969840 522237177 /nfs/dbraw/zinc/23/71/77/522237177.db2.gz XLQQMEWQVQEJKX-HNNXBMFYSA-N 1 2 285.366 3.828 20 0 CHADLO COC[C@@H]([NH2+]Cc1cnc(C(C)(C)C)s1)c1ccco1 ZINC000080239536 522367060 /nfs/dbraw/zinc/36/70/60/522367060.db2.gz RNYLMJJZHPONBQ-GFCCVEGCSA-N 1 2 294.420 3.511 20 0 CHADLO COC[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1cscn1 ZINC000278970681 522430856 /nfs/dbraw/zinc/43/08/56/522430856.db2.gz QDOQTAJXRCWCGH-AWEZNQCLSA-N 1 2 296.823 3.616 20 0 CHADLO COC[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cscn1 ZINC000278970681 522430866 /nfs/dbraw/zinc/43/08/66/522430866.db2.gz QDOQTAJXRCWCGH-AWEZNQCLSA-N 1 2 296.823 3.616 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2ccc(Cl)s2)[nH]1 ZINC000277503894 522441233 /nfs/dbraw/zinc/44/12/33/522441233.db2.gz VRLKESMPDCNZCJ-BDAKNGLRSA-N 1 2 298.843 3.884 20 0 CHADLO COC1(C[C@@H](C)Nc2cccc(-c3[nH+]ccn3C)c2)CCC1 ZINC000278323140 522639533 /nfs/dbraw/zinc/63/95/33/522639533.db2.gz YYHKOTFDNJPRHN-CQSZACIVSA-N 1 2 299.418 3.847 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cscn1)c1cccc(Cl)c1 ZINC000290236366 522761596 /nfs/dbraw/zinc/76/15/96/522761596.db2.gz IYKLLRYBSXNQTD-GWCFXTLKSA-N 1 2 296.823 3.835 20 0 CHADLO CC[C@H](Nc1cc(C)c(OC)cc1Cl)c1[nH+]ccn1C ZINC000290238598 522764855 /nfs/dbraw/zinc/76/48/55/522764855.db2.gz JAAFXBHMUIIAJI-LBPRGKRZSA-N 1 2 293.798 3.954 20 0 CHADLO CCc1noc([C@@H](C)[N@H+](C)Cc2ccccc2SC)n1 ZINC000280536354 522902246 /nfs/dbraw/zinc/90/22/46/522902246.db2.gz SRPCHQZNOMKJAJ-LLVKDONJSA-N 1 2 291.420 3.547 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+](C)Cc2ccccc2SC)n1 ZINC000280536354 522902257 /nfs/dbraw/zinc/90/22/57/522902257.db2.gz SRPCHQZNOMKJAJ-LLVKDONJSA-N 1 2 291.420 3.547 20 0 CHADLO COc1cc([C@@H](C)Nc2[nH+]ccc3ccc(F)cc32)ccn1 ZINC000340933699 522913144 /nfs/dbraw/zinc/91/31/44/522913144.db2.gz GASRCAKPFDDBQR-LLVKDONJSA-N 1 2 297.333 3.951 20 0 CHADLO CC[C@@H]1CCN(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000130382024 523329602 /nfs/dbraw/zinc/32/96/02/523329602.db2.gz MEIODXHJTCXXHL-CQSZACIVSA-N 1 2 296.370 3.746 20 0 CHADLO COCCC[C@@H](C)C(=O)OCc1ccc(C(C)C)[nH+]c1C ZINC000293165239 523463425 /nfs/dbraw/zinc/46/34/25/523463425.db2.gz VDDAFIPVJJYUFM-CYBMUJFWSA-N 1 2 293.407 3.619 20 0 CHADLO CCn1ccnc1C[N@H+](C)Cc1ccccc1C(F)(F)F ZINC000338583325 523525979 /nfs/dbraw/zinc/52/59/79/523525979.db2.gz WJOOOYLUQYALSI-UHFFFAOYSA-N 1 2 297.324 3.554 20 0 CHADLO CCn1ccnc1C[N@@H+](C)Cc1ccccc1C(F)(F)F ZINC000338583325 523525985 /nfs/dbraw/zinc/52/59/85/523525985.db2.gz WJOOOYLUQYALSI-UHFFFAOYSA-N 1 2 297.324 3.554 20 0 CHADLO CCn1cc[nH+]c1CN(C)Cc1ccccc1C(F)(F)F ZINC000338583325 523525990 /nfs/dbraw/zinc/52/59/90/523525990.db2.gz WJOOOYLUQYALSI-UHFFFAOYSA-N 1 2 297.324 3.554 20 0 CHADLO CC[C@@H]1C[C@@]1([NH2+]Cc1nccc(C)n1)c1cccc(C)c1 ZINC000337374095 523550992 /nfs/dbraw/zinc/55/09/92/523550992.db2.gz AIBDDZFGYCYRBM-QAPCUYQASA-N 1 2 281.403 3.508 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cc(F)c(OC)cc1C ZINC000296411307 523555903 /nfs/dbraw/zinc/55/59/03/523555903.db2.gz KWSZIVJGLLTVHK-NSHDSACASA-N 1 2 277.343 3.532 20 0 CHADLO CC[C@]1(C)C[C@@H]1C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000340666065 523590117 /nfs/dbraw/zinc/59/01/17/523590117.db2.gz DUOATTLEGYTUJO-FZKQIMNGSA-N 1 2 297.402 3.825 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2c(F)cccc2F)cn1 ZINC000354342074 523921017 /nfs/dbraw/zinc/92/10/17/523921017.db2.gz DKDVXZKQUHYLJS-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2c(F)cccc2F)cn1 ZINC000354342074 523921023 /nfs/dbraw/zinc/92/10/23/523921023.db2.gz DKDVXZKQUHYLJS-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000086509364 524333704 /nfs/dbraw/zinc/33/37/04/524333704.db2.gz UPFBQBDAJQQHDQ-UWVGGRQHSA-N 1 2 280.368 3.703 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2sccc2Cl)n1 ZINC000338570590 524379211 /nfs/dbraw/zinc/37/92/11/524379211.db2.gz NNMGLQFGGXOMTQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2sccc2Cl)n1 ZINC000338570590 524379219 /nfs/dbraw/zinc/37/92/19/524379219.db2.gz NNMGLQFGGXOMTQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2nc(C3CC3)no2)s1 ZINC000076342886 524398107 /nfs/dbraw/zinc/39/81/07/524398107.db2.gz HNXUPXJGSFBYJK-JTQLQIEISA-N 1 2 277.393 3.510 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2nc(C3CC3)no2)s1 ZINC000076342886 524398115 /nfs/dbraw/zinc/39/81/15/524398115.db2.gz HNXUPXJGSFBYJK-JTQLQIEISA-N 1 2 277.393 3.510 20 0 CHADLO COc1ccccc1C[NH2+]Cc1ncc(C(C)(C)C)s1 ZINC000279093013 524488986 /nfs/dbraw/zinc/48/89/86/524488986.db2.gz IOEFVCBKHYRGPF-UHFFFAOYSA-N 1 2 290.432 3.739 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)s1 ZINC000237593898 524595279 /nfs/dbraw/zinc/59/52/79/524595279.db2.gz QSIZFYNXOKCBCQ-JTQLQIEISA-N 1 2 279.409 3.588 20 0 CHADLO Cc1ccc(CNc2ccc(C)[nH+]c2)c(-c2ccnn2C)c1 ZINC000338450427 524615400 /nfs/dbraw/zinc/61/54/00/524615400.db2.gz YDAMTQJWRTWASP-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO COc1ccccc1[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000036335311 524728695 /nfs/dbraw/zinc/72/86/95/524728695.db2.gz KYHNPQOUZJUACX-CYBMUJFWSA-N 1 2 270.376 3.934 20 0 CHADLO Cc1cccc([C@@H]2CCN(C(=O)Nc3cc[nH+]cc3C)C2)c1 ZINC000273171718 524853645 /nfs/dbraw/zinc/85/36/45/524853645.db2.gz CWILJRFHQZMKCS-MRXNPFEDSA-N 1 2 295.386 3.720 20 0 CHADLO COc1cc[nH+]cc1NC(=O)[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000245748792 524867007 /nfs/dbraw/zinc/86/70/07/524867007.db2.gz XAOIRQKZNLMVEA-HZSPNIEDSA-N 1 2 288.391 3.635 20 0 CHADLO COc1cncc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000290158998 524923267 /nfs/dbraw/zinc/92/32/67/524923267.db2.gz DDJUNMSATWAHFQ-INIZCTEOSA-N 1 2 288.778 3.691 20 0 CHADLO COc1cncc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000290158998 524923276 /nfs/dbraw/zinc/92/32/76/524923276.db2.gz DDJUNMSATWAHFQ-INIZCTEOSA-N 1 2 288.778 3.691 20 0 CHADLO Cc1cc(N(Cc2ccccc2)C2CC2)nc(C2CC2)[nH+]1 ZINC000341500826 525033013 /nfs/dbraw/zinc/03/30/13/525033013.db2.gz OJDXMTUNRXPIES-UHFFFAOYSA-N 1 2 279.387 3.831 20 0 CHADLO Cc1ccc(NC(=O)N(C)Cc2cccc(C)c2C)c(C)[nH+]1 ZINC000292809039 525114286 /nfs/dbraw/zinc/11/42/86/525114286.db2.gz RXFLUUPZNWIMRS-UHFFFAOYSA-N 1 2 297.402 3.979 20 0 CHADLO Cc1ccccc1CC[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000293405057 525115544 /nfs/dbraw/zinc/11/55/44/525115544.db2.gz MTUBALRZODTUBL-KRWDZBQOSA-N 1 2 298.434 3.567 20 0 CHADLO Cc1cc(NC(=O)N2CC[C@@H](C)C[C@@H]2c2ccco2)cc[nH+]1 ZINC000120468921 525179325 /nfs/dbraw/zinc/17/93/25/525179325.db2.gz YWFCWRJDZNBUIY-IUODEOHRSA-N 1 2 299.374 3.988 20 0 CHADLO Cc1cc([NH2+][C@@H]2CCOC[C@H]2C)ccc1OC(C)C ZINC000195106216 525295780 /nfs/dbraw/zinc/29/57/80/525295780.db2.gz CKDMXTMGUSOXNM-UKRRQHHQSA-N 1 2 263.381 3.619 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc(Cl)s2)nc[nH+]1 ZINC000042590587 525300186 /nfs/dbraw/zinc/30/01/86/525300186.db2.gz QLYKKHAGXDKXTJ-MRVPVSSYSA-N 1 2 253.758 3.673 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](CO)c2c(F)cccc2F)c(C)o1 ZINC000278673010 525472616 /nfs/dbraw/zinc/47/26/16/525472616.db2.gz JHMUZYNVEMIYLQ-BMIGLBTASA-N 1 2 295.329 3.559 20 0 CHADLO C[C@H]([NH2+]Cc1csnn1)c1ccc(Cl)cc1Cl ZINC000036901913 525487016 /nfs/dbraw/zinc/48/70/16/525487016.db2.gz ZCOLIBQKSIFRJL-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1C)c1cc(Cl)ccc1Cl ZINC000071138770 525492750 /nfs/dbraw/zinc/49/27/50/525492750.db2.gz CHJVOZCCNQVTIR-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO Cc1ccn(-c2ccc(NCc3[nH+]ccn3C(C)C)cc2)n1 ZINC000340618440 525559192 /nfs/dbraw/zinc/55/91/92/525559192.db2.gz MGPQGAWCCULAIK-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cc1Cl)c1ncnn1C ZINC000276700640 525606591 /nfs/dbraw/zinc/60/65/91/525606591.db2.gz XKGZWVWLQZLRQZ-DTWKUNHWSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)C1CCCC1 ZINC000054079097 525655601 /nfs/dbraw/zinc/65/56/01/525655601.db2.gz RAIPZWMVCCLMLI-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CCC[C@H](O)C1 ZINC000225358099 525655873 /nfs/dbraw/zinc/65/58/73/525655873.db2.gz BNIVWBDMJRMICN-ZFWWWQNUSA-N 1 2 270.376 3.506 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)c(Cl)c1)c1nncn1C ZINC000037017051 525656920 /nfs/dbraw/zinc/65/69/20/525656920.db2.gz QAEAOGXXTBBWHV-RKDXNWHRSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1ccnc(NCCc2[nH]c3ccccc3[nH+]2)c1Cl ZINC000338638797 525692594 /nfs/dbraw/zinc/69/25/94/525692594.db2.gz JSLTWXJBUKUGJO-UHFFFAOYSA-N 1 2 286.766 3.574 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1ccnc(Cl)c1 ZINC000050166104 525734978 /nfs/dbraw/zinc/73/49/78/525734978.db2.gz SYZUUAZTBDDZCU-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1ccnc(Cl)c1 ZINC000050166104 525734985 /nfs/dbraw/zinc/73/49/85/525734985.db2.gz SYZUUAZTBDDZCU-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](C)c2nc(C3CC3)no2)cc1 ZINC000079324047 525742804 /nfs/dbraw/zinc/74/28/04/525742804.db2.gz VVTZINDJYJGPJL-NEPJUHHUSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)o1 ZINC000036982241 525947583 /nfs/dbraw/zinc/94/75/83/525947583.db2.gz FEOBMIVENRFWJM-ZDUSSCGKSA-N 1 2 271.364 3.756 20 0 CHADLO Cc1cn2cc(NC(=O)C[C@@H]3CCC[C@@H](C)C3)ccc2[nH+]1 ZINC000339272617 525948588 /nfs/dbraw/zinc/94/85/88/525948588.db2.gz IRUAVSQPHCHUAQ-TZMCWYRMSA-N 1 2 285.391 3.798 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2[C@H](C)c2nc(C3CC3)no2)o1 ZINC000265398807 526013813 /nfs/dbraw/zinc/01/38/13/526013813.db2.gz XIDGMLNFLSHYQZ-DGCLKSJQSA-N 1 2 287.363 3.747 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2[C@H](C)c2nc(C3CC3)no2)o1 ZINC000265398807 526013821 /nfs/dbraw/zinc/01/38/21/526013821.db2.gz XIDGMLNFLSHYQZ-DGCLKSJQSA-N 1 2 287.363 3.747 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2cccc(Cl)c2)nc1 ZINC000340662948 526019478 /nfs/dbraw/zinc/01/94/78/526019478.db2.gz PBLNDMKASMSXBO-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2cccc(Cl)c2)nc1 ZINC000340662948 526019484 /nfs/dbraw/zinc/01/94/84/526019484.db2.gz PBLNDMKASMSXBO-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCC[C@H]1c1cccc(Cl)c1 ZINC000368216145 526024337 /nfs/dbraw/zinc/02/43/37/526024337.db2.gz QQGSIZSGSISGMU-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCC[C@H]1c1cccc(Cl)c1 ZINC000368216145 526024344 /nfs/dbraw/zinc/02/43/44/526024344.db2.gz QQGSIZSGSISGMU-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CCC[C@H]1c1cccc(Cl)c1 ZINC000368216145 526024354 /nfs/dbraw/zinc/02/43/54/526024354.db2.gz QQGSIZSGSISGMU-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCC[C@H]1c1cccc(Cl)c1 ZINC000368216145 526024364 /nfs/dbraw/zinc/02/43/64/526024364.db2.gz QQGSIZSGSISGMU-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO C[C@@H](c1cc(Cl)ccc1Cl)N(C)Cc1[nH+]ccn1C ZINC000102514797 526028365 /nfs/dbraw/zinc/02/83/65/526028365.db2.gz QWJAUEMXQFERER-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2[nH]ncc2C)o1 ZINC000290319108 526039369 /nfs/dbraw/zinc/03/93/69/526039369.db2.gz BJKXZWGYXMKJTF-IAQYHMDHSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2[nH]ncc2C)o1 ZINC000290319108 526039377 /nfs/dbraw/zinc/03/93/77/526039377.db2.gz BJKXZWGYXMKJTF-IAQYHMDHSA-N 1 2 273.380 3.593 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+](C)Cc1cscn1 ZINC000253606057 526051361 /nfs/dbraw/zinc/05/13/61/526051361.db2.gz IMJLTUSZUVKGPW-VIFPVBQESA-N 1 2 268.332 3.614 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+](C)Cc1cscn1 ZINC000253606057 526051371 /nfs/dbraw/zinc/05/13/71/526051371.db2.gz IMJLTUSZUVKGPW-VIFPVBQESA-N 1 2 268.332 3.614 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+](C)Cc1ccccn1 ZINC000264300705 526058818 /nfs/dbraw/zinc/05/88/18/526058818.db2.gz VNEPCDMFIUADQI-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+](C)Cc1ccccn1 ZINC000264300705 526058823 /nfs/dbraw/zinc/05/88/23/526058823.db2.gz VNEPCDMFIUADQI-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+](C)Cc1cocn1 ZINC000191926406 526070921 /nfs/dbraw/zinc/07/09/21/526070921.db2.gz SFTZQOMUYJIBBT-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cocn1 ZINC000191926406 526070930 /nfs/dbraw/zinc/07/09/30/526070930.db2.gz SFTZQOMUYJIBBT-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1csc(Cl)n1 ZINC000290172910 526077370 /nfs/dbraw/zinc/07/73/70/526077370.db2.gz YNOMGOLSNNDERL-VIFPVBQESA-N 1 2 282.796 3.695 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1csc(Cl)n1 ZINC000290172910 526077380 /nfs/dbraw/zinc/07/73/80/526077380.db2.gz YNOMGOLSNNDERL-VIFPVBQESA-N 1 2 282.796 3.695 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278539704 526078907 /nfs/dbraw/zinc/07/89/07/526078907.db2.gz GDFHWFAKLKWIOS-LBPRGKRZSA-N 1 2 288.391 3.871 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278539704 526078917 /nfs/dbraw/zinc/07/89/17/526078917.db2.gz GDFHWFAKLKWIOS-LBPRGKRZSA-N 1 2 288.391 3.871 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cn2cc(Cl)ccc2n1 ZINC000069065091 526135673 /nfs/dbraw/zinc/13/56/73/526135673.db2.gz FDCNSBUTUSJMFU-NSHDSACASA-N 1 2 289.766 3.774 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cn2cc(Cl)ccc2n1 ZINC000069065091 526135679 /nfs/dbraw/zinc/13/56/79/526135679.db2.gz FDCNSBUTUSJMFU-NSHDSACASA-N 1 2 289.766 3.774 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000366340887 526185398 /nfs/dbraw/zinc/18/53/98/526185398.db2.gz JIAYELIIHKRSPI-BZPMIXESSA-N 1 2 292.423 3.532 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000366340887 526185407 /nfs/dbraw/zinc/18/54/07/526185407.db2.gz JIAYELIIHKRSPI-BZPMIXESSA-N 1 2 292.423 3.532 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N(C)[C@@H](C)c1cccs1 ZINC000121608792 526238906 /nfs/dbraw/zinc/23/89/06/526238906.db2.gz QPOUGFCBKUPSPW-NSHDSACASA-N 1 2 275.377 3.676 20 0 CHADLO Fc1cc(Cl)ccc1C[NH+]1CC2(CCC2(F)F)C1 ZINC000356525306 526302036 /nfs/dbraw/zinc/30/20/36/526302036.db2.gz LZHRQNJNFCXBFF-UHFFFAOYSA-N 1 2 275.701 3.710 20 0 CHADLO O=C(C=C(C1CC1)C1CC1)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000264226422 526314951 /nfs/dbraw/zinc/31/49/51/526314951.db2.gz ZLFXJLLPQGXMRF-UHFFFAOYSA-N 1 2 293.370 3.762 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2cccc(Cl)n2)n1 ZINC000072663190 526321989 /nfs/dbraw/zinc/32/19/89/526321989.db2.gz KCERQKHNHWFTQC-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccc(F)cc2F)C2CC2)nc1 ZINC000276340040 526329170 /nfs/dbraw/zinc/32/91/70/526329170.db2.gz IEUBIZIRWMXTHU-UHFFFAOYSA-N 1 2 292.304 3.664 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccc(F)cc2F)C2CC2)nc1 ZINC000276340040 526329176 /nfs/dbraw/zinc/32/91/76/526329176.db2.gz IEUBIZIRWMXTHU-UHFFFAOYSA-N 1 2 292.304 3.664 20 0 CHADLO O=C(CC1CC1)Nc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000299101625 526346347 /nfs/dbraw/zinc/34/63/47/526346347.db2.gz RRRQFQGSWKHJPA-UHFFFAOYSA-N 1 2 295.386 3.625 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2cscn2)cc1 ZINC000247488415 526362544 /nfs/dbraw/zinc/36/25/44/526362544.db2.gz AQTOXDSHUUWQHE-AWEZNQCLSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2cscn2)cc1 ZINC000247488415 526362548 /nfs/dbraw/zinc/36/25/48/526362548.db2.gz AQTOXDSHUUWQHE-AWEZNQCLSA-N 1 2 262.353 3.619 20 0 CHADLO Cc1noc(C)c1C[C@H](C)Nc1ccc2ccccc2[nH+]1 ZINC000265549267 526537909 /nfs/dbraw/zinc/53/79/09/526537909.db2.gz GKZMURRXIVXSBL-NSHDSACASA-N 1 2 281.359 3.883 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1C[C@@H](C(C)C)c2ccccc21 ZINC000278893669 526554365 /nfs/dbraw/zinc/55/43/65/526554365.db2.gz YVBQMFSKQHJPAR-DLBZAZTESA-N 1 2 269.392 3.692 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1ccc(Cl)c(Cl)c1 ZINC000037878784 526555955 /nfs/dbraw/zinc/55/59/55/526555955.db2.gz NXMYWFNELOLJEQ-MRVPVSSYSA-N 1 2 284.190 3.876 20 0 CHADLO c1coc(C[N@@H+]2CCCC[C@H]2c2nnc(C3CCC3)o2)c1 ZINC000289824112 526566782 /nfs/dbraw/zinc/56/67/82/526566782.db2.gz OIRGBAOXMLFTPH-AWEZNQCLSA-N 1 2 287.363 3.657 20 0 CHADLO c1coc(C[N@H+]2CCCC[C@H]2c2nnc(C3CCC3)o2)c1 ZINC000289824112 526566787 /nfs/dbraw/zinc/56/67/87/526566787.db2.gz OIRGBAOXMLFTPH-AWEZNQCLSA-N 1 2 287.363 3.657 20 0 CHADLO Cc1nc(C)c(Cn2c[nH+]c3cc(C)c(C)cc32)s1 ZINC000266268056 526729155 /nfs/dbraw/zinc/72/91/55/526729155.db2.gz FZCICTYGSFLKAZ-UHFFFAOYSA-N 1 2 271.389 3.775 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ccc(C(F)(F)F)cn1 ZINC000277655461 526823532 /nfs/dbraw/zinc/82/35/32/526823532.db2.gz IOMZEMGGXXCHQD-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ccc(C(F)(F)F)cn1 ZINC000277655461 526823536 /nfs/dbraw/zinc/82/35/36/526823536.db2.gz IOMZEMGGXXCHQD-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO CC(C)(C)c1csc(C[N@@H+]2CCCc3occc3C2)n1 ZINC000344236443 526830612 /nfs/dbraw/zinc/83/06/12/526830612.db2.gz MQPYQGZYOCRWDU-UHFFFAOYSA-N 1 2 290.432 3.982 20 0 CHADLO CC(C)(C)c1csc(C[N@H+]2CCCc3occc3C2)n1 ZINC000344236443 526830616 /nfs/dbraw/zinc/83/06/16/526830616.db2.gz MQPYQGZYOCRWDU-UHFFFAOYSA-N 1 2 290.432 3.982 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc([C@@H]3C[C@@H]3C)o2)cs1 ZINC000340659946 526841252 /nfs/dbraw/zinc/84/12/52/526841252.db2.gz FFPCEOLBKRJVEY-IINYFYTJSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc([C@@H]3C[C@@H]3C)o2)cs1 ZINC000340659946 526841257 /nfs/dbraw/zinc/84/12/57/526841257.db2.gz FFPCEOLBKRJVEY-IINYFYTJSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2cccc(F)c2)cs1 ZINC000340684620 526844307 /nfs/dbraw/zinc/84/43/07/526844307.db2.gz NNUBMIWRAVINQC-JTQLQIEISA-N 1 2 264.369 3.784 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2cccc(F)c2)cs1 ZINC000340684620 526844312 /nfs/dbraw/zinc/84/43/12/526844312.db2.gz NNUBMIWRAVINQC-JTQLQIEISA-N 1 2 264.369 3.784 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc(F)c(Cl)c2)cs1 ZINC000053149299 526889009 /nfs/dbraw/zinc/88/90/09/526889009.db2.gz GXUHKLIWAAEWKT-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO Clc1ccc2c(c1)CC[C@H]2[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367743046 526966617 /nfs/dbraw/zinc/96/66/17/526966617.db2.gz YNQSTIZTQSWRRE-LSDHHAIUSA-N 1 2 287.794 3.718 20 0 CHADLO Clc1sccc1C[N@@H+]1CCOC[C@@H]1CC1CCC1 ZINC000354737371 527014709 /nfs/dbraw/zinc/01/47/09/527014709.db2.gz UMBXVQYZNQLRJA-ZDUSSCGKSA-N 1 2 285.840 3.793 20 0 CHADLO Clc1sccc1C[N@H+]1CCOC[C@@H]1CC1CCC1 ZINC000354737371 527014715 /nfs/dbraw/zinc/01/47/15/527014715.db2.gz UMBXVQYZNQLRJA-ZDUSSCGKSA-N 1 2 285.840 3.793 20 0 CHADLO Cc1nc([C@@H](C)[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)no1 ZINC000289421311 527025071 /nfs/dbraw/zinc/02/50/71/527025071.db2.gz WIZNCGPNONXRPZ-CQSZACIVSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1nc([C@@H](C)[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)no1 ZINC000289421311 527025077 /nfs/dbraw/zinc/02/50/77/527025077.db2.gz WIZNCGPNONXRPZ-CQSZACIVSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](c2ccc(F)c(C)c2)C2CC2)no1 ZINC000289654114 527032395 /nfs/dbraw/zinc/03/23/95/527032395.db2.gz XMPSBSLCPANZOB-MEBBXXQBSA-N 1 2 289.354 3.627 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2CCCc3occc32)cs1 ZINC000036915495 527034648 /nfs/dbraw/zinc/03/46/48/527034648.db2.gz JFIJHBHFLWQLFY-BXKDBHETSA-N 1 2 262.378 3.773 20 0 CHADLO Cn1c(C[N@H+](Cc2cccs2)C2CC2)nc2ccccc21 ZINC000299591945 527052080 /nfs/dbraw/zinc/05/20/80/527052080.db2.gz QSACFGWSPJRQLD-UHFFFAOYSA-N 1 2 297.427 3.799 20 0 CHADLO Cn1c(C[N@@H+](Cc2cccs2)C2CC2)nc2ccccc21 ZINC000299591945 527052086 /nfs/dbraw/zinc/05/20/86/527052086.db2.gz QSACFGWSPJRQLD-UHFFFAOYSA-N 1 2 297.427 3.799 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000289449651 527066144 /nfs/dbraw/zinc/06/61/44/527066144.db2.gz DUMOHQZGMJHSCN-BDAKNGLRSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2ccc3ccccc3c2)no1 ZINC000273312976 527067193 /nfs/dbraw/zinc/06/71/93/527067193.db2.gz NOCRWVGCAORDKD-NEPJUHHUSA-N 1 2 281.359 3.943 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2ccc(F)nc2C)cs1 ZINC000278728741 527081760 /nfs/dbraw/zinc/08/17/60/527081760.db2.gz QZJVMXDNYHTCDM-CQSZACIVSA-N 1 2 291.395 3.631 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2ccc(F)nc2C)cs1 ZINC000278728741 527081765 /nfs/dbraw/zinc/08/17/65/527081765.db2.gz QZJVMXDNYHTCDM-CQSZACIVSA-N 1 2 291.395 3.631 20 0 CHADLO Cc1ncc(CSCc2cn3cccc(C)c3[nH+]2)s1 ZINC000084495745 527284643 /nfs/dbraw/zinc/28/46/43/527284643.db2.gz FFFFRJPMYLAWBH-UHFFFAOYSA-N 1 2 289.429 3.841 20 0 CHADLO Cc1nc(N[C@@H](C)c2cccc(N3CCCC3)c2)cc[nH+]1 ZINC000088758964 527351518 /nfs/dbraw/zinc/35/15/18/527351518.db2.gz BKGVSHXSCINCLI-ZDUSSCGKSA-N 1 2 282.391 3.558 20 0 CHADLO O=C(Nc1cccc(Oc2cc[nH+]cc2)c1)NC1CCC1 ZINC000264726298 527369893 /nfs/dbraw/zinc/36/98/93/527369893.db2.gz AWDYFYIEPXKNBB-UHFFFAOYSA-N 1 2 283.331 3.548 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCC[C@H]1C1CCCC1 ZINC000290773840 527452161 /nfs/dbraw/zinc/45/21/61/527452161.db2.gz SMWRHCBQGMFKTP-HNNXBMFYSA-N 1 2 298.390 3.521 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@@H]2CCCCS2)c1 ZINC000158360603 527688293 /nfs/dbraw/zinc/68/82/93/527688293.db2.gz WWWHPAPRYLYRRI-JTQLQIEISA-N 1 2 276.327 3.798 20 0 CHADLO FC(F)C1([NH2+]Cc2cccc(-c3ccncc3)c2)CC1 ZINC000337292197 527692806 /nfs/dbraw/zinc/69/28/06/527692806.db2.gz OQAOHSREMNXLMY-UHFFFAOYSA-N 1 2 274.314 3.636 20 0 CHADLO CCC[C@@H]1CCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000352011371 527750088 /nfs/dbraw/zinc/75/00/88/527750088.db2.gz QZEQFUXKGTWPKE-SNVBAGLBSA-N 1 2 258.818 3.809 20 0 CHADLO CCC[C@@H]1CCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000352011371 527750095 /nfs/dbraw/zinc/75/00/95/527750095.db2.gz QZEQFUXKGTWPKE-SNVBAGLBSA-N 1 2 258.818 3.809 20 0 CHADLO CC1(C)C[C@H]1NC(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000351908257 527764003 /nfs/dbraw/zinc/76/40/03/527764003.db2.gz KZBDXAVBDXIEDE-OAHLLOKOSA-N 1 2 297.358 3.794 20 0 CHADLO CC(C)c1ccc(C(=O)N[C@H]2C[C@@H](C)n3cc[nH+]c32)s1 ZINC000334750117 528078818 /nfs/dbraw/zinc/07/88/18/528078818.db2.gz QLVSRZMIXFOSAX-MNOVXSKESA-N 1 2 289.404 3.504 20 0 CHADLO CC(C)c1ccccc1NC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000335679398 528196552 /nfs/dbraw/zinc/19/65/52/528196552.db2.gz PNVJOTLNJJWFRS-HNNXBMFYSA-N 1 2 298.390 3.902 20 0 CHADLO CC(C)c1ccsc1C(=O)Nc1c[nH+]c2n1CCCC2 ZINC000334654518 528212766 /nfs/dbraw/zinc/21/27/66/528212766.db2.gz YTBJSHHKTFJFQP-UHFFFAOYSA-N 1 2 289.404 3.657 20 0 CHADLO CC(C)c1nc(C[NH+]2CCC(OC3CCC3)CC2)cs1 ZINC000418088891 528276783 /nfs/dbraw/zinc/27/67/83/528276783.db2.gz HTHREVBKZYZAGF-UHFFFAOYSA-N 1 2 294.464 3.800 20 0 CHADLO CC(C)c1nc(NC[C@@H]2CCCOC2)cc(C(C)(C)C)[nH+]1 ZINC000302912573 528293679 /nfs/dbraw/zinc/29/36/79/528293679.db2.gz UBZOIEOOGOIJBS-ZDUSSCGKSA-N 1 2 291.439 3.736 20 0 CHADLO CC(C)n1cc(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)nn1 ZINC000347669336 528560501 /nfs/dbraw/zinc/56/05/01/528560501.db2.gz GNAGFKDDUWGWLO-CYBMUJFWSA-N 1 2 298.390 3.798 20 0 CHADLO CC(C)n1cc(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)nn1 ZINC000347669336 528560504 /nfs/dbraw/zinc/56/05/04/528560504.db2.gz GNAGFKDDUWGWLO-CYBMUJFWSA-N 1 2 298.390 3.798 20 0 CHADLO CCCc1nc(COc2ccc(C)[nH+]c2CC)cs1 ZINC000352542143 528751817 /nfs/dbraw/zinc/75/18/17/528751817.db2.gz JEONPGTZZNUIQS-UHFFFAOYSA-N 1 2 276.405 3.940 20 0 CHADLO CC(C)[C@@H]1[N@H+](Cc2c(F)ccc(O)c2F)CC12CCC2 ZINC000353584750 528811696 /nfs/dbraw/zinc/81/16/96/528811696.db2.gz KQXYNQPNINVEEU-HNNXBMFYSA-N 1 2 281.346 3.681 20 0 CHADLO CC(C)[C@@H]1[N@@H+](Cc2c(F)ccc(O)c2F)CC12CCC2 ZINC000353584750 528811704 /nfs/dbraw/zinc/81/17/04/528811704.db2.gz KQXYNQPNINVEEU-HNNXBMFYSA-N 1 2 281.346 3.681 20 0 CHADLO CC(C)[N@H+](Cc1cnns1)Cc1cccc(Cl)c1 ZINC000347738081 528964492 /nfs/dbraw/zinc/96/44/92/528964492.db2.gz NAJPTZCWCYKSOV-UHFFFAOYSA-N 1 2 281.812 3.602 20 0 CHADLO CC(C)[N@@H+](Cc1cnns1)Cc1cccc(Cl)c1 ZINC000347738081 528964501 /nfs/dbraw/zinc/96/45/01/528964501.db2.gz NAJPTZCWCYKSOV-UHFFFAOYSA-N 1 2 281.812 3.602 20 0 CHADLO CC(C)[C@H](Nc1ccc(N2CCCC2)[nH+]c1)c1cccnc1 ZINC000346975211 529127362 /nfs/dbraw/zinc/12/73/62/529127362.db2.gz VOECGVARUBFEQL-SFHVURJKSA-N 1 2 296.418 3.886 20 0 CHADLO CC1(C)C[C@@H](Nc2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000354876991 529141134 /nfs/dbraw/zinc/14/11/34/529141134.db2.gz KRIPOBZGIBCPBP-ZDUSSCGKSA-N 1 2 274.339 3.743 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C2CC2)cs1)c1ccc(F)cn1 ZINC000352845454 529189625 /nfs/dbraw/zinc/18/96/25/529189625.db2.gz FZYYBAKBPFTEGG-GFCCVEGCSA-N 1 2 291.395 3.796 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](COC)CC(C)C)c1 ZINC000347208264 529201871 /nfs/dbraw/zinc/20/18/71/529201871.db2.gz UTHAAQHCOSOKSC-CQSZACIVSA-N 1 2 282.453 3.808 20 0 CHADLO CCS[C@@H]1CCCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000351987989 529206304 /nfs/dbraw/zinc/20/63/04/529206304.db2.gz CEXFQDWZEWMFAM-SNVBAGLBSA-N 1 2 290.885 3.904 20 0 CHADLO CCS[C@@H]1CCCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000351987989 529206307 /nfs/dbraw/zinc/20/63/07/529206307.db2.gz CEXFQDWZEWMFAM-SNVBAGLBSA-N 1 2 290.885 3.904 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@H+](C)Cc1ncc(C)o1 ZINC000347569692 529258874 /nfs/dbraw/zinc/25/88/74/529258874.db2.gz ZDAUFECURRDCGG-AWEZNQCLSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@@H+](C)Cc1ncc(C)o1 ZINC000347569692 529258875 /nfs/dbraw/zinc/25/88/75/529258875.db2.gz ZDAUFECURRDCGG-AWEZNQCLSA-N 1 2 274.364 3.575 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2cc(C)cc(C)c2)o1 ZINC000341741596 535856831 /nfs/dbraw/zinc/85/68/31/535856831.db2.gz FMJTXDNHHOTDLE-QWHCGFSZSA-N 1 2 273.380 3.661 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](CC)c2cc(F)ccc2F)o1 ZINC000341991528 535856886 /nfs/dbraw/zinc/85/68/86/535856886.db2.gz AJWQJIIHRSZTHM-RNCFNFMXSA-N 1 2 295.333 3.712 20 0 CHADLO COc1cc[nH+]cc1CSCCCOc1ccccc1 ZINC000341965700 537119585 /nfs/dbraw/zinc/11/95/85/537119585.db2.gz GTEUUVBNPZNMAG-UHFFFAOYSA-N 1 2 289.400 3.793 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCc2ccsc2C1 ZINC000127088242 1125458256 /nfs/dbraw/zinc/45/82/56/1125458256.db2.gz NLYGWIKWTLBONE-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCc2ccsc2C1 ZINC000127088242 1125458259 /nfs/dbraw/zinc/45/82/59/1125458259.db2.gz NLYGWIKWTLBONE-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@H](C)CC2)cc(C)c1Cl ZINC001238089689 1117771402 /nfs/dbraw/zinc/77/14/02/1117771402.db2.gz XWYONMRJLFZXEQ-LLVKDONJSA-N 1 2 279.811 3.758 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@H](C)CC2)cc(C)c1Cl ZINC001238089689 1117771406 /nfs/dbraw/zinc/77/14/06/1117771406.db2.gz XWYONMRJLFZXEQ-LLVKDONJSA-N 1 2 279.811 3.758 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2cccnc2Cl)C1 ZINC000112259600 1125485802 /nfs/dbraw/zinc/48/58/02/1125485802.db2.gz NHXPIIJAFGRYEX-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2cccnc2Cl)C1 ZINC000112259600 1125485804 /nfs/dbraw/zinc/48/58/04/1125485804.db2.gz NHXPIIJAFGRYEX-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO CC[N@H+](CCOCC1CCC1)Cc1c(F)cccc1F ZINC001167284316 1118159243 /nfs/dbraw/zinc/15/92/43/1118159243.db2.gz VEBUGWWYHYEWDN-UHFFFAOYSA-N 1 2 283.362 3.603 20 0 CHADLO CC[N@@H+](CCOCC1CCC1)Cc1c(F)cccc1F ZINC001167284316 1118159246 /nfs/dbraw/zinc/15/92/46/1118159246.db2.gz VEBUGWWYHYEWDN-UHFFFAOYSA-N 1 2 283.362 3.603 20 0 CHADLO COc1ccc(C[N@H+](CC(F)F)C(C)(C)C)cc1 ZINC000685056693 1119260119 /nfs/dbraw/zinc/26/01/19/1119260119.db2.gz PQTSNESAIKREJV-UHFFFAOYSA-N 1 2 257.324 3.561 20 0 CHADLO COc1ccc(C[N@@H+](CC(F)F)C(C)(C)C)cc1 ZINC000685056693 1119260122 /nfs/dbraw/zinc/26/01/22/1119260122.db2.gz PQTSNESAIKREJV-UHFFFAOYSA-N 1 2 257.324 3.561 20 0 CHADLO Cc1[nH]c(CN[C@@H](C)c2c(F)cccc2Cl)[nH+]c1C ZINC000583452127 1119359632 /nfs/dbraw/zinc/35/96/32/1119359632.db2.gz SZNJEQNZKSGQGZ-JTQLQIEISA-N 1 2 281.762 3.670 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1cccc(F)c1)c1nc(C2CC2)no1 ZINC000113357588 1125494291 /nfs/dbraw/zinc/49/42/91/1125494291.db2.gz GFCRHFYBIUIARI-AWEZNQCLSA-N 1 2 289.354 3.573 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H](C)c2ccc(F)cn2)n1 ZINC000092768082 1119567034 /nfs/dbraw/zinc/56/70/34/1119567034.db2.gz UXXPBZWVRMAQNF-JTQLQIEISA-N 1 2 279.384 3.651 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc(C)o1)c1cc(F)ccc1F ZINC000414190553 1119932581 /nfs/dbraw/zinc/93/25/81/1119932581.db2.gz RIHZVBOKSCAGHH-AWEZNQCLSA-N 1 2 266.291 3.502 20 0 CHADLO CC[C@H](C)C[C@@H](C)[NH2+]c1ccc2c(c1)N(C)CCO2 ZINC001168692689 1120269248 /nfs/dbraw/zinc/26/92/48/1120269248.db2.gz JDTVFDMEZIPWOW-QWHCGFSZSA-N 1 2 262.397 3.752 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C)cn1)c1cc(Cl)ccc1Cl ZINC000673600753 1120569381 /nfs/dbraw/zinc/56/93/81/1120569381.db2.gz GEPFCFKDSBPTKK-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO CN(C)c1ccc(Nc2ccc(F)c(F)c2Cl)c[nH+]1 ZINC001175346275 1121273498 /nfs/dbraw/zinc/27/34/98/1121273498.db2.gz PYDLSKAZWSIGQB-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO CN(Cc1ccc(F)c(Cl)c1)c1cccc[nH+]1 ZINC000432879791 1121420308 /nfs/dbraw/zinc/42/03/08/1121420308.db2.gz LVUXSGBVOQGTSM-UHFFFAOYSA-N 1 2 250.704 3.511 20 0 CHADLO FCC[NH2+][C@@H](c1ccco1)c1ccc(Br)cc1 ZINC000436311907 1122537119 /nfs/dbraw/zinc/53/71/19/1122537119.db2.gz IZEGDXYWJGWNGR-CYBMUJFWSA-N 1 2 298.155 3.691 20 0 CHADLO COc1ccc(C[C@H]2CC[N@@H+]2C/C(Cl)=C\Cl)cc1 ZINC001191497885 1122602363 /nfs/dbraw/zinc/60/23/63/1122602363.db2.gz VVWUHOOTKWBURJ-CNELAYHGSA-N 1 2 286.202 3.631 20 0 CHADLO COc1ccc(C[C@H]2CC[N@H+]2C/C(Cl)=C\Cl)cc1 ZINC001191497885 1122602366 /nfs/dbraw/zinc/60/23/66/1122602366.db2.gz VVWUHOOTKWBURJ-CNELAYHGSA-N 1 2 286.202 3.631 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2ccc(Cl)cn2)CC1 ZINC000335783988 1123430416 /nfs/dbraw/zinc/43/04/16/1123430416.db2.gz VDZDPKRVKUYAJA-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO Fc1cc(Cl)cc(Br)c1C[NH+]1CCCC1 ZINC001141837685 1123510050 /nfs/dbraw/zinc/51/00/50/1123510050.db2.gz MGAQAEGSPBXUCG-UHFFFAOYSA-N 1 2 292.579 3.837 20 0 CHADLO CCC[C@@H](O)CCCSCc1ccc(C)[nH+]c1C ZINC000865381642 1123978307 /nfs/dbraw/zinc/97/83/07/1123978307.db2.gz YNWVFYJSKHFHMX-OAHLLOKOSA-N 1 2 267.438 3.873 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)[C@H](C)C(=O)OC(C)(C)C ZINC000610012755 1124033637 /nfs/dbraw/zinc/03/36/37/1124033637.db2.gz DEEOKAIYSJHUBT-GFCCVEGCSA-N 1 2 297.826 3.892 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)[C@H](C)C(=O)OC(C)(C)C ZINC000610012755 1124033641 /nfs/dbraw/zinc/03/36/41/1124033641.db2.gz DEEOKAIYSJHUBT-GFCCVEGCSA-N 1 2 297.826 3.892 20 0 CHADLO Clc1cccnc1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000528393760 1124652825 /nfs/dbraw/zinc/65/28/25/1124652825.db2.gz GIBPBAVBBVTBGD-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1cccnc1C[N@H+]1CC[C@H]1c1ccccc1 ZINC000528393760 1124652827 /nfs/dbraw/zinc/65/28/27/1124652827.db2.gz GIBPBAVBBVTBGD-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO CCC[C@H]([NH2+]Cc1ncc(Cl)s1)c1cccnc1 ZINC000872116462 1124687715 /nfs/dbraw/zinc/68/77/15/1124687715.db2.gz RIHQUZQNDDNUBB-NSHDSACASA-N 1 2 281.812 3.823 20 0 CHADLO COc1cccc2c(NCCC(C)(F)F)cc[nH+]c12 ZINC000450552444 1125059674 /nfs/dbraw/zinc/05/96/74/1125059674.db2.gz HTBGRPIGHQFNBF-UHFFFAOYSA-N 1 2 266.291 3.701 20 0 CHADLO C[C@H]1C[C@H](C)C[NH+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138235959 1131480476 /nfs/dbraw/zinc/48/04/76/1131480476.db2.gz JSEORXICZFPUAP-IUCAKERBSA-N 1 2 275.289 3.721 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(Cl)ccc(F)c2F)[C@@H](C)C1 ZINC001143960887 1131559659 /nfs/dbraw/zinc/55/96/59/1131559659.db2.gz DERAPXPOIHUOKM-UWVGGRQHSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(Cl)ccc(F)c2F)[C@@H](C)C1 ZINC001143960887 1131559662 /nfs/dbraw/zinc/55/96/62/1131559662.db2.gz DERAPXPOIHUOKM-UWVGGRQHSA-N 1 2 289.753 3.618 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001139006035 1131570777 /nfs/dbraw/zinc/57/07/77/1131570777.db2.gz OCIBVUWPTLBTKO-MFKMUULPSA-N 1 2 271.763 3.529 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001139006035 1131570782 /nfs/dbraw/zinc/57/07/82/1131570782.db2.gz OCIBVUWPTLBTKO-MFKMUULPSA-N 1 2 271.763 3.529 20 0 CHADLO Fc1cc(F)c(C[N@H+]2C[C@H]3[C@H](CC3(F)F)C2)cc1Cl ZINC001144125096 1131589015 /nfs/dbraw/zinc/58/90/15/1131589015.db2.gz DBLYECHRIUYTGL-BDAKNGLRSA-N 1 2 293.691 3.705 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2C[C@H]3[C@H](CC3(F)F)C2)cc1Cl ZINC001144125096 1131589017 /nfs/dbraw/zinc/58/90/17/1131589017.db2.gz DBLYECHRIUYTGL-BDAKNGLRSA-N 1 2 293.691 3.705 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139354442 1131604272 /nfs/dbraw/zinc/60/42/72/1131604272.db2.gz UEDURTIURBBASS-GFCCVEGCSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139354442 1131604275 /nfs/dbraw/zinc/60/42/75/1131604275.db2.gz UEDURTIURBBASS-GFCCVEGCSA-N 1 2 277.170 3.570 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c(F)cc1Br ZINC001144590752 1131663179 /nfs/dbraw/zinc/66/31/79/1131663179.db2.gz LWPHCTWKMSJFIH-YGRLFVJLSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]3C[C@@H]32)c(F)cc1Br ZINC001144590752 1131663182 /nfs/dbraw/zinc/66/31/82/1131663182.db2.gz LWPHCTWKMSJFIH-YGRLFVJLSA-N 1 2 298.199 3.881 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[C@H](C)[N@H+](C)Cc1cnsn1 ZINC000846813252 1131743928 /nfs/dbraw/zinc/74/39/28/1131743928.db2.gz ZHBLGTBSDTVMJZ-MNOVXSKESA-N 1 2 295.839 3.816 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[C@H](C)[N@@H+](C)Cc1cnsn1 ZINC000846813252 1131743934 /nfs/dbraw/zinc/74/39/34/1131743934.db2.gz ZHBLGTBSDTVMJZ-MNOVXSKESA-N 1 2 295.839 3.816 20 0 CHADLO FC(F)(F)c1ccc(Cl)cc1C[NH+]1CC=CC1 ZINC001140564982 1131795617 /nfs/dbraw/zinc/79/56/17/1131795617.db2.gz FEIJMVMKZWHDBG-UHFFFAOYSA-N 1 2 261.674 3.731 20 0 CHADLO CO[C@H](CSCCn1cc[nH+]c1)c1ccc(Cl)cc1 ZINC000282739289 1131886471 /nfs/dbraw/zinc/88/64/71/1131886471.db2.gz XTOBSWXDGIDBFR-CQSZACIVSA-N 1 2 296.823 3.657 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCCC[C@@H](F)C1 ZINC001140725645 1131898172 /nfs/dbraw/zinc/89/81/72/1131898172.db2.gz GDVMAHOZPHREKU-LLVKDONJSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCCC[C@@H](F)C1 ZINC001140725645 1131898182 /nfs/dbraw/zinc/89/81/82/1131898182.db2.gz GDVMAHOZPHREKU-LLVKDONJSA-N 1 2 271.763 3.673 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1ccns1 ZINC000716023731 1132002376 /nfs/dbraw/zinc/00/23/76/1132002376.db2.gz YRXLAAQBMGQIAV-SECBINFHSA-N 1 2 281.450 3.748 20 0 CHADLO Cc1nc(N[C@H](C)CCCC(C)(C)O)cc(C(C)C)[nH+]1 ZINC001157885600 1132752283 /nfs/dbraw/zinc/75/22/83/1132752283.db2.gz CTUSVMMNPXDXSS-GFCCVEGCSA-N 1 2 279.428 3.650 20 0 CHADLO CSc1cc[nH+]c(N2CCC[C@@H]2c2nccs2)c1 ZINC001167044772 1133302174 /nfs/dbraw/zinc/30/21/74/1133302174.db2.gz YLVRUYFAZLLHKX-LLVKDONJSA-N 1 2 277.418 3.602 20 0 CHADLO Fc1ccc(C[N@H+]2CCCC[C@@H](F)C2)cc1Cl ZINC001204280896 1133426487 /nfs/dbraw/zinc/42/64/87/1133426487.db2.gz RONDMRBZLLHJNP-LLVKDONJSA-N 1 2 259.727 3.803 20 0 CHADLO Fc1ccc(C[N@@H+]2CCCC[C@@H](F)C2)cc1Cl ZINC001204280896 1133426490 /nfs/dbraw/zinc/42/64/90/1133426490.db2.gz RONDMRBZLLHJNP-LLVKDONJSA-N 1 2 259.727 3.803 20 0 CHADLO CSc1cc(Nc2cc(C)c(CO)c(C)c2)cc[nH+]1 ZINC001216122790 1134784494 /nfs/dbraw/zinc/78/44/94/1134784494.db2.gz BYSOSGYTFTVYPY-UHFFFAOYSA-N 1 2 274.389 3.656 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2cccc(Cl)c2)no1 ZINC000052077777 1125514243 /nfs/dbraw/zinc/51/42/43/1125514243.db2.gz DCLLQWYZQPIEGZ-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2cccc(Cl)c2)no1 ZINC000052077777 1125514247 /nfs/dbraw/zinc/51/42/47/1125514247.db2.gz DCLLQWYZQPIEGZ-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(F)cc2F)c(C)s1 ZINC000135127000 1125521475 /nfs/dbraw/zinc/52/14/75/1125521475.db2.gz KIIHDJLTPYIKET-MRVPVSSYSA-N 1 2 282.359 3.889 20 0 CHADLO CC(C)c1nc(C[N@H+](Cc2ccccc2)[C@H](C)C2CC2)no1 ZINC000053608341 1125525224 /nfs/dbraw/zinc/52/52/24/1125525224.db2.gz SMXGQRNWBBYOMH-CQSZACIVSA-N 1 2 299.418 3.994 20 0 CHADLO CC(C)c1nc(C[N@@H+](Cc2ccccc2)[C@H](C)C2CC2)no1 ZINC000053608341 1125525226 /nfs/dbraw/zinc/52/52/26/1125525226.db2.gz SMXGQRNWBBYOMH-CQSZACIVSA-N 1 2 299.418 3.994 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+](C)Cc1ccno1 ZINC001238680855 1131230750 /nfs/dbraw/zinc/23/07/50/1131230750.db2.gz NMRHZIKGKBKNHR-UHFFFAOYSA-N 1 2 282.796 3.682 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+](C)Cc1ccno1 ZINC001238680855 1131230755 /nfs/dbraw/zinc/23/07/55/1131230755.db2.gz NMRHZIKGKBKNHR-UHFFFAOYSA-N 1 2 282.796 3.682 20 0 CHADLO Cc1ncsc1C[N@H+](Cc1ccccc1F)C1CC1 ZINC000120571221 1125548558 /nfs/dbraw/zinc/54/85/58/1125548558.db2.gz FOEQLETWBLVEEQ-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1ncsc1C[N@@H+](Cc1ccccc1F)C1CC1 ZINC000120571221 1125548562 /nfs/dbraw/zinc/54/85/62/1125548562.db2.gz FOEQLETWBLVEEQ-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[N@H+](C)Cc1cncn1C ZINC000121161505 1125557718 /nfs/dbraw/zinc/55/77/18/1125557718.db2.gz MFFSQJPHDLCZDR-GFCCVEGCSA-N 1 2 292.452 3.501 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[N@@H+](C)Cc1cncn1C ZINC000121161505 1125557723 /nfs/dbraw/zinc/55/77/23/1125557723.db2.gz MFFSQJPHDLCZDR-GFCCVEGCSA-N 1 2 292.452 3.501 20 0 CHADLO FC(F)(F)CCCCOc1ccccc1-n1cc[nH+]c1 ZINC000533572933 1125616248 /nfs/dbraw/zinc/61/62/48/1125616248.db2.gz BJCRSXNMNGZNMX-UHFFFAOYSA-N 1 2 284.281 3.984 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)cc2)C[C@@H](c2ccsc2)O1 ZINC000246307542 1125618656 /nfs/dbraw/zinc/61/86/56/1125618656.db2.gz CJVIPHWZVHSYDO-WBMJQRKESA-N 1 2 291.391 3.849 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@@H](c2ccsc2)O1 ZINC000246307542 1125618658 /nfs/dbraw/zinc/61/86/58/1125618658.db2.gz CJVIPHWZVHSYDO-WBMJQRKESA-N 1 2 291.391 3.849 20 0 CHADLO Clc1cccc([C@@H]([NH2+]Cc2ncc[nH]2)c2ccccc2)c1 ZINC000067696409 1125626219 /nfs/dbraw/zinc/62/62/19/1125626219.db2.gz YVLWDFMQSOSWEF-KRWDZBQOSA-N 1 2 297.789 3.942 20 0 CHADLO Cc1[nH]c2ccccc2c1C(=O)C[N@@H+](C)[C@H](C)c1ccco1 ZINC000069069703 1125628794 /nfs/dbraw/zinc/62/87/94/1125628794.db2.gz UJOWUPRJZFNFFU-CYBMUJFWSA-N 1 2 296.370 3.945 20 0 CHADLO Cc1[nH]c2ccccc2c1C(=O)C[N@H+](C)[C@H](C)c1ccco1 ZINC000069069703 1125628797 /nfs/dbraw/zinc/62/87/97/1125628797.db2.gz UJOWUPRJZFNFFU-CYBMUJFWSA-N 1 2 296.370 3.945 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)CC(=O)c1c[nH]c2ccccc21 ZINC000069068099 1125629663 /nfs/dbraw/zinc/62/96/63/1125629663.db2.gz CXOBNKVFCPDHDA-LBPRGKRZSA-N 1 2 282.343 3.637 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)CC(=O)c1c[nH]c2ccccc21 ZINC000069068099 1125629665 /nfs/dbraw/zinc/62/96/65/1125629665.db2.gz CXOBNKVFCPDHDA-LBPRGKRZSA-N 1 2 282.343 3.637 20 0 CHADLO CC[C@](C)([NH2+]Cc1cc(C)co1)c1nc(C)cs1 ZINC000885999392 1125633902 /nfs/dbraw/zinc/63/39/02/1125633902.db2.gz IFZIBMZBDMSBAG-AWEZNQCLSA-N 1 2 264.394 3.768 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1Cc1nc2ccccc2s1 ZINC000072979314 1125637248 /nfs/dbraw/zinc/63/72/48/1125637248.db2.gz JDZFVNJVVJLKJB-CYBMUJFWSA-N 1 2 290.432 3.543 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1Cc1nc2ccccc2s1 ZINC000072979314 1125637252 /nfs/dbraw/zinc/63/72/52/1125637252.db2.gz JDZFVNJVVJLKJB-CYBMUJFWSA-N 1 2 290.432 3.543 20 0 CHADLO Cc1ccc(CCCC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000075289299 1125644357 /nfs/dbraw/zinc/64/43/57/1125644357.db2.gz SDDXYHBXJZRESO-UHFFFAOYSA-N 1 2 268.360 3.660 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC[C@H](C)C[C@H]1c1ccc(C)o1 ZINC000247508515 1125657897 /nfs/dbraw/zinc/65/78/97/1125657897.db2.gz MIADQPBLMYMGOC-ZFWWWQNUSA-N 1 2 287.407 3.778 20 0 CHADLO CCn1ccnc1C[N@H+]1CC[C@H](C)C[C@H]1c1ccc(C)o1 ZINC000247508515 1125657900 /nfs/dbraw/zinc/65/79/00/1125657900.db2.gz MIADQPBLMYMGOC-ZFWWWQNUSA-N 1 2 287.407 3.778 20 0 CHADLO F[C@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1ccc(Cl)cn1 ZINC000886188175 1125660126 /nfs/dbraw/zinc/66/01/26/1125660126.db2.gz MLWYBRPRYYIZOV-HOTGVXAUSA-N 1 2 290.769 3.850 20 0 CHADLO Clc1sccc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000886270825 1125663937 /nfs/dbraw/zinc/66/39/37/1125663937.db2.gz NWXGSXNBMHXBKS-UHFFFAOYSA-N 1 2 268.769 3.557 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[NH2+][C@@H](C)c1ncco1 ZINC000886273617 1125665813 /nfs/dbraw/zinc/66/58/13/1125665813.db2.gz CPNWXUOREAVRIU-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ncco2)ccc1Br ZINC000886274534 1125666053 /nfs/dbraw/zinc/66/60/53/1125666053.db2.gz VQTVMHZBMAIGIQ-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO CC1(C)C[N@H+](CCSC(F)(F)F)[C@@H]1c1ccncc1 ZINC000886288929 1125667277 /nfs/dbraw/zinc/66/72/77/1125667277.db2.gz KQBUGMIJRNFHPH-LLVKDONJSA-N 1 2 290.354 3.718 20 0 CHADLO CC1(C)C[N@@H+](CCSC(F)(F)F)[C@@H]1c1ccncc1 ZINC000886288929 1125667280 /nfs/dbraw/zinc/66/72/80/1125667280.db2.gz KQBUGMIJRNFHPH-LLVKDONJSA-N 1 2 290.354 3.718 20 0 CHADLO Cc1cc[nH+]c(Nc2cccc(OC(F)F)c2)c1 ZINC001212054138 1125671683 /nfs/dbraw/zinc/67/16/83/1125671683.db2.gz OLBQZROMOGVIKJ-UHFFFAOYSA-N 1 2 250.248 3.735 20 0 CHADLO Cc1nocc1CNc1ccc2[nH+]c(C)cc(C)c2c1 ZINC000886369718 1125673912 /nfs/dbraw/zinc/67/39/12/1125673912.db2.gz NGARBSVKSXVXLJ-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO Cc1cc(CNc2ccc3[nH+]c(C)cc(C)c3c2)no1 ZINC000886370222 1125674132 /nfs/dbraw/zinc/67/41/32/1125674132.db2.gz ZJVOWTBRUNIXPK-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO Cc1cc(C)c2cc(NCc3cc4n(n3)CCC4)ccc2[nH+]1 ZINC000886370211 1125674443 /nfs/dbraw/zinc/67/44/43/1125674443.db2.gz YYTAPTAOVIUXKO-UHFFFAOYSA-N 1 2 292.386 3.606 20 0 CHADLO CCc1cccc(Nc2cccc(OC(F)F)c2)[nH+]1 ZINC001212058832 1125675418 /nfs/dbraw/zinc/67/54/18/1125675418.db2.gz UHZAMEGWMDRZIN-UHFFFAOYSA-N 1 2 264.275 3.989 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2noc(C3CC3)n2)[C@@H]1c1ccccc1 ZINC000886544949 1125689086 /nfs/dbraw/zinc/68/90/86/1125689086.db2.gz DHLGAOURHGNILJ-WBMJQRKESA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2noc(C3CC3)n2)[C@@H]1c1ccccc1 ZINC000886544949 1125689089 /nfs/dbraw/zinc/68/90/89/1125689089.db2.gz DHLGAOURHGNILJ-WBMJQRKESA-N 1 2 283.375 3.530 20 0 CHADLO CC(C)C[C@@H](c1nc(-c2cccs2)no1)n1cc[nH+]c1 ZINC000923751835 1125698836 /nfs/dbraw/zinc/69/88/36/1125698836.db2.gz RCIJPAWZUPMEHQ-NSHDSACASA-N 1 2 288.376 3.630 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2cc(C3CC3)no2)o1 ZINC000886682807 1125706657 /nfs/dbraw/zinc/70/66/57/1125706657.db2.gz PSKRNGXWVHMOHT-SNVBAGLBSA-N 1 2 260.337 3.558 20 0 CHADLO FC(F)C1C[NH+](Cc2ccc3cccc(Cl)c3n2)C1 ZINC001137230083 1125714818 /nfs/dbraw/zinc/71/48/18/1125714818.db2.gz HCBQMMKGEZPTOG-UHFFFAOYSA-N 1 2 282.721 3.585 20 0 CHADLO CC(C)n1ccc(C[NH2+]C(C)(C)c2ccc(F)cc2F)n1 ZINC000886901341 1125724951 /nfs/dbraw/zinc/72/49/51/1125724951.db2.gz XHCAJEDYSJBBEJ-UHFFFAOYSA-N 1 2 293.361 3.767 20 0 CHADLO CC(C)COc1ccc(C[N@@H+]2CCC=C(F)C2)cc1 ZINC000508675270 1125727748 /nfs/dbraw/zinc/72/77/48/1125727748.db2.gz FPJKIAOPWCWTJM-UHFFFAOYSA-N 1 2 263.356 3.781 20 0 CHADLO CC(C)COc1ccc(C[N@H+]2CCC=C(F)C2)cc1 ZINC000508675270 1125727754 /nfs/dbraw/zinc/72/77/54/1125727754.db2.gz FPJKIAOPWCWTJM-UHFFFAOYSA-N 1 2 263.356 3.781 20 0 CHADLO CCCCC[C@@H](O)CNc1ccc2ccc[nH+]c2c1 ZINC001253543459 1125728928 /nfs/dbraw/zinc/72/89/28/1125728928.db2.gz DBCYNRACQAWDEJ-OAHLLOKOSA-N 1 2 258.365 3.588 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccco2)c1OC(F)F ZINC000162161111 1125738498 /nfs/dbraw/zinc/73/84/98/1125738498.db2.gz FMDSUCZKZQOBDK-UHFFFAOYSA-N 1 2 297.301 3.522 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccco2)c1OC(F)F ZINC000162161111 1125738505 /nfs/dbraw/zinc/73/85/05/1125738505.db2.gz FMDSUCZKZQOBDK-UHFFFAOYSA-N 1 2 297.301 3.522 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2cccc(Cl)n2)C1 ZINC000091439217 1125749839 /nfs/dbraw/zinc/74/98/39/1125749839.db2.gz XZNIPWIAZAARFG-VIFPVBQESA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC000091439217 1125749845 /nfs/dbraw/zinc/74/98/45/1125749845.db2.gz XZNIPWIAZAARFG-VIFPVBQESA-N 1 2 278.705 3.509 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2cnccc2Cl)cc1 ZINC001137238336 1125755294 /nfs/dbraw/zinc/75/52/94/1125755294.db2.gz TXKYGXZMODCGFC-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2cnccc2Cl)cc1 ZINC001137238336 1125755298 /nfs/dbraw/zinc/75/52/98/1125755298.db2.gz TXKYGXZMODCGFC-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cc3nccs3)CC2)c1 ZINC000092822168 1125762519 /nfs/dbraw/zinc/76/25/19/1125762519.db2.gz VRGUPIXUJUXVQU-UHFFFAOYSA-N 1 2 270.401 3.741 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cc3nccs3)CC2)c1 ZINC000092822168 1125762527 /nfs/dbraw/zinc/76/25/27/1125762527.db2.gz VRGUPIXUJUXVQU-UHFFFAOYSA-N 1 2 270.401 3.741 20 0 CHADLO COCC1(C2CCC2)C[NH+](Cc2csc(C(C)C)n2)C1 ZINC001118590444 1131232696 /nfs/dbraw/zinc/23/26/96/1131232696.db2.gz JSYALLQWTINQKV-UHFFFAOYSA-N 1 2 294.464 3.515 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001238696549 1131232716 /nfs/dbraw/zinc/23/27/16/1131232716.db2.gz XHFRDWXGSHMMTP-RISCZKNCSA-N 1 2 269.335 3.545 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001238696549 1131232720 /nfs/dbraw/zinc/23/27/20/1131232720.db2.gz XHFRDWXGSHMMTP-RISCZKNCSA-N 1 2 269.335 3.545 20 0 CHADLO CC(C)C[C@@H](C(=O)N1CCC[C@@H]1CC(C)C)n1cc[nH+]c1 ZINC000635461781 1129182867 /nfs/dbraw/zinc/18/28/67/1129182867.db2.gz VUCSJLWQKHZLBM-CVEARBPZSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(F)cc(C)cc2Cl)nc1 ZINC000641766363 1129390481 /nfs/dbraw/zinc/39/04/81/1129390481.db2.gz MHAIUHYOHIQTSE-UHFFFAOYSA-N 1 2 278.758 3.781 20 0 CHADLO CCCCCCc1ccc(C[N@@H+]2CCC23COC3)s1 ZINC001238706333 1131235395 /nfs/dbraw/zinc/23/53/95/1131235395.db2.gz PBZJOVKHEPMIPO-UHFFFAOYSA-N 1 2 279.449 3.846 20 0 CHADLO CCCCCCc1ccc(C[N@H+]2CCC23COC3)s1 ZINC001238706333 1131235398 /nfs/dbraw/zinc/23/53/98/1131235398.db2.gz PBZJOVKHEPMIPO-UHFFFAOYSA-N 1 2 279.449 3.846 20 0 CHADLO CC(F)(F)CCCOc1cccc(-n2cc[nH+]c2)c1 ZINC000902263379 1129994990 /nfs/dbraw/zinc/99/49/90/1129994990.db2.gz BDCMFNWUOLXQDH-UHFFFAOYSA-N 1 2 266.291 3.687 20 0 CHADLO CC(C)C1(C(=O)Nc2ccc(Cn3cc[nH+]c3)cc2)CCC1 ZINC001142650675 1126705988 /nfs/dbraw/zinc/70/59/88/1126705988.db2.gz FNFKNQQUFMRVRW-UHFFFAOYSA-N 1 2 297.402 3.696 20 0 CHADLO Fc1ccc(C2=CC[N@H+](Cc3nccs3)CC2)cc1 ZINC000134956829 1126705977 /nfs/dbraw/zinc/70/59/77/1126705977.db2.gz KDODPIJCEGYLHY-UHFFFAOYSA-N 1 2 274.364 3.572 20 0 CHADLO Fc1ccc(C2=CC[N@@H+](Cc3nccs3)CC2)cc1 ZINC000134956829 1126705982 /nfs/dbraw/zinc/70/59/82/1126705982.db2.gz KDODPIJCEGYLHY-UHFFFAOYSA-N 1 2 274.364 3.572 20 0 CHADLO c1csc(C[N@@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000134986001 1126706410 /nfs/dbraw/zinc/70/64/10/1126706410.db2.gz RPPSVDACLRBBFY-LLVKDONJSA-N 1 2 250.392 3.542 20 0 CHADLO c1csc(C[N@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000134986001 1126706413 /nfs/dbraw/zinc/70/64/13/1126706413.db2.gz RPPSVDACLRBBFY-LLVKDONJSA-N 1 2 250.392 3.542 20 0 CHADLO c1cc(N[C@H]2CC[C@@H]2C2CCC2)[nH+]cc1N1CCCC1 ZINC000337987731 1126706780 /nfs/dbraw/zinc/70/67/80/1126706780.db2.gz GYUSUYKVKMSZFS-CVEARBPZSA-N 1 2 271.408 3.672 20 0 CHADLO Cc1cn2cc(NC(=O)CC3(C)CCCCC3)ccc2[nH+]1 ZINC000337962249 1126704309 /nfs/dbraw/zinc/70/43/09/1126704309.db2.gz LXOIWGYSJVDVMK-UHFFFAOYSA-N 1 2 285.391 3.942 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCCC[C@@H]1CC1CCC1 ZINC000826582560 1126721233 /nfs/dbraw/zinc/72/12/33/1126721233.db2.gz GQPIFBTWMWDLTQ-OAHLLOKOSA-N 1 2 297.402 3.748 20 0 CHADLO c1cn(CCCSCc2cccc3cccnc32)c[nH+]1 ZINC000600592756 1126721368 /nfs/dbraw/zinc/72/13/68/1126721368.db2.gz TZXRVFKYHPVCNH-UHFFFAOYSA-N 1 2 283.400 3.755 20 0 CHADLO COc1cccc(CNc2c[nH+]ccc2OC(C)(C)C)c1 ZINC000338320333 1126733582 /nfs/dbraw/zinc/73/35/82/1126733582.db2.gz FXDUDYRDWBLOCK-UHFFFAOYSA-N 1 2 286.375 3.880 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1ccc(F)c(F)c1F ZINC000667976392 1126733784 /nfs/dbraw/zinc/73/37/84/1126733784.db2.gz PYRVVMOMSVZIGP-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1ccc(F)c(F)c1F ZINC000667976392 1126733786 /nfs/dbraw/zinc/73/37/86/1126733786.db2.gz PYRVVMOMSVZIGP-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncc1F)c1c(F)cccc1F ZINC000338357736 1126738188 /nfs/dbraw/zinc/73/81/88/1126738188.db2.gz KHKNTZUFLJMIPZ-VHSXEESVSA-N 1 2 280.293 3.911 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@H](C)c1oc2ccccc2c1C ZINC000924773066 1126740120 /nfs/dbraw/zinc/74/01/20/1126740120.db2.gz LRDPNBGAVMTUOP-ZYHUDNBSSA-N 1 2 285.347 3.844 20 0 CHADLO CO[C@@H](C[N@H+](C)Cc1nccs1)c1ccc(Cl)cc1 ZINC000283034381 1126741294 /nfs/dbraw/zinc/74/12/94/1126741294.db2.gz HWQGXYMLCKHXBZ-ZDUSSCGKSA-N 1 2 296.823 3.616 20 0 CHADLO CO[C@@H](C[N@@H+](C)Cc1nccs1)c1ccc(Cl)cc1 ZINC000283034381 1126741298 /nfs/dbraw/zinc/74/12/98/1126741298.db2.gz HWQGXYMLCKHXBZ-ZDUSSCGKSA-N 1 2 296.823 3.616 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1cscn1)c1ccccn1 ZINC000678114385 1130350601 /nfs/dbraw/zinc/35/06/01/1130350601.db2.gz FHCFBCAZBKERNE-DGCLKSJQSA-N 1 2 261.394 3.730 20 0 CHADLO Fc1cc(Br)cc(C[N@@H+]2CCC23CCC3)c1 ZINC000483106845 1126768655 /nfs/dbraw/zinc/76/86/55/1126768655.db2.gz PNIYNCLZKDQUSA-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Fc1cc(Br)cc(C[N@H+]2CCC23CCC3)c1 ZINC000483106845 1126768657 /nfs/dbraw/zinc/76/86/57/1126768657.db2.gz PNIYNCLZKDQUSA-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+]Cc2cc(F)ccc2F)o1 ZINC000174478608 1126771113 /nfs/dbraw/zinc/77/11/13/1126771113.db2.gz GHODRIQPYZRKFE-OAHLLOKOSA-N 1 2 295.329 3.598 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2cnc(Cl)s2)n1 ZINC000175447214 1126782938 /nfs/dbraw/zinc/78/29/38/1126782938.db2.gz YNOCXLIZSWFISC-UHFFFAOYSA-N 1 2 287.841 3.586 20 0 CHADLO CCc1ccc([C@H]2C[N@H+](Cc3ccc(C)o3)CCO2)cc1 ZINC000483429180 1126786860 /nfs/dbraw/zinc/78/68/60/1126786860.db2.gz QKAGJQZJRFXZBI-GOSISDBHSA-N 1 2 285.387 3.724 20 0 CHADLO CCc1ccc([C@H]2C[N@@H+](Cc3ccc(C)o3)CCO2)cc1 ZINC000483429180 1126786864 /nfs/dbraw/zinc/78/68/64/1126786864.db2.gz QKAGJQZJRFXZBI-GOSISDBHSA-N 1 2 285.387 3.724 20 0 CHADLO CCc1ccc([C@@H]2C[N@H+](Cc3ccc(C)o3)CCO2)cc1 ZINC000483429182 1126787343 /nfs/dbraw/zinc/78/73/43/1126787343.db2.gz QKAGJQZJRFXZBI-SFHVURJKSA-N 1 2 285.387 3.724 20 0 CHADLO CCc1ccc([C@@H]2C[N@@H+](Cc3ccc(C)o3)CCO2)cc1 ZINC000483429182 1126787345 /nfs/dbraw/zinc/78/73/45/1126787345.db2.gz QKAGJQZJRFXZBI-SFHVURJKSA-N 1 2 285.387 3.724 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CCOC2(CCCC2)C1 ZINC000483485952 1126788646 /nfs/dbraw/zinc/78/86/46/1126788646.db2.gz DENRWURBERWXRJ-GFCCVEGCSA-N 1 2 281.346 3.671 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CCOC2(CCCC2)C1 ZINC000483485952 1126788649 /nfs/dbraw/zinc/78/86/49/1126788649.db2.gz DENRWURBERWXRJ-GFCCVEGCSA-N 1 2 281.346 3.671 20 0 CHADLO Cn1ncc2c1nc[nH+]c2N[C@H](c1ccccc1)C(C)(C)C ZINC000176265536 1126789576 /nfs/dbraw/zinc/78/95/76/1126789576.db2.gz DISUQNWERORTJD-CQSZACIVSA-N 1 2 295.390 3.563 20 0 CHADLO Cc1cc(-c2ccc[nH+]c2N)ccc1OC(F)(F)F ZINC001243473957 1130503751 /nfs/dbraw/zinc/50/37/51/1130503751.db2.gz QBQPBZUFVJZSTG-UHFFFAOYSA-N 1 2 268.238 3.538 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@@H](C)c2cscn2)cccc1[N+](=O)[O-] ZINC000568276857 1126797704 /nfs/dbraw/zinc/79/77/04/1126797704.db2.gz QLNPLGXVJRFHID-MNOVXSKESA-N 1 2 291.376 3.772 20 0 CHADLO CCCc1nc(C[N@@H+]2CCc3cc(C)ccc3C2)cs1 ZINC000483983696 1126807495 /nfs/dbraw/zinc/80/74/95/1126807495.db2.gz XQGWHBFDTSDXEC-UHFFFAOYSA-N 1 2 286.444 3.962 20 0 CHADLO CCCc1nc(C[N@H+]2CCc3cc(C)ccc3C2)cs1 ZINC000483983696 1126807498 /nfs/dbraw/zinc/80/74/98/1126807498.db2.gz XQGWHBFDTSDXEC-UHFFFAOYSA-N 1 2 286.444 3.962 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2cn3c(cccc3C)n2)o1 ZINC000178062779 1126808603 /nfs/dbraw/zinc/80/86/03/1126808603.db2.gz DMYDUEVJVFBNLE-CYBMUJFWSA-N 1 2 283.375 3.649 20 0 CHADLO CCS[C@H](C)c1noc([C@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923952824 1126813397 /nfs/dbraw/zinc/81/33/97/1126813397.db2.gz QLOVJPJOOVRCBE-NEPJUHHUSA-N 1 2 294.424 3.716 20 0 CHADLO CC(C)(C)c1noc(C[NH2+][C@H](c2ccccc2)C2CC2)n1 ZINC000179104755 1126823249 /nfs/dbraw/zinc/82/32/49/1126823249.db2.gz YBIYFFUXIBJTDN-OAHLLOKOSA-N 1 2 285.391 3.608 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1ccc(Cl)nc1)c1ccc(C)o1 ZINC000179135470 1126824180 /nfs/dbraw/zinc/82/41/80/1126824180.db2.gz DVSNZGKAKYWBSR-DGCLKSJQSA-N 1 2 294.782 3.675 20 0 CHADLO CCc1nc([C@H](C)Nc2[nH+]c3ccccc3n2C)cs1 ZINC000179296056 1126826002 /nfs/dbraw/zinc/82/60/02/1126826002.db2.gz KGQGYZXKQIMRMC-JTQLQIEISA-N 1 2 286.404 3.765 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCOc2cc(F)ccc21)c1nccs1 ZINC000179961209 1126836616 /nfs/dbraw/zinc/83/66/16/1126836616.db2.gz YEVDMMZLGZGXGI-GXFFZTMASA-N 1 2 292.379 3.847 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc([C@@H](C)CC2CCCC2)n1 ZINC000904259169 1126841431 /nfs/dbraw/zinc/84/14/31/1126841431.db2.gz AXBNOTRDEYMINA-JTQLQIEISA-N 1 2 286.379 3.706 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc([C@H](C)CC2CCCC2)n1 ZINC000904270298 1126840403 /nfs/dbraw/zinc/84/04/03/1126840403.db2.gz YYUSOFXJNNWNSZ-LLVKDONJSA-N 1 2 286.379 3.706 20 0 CHADLO Cc1oc(C(C)C)cc1-c1nc(-c2c[nH+]c(N)cc2C)no1 ZINC000904268032 1126840597 /nfs/dbraw/zinc/84/05/97/1126840597.db2.gz MTMNDEIKJZTMRS-UHFFFAOYSA-N 1 2 298.346 3.714 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@H+](C)Cc2ncc(C)s2)s1 ZINC000180508322 1126845199 /nfs/dbraw/zinc/84/51/99/1126845199.db2.gz TWTPWCAFSDWMHH-NSHDSACASA-N 1 2 295.477 3.972 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@@H+](C)Cc2ncc(C)s2)s1 ZINC000180508322 1126845206 /nfs/dbraw/zinc/84/52/06/1126845206.db2.gz TWTPWCAFSDWMHH-NSHDSACASA-N 1 2 295.477 3.972 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2cc3ccccc3o2)s1 ZINC000180527329 1126845368 /nfs/dbraw/zinc/84/53/68/1126845368.db2.gz KOROMKZMOSFUCQ-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2cc3ccccc3o2)s1 ZINC000180527329 1126845370 /nfs/dbraw/zinc/84/53/70/1126845370.db2.gz KOROMKZMOSFUCQ-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3ccon3)CCCC2)c(F)c1 ZINC000181247106 1126853795 /nfs/dbraw/zinc/85/37/95/1126853795.db2.gz VRKMYZKCNBRITJ-UHFFFAOYSA-N 1 2 278.302 3.512 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2cnc(Cl)s2)C2CC2)n1 ZINC000181610067 1126859074 /nfs/dbraw/zinc/85/90/74/1126859074.db2.gz UTRWTGGPZAGPIE-SNVBAGLBSA-N 1 2 299.852 3.802 20 0 CHADLO Cc1c[nH+]c2cc(-c3nc(-c4ccc(C)cc4)no3)ccn12 ZINC000904569536 1126859409 /nfs/dbraw/zinc/85/94/09/1126859409.db2.gz KLSKJIYPBKCUIA-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO Fc1ccccc1[C@@H]([NH2+]Cc1cocn1)C1CCCC1 ZINC000182073472 1126865823 /nfs/dbraw/zinc/86/58/23/1126865823.db2.gz MMCCZSOHWRWLQZ-INIZCTEOSA-N 1 2 274.339 3.835 20 0 CHADLO COCC[C@H](C)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000182883387 1126878806 /nfs/dbraw/zinc/87/88/06/1126878806.db2.gz LVLCSWZRMKSOMW-AWEZNQCLSA-N 1 2 299.418 3.723 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+]Cc2nc(-c3ccco3)no2)c1 ZINC000182900613 1126878824 /nfs/dbraw/zinc/87/88/24/1126878824.db2.gz MKQLBTVCYSAGPZ-CYBMUJFWSA-N 1 2 297.358 3.797 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncc(C(C)(C)C)o1)c1cccnc1 ZINC000182891109 1126879273 /nfs/dbraw/zinc/87/92/73/1126879273.db2.gz FAKNZLFUCDKKLZ-NEPJUHHUSA-N 1 2 273.380 3.779 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nnc(C)o1)c1cccc(Cl)c1 ZINC000182595466 1126874464 /nfs/dbraw/zinc/87/44/64/1126874464.db2.gz YNIOHJUBZIKDAP-TVQRCGJNSA-N 1 2 279.771 3.833 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cccc(F)c1F)c1ccc(C)o1 ZINC000183276683 1126884557 /nfs/dbraw/zinc/88/45/57/1126884557.db2.gz VPRMUPWLMJSSRL-FZMZJTMJSA-N 1 2 295.329 3.905 20 0 CHADLO C[C@H](SCCn1cc[nH+]c1)c1nc2ccccc2o1 ZINC000183469008 1126887381 /nfs/dbraw/zinc/88/73/81/1126887381.db2.gz NUOPZCJNHKAYMR-NSHDSACASA-N 1 2 273.361 3.519 20 0 CHADLO Cc1cnc(Cl)c(CNc2ccc(C)[nH+]c2C)c1 ZINC000905410791 1126900326 /nfs/dbraw/zinc/90/03/26/1126900326.db2.gz BQZKQSOOGGESRN-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO Oc1cc(F)cc(C[NH2+]C2(c3nccs3)CCCC2)c1 ZINC000185540862 1126900713 /nfs/dbraw/zinc/90/07/13/1126900713.db2.gz NSUNPLVVAJDDNX-UHFFFAOYSA-N 1 2 292.379 3.547 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cc(F)c(F)c(F)c2F)CC1 ZINC001235338325 1130733251 /nfs/dbraw/zinc/73/32/51/1130733251.db2.gz FOCBGRDUIUBPFK-CQSZACIVSA-N 1 2 293.279 3.957 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cc(F)c(F)c(F)c2F)CC1 ZINC001235338325 1130733256 /nfs/dbraw/zinc/73/32/56/1130733256.db2.gz FOCBGRDUIUBPFK-CQSZACIVSA-N 1 2 293.279 3.957 20 0 CHADLO CCOc1cc(C[N@@H+]2CC[C@H]2c2ccccc2)c(F)cn1 ZINC001238733411 1131238411 /nfs/dbraw/zinc/23/84/11/1131238411.db2.gz ZOUXKOZXMKECGO-INIZCTEOSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1cc(C[N@H+]2CC[C@H]2c2ccccc2)c(F)cn1 ZINC001238733411 1131238412 /nfs/dbraw/zinc/23/84/12/1131238412.db2.gz ZOUXKOZXMKECGO-INIZCTEOSA-N 1 2 286.350 3.566 20 0 CHADLO Fc1cc(C[N@@H+]2CCC23CCCC3)c(F)c(F)c1F ZINC001235344169 1130735687 /nfs/dbraw/zinc/73/56/87/1130735687.db2.gz CYRQNPVRGNXDFL-UHFFFAOYSA-N 1 2 273.273 3.762 20 0 CHADLO Fc1cc(C[N@H+]2CCC23CCCC3)c(F)c(F)c1F ZINC001235344169 1130735692 /nfs/dbraw/zinc/73/56/92/1130735692.db2.gz CYRQNPVRGNXDFL-UHFFFAOYSA-N 1 2 273.273 3.762 20 0 CHADLO CC[N@H+](Cc1cn(C)nc1Cl)Cc1cccc(Cl)c1 ZINC001118810784 1131238666 /nfs/dbraw/zinc/23/86/66/1131238666.db2.gz YDSNFKYQULYGJV-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1cn(C)nc1Cl)Cc1cccc(Cl)c1 ZINC001118810784 1131238668 /nfs/dbraw/zinc/23/86/68/1131238668.db2.gz YDSNFKYQULYGJV-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO COc1ccc([C@H](C)NC(=O)c2c(C)cc(C)[nH+]c2C)cc1 ZINC000905941668 1126922621 /nfs/dbraw/zinc/92/26/21/1126922621.db2.gz FWQXURURXVVPGZ-ZDUSSCGKSA-N 1 2 298.386 3.506 20 0 CHADLO C[C@@H](C1CC1)[N@@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000782194695 1130760234 /nfs/dbraw/zinc/76/02/34/1130760234.db2.gz UBXFTLRJBJMBGN-BONVTDFDSA-N 1 2 283.774 3.651 20 0 CHADLO C[C@@H](C1CC1)[N@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000782194695 1130760238 /nfs/dbraw/zinc/76/02/38/1130760238.db2.gz UBXFTLRJBJMBGN-BONVTDFDSA-N 1 2 283.774 3.651 20 0 CHADLO OC[C@H]1CCC[N@@H+]1Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235590702 1130757056 /nfs/dbraw/zinc/75/70/56/1130757056.db2.gz KNGCHXMWPNZOHL-SECBINFHSA-N 1 2 294.609 3.604 20 0 CHADLO OC[C@H]1CCC[N@H+]1Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235590702 1130757063 /nfs/dbraw/zinc/75/70/63/1130757063.db2.gz KNGCHXMWPNZOHL-SECBINFHSA-N 1 2 294.609 3.604 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1cn(C2CC2)nn1 ZINC000906290822 1126945177 /nfs/dbraw/zinc/94/51/77/1126945177.db2.gz QIDPZBQSSZGBHF-LBPRGKRZSA-N 1 2 296.374 3.518 20 0 CHADLO CCOc1ccccc1[C@H](CC(C)C)[NH2+]Cc1cocn1 ZINC000192321610 1126961072 /nfs/dbraw/zinc/96/10/72/1126961072.db2.gz HROVVBJUDZKQCF-INIZCTEOSA-N 1 2 288.391 3.950 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(C)c(Cl)c2)no1 ZINC000193723095 1126970294 /nfs/dbraw/zinc/97/02/94/1126970294.db2.gz BBOORTOBZXCQAV-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(C)c(Cl)c2)no1 ZINC000193723095 1126970299 /nfs/dbraw/zinc/97/02/99/1126970299.db2.gz BBOORTOBZXCQAV-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cnc(C[N@H+](Cc2cccc(F)c2)C(C)C)o1 ZINC000266533048 1126984350 /nfs/dbraw/zinc/98/43/50/1126984350.db2.gz MXDPCWVMHWREHR-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2cccc(F)c2)C(C)C)o1 ZINC000266533048 1126984353 /nfs/dbraw/zinc/98/43/53/1126984353.db2.gz MXDPCWVMHWREHR-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO C[C@@H](c1ccc(OC(F)F)cc1)[N@H+](C)Cc1nccs1 ZINC000266962144 1126990952 /nfs/dbraw/zinc/99/09/52/1126990952.db2.gz XZVJEKCSNOIICT-JTQLQIEISA-N 1 2 298.358 3.938 20 0 CHADLO C[C@@H](c1ccc(OC(F)F)cc1)[N@@H+](C)Cc1nccs1 ZINC000266962144 1126990958 /nfs/dbraw/zinc/99/09/58/1126990958.db2.gz XZVJEKCSNOIICT-JTQLQIEISA-N 1 2 298.358 3.938 20 0 CHADLO Clc1scc(C[N@@H+]2CC[C@H]3C[C@H]3C2)c1Cl ZINC000668677423 1127000498 /nfs/dbraw/zinc/00/04/98/1127000498.db2.gz OXTFYUDTJJRXDN-YUMQZZPRSA-N 1 2 262.205 3.897 20 0 CHADLO Clc1scc(C[N@H+]2CC[C@H]3C[C@H]3C2)c1Cl ZINC000668677423 1127000502 /nfs/dbraw/zinc/00/05/02/1127000502.db2.gz OXTFYUDTJJRXDN-YUMQZZPRSA-N 1 2 262.205 3.897 20 0 CHADLO Cc1cnc(C[N@@H+]2CCCC[C@H]2c2ccc(F)cc2)o1 ZINC000267610452 1127002933 /nfs/dbraw/zinc/00/29/33/1127002933.db2.gz BHWXSFGSIVBQTK-HNNXBMFYSA-N 1 2 274.339 3.849 20 0 CHADLO Cc1cnc(C[N@H+]2CCCC[C@H]2c2ccc(F)cc2)o1 ZINC000267610452 1127002936 /nfs/dbraw/zinc/00/29/36/1127002936.db2.gz BHWXSFGSIVBQTK-HNNXBMFYSA-N 1 2 274.339 3.849 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2nc(C3CC3)no2)ccc1F ZINC000267704801 1127004650 /nfs/dbraw/zinc/00/46/50/1127004650.db2.gz RZSJLKYPKGWYSC-GHMZBOCLSA-N 1 2 289.354 3.806 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+]Cc2ccc(Cl)cc2)s1 ZINC000268187498 1127012997 /nfs/dbraw/zinc/01/29/97/1127012997.db2.gz JWSAUSNNNLOZKP-UHFFFAOYSA-N 1 2 295.839 3.779 20 0 CHADLO Cc1ccc(-c2ccc(N)[nH+]c2)cc1C(F)(F)F ZINC001236313707 1130911912 /nfs/dbraw/zinc/91/19/12/1130911912.db2.gz XMHCQHKPQJOBJD-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO Cc1nc(N[C@H]2CCC[C@H](c3ccccc3)C2)cc[nH+]1 ZINC000487127721 1127019380 /nfs/dbraw/zinc/01/93/80/1127019380.db2.gz ASBQSTPVSWAJAH-HOTGVXAUSA-N 1 2 267.376 3.923 20 0 CHADLO Cc1nc(N[C@@H]2CCC[C@@H](c3ccccc3)C2)cc[nH+]1 ZINC000487127724 1127019535 /nfs/dbraw/zinc/01/95/35/1127019535.db2.gz ASBQSTPVSWAJAH-HZPDHXFCSA-N 1 2 267.376 3.923 20 0 CHADLO C[C@H](Nc1ccccc1OCCn1cc[nH+]c1)[C@H]1CC1(C)C ZINC000487280093 1127028478 /nfs/dbraw/zinc/02/84/78/1127028478.db2.gz QPSQCGXVUJOMPJ-LSDHHAIUSA-N 1 2 299.418 3.809 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+]Cc1ccn(C(C)C)n1 ZINC000269024709 1127032742 /nfs/dbraw/zinc/03/27/42/1127032742.db2.gz QOZHYMJCYAHCGQ-CQSZACIVSA-N 1 2 287.407 3.714 20 0 CHADLO Cc1csc(C[NH2+][C@H]2CCc3c2c(F)ccc3F)n1 ZINC000269866586 1127046883 /nfs/dbraw/zinc/04/68/83/1127046883.db2.gz LAKKODVTEPZJQU-LBPRGKRZSA-N 1 2 280.343 3.507 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCC[C@H]2c2ccsc2)c1 ZINC000270280042 1127052766 /nfs/dbraw/zinc/05/27/66/1127052766.db2.gz MFGPQMVFHAEAPT-INIZCTEOSA-N 1 2 288.416 3.797 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1CC=C(C(C)(C)C)CC1 ZINC000270399425 1127055204 /nfs/dbraw/zinc/05/52/04/1127055204.db2.gz UYMXFOMCHYRTOJ-LLVKDONJSA-N 1 2 275.396 3.686 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1CC=C(C(C)(C)C)CC1 ZINC000270399425 1127055207 /nfs/dbraw/zinc/05/52/07/1127055207.db2.gz UYMXFOMCHYRTOJ-LLVKDONJSA-N 1 2 275.396 3.686 20 0 CHADLO CC[N@H+](Cc1c(F)cccc1F)[C@H](C)c1cccnc1 ZINC000270754603 1127061794 /nfs/dbraw/zinc/06/17/94/1127061794.db2.gz VJWVKFDSYBKBGC-GFCCVEGCSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@@H+](Cc1c(F)cccc1F)[C@H](C)c1cccnc1 ZINC000270754603 1127061796 /nfs/dbraw/zinc/06/17/96/1127061796.db2.gz VJWVKFDSYBKBGC-GFCCVEGCSA-N 1 2 276.330 3.943 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+](CC)Cc1csnn1 ZINC000271096978 1127068438 /nfs/dbraw/zinc/06/84/38/1127068438.db2.gz NGFGNQTVBZNUBL-AWEZNQCLSA-N 1 2 261.394 3.511 20 0 CHADLO CC[C@@H](c1ccccc1)[N@@H+](CC)Cc1csnn1 ZINC000271096978 1127068439 /nfs/dbraw/zinc/06/84/39/1127068439.db2.gz NGFGNQTVBZNUBL-AWEZNQCLSA-N 1 2 261.394 3.511 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2COc3c2ccc(C)c3C)cs1 ZINC000271509307 1127087925 /nfs/dbraw/zinc/08/79/25/1127087925.db2.gz SDCNGFJSMBYQSJ-BXUZGUMPSA-N 1 2 288.416 3.853 20 0 CHADLO CCn1cc([C@H](C)[NH2+][C@H](C)c2csc(C(C)C)n2)cn1 ZINC000271569508 1127093203 /nfs/dbraw/zinc/09/32/03/1127093203.db2.gz ZAYQXAJEESRWHV-NWDGAFQWSA-N 1 2 292.452 3.895 20 0 CHADLO CC(C)n1ccnc1C[NH2+][C@@H](C)c1ccccc1Cl ZINC000271684638 1127096941 /nfs/dbraw/zinc/09/69/41/1127096941.db2.gz DBKHMRJELSHCDE-LBPRGKRZSA-N 1 2 277.799 3.968 20 0 CHADLO CC(C)c1ccc(NC(=O)C[C@H]([NH3+])C(F)F)c(C(C)C)c1 ZINC000912390901 1127098124 /nfs/dbraw/zinc/09/81/24/1127098124.db2.gz NJNBYRRGZDXYOI-ZDUSSCGKSA-N 1 2 298.377 3.854 20 0 CHADLO C[N@H+](Cc1csc(C(C)(C)C)n1)[C@@H]1CC1(C)C ZINC000489469475 1127104715 /nfs/dbraw/zinc/10/47/15/1127104715.db2.gz BPTYORSIBIRVKF-LLVKDONJSA-N 1 2 252.427 3.671 20 0 CHADLO C[N@@H+](Cc1csc(C(C)(C)C)n1)[C@@H]1CC1(C)C ZINC000489469475 1127104716 /nfs/dbraw/zinc/10/47/16/1127104716.db2.gz BPTYORSIBIRVKF-LLVKDONJSA-N 1 2 252.427 3.671 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@H](C)C2CCC(F)CC2)c(C)[nH+]1 ZINC000912687896 1127107811 /nfs/dbraw/zinc/10/78/11/1127107811.db2.gz JIVIVOGAXHPJBB-LRVUVFPRSA-N 1 2 292.398 3.653 20 0 CHADLO Cc1cncc([C@@H](C)Nc2ccc([NH+](C)C)cc2C)c1 ZINC000274363081 1127114261 /nfs/dbraw/zinc/11/42/61/1127114261.db2.gz ITVGNATWFZUHCP-CQSZACIVSA-N 1 2 269.392 3.938 20 0 CHADLO CC(C)OCc1cccc(Nc2[nH+]cnc3[nH]ccc32)c1 ZINC000339133440 1127115257 /nfs/dbraw/zinc/11/52/57/1127115257.db2.gz AZNONKPBOOSJBN-UHFFFAOYSA-N 1 2 282.347 3.627 20 0 CHADLO CCC(F)(F)C(C)(C)CNC(=O)c1c(C)cc(C)[nH+]c1C ZINC000913147677 1127123302 /nfs/dbraw/zinc/12/33/02/1127123302.db2.gz REYCPQBZZGBZRE-UHFFFAOYSA-N 1 2 298.377 3.808 20 0 CHADLO Cc1nc(N(C)Cc2cccc(Cl)c2)c(C)c(C)[nH+]1 ZINC000348721752 1127125133 /nfs/dbraw/zinc/12/51/33/1127125133.db2.gz MHBHWYZXPQBTAQ-UHFFFAOYSA-N 1 2 275.783 3.692 20 0 CHADLO C[C@H]1C[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C[C@@H](C)C1 ZINC000348835289 1127130658 /nfs/dbraw/zinc/13/06/58/1127130658.db2.gz SWDUWQNGDOUOSU-FOLVSLTJSA-N 1 2 284.407 3.563 20 0 CHADLO C[C@H]([NH2+]Cc1ncnn1C(C)(C)C)c1ccc(Cl)cc1 ZINC000283341132 1127133073 /nfs/dbraw/zinc/13/30/73/1127133073.db2.gz BAKXITWEHKBQHH-NSHDSACASA-N 1 2 292.814 3.537 20 0 CHADLO CC(C)Cc1ccc(NC(=O)c2cccc3[nH+]ccn32)cc1 ZINC001127083582 1127135358 /nfs/dbraw/zinc/13/53/58/1127135358.db2.gz VPSCLWCLSVFOLL-UHFFFAOYSA-N 1 2 293.370 3.785 20 0 CHADLO C[C@H]([NH2+]Cc1c(Cl)ccc2cccnc21)c1ccon1 ZINC000349243427 1127144253 /nfs/dbraw/zinc/14/42/53/1127144253.db2.gz PMZQONYESKCMHQ-JTQLQIEISA-N 1 2 287.750 3.727 20 0 CHADLO CCn1nc(CNc2ccc(C)[nH+]c2C)c2ccccc21 ZINC000349082449 1127139619 /nfs/dbraw/zinc/13/96/19/1127139619.db2.gz LWYWFOBYFHFLRZ-UHFFFAOYSA-N 1 2 280.375 3.680 20 0 CHADLO Cc1[nH]c(CNc2ccc(Br)c(F)c2)[nH+]c1C ZINC000570986527 1127153274 /nfs/dbraw/zinc/15/32/74/1127153274.db2.gz FTTVOFMMYJOUFI-UHFFFAOYSA-N 1 2 298.159 3.540 20 0 CHADLO Clc1cnc(CNc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC000349654175 1127156528 /nfs/dbraw/zinc/15/65/28/1127156528.db2.gz JHTOLWKYRMETNC-UHFFFAOYSA-N 1 2 290.779 3.594 20 0 CHADLO CCC[N@H+](C[C@H]1C[C@]12CCOC2)c1ccc(CC)cc1 ZINC001307779026 1127160774 /nfs/dbraw/zinc/16/07/74/1127160774.db2.gz NWQFOMFCTQFYTD-AEFFLSMTSA-N 1 2 273.420 3.892 20 0 CHADLO CCC[N@@H+](C[C@H]1C[C@]12CCOC2)c1ccc(CC)cc1 ZINC001307779026 1127160775 /nfs/dbraw/zinc/16/07/75/1127160775.db2.gz NWQFOMFCTQFYTD-AEFFLSMTSA-N 1 2 273.420 3.892 20 0 CHADLO Cn1c[nH+]cc1CSc1cc(Cl)ccc1F ZINC000349818376 1127162793 /nfs/dbraw/zinc/16/27/93/1127162793.db2.gz IQVMKIGYXZFGAF-UHFFFAOYSA-N 1 2 256.733 3.505 20 0 CHADLO Cc1nc(N[C@@H]2C[C@H](OCc3ccccc3)C2(C)C)cc[nH+]1 ZINC000351566268 1127193961 /nfs/dbraw/zinc/19/39/61/1127193961.db2.gz YYJRFRSECMXWBZ-CVEARBPZSA-N 1 2 297.402 3.581 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CCCC2)s1)c1ccon1 ZINC000351573349 1127195517 /nfs/dbraw/zinc/19/55/17/1127195517.db2.gz JAPCUIUONDTKEV-JTQLQIEISA-N 1 2 277.393 3.640 20 0 CHADLO CCCCN(CCCC)C(=O)C[N@H+](C)Cc1ccsc1 ZINC000588928604 1127207590 /nfs/dbraw/zinc/20/75/90/1127207590.db2.gz JUFCADPYOUBDFU-UHFFFAOYSA-N 1 2 296.480 3.609 20 0 CHADLO CCCCN(CCCC)C(=O)C[N@@H+](C)Cc1ccsc1 ZINC000588928604 1127207593 /nfs/dbraw/zinc/20/75/93/1127207593.db2.gz JUFCADPYOUBDFU-UHFFFAOYSA-N 1 2 296.480 3.609 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001237731368 1131133441 /nfs/dbraw/zinc/13/34/41/1131133441.db2.gz PERRFESUKGQFNQ-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001237731368 1131133446 /nfs/dbraw/zinc/13/34/46/1131133446.db2.gz PERRFESUKGQFNQ-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001237731371 1131133918 /nfs/dbraw/zinc/13/39/18/1131133918.db2.gz PERRFESUKGQFNQ-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001237731371 1131133921 /nfs/dbraw/zinc/13/39/21/1131133921.db2.gz PERRFESUKGQFNQ-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc([C@@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)no1 ZINC000285276555 1127221770 /nfs/dbraw/zinc/22/17/70/1127221770.db2.gz RBDNXBVRDZMCIF-GFCCVEGCSA-N 1 2 271.364 3.539 20 0 CHADLO Cc1cc([C@@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)no1 ZINC000285276555 1127221772 /nfs/dbraw/zinc/22/17/72/1127221772.db2.gz RBDNXBVRDZMCIF-GFCCVEGCSA-N 1 2 271.364 3.539 20 0 CHADLO Clc1ccc(-c2ccc(C[NH2+]Cc3ncc[nH]3)o2)cc1 ZINC000589831750 1127236372 /nfs/dbraw/zinc/23/63/72/1127236372.db2.gz NLSVCASGQIIJMS-UHFFFAOYSA-N 1 2 287.750 3.613 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2occc21)c1c(F)cncc1F ZINC000285740397 1127242311 /nfs/dbraw/zinc/24/23/11/1127242311.db2.gz RHSMNYCLAURPAC-NOZJJQNGSA-N 1 2 278.302 3.681 20 0 CHADLO CCC[N@@H+](Cc1cccc2cc[nH]c21)CC(F)F ZINC000352923337 1127251272 /nfs/dbraw/zinc/25/12/72/1127251272.db2.gz BALYTZLZLBMSLT-UHFFFAOYSA-N 1 2 252.308 3.645 20 0 CHADLO CCC[N@H+](Cc1cccc2cc[nH]c21)CC(F)F ZINC000352923337 1127251275 /nfs/dbraw/zinc/25/12/75/1127251275.db2.gz BALYTZLZLBMSLT-UHFFFAOYSA-N 1 2 252.308 3.645 20 0 CHADLO c1c[nH]c(C[N@H+]2CC=C(c3cccc4ccccc43)CC2)n1 ZINC000352942994 1127253258 /nfs/dbraw/zinc/25/32/58/1127253258.db2.gz BMDKDAMYSXGSNW-UHFFFAOYSA-N 1 2 289.382 3.852 20 0 CHADLO c1c[nH]c(C[N@@H+]2CC=C(c3cccc4ccccc43)CC2)n1 ZINC000352942994 1127253261 /nfs/dbraw/zinc/25/32/61/1127253261.db2.gz BMDKDAMYSXGSNW-UHFFFAOYSA-N 1 2 289.382 3.852 20 0 CHADLO C[NH2+]c1ccc(NCc2ccc(N(C)C(C)C)nc2)cc1 ZINC000629656017 1127254553 /nfs/dbraw/zinc/25/45/53/1127254553.db2.gz MNSWFGXGIJEVAI-UHFFFAOYSA-N 1 2 284.407 3.580 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+]Cc2csc(-c3ccco3)n2)c1 ZINC000286038987 1127255565 /nfs/dbraw/zinc/25/55/65/1127255565.db2.gz KLIYMEDNCUACBZ-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ccccc2)C2CCCCC2)no1 ZINC000224544541 1127267624 /nfs/dbraw/zinc/26/76/24/1127267624.db2.gz FOPJDSFBPAHZBO-QGZVFWFLSA-N 1 2 285.391 3.789 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+][C@@H](C)c2ccncc2Cl)c1 ZINC000817585408 1131172624 /nfs/dbraw/zinc/17/26/24/1131172624.db2.gz LZNFKQDEHPNXPT-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO CC(=O)c1cc(C[N@H+](Cc2ccc(C)c(C)c2)C2CC2)on1 ZINC000573016205 1127280414 /nfs/dbraw/zinc/28/04/14/1127280414.db2.gz KCPLIJKFYXYLNK-UHFFFAOYSA-N 1 2 298.386 3.659 20 0 CHADLO CC(=O)c1cc(C[N@@H+](Cc2ccc(C)c(C)c2)C2CC2)on1 ZINC000573016205 1127280415 /nfs/dbraw/zinc/28/04/15/1127280415.db2.gz KCPLIJKFYXYLNK-UHFFFAOYSA-N 1 2 298.386 3.659 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(F)ccc(F)c3C2)s1 ZINC000354016981 1127285620 /nfs/dbraw/zinc/28/56/20/1127285620.db2.gz FTORAVDCRGJYCO-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(F)ccc(F)c3C2)s1 ZINC000354016981 1127285619 /nfs/dbraw/zinc/28/56/19/1127285619.db2.gz FTORAVDCRGJYCO-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](C3CCC3)C2)c(C)[nH+]1 ZINC000669397622 1127286848 /nfs/dbraw/zinc/28/68/48/1127286848.db2.gz MMQITVDZEOWRDY-HNNXBMFYSA-N 1 2 287.407 3.661 20 0 CHADLO Cc1ccc(-c2ncc(C[N@H+](C)Cc3ccc[nH]3)s2)o1 ZINC000354032501 1127287824 /nfs/dbraw/zinc/28/78/24/1127287824.db2.gz AXVOFKYPZVFSFE-UHFFFAOYSA-N 1 2 287.388 3.672 20 0 CHADLO Cc1ccc(-c2ncc(C[N@@H+](C)Cc3ccc[nH]3)s2)o1 ZINC000354032501 1127287826 /nfs/dbraw/zinc/28/78/26/1127287826.db2.gz AXVOFKYPZVFSFE-UHFFFAOYSA-N 1 2 287.388 3.672 20 0 CHADLO Cc1oc2ccccc2c1C[N@@H+](C)[C@@H](C)C(=O)OC(C)C ZINC000354043402 1127288322 /nfs/dbraw/zinc/28/83/22/1127288322.db2.gz XQGAFADNJPPNJI-LBPRGKRZSA-N 1 2 289.375 3.513 20 0 CHADLO Cc1oc2ccccc2c1C[N@H+](C)[C@@H](C)C(=O)OC(C)C ZINC000354043402 1127288324 /nfs/dbraw/zinc/28/83/24/1127288324.db2.gz XQGAFADNJPPNJI-LBPRGKRZSA-N 1 2 289.375 3.513 20 0 CHADLO C[C@H](Nc1cc[nH+]c2c(Cl)cccc12)[C@H]1CCOC1 ZINC000287156455 1127293468 /nfs/dbraw/zinc/29/34/68/1127293468.db2.gz FKTFFUHJBKZDOZ-QWRGUYRKSA-N 1 2 276.767 3.725 20 0 CHADLO CCc1cccc(NC(=O)C[C@H](C)n2cc[nH+]c2)c1CC ZINC000573210458 1127298306 /nfs/dbraw/zinc/29/83/06/1127298306.db2.gz KLOODBDTAJUHQN-ZDUSSCGKSA-N 1 2 285.391 3.598 20 0 CHADLO C[C@@H]1COCC[C@@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000287566365 1127310359 /nfs/dbraw/zinc/31/03/59/1127310359.db2.gz CWOCOMJAINHEGF-MFKMUULPSA-N 1 2 276.767 3.725 20 0 CHADLO CCOc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c(Cl)c1 ZINC001238395480 1131195433 /nfs/dbraw/zinc/19/54/33/1131195433.db2.gz PJNUPLBAMHZCBR-WHOFXGATSA-N 1 2 283.774 3.529 20 0 CHADLO CCOc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c(Cl)c1 ZINC001238395480 1131195438 /nfs/dbraw/zinc/19/54/38/1131195438.db2.gz PJNUPLBAMHZCBR-WHOFXGATSA-N 1 2 283.774 3.529 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccccc1F ZINC000225296626 1127312454 /nfs/dbraw/zinc/31/24/54/1127312454.db2.gz VMMQANFTJLQSSF-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1ccc2[nH+]cc(CN(CC(F)F)CC3CCC3)n2c1 ZINC000355112124 1127318261 /nfs/dbraw/zinc/31/82/61/1127318261.db2.gz NANRBQGQNKTRNS-UHFFFAOYSA-N 1 2 293.361 3.510 20 0 CHADLO Fc1ccc2c(c1F)C[N@@H+](Cc1cccs1)CC2 ZINC000355203116 1127325415 /nfs/dbraw/zinc/32/54/15/1127325415.db2.gz DFTDVHCSBJKKOQ-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1ccc2c(c1F)C[N@H+](Cc1cccs1)CC2 ZINC000355203116 1127325417 /nfs/dbraw/zinc/32/54/17/1127325417.db2.gz DFTDVHCSBJKKOQ-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2COCc3ccccc32)c(C)s1 ZINC000669426440 1127327034 /nfs/dbraw/zinc/32/70/34/1127327034.db2.gz CULFQBXESGHROO-ZUZCIYMTSA-N 1 2 288.416 3.682 20 0 CHADLO COC[C@H]([NH2+]CC(F)(F)c1ccccc1)C1CCCC1 ZINC000573766263 1127328215 /nfs/dbraw/zinc/32/82/15/1127328215.db2.gz GLYQRGKOEOBDAR-HNNXBMFYSA-N 1 2 283.362 3.573 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC000046055836 1127328507 /nfs/dbraw/zinc/32/85/07/1127328507.db2.gz ZTTFYLJHKGNJAV-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC000046055836 1127328510 /nfs/dbraw/zinc/32/85/10/1127328510.db2.gz ZTTFYLJHKGNJAV-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000355379607 1127337934 /nfs/dbraw/zinc/33/79/34/1127337934.db2.gz UMMVPTUICSHZKM-CYBMUJFWSA-N 1 2 299.418 3.875 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000355379607 1127337936 /nfs/dbraw/zinc/33/79/36/1127337936.db2.gz UMMVPTUICSHZKM-CYBMUJFWSA-N 1 2 299.418 3.875 20 0 CHADLO Clc1ccccc1OCC[NH+]1Cc2ccccc2C1 ZINC000592318132 1127342376 /nfs/dbraw/zinc/34/23/76/1127342376.db2.gz WZFGJDWTPHWLQV-UHFFFAOYSA-N 1 2 273.763 3.735 20 0 CHADLO CCOC[C@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000288560507 1127346928 /nfs/dbraw/zinc/34/69/28/1127346928.db2.gz WEOLGORJLNVUIG-LBPRGKRZSA-N 1 2 290.794 3.751 20 0 CHADLO CC(C)n1cc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)nn1 ZINC000288999555 1127369520 /nfs/dbraw/zinc/36/95/20/1127369520.db2.gz DWICOJPCYYUWBQ-LBPRGKRZSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1cc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)nn1 ZINC000288999555 1127369523 /nfs/dbraw/zinc/36/95/23/1127369523.db2.gz DWICOJPCYYUWBQ-LBPRGKRZSA-N 1 2 292.814 3.705 20 0 CHADLO CCC(CC)N(CC)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000289126703 1127374251 /nfs/dbraw/zinc/37/42/51/1127374251.db2.gz MWQCHWZRFUCMDC-UHFFFAOYSA-N 1 2 285.391 3.523 20 0 CHADLO C[C@@H](O)c1ncc(C[N@H+]([C@@H](C)c2ccco2)C2CC2)s1 ZINC000289341945 1127381684 /nfs/dbraw/zinc/38/16/84/1127381684.db2.gz LYGYXELADCNEBC-WDEREUQCSA-N 1 2 292.404 3.515 20 0 CHADLO C[C@@H](O)c1ncc(C[N@@H+]([C@@H](C)c2ccco2)C2CC2)s1 ZINC000289341945 1127381688 /nfs/dbraw/zinc/38/16/88/1127381688.db2.gz LYGYXELADCNEBC-WDEREUQCSA-N 1 2 292.404 3.515 20 0 CHADLO CC/C=C/CC[N@@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000466825504 1127384844 /nfs/dbraw/zinc/38/48/44/1127384844.db2.gz NAQGWSIAJZTVPO-CWDCEQMOSA-N 1 2 281.346 3.694 20 0 CHADLO CC/C=C/CC[N@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000466825504 1127384848 /nfs/dbraw/zinc/38/48/48/1127384848.db2.gz NAQGWSIAJZTVPO-CWDCEQMOSA-N 1 2 281.346 3.694 20 0 CHADLO CC[N@H+](Cc1c(F)cccc1Br)C1CC1 ZINC000357292455 1127389280 /nfs/dbraw/zinc/38/92/80/1127389280.db2.gz GFLITTZNBWYLBP-UHFFFAOYSA-N 1 2 272.161 3.573 20 0 CHADLO CC[N@@H+](Cc1c(F)cccc1Br)C1CC1 ZINC000357292455 1127389283 /nfs/dbraw/zinc/38/92/83/1127389283.db2.gz GFLITTZNBWYLBP-UHFFFAOYSA-N 1 2 272.161 3.573 20 0 CHADLO CCOCCC[N@@H+]1C[C@@H](c2ccccc2Cl)OC[C@@H]1C ZINC000357694759 1127393127 /nfs/dbraw/zinc/39/31/27/1127393127.db2.gz DDNGIPMLJLYYLM-BBRMVZONSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCC[N@H+]1C[C@@H](c2ccccc2Cl)OC[C@@H]1C ZINC000357694759 1127393131 /nfs/dbraw/zinc/39/31/31/1127393131.db2.gz DDNGIPMLJLYYLM-BBRMVZONSA-N 1 2 297.826 3.528 20 0 CHADLO CC(C)Sc1ccc(C[N@H+](C)Cc2cc[nH]n2)cc1 ZINC000358067650 1127400442 /nfs/dbraw/zinc/40/04/42/1127400442.db2.gz PMPPVXGZYWNNDR-UHFFFAOYSA-N 1 2 275.421 3.542 20 0 CHADLO CC(C)Sc1ccc(C[N@@H+](C)Cc2cc[nH]n2)cc1 ZINC000358067650 1127400444 /nfs/dbraw/zinc/40/04/44/1127400444.db2.gz PMPPVXGZYWNNDR-UHFFFAOYSA-N 1 2 275.421 3.542 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2c(C)cccc2C)c(C)[nH+]1 ZINC000358131820 1127403878 /nfs/dbraw/zinc/40/38/78/1127403878.db2.gz XIBFDQZHAUVFNA-HXUWFJFHSA-N 1 2 287.428 3.764 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)c(Cl)c2)[nH]1 ZINC000358235499 1127409544 /nfs/dbraw/zinc/40/95/44/1127409544.db2.gz IOURILSGQSWBIX-DTWKUNHWSA-N 1 2 296.777 3.571 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CC[C@@H](C(F)(F)F)C2)n1 ZINC000761054659 1127412312 /nfs/dbraw/zinc/41/23/12/1127412312.db2.gz TVWFBMXYCHUOAW-LLVKDONJSA-N 1 2 289.345 3.628 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CC[C@@H](C(F)(F)F)C2)n1 ZINC000761054659 1127412314 /nfs/dbraw/zinc/41/23/14/1127412314.db2.gz TVWFBMXYCHUOAW-LLVKDONJSA-N 1 2 289.345 3.628 20 0 CHADLO Cc1nc(C)c([C@@H](C)[NH2+]Cc2nnc(C(C)C)s2)s1 ZINC000358288750 1127413413 /nfs/dbraw/zinc/41/34/13/1127413413.db2.gz OCXHCMSTRCTQIH-MRVPVSSYSA-N 1 2 296.465 3.586 20 0 CHADLO Cc1scc(C(=O)Nc2ccccc2-n2cc[nH+]c2)c1C ZINC000358544478 1127426001 /nfs/dbraw/zinc/42/60/01/1127426001.db2.gz MDOSFVKYUCJLOY-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@@H+](Cc1nccs1)[C@@H]2C ZINC000359278003 1127455943 /nfs/dbraw/zinc/45/59/43/1127455943.db2.gz AKVQXNMMWLOKCM-NWDGAFQWSA-N 1 2 288.416 3.660 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@H+](Cc1nccs1)[C@@H]2C ZINC000359278003 1127455944 /nfs/dbraw/zinc/45/59/44/1127455944.db2.gz AKVQXNMMWLOKCM-NWDGAFQWSA-N 1 2 288.416 3.660 20 0 CHADLO CC[C@@H](C)CC(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000359426840 1127463302 /nfs/dbraw/zinc/46/33/02/1127463302.db2.gz HISGFBVQQRLFGG-LLVKDONJSA-N 1 2 291.782 3.900 20 0 CHADLO Cc1ccc(C[S@@](=O)C[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000359400867 1127461967 /nfs/dbraw/zinc/46/19/67/1127461967.db2.gz DLQJERFRDFYKLR-RBZFPXEDSA-N 1 2 287.428 3.751 20 0 CHADLO FC1=CCC[N@H+](Cc2cccc(OCC(F)(F)F)c2)C1 ZINC000359514739 1127465575 /nfs/dbraw/zinc/46/55/75/1127465575.db2.gz KWQPNOJYRPUSCU-UHFFFAOYSA-N 1 2 289.272 3.687 20 0 CHADLO FC1=CCC[N@@H+](Cc2cccc(OCC(F)(F)F)c2)C1 ZINC000359514739 1127465578 /nfs/dbraw/zinc/46/55/78/1127465578.db2.gz KWQPNOJYRPUSCU-UHFFFAOYSA-N 1 2 289.272 3.687 20 0 CHADLO COC(=O)c1ccccc1C[N@@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000595702196 1127473013 /nfs/dbraw/zinc/47/30/13/1127473013.db2.gz HJHYBSAGJFFAPG-QGZVFWFLSA-N 1 2 299.345 3.559 20 0 CHADLO COC(=O)c1ccccc1C[N@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000595702196 1127473017 /nfs/dbraw/zinc/47/30/17/1127473017.db2.gz HJHYBSAGJFFAPG-QGZVFWFLSA-N 1 2 299.345 3.559 20 0 CHADLO Clc1sccc1CSCCn1cc[nH+]c1 ZINC000596389227 1127496106 /nfs/dbraw/zinc/49/61/06/1127496106.db2.gz AABDECPLOAXAGW-UHFFFAOYSA-N 1 2 258.799 3.531 20 0 CHADLO C[C@@H](C[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)c1ccccc1 ZINC000293593074 1127507427 /nfs/dbraw/zinc/50/74/27/1127507427.db2.gz ZGZNPSKJGMTNTK-WMLDXEAASA-N 1 2 298.434 3.819 20 0 CHADLO CCOc1cccc2cc([C@H](C)Nc3cc[nH+]c(C)n3)oc21 ZINC000361161620 1127543295 /nfs/dbraw/zinc/54/32/95/1127543295.db2.gz LMEIRFSDVFWJDK-NSHDSACASA-N 1 2 297.358 3.525 20 0 CHADLO C[N@H+](Cc1cncc(C(F)(F)F)c1)Cc1ccccc1F ZINC000361284838 1127553255 /nfs/dbraw/zinc/55/32/55/1127553255.db2.gz AXDQUQGTGZQGEA-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cncc(C(F)(F)F)c1)Cc1ccccc1F ZINC000361284838 1127553257 /nfs/dbraw/zinc/55/32/57/1127553257.db2.gz AXDQUQGTGZQGEA-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO CC(C)c1cc(NC2CCC(C)(O)CC2)nc(C(C)C)[nH+]1 ZINC000761228846 1127553773 /nfs/dbraw/zinc/55/37/73/1127553773.db2.gz ICNBZOOEDGZVBB-UHFFFAOYSA-N 1 2 291.439 3.829 20 0 CHADLO Cc1cc2c(ncnc2Nc2ccc3c(c2)[nH+]cn3C)s1 ZINC000761234348 1127556525 /nfs/dbraw/zinc/55/65/25/1127556525.db2.gz MVIXMJVSDXFYNW-UHFFFAOYSA-N 1 2 295.371 3.630 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3cc(C)cc(F)c3)cc2[nH+]1 ZINC000361463532 1127564405 /nfs/dbraw/zinc/56/44/05/1127564405.db2.gz MLDLPGKGFWKBBP-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO Cc1cc(C)c(NC(=O)COC2CCC(C)CC2)c(C)[nH+]1 ZINC000361530660 1127570152 /nfs/dbraw/zinc/57/01/52/1127570152.db2.gz ZZIKOTVYIITATC-UHFFFAOYSA-N 1 2 290.407 3.541 20 0 CHADLO CCCOc1ccc(C(C)(C)[NH2+]Cc2ncc(C)cn2)cc1 ZINC000361572495 1127573763 /nfs/dbraw/zinc/57/37/63/1127573763.db2.gz TZPMMNMWTISLBT-UHFFFAOYSA-N 1 2 299.418 3.599 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@@H+]2CCC(C)=C(C)C2)cs1 ZINC000361621899 1127576997 /nfs/dbraw/zinc/57/69/97/1127576997.db2.gz XLCRJIQXBXZTRF-ZDUSSCGKSA-N 1 2 280.437 3.783 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@H+]2CCC(C)=C(C)C2)cs1 ZINC000361621899 1127577001 /nfs/dbraw/zinc/57/70/01/1127577001.db2.gz XLCRJIQXBXZTRF-ZDUSSCGKSA-N 1 2 280.437 3.783 20 0 CHADLO CCc1ccc([C@H]2C[N@H+](Cc3ccc(C)nc3)CCO2)cc1 ZINC000361645140 1127579108 /nfs/dbraw/zinc/57/91/08/1127579108.db2.gz CFLORTNZBCEPTD-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@H]2C[N@@H+](Cc3ccc(C)nc3)CCO2)cc1 ZINC000361645140 1127579112 /nfs/dbraw/zinc/57/91/12/1127579112.db2.gz CFLORTNZBCEPTD-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1cccc3nccn31)C2 ZINC000361738554 1127584967 /nfs/dbraw/zinc/58/49/67/1127584967.db2.gz YIRSRFJXIDVPEF-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1cccc3nccn31)C2 ZINC000361738554 1127584969 /nfs/dbraw/zinc/58/49/69/1127584969.db2.gz YIRSRFJXIDVPEF-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)CCN(Cc1cccc3[nH+]ccn31)C2 ZINC000361738554 1127584973 /nfs/dbraw/zinc/58/49/73/1127584973.db2.gz YIRSRFJXIDVPEF-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1nc2cc(C)ccc2[nH]1 ZINC000361804763 1127589904 /nfs/dbraw/zinc/58/99/04/1127589904.db2.gz OVNNRHGJCHGERA-ZDUSSCGKSA-N 1 2 295.386 3.731 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1nc2ccc(C)cc2[nH]1 ZINC000361804763 1127589907 /nfs/dbraw/zinc/58/99/07/1127589907.db2.gz OVNNRHGJCHGERA-ZDUSSCGKSA-N 1 2 295.386 3.731 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)[C@@H]2CC2(F)F)c1 ZINC000602575187 1127595961 /nfs/dbraw/zinc/59/59/61/1127595961.db2.gz CTYQNTBQUHOMCN-ONGXEEELSA-N 1 2 272.364 3.790 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cc(F)ncc1F)c1nc(C)cs1 ZINC000294918767 1127608248 /nfs/dbraw/zinc/60/82/48/1127608248.db2.gz APZDHPDWDJZOEH-CQSZACIVSA-N 1 2 297.374 3.540 20 0 CHADLO CC1(C)CN(Cc2cccc3[nH+]ccn32)c2ccccc2O1 ZINC000362091358 1127611020 /nfs/dbraw/zinc/61/10/20/1127611020.db2.gz UFXBUBMDGRMLAG-UHFFFAOYSA-N 1 2 293.370 3.512 20 0 CHADLO Cc1nc(N[C@@H]2C[C@H]2c2cccc3ccccc32)cc[nH+]1 ZINC000362373645 1127631020 /nfs/dbraw/zinc/63/10/20/1127631020.db2.gz NRMGOSZAKZQLFS-DLBZAZTESA-N 1 2 275.355 3.906 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC=C(c3ccccc3)C2)s1 ZINC000295385537 1127640007 /nfs/dbraw/zinc/64/00/07/1127640007.db2.gz QKJDKZQYQTZNDZ-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC=C(c3ccccc3)C2)s1 ZINC000295385537 1127640010 /nfs/dbraw/zinc/64/00/10/1127640010.db2.gz QKJDKZQYQTZNDZ-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO CCN(CC)C(=S)SCc1cn2cc(C)ccc2[nH+]1 ZINC000061062285 1127643424 /nfs/dbraw/zinc/64/34/24/1127643424.db2.gz VAONPUMSJHVJOU-UHFFFAOYSA-N 1 2 293.461 3.503 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2cccc(C3CC3)c2)n1 ZINC000295553036 1127646037 /nfs/dbraw/zinc/64/60/37/1127646037.db2.gz XFKYISIYTKTYAM-RYUDHWBXSA-N 1 2 285.391 3.921 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1cc(-c3ccco3)on1)C2 ZINC000170922503 1127665964 /nfs/dbraw/zinc/66/59/64/1127665964.db2.gz HVZHHKFLMXVUQO-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1cc(-c3ccco3)on1)C2 ZINC000170922503 1127665967 /nfs/dbraw/zinc/66/59/67/1127665967.db2.gz HVZHHKFLMXVUQO-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1cc(Cl)c(NC(=O)[C@H]2CCC[N@@H+]2C2CC2)cc1C ZINC000296292186 1127667901 /nfs/dbraw/zinc/66/79/01/1127667901.db2.gz XXFJQWHHUPVUPU-OAHLLOKOSA-N 1 2 292.810 3.522 20 0 CHADLO Cc1cc(Cl)c(NC(=O)[C@H]2CCC[N@H+]2C2CC2)cc1C ZINC000296292186 1127667902 /nfs/dbraw/zinc/66/79/02/1127667902.db2.gz XXFJQWHHUPVUPU-OAHLLOKOSA-N 1 2 292.810 3.522 20 0 CHADLO COc1cccc([C@H](C)[NH2+]Cc2csc(Cl)n2)c1 ZINC000296313739 1127668744 /nfs/dbraw/zinc/66/87/44/1127668744.db2.gz NTAMFHNHWOLTKB-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CC[C@H](CC(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000603881847 1127679683 /nfs/dbraw/zinc/67/96/83/1127679683.db2.gz SQDYHARZUXPBSA-CQSZACIVSA-N 1 2 293.370 3.857 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1csc2ccccc12 ZINC000603882304 1127680617 /nfs/dbraw/zinc/68/06/17/1127680617.db2.gz POTWZTHBQUTRJG-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2cccc(C)c2F)[nH]1 ZINC000296966823 1127686780 /nfs/dbraw/zinc/68/67/80/1127686780.db2.gz URJCKSKBITVHIG-JQWIXIFHSA-N 1 2 290.386 3.617 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C2CC2)ccc1F)c1nccn1C ZINC000297271144 1127692753 /nfs/dbraw/zinc/69/27/53/1127692753.db2.gz KQTSGRJWIWQPNC-INIZCTEOSA-N 1 2 287.382 3.678 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1ccc(C2CC2)cc1F ZINC000297304830 1127693041 /nfs/dbraw/zinc/69/30/41/1127693041.db2.gz MMRGMASZGGRLQD-LBPRGKRZSA-N 1 2 287.382 3.770 20 0 CHADLO COCc1nc(C[NH2+][C@@H](C)c2csc(C)c2)cs1 ZINC000297296158 1127693367 /nfs/dbraw/zinc/69/33/67/1127693367.db2.gz MBQUILMYNDCNBZ-JTQLQIEISA-N 1 2 282.434 3.510 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[N@@H+]1CCC[C@@H]1CF ZINC000297406055 1127695729 /nfs/dbraw/zinc/69/57/29/1127695729.db2.gz KYZZOVJVZUDMLE-GFCCVEGCSA-N 1 2 293.411 3.516 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[N@H+]1CCC[C@@H]1CF ZINC000297406055 1127695731 /nfs/dbraw/zinc/69/57/31/1127695731.db2.gz KYZZOVJVZUDMLE-GFCCVEGCSA-N 1 2 293.411 3.516 20 0 CHADLO Cc1oncc1C[N@@H+]1CCCC[C@@H]1c1ccc(F)cc1F ZINC000364609464 1127706329 /nfs/dbraw/zinc/70/63/29/1127706329.db2.gz YMZVODLTZLIGKK-MRXNPFEDSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1oncc1C[N@H+]1CCCC[C@@H]1c1ccc(F)cc1F ZINC000364609464 1127706331 /nfs/dbraw/zinc/70/63/31/1127706331.db2.gz YMZVODLTZLIGKK-MRXNPFEDSA-N 1 2 292.329 3.988 20 0 CHADLO CC1CC[NH+](Cc2nc(Cl)ccc2Cl)CC1 ZINC000061630304 1127707089 /nfs/dbraw/zinc/70/70/89/1127707089.db2.gz IOYIOHQEKGCGAJ-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO C[C@@H](Cc1ccsc1)[N@@H+]1CCCC[C@@H]1C(F)F ZINC000669716656 1127708382 /nfs/dbraw/zinc/70/83/82/1127708382.db2.gz HMMRPLDNEIOIDG-CMPLNLGQSA-N 1 2 259.365 3.799 20 0 CHADLO C[C@@H](Cc1ccsc1)[N@H+]1CCCC[C@@H]1C(F)F ZINC000669716656 1127708383 /nfs/dbraw/zinc/70/83/83/1127708383.db2.gz HMMRPLDNEIOIDG-CMPLNLGQSA-N 1 2 259.365 3.799 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1nccn1CC ZINC000297791881 1127708901 /nfs/dbraw/zinc/70/89/01/1127708901.db2.gz QAGOWXLCOAKZPE-KGLIPLIRSA-N 1 2 287.407 3.714 20 0 CHADLO CC[C@@H](C)Oc1cc(C)ccc1C[NH2+][C@@H]1CCn2ccnc21 ZINC000297893217 1127711093 /nfs/dbraw/zinc/71/10/93/1127711093.db2.gz VTADMZJZDNKPQN-GDBMZVCRSA-N 1 2 299.418 3.603 20 0 CHADLO Cc1ccccc1[C@@H](C)CC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000604745971 1127713738 /nfs/dbraw/zinc/71/37/38/1127713738.db2.gz ZGKXFVPIELUXEG-AWEZNQCLSA-N 1 2 293.370 3.775 20 0 CHADLO CCC[N@H+](Cc1ccc(F)cc1)[C@@H](C)c1nc(CC)no1 ZINC000298180150 1127715170 /nfs/dbraw/zinc/71/51/70/1127715170.db2.gz RMZKZZKMWMTUNQ-LBPRGKRZSA-N 1 2 291.370 3.744 20 0 CHADLO CCC[N@@H+](Cc1ccc(F)cc1)[C@@H](C)c1nc(CC)no1 ZINC000298180150 1127715172 /nfs/dbraw/zinc/71/51/72/1127715172.db2.gz RMZKZZKMWMTUNQ-LBPRGKRZSA-N 1 2 291.370 3.744 20 0 CHADLO C[C@H]1CC2(CCC2)C[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000365531142 1127731791 /nfs/dbraw/zinc/73/17/91/1127731791.db2.gz YDCRNNRCQYVOJW-VIFPVBQESA-N 1 2 290.354 3.926 20 0 CHADLO C[C@H]1CC2(CCC2)C[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000365531142 1127731795 /nfs/dbraw/zinc/73/17/95/1127731795.db2.gz YDCRNNRCQYVOJW-VIFPVBQESA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCC[C@H](C3CC3)C2)s1 ZINC000368143664 1127756972 /nfs/dbraw/zinc/75/69/72/1127756972.db2.gz SLLOQMXVGNSZKJ-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCC[C@H](C3CC3)C2)s1 ZINC000368143664 1127756977 /nfs/dbraw/zinc/75/69/77/1127756977.db2.gz SLLOQMXVGNSZKJ-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](CC(C)C)[C@@H]1CCCc2cccnc21 ZINC000369012608 1127759759 /nfs/dbraw/zinc/75/97/59/1127759759.db2.gz WLUGMGIEQQZQLS-QGZVFWFLSA-N 1 2 298.434 3.649 20 0 CHADLO Cc1[nH]ncc1C[N@H+](CC(C)C)[C@@H]1CCCc2cccnc21 ZINC000369012608 1127759762 /nfs/dbraw/zinc/75/97/62/1127759762.db2.gz WLUGMGIEQQZQLS-QGZVFWFLSA-N 1 2 298.434 3.649 20 0 CHADLO FC(F)(F)c1ccccc1[C@H]1C[N@H+](CC2CCC2)CCO1 ZINC000543808745 1127765057 /nfs/dbraw/zinc/76/50/57/1127765057.db2.gz YDLIOQYBRPOLJD-OAHLLOKOSA-N 1 2 299.336 3.879 20 0 CHADLO FC(F)(F)c1ccccc1[C@H]1C[N@@H+](CC2CCC2)CCO1 ZINC000543808745 1127765058 /nfs/dbraw/zinc/76/50/58/1127765058.db2.gz YDLIOQYBRPOLJD-OAHLLOKOSA-N 1 2 299.336 3.879 20 0 CHADLO FC(F)(F)[C@H]1C[N@H+](Cc2cccc3ccccc32)CCO1 ZINC000606902885 1127780879 /nfs/dbraw/zinc/78/08/79/1127780879.db2.gz XFFUYZPXCLLOEC-OAHLLOKOSA-N 1 2 295.304 3.603 20 0 CHADLO FC(F)(F)[C@H]1C[N@@H+](Cc2cccc3ccccc32)CCO1 ZINC000606902885 1127780881 /nfs/dbraw/zinc/78/08/81/1127780881.db2.gz XFFUYZPXCLLOEC-OAHLLOKOSA-N 1 2 295.304 3.603 20 0 CHADLO FC(F)(F)CCCC[N@@H+]1CCOC[C@H]1c1ccccc1 ZINC000372723304 1127810135 /nfs/dbraw/zinc/81/01/35/1127810135.db2.gz CJDNKHGZQDUTLH-AWEZNQCLSA-N 1 2 287.325 3.793 20 0 CHADLO FC(F)(F)CCCC[N@H+]1CCOC[C@H]1c1ccccc1 ZINC000372723304 1127810138 /nfs/dbraw/zinc/81/01/38/1127810138.db2.gz CJDNKHGZQDUTLH-AWEZNQCLSA-N 1 2 287.325 3.793 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)[C@@H](C)c1nnc(C)[nH]1 ZINC000411125987 1127840989 /nfs/dbraw/zinc/84/09/89/1127840989.db2.gz MYZQPSGIOIRMQF-NSHDSACASA-N 1 2 298.390 3.615 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)[C@@H](C)c1nnc(C)[nH]1 ZINC000411125987 1127840993 /nfs/dbraw/zinc/84/09/93/1127840993.db2.gz MYZQPSGIOIRMQF-NSHDSACASA-N 1 2 298.390 3.615 20 0 CHADLO FC1CC[NH+](Cc2ccc(C(F)(F)F)nc2Cl)CC1 ZINC001232789298 1127855151 /nfs/dbraw/zinc/85/51/51/1127855151.db2.gz ABNZKKUTQNVDOF-UHFFFAOYSA-N 1 2 296.695 3.688 20 0 CHADLO CCc1ccc(C[NH2+][C@](C)(CC)c2nccs2)nc1 ZINC000411385979 1127857338 /nfs/dbraw/zinc/85/73/38/1127857338.db2.gz JINLMBNCDBALQY-OAHLLOKOSA-N 1 2 275.421 3.516 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(F)cc2)[C@@H](c2ccccc2)CO1 ZINC000608511036 1127874657 /nfs/dbraw/zinc/87/46/57/1127874657.db2.gz RVWDLZJDKKMXPS-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(F)cc2)[C@@H](c2ccccc2)CO1 ZINC000608511036 1127874659 /nfs/dbraw/zinc/87/46/59/1127874659.db2.gz RVWDLZJDKKMXPS-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO FC[C@H]1C[N@H+](Cc2cc(Cl)cc(Cl)c2)CCCO1 ZINC000411867352 1127877267 /nfs/dbraw/zinc/87/72/67/1127877267.db2.gz XZHBCPAKLJCWJD-ZDUSSCGKSA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@H]1C[N@@H+](Cc2cc(Cl)cc(Cl)c2)CCCO1 ZINC000411867352 1127877270 /nfs/dbraw/zinc/87/72/70/1127877270.db2.gz XZHBCPAKLJCWJD-ZDUSSCGKSA-N 1 2 292.181 3.554 20 0 CHADLO Cc1cccc([C@H](C)[N@@H+]2C[C@H](C)O[C@H](C(F)(F)F)C2)c1 ZINC000608749989 1127884678 /nfs/dbraw/zinc/88/46/78/1127884678.db2.gz PEDMUJJBCUOJOZ-OBJOEFQTSA-N 1 2 287.325 3.708 20 0 CHADLO Cc1cccc([C@H](C)[N@H+]2C[C@H](C)O[C@H](C(F)(F)F)C2)c1 ZINC000608749989 1127884684 /nfs/dbraw/zinc/88/46/84/1127884684.db2.gz PEDMUJJBCUOJOZ-OBJOEFQTSA-N 1 2 287.325 3.708 20 0 CHADLO CC(C)c1ccc([C@H]2CCC[N@@H+]2Cc2csnn2)cc1 ZINC000374283067 1127885002 /nfs/dbraw/zinc/88/50/02/1127885002.db2.gz IYDNNCFKRUWXPL-MRXNPFEDSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1ccc([C@H]2CCC[N@H+]2Cc2csnn2)cc1 ZINC000374283067 1127885006 /nfs/dbraw/zinc/88/50/06/1127885006.db2.gz IYDNNCFKRUWXPL-MRXNPFEDSA-N 1 2 287.432 3.999 20 0 CHADLO Oc1ccc(F)c(C[NH2+]Cc2ccc(Cl)cc2)c1F ZINC000509716318 1127905463 /nfs/dbraw/zinc/90/54/63/1127905463.db2.gz ANBDQTYPZMOIHS-UHFFFAOYSA-N 1 2 283.705 3.614 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCO[C@H](C(C)(C)C)C1 ZINC000535006509 1127908237 /nfs/dbraw/zinc/90/82/37/1127908237.db2.gz OOEDFIMOKGBIHH-RDJZCZTQSA-N 1 2 298.430 3.971 20 0 CHADLO CCC[NH+](CCC)Cc1nc(C)sc1Br ZINC001233150867 1127929210 /nfs/dbraw/zinc/92/92/10/1127929210.db2.gz MHBBYPGODLZPJL-UHFFFAOYSA-N 1 2 291.258 3.836 20 0 CHADLO CCc1cc(OCCOC(C)(C)C)c2ccccc2[nH+]1 ZINC000539549622 1127929453 /nfs/dbraw/zinc/92/94/53/1127929453.db2.gz OJWITLKLRURLAM-UHFFFAOYSA-N 1 2 273.376 3.991 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)Cc1ccsc1)C(=O)OC(C)(C)C ZINC000539651867 1127934374 /nfs/dbraw/zinc/93/43/74/1127934374.db2.gz WYWHDFIBNSMALO-JSGCOSHPSA-N 1 2 297.464 3.635 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCOC[C@@H]2c2ccccc2)cc1 ZINC000539851770 1127948446 /nfs/dbraw/zinc/94/84/46/1127948446.db2.gz ZTKQHROHUAYPPN-LJQANCHMSA-N 1 2 297.398 3.659 20 0 CHADLO CCOc1ccc(C[N@H+]2CCOC[C@@H]2c2ccccc2)cc1 ZINC000539851770 1127948449 /nfs/dbraw/zinc/94/84/49/1127948449.db2.gz ZTKQHROHUAYPPN-LJQANCHMSA-N 1 2 297.398 3.659 20 0 CHADLO CC[N@H+](CC(F)F)[C@@H](C)CCc1cccc(F)c1 ZINC000544598807 1127978184 /nfs/dbraw/zinc/97/81/84/1127978184.db2.gz OCUGGCXOAOBQIN-NSHDSACASA-N 1 2 259.315 3.734 20 0 CHADLO CC[N@@H+](CC(F)F)[C@@H](C)CCc1cccc(F)c1 ZINC000544598807 1127978185 /nfs/dbraw/zinc/97/81/85/1127978185.db2.gz OCUGGCXOAOBQIN-NSHDSACASA-N 1 2 259.315 3.734 20 0 CHADLO CC(C)[C@H](Nc1ccc(N2CCCC2)[nH+]c1)C1CC1 ZINC000540758108 1127993247 /nfs/dbraw/zinc/99/32/47/1127993247.db2.gz HOPCRPLJWFZPCT-INIZCTEOSA-N 1 2 259.397 3.528 20 0 CHADLO c1cc(N2CCCCC2)oc1C[NH+]1Cc2ccccc2C1 ZINC001138170841 1127998845 /nfs/dbraw/zinc/99/88/45/1127998845.db2.gz HDUCMWVDTTUFEK-UHFFFAOYSA-N 1 2 282.387 3.786 20 0 CHADLO Cc1cc(OCC[C@H]2CCCO2)c2cccc(C)c2[nH+]1 ZINC000468391881 1128005078 /nfs/dbraw/zinc/00/50/78/1128005078.db2.gz IVITZSCPBPOWJB-CQSZACIVSA-N 1 2 271.360 3.800 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CC=C(C)CC1 ZINC000469142539 1128036721 /nfs/dbraw/zinc/03/67/21/1128036721.db2.gz XISAUXPPLQYEKE-UHFFFAOYSA-N 1 2 268.360 3.708 20 0 CHADLO Cc1ccc(CCC[N@H+](CC(F)F)C2CC2)cc1 ZINC000542277602 1128061189 /nfs/dbraw/zinc/06/11/89/1128061189.db2.gz WYIOMEBCJIUULJ-UHFFFAOYSA-N 1 2 253.336 3.657 20 0 CHADLO Cc1ccc(CCC[N@@H+](CC(F)F)C2CC2)cc1 ZINC000542277602 1128061194 /nfs/dbraw/zinc/06/11/94/1128061194.db2.gz WYIOMEBCJIUULJ-UHFFFAOYSA-N 1 2 253.336 3.657 20 0 CHADLO Cc1cccc(OCCOc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000470828755 1128078182 /nfs/dbraw/zinc/07/81/82/1128078182.db2.gz ACYFDCRUTQMGRZ-UHFFFAOYSA-N 1 2 294.354 3.639 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@H](C2CC2)O1 ZINC000470908578 1128079488 /nfs/dbraw/zinc/07/94/88/1128079488.db2.gz ZBALDDDHIFTZOR-IAQYHMDHSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@H](C2CC2)O1 ZINC000470908578 1128079493 /nfs/dbraw/zinc/07/94/93/1128079493.db2.gz ZBALDDDHIFTZOR-IAQYHMDHSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H](C2CC2)O1 ZINC000470908580 1128080223 /nfs/dbraw/zinc/08/02/23/1128080223.db2.gz ZBALDDDHIFTZOR-NHYWBVRUSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H](C2CC2)O1 ZINC000470908580 1128080228 /nfs/dbraw/zinc/08/02/28/1128080228.db2.gz ZBALDDDHIFTZOR-NHYWBVRUSA-N 1 2 299.336 3.705 20 0 CHADLO Cc1ccccc1[C@@H](C)c1noc([C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC000923955234 1128088261 /nfs/dbraw/zinc/08/82/61/1128088261.db2.gz GLZVOJSBPFYGHI-MRVWCRGKSA-N 1 2 294.358 3.524 20 0 CHADLO C[C@H](c1ccco1)c1noc([C@H]2CC[N@@H+]2C2CCCC2)n1 ZINC000924124935 1128090820 /nfs/dbraw/zinc/09/08/20/1128090820.db2.gz OVNAEGCYTRSXTQ-DGCLKSJQSA-N 1 2 287.363 3.504 20 0 CHADLO C[C@H](c1ccco1)c1noc([C@H]2CC[N@H+]2C2CCCC2)n1 ZINC000924124935 1128090823 /nfs/dbraw/zinc/09/08/23/1128090823.db2.gz OVNAEGCYTRSXTQ-DGCLKSJQSA-N 1 2 287.363 3.504 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H]2CCCc3oc(C)nc32)o1 ZINC000924564641 1128105627 /nfs/dbraw/zinc/10/56/27/1128105627.db2.gz OSMKMFLJXIZKPQ-JQWIXIFHSA-N 1 2 260.337 3.613 20 0 CHADLO CC(C)Oc1cccc([C@H](C)[NH2+][C@@H](C)c2ncco2)c1 ZINC000924681941 1128109807 /nfs/dbraw/zinc/10/98/07/1128109807.db2.gz UKMKIAWSXVBGBJ-STQMWFEESA-N 1 2 274.364 3.874 20 0 CHADLO COc1ccnc([C@H](C)[NH2+][C@@H](C)c2c(F)cccc2F)c1 ZINC000924720462 1128110489 /nfs/dbraw/zinc/11/04/89/1128110489.db2.gz IEOMCQSICWBORF-QWRGUYRKSA-N 1 2 292.329 3.780 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000924717412 1128110670 /nfs/dbraw/zinc/11/06/70/1128110670.db2.gz UNDXTMFKXKJLQL-BPNCWPANSA-N 1 2 286.375 3.867 20 0 CHADLO C[C@@H]([NH2+][C@H]1CSc2ccccc21)c1cn2c(n1)CCCC2 ZINC000925093981 1128121810 /nfs/dbraw/zinc/12/18/10/1128121810.db2.gz UNLRTENJVGSFLG-DOMZBBRYSA-N 1 2 299.443 3.717 20 0 CHADLO CCc1cc(C[NH2+][C@H](C)c2cc(-c3ccccc3)no2)on1 ZINC000925135062 1128123017 /nfs/dbraw/zinc/12/30/17/1128123017.db2.gz USJJJXQOOXXSNS-GFCCVEGCSA-N 1 2 297.358 3.743 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2CCCc3c(Cl)ccnc32)s1 ZINC000925151164 1128123714 /nfs/dbraw/zinc/12/37/14/1128123714.db2.gz HKKQIYZIKGKTNR-LBPRGKRZSA-N 1 2 293.823 3.667 20 0 CHADLO CC1(C)C[C@H](CNc2cc[nH+]c3[nH]cc(C(F)(F)F)c32)O1 ZINC001168456276 1128133894 /nfs/dbraw/zinc/13/38/94/1128133894.db2.gz NCFWQZGYCINJMK-MRVPVSSYSA-N 1 2 299.296 3.561 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CC[C@@H]2COCC[C@@H]2C1 ZINC000925722006 1128138486 /nfs/dbraw/zinc/13/84/86/1128138486.db2.gz SJEQMANZEGPYHG-BZUAXINKSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@@H]1CC[C@@H]2COCC[C@@H]2C1 ZINC000925722006 1128138489 /nfs/dbraw/zinc/13/84/89/1128138489.db2.gz SJEQMANZEGPYHG-BZUAXINKSA-N 1 2 288.435 3.678 20 0 CHADLO COc1ccnc([C@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)c1 ZINC000926067530 1128152551 /nfs/dbraw/zinc/15/25/51/1128152551.db2.gz XOEAZJUHAJTYIQ-ZDUSSCGKSA-N 1 2 297.402 3.646 20 0 CHADLO COc1ccnc([C@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)c1 ZINC000926067530 1128152554 /nfs/dbraw/zinc/15/25/54/1128152554.db2.gz XOEAZJUHAJTYIQ-ZDUSSCGKSA-N 1 2 297.402 3.646 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+](C)Cc2cccc3[nH]ccc32)n1 ZINC000494114765 1128156310 /nfs/dbraw/zinc/15/63/10/1128156310.db2.gz CWDAHNGPPUFURS-LBPRGKRZSA-N 1 2 298.390 3.867 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+](C)Cc2cccc3[nH]ccc32)n1 ZINC000494114765 1128156312 /nfs/dbraw/zinc/15/63/12/1128156312.db2.gz CWDAHNGPPUFURS-LBPRGKRZSA-N 1 2 298.390 3.867 20 0 CHADLO C[C@@H](Nc1cnn([C@@H](C)C2CC2)c1)c1cn2c([nH+]1)CCCC2 ZINC000926222976 1128161650 /nfs/dbraw/zinc/16/16/50/1128161650.db2.gz ZQJXLDLCKJSCKG-OLZOCXBDSA-N 1 2 299.422 3.560 20 0 CHADLO C[C@H](Nc1cnn([C@@H](C)C2CC2)c1)c1cn2c([nH+]1)CCCC2 ZINC000926222980 1128161796 /nfs/dbraw/zinc/16/17/96/1128161796.db2.gz ZQJXLDLCKJSCKG-STQMWFEESA-N 1 2 299.422 3.560 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)on1 ZINC000926251521 1128163395 /nfs/dbraw/zinc/16/33/95/1128163395.db2.gz QMASBSGBRFYUGU-QWRGUYRKSA-N 1 2 286.331 3.841 20 0 CHADLO Cc1cccc(C2(Nc3cc[nH+]c(OC(C)C)c3)COC2)c1 ZINC001168469970 1128197538 /nfs/dbraw/zinc/19/75/38/1128197538.db2.gz GWVKUXOOLRQAPG-UHFFFAOYSA-N 1 2 298.386 3.515 20 0 CHADLO CCCc1csc(C[NH2+]Cc2ccccc2F)n1 ZINC000494373251 1128171565 /nfs/dbraw/zinc/17/15/65/1128171565.db2.gz YKENWOYDJPKNHI-UHFFFAOYSA-N 1 2 264.369 3.525 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C(C)(C)C)[nH]1)c1coc2ccccc12 ZINC000926569508 1128181228 /nfs/dbraw/zinc/18/12/28/1128181228.db2.gz FNWFCZMKRGKTTK-LLVKDONJSA-N 1 2 298.390 3.699 20 0 CHADLO Cc1cc(N[C@@H](C)c2cnn(C3CCC3)c2)c[nH+]c1C ZINC000926856316 1128198656 /nfs/dbraw/zinc/19/86/56/1128198656.db2.gz RNPTYFXSFOUXAM-ZDUSSCGKSA-N 1 2 270.380 3.793 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2c(Cl)ccnc21)c1cscn1 ZINC000926693308 1128188726 /nfs/dbraw/zinc/18/87/26/1128188726.db2.gz QZKFJYYQEXPQSU-CABZTGNLSA-N 1 2 293.823 3.920 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+]Cc1ccc(Cl)c(Cl)c1 ZINC000926705046 1128189337 /nfs/dbraw/zinc/18/93/37/1128189337.db2.gz PHWFFEAHDADLLO-ZETCQYMHSA-N 1 2 286.162 3.536 20 0 CHADLO CCc1cc(C[N@@H+]2CCC[C@@H]2c2cc(F)ccc2F)on1 ZINC001203225150 1128253632 /nfs/dbraw/zinc/25/36/32/1128253632.db2.gz LVRFSUWLFNMBNG-MRXNPFEDSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1cc(C[N@H+]2CCC[C@@H]2c2cc(F)ccc2F)on1 ZINC001203225150 1128253633 /nfs/dbraw/zinc/25/36/33/1128253633.db2.gz LVRFSUWLFNMBNG-MRXNPFEDSA-N 1 2 292.329 3.852 20 0 CHADLO Fc1ccc(CNc2[nH+]c3ccccc3n2C2CC2)cn1 ZINC000777733726 1128255371 /nfs/dbraw/zinc/25/53/71/1128255371.db2.gz WAKKBZRLMPRZBH-UHFFFAOYSA-N 1 2 282.322 3.517 20 0 CHADLO COc1ccc(C)cc1CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000914863740 1128256344 /nfs/dbraw/zinc/25/63/44/1128256344.db2.gz YDZTYDSUPHWXFN-UHFFFAOYSA-N 1 2 285.391 3.838 20 0 CHADLO C/C=C\C[C@H]1CCCN(C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000626394022 1128256534 /nfs/dbraw/zinc/25/65/34/1128256534.db2.gz QKKOZIAQCOZVMP-SFAKSCPVSA-N 1 2 298.390 3.544 20 0 CHADLO Cl/C=C/C[NH+](C/C=C/Cl)CCOc1ccccc1 ZINC000914873672 1128258491 /nfs/dbraw/zinc/25/84/91/1128258491.db2.gz MHOZCSZEOPESOB-KBXRYBNXSA-N 1 2 286.202 3.872 20 0 CHADLO Cc1nnsc1[C@@H](C)[N@H+](C)Cc1ccc(Cl)cc1 ZINC000929237216 1128265775 /nfs/dbraw/zinc/26/57/75/1128265775.db2.gz JADWGDAOZKVLQY-SNVBAGLBSA-N 1 2 281.812 3.693 20 0 CHADLO Cc1nnsc1[C@@H](C)[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000929237216 1128265777 /nfs/dbraw/zinc/26/57/77/1128265777.db2.gz JADWGDAOZKVLQY-SNVBAGLBSA-N 1 2 281.812 3.693 20 0 CHADLO CCC[N@H+](CCCOCc1ccccc1)CC(F)F ZINC000542519657 1128207706 /nfs/dbraw/zinc/20/77/06/1128207706.db2.gz AQFKXAXTAFDBMW-UHFFFAOYSA-N 1 2 271.351 3.570 20 0 CHADLO CCC[N@@H+](CCCOCc1ccccc1)CC(F)F ZINC000542519657 1128207710 /nfs/dbraw/zinc/20/77/10/1128207710.db2.gz AQFKXAXTAFDBMW-UHFFFAOYSA-N 1 2 271.351 3.570 20 0 CHADLO COC[C@H](Cc1ccccc1)Nc1ccc([NH+](C)C)c(C)c1 ZINC000777642986 1128232772 /nfs/dbraw/zinc/23/27/72/1128232772.db2.gz HEJSEAJGQCEIAC-SFHVURJKSA-N 1 2 298.430 3.731 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)c(C)nn1 ZINC000929291669 1128268079 /nfs/dbraw/zinc/26/80/79/1128268079.db2.gz VLIQIHJOFFCXBB-ZDUSSCGKSA-N 1 2 289.810 3.940 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)c(C)nn1 ZINC000929291669 1128268083 /nfs/dbraw/zinc/26/80/83/1128268083.db2.gz VLIQIHJOFFCXBB-ZDUSSCGKSA-N 1 2 289.810 3.940 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)C1CC1 ZINC000777693310 1128242843 /nfs/dbraw/zinc/24/28/43/1128242843.db2.gz MLPIQCQXTCFLBM-DYVFJYSZSA-N 1 2 274.408 3.512 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccccc2CF)cs1 ZINC000929344836 1128271003 /nfs/dbraw/zinc/27/10/03/1128271003.db2.gz SHFCKWRSTRNFPZ-UHFFFAOYSA-N 1 2 278.396 3.807 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccccc2CF)cs1 ZINC000929344836 1128271004 /nfs/dbraw/zinc/27/10/04/1128271004.db2.gz SHFCKWRSTRNFPZ-UHFFFAOYSA-N 1 2 278.396 3.807 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+](CC)Cc1nnc(OC)s1 ZINC000929385452 1128272986 /nfs/dbraw/zinc/27/29/86/1128272986.db2.gz RLMDRBKLOZENCM-ZDUSSCGKSA-N 1 2 291.420 3.520 20 0 CHADLO CC[C@@H](c1ccccc1)[N@@H+](CC)Cc1nnc(OC)s1 ZINC000929385452 1128272988 /nfs/dbraw/zinc/27/29/88/1128272988.db2.gz RLMDRBKLOZENCM-ZDUSSCGKSA-N 1 2 291.420 3.520 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCO[C@@H](c2ccccc2F)C1 ZINC000929486715 1128275005 /nfs/dbraw/zinc/27/50/05/1128275005.db2.gz WYWRCNVFRSAPNG-CQSZACIVSA-N 1 2 287.325 3.634 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCO[C@@H](c2ccccc2F)C1 ZINC000929486715 1128275006 /nfs/dbraw/zinc/27/50/06/1128275006.db2.gz WYWRCNVFRSAPNG-CQSZACIVSA-N 1 2 287.325 3.634 20 0 CHADLO Cc1ccc([C@@H]2[C@H](C)CCC[N@@H+]2Cc2nncs2)cc1 ZINC000929688638 1128282497 /nfs/dbraw/zinc/28/24/97/1128282497.db2.gz MZPRXMOMHGIQGP-CJNGLKHVSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@@H]2[C@H](C)CCC[N@H+]2Cc2nncs2)cc1 ZINC000929688638 1128282500 /nfs/dbraw/zinc/28/25/00/1128282500.db2.gz MZPRXMOMHGIQGP-CJNGLKHVSA-N 1 2 287.432 3.820 20 0 CHADLO CC(C)[C@@H]1C[N@H+](Cc2coc(C(C)(C)C)n2)CCS1 ZINC000929747993 1128284193 /nfs/dbraw/zinc/28/41/93/1128284193.db2.gz VVPFDXKKMODJQO-ZDUSSCGKSA-N 1 2 282.453 3.546 20 0 CHADLO CC(C)[C@@H]1C[N@@H+](Cc2coc(C(C)(C)C)n2)CCS1 ZINC000929747993 1128284196 /nfs/dbraw/zinc/28/41/96/1128284196.db2.gz VVPFDXKKMODJQO-ZDUSSCGKSA-N 1 2 282.453 3.546 20 0 CHADLO Cc1nnsc1[C@@H](C)[N@H+](C)Cc1cc2ccccc2o1 ZINC000929771114 1128286000 /nfs/dbraw/zinc/28/60/00/1128286000.db2.gz ZOLIWRWISACPGY-LLVKDONJSA-N 1 2 287.388 3.786 20 0 CHADLO Cc1nnsc1[C@@H](C)[N@@H+](C)Cc1cc2ccccc2o1 ZINC000929771114 1128286003 /nfs/dbraw/zinc/28/60/03/1128286003.db2.gz ZOLIWRWISACPGY-LLVKDONJSA-N 1 2 287.388 3.786 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC[C@@H]2c2ccncc2)co1 ZINC000930109208 1128300312 /nfs/dbraw/zinc/30/03/12/1128300312.db2.gz FYVCFQJEMASWBW-OAHLLOKOSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC[C@@H]2c2ccncc2)co1 ZINC000930109208 1128300317 /nfs/dbraw/zinc/30/03/17/1128300317.db2.gz FYVCFQJEMASWBW-OAHLLOKOSA-N 1 2 285.391 3.704 20 0 CHADLO COc1ccccc1CSCc1cc[nH+]c(N(C)C)c1 ZINC000762479094 1128302557 /nfs/dbraw/zinc/30/25/57/1128302557.db2.gz KBVMGUAMQWWWOC-UHFFFAOYSA-N 1 2 288.416 3.590 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@H+]1Cc1noc(C(C)C)n1 ZINC000053611459 1128304196 /nfs/dbraw/zinc/30/41/96/1128304196.db2.gz SBHJDYVQWCXYCA-HNNXBMFYSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@@H+]1Cc1noc(C(C)C)n1 ZINC000053611459 1128304200 /nfs/dbraw/zinc/30/42/00/1128304200.db2.gz SBHJDYVQWCXYCA-HNNXBMFYSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1nc(C(C)C)ns1 ZINC000930184493 1128304475 /nfs/dbraw/zinc/30/44/75/1128304475.db2.gz QACMLHYKRFKFSB-GFCCVEGCSA-N 1 2 275.421 3.821 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncccn2)cc1 ZINC000930196135 1128306200 /nfs/dbraw/zinc/30/62/00/1128306200.db2.gz YOZFCDXTIDDAGX-KGLIPLIRSA-N 1 2 285.391 3.676 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+][C@@H](C)c1ncccn1 ZINC000930200148 1128306418 /nfs/dbraw/zinc/30/64/18/1128306418.db2.gz DRAAGBUBSKJKFR-DZGCQCFKSA-N 1 2 285.391 3.677 20 0 CHADLO Cc1cc(C[N@@H+]([C@H](C)c2ccco2)C2CC2)sn1 ZINC000637971723 1128313052 /nfs/dbraw/zinc/31/30/52/1128313052.db2.gz OKZWLXZSMKUDTR-LLVKDONJSA-N 1 2 262.378 3.770 20 0 CHADLO Cc1cc(C[N@H+]([C@H](C)c2ccco2)C2CC2)sn1 ZINC000637971723 1128313056 /nfs/dbraw/zinc/31/30/56/1128313056.db2.gz OKZWLXZSMKUDTR-LLVKDONJSA-N 1 2 262.378 3.770 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCOC[C@@H]1c1ccc(F)cc1 ZINC000930650932 1128328910 /nfs/dbraw/zinc/32/89/10/1128328910.db2.gz RAAHEAROYLYOEQ-CQSZACIVSA-N 1 2 287.325 3.634 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCOC[C@@H]1c1ccc(F)cc1 ZINC000930650932 1128328913 /nfs/dbraw/zinc/32/89/13/1128328913.db2.gz RAAHEAROYLYOEQ-CQSZACIVSA-N 1 2 287.325 3.634 20 0 CHADLO ClC1=CCC[N@H+](Cc2cnc(-c3ccccc3)o2)C1 ZINC000930839638 1128337209 /nfs/dbraw/zinc/33/72/09/1128337209.db2.gz WBEIUNKOVOFOQJ-UHFFFAOYSA-N 1 2 274.751 3.670 20 0 CHADLO ClC1=CCC[N@@H+](Cc2cnc(-c3ccccc3)o2)C1 ZINC000930839638 1128337213 /nfs/dbraw/zinc/33/72/13/1128337213.db2.gz WBEIUNKOVOFOQJ-UHFFFAOYSA-N 1 2 274.751 3.670 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2cccc3c2CCO3)c(C)[nH+]1 ZINC000562607461 1128413054 /nfs/dbraw/zinc/41/30/54/1128413054.db2.gz GPPBUIIIPLRCTJ-UHFFFAOYSA-N 1 2 297.358 3.586 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000931082445 1128346543 /nfs/dbraw/zinc/34/65/43/1128346543.db2.gz FFCRPZOSTMQAOA-BLLLJJGKSA-N 1 2 285.366 3.760 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000931082445 1128346548 /nfs/dbraw/zinc/34/65/48/1128346548.db2.gz FFCRPZOSTMQAOA-BLLLJJGKSA-N 1 2 285.366 3.760 20 0 CHADLO Cc1cn2cc(NC(=O)CCC3CCCCC3)ccc2[nH+]1 ZINC000041305943 1128353007 /nfs/dbraw/zinc/35/30/07/1128353007.db2.gz MFESLUGQWCPBGV-UHFFFAOYSA-N 1 2 285.391 3.942 20 0 CHADLO Cc1cc(NC(=O)c2cncc(-c3ccccc3)c2)cc[nH+]1 ZINC000074672200 1128355769 /nfs/dbraw/zinc/35/57/69/1128355769.db2.gz GAPQYMPOUWBMMO-UHFFFAOYSA-N 1 2 289.338 3.704 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1nc2c(o1)CCCC2 ZINC000932562540 1128414592 /nfs/dbraw/zinc/41/45/92/1128414592.db2.gz POIMZVWCYIOTKL-GFCCVEGCSA-N 1 2 288.366 3.852 20 0 CHADLO C[C@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1ccc(F)nc1 ZINC000931559339 1128369210 /nfs/dbraw/zinc/36/92/10/1128369210.db2.gz YDPNTGPKKSHYDL-IINYFYTJSA-N 1 2 280.293 3.721 20 0 CHADLO CON(Cc1cc(C)cc(C)[nH+]1)[C@H](C)c1csc(C)n1 ZINC000931547104 1128369240 /nfs/dbraw/zinc/36/92/40/1128369240.db2.gz MFUVKWJTHFCIJQ-GFCCVEGCSA-N 1 2 291.420 3.588 20 0 CHADLO Cl/C=C(\Cl)COc1ccccc1-n1cc[nH+]c1 ZINC000763185373 1128369634 /nfs/dbraw/zinc/36/96/34/1128369634.db2.gz AOCBLROJIADYGN-YFHOEESVSA-N 1 2 269.131 3.570 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)cc2C)o1 ZINC000932563858 1128415454 /nfs/dbraw/zinc/41/54/54/1128415454.db2.gz LXSLEMMYUCRWBX-RYUDHWBXSA-N 1 2 262.328 3.842 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccc1)c1nc(C(C)(C)C)no1 ZINC000107070778 1128379458 /nfs/dbraw/zinc/37/94/58/1128379458.db2.gz CFCSWAMVXNQHKZ-RYUDHWBXSA-N 1 2 273.380 3.779 20 0 CHADLO CC(C)(C)CC(C)(C)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000931884949 1128385792 /nfs/dbraw/zinc/38/57/92/1128385792.db2.gz KCKZSWVVWOUNHP-AWEZNQCLSA-N 1 2 291.439 3.509 20 0 CHADLO CC(C)(C)CC(C)(C)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000931884950 1128385906 /nfs/dbraw/zinc/38/59/06/1128385906.db2.gz KCKZSWVVWOUNHP-CQSZACIVSA-N 1 2 291.439 3.509 20 0 CHADLO CC[C@H](Cc1cccs1)[NH2+][C@@H](C)C(=O)OC1CCCC1 ZINC000763286651 1128387893 /nfs/dbraw/zinc/38/78/93/1128387893.db2.gz DPNZPFXCRRRFNU-QWHCGFSZSA-N 1 2 295.448 3.533 20 0 CHADLO CC[C@@H](Cc1cccs1)[NH2+][C@@H](C)C(=O)OC1CCCC1 ZINC000763286652 1128388268 /nfs/dbraw/zinc/38/82/68/1128388268.db2.gz DPNZPFXCRRRFNU-STQMWFEESA-N 1 2 295.448 3.533 20 0 CHADLO Cc1ncc(C[NH2+]Cc2cc(Cl)ccc2Cl)o1 ZINC000398017919 1128391653 /nfs/dbraw/zinc/39/16/53/1128391653.db2.gz SIKLYTVMEHLOND-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO CC[C@H](C)[C@H](C)N(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CC1 ZINC000932049013 1128393503 /nfs/dbraw/zinc/39/35/03/1128393503.db2.gz QXNDAJIBEVMIKG-RYUDHWBXSA-N 1 2 285.391 3.602 20 0 CHADLO C[C@H]([NH2+][C@H]1CC2(CCC2)Oc2ccccc21)c1ncccn1 ZINC000932212948 1128400313 /nfs/dbraw/zinc/40/03/13/1128400313.db2.gz KUJTYOCRDZUDJU-ZFWWWQNUSA-N 1 2 295.386 3.574 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC2(CCC2)Oc2ccccc21)c1ncccn1 ZINC000932212945 1128400385 /nfs/dbraw/zinc/40/03/85/1128400385.db2.gz KUJTYOCRDZUDJU-DZGCQCFKSA-N 1 2 295.386 3.574 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccc1F)c1nccc2ccccc21 ZINC000562907325 1128427869 /nfs/dbraw/zinc/42/78/69/1128427869.db2.gz DMSLLWNCUXUITG-GFCCVEGCSA-N 1 2 281.334 3.620 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@H](c1ccccc1)C(C)C ZINC000037283333 1128430079 /nfs/dbraw/zinc/43/00/79/1128430079.db2.gz DNVQKQJYVPUUJR-CVEARBPZSA-N 1 2 277.408 3.561 20 0 CHADLO Clc1cnc(C[N@@H+]2CCCSC[C@@H]2C2CC2)s1 ZINC000933917504 1128447468 /nfs/dbraw/zinc/44/74/68/1128447468.db2.gz LUYNNVPXLNYQME-SNVBAGLBSA-N 1 2 288.869 3.514 20 0 CHADLO Clc1cnc(C[N@H+]2CCCSC[C@@H]2C2CC2)s1 ZINC000933917504 1128447471 /nfs/dbraw/zinc/44/74/71/1128447471.db2.gz LUYNNVPXLNYQME-SNVBAGLBSA-N 1 2 288.869 3.514 20 0 CHADLO CNc1ccc(Nc2ccccc2OC(F)(F)F)c[nH+]1 ZINC001203454502 1128448414 /nfs/dbraw/zinc/44/84/14/1128448414.db2.gz FYQFQBDJRDQQKO-UHFFFAOYSA-N 1 2 283.253 3.766 20 0 CHADLO Cc1cc(C[NH2+][C@@H](c2ncc[nH]2)c2ccccc2)ccc1F ZINC000125634110 1128451773 /nfs/dbraw/zinc/45/17/73/1128451773.db2.gz ORYIGXNLAPTAIJ-QGZVFWFLSA-N 1 2 295.361 3.736 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2ccc(Cl)cn2)CC1 ZINC000778238856 1128457814 /nfs/dbraw/zinc/45/78/14/1128457814.db2.gz VDOOWDXVJIAGMT-UHFFFAOYSA-N 1 2 276.742 3.653 20 0 CHADLO CCn1c2ccccc2nc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC000916854219 1128458098 /nfs/dbraw/zinc/45/80/98/1128458098.db2.gz DCJGZAPQOWZWBN-UHFFFAOYSA-N 1 2 288.354 3.909 20 0 CHADLO COc1cc(C)c(NCc2c[nH+]c3ccc(C)cn23)cc1F ZINC000187489943 1128460224 /nfs/dbraw/zinc/46/02/24/1128460224.db2.gz LOGIDLHPZLKXOS-UHFFFAOYSA-N 1 2 299.349 3.711 20 0 CHADLO Cn1c(Cl)cnc1C[NH+]1CCC(C2CCCCC2)CC1 ZINC000917059218 1128471482 /nfs/dbraw/zinc/47/14/82/1128471482.db2.gz CJWKPSHJMZLVNG-UHFFFAOYSA-N 1 2 295.858 3.866 20 0 CHADLO CCC(C)(C)c1ccc(C[NH2+][C@H](C)c2nnc(C)o2)cc1 ZINC000563822967 1128480988 /nfs/dbraw/zinc/48/09/88/1128480988.db2.gz FCUXSPYTFDVJNB-GFCCVEGCSA-N 1 2 287.407 3.916 20 0 CHADLO CCCC[N@H+](Cn1nc(C(C)(C)C)oc1=S)C1CC1 ZINC000917428471 1128499884 /nfs/dbraw/zinc/49/98/84/1128499884.db2.gz IHAGPUHLSXDRAE-UHFFFAOYSA-N 1 2 283.441 3.725 20 0 CHADLO CCCC[N@@H+](Cn1nc(C(C)(C)C)oc1=S)C1CC1 ZINC000917428471 1128499886 /nfs/dbraw/zinc/49/98/86/1128499886.db2.gz IHAGPUHLSXDRAE-UHFFFAOYSA-N 1 2 283.441 3.725 20 0 CHADLO CC[N@H+](CC(C)C)Cn1nc(-c2cccs2)oc1=S ZINC000917454198 1128501319 /nfs/dbraw/zinc/50/13/19/1128501319.db2.gz JHBDESPZZBCRKP-UHFFFAOYSA-N 1 2 297.449 3.869 20 0 CHADLO CC[N@@H+](CC(C)C)Cn1nc(-c2cccs2)oc1=S ZINC000917454198 1128501322 /nfs/dbraw/zinc/50/13/22/1128501322.db2.gz JHBDESPZZBCRKP-UHFFFAOYSA-N 1 2 297.449 3.869 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](C(C)(C)C)C[C@@H]2C)no1 ZINC000565851246 1128533476 /nfs/dbraw/zinc/53/34/76/1128533476.db2.gz JKRHBIUVQVXGFN-STQMWFEESA-N 1 2 279.428 3.840 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](C(C)(C)C)C[C@@H]2C)no1 ZINC000565851246 1128533478 /nfs/dbraw/zinc/53/34/78/1128533478.db2.gz JKRHBIUVQVXGFN-STQMWFEESA-N 1 2 279.428 3.840 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+]CC2CC2)C(F)F)c1 ZINC000566473934 1128545057 /nfs/dbraw/zinc/54/50/57/1128545057.db2.gz FCSZELWFESZUFN-CQSZACIVSA-N 1 2 269.335 3.780 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1csnn1)CC1CCC1 ZINC000130013017 1128561002 /nfs/dbraw/zinc/56/10/02/1128561002.db2.gz MFDTZXLGNIEMJQ-ZDUSSCGKSA-N 1 2 287.432 3.901 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1csnn1)CC1CCC1 ZINC000130013017 1128561004 /nfs/dbraw/zinc/56/10/04/1128561004.db2.gz MFDTZXLGNIEMJQ-ZDUSSCGKSA-N 1 2 287.432 3.901 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@@H+]1CCC[C@H]1CF ZINC000566810391 1128552185 /nfs/dbraw/zinc/55/21/85/1128552185.db2.gz JXRQKXFJEIHCBC-MFKMUULPSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@H+]1CCC[C@H]1CF ZINC000566810391 1128552188 /nfs/dbraw/zinc/55/21/88/1128552188.db2.gz JXRQKXFJEIHCBC-MFKMUULPSA-N 1 2 286.188 3.944 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2ccc(Cl)cn2)C1 ZINC000566867950 1128553176 /nfs/dbraw/zinc/55/31/76/1128553176.db2.gz LGDWXGKQMPRNDA-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2ccc(Cl)cn2)C1 ZINC000566867950 1128553178 /nfs/dbraw/zinc/55/31/78/1128553178.db2.gz LGDWXGKQMPRNDA-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H](C)C(F)(F)F ZINC000567298208 1128562340 /nfs/dbraw/zinc/56/23/40/1128562340.db2.gz KWTXUFOVSPVGOB-JTQLQIEISA-N 1 2 268.282 3.575 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(C3CCCC3)cc2)n1 ZINC000567513113 1128566666 /nfs/dbraw/zinc/56/66/66/1128566666.db2.gz YDZAOJQLNHOFCF-GFCCVEGCSA-N 1 2 285.391 3.886 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2CF)sc1Br ZINC000568141127 1128578605 /nfs/dbraw/zinc/57/86/05/1128578605.db2.gz SEQUGRPHTPNMCP-SECBINFHSA-N 1 2 292.217 3.753 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2CF)sc1Br ZINC000568141127 1128578610 /nfs/dbraw/zinc/57/86/10/1128578610.db2.gz SEQUGRPHTPNMCP-SECBINFHSA-N 1 2 292.217 3.753 20 0 CHADLO CC(C)[C@H]1CN(C(=O)C[C@H](C)n2cc[nH+]c2)c2ccccc21 ZINC000568396507 1128584156 /nfs/dbraw/zinc/58/41/56/1128584156.db2.gz KUBPAIPNCAXQSJ-GOEBONIOSA-N 1 2 297.402 3.621 20 0 CHADLO COCCC[C@H]([NH2+]CC(C)(F)F)c1ccc(F)cc1 ZINC000568420993 1128584315 /nfs/dbraw/zinc/58/43/15/1128584315.db2.gz QFOANGKJLIBAPZ-ZDUSSCGKSA-N 1 2 275.314 3.538 20 0 CHADLO Cc1cccnc1C[NH2+][C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000568792935 1128591710 /nfs/dbraw/zinc/59/17/10/1128591710.db2.gz UFJSANRCCCOGJK-LBPRGKRZSA-N 1 2 289.448 3.995 20 0 CHADLO c1[nH+]c(Cc2ccccc2)cn1CCCC1CCOCC1 ZINC000919150851 1128591966 /nfs/dbraw/zinc/59/19/66/1128591966.db2.gz NLWPRMVSGHATRU-UHFFFAOYSA-N 1 2 284.403 3.681 20 0 CHADLO c1[nH+]c(Cc2ccccc2)cn1C[C@H]1CCC2(CCC2)CO1 ZINC000919155700 1128592171 /nfs/dbraw/zinc/59/21/71/1128592171.db2.gz FHFNMKRKPZEUQW-GOSISDBHSA-N 1 2 296.414 3.823 20 0 CHADLO Cc1nc(Cn2c[nH+]c(Cc3ccccc3)c2)sc1C ZINC000919143309 1128592277 /nfs/dbraw/zinc/59/22/77/1128592277.db2.gz GUMUYQVHQHUBOW-UHFFFAOYSA-N 1 2 283.400 3.596 20 0 CHADLO Cc1cc(N2CC[C@H](Oc3ccccc3)C2)nc(C(C)C)[nH+]1 ZINC000568877315 1128593594 /nfs/dbraw/zinc/59/35/94/1128593594.db2.gz YMHKKNZUBOLMKQ-INIZCTEOSA-N 1 2 297.402 3.566 20 0 CHADLO C[C@@H](Cc1ccsc1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000569147090 1128599892 /nfs/dbraw/zinc/59/98/92/1128599892.db2.gz NODWJYWYSPETJT-ZDUSSCGKSA-N 1 2 287.432 3.786 20 0 CHADLO CC(C)(C)C(C)(C)CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000569207823 1128601472 /nfs/dbraw/zinc/60/14/72/1128601472.db2.gz RCGROAPVUXTCHO-UHFFFAOYSA-N 1 2 299.418 3.674 20 0 CHADLO CCCCN(C)C[C@@H](C)[NH2+][C@@H](C)c1cc(C)c(C)o1 ZINC000569798471 1128610061 /nfs/dbraw/zinc/61/00/61/1128610061.db2.gz JBASEULCJSQXND-KGLIPLIRSA-N 1 2 266.429 3.667 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@@H]3CCC(C)C)ccn12 ZINC000570223773 1128618525 /nfs/dbraw/zinc/61/85/25/1128618525.db2.gz FFTCFNVLJHHHQD-MRXNPFEDSA-N 1 2 299.418 3.684 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](CCC(C)C)c2ccoc2)s1 ZINC000556893647 1128636861 /nfs/dbraw/zinc/63/68/61/1128636861.db2.gz WPSIBOOXARKUIY-ZDUSSCGKSA-N 1 2 279.409 3.707 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)[C@@H](C)c2ccc(Cl)cc2)on1 ZINC000572921929 1128649428 /nfs/dbraw/zinc/64/94/28/1128649428.db2.gz MDQQUTJGSJEJOV-JTQLQIEISA-N 1 2 292.766 3.724 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)[C@@H](C)c2ccc(Cl)cc2)on1 ZINC000572921929 1128649430 /nfs/dbraw/zinc/64/94/30/1128649430.db2.gz MDQQUTJGSJEJOV-JTQLQIEISA-N 1 2 292.766 3.724 20 0 CHADLO CCc1cc(N2C[C@@H](C)C[C@@H]2c2ccccc2)nc(C)[nH+]1 ZINC000574063087 1128661107 /nfs/dbraw/zinc/66/11/07/1128661107.db2.gz IHVKZHYSUXWEFJ-SUMWQHHRSA-N 1 2 281.403 3.935 20 0 CHADLO CCC/C(C)=C\C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000920219172 1128673205 /nfs/dbraw/zinc/67/32/05/1128673205.db2.gz HLOLCMYFRNQULU-XFXZXTDPSA-N 1 2 295.452 3.670 20 0 CHADLO CCC/C(C)=C/C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000920222760 1128674115 /nfs/dbraw/zinc/67/41/15/1128674115.db2.gz XXMWVSCINDMCBO-FMIVXFBMSA-N 1 2 287.338 3.696 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nnc(C(C)(C)C)o2)cc1 ZINC000047959729 1128675279 /nfs/dbraw/zinc/67/52/79/1128675279.db2.gz BQFQMSILHQQZCS-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nnc(C(C)(C)C)o2)cc1 ZINC000047959729 1128675281 /nfs/dbraw/zinc/67/52/81/1128675281.db2.gz BQFQMSILHQQZCS-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO C[C@H]1Cc2ccccc2[C@H]1[NH2+]Cc1n[nH]c2ccccc21 ZINC000600816192 1128683172 /nfs/dbraw/zinc/68/31/72/1128683172.db2.gz SRSFYIWABPVPMV-SGTLLEGYSA-N 1 2 277.371 3.586 20 0 CHADLO Cc1ccc2[nH+]c(CSC[C@@H](O)c3ccccc3)cn2c1 ZINC000921142296 1128701672 /nfs/dbraw/zinc/70/16/72/1128701672.db2.gz ZFUQDVZVXYRPEV-MRXNPFEDSA-N 1 2 298.411 3.610 20 0 CHADLO C[C@@H]1[C@@H](c2ccccc2)CC[N@@H+]1Cn1ncsc1=S ZINC000175267626 1128722128 /nfs/dbraw/zinc/72/21/28/1128722128.db2.gz KDXXLOVPHBIYMM-YPMHNXCESA-N 1 2 291.445 3.510 20 0 CHADLO C[C@@H]1[C@@H](c2ccccc2)CC[N@H+]1Cn1ncsc1=S ZINC000175267626 1128722132 /nfs/dbraw/zinc/72/21/32/1128722132.db2.gz KDXXLOVPHBIYMM-YPMHNXCESA-N 1 2 291.445 3.510 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C(=O)OC)c1ccccc1)C1CCCCC1 ZINC000609804318 1128725965 /nfs/dbraw/zinc/72/59/65/1128725965.db2.gz NEAJLEOXRNXIEP-IAGOWNOFSA-N 1 2 289.419 3.849 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C)no1)c1cc(F)ccc1F ZINC000178748640 1117750920 /nfs/dbraw/zinc/75/09/20/1117750920.db2.gz FEFWOCKYAOBCFH-AWEZNQCLSA-N 1 2 266.291 3.502 20 0 CHADLO C[C@@H](C[N@@H+]1Cc2cnc(Cl)cc2C1)c1ccccc1 ZINC001207914688 1117762649 /nfs/dbraw/zinc/76/26/49/1117762649.db2.gz XIMOKJXBCMXTBK-LBPRGKRZSA-N 1 2 272.779 3.854 20 0 CHADLO C[C@@H](C[N@H+]1Cc2cnc(Cl)cc2C1)c1ccccc1 ZINC001207914688 1117762654 /nfs/dbraw/zinc/76/26/54/1117762654.db2.gz XIMOKJXBCMXTBK-LBPRGKRZSA-N 1 2 272.779 3.854 20 0 CHADLO CC(C)c1noc(C[N@H+](C)C(C)(C)c2ccccc2)n1 ZINC000671246910 1117784582 /nfs/dbraw/zinc/78/45/82/1117784582.db2.gz SUGMJDZBGLOUIN-UHFFFAOYSA-N 1 2 273.380 3.560 20 0 CHADLO CC(C)c1noc(C[N@@H+](C)C(C)(C)c2ccccc2)n1 ZINC000671246910 1117784585 /nfs/dbraw/zinc/78/45/85/1117784585.db2.gz SUGMJDZBGLOUIN-UHFFFAOYSA-N 1 2 273.380 3.560 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2cccc(Cl)n2)C2CC2)s1 ZINC000185345432 1117811428 /nfs/dbraw/zinc/81/14/28/1117811428.db2.gz NVJKMFHBAGCHLK-ZDUSSCGKSA-N 1 2 293.823 3.741 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+]Cc3cocn3)oc2c1 ZINC000683159774 1117812566 /nfs/dbraw/zinc/81/25/66/1117812566.db2.gz HFALVHVXYUWNLX-NSHDSACASA-N 1 2 256.305 3.580 20 0 CHADLO Cc1cc(Cl)ccc1NC(=O)c1cccc2[nH+]ccn21 ZINC000082324938 1117814025 /nfs/dbraw/zinc/81/40/25/1117814025.db2.gz CWMWSVDVHRATHE-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO COC(=O)c1scc(C)c1Nc1c[nH+]c(C)cc1C ZINC001213505574 1117821173 /nfs/dbraw/zinc/82/11/73/1117821173.db2.gz IWRZQQBQUVYLEM-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO CC(C)c1ccc(N2CC(c3ccccc3)C2)[nH+]c1 ZINC001167062837 1117844664 /nfs/dbraw/zinc/84/46/64/1117844664.db2.gz HUXVWHARGBPAEO-UHFFFAOYSA-N 1 2 252.361 3.809 20 0 CHADLO CCSCCSCc1cn2cc(C)ccc2[nH+]1 ZINC000185567830 1117848389 /nfs/dbraw/zinc/84/83/89/1117848389.db2.gz AQQXIPJIKIXHFG-UHFFFAOYSA-N 1 2 266.435 3.629 20 0 CHADLO COc1cc(C[NH2+][C@H]2CCCC2(F)F)ccc1Cl ZINC000623525275 1117866776 /nfs/dbraw/zinc/86/67/76/1117866776.db2.gz DNORYSBEOJBFKS-LBPRGKRZSA-N 1 2 275.726 3.626 20 0 CHADLO Cc1nc(N2CC[C@@H](C3CCCCC3)C2)c(C)c(C)[nH+]1 ZINC001167068712 1117878150 /nfs/dbraw/zinc/87/81/50/1117878150.db2.gz WOMINMRZBGXREN-MRXNPFEDSA-N 1 2 273.424 3.808 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cc2ccc(C)cc2[nH]1 ZINC000623679360 1117888683 /nfs/dbraw/zinc/88/86/83/1117888683.db2.gz SOPPEHPSMGBURQ-UHFFFAOYSA-N 1 2 252.308 3.611 20 0 CHADLO CC[C@H](C[N@H+](C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1)OC ZINC000660707770 1117891535 /nfs/dbraw/zinc/89/15/35/1117891535.db2.gz JPFVURSPZJITFS-ZYHUDNBSSA-N 1 2 295.814 3.634 20 0 CHADLO C[C@H]([NH2+]Cc1nc(Cc2ccccc2)no1)c1ccccc1 ZINC000109079393 1117895233 /nfs/dbraw/zinc/89/52/33/1117895233.db2.gz CKJRIFQKMSOWSD-AWEZNQCLSA-N 1 2 293.370 3.511 20 0 CHADLO C[N@H+](CCCC(=O)OC(C)(C)C)Cc1c(F)cccc1F ZINC000339596551 1117900375 /nfs/dbraw/zinc/90/03/75/1117900375.db2.gz CIUNWVAEVWILTJ-UHFFFAOYSA-N 1 2 299.361 3.519 20 0 CHADLO C[N@@H+](CCCC(=O)OC(C)(C)C)Cc1c(F)cccc1F ZINC000339596551 1117900377 /nfs/dbraw/zinc/90/03/77/1117900377.db2.gz CIUNWVAEVWILTJ-UHFFFAOYSA-N 1 2 299.361 3.519 20 0 CHADLO COC(=O)c1cccc(C[NH2+]C2(C(F)F)CCCCC2)c1 ZINC000510107248 1117900450 /nfs/dbraw/zinc/90/04/50/1117900450.db2.gz YSIPRLFKSNQJDO-UHFFFAOYSA-N 1 2 297.345 3.531 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1nnc(C(C)C)[nH]1)C(C)(C)C2 ZINC000339635623 1117944423 /nfs/dbraw/zinc/94/44/23/1117944423.db2.gz CILBDJDOWMRMKU-UHFFFAOYSA-N 1 2 298.434 3.573 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1nnc(C(C)C)[nH]1)C(C)(C)C2 ZINC000339635623 1117944426 /nfs/dbraw/zinc/94/44/26/1117944426.db2.gz CILBDJDOWMRMKU-UHFFFAOYSA-N 1 2 298.434 3.573 20 0 CHADLO Cl/C=C(/Cl)C[NH2+][C@H](c1ccccn1)C1CCC1 ZINC000859564828 1117945481 /nfs/dbraw/zinc/94/54/81/1117945481.db2.gz PKALPRXGLJSMTH-YKWSONSWSA-N 1 2 271.191 3.831 20 0 CHADLO Cc1ccnc(C[N@H+](C)Cc2csc3ccccc23)n1 ZINC000339648426 1117946941 /nfs/dbraw/zinc/94/69/41/1117946941.db2.gz CWMONTZCPBQZOU-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccnc(C[N@@H+](C)Cc2csc3ccccc23)n1 ZINC000339648426 1117946943 /nfs/dbraw/zinc/94/69/43/1117946943.db2.gz CWMONTZCPBQZOU-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccnc(C[N@@H+](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC000339654334 1117947685 /nfs/dbraw/zinc/94/76/85/1117947685.db2.gz ZDBFKAFGIRUBLL-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccnc(C[N@H+](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC000339654334 1117947688 /nfs/dbraw/zinc/94/76/88/1117947688.db2.gz ZDBFKAFGIRUBLL-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO CC1=CC[N@H+](Cc2csc(C3CCCC3)n2)CC1 ZINC000339660579 1117950170 /nfs/dbraw/zinc/95/01/70/1117950170.db2.gz YWVFDWJXUWXHMI-UHFFFAOYSA-N 1 2 262.422 3.953 20 0 CHADLO CC1=CC[N@@H+](Cc2csc(C3CCCC3)n2)CC1 ZINC000339660579 1117950175 /nfs/dbraw/zinc/95/01/75/1117950175.db2.gz YWVFDWJXUWXHMI-UHFFFAOYSA-N 1 2 262.422 3.953 20 0 CHADLO Cc1cc(N2CCC[C@@H]3CCCC[C@H]32)nc(C2CC2)[nH+]1 ZINC000339668443 1117951163 /nfs/dbraw/zinc/95/11/63/1117951163.db2.gz JBIAXMDRNKSUAK-DZGCQCFKSA-N 1 2 271.408 3.821 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCc2scnc21)c1c(C)noc1C ZINC000623925625 1117957529 /nfs/dbraw/zinc/95/75/29/1117957529.db2.gz KRXGHEOMHNAMRI-NEPJUHHUSA-N 1 2 291.420 3.866 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCc2scnc21)c1c(C)noc1C ZINC000623925628 1117957791 /nfs/dbraw/zinc/95/77/91/1117957791.db2.gz KRXGHEOMHNAMRI-VXGBXAGGSA-N 1 2 291.420 3.866 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC3CC2(c2ccccc2)C3)s1 ZINC000662331958 1117975298 /nfs/dbraw/zinc/97/52/98/1117975298.db2.gz MCUWSBFKMSLHRV-UHFFFAOYSA-N 1 2 299.443 3.783 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC3CC2(c2ccccc2)C3)s1 ZINC000662331958 1117975301 /nfs/dbraw/zinc/97/53/01/1117975301.db2.gz MCUWSBFKMSLHRV-UHFFFAOYSA-N 1 2 299.443 3.783 20 0 CHADLO COc1ccc(F)cc1C[N@H+]1CC=C(C(F)(F)F)CC1 ZINC000339824169 1117980252 /nfs/dbraw/zinc/98/02/52/1117980252.db2.gz DYVBFQIMEJIVLZ-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO COc1ccc(F)cc1C[N@@H+]1CC=C(C(F)(F)F)CC1 ZINC000339824169 1117980258 /nfs/dbraw/zinc/98/02/58/1117980258.db2.gz DYVBFQIMEJIVLZ-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)O[C@@H](C)c3cccc(C)c3)ccn12 ZINC001127720173 1117981059 /nfs/dbraw/zinc/98/10/59/1117981059.db2.gz LRVHUJBGBJNTTL-AWEZNQCLSA-N 1 2 294.354 3.869 20 0 CHADLO CC1=C[C@@H](C)C[N@@H+]([C@@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000430284252 1117993777 /nfs/dbraw/zinc/99/37/77/1117993777.db2.gz LCIBWSXYEGAZRH-YPMHNXCESA-N 1 2 292.810 3.565 20 0 CHADLO CC1=C[C@@H](C)C[N@H+]([C@@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000430284252 1117993780 /nfs/dbraw/zinc/99/37/80/1117993780.db2.gz LCIBWSXYEGAZRH-YPMHNXCESA-N 1 2 292.810 3.565 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(C2CC2)no1)c1ccccc1 ZINC000181768328 1118006158 /nfs/dbraw/zinc/00/61/58/1118006158.db2.gz UVDXKHWVNIYYIG-AWEZNQCLSA-N 1 2 271.364 3.578 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H]2CCCc3cccnc32)cs1 ZINC000705570607 1118008404 /nfs/dbraw/zinc/00/84/04/1118008404.db2.gz GNFDXLLHFWBVKC-CQSZACIVSA-N 1 2 287.432 3.829 20 0 CHADLO CC([NH2+]Cc1ccon1)(c1ccccc1)c1ccccc1 ZINC000182198109 1118016151 /nfs/dbraw/zinc/01/61/51/1118016151.db2.gz VARXHMGVTCCWJP-UHFFFAOYSA-N 1 2 278.355 3.728 20 0 CHADLO Fc1cc(NC(C2CC2)C2CC2)ccc1-n1cc[nH+]c1 ZINC000182910964 1118032118 /nfs/dbraw/zinc/03/21/18/1118032118.db2.gz NKYSSLWHAQPUQF-UHFFFAOYSA-N 1 2 271.339 3.612 20 0 CHADLO CC[C@@H](c1ccccc1)N(C)c1[nH+]c(C)ccc1OC ZINC001167127929 1118043741 /nfs/dbraw/zinc/04/37/41/1118043741.db2.gz MRAYGWYLGRIWGV-HNNXBMFYSA-N 1 2 270.376 3.986 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(CSC(F)F)o2)C[C@H]1F ZINC000779150934 1128752473 /nfs/dbraw/zinc/75/24/73/1128752473.db2.gz TYJHFIQBZJXONN-JOYOIKCWSA-N 1 2 293.354 3.915 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(CSC(F)F)o2)C[C@H]1F ZINC000779150934 1128752476 /nfs/dbraw/zinc/75/24/76/1128752476.db2.gz TYJHFIQBZJXONN-JOYOIKCWSA-N 1 2 293.354 3.915 20 0 CHADLO CC(C)Cc1noc(C[N@@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000046088313 1118056836 /nfs/dbraw/zinc/05/68/36/1118056836.db2.gz UNAZWSQVYLWVRU-CYBMUJFWSA-N 1 2 291.420 3.667 20 0 CHADLO CC(C)Cc1noc(C[N@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000046088313 1118056842 /nfs/dbraw/zinc/05/68/42/1118056842.db2.gz UNAZWSQVYLWVRU-CYBMUJFWSA-N 1 2 291.420 3.667 20 0 CHADLO Cc1oncc1C[N@@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000185145745 1118060838 /nfs/dbraw/zinc/06/08/38/1118060838.db2.gz VNYSLEIBZQRAHX-MEDUHNTESA-N 1 2 286.375 3.864 20 0 CHADLO Cc1oncc1C[N@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000185145745 1118060842 /nfs/dbraw/zinc/06/08/42/1118060842.db2.gz VNYSLEIBZQRAHX-MEDUHNTESA-N 1 2 286.375 3.864 20 0 CHADLO C[C@H]([NH2+]Cc1cncc(Cl)c1)c1c(F)cccc1F ZINC000705808223 1118072217 /nfs/dbraw/zinc/07/22/17/1118072217.db2.gz MSVMIFZDBGDHEP-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO CCC(CC)(CNc1nc(N)c2ccccc2[nH+]1)SC ZINC000764155435 1118074457 /nfs/dbraw/zinc/07/44/57/1118074457.db2.gz BOYXHVRUNNXMCK-UHFFFAOYSA-N 1 2 290.436 3.546 20 0 CHADLO COc1c(F)ccc(Nc2[nH+]cc(C)cc2N)c1Cl ZINC001209801378 1118092212 /nfs/dbraw/zinc/09/22/12/1118092212.db2.gz LFUHBONEULIUMD-UHFFFAOYSA-N 1 2 281.718 3.517 20 0 CHADLO CC(C)[C@H](C)c1nc(-c2ccccc2-n2cc[nH+]c2)no1 ZINC001205188474 1118092722 /nfs/dbraw/zinc/09/27/22/1118092722.db2.gz RLTCDEQRAYJKQD-LBPRGKRZSA-N 1 2 282.347 3.682 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2nc(-c3ccco3)no2)CC1 ZINC000340190099 1118106503 /nfs/dbraw/zinc/10/65/03/1118106503.db2.gz CRVYXMPEWQSTMQ-UHFFFAOYSA-N 1 2 287.363 3.508 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2nc(-c3ccco3)no2)CC1 ZINC000340190099 1118106506 /nfs/dbraw/zinc/10/65/06/1118106506.db2.gz CRVYXMPEWQSTMQ-UHFFFAOYSA-N 1 2 287.363 3.508 20 0 CHADLO Cn1ccc(C[NH2+]C2(c3ccc(Cl)c(Cl)c3)CC2)n1 ZINC000340199264 1118109688 /nfs/dbraw/zinc/10/96/88/1118109688.db2.gz KJMDFUBOJYLSET-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO C/C=C(/C=C/C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1)CC ZINC000764954991 1118127014 /nfs/dbraw/zinc/12/70/14/1118127014.db2.gz MDWKSKPXSMXNJJ-OZIKREMFSA-N 1 2 299.349 3.862 20 0 CHADLO Oc1cc(F)ccc1Nc1cccc2cc[nH+]cc21 ZINC001210018391 1118133447 /nfs/dbraw/zinc/13/34/47/1118133447.db2.gz NBXCNPQQYKKUPE-UHFFFAOYSA-N 1 2 254.264 3.823 20 0 CHADLO Cc1cn2cccc(NC3=CCC(F)(F)CC3)c2[nH+]1 ZINC001210028276 1118134143 /nfs/dbraw/zinc/13/41/43/1118134143.db2.gz DUFFWBWOULAQQP-UHFFFAOYSA-N 1 2 263.291 3.758 20 0 CHADLO CNc1ccc(Nc2ccccc2N2CCCCC2)c[nH+]1 ZINC001203456602 1118142355 /nfs/dbraw/zinc/14/23/55/1118142355.db2.gz MEMSFQRMKKQVAA-UHFFFAOYSA-N 1 2 282.391 3.857 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CC3(CCC3)Oc3ccccc32)no1 ZINC000765326759 1118144330 /nfs/dbraw/zinc/14/43/30/1118144330.db2.gz TZPDJJZSVFABOS-HNNXBMFYSA-N 1 2 284.359 3.519 20 0 CHADLO Cc1ccc2nc(C[NH2+][C@H]3CCCc4occc43)[nH]c2c1 ZINC000579913731 1118155375 /nfs/dbraw/zinc/15/53/75/1118155375.db2.gz DNZQYZQNOTXXGF-ZDUSSCGKSA-N 1 2 281.359 3.632 20 0 CHADLO CNc1ncccc1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000062017333 1118172541 /nfs/dbraw/zinc/17/25/41/1118172541.db2.gz RGTHPQHRQQBFEJ-GFCCVEGCSA-N 1 2 289.810 3.970 20 0 CHADLO CNc1ncccc1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000062017333 1118172544 /nfs/dbraw/zinc/17/25/44/1118172544.db2.gz RGTHPQHRQQBFEJ-GFCCVEGCSA-N 1 2 289.810 3.970 20 0 CHADLO Clc1ccc(C[NH2+][C@@H]2CCCn3ccnc32)c(Cl)c1 ZINC000655684640 1118184882 /nfs/dbraw/zinc/18/48/82/1118184882.db2.gz TZKLJLNMZVXDAS-CYBMUJFWSA-N 1 2 296.201 3.815 20 0 CHADLO COCC[N@H+](Cc1ccco1)Cc1ccccc1Cl ZINC000046600837 1118187528 /nfs/dbraw/zinc/18/75/28/1118187528.db2.gz LDVIGEWENVRZBG-UHFFFAOYSA-N 1 2 279.767 3.582 20 0 CHADLO COCC[N@@H+](Cc1ccco1)Cc1ccccc1Cl ZINC000046600837 1118187529 /nfs/dbraw/zinc/18/75/29/1118187529.db2.gz LDVIGEWENVRZBG-UHFFFAOYSA-N 1 2 279.767 3.582 20 0 CHADLO COCc1cc[nH+]c(NCc2cc(C)sc2C)c1 ZINC000650243790 1118193195 /nfs/dbraw/zinc/19/31/95/1118193195.db2.gz PKXJCUXUVJGLRJ-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cnn(C2CCC2)c1 ZINC000921560778 1118200345 /nfs/dbraw/zinc/20/03/45/1118200345.db2.gz JNJJJOAZLLRGGQ-UHFFFAOYSA-N 1 2 284.407 3.595 20 0 CHADLO C[C@H]1CC[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)CS1 ZINC000655746919 1118200781 /nfs/dbraw/zinc/20/07/81/1118200781.db2.gz IXXKVHLSHCRPFB-GXTWGEPZSA-N 1 2 273.405 3.568 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000030603658 1118218599 /nfs/dbraw/zinc/21/85/99/1118218599.db2.gz ZUVJCNULZXVFDV-OAHLLOKOSA-N 1 2 299.418 3.817 20 0 CHADLO CCOc1ccc(Nc2ccc(NC)[nH+]c2)cc1OCC ZINC001203458297 1118221180 /nfs/dbraw/zinc/22/11/80/1118221180.db2.gz JCGIIADUTQAVQE-UHFFFAOYSA-N 1 2 287.363 3.664 20 0 CHADLO Cc1nc(SCc2c[nH+]cn2Cc2ccccc2)[nH]c1C ZINC000338580811 1118225516 /nfs/dbraw/zinc/22/55/16/1118225516.db2.gz CSPAPCLQZUYWAM-UHFFFAOYSA-N 1 2 298.415 3.564 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cc(-c2ccc(F)cc2)no1 ZINC000042122136 1118233828 /nfs/dbraw/zinc/23/38/28/1118233828.db2.gz MJOSVPBXRKBKSN-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cc(-c2ccc(F)cc2)no1 ZINC000042122136 1118233830 /nfs/dbraw/zinc/23/38/30/1118233830.db2.gz MJOSVPBXRKBKSN-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO CCOC(=O)c1cc(C)c(Nc2c[nH+]c(C)c(N)c2)c(C)c1 ZINC001210289757 1118237354 /nfs/dbraw/zinc/23/73/54/1118237354.db2.gz YOTNOUZYNSYJMQ-UHFFFAOYSA-N 1 2 299.374 3.509 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)c1ccc(Cl)cc1 ZINC000045859893 1118259409 /nfs/dbraw/zinc/25/94/09/1118259409.db2.gz ZCCYGHMAAXNXJV-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO COc1c(F)cccc1Nc1cc[nH+]c(SC)c1 ZINC001210408401 1118276444 /nfs/dbraw/zinc/27/64/44/1118276444.db2.gz XEQAUUVAWGFOOV-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO FC(F)C[N@H+](C[C@H]1CCc2ccccc2C1)C1CC1 ZINC000543842023 1118276929 /nfs/dbraw/zinc/27/69/29/1118276929.db2.gz FOXBQCUPPQZNIX-LBPRGKRZSA-N 1 2 265.347 3.521 20 0 CHADLO FC(F)C[N@@H+](C[C@H]1CCc2ccccc2C1)C1CC1 ZINC000543842023 1118276935 /nfs/dbraw/zinc/27/69/35/1118276935.db2.gz FOXBQCUPPQZNIX-LBPRGKRZSA-N 1 2 265.347 3.521 20 0 CHADLO CN(C)c1ccc(NCc2cccc(C3CC3)c2)c[nH+]1 ZINC000094518580 1118278222 /nfs/dbraw/zinc/27/82/22/1118278222.db2.gz HZAZORQKMYSVQJ-UHFFFAOYSA-N 1 2 267.376 3.637 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2ccc(Cl)cc2)oc1C ZINC000057846800 1118285610 /nfs/dbraw/zinc/28/56/10/1118285610.db2.gz QDBFOZAADXNYLA-UHFFFAOYSA-N 1 2 278.783 3.970 20 0 CHADLO Fc1ccc([C@H]2C[N@H+](C/C=C/c3ccccc3)CCO2)cc1 ZINC000058576491 1118289849 /nfs/dbraw/zinc/28/98/49/1118289849.db2.gz HTIGBKCDUSQHRL-ZLHKHBAESA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc([C@H]2C[N@@H+](C/C=C/c3ccccc3)CCO2)cc1 ZINC000058576491 1118289853 /nfs/dbraw/zinc/28/98/53/1118289853.db2.gz HTIGBKCDUSQHRL-ZLHKHBAESA-N 1 2 297.373 3.912 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc([C@@H](C)O)c2)cc1 ZINC001210510999 1118306102 /nfs/dbraw/zinc/30/61/02/1118306102.db2.gz CTAVMLGGTQVTHT-CYBMUJFWSA-N 1 2 270.376 3.940 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc([C@@H](C)O)c2)cc1 ZINC001210510999 1118306106 /nfs/dbraw/zinc/30/61/06/1118306106.db2.gz CTAVMLGGTQVTHT-CYBMUJFWSA-N 1 2 270.376 3.940 20 0 CHADLO CC(C)C[C@H](C)CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000340501763 1118309107 /nfs/dbraw/zinc/30/91/07/1118309107.db2.gz ZAWVTJBJXSFZJX-AWEZNQCLSA-N 1 2 285.391 3.883 20 0 CHADLO CC(C)C[C@H](C)CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000340505874 1118310870 /nfs/dbraw/zinc/31/08/70/1118310870.db2.gz PKFIUSRYLXPDJS-AWEZNQCLSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c(C)c1 ZINC000047514594 1118315524 /nfs/dbraw/zinc/31/55/24/1118315524.db2.gz OQKBVWPWPMAUMC-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1cc2cc(C[NH2+]Cc3n[nH]c4ccccc43)ccc2[nH]1 ZINC000671730997 1118317603 /nfs/dbraw/zinc/31/76/03/1118317603.db2.gz NHGKYRYMTVEVTM-UHFFFAOYSA-N 1 2 290.370 3.642 20 0 CHADLO CC[C@H]([NH2+]Cc1ncn(-c2ccccc2)n1)c1ccccc1 ZINC000340528380 1118319286 /nfs/dbraw/zinc/31/92/86/1118319286.db2.gz MNZCMACEFQNYHH-KRWDZBQOSA-N 1 2 292.386 3.508 20 0 CHADLO Cc1cccc([C@@H]([NH2+][C@@H](C)c2cn[nH]c2)c2ccccn2)c1 ZINC000340531050 1118322452 /nfs/dbraw/zinc/32/24/52/1118322452.db2.gz RTJIEBPHUWPOCC-KBXCAEBGSA-N 1 2 292.386 3.553 20 0 CHADLO COc1cc(F)c(F)cc1Nc1cc[nH+]c(SC)c1 ZINC001210548268 1118323091 /nfs/dbraw/zinc/32/30/91/1118323091.db2.gz LANXOGHSHJVCFM-UHFFFAOYSA-N 1 2 282.315 3.834 20 0 CHADLO CCC[C@H]([NH2+]CCC(C)(C)c1ccccc1)C(=O)OCC ZINC000610341499 1118335265 /nfs/dbraw/zinc/33/52/65/1118335265.db2.gz XIYKTJKALYPIFC-INIZCTEOSA-N 1 2 291.435 3.676 20 0 CHADLO c1cc(C[N@@H+]2CCC[C@H]2c2nc3ccccc3s2)on1 ZINC000066562656 1118346366 /nfs/dbraw/zinc/34/63/66/1118346366.db2.gz PJDLWFYLSMGEGY-ZDUSSCGKSA-N 1 2 285.372 3.621 20 0 CHADLO c1cc(C[N@H+]2CCC[C@H]2c2nc3ccccc3s2)on1 ZINC000066562656 1118346373 /nfs/dbraw/zinc/34/63/73/1118346373.db2.gz PJDLWFYLSMGEGY-ZDUSSCGKSA-N 1 2 285.372 3.621 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1cn2ccccc2n1 ZINC000067446212 1118352661 /nfs/dbraw/zinc/35/26/61/1118352661.db2.gz ANMBMBAJWBWMHV-GFCCVEGCSA-N 1 2 283.400 3.515 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cn2ccccc2n1 ZINC000067446212 1118352669 /nfs/dbraw/zinc/35/26/69/1118352669.db2.gz ANMBMBAJWBWMHV-GFCCVEGCSA-N 1 2 283.400 3.515 20 0 CHADLO Cc1nc(C2([NH2+]Cc3cc(C)cc(C)c3)CCCCC2)no1 ZINC000067694691 1118353998 /nfs/dbraw/zinc/35/39/98/1118353998.db2.gz LMJGITHLQTYBAK-UHFFFAOYSA-N 1 2 299.418 3.944 20 0 CHADLO Cc1nc(C2([NH2+]Cc3ccccc3C)CCCCC2)no1 ZINC000067712393 1118355314 /nfs/dbraw/zinc/35/53/14/1118355314.db2.gz UWQVPILNYCVPRC-UHFFFAOYSA-N 1 2 285.391 3.636 20 0 CHADLO C[C@H](O)c1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001210646316 1118357887 /nfs/dbraw/zinc/35/78/87/1118357887.db2.gz NEIWZDZCBOXGEU-ZDUSSCGKSA-N 1 2 279.343 3.669 20 0 CHADLO Cc1ccc(CO)cc1Nc1cc[nH+]c2ccc(Cl)cc12 ZINC001210678667 1118364368 /nfs/dbraw/zinc/36/43/68/1118364368.db2.gz YRJJTJAGMLHZLG-UHFFFAOYSA-N 1 2 298.773 3.854 20 0 CHADLO c1ccc2c(c1)CC[C@@H]2[N@H+](Cc1nnc(C2CC2)o1)C1CC1 ZINC000072580403 1118374411 /nfs/dbraw/zinc/37/44/11/1118374411.db2.gz ZAXWQGNTWHNYFG-INIZCTEOSA-N 1 2 295.386 3.599 20 0 CHADLO c1ccc2c(c1)CC[C@@H]2[N@@H+](Cc1nnc(C2CC2)o1)C1CC1 ZINC000072580403 1118374416 /nfs/dbraw/zinc/37/44/16/1118374416.db2.gz ZAXWQGNTWHNYFG-INIZCTEOSA-N 1 2 295.386 3.599 20 0 CHADLO c1coc(C[N@H+](Cc2cccs2)Cc2cccnc2)c1 ZINC000073893119 1118384482 /nfs/dbraw/zinc/38/44/82/1118384482.db2.gz RDQJTRXHFYGDBT-UHFFFAOYSA-N 1 2 284.384 3.939 20 0 CHADLO c1coc(C[N@@H+](Cc2cccs2)Cc2cccnc2)c1 ZINC000073893119 1118384486 /nfs/dbraw/zinc/38/44/86/1118384486.db2.gz RDQJTRXHFYGDBT-UHFFFAOYSA-N 1 2 284.384 3.939 20 0 CHADLO COc1cc(Nc2ccc3nc(C)oc3c2)cc(C)[nH+]1 ZINC001210780901 1118385981 /nfs/dbraw/zinc/38/59/81/1118385981.db2.gz KHADYGDMSKUGRC-UHFFFAOYSA-N 1 2 269.304 3.592 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(O)c2Cl)[nH+]1 ZINC001210844212 1118408041 /nfs/dbraw/zinc/40/80/41/1118408041.db2.gz AEOCMXRUVOWRKX-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(O)c2Cl)c[nH+]1 ZINC001210855810 1118409817 /nfs/dbraw/zinc/40/98/17/1118409817.db2.gz YQXOXSBPIOTGHV-UHFFFAOYSA-N 1 2 277.755 3.640 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2[C@H]3CCCCCC[C@H]23)c[nH+]1 ZINC000616115283 1128776333 /nfs/dbraw/zinc/77/63/33/1128776333.db2.gz HWXPFGDPEDNNDG-HOTGVXAUSA-N 1 2 286.419 3.531 20 0 CHADLO Cc1ccc(Nc2ccc(Oc3ncccn3)cc2)[nH+]c1 ZINC001211013289 1118439391 /nfs/dbraw/zinc/43/93/91/1118439391.db2.gz GMQABSZFNRDIHA-UHFFFAOYSA-N 1 2 278.315 3.716 20 0 CHADLO FC(F)(F)c1ccc2c(Nc3ccncn3)cc[nH+]c2c1 ZINC001211023351 1118440379 /nfs/dbraw/zinc/44/03/79/1118440379.db2.gz VCZLVAPNEGWNOI-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2ncc(F)cc2F)cc1 ZINC001207100272 1118455319 /nfs/dbraw/zinc/45/53/19/1118455319.db2.gz XBGTYKLDKORKIY-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2ncc(F)cc2F)cc1 ZINC001207100272 1118455320 /nfs/dbraw/zinc/45/53/20/1118455320.db2.gz XBGTYKLDKORKIY-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO Cc1cc(NC[C@H]2CCC3(CCCC3)O2)nc(C2CC2)[nH+]1 ZINC000656228290 1118463197 /nfs/dbraw/zinc/46/31/97/1118463197.db2.gz UKLDKAWEOQHKGA-CQSZACIVSA-N 1 2 287.407 3.566 20 0 CHADLO Cc1cc(NC(=O)c2ccccc2C(C)C)cc[nH+]1 ZINC000074640190 1118475610 /nfs/dbraw/zinc/47/56/10/1118475610.db2.gz WAABXGHQLBYJJF-UHFFFAOYSA-N 1 2 254.333 3.766 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC001125457378 1118479300 /nfs/dbraw/zinc/47/93/00/1118479300.db2.gz ULSRIMIABNFLRN-NHYWBVRUSA-N 1 2 274.408 3.563 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCCc3ccc(O)cc32)cc1 ZINC000234252373 1118486939 /nfs/dbraw/zinc/48/69/39/1118486939.db2.gz OCJQBDIEWCFYGX-SFHVURJKSA-N 1 2 282.387 3.948 20 0 CHADLO COCC[N@@H+](Cc1c(Cl)cccc1Cl)C1CC1 ZINC000048023289 1118503060 /nfs/dbraw/zinc/50/30/60/1118503060.db2.gz ACBMFRPNPUQWTG-UHFFFAOYSA-N 1 2 274.191 3.604 20 0 CHADLO COCC[N@H+](Cc1c(Cl)cccc1Cl)C1CC1 ZINC000048023289 1118503058 /nfs/dbraw/zinc/50/30/58/1118503058.db2.gz ACBMFRPNPUQWTG-UHFFFAOYSA-N 1 2 274.191 3.604 20 0 CHADLO Oc1c(Cl)cccc1C[NH2+]C1(Cc2ccccc2)CC1 ZINC000187491335 1118512514 /nfs/dbraw/zinc/51/25/14/1118512514.db2.gz JYRCCIQXFBHRSK-UHFFFAOYSA-N 1 2 287.790 3.911 20 0 CHADLO Cc1cc(C)c(Nc2cc(O)c(Cl)cc2F)c[nH+]1 ZINC001213515810 1118512787 /nfs/dbraw/zinc/51/27/87/1118512787.db2.gz MNLATSWFLJZZGT-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO Cc1[nH]c(CN[C@H](CC(F)(F)F)c2ccccc2)[nH+]c1C ZINC000580844144 1118513899 /nfs/dbraw/zinc/51/38/99/1118513899.db2.gz KPYWFEFRVYPYHW-CYBMUJFWSA-N 1 2 297.324 3.810 20 0 CHADLO Cc1nc(C[NH2+][C@H](CC(F)(F)F)c2ccccc2)[nH]c1C ZINC000580844144 1118513901 /nfs/dbraw/zinc/51/39/01/1118513901.db2.gz KPYWFEFRVYPYHW-CYBMUJFWSA-N 1 2 297.324 3.810 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)on1 ZINC000580930845 1118524351 /nfs/dbraw/zinc/52/43/51/1118524351.db2.gz LVBWZPKZIIZVKD-JTQLQIEISA-N 1 2 292.766 3.724 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)on1 ZINC000580930845 1118524353 /nfs/dbraw/zinc/52/43/53/1118524353.db2.gz LVBWZPKZIIZVKD-JTQLQIEISA-N 1 2 292.766 3.724 20 0 CHADLO Cc1cnc(Br)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213525423 1118528519 /nfs/dbraw/zinc/52/85/19/1118528519.db2.gz VYOXGYVBBXFQKB-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)c(O)cc1F ZINC001215572606 1118528722 /nfs/dbraw/zinc/52/87/22/1118528722.db2.gz MTYUKADBNFRODA-UHFFFAOYSA-N 1 2 264.275 3.680 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(OC(F)(F)F)nc2)c1C ZINC001213527360 1118532702 /nfs/dbraw/zinc/53/27/02/1118532702.db2.gz HVRMOPLHUUZCJP-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]cc(Nc2ccccc2C(=O)NC(C)(C)C)c1C ZINC001213531291 1118549295 /nfs/dbraw/zinc/54/92/95/1118549295.db2.gz ZPKQKXJTYBKKNZ-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO CCC[C@](C)(NC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000889479971 1118577716 /nfs/dbraw/zinc/57/77/16/1118577716.db2.gz XFBSPRIKHFADHW-QAPCUYQASA-N 1 2 299.418 3.666 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(OC(F)F)cc1)C(F)F ZINC000389954136 1118590468 /nfs/dbraw/zinc/59/04/68/1118590468.db2.gz YPTCDRJGBYHCQD-JGVFFNPUSA-N 1 2 265.250 3.592 20 0 CHADLO Cc1nc(C[NH2+]Cc2cccc(Cl)c2F)cs1 ZINC000135236618 1118595976 /nfs/dbraw/zinc/59/59/76/1118595976.db2.gz PMZHTHKKUDIZBC-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO CCCCS[C@@H](C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000341062486 1118598287 /nfs/dbraw/zinc/59/82/87/1118598287.db2.gz ALLBLWLZDYWMCY-ZDUSSCGKSA-N 1 2 280.437 3.867 20 0 CHADLO COc1cc(F)c(F)cc1C[N@H+](C)Cc1ccsc1 ZINC001143394625 1118606249 /nfs/dbraw/zinc/60/62/49/1118606249.db2.gz JZWGLEPOXYLLAD-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1cc(F)c(F)cc1C[N@@H+](C)Cc1ccsc1 ZINC001143394625 1118606251 /nfs/dbraw/zinc/60/62/51/1118606251.db2.gz JZWGLEPOXYLLAD-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO CC[C@H]1CCCC[C@@H]1c1noc(C[N@H+](CC)C2CC2)n1 ZINC000341168258 1118610118 /nfs/dbraw/zinc/61/01/18/1118610118.db2.gz FSQLDHRBTHLABM-JSGCOSHPSA-N 1 2 277.412 3.738 20 0 CHADLO CC[C@H]1CCCC[C@@H]1c1noc(C[N@@H+](CC)C2CC2)n1 ZINC000341168258 1118610120 /nfs/dbraw/zinc/61/01/20/1118610120.db2.gz FSQLDHRBTHLABM-JSGCOSHPSA-N 1 2 277.412 3.738 20 0 CHADLO Cc1cn(C)nc1C[NH2+][C@@H](C)c1cccc(Cl)c1Cl ZINC000723524030 1118614972 /nfs/dbraw/zinc/61/49/72/1118614972.db2.gz FWGJIENPTHRIDF-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)co1 ZINC000834901400 1118621607 /nfs/dbraw/zinc/62/16/07/1118621607.db2.gz UQRJQGZRNSDMRV-ZDUSSCGKSA-N 1 2 288.391 3.875 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1ccccc1OC(F)(F)F)C2 ZINC000656694532 1118638111 /nfs/dbraw/zinc/63/81/11/1118638111.db2.gz TZDOAYNNAZZVIJ-UHFFFAOYSA-N 1 2 289.272 3.519 20 0 CHADLO CSc1ccc([C@@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000341265561 1118645387 /nfs/dbraw/zinc/64/53/87/1118645387.db2.gz IMZCULCZJZUUEI-GFCCVEGCSA-N 1 2 299.443 3.979 20 0 CHADLO C[C@H](Nc1[nH+]cccc1-n1cccc1)c1ccc(F)cn1 ZINC001167476045 1118646569 /nfs/dbraw/zinc/64/65/69/1118646569.db2.gz LGGXHPTWHZHRNZ-LBPRGKRZSA-N 1 2 282.322 3.580 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1cccs1 ZINC000157858908 1118654472 /nfs/dbraw/zinc/65/44/72/1118654472.db2.gz HNEKATSKAJKJIF-NSHDSACASA-N 1 2 297.383 3.880 20 0 CHADLO CC(C)C[C@@H](C[N@@H+]1CC(F)(F)C[C@@H]1CO)c1ccccc1 ZINC000684405286 1118683332 /nfs/dbraw/zinc/68/33/32/1118683332.db2.gz DQSHCBKEMLWWLK-JKSUJKDBSA-N 1 2 297.389 3.518 20 0 CHADLO CC(C)C[C@@H](C[N@H+]1CC(F)(F)C[C@@H]1CO)c1ccccc1 ZINC000684405286 1118683334 /nfs/dbraw/zinc/68/33/34/1118683334.db2.gz DQSHCBKEMLWWLK-JKSUJKDBSA-N 1 2 297.389 3.518 20 0 CHADLO Cc1c[nH+]c(CNc2ccc(-c3ccco3)cc2F)n1C ZINC000341390444 1118686629 /nfs/dbraw/zinc/68/66/29/1118686629.db2.gz SSRNSSUECCSKOG-UHFFFAOYSA-N 1 2 285.322 3.740 20 0 CHADLO CC(C)([NH2+]Cc1cccc(OCC(F)(F)F)c1)C(F)F ZINC000684414032 1118688555 /nfs/dbraw/zinc/68/85/55/1118688555.db2.gz QLKKBAOWGPSZRO-UHFFFAOYSA-N 1 2 297.267 3.761 20 0 CHADLO Cc1cc(C[NH2+]C(C)(C)C(F)F)cc2cccnc12 ZINC000684413801 1118688584 /nfs/dbraw/zinc/68/85/84/1118688584.db2.gz DZLSGKZWHAZLAK-UHFFFAOYSA-N 1 2 264.319 3.677 20 0 CHADLO Cc1cc(N[C@H](C)c2ccccn2)nc(C2CCC2)[nH+]1 ZINC000891491915 1118693667 /nfs/dbraw/zinc/69/36/67/1118693667.db2.gz CZTBYNNKKHVPLS-GFCCVEGCSA-N 1 2 268.364 3.621 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1ccnn1C1CCC1 ZINC000891499515 1118696328 /nfs/dbraw/zinc/69/63/28/1118696328.db2.gz PERILHDJHNMDQZ-UHFFFAOYSA-N 1 2 295.839 3.955 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1ccnn1C1CCC1 ZINC000891499515 1118696330 /nfs/dbraw/zinc/69/63/30/1118696330.db2.gz PERILHDJHNMDQZ-UHFFFAOYSA-N 1 2 295.839 3.955 20 0 CHADLO CC(C)c1noc(/C=C/c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000130358211 1118703386 /nfs/dbraw/zinc/70/33/86/1118703386.db2.gz IEWFWBQXTYJFCD-VMPITWQZSA-N 1 2 280.331 3.549 20 0 CHADLO Cc1ccc(C2=CC[N@H+](Cc3nccn3C3CC3)CC2)cc1 ZINC000891535108 1118704420 /nfs/dbraw/zinc/70/44/20/1118704420.db2.gz SQXKHMHZHTVYPA-UHFFFAOYSA-N 1 2 293.414 3.816 20 0 CHADLO Cc1ccc(C2=CC[N@@H+](Cc3nccn3C3CC3)CC2)cc1 ZINC000891535108 1118704421 /nfs/dbraw/zinc/70/44/21/1118704421.db2.gz SQXKHMHZHTVYPA-UHFFFAOYSA-N 1 2 293.414 3.816 20 0 CHADLO CC(C)n1cc2c(n1)[C@@H]([NH2+][C@H](C)c1ccns1)CCC2 ZINC000926184914 1118705124 /nfs/dbraw/zinc/70/51/24/1118705124.db2.gz UGXRKRVQWBUEJK-YPMHNXCESA-N 1 2 290.436 3.649 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(F)cn2)cc(OC(F)F)[nH+]1 ZINC001167485934 1118710334 /nfs/dbraw/zinc/71/03/34/1118710334.db2.gz FKCUBKQXQVPQLR-VIFPVBQESA-N 1 2 297.280 3.699 20 0 CHADLO COc1cc(F)c(F)cc1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC001143400053 1118713305 /nfs/dbraw/zinc/71/33/05/1118713305.db2.gz KTAIMAMGHIAFEP-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cc(F)c(F)cc1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC001143400053 1118713308 /nfs/dbraw/zinc/71/33/08/1118713308.db2.gz KTAIMAMGHIAFEP-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1cc(NCc2cc3ccccc3s2)nc(C2CC2)[nH+]1 ZINC000341476947 1118714734 /nfs/dbraw/zinc/71/47/34/1118714734.db2.gz GGPJODNTEXRNNL-UHFFFAOYSA-N 1 2 295.411 3.911 20 0 CHADLO Cc1cc(N(C)[C@@H](C)c2ccc(F)cc2)nc(C2CC2)[nH+]1 ZINC000341486631 1118716134 /nfs/dbraw/zinc/71/61/34/1118716134.db2.gz PULJNVBLMGILOB-LBPRGKRZSA-N 1 2 285.366 3.999 20 0 CHADLO Cc1cc(N2CCC[C@@H]2Cc2ccccc2)nc(C2CC2)[nH+]1 ZINC000341480766 1118716934 /nfs/dbraw/zinc/71/69/34/1118716934.db2.gz IGWQQUHURAJJGN-QGZVFWFLSA-N 1 2 293.414 3.874 20 0 CHADLO Cc1cc(N2CCC[C@]3(CC=CCC3)C2)nc(C2CC2)[nH+]1 ZINC000341496935 1118716937 /nfs/dbraw/zinc/71/69/37/1118716937.db2.gz IPBDHNKFAJTHMX-GOSISDBHSA-N 1 2 283.419 3.989 20 0 CHADLO Cc1cc(N(C)[C@H]2CCc3ccccc3C2)nc(C2CC2)[nH+]1 ZINC000341502607 1118717186 /nfs/dbraw/zinc/71/71/86/1118717186.db2.gz OGZBXZMTQGXPBR-KRWDZBQOSA-N 1 2 293.414 3.656 20 0 CHADLO CCN(Cc1cccc(F)c1)c1cc(C)[nH+]c(C2CC2)n1 ZINC000341506009 1118717828 /nfs/dbraw/zinc/71/78/28/1118717828.db2.gz UFGOAIDHVAONIA-UHFFFAOYSA-N 1 2 285.366 3.828 20 0 CHADLO Fc1ccc(C[NH2+]Cc2c(Cl)oc3ccccc32)nc1 ZINC000341504713 1118718120 /nfs/dbraw/zinc/71/81/20/1118718120.db2.gz YYHHJFDDBYIVDI-UHFFFAOYSA-N 1 2 290.725 3.910 20 0 CHADLO CCOc1ccc(CN(C)c2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000341518075 1118719048 /nfs/dbraw/zinc/71/90/48/1118719048.db2.gz QFPZZOIQLHYJDT-UHFFFAOYSA-N 1 2 297.402 3.698 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2nccn2C2CC2)c1 ZINC000891618960 1118722559 /nfs/dbraw/zinc/72/25/59/1118722559.db2.gz WRAPXNPAYRXVCN-MRXNPFEDSA-N 1 2 285.366 3.694 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2nccn2C2CC2)c1 ZINC000891618960 1118722562 /nfs/dbraw/zinc/72/25/62/1118722562.db2.gz WRAPXNPAYRXVCN-MRXNPFEDSA-N 1 2 285.366 3.694 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2csc(C(C)C)n2)s1 ZINC000090408646 1118725322 /nfs/dbraw/zinc/72/53/22/1118725322.db2.gz SPRDNZJLVAEYFY-VIFPVBQESA-N 1 2 281.450 3.882 20 0 CHADLO C[C@@H]([NH2+]C/C=C\Cl)c1ncc(-c2ccccc2)o1 ZINC000588443722 1118752166 /nfs/dbraw/zinc/75/21/66/1118752166.db2.gz WTOZPPWBEUTGJB-UIJKISKDSA-N 1 2 262.740 3.745 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccc(CC(C)C)nc2)s1 ZINC000891736421 1118753271 /nfs/dbraw/zinc/75/32/71/1118753271.db2.gz SDTMFVDYSDIMGD-UHFFFAOYSA-N 1 2 289.448 3.677 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccc(CC(C)C)nc2)s1 ZINC000891736421 1118753274 /nfs/dbraw/zinc/75/32/74/1118753274.db2.gz SDTMFVDYSDIMGD-UHFFFAOYSA-N 1 2 289.448 3.677 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](Cc2ccccn2)C(C)C)c1 ZINC000891743905 1118755007 /nfs/dbraw/zinc/75/50/07/1118755007.db2.gz KWRBZHGTSQRKTD-UHFFFAOYSA-N 1 2 289.810 3.849 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](Cc2ccccn2)C(C)C)c1 ZINC000891743905 1118755010 /nfs/dbraw/zinc/75/50/10/1118755010.db2.gz KWRBZHGTSQRKTD-UHFFFAOYSA-N 1 2 289.810 3.849 20 0 CHADLO Cc1cc(NC(=O)C[C@@H]2C[C@@H](C)c3c2cccc3C)cc[nH+]1 ZINC001266882785 1118766764 /nfs/dbraw/zinc/76/67/64/1118766764.db2.gz JQKLVVYTXVXQBF-HIFRSBDPSA-N 1 2 294.398 3.740 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000341682484 1118796571 /nfs/dbraw/zinc/79/65/71/1118796571.db2.gz CFTYBYIVJORWPR-CQSZACIVSA-N 1 2 299.418 3.561 20 0 CHADLO CC(C)(C)n1cnn(C[N@H+](C2CC2)C2CCCC2)c1=S ZINC000068870888 1128801612 /nfs/dbraw/zinc/80/16/12/1128801612.db2.gz WINKMHXIHJGFRH-UHFFFAOYSA-N 1 2 294.468 3.534 20 0 CHADLO CC(C)(C)n1cnn(C[N@@H+](C2CC2)C2CCCC2)c1=S ZINC000068870888 1128801618 /nfs/dbraw/zinc/80/16/18/1128801618.db2.gz WINKMHXIHJGFRH-UHFFFAOYSA-N 1 2 294.468 3.534 20 0 CHADLO C[C@@H]1CC[N@H+](C[C@H]2C[C@H]2c2ccccc2)CC1(F)F ZINC000426369028 1118808208 /nfs/dbraw/zinc/80/82/08/1118808208.db2.gz CNNGORXVHYXRLF-YUELXQCFSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]1CC[N@@H+](C[C@H]2C[C@H]2c2ccccc2)CC1(F)F ZINC000426369028 1118808213 /nfs/dbraw/zinc/80/82/13/1118808213.db2.gz CNNGORXVHYXRLF-YUELXQCFSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccc1F)c1ccccc1OC(F)F ZINC000090720423 1118814405 /nfs/dbraw/zinc/81/44/05/1118814405.db2.gz RAVQPODTPHJVEI-SNVBAGLBSA-N 1 2 296.292 3.673 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1c(Cl)cncc1Cl ZINC001137603495 1128802932 /nfs/dbraw/zinc/80/29/32/1128802932.db2.gz RPYMEWZXNMJCGF-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1c(Cl)cncc1Cl ZINC001137603495 1128802937 /nfs/dbraw/zinc/80/29/37/1128802937.db2.gz RPYMEWZXNMJCGF-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CCC3(CCCC3)CC2)no1 ZINC000158409785 1118821538 /nfs/dbraw/zinc/82/15/38/1118821538.db2.gz FVOMEAQAHHDFIF-UHFFFAOYSA-N 1 2 277.412 3.523 20 0 CHADLO Cn1cc(Cl)cc1C[N@H+](C)Cc1cccc(Cl)c1O ZINC000180709779 1128803683 /nfs/dbraw/zinc/80/36/83/1128803683.db2.gz HRLLBKKSFQJHSN-UHFFFAOYSA-N 1 2 299.201 3.670 20 0 CHADLO Cn1cc(Cl)cc1C[N@@H+](C)Cc1cccc(Cl)c1O ZINC000180709779 1128803688 /nfs/dbraw/zinc/80/36/88/1128803688.db2.gz HRLLBKKSFQJHSN-UHFFFAOYSA-N 1 2 299.201 3.670 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cn1nc(C2CC2)sc1=S ZINC000074720604 1118841827 /nfs/dbraw/zinc/84/18/27/1118841827.db2.gz TTWDXLIFIHHANW-JTQLQIEISA-N 1 2 283.466 3.773 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cn1nc(C2CC2)sc1=S ZINC000074720604 1118841834 /nfs/dbraw/zinc/84/18/34/1118841834.db2.gz TTWDXLIFIHHANW-JTQLQIEISA-N 1 2 283.466 3.773 20 0 CHADLO Cc1noc([C@H]([NH2+]Cc2ccc(Cl)cc2C)C(C)C)n1 ZINC000129703534 1118882947 /nfs/dbraw/zinc/88/29/47/1118882947.db2.gz JJNNXDRLBJPMMN-CQSZACIVSA-N 1 2 293.798 3.827 20 0 CHADLO C[N@H+](Cc1cnc[nH]1)C(c1ccccc1)c1ccccc1 ZINC000684616139 1118905532 /nfs/dbraw/zinc/90/55/32/1118905532.db2.gz FGOPZHCNNCVRJZ-UHFFFAOYSA-N 1 2 277.371 3.631 20 0 CHADLO C[N@@H+](Cc1cnc[nH]1)C(c1ccccc1)c1ccccc1 ZINC000684616139 1118905534 /nfs/dbraw/zinc/90/55/34/1118905534.db2.gz FGOPZHCNNCVRJZ-UHFFFAOYSA-N 1 2 277.371 3.631 20 0 CHADLO Fc1cccc(C[NH+]2CCC(F)(F)CC2)c1Cl ZINC000684623518 1118910175 /nfs/dbraw/zinc/91/01/75/1118910175.db2.gz FIIKWLPKABTABV-UHFFFAOYSA-N 1 2 263.690 3.710 20 0 CHADLO Fc1ccc(Cl)c(C[NH+]2CCC(F)(F)CC2)c1 ZINC000684624625 1118911656 /nfs/dbraw/zinc/91/16/56/1118911656.db2.gz WCYREGFHSLUUNT-UHFFFAOYSA-N 1 2 263.690 3.710 20 0 CHADLO CC1CCC([N@H+](Cn2ncsc2=S)C2CC2)CC1 ZINC000077435451 1128809379 /nfs/dbraw/zinc/80/93/79/1128809379.db2.gz WNUUSUATAVESIA-UHFFFAOYSA-N 1 2 283.466 3.675 20 0 CHADLO CC1CCC([N@@H+](Cn2ncsc2=S)C2CC2)CC1 ZINC000077435451 1128809386 /nfs/dbraw/zinc/80/93/86/1128809386.db2.gz WNUUSUATAVESIA-UHFFFAOYSA-N 1 2 283.466 3.675 20 0 CHADLO CC[C@@H](C)N(CC)C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000616975774 1128809540 /nfs/dbraw/zinc/80/95/40/1128809540.db2.gz IEFGZCXFJZITLA-ZBFHGGJFSA-N 1 2 299.418 3.579 20 0 CHADLO COc1cccc(/C=[NH+]/CCc2ccc(C)cc2C)c1O ZINC000065222255 1118918499 /nfs/dbraw/zinc/91/84/99/1118918499.db2.gz SSSMXXXXYVDDNO-XDHOZWIPSA-N 1 2 283.371 3.679 20 0 CHADLO FC(F)n1ccnc1C[N@H+](Cc1ccco1)C1CCCC1 ZINC000097475737 1118918627 /nfs/dbraw/zinc/91/86/27/1118918627.db2.gz NSWUCAAYFIGPIU-UHFFFAOYSA-N 1 2 295.333 3.816 20 0 CHADLO FC(F)n1ccnc1C[N@@H+](Cc1ccco1)C1CCCC1 ZINC000097475737 1118918630 /nfs/dbraw/zinc/91/86/30/1118918630.db2.gz NSWUCAAYFIGPIU-UHFFFAOYSA-N 1 2 295.333 3.816 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nc2ccccc2o1)c1cncs1 ZINC000934195478 1118962269 /nfs/dbraw/zinc/96/22/69/1118962269.db2.gz OUBPOIWNGHJOKF-NXEZZACHSA-N 1 2 273.361 3.696 20 0 CHADLO Fc1cc(Cl)cc(C[N@H+]2CCCC[C@@H](F)C2)c1 ZINC001140536767 1118974128 /nfs/dbraw/zinc/97/41/28/1118974128.db2.gz JYMWIFLWFDUBTO-GFCCVEGCSA-N 1 2 259.727 3.803 20 0 CHADLO Fc1cc(Cl)cc(C[N@@H+]2CCCC[C@@H](F)C2)c1 ZINC001140536767 1118974131 /nfs/dbraw/zinc/97/41/31/1118974131.db2.gz JYMWIFLWFDUBTO-GFCCVEGCSA-N 1 2 259.727 3.803 20 0 CHADLO COc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1ncc(C)cn1 ZINC000342334539 1118980864 /nfs/dbraw/zinc/98/08/64/1118980864.db2.gz CGNUCDBAJYVCHL-MRXNPFEDSA-N 1 2 299.418 3.671 20 0 CHADLO C[C@H]([NH2+]Cc1ncnn1C(C)(C)C)c1coc2ccccc12 ZINC000926366872 1119000965 /nfs/dbraw/zinc/00/09/65/1119000965.db2.gz PCBBXZSZWVUCRS-LBPRGKRZSA-N 1 2 298.390 3.630 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1ccc(F)c(OC(F)(F)F)c1 ZINC001143435397 1119004765 /nfs/dbraw/zinc/00/47/65/1119004765.db2.gz AZGKMKLXJRVOGP-PSASIEDQSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1ccc(F)c(OC(F)(F)F)c1 ZINC001143435397 1119004768 /nfs/dbraw/zinc/00/47/68/1119004768.db2.gz AZGKMKLXJRVOGP-PSASIEDQSA-N 1 2 295.251 3.657 20 0 CHADLO CCc1ncc(C[NH2+][C@H]2COc3cccc(Cl)c32)s1 ZINC000342418732 1119014370 /nfs/dbraw/zinc/01/43/70/1119014370.db2.gz MBOFPMOENHDVRN-NSHDSACASA-N 1 2 294.807 3.582 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)o1 ZINC000628130613 1128815763 /nfs/dbraw/zinc/81/57/63/1128815763.db2.gz FVGPOIWDOIVHFB-BDJLRTHQSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)o1 ZINC000628130613 1128815768 /nfs/dbraw/zinc/81/57/68/1128815768.db2.gz FVGPOIWDOIVHFB-BDJLRTHQSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@@H](C)c2cscn2)cnn1C(C)(C)C ZINC000582192409 1119032033 /nfs/dbraw/zinc/03/20/33/1119032033.db2.gz IYRAJKQDWFNHLW-QWRGUYRKSA-N 1 2 292.452 3.815 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc3cnccc32)c(C)[nH+]1 ZINC000342452667 1119034110 /nfs/dbraw/zinc/03/41/10/1119034110.db2.gz WCLSCSVSLFJSIP-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO COc1ccc([C@H]([NH2+][C@H]2CCCc3[nH]ncc32)C2CC2)cc1 ZINC000353401809 1128818900 /nfs/dbraw/zinc/81/89/00/1128818900.db2.gz IIHZBGPBSRJIDB-FUHWJXTLSA-N 1 2 297.402 3.537 20 0 CHADLO CC[C@H](C)C(=O)Nc1ccccc1-c1[nH+]cc2n1CCCC2 ZINC000684843285 1119065958 /nfs/dbraw/zinc/06/59/58/1119065958.db2.gz WQLQNBZBEZADFJ-ZDUSSCGKSA-N 1 2 297.402 3.871 20 0 CHADLO COC(=O)c1ccc(F)c(C[N@@H+]2CC[C@@H]2c2ccccc2)c1 ZINC000511112785 1119068831 /nfs/dbraw/zinc/06/88/31/1119068831.db2.gz YWZFOFSNLXXPSJ-QGZVFWFLSA-N 1 2 299.345 3.559 20 0 CHADLO COC(=O)c1ccc(F)c(C[N@H+]2CC[C@@H]2c2ccccc2)c1 ZINC000511112785 1119068833 /nfs/dbraw/zinc/06/88/33/1119068833.db2.gz YWZFOFSNLXXPSJ-QGZVFWFLSA-N 1 2 299.345 3.559 20 0 CHADLO C[C@@]1(CNc2cc[nH+]c3c(Cl)cccc23)CCCO1 ZINC000342537589 1119072353 /nfs/dbraw/zinc/07/23/53/1119072353.db2.gz JNNSJKTZMQQOJR-HNNXBMFYSA-N 1 2 276.767 3.869 20 0 CHADLO OCCC1(CNc2cc[nH+]c3c(Cl)cccc23)CCC1 ZINC000342639525 1119108343 /nfs/dbraw/zinc/10/83/43/1119108343.db2.gz UCZRHPJZIAWTCE-UHFFFAOYSA-N 1 2 290.794 3.853 20 0 CHADLO Clc1cccc2c(NCCc3ccncc3)cc[nH+]c12 ZINC000342638984 1119108597 /nfs/dbraw/zinc/10/85/97/1119108597.db2.gz SSSZQERACJKMKD-UHFFFAOYSA-N 1 2 283.762 3.938 20 0 CHADLO Fc1ccc(F)c2c1CC[N@H+](CCOC1CCCCC1)C2 ZINC001167586170 1119119634 /nfs/dbraw/zinc/11/96/34/1119119634.db2.gz IPWCODHQMJCAIV-UHFFFAOYSA-N 1 2 295.373 3.672 20 0 CHADLO Fc1ccc(F)c2c1CC[N@@H+](CCOC1CCCCC1)C2 ZINC001167586170 1119119636 /nfs/dbraw/zinc/11/96/36/1119119636.db2.gz IPWCODHQMJCAIV-UHFFFAOYSA-N 1 2 295.373 3.672 20 0 CHADLO Cc1[nH]c2ccc(Cn3cc(-c4ccccc4)cn3)cc2[nH+]1 ZINC000342687251 1119127129 /nfs/dbraw/zinc/12/71/29/1119127129.db2.gz MDUCJIXDTKAHPL-UHFFFAOYSA-N 1 2 288.354 3.783 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@H](c3ccccc3)[C@H](CC)C2)no1 ZINC000472235347 1119133615 /nfs/dbraw/zinc/13/36/15/1119133615.db2.gz YZEGNXHTQPACRN-ZBFHGGJFSA-N 1 2 299.418 3.648 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@H](c3ccccc3)[C@H](CC)C2)no1 ZINC000472235347 1119133618 /nfs/dbraw/zinc/13/36/18/1119133618.db2.gz YZEGNXHTQPACRN-ZBFHGGJFSA-N 1 2 299.418 3.648 20 0 CHADLO c1sc(C[NH2+][C@@H]2CCCc3cccnc32)nc1C1CC1 ZINC000684960286 1119143483 /nfs/dbraw/zinc/14/34/83/1119143483.db2.gz WSEFDLCQLWAJMK-CYBMUJFWSA-N 1 2 285.416 3.583 20 0 CHADLO c1cn(C2CCCC2)nc1C[NH2+][C@H]1CCCc2cccnc21 ZINC000684960320 1119143714 /nfs/dbraw/zinc/14/37/14/1119143714.db2.gz XPKVAIHNDLOONG-KRWDZBQOSA-N 1 2 296.418 3.560 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2nc(C)c(C)[nH]2)o1 ZINC000628189304 1128825002 /nfs/dbraw/zinc/82/50/02/1128825002.db2.gz XHWUHEMFVFXFMX-ABAIWWIYSA-N 1 2 287.407 3.901 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2nc(C)c(C)[nH]2)o1 ZINC000628189304 1128825007 /nfs/dbraw/zinc/82/50/07/1128825007.db2.gz XHWUHEMFVFXFMX-ABAIWWIYSA-N 1 2 287.407 3.901 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CCN2Cc2[nH]c(C)c(C)[nH+]2)o1 ZINC000628189304 1128825012 /nfs/dbraw/zinc/82/50/12/1128825012.db2.gz XHWUHEMFVFXFMX-ABAIWWIYSA-N 1 2 287.407 3.901 20 0 CHADLO Cc1c[nH+]c(CN(Cc2ccco2)Cc2ccccc2)n1C ZINC000342789093 1119169248 /nfs/dbraw/zinc/16/92/48/1119169248.db2.gz XGRBJAVNTZCQID-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO C[C@H](CCC(C)(C)C)[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000342796168 1119174166 /nfs/dbraw/zinc/17/41/66/1119174166.db2.gz JFQLRBLHNFNEOF-UKRRQHHQSA-N 1 2 286.423 3.699 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)o1 ZINC000075615818 1119178148 /nfs/dbraw/zinc/17/81/48/1119178148.db2.gz AYVYWADRSGYBMK-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(C(C)(C)C)cc2)o1 ZINC000075615818 1119178151 /nfs/dbraw/zinc/17/81/51/1119178151.db2.gz AYVYWADRSGYBMK-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1c[nH+]c(CN2CCCC[C@@H]2c2ccc(F)cc2)n1C ZINC000342806544 1119183249 /nfs/dbraw/zinc/18/32/49/1119183249.db2.gz JYXXRLBRUULBQE-MRXNPFEDSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1cnc(C[N@@H+]2CCCC[C@@H]2c2ccc(F)cc2)n1C ZINC000342806544 1119183252 /nfs/dbraw/zinc/18/32/52/1119183252.db2.gz JYXXRLBRUULBQE-MRXNPFEDSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1cnc(C[N@H+]2CCCC[C@@H]2c2ccc(F)cc2)n1C ZINC000342806544 1119183253 /nfs/dbraw/zinc/18/32/53/1119183253.db2.gz JYXXRLBRUULBQE-MRXNPFEDSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1ccc2nc(C[N@@H+](Cc3ccco3)C(C)C)cn2c1 ZINC000342808677 1119184653 /nfs/dbraw/zinc/18/46/53/1119184653.db2.gz SQNOBLISRBLPLR-UHFFFAOYSA-N 1 2 283.375 3.646 20 0 CHADLO Cc1ccc2nc(C[N@H+](Cc3ccco3)C(C)C)cn2c1 ZINC000342808677 1119184655 /nfs/dbraw/zinc/18/46/55/1119184655.db2.gz SQNOBLISRBLPLR-UHFFFAOYSA-N 1 2 283.375 3.646 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(SC)ccc1Cl ZINC000657564280 1119186919 /nfs/dbraw/zinc/18/69/19/1119186919.db2.gz PSDJMCUBMFNNIG-UHFFFAOYSA-N 1 2 281.812 3.890 20 0 CHADLO c1ccc(COc2cc(CNc3cccc[nH+]3)ccn2)cc1 ZINC000076773487 1119187924 /nfs/dbraw/zinc/18/79/24/1119187924.db2.gz CZGNPWKDJKKTDD-UHFFFAOYSA-N 1 2 291.354 3.668 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2ccon2)o1 ZINC000077318665 1119191565 /nfs/dbraw/zinc/19/15/65/1119191565.db2.gz OYTQPBZNZCAVJP-HNNXBMFYSA-N 1 2 274.364 3.947 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2ccon2)o1 ZINC000077318665 1119191566 /nfs/dbraw/zinc/19/15/66/1119191566.db2.gz OYTQPBZNZCAVJP-HNNXBMFYSA-N 1 2 274.364 3.947 20 0 CHADLO COc1cc(C)c(C)cc1NCc1c[nH+]cn1C(C)C ZINC000657579731 1119194826 /nfs/dbraw/zinc/19/48/26/1119194826.db2.gz FWNXEUJHTFDANO-UHFFFAOYSA-N 1 2 273.380 3.702 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCC=C(c3ccccc3)C2)n1 ZINC000342841863 1119204609 /nfs/dbraw/zinc/20/46/09/1119204609.db2.gz UHNQAXQBDNZJIK-UHFFFAOYSA-N 1 2 289.329 3.568 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCC=C(c3ccccc3)C2)n1 ZINC000342841863 1119204610 /nfs/dbraw/zinc/20/46/10/1119204610.db2.gz UHNQAXQBDNZJIK-UHFFFAOYSA-N 1 2 289.329 3.568 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1cscn1)c1ccccc1F ZINC000657616292 1119208523 /nfs/dbraw/zinc/20/85/23/1119208523.db2.gz VUZBKSYGOFPUJN-AWEZNQCLSA-N 1 2 264.369 3.769 20 0 CHADLO COC(=O)c1ccsc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213085559 1119212891 /nfs/dbraw/zinc/21/28/91/1119212891.db2.gz LDWPZTIDECKFKS-UHFFFAOYSA-N 1 2 299.355 3.668 20 0 CHADLO Clc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)ccn1 ZINC001213085607 1119218694 /nfs/dbraw/zinc/21/86/94/1119218694.db2.gz NSSOQRXGZQBXDR-UHFFFAOYSA-N 1 2 270.723 3.869 20 0 CHADLO CO[C@H](CNc1cccc[nH+]1)c1ccc(Cl)cc1 ZINC000078381630 1119219044 /nfs/dbraw/zinc/21/90/44/1119219044.db2.gz MZLPNHMKMKLFPM-CYBMUJFWSA-N 1 2 262.740 3.535 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)cc1C ZINC000237590110 1119222860 /nfs/dbraw/zinc/22/28/60/1119222860.db2.gz PWGOLLLZILLBAE-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO CC(C)c1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)on1 ZINC001213085709 1119224227 /nfs/dbraw/zinc/22/42/27/1119224227.db2.gz SPYZOUXYEGLORG-UHFFFAOYSA-N 1 2 268.320 3.932 20 0 CHADLO Cc1ccc2oc(C[NH2+]Cc3nccn3C(C)C)cc2c1 ZINC000657652838 1119224564 /nfs/dbraw/zinc/22/45/64/1119224564.db2.gz SBVHTQKSFKNYTP-UHFFFAOYSA-N 1 2 283.375 3.808 20 0 CHADLO Cc1cccc(Nc2ccc(-c3ncc[nH]3)cc2)[nH+]1 ZINC001213085771 1119226011 /nfs/dbraw/zinc/22/60/11/1119226011.db2.gz WLADVPBMMOUAIV-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)N(Cc1[nH]c(C)c(C)[nH+]1)[C@@H]2C ZINC000628236904 1128833641 /nfs/dbraw/zinc/83/36/41/1128833641.db2.gz UMBKZEUPNCPRQF-BXUZGUMPSA-N 1 2 299.418 3.543 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@@H+](Cc1nc(C)c(C)[nH]1)[C@@H]2C ZINC000628236904 1128833647 /nfs/dbraw/zinc/83/36/47/1128833647.db2.gz UMBKZEUPNCPRQF-BXUZGUMPSA-N 1 2 299.418 3.543 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@H+](Cc1nc(C)c(C)[nH]1)[C@@H]2C ZINC000628236904 1128833652 /nfs/dbraw/zinc/83/36/52/1128833652.db2.gz UMBKZEUPNCPRQF-BXUZGUMPSA-N 1 2 299.418 3.543 20 0 CHADLO CC(C)c1nnc(C[N@H+](Cc2ccsc2)C(C)(C)C)[nH]1 ZINC000661134450 1119282409 /nfs/dbraw/zinc/28/24/09/1119282409.db2.gz ZDPYHBNGHUZLSO-UHFFFAOYSA-N 1 2 292.452 3.790 20 0 CHADLO CC(C)c1nnc(C[N@@H+](Cc2ccsc2)C(C)(C)C)[nH]1 ZINC000661134450 1119282413 /nfs/dbraw/zinc/28/24/13/1119282413.db2.gz ZDPYHBNGHUZLSO-UHFFFAOYSA-N 1 2 292.452 3.790 20 0 CHADLO COc1nc(C)ccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086738 1119282637 /nfs/dbraw/zinc/28/26/37/1119282637.db2.gz XNUYHOADMMFKDR-UHFFFAOYSA-N 1 2 280.331 3.532 20 0 CHADLO CNc1ccnc(Cl)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086728 1119283531 /nfs/dbraw/zinc/28/35/31/1119283531.db2.gz XCIUZVUDJYBRQI-UHFFFAOYSA-N 1 2 299.765 3.910 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000153739614 1119283669 /nfs/dbraw/zinc/28/36/69/1119283669.db2.gz CZKCFMWOXJUQLK-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000153739614 1119283671 /nfs/dbraw/zinc/28/36/71/1119283671.db2.gz CZKCFMWOXJUQLK-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO c1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n(C2CCC2)n1 ZINC001213087596 1119324076 /nfs/dbraw/zinc/32/40/76/1119324076.db2.gz UVCLKLHQOVQTQC-UHFFFAOYSA-N 1 2 279.347 3.742 20 0 CHADLO CN(C)c1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001213088060 1119344345 /nfs/dbraw/zinc/34/43/45/1119344345.db2.gz NQPOUIQHHWJQGW-UHFFFAOYSA-N 1 2 278.359 3.886 20 0 CHADLO Oc1cc(F)cc(F)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089121 1119374455 /nfs/dbraw/zinc/37/44/55/1119374455.db2.gz VGNLFGQAFDIGCE-UHFFFAOYSA-N 1 2 287.269 3.804 20 0 CHADLO CCN(c1ccc(-c2[nH]cc[nH+]2)cc1)c1ccccc1N ZINC001213089145 1119374889 /nfs/dbraw/zinc/37/48/89/1119374889.db2.gz WOCXXWUFFZJQHP-UHFFFAOYSA-N 1 2 278.359 3.817 20 0 CHADLO c1cn(CCCN2CC3(CCCC3)c3ccccc32)c[nH+]1 ZINC000343316016 1119383193 /nfs/dbraw/zinc/38/31/93/1119383193.db2.gz XXKMFHTZAMSDEW-UHFFFAOYSA-N 1 2 281.403 3.605 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1nc2ccccc2n1C(F)F ZINC000343340067 1119390316 /nfs/dbraw/zinc/39/03/16/1119390316.db2.gz FEGXBGVGGCVVKE-SNVBAGLBSA-N 1 2 288.301 4.000 20 0 CHADLO Oc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc(F)c1F ZINC001213090091 1119393274 /nfs/dbraw/zinc/39/32/74/1119393274.db2.gz UAPZFQJKTHWABM-UHFFFAOYSA-N 1 2 287.269 3.804 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000611784231 1119400964 /nfs/dbraw/zinc/40/09/64/1119400964.db2.gz BODVXPTZAZXJCS-HZPDHXFCSA-N 1 2 293.382 3.550 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000611784231 1119400966 /nfs/dbraw/zinc/40/09/66/1119400966.db2.gz BODVXPTZAZXJCS-HZPDHXFCSA-N 1 2 293.382 3.550 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000611784230 1119401294 /nfs/dbraw/zinc/40/12/94/1119401294.db2.gz BODVXPTZAZXJCS-HOTGVXAUSA-N 1 2 293.382 3.550 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000611784230 1119401297 /nfs/dbraw/zinc/40/12/97/1119401297.db2.gz BODVXPTZAZXJCS-HOTGVXAUSA-N 1 2 293.382 3.550 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@]1(F)c1ccccc1)c1cc2n(n1)CCCC2 ZINC000647309685 1119413521 /nfs/dbraw/zinc/41/35/21/1119413521.db2.gz FQMXVZUUHOOGHM-VHSSKADRSA-N 1 2 299.393 3.507 20 0 CHADLO Cc1cc(N2CCCC23CCCCC3)nc(C2CC2)[nH+]1 ZINC000536141416 1119421783 /nfs/dbraw/zinc/42/17/83/1119421783.db2.gz LERVMDHWZBGLMG-UHFFFAOYSA-N 1 2 271.408 3.966 20 0 CHADLO CCC1(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CCCC1 ZINC000158159025 1119435894 /nfs/dbraw/zinc/43/58/94/1119435894.db2.gz HAZBRZKFURMXPS-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO Nc1ccc(Nc2cc(Cl)cc(Br)c2)c[nH+]1 ZINC000308316549 1119437568 /nfs/dbraw/zinc/43/75/68/1119437568.db2.gz DIIQLLOVGWRKNU-UHFFFAOYSA-N 1 2 298.571 3.823 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3(C(F)F)CCCC3)cc2[nH+]1 ZINC000584028925 1119462902 /nfs/dbraw/zinc/46/29/02/1119462902.db2.gz GTXCPKNWDIQPCM-UHFFFAOYSA-N 1 2 293.317 3.635 20 0 CHADLO Nc1cc(CSc2nc3ccccc3s2)cc[nH+]1 ZINC000052755877 1119465296 /nfs/dbraw/zinc/46/52/96/1119465296.db2.gz KMQQYHIXMWWSKU-UHFFFAOYSA-N 1 2 273.386 3.566 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2csc(C)n2)c(C)s1 ZINC000349988101 1119475452 /nfs/dbraw/zinc/47/54/52/1119475452.db2.gz CALBUIAVHWRCQR-UHFFFAOYSA-N 1 2 266.435 3.762 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2csc(C)n2)c(C)s1 ZINC000349988101 1119475454 /nfs/dbraw/zinc/47/54/54/1119475454.db2.gz CALBUIAVHWRCQR-UHFFFAOYSA-N 1 2 266.435 3.762 20 0 CHADLO CCCCOc1ccc(Nc2[nH+]cccc2N)cc1F ZINC001211610390 1119479919 /nfs/dbraw/zinc/47/99/19/1119479919.db2.gz STGCYRUHDCPOMH-UHFFFAOYSA-N 1 2 275.327 3.725 20 0 CHADLO c1sc(C[N@@H+]2CCC[C@H]2c2ccncc2)nc1C1CC1 ZINC000343707723 1119490568 /nfs/dbraw/zinc/49/05/68/1119490568.db2.gz BNYIRXLQLMSBOQ-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO c1sc(C[N@H+]2CCC[C@H]2c2ccncc2)nc1C1CC1 ZINC000343707723 1119490570 /nfs/dbraw/zinc/49/05/70/1119490570.db2.gz BNYIRXLQLMSBOQ-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO CC[C@@H](Nc1[nH+]c(C)nc2[nH]ccc21)c1nc(C)cs1 ZINC000080980335 1119508091 /nfs/dbraw/zinc/50/80/91/1119508091.db2.gz UCEPJVGKABDCOR-LLVKDONJSA-N 1 2 287.392 3.594 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3sccc3[C@@H]2C)sc1C ZINC000081646469 1119518214 /nfs/dbraw/zinc/51/82/14/1119518214.db2.gz YRSNAUJIYFMPLM-JTQLQIEISA-N 1 2 278.446 3.941 20 0 CHADLO Cc1nc(C[N@H+]2CCc3sccc3[C@@H]2C)sc1C ZINC000081646469 1119518219 /nfs/dbraw/zinc/51/82/19/1119518219.db2.gz YRSNAUJIYFMPLM-JTQLQIEISA-N 1 2 278.446 3.941 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cc2noc(Cc3ccccc3C)n2)C1 ZINC000083168534 1119524563 /nfs/dbraw/zinc/52/45/63/1119524563.db2.gz LMKLIETZQSFDAF-OAHLLOKOSA-N 1 2 299.418 3.591 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cc2noc(Cc3ccccc3C)n2)C1 ZINC000083168534 1119524566 /nfs/dbraw/zinc/52/45/66/1119524566.db2.gz LMKLIETZQSFDAF-OAHLLOKOSA-N 1 2 299.418 3.591 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CCC[C@H](C)CC2)no1 ZINC000083169570 1119524798 /nfs/dbraw/zinc/52/47/98/1119524798.db2.gz OTIQRCGDGJZIDQ-AWEZNQCLSA-N 1 2 299.418 3.591 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CCC[C@H](C)CC2)no1 ZINC000083169570 1119524803 /nfs/dbraw/zinc/52/48/03/1119524803.db2.gz OTIQRCGDGJZIDQ-AWEZNQCLSA-N 1 2 299.418 3.591 20 0 CHADLO CCCCCC(C)(C)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000084598195 1119528759 /nfs/dbraw/zinc/52/87/59/1119528759.db2.gz PQKODEZAKBYPGB-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO CCc1cc(OCc2cc(C)no2)c2ccccc2[nH+]1 ZINC000090368544 1119544771 /nfs/dbraw/zinc/54/47/71/1119544771.db2.gz CNQPDJZJIBKQED-UHFFFAOYSA-N 1 2 268.316 3.673 20 0 CHADLO CCOC[C@@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000090104717 1119545956 /nfs/dbraw/zinc/54/59/56/1119545956.db2.gz LRZBTHXEUCWNCC-CQSZACIVSA-N 1 2 291.439 3.586 20 0 CHADLO CCc1cc(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)on1 ZINC000090094814 1119546065 /nfs/dbraw/zinc/54/60/65/1119546065.db2.gz ILFDEWOTSVQIEY-UHFFFAOYSA-N 1 2 288.395 3.886 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@H](C)c2ccc(F)cn2)on1 ZINC000092753075 1119566849 /nfs/dbraw/zinc/56/68/49/1119566849.db2.gz IRHUTZIZWPRTLN-LLVKDONJSA-N 1 2 291.370 3.963 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000093227471 1119570449 /nfs/dbraw/zinc/57/04/49/1119570449.db2.gz UCEITJNENSHRQX-NSHDSACASA-N 1 2 285.322 3.555 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000093227471 1119570453 /nfs/dbraw/zinc/57/04/53/1119570453.db2.gz UCEITJNENSHRQX-NSHDSACASA-N 1 2 285.322 3.555 20 0 CHADLO c1nc(C2CCC2)sc1C[N@@H+]1CCOCC12CCCC2 ZINC000093840749 1119572489 /nfs/dbraw/zinc/57/24/89/1119572489.db2.gz FZDCESYBVUZJJP-UHFFFAOYSA-N 1 2 292.448 3.556 20 0 CHADLO c1nc(C2CCC2)sc1C[N@H+]1CCOCC12CCCC2 ZINC000093840749 1119572492 /nfs/dbraw/zinc/57/24/92/1119572492.db2.gz FZDCESYBVUZJJP-UHFFFAOYSA-N 1 2 292.448 3.556 20 0 CHADLO CC(C)[C@H](c1ccccc1Cl)[N@H+](C)Cc1c[nH]cn1 ZINC000093422427 1119574750 /nfs/dbraw/zinc/57/47/50/1119574750.db2.gz KURIDHRGUHCRDJ-OAHLLOKOSA-N 1 2 277.799 3.892 20 0 CHADLO CC(C)[C@H](c1ccccc1Cl)[N@@H+](C)Cc1c[nH]cn1 ZINC000093422427 1119574754 /nfs/dbraw/zinc/57/47/54/1119574754.db2.gz KURIDHRGUHCRDJ-OAHLLOKOSA-N 1 2 277.799 3.892 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ccn[nH]1)c1ccc2c(c1)CCCC2 ZINC000657882208 1119591768 /nfs/dbraw/zinc/59/17/68/1119591768.db2.gz QGPAJEPNIWZMML-GOSISDBHSA-N 1 2 283.419 3.775 20 0 CHADLO CSCc1ccc(C[NH2+][C@H](C)c2nnc(C)s2)cc1 ZINC000725120672 1119596336 /nfs/dbraw/zinc/59/63/36/1119596336.db2.gz OSGZNBNJTHRWLP-SNVBAGLBSA-N 1 2 293.461 3.560 20 0 CHADLO C[C@H]1CO[C@@H](C(F)(F)F)C[N@@H+]1Cc1ccccc1C1CC1 ZINC000685441000 1119607965 /nfs/dbraw/zinc/60/79/65/1119607965.db2.gz QTBXTHZFQGKZMG-XHDPSFHLSA-N 1 2 299.336 3.716 20 0 CHADLO C[C@H]1CO[C@@H](C(F)(F)F)C[N@H+]1Cc1ccccc1C1CC1 ZINC000685441000 1119607968 /nfs/dbraw/zinc/60/79/68/1119607968.db2.gz QTBXTHZFQGKZMG-XHDPSFHLSA-N 1 2 299.336 3.716 20 0 CHADLO Clc1cscc1C[N@@H+]1CCCc2occc2C1 ZINC000685477508 1119613828 /nfs/dbraw/zinc/61/38/28/1119613828.db2.gz DZVUDIMQHLMYJX-UHFFFAOYSA-N 1 2 267.781 3.943 20 0 CHADLO Clc1cscc1C[N@H+]1CCCc2occc2C1 ZINC000685477508 1119613832 /nfs/dbraw/zinc/61/38/32/1119613832.db2.gz DZVUDIMQHLMYJX-UHFFFAOYSA-N 1 2 267.781 3.943 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccccc1OCC1CC1)C2 ZINC000628419325 1128858778 /nfs/dbraw/zinc/85/87/78/1128858778.db2.gz UNCYMGKNCNVKST-UHFFFAOYSA-N 1 2 295.382 3.697 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccccc1OCC1CC1)C2 ZINC000628419325 1128858782 /nfs/dbraw/zinc/85/87/82/1128858782.db2.gz UNCYMGKNCNVKST-UHFFFAOYSA-N 1 2 295.382 3.697 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)Cc2ccc(Cl)s2)o1 ZINC000685560648 1119630290 /nfs/dbraw/zinc/63/02/90/1119630290.db2.gz QSVJSPAMPWJJJH-UHFFFAOYSA-N 1 2 270.785 3.638 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)Cc2ccc(Cl)s2)o1 ZINC000685560648 1119630292 /nfs/dbraw/zinc/63/02/92/1119630292.db2.gz QSVJSPAMPWJJJH-UHFFFAOYSA-N 1 2 270.785 3.638 20 0 CHADLO COc1ccc([C@H](Cc2ccccc2)[NH2+]CC(F)F)cc1 ZINC000685598004 1119636454 /nfs/dbraw/zinc/63/64/54/1119636454.db2.gz RURLRWIMXIYFFZ-INIZCTEOSA-N 1 2 291.341 3.834 20 0 CHADLO FC(F)(F)c1nc(C[NH2+]Cc2cccs2)cs1 ZINC000892413053 1119648929 /nfs/dbraw/zinc/64/89/29/1119648929.db2.gz CQCDZWWFOUNRSU-UHFFFAOYSA-N 1 2 278.324 3.513 20 0 CHADLO FCC1(CF)CC(Nc2ccc(N3CCCCC3)c[nH+]2)C1 ZINC000657942187 1119649344 /nfs/dbraw/zinc/64/93/44/1119649344.db2.gz CXOKVZMYNFCZGE-UHFFFAOYSA-N 1 2 295.377 3.572 20 0 CHADLO CCc1ccc(CNc2cccc(-n3cc[nH+]c3)c2)o1 ZINC000051722601 1119651104 /nfs/dbraw/zinc/65/11/04/1119651104.db2.gz CKZUHJQRDKMRRH-UHFFFAOYSA-N 1 2 267.332 3.640 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@@H]1CCCSCC1 ZINC000692455265 1119657102 /nfs/dbraw/zinc/65/71/02/1119657102.db2.gz VXSIVSJKCZFKPA-CQSZACIVSA-N 1 2 276.449 3.984 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+][C@@H]1CCCSCC1 ZINC000692455265 1119657103 /nfs/dbraw/zinc/65/71/03/1119657103.db2.gz VXSIVSJKCZFKPA-CQSZACIVSA-N 1 2 276.449 3.984 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc2cnccc2o1)c1cscn1 ZINC000658153325 1119692097 /nfs/dbraw/zinc/69/20/97/1119692097.db2.gz UPORPVNTUNMUAR-NXEZZACHSA-N 1 2 273.361 3.696 20 0 CHADLO CCn1c2ccccc2nc1[C@H]1CCC[N@@H+]1C/C=C\Cl ZINC000255673627 1119711020 /nfs/dbraw/zinc/71/10/20/1119711020.db2.gz UDDNXELUBLPPBB-IZIDJEDMSA-N 1 2 289.810 3.946 20 0 CHADLO CCn1c2ccccc2nc1[C@H]1CCC[N@H+]1C/C=C\Cl ZINC000255673627 1119711021 /nfs/dbraw/zinc/71/10/21/1119711021.db2.gz UDDNXELUBLPPBB-IZIDJEDMSA-N 1 2 289.810 3.946 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H]1c2cc(C)ccc2C[C@@H]1C ZINC000658246620 1119711671 /nfs/dbraw/zinc/71/16/71/1119711671.db2.gz TUJXLPWWEFMUSZ-XWCIJXRUSA-N 1 2 269.392 3.611 20 0 CHADLO CC[C@@H]([NH2+]CC(F)(F)C(C)(C)C)c1ccncc1 ZINC000658338262 1119726699 /nfs/dbraw/zinc/72/66/99/1119726699.db2.gz HXUMVCQZACBOMX-GFCCVEGCSA-N 1 2 256.340 3.804 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccn1C)c1csc(Cl)c1 ZINC000658335794 1119726824 /nfs/dbraw/zinc/72/68/24/1119726824.db2.gz GRSCBXGJNMHGOG-BDAKNGLRSA-N 1 2 269.801 3.547 20 0 CHADLO CCc1ncc(C[NH2+]Cc2oc3ccccc3c2Cl)o1 ZINC000631153001 1119769689 /nfs/dbraw/zinc/76/96/89/1119769689.db2.gz NPRUASMZKIPHJJ-UHFFFAOYSA-N 1 2 290.750 3.926 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](C)c2ccccc2C(F)(F)F)n1 ZINC000066391018 1119879258 /nfs/dbraw/zinc/87/92/58/1119879258.db2.gz MMZYCJQIXWOHAR-BDAKNGLRSA-N 1 2 299.296 3.809 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccc1Br)c1ccsc1 ZINC000309296222 1119883461 /nfs/dbraw/zinc/88/34/61/1119883461.db2.gz XDXXTPXEOBRSRD-SECBINFHSA-N 1 2 297.221 3.756 20 0 CHADLO Cc1ncc(C[NH2+][C@H](C)c2nc(-c3ccccc3)cs2)o1 ZINC000414178846 1119926992 /nfs/dbraw/zinc/92/69/92/1119926992.db2.gz KKCCVBODWROLKQ-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO CCC[C@@H]1CCCC[N@@H+]1Cc1csc(Cl)n1 ZINC000393817047 1119974763 /nfs/dbraw/zinc/97/47/63/1119974763.db2.gz DPCHVNZXQMSXCT-LLVKDONJSA-N 1 2 258.818 3.951 20 0 CHADLO CCC[C@@H]1CCCC[N@H+]1Cc1csc(Cl)n1 ZINC000393817047 1119974767 /nfs/dbraw/zinc/97/47/67/1119974767.db2.gz DPCHVNZXQMSXCT-LLVKDONJSA-N 1 2 258.818 3.951 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1csnn1)c1ccsc1 ZINC000414310029 1119976814 /nfs/dbraw/zinc/97/68/14/1119976814.db2.gz VRGWIETVEUFYQA-KOLCDFICSA-N 1 2 267.423 3.792 20 0 CHADLO C[C@H]([NH2+]Cc1ccoc1)c1nc(C(F)(F)F)cs1 ZINC000343832460 1119995362 /nfs/dbraw/zinc/99/53/62/1119995362.db2.gz DWWHEUYNOIMXHR-ZETCQYMHSA-N 1 2 276.283 3.606 20 0 CHADLO CC/C=C\CC[N@@H+](C)Cc1nc([C@H](C)SCC)no1 ZINC000342212549 1120013314 /nfs/dbraw/zinc/01/33/14/1120013314.db2.gz XNOHUUJOYSWNGQ-KRZKBDHCSA-N 1 2 283.441 3.672 20 0 CHADLO CC/C=C\CC[N@H+](C)Cc1nc([C@H](C)SCC)no1 ZINC000342212549 1120013315 /nfs/dbraw/zinc/01/33/15/1120013315.db2.gz XNOHUUJOYSWNGQ-KRZKBDHCSA-N 1 2 283.441 3.672 20 0 CHADLO COc1ccccc1[C@H]([NH2+]CC(C)(C)F)c1ccccn1 ZINC000926781715 1120015093 /nfs/dbraw/zinc/01/50/93/1120015093.db2.gz YJLDWETVDKEKCA-INIZCTEOSA-N 1 2 288.366 3.517 20 0 CHADLO CC1(C)Cc2cc(C[N@@H+]3Cc4ccc(O)cc4C3)ccc2O1 ZINC000628419274 1120034857 /nfs/dbraw/zinc/03/48/57/1120034857.db2.gz SHCJRSPDGHBTRR-UHFFFAOYSA-N 1 2 295.382 3.622 20 0 CHADLO CC1(C)Cc2cc(C[N@H+]3Cc4ccc(O)cc4C3)ccc2O1 ZINC000628419274 1120034861 /nfs/dbraw/zinc/03/48/61/1120034861.db2.gz SHCJRSPDGHBTRR-UHFFFAOYSA-N 1 2 295.382 3.622 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@H+](Cc2ncc(C3CC3)o2)C1 ZINC000376551157 1120045007 /nfs/dbraw/zinc/04/50/07/1120045007.db2.gz HUBRBOHBIIOVTE-IUODEOHRSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@@H+](Cc2ncc(C3CC3)o2)C1 ZINC000376551157 1120045012 /nfs/dbraw/zinc/04/50/12/1120045012.db2.gz HUBRBOHBIIOVTE-IUODEOHRSA-N 1 2 283.375 3.530 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NCc3ccc(C)nc3)cc2)c1C ZINC000361237624 1120053325 /nfs/dbraw/zinc/05/33/25/1120053325.db2.gz PLDRFQMPSOGXQA-UHFFFAOYSA-N 1 2 292.386 3.805 20 0 CHADLO CC(C)=C(C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921659902 1120057562 /nfs/dbraw/zinc/05/75/62/1120057562.db2.gz HAODGOPTJXCBQD-UHFFFAOYSA-N 1 2 283.375 3.988 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C3(C)CCC3)cc2)[nH+]c1C ZINC000921659399 1120058429 /nfs/dbraw/zinc/05/84/29/1120058429.db2.gz ADDHTIGRBYIMCX-UHFFFAOYSA-N 1 2 283.375 3.822 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@H]3CC=CCC3)cc2)[nH+]c1C ZINC000921668002 1120063199 /nfs/dbraw/zinc/06/31/99/1120063199.db2.gz VPMGFRAACZLRHL-HNNXBMFYSA-N 1 2 295.386 3.988 20 0 CHADLO Cc1ccc(Nc2ncccc2Br)c(C)[nH+]1 ZINC000094666971 1120064333 /nfs/dbraw/zinc/06/43/33/1120064333.db2.gz VFCLVQJBBHLZGU-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2nc(C(F)(F)F)cs2)[C@@H]1C ZINC000178710129 1120080745 /nfs/dbraw/zinc/08/07/45/1120080745.db2.gz SUKLDAQXRNTFTQ-RKDXNWHRSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)[C@@H]1C ZINC000178710129 1120080751 /nfs/dbraw/zinc/08/07/51/1120080751.db2.gz SUKLDAQXRNTFTQ-RKDXNWHRSA-N 1 2 278.343 3.782 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCCC[C@@H]2CCC)no1 ZINC000179523164 1120092815 /nfs/dbraw/zinc/09/28/15/1120092815.db2.gz VMUFKPHPCQUSIE-ZDUSSCGKSA-N 1 2 265.401 3.567 20 0 CHADLO CCCCc1nc(C[N@H+]2CCCC[C@@H]2CCC)no1 ZINC000179523164 1120092820 /nfs/dbraw/zinc/09/28/20/1120092820.db2.gz VMUFKPHPCQUSIE-ZDUSSCGKSA-N 1 2 265.401 3.567 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(OC(F)F)cc2)oc1C ZINC000179613360 1120094472 /nfs/dbraw/zinc/09/44/72/1120094472.db2.gz VMILJWHUAXARKE-SNVBAGLBSA-N 1 2 296.317 3.744 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)n1C)c1cc2ccccc2o1 ZINC000181769960 1120107942 /nfs/dbraw/zinc/10/79/42/1120107942.db2.gz YZJMQLJFQYRZTN-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO CC[C@@H](C)O[C@@H]1CCC[N@H+](Cc2cnc(Cl)s2)C1 ZINC001204630453 1120114256 /nfs/dbraw/zinc/11/42/56/1120114256.db2.gz RAJHKUTVRQXEMI-GHMZBOCLSA-N 1 2 288.844 3.576 20 0 CHADLO CC[C@@H](C)O[C@@H]1CCC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC001204630453 1120114257 /nfs/dbraw/zinc/11/42/57/1120114257.db2.gz RAJHKUTVRQXEMI-GHMZBOCLSA-N 1 2 288.844 3.576 20 0 CHADLO CC[C@H](C)O[C@H]1CCC[N@H+](Cc2cnc(Cl)s2)C1 ZINC001204630457 1120114286 /nfs/dbraw/zinc/11/42/86/1120114286.db2.gz RAJHKUTVRQXEMI-QWRGUYRKSA-N 1 2 288.844 3.576 20 0 CHADLO CC[C@H](C)O[C@H]1CCC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC001204630457 1120114288 /nfs/dbraw/zinc/11/42/88/1120114288.db2.gz RAJHKUTVRQXEMI-QWRGUYRKSA-N 1 2 288.844 3.576 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1ccc(F)cc1F ZINC000084862027 1120120729 /nfs/dbraw/zinc/12/07/29/1120120729.db2.gz OBYYZPDIRDDVBE-UHFFFAOYSA-N 1 2 251.276 3.580 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1ccc(F)cc1F ZINC000084862027 1120120732 /nfs/dbraw/zinc/12/07/32/1120120732.db2.gz OBYYZPDIRDDVBE-UHFFFAOYSA-N 1 2 251.276 3.580 20 0 CHADLO Cc1ccc(CSC[C@H]2CCO[C@@H](C)C2)c(C)[nH+]1 ZINC000362461786 1120142559 /nfs/dbraw/zinc/14/25/59/1120142559.db2.gz YLEPTLZBKNMOOI-JSGCOSHPSA-N 1 2 265.422 3.747 20 0 CHADLO Cc1cc(N[C@H]2CCNc3ccccc32)[nH+]c2cc[nH]c21 ZINC001168647047 1120155162 /nfs/dbraw/zinc/15/51/62/1120155162.db2.gz AMZVAWLXLVDVJI-AWEZNQCLSA-N 1 2 278.359 3.840 20 0 CHADLO Cc1c[nH+]c2cc(-c3nc(C4(C)CCCC4)no3)ccn12 ZINC000624759555 1120166626 /nfs/dbraw/zinc/16/66/26/1120166626.db2.gz ARLQWYKZOSSVBT-UHFFFAOYSA-N 1 2 282.347 3.524 20 0 CHADLO Fc1ccc(C[NH2+]Cc2nccs2)c(C(F)(F)F)c1 ZINC000112341063 1120176160 /nfs/dbraw/zinc/17/61/60/1120176160.db2.gz RZQLSDSKQNWCJW-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cc(O)cc(Cl)c2)cs1 ZINC000892977073 1120185887 /nfs/dbraw/zinc/18/58/87/1120185887.db2.gz IDVIXFGUNVSUBD-VIFPVBQESA-N 1 2 296.823 3.915 20 0 CHADLO c1ccn(-c2ccc(N[C@@H]3CCCc4cccnc43)[nH+]c2)c1 ZINC001168657074 1120191244 /nfs/dbraw/zinc/19/12/44/1120191244.db2.gz WSZBLXJPOMZYGZ-MRXNPFEDSA-N 1 2 290.370 3.757 20 0 CHADLO Cc1cc(N2CC=C(C(F)(F)F)CC2)nc(C2CCC2)[nH+]1 ZINC000892995369 1120193366 /nfs/dbraw/zinc/19/33/66/1120193366.db2.gz QFIVTLGXJIRRFJ-UHFFFAOYSA-N 1 2 297.324 3.751 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cccc(OC)c1Cl ZINC000659870942 1120226465 /nfs/dbraw/zinc/22/64/65/1120226465.db2.gz JOVIPZMXCOFTNJ-UHFFFAOYSA-N 1 2 279.767 3.964 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cccc(OC)c1Cl ZINC000659870942 1120226468 /nfs/dbraw/zinc/22/64/68/1120226468.db2.gz JOVIPZMXCOFTNJ-UHFFFAOYSA-N 1 2 279.767 3.964 20 0 CHADLO CCc1nc(N2[C@H](C)C[C@H]3CCCC[C@H]32)cc(C)[nH+]1 ZINC000672224369 1120257501 /nfs/dbraw/zinc/25/75/01/1120257501.db2.gz SJWQIEHLMISWNV-MGPQQGTHSA-N 1 2 259.397 3.505 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1)C(C)(C)C ZINC000179953190 1120258856 /nfs/dbraw/zinc/25/88/56/1120258856.db2.gz HHJHTFAUHGQPJJ-KBPBESRZSA-N 1 2 276.424 3.758 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(C(F)(F)F)cc2)o1 ZINC000075592287 1120262326 /nfs/dbraw/zinc/26/23/26/1120262326.db2.gz WFJNIXSTKQJLID-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(C(F)(F)F)cc2)o1 ZINC000075592287 1120262328 /nfs/dbraw/zinc/26/23/28/1120262328.db2.gz WFJNIXSTKQJLID-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO CC(C)(C)c1coc(CNc2cccc(-n3cc[nH+]c3)c2)n1 ZINC000921881331 1120263070 /nfs/dbraw/zinc/26/30/70/1120263070.db2.gz OJQFYGMSIARJJI-UHFFFAOYSA-N 1 2 296.374 3.770 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1cc(C2(C)OCCO2)cc[nH+]1 ZINC001168691787 1120263999 /nfs/dbraw/zinc/26/39/99/1120263999.db2.gz DOIRAAYVUJCLCW-OLZOCXBDSA-N 1 2 278.396 3.538 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)o1 ZINC000075901129 1120272093 /nfs/dbraw/zinc/27/20/93/1120272093.db2.gz JPQLNRGRKJUDKF-BLLLJJGKSA-N 1 2 288.366 3.959 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)o1 ZINC000075901129 1120272094 /nfs/dbraw/zinc/27/20/94/1120272094.db2.gz JPQLNRGRKJUDKF-BLLLJJGKSA-N 1 2 288.366 3.959 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)o1 ZINC000075901128 1120272697 /nfs/dbraw/zinc/27/26/97/1120272697.db2.gz JPQLNRGRKJUDKF-MLGOLLRUSA-N 1 2 288.366 3.959 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)o1 ZINC000075901128 1120272701 /nfs/dbraw/zinc/27/27/01/1120272701.db2.gz JPQLNRGRKJUDKF-MLGOLLRUSA-N 1 2 288.366 3.959 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccn(-c3ccccc3)n2)s1 ZINC000076341921 1120281543 /nfs/dbraw/zinc/28/15/43/1120281543.db2.gz NUTPQGPQAQVQGB-UHFFFAOYSA-N 1 2 297.427 3.874 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccn(-c3ccccc3)n2)s1 ZINC000076341921 1120281546 /nfs/dbraw/zinc/28/15/46/1120281546.db2.gz NUTPQGPQAQVQGB-UHFFFAOYSA-N 1 2 297.427 3.874 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1Cc1nsc2ccccc21 ZINC000659929808 1120291325 /nfs/dbraw/zinc/29/13/25/1120291325.db2.gz VSQCRGYZQQKGGN-CYBMUJFWSA-N 1 2 290.432 3.543 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1Cc1nsc2ccccc21 ZINC000659929808 1120291329 /nfs/dbraw/zinc/29/13/29/1120291329.db2.gz VSQCRGYZQQKGGN-CYBMUJFWSA-N 1 2 290.432 3.543 20 0 CHADLO Fc1cc(-c2ccc3[nH+]ccn3c2)cc2cc[nH]c21 ZINC001240217459 1120301851 /nfs/dbraw/zinc/30/18/51/1120301851.db2.gz DYAWKOKXIDFETK-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]C/C(Cl)=C/Cl)cc1[N+](=O)[O-] ZINC000181811025 1120305778 /nfs/dbraw/zinc/30/57/78/1120305778.db2.gz ZQEOZAIXLOZRJZ-NJQKBMNKSA-N 1 2 289.162 3.873 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](C)c2cccc(F)c2F)o1 ZINC000893210871 1120307396 /nfs/dbraw/zinc/30/73/96/1120307396.db2.gz RPEDNFMTPQUFEP-SNVBAGLBSA-N 1 2 281.302 3.807 20 0 CHADLO Cn1cc(Nc2cccc3[nH+]ccn32)c2ccccc21 ZINC001203415020 1120317944 /nfs/dbraw/zinc/31/79/44/1120317944.db2.gz RTMLDFOKPAEYFD-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccncc1 ZINC000182957095 1120331723 /nfs/dbraw/zinc/33/17/23/1120331723.db2.gz VQKGEVNLJZZJFP-CYBMUJFWSA-N 1 2 267.376 3.637 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccncc1 ZINC000182957095 1120331725 /nfs/dbraw/zinc/33/17/25/1120331725.db2.gz VQKGEVNLJZZJFP-CYBMUJFWSA-N 1 2 267.376 3.637 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccc(O)c(F)c2)c1 ZINC000893243908 1120331987 /nfs/dbraw/zinc/33/19/87/1120331987.db2.gz KJSPBIVGOSVGLW-UHFFFAOYSA-N 1 2 292.379 3.792 20 0 CHADLO CO[C@H](c1ccccc1)[C@@H](C)[NH2+][C@@H]1CCCC1(F)F ZINC000672620400 1120334603 /nfs/dbraw/zinc/33/46/03/1120334603.db2.gz MIFRNPXMBLEPER-BNOWGMLFSA-N 1 2 269.335 3.540 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@H]1CCCC1(F)F)CCCO2 ZINC000672619455 1120338204 /nfs/dbraw/zinc/33/82/04/1120338204.db2.gz BJWGBPDJGQVUQV-HIFRSBDPSA-N 1 2 281.346 3.986 20 0 CHADLO CCc1nocc1C[N@H+]([C@H](C)c1ccco1)C1CC1 ZINC000660097884 1120375867 /nfs/dbraw/zinc/37/58/67/1120375867.db2.gz RNPJNDCHBUJPBY-LLVKDONJSA-N 1 2 260.337 3.556 20 0 CHADLO CCc1nocc1C[N@@H+]([C@H](C)c1ccco1)C1CC1 ZINC000660097884 1120375872 /nfs/dbraw/zinc/37/58/72/1120375872.db2.gz RNPJNDCHBUJPBY-LLVKDONJSA-N 1 2 260.337 3.556 20 0 CHADLO c1nc(C[NH2+]Cc2ccnc(Oc3ccccc3)c2)cs1 ZINC000618466535 1128913129 /nfs/dbraw/zinc/91/31/29/1128913129.db2.gz VCZLIQDGZVBSJL-UHFFFAOYSA-N 1 2 297.383 3.620 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(OC(C)C)c2)c(C)o1 ZINC000660127474 1120390029 /nfs/dbraw/zinc/39/00/29/1120390029.db2.gz NPPDLKUADPWYHP-UHFFFAOYSA-N 1 2 288.391 3.711 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(OC(C)C)c2)c(C)o1 ZINC000660127474 1120390034 /nfs/dbraw/zinc/39/00/34/1120390034.db2.gz NPPDLKUADPWYHP-UHFFFAOYSA-N 1 2 288.391 3.711 20 0 CHADLO Cc1nc(N[C@H]2CC(C)(C)Oc3ccc(F)cc32)cc[nH+]1 ZINC000651415988 1120392657 /nfs/dbraw/zinc/39/26/57/1120392657.db2.gz SOHZRDUALMGXBC-ZDUSSCGKSA-N 1 2 287.338 3.638 20 0 CHADLO Cc1cc(C[NH2+]Cc2cccc(OC3CCCC3)c2)no1 ZINC000066875240 1120416410 /nfs/dbraw/zinc/41/64/10/1120416410.db2.gz RKOWDDZPXOFOSI-UHFFFAOYSA-N 1 2 286.375 3.594 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nc(C3CC3)nn2C)c(C)c1 ZINC000584717069 1120419523 /nfs/dbraw/zinc/41/95/23/1120419523.db2.gz AGWGYUUXTHATCD-AWEZNQCLSA-N 1 2 298.434 3.502 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nc(C3CC3)nn2C)c(C)c1 ZINC000584717069 1120419525 /nfs/dbraw/zinc/41/95/25/1120419525.db2.gz AGWGYUUXTHATCD-AWEZNQCLSA-N 1 2 298.434 3.502 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2coc(C)n2)cc1 ZINC000660193924 1120429889 /nfs/dbraw/zinc/42/98/89/1120429889.db2.gz CMKFUOXOPIPGPR-NSHDSACASA-N 1 2 276.405 3.946 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000079287703 1120432208 /nfs/dbraw/zinc/43/22/08/1120432208.db2.gz QTBIQASEOZCZFP-RKDXNWHRSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000079288051 1120432364 /nfs/dbraw/zinc/43/23/64/1120432364.db2.gz KOEYLDDYXDUXOD-VXGBXAGGSA-N 1 2 285.391 3.669 20 0 CHADLO CCC[C@@H]([NH2+]Cc1coc(C)n1)c1ccc(F)cc1F ZINC000660203405 1120440576 /nfs/dbraw/zinc/44/05/76/1120440576.db2.gz YSHRPVRNTABTDL-OAHLLOKOSA-N 1 2 280.318 3.892 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@H+](Cc2csc(C3CC3)n2)C1 ZINC000364103094 1120440694 /nfs/dbraw/zinc/44/06/94/1120440694.db2.gz QGPXKIZGMCKBIM-WBMJQRKESA-N 1 2 299.443 3.999 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2csc(C3CC3)n2)C1 ZINC000364103094 1120440697 /nfs/dbraw/zinc/44/06/97/1120440697.db2.gz QGPXKIZGMCKBIM-WBMJQRKESA-N 1 2 299.443 3.999 20 0 CHADLO COc1cc(C[NH2+][C@H](C)C(F)F)cc2ccccc21 ZINC000641793949 1120453047 /nfs/dbraw/zinc/45/30/47/1120453047.db2.gz PRJWSXCFNIKZMO-SNVBAGLBSA-N 1 2 265.303 3.592 20 0 CHADLO CCC[C@@]1(C(F)(F)F)CCCN1Cc1c[nH+]cn1CC ZINC000417781060 1120482018 /nfs/dbraw/zinc/48/20/18/1120482018.db2.gz JLVHBURRRJVPIW-ZDUSSCGKSA-N 1 2 289.345 3.600 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CCc3c(C)cc(C)cc3C2)s1 ZINC000282345116 1120486728 /nfs/dbraw/zinc/48/67/28/1120486728.db2.gz ADNOUWLKFUXOOI-LBPRGKRZSA-N 1 2 287.432 3.583 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CCc3c(C)cc(C)cc3C2)s1 ZINC000282345116 1120486730 /nfs/dbraw/zinc/48/67/30/1120486730.db2.gz ADNOUWLKFUXOOI-LBPRGKRZSA-N 1 2 287.432 3.583 20 0 CHADLO CCn1c[nH+]cc1CN(C)c1cccc(C(C)C)c1 ZINC000418001567 1120503227 /nfs/dbraw/zinc/50/32/27/1120503227.db2.gz XYOBHKMQXQOCGR-UHFFFAOYSA-N 1 2 257.381 3.663 20 0 CHADLO Cc1nn(C)c(C[NH2+]Cc2ccc(C(C)(C)C)o2)c1Cl ZINC000922318612 1120508849 /nfs/dbraw/zinc/50/88/49/1120508849.db2.gz QMFGWYFIKCXBPZ-UHFFFAOYSA-N 1 2 295.814 3.562 20 0 CHADLO COc1cccc2c(NC3(C4CCOCC4)CC3)cc[nH+]c12 ZINC001167746868 1120512722 /nfs/dbraw/zinc/51/27/22/1120512722.db2.gz DQIJLMXWBMSANO-UHFFFAOYSA-N 1 2 298.386 3.615 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000931082447 1120523582 /nfs/dbraw/zinc/52/35/82/1120523582.db2.gz FFCRPZOSTMQAOA-LRDDRELGSA-N 1 2 285.366 3.760 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000931082447 1120523585 /nfs/dbraw/zinc/52/35/85/1120523585.db2.gz FFCRPZOSTMQAOA-LRDDRELGSA-N 1 2 285.366 3.760 20 0 CHADLO CC(C)Oc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000397986475 1120540980 /nfs/dbraw/zinc/54/09/80/1120540980.db2.gz GXXOKBCIWXUIRS-CQSZACIVSA-N 1 2 269.335 3.751 20 0 CHADLO CCc1cccc(F)c1C[NH2+]Cc1nc2c(s1)CCC2 ZINC000922473785 1120542125 /nfs/dbraw/zinc/54/21/25/1120542125.db2.gz XTPYHXBTKQRSAU-UHFFFAOYSA-N 1 2 290.407 3.623 20 0 CHADLO Cc1cc(F)ccc1C[NH2+][C@@H]1c2ccccc2OC[C@@H]1F ZINC000922524993 1120547180 /nfs/dbraw/zinc/54/71/80/1120547180.db2.gz IKQMXXADJTXADG-DOTOQJQBSA-N 1 2 289.325 3.696 20 0 CHADLO c1coc([C@H]([NH2+]Cc2ccccn2)c2ccccc2)c1 ZINC000019957794 1120551321 /nfs/dbraw/zinc/55/13/21/1120551321.db2.gz OSFLTHWDKRXXHC-QGZVFWFLSA-N 1 2 264.328 3.554 20 0 CHADLO Fc1cc(Cl)cc(C[NH2+][C@H](c2ncccn2)C2CC2)c1 ZINC000922579798 1120554681 /nfs/dbraw/zinc/55/46/81/1120554681.db2.gz VJNFIMTZKGSCJR-AWEZNQCLSA-N 1 2 291.757 3.510 20 0 CHADLO FC(F)(F)Oc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000398033313 1120558620 /nfs/dbraw/zinc/55/86/20/1120558620.db2.gz HLKKBFCYXYQIDE-LLVKDONJSA-N 1 2 295.251 3.863 20 0 CHADLO Cc1nc2cc(CNc3cc[nH+]c(OC(C)C)c3)ccc2o1 ZINC001167757579 1120564846 /nfs/dbraw/zinc/56/48/46/1120564846.db2.gz UDBDIDYQYYRXGF-UHFFFAOYSA-N 1 2 297.358 3.931 20 0 CHADLO Cc1cccn2c(CNc3cnn(C4CCCC4)c3)c[nH+]c12 ZINC000922624965 1120565979 /nfs/dbraw/zinc/56/59/79/1120565979.db2.gz FXAOUQOAOJWPGW-UHFFFAOYSA-N 1 2 295.390 3.566 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@H]1c1ccc(-c2ccsc2)cc1 ZINC000629691523 1128925216 /nfs/dbraw/zinc/92/52/16/1128925216.db2.gz XHIRWTHNSMHVMR-INIZCTEOSA-N 1 2 271.385 3.751 20 0 CHADLO C[N@H+]1CCC(=O)C[C@H]1c1ccc(-c2ccsc2)cc1 ZINC000629691523 1128925218 /nfs/dbraw/zinc/92/52/18/1128925218.db2.gz XHIRWTHNSMHVMR-INIZCTEOSA-N 1 2 271.385 3.751 20 0 CHADLO COc1ccccc1-c1ccc([C@H]2CC(=O)CC[N@@H+]2C)cc1 ZINC000629691800 1128925291 /nfs/dbraw/zinc/92/52/91/1128925291.db2.gz AVSVQXVLBSFBDK-GOSISDBHSA-N 1 2 295.382 3.698 20 0 CHADLO COc1ccccc1-c1ccc([C@H]2CC(=O)CC[N@H+]2C)cc1 ZINC000629691800 1128925292 /nfs/dbraw/zinc/92/52/92/1128925292.db2.gz AVSVQXVLBSFBDK-GOSISDBHSA-N 1 2 295.382 3.698 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(F)c(OC)c2)c1 ZINC000652067672 1120577619 /nfs/dbraw/zinc/57/76/19/1120577619.db2.gz CKNFAZKMMOJOKQ-NSHDSACASA-N 1 2 290.338 3.549 20 0 CHADLO Cc1nnc(C[N@H+](Cc2cc(F)ccc2C)C(C)(C)C)o1 ZINC001167759429 1120583117 /nfs/dbraw/zinc/58/31/17/1120583117.db2.gz DOGDXUQAGFZALR-UHFFFAOYSA-N 1 2 291.370 3.626 20 0 CHADLO Cc1nnc(C[N@@H+](Cc2cc(F)ccc2C)C(C)(C)C)o1 ZINC001167759429 1120583122 /nfs/dbraw/zinc/58/31/22/1120583122.db2.gz DOGDXUQAGFZALR-UHFFFAOYSA-N 1 2 291.370 3.626 20 0 CHADLO Cc1nc2cc(CNc3cc(C)c4[nH]ccc4[nH+]3)ccc2o1 ZINC001167760282 1120587904 /nfs/dbraw/zinc/58/79/04/1120587904.db2.gz KPQGSFVXKFUMAP-UHFFFAOYSA-N 1 2 292.342 3.933 20 0 CHADLO Fc1ccc(C[NH2+][C@@H]2CCCC2(F)F)c2ncccc12 ZINC000673617770 1120601350 /nfs/dbraw/zinc/60/13/50/1120601350.db2.gz IPKLRNXHMBNQKI-CYBMUJFWSA-N 1 2 280.293 3.651 20 0 CHADLO Fc1ccc(/C=C/C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000673618685 1120607781 /nfs/dbraw/zinc/60/77/81/1120607781.db2.gz YZDAWFNVMMTBPT-YWVDXFKGSA-N 1 2 255.283 3.616 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC000660485335 1120637811 /nfs/dbraw/zinc/63/78/11/1120637811.db2.gz FMDJXQQYMXOJHU-KRWDZBQOSA-N 1 2 286.350 3.623 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC000660485335 1120637815 /nfs/dbraw/zinc/63/78/15/1120637815.db2.gz FMDJXQQYMXOJHU-KRWDZBQOSA-N 1 2 286.350 3.623 20 0 CHADLO Cc1ccc(C[C@@H](C)[N@H+](C)Cc2noc(C3CCC3)n2)cc1 ZINC000625179692 1120643540 /nfs/dbraw/zinc/64/35/40/1120643540.db2.gz FMCXAKWEUFLTPE-CQSZACIVSA-N 1 2 299.418 3.709 20 0 CHADLO Cc1ccc(C[C@@H](C)[N@@H+](C)Cc2noc(C3CCC3)n2)cc1 ZINC000625179692 1120643545 /nfs/dbraw/zinc/64/35/45/1120643545.db2.gz FMCXAKWEUFLTPE-CQSZACIVSA-N 1 2 299.418 3.709 20 0 CHADLO CNc1cccc(-c2ccc([C@H]3CC(=O)CC[N@@H+]3C)cc2)c1 ZINC000629735609 1128931429 /nfs/dbraw/zinc/93/14/29/1128931429.db2.gz BLZYITLNAKWWJR-LJQANCHMSA-N 1 2 294.398 3.731 20 0 CHADLO CNc1cccc(-c2ccc([C@H]3CC(=O)CC[N@H+]3C)cc2)c1 ZINC000629735609 1128931431 /nfs/dbraw/zinc/93/14/31/1128931431.db2.gz BLZYITLNAKWWJR-LJQANCHMSA-N 1 2 294.398 3.731 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cc(C)ccc2OC(F)F)C[C@H](C)O1 ZINC000511843123 1120662034 /nfs/dbraw/zinc/66/20/34/1120662034.db2.gz IUPUTGNCZCJUHA-JSGCOSHPSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cc(C)ccc2OC(F)F)C[C@H](C)O1 ZINC000511843123 1120662038 /nfs/dbraw/zinc/66/20/38/1120662038.db2.gz IUPUTGNCZCJUHA-JSGCOSHPSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cc(C)ccc2OC(F)F)C[C@@H](C)O1 ZINC000511843125 1120662050 /nfs/dbraw/zinc/66/20/50/1120662050.db2.gz IUPUTGNCZCJUHA-OCCSQVGLSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cc(C)ccc2OC(F)F)C[C@@H](C)O1 ZINC000511843125 1120662052 /nfs/dbraw/zinc/66/20/52/1120662052.db2.gz IUPUTGNCZCJUHA-OCCSQVGLSA-N 1 2 299.361 3.596 20 0 CHADLO Cc1ccc(CNc2ccc([NH+](C)C)cc2C)cc1[N+](=O)[O-] ZINC000124367651 1120662109 /nfs/dbraw/zinc/66/21/09/1120662109.db2.gz DUQCLIOHZVBLTK-UHFFFAOYSA-N 1 2 299.374 3.890 20 0 CHADLO CCCC[C@@H](C)[N@H+](C)Cc1nnc(C(F)(F)F)s1 ZINC000660588181 1120665504 /nfs/dbraw/zinc/66/55/04/1120665504.db2.gz YSKCTXINJGZTOK-MRVPVSSYSA-N 1 2 281.347 3.567 20 0 CHADLO CCCC[C@@H](C)[N@@H+](C)Cc1nnc(C(F)(F)F)s1 ZINC000660588181 1120665506 /nfs/dbraw/zinc/66/55/06/1120665506.db2.gz YSKCTXINJGZTOK-MRVPVSSYSA-N 1 2 281.347 3.567 20 0 CHADLO Cc1ccc([C@@H]2CC[N@H+](Cc3noc(C4CCC4)n3)C2)cc1 ZINC000625313581 1120666325 /nfs/dbraw/zinc/66/63/25/1120666325.db2.gz KVPILXUSRGATKY-MRXNPFEDSA-N 1 2 297.402 3.635 20 0 CHADLO Cc1ccc([C@@H]2CC[N@@H+](Cc3noc(C4CCC4)n3)C2)cc1 ZINC000625313581 1120666328 /nfs/dbraw/zinc/66/63/28/1120666328.db2.gz KVPILXUSRGATKY-MRXNPFEDSA-N 1 2 297.402 3.635 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccc(C)cn2)c1Cl ZINC000660683910 1120678151 /nfs/dbraw/zinc/67/81/51/1120678151.db2.gz KRTVYYDHAQEWRS-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccc(C)cn2)c1Cl ZINC000660683910 1120678154 /nfs/dbraw/zinc/67/81/54/1120678154.db2.gz KRTVYYDHAQEWRS-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccnc2C(F)(F)F)CCC1(F)F ZINC000625432883 1120680761 /nfs/dbraw/zinc/68/07/61/1120680761.db2.gz IEOLYPXAHIBGFG-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccnc2C(F)(F)F)CCC1(F)F ZINC000625432883 1120680764 /nfs/dbraw/zinc/68/07/64/1120680764.db2.gz IEOLYPXAHIBGFG-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169651 1120730963 /nfs/dbraw/zinc/73/09/63/1120730963.db2.gz NEBBIBGLEIEJAU-BDJLRTHQSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169651 1120730969 /nfs/dbraw/zinc/73/09/69/1120730969.db2.gz NEBBIBGLEIEJAU-BDJLRTHQSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169654 1120731615 /nfs/dbraw/zinc/73/16/15/1120731615.db2.gz NEBBIBGLEIEJAU-BZNIZROVSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169654 1120731621 /nfs/dbraw/zinc/73/16/21/1120731621.db2.gz NEBBIBGLEIEJAU-BZNIZROVSA-N 1 2 281.346 3.527 20 0 CHADLO CC(C)c1nnc(C[NH+]2CCC(C3CCC3)CC2)s1 ZINC000661375178 1120757978 /nfs/dbraw/zinc/75/79/78/1120757978.db2.gz WJFUUONNNDOHNN-UHFFFAOYSA-N 1 2 279.453 3.674 20 0 CHADLO COc1ncccc1C[N@@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661437834 1120764576 /nfs/dbraw/zinc/76/45/76/1120764576.db2.gz PNXYFBLJIVKEPS-MRXNPFEDSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ncccc1C[N@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661437834 1120764580 /nfs/dbraw/zinc/76/45/80/1120764580.db2.gz PNXYFBLJIVKEPS-MRXNPFEDSA-N 1 2 298.386 3.801 20 0 CHADLO Cc1nocc1C[N@@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661434205 1120765255 /nfs/dbraw/zinc/76/52/55/1120765255.db2.gz KBJPTOAZTICNTH-HNNXBMFYSA-N 1 2 272.348 3.693 20 0 CHADLO Cc1nocc1C[N@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661434205 1120765256 /nfs/dbraw/zinc/76/52/56/1120765256.db2.gz KBJPTOAZTICNTH-HNNXBMFYSA-N 1 2 272.348 3.693 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)o1 ZINC000661515083 1120773139 /nfs/dbraw/zinc/77/31/39/1120773139.db2.gz VNPAMMGOHIJUME-CQSZACIVSA-N 1 2 274.339 3.632 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC[C@@H]2c2ccc(F)cc2)o1 ZINC000661515083 1120773142 /nfs/dbraw/zinc/77/31/42/1120773142.db2.gz VNPAMMGOHIJUME-CQSZACIVSA-N 1 2 274.339 3.632 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[NH+]1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000661540115 1120776353 /nfs/dbraw/zinc/77/63/53/1120776353.db2.gz XSBAFAQWEGTKBS-IJLUTSLNSA-N 1 2 265.784 3.558 20 0 CHADLO CC(C)n1cc([C@@H](C)Nc2ccc([NH+]3CCCC3)cc2)nn1 ZINC000925726662 1120786936 /nfs/dbraw/zinc/78/69/36/1120786936.db2.gz JRPHMQGYVJJQGM-CQSZACIVSA-N 1 2 299.422 3.632 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@H]1C[C@H](c2ccccc2)C[C@H](C)C1 ZINC000313812536 1120791390 /nfs/dbraw/zinc/79/13/90/1120791390.db2.gz IWMWKCWMRKMMOH-XJNFMUPTSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nc(C(C)C)no2)cc1 ZINC000047960007 1120799195 /nfs/dbraw/zinc/79/91/95/1120799195.db2.gz RJDIZUZKWBIIKA-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nc(C(C)C)no2)cc1 ZINC000047960007 1120799198 /nfs/dbraw/zinc/79/91/98/1120799198.db2.gz RJDIZUZKWBIIKA-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO CO[C@@H](C)C[N@@H+]1C[C@H](c2cccc(Cl)c2)OCC1(C)C ZINC000661736461 1120805050 /nfs/dbraw/zinc/80/50/50/1120805050.db2.gz NGGAJPJQHXKNEZ-SWLSCSKDSA-N 1 2 297.826 3.527 20 0 CHADLO CO[C@@H](C)C[N@H+]1C[C@H](c2cccc(Cl)c2)OCC1(C)C ZINC000661736461 1120805052 /nfs/dbraw/zinc/80/50/52/1120805052.db2.gz NGGAJPJQHXKNEZ-SWLSCSKDSA-N 1 2 297.826 3.527 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCc3c2cccc3Cl)c1 ZINC000652331170 1120829817 /nfs/dbraw/zinc/82/98/17/1120829817.db2.gz ZVCHOJJQGNXQFO-OAHLLOKOSA-N 1 2 288.778 3.981 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cc(F)c(F)c(F)c2)c1 ZINC000652331073 1120830138 /nfs/dbraw/zinc/83/01/38/1120830138.db2.gz XEOFTUGUPVJFSL-VIFPVBQESA-N 1 2 296.292 3.818 20 0 CHADLO CC[N@H+](C[C@H]1CCNC(=O)CC1)c1cccc2ccccc21 ZINC000661936820 1120841472 /nfs/dbraw/zinc/84/14/72/1120841472.db2.gz HXPXGJVTGFBERU-OAHLLOKOSA-N 1 2 296.414 3.582 20 0 CHADLO CC[N@@H+](C[C@H]1CCNC(=O)CC1)c1cccc2ccccc21 ZINC000661936820 1120841477 /nfs/dbraw/zinc/84/14/77/1120841477.db2.gz HXPXGJVTGFBERU-OAHLLOKOSA-N 1 2 296.414 3.582 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000248791717 1120859529 /nfs/dbraw/zinc/85/95/29/1120859529.db2.gz IVHBLCKKYMMKMR-YGRLFVJLSA-N 1 2 274.364 3.640 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000248791717 1120859531 /nfs/dbraw/zinc/85/95/31/1120859531.db2.gz IVHBLCKKYMMKMR-YGRLFVJLSA-N 1 2 274.364 3.640 20 0 CHADLO c1cn(-c2ccc(Nc3cnccc3C3CC3)cc2)c[nH+]1 ZINC001213874776 1120877597 /nfs/dbraw/zinc/87/75/97/1120877597.db2.gz CCNUBOONUYWNIT-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO CC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1nc(C(F)(F)F)cs1 ZINC000662129662 1120881488 /nfs/dbraw/zinc/88/14/88/1120881488.db2.gz JXBFDAQHNUKHSO-PBINXNQUSA-N 1 2 290.354 3.925 20 0 CHADLO CC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1nc(C(F)(F)F)cs1 ZINC000662129662 1120881494 /nfs/dbraw/zinc/88/14/94/1120881494.db2.gz JXBFDAQHNUKHSO-PBINXNQUSA-N 1 2 290.354 3.925 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)c1 ZINC000336379732 1120897408 /nfs/dbraw/zinc/89/74/08/1120897408.db2.gz DOXUPUPTFGOFJE-XJKSGUPXSA-N 1 2 269.392 3.755 20 0 CHADLO Cc1ccc(NCc2cccc3cnccc32)c(C)[nH+]1 ZINC000094664413 1120910546 /nfs/dbraw/zinc/91/05/46/1120910546.db2.gz XLOQNBUPEXXVOL-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO CC(C)CCc1nc(C[N@H+](C)CC2=CCSC2)cs1 ZINC000662271758 1120916976 /nfs/dbraw/zinc/91/69/76/1120916976.db2.gz XUBSPPZIUHRSOI-UHFFFAOYSA-N 1 2 296.505 3.837 20 0 CHADLO CC(C)CCc1nc(C[N@@H+](C)CC2=CCSC2)cs1 ZINC000662271758 1120916978 /nfs/dbraw/zinc/91/69/78/1120916978.db2.gz XUBSPPZIUHRSOI-UHFFFAOYSA-N 1 2 296.505 3.837 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662362798 1120930809 /nfs/dbraw/zinc/93/08/09/1120930809.db2.gz IQPILEYJLRWRQJ-UEKVPHQBSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662362798 1120930811 /nfs/dbraw/zinc/93/08/11/1120930811.db2.gz IQPILEYJLRWRQJ-UEKVPHQBSA-N 1 2 287.325 3.631 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+]1CCO[C@@](C)(C(F)F)C1 ZINC000662370680 1120931577 /nfs/dbraw/zinc/93/15/77/1120931577.db2.gz GUHYVWMWTKCJCH-UKRRQHHQSA-N 1 2 287.325 3.633 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+]1CCO[C@@](C)(C(F)F)C1 ZINC000662370680 1120931580 /nfs/dbraw/zinc/93/15/80/1120931580.db2.gz GUHYVWMWTKCJCH-UKRRQHHQSA-N 1 2 287.325 3.633 20 0 CHADLO Clc1ccc(Cl)c(C[NH+]2C3CCC2CC3)n1 ZINC000398914547 1120954618 /nfs/dbraw/zinc/95/46/18/1120954618.db2.gz AWWCYEIQXJCCFF-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO COc1ccc(CC[C@H](C)[NH2+]CC(F)(F)C(F)F)cc1 ZINC000191766752 1128952875 /nfs/dbraw/zinc/95/28/75/1128952875.db2.gz OYPNIRXBGIQZLO-JTQLQIEISA-N 1 2 293.304 3.506 20 0 CHADLO Clc1scc(C[NH+]2CC(C3CC3)C2)c1Cl ZINC000643345153 1120968115 /nfs/dbraw/zinc/96/81/15/1120968115.db2.gz DPHOAUROGBNWPV-UHFFFAOYSA-N 1 2 262.205 3.897 20 0 CHADLO Cc1cnc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccccc2)o1 ZINC000674011569 1121039187 /nfs/dbraw/zinc/03/91/87/1121039187.db2.gz VZKYKRSVSMRWMN-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cnc(C[N@H+]2CC(C)(C)[C@@H]2c2ccccc2)o1 ZINC000674011569 1121039195 /nfs/dbraw/zinc/03/91/95/1121039195.db2.gz VZKYKRSVSMRWMN-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO C[C@H]([NH2+]Cc1ncccc1Cl)c1ccc(F)cc1F ZINC001168751579 1121042220 /nfs/dbraw/zinc/04/22/20/1121042220.db2.gz UVAZKKPQFFMDOW-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO CCCc1nc(C[NH+]2C[C@@H](C)C(F)(F)[C@H](C)C2)cs1 ZINC000625644686 1121043732 /nfs/dbraw/zinc/04/37/32/1121043732.db2.gz CGPKEBJQWTWQGY-GHMZBOCLSA-N 1 2 288.407 3.819 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC)c(C)c1 ZINC001174828003 1121046633 /nfs/dbraw/zinc/04/66/33/1121046633.db2.gz QXILZSKIINNXNG-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO C[N@@H+](Cc1csc(C2CCCC2)n1)C[C@H]1CCCCO1 ZINC000344055409 1121068157 /nfs/dbraw/zinc/06/81/57/1121068157.db2.gz LUYGFVBHSGZMSZ-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO C[N@H+](Cc1csc(C2CCCC2)n1)C[C@H]1CCCCO1 ZINC000344055409 1121068166 /nfs/dbraw/zinc/06/81/66/1121068166.db2.gz LUYGFVBHSGZMSZ-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccc(OC(F)F)cc1)C2 ZINC000625725612 1121068874 /nfs/dbraw/zinc/06/88/74/1121068874.db2.gz CKDLJJAFVIVJPY-UHFFFAOYSA-N 1 2 291.297 3.509 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccc(OC(F)F)cc1)C2 ZINC000625725612 1121068881 /nfs/dbraw/zinc/06/88/81/1121068881.db2.gz CKDLJJAFVIVJPY-UHFFFAOYSA-N 1 2 291.297 3.509 20 0 CHADLO CC[C@H](C)CN(CC)C(=O)c1cc(C)ccc1-n1cc[nH+]c1 ZINC000344066400 1121072993 /nfs/dbraw/zinc/07/29/93/1121072993.db2.gz MFXDNYYRJNDDBP-AWEZNQCLSA-N 1 2 299.418 3.689 20 0 CHADLO C[C@H](CC(=O)N1c2ccccc2C(C)(C)[C@@H]1C)n1cc[nH+]c1 ZINC000619018534 1128961508 /nfs/dbraw/zinc/96/15/08/1128961508.db2.gz ARKRJXLVKQHSTE-KGLIPLIRSA-N 1 2 297.402 3.547 20 0 CHADLO FC(F)C1([NH2+]C/C=C\c2ccccc2)CCCC1 ZINC000674135163 1121094590 /nfs/dbraw/zinc/09/45/90/1121094590.db2.gz AIAGTLXILMNOFN-TWGQIWQCSA-N 1 2 251.320 3.867 20 0 CHADLO CCc1cccc(C)c1Nc1ccc(N2CCOCC2)[nH+]c1 ZINC001174977086 1121100932 /nfs/dbraw/zinc/10/09/32/1121100932.db2.gz GIRXWDYGQLMGBP-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO Cc1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)cc1N ZINC001174933227 1121102042 /nfs/dbraw/zinc/10/20/42/1121102042.db2.gz MYLBLQVKQNDVAV-UHFFFAOYSA-N 1 2 283.762 3.944 20 0 CHADLO COCc1ccc(C[NH2+]Cc2nc(C(C)C)c(C)s2)o1 ZINC000344150014 1121104602 /nfs/dbraw/zinc/10/46/02/1121104602.db2.gz IEVCQVUGIVDFQX-UHFFFAOYSA-N 1 2 294.420 3.604 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2occc(=O)c2c1 ZINC001174943639 1121106202 /nfs/dbraw/zinc/10/62/02/1121106202.db2.gz FEXVWCWJVXJZSH-UHFFFAOYSA-N 1 2 280.327 3.802 20 0 CHADLO Cc1c[nH+]c(Nc2cc(F)c(F)c(F)c2)c(C)c1 ZINC001174954904 1121110880 /nfs/dbraw/zinc/11/08/80/1121110880.db2.gz FGWIJAAZASPQHA-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO C[C@H]1CCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000344183673 1121113182 /nfs/dbraw/zinc/11/31/82/1121113182.db2.gz SJCBDKKUOSQBBB-GOEBONIOSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2ccc(F)c(F)c2F)c1 ZINC001174966336 1121115997 /nfs/dbraw/zinc/11/59/97/1121115997.db2.gz OGQVYLGZXRIEFU-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(F)c(F)c2F)c[nH+]1 ZINC001174967152 1121117195 /nfs/dbraw/zinc/11/71/95/1121117195.db2.gz AENUPRVQPKMKAL-UHFFFAOYSA-N 1 2 281.281 3.699 20 0 CHADLO CCCOc1cccc(C[N@@H+]2Cc3ccc(O)cc3C2)c1 ZINC000625727689 1121120447 /nfs/dbraw/zinc/12/04/47/1121120447.db2.gz IDHBMWVAIMOHRU-UHFFFAOYSA-N 1 2 283.371 3.697 20 0 CHADLO CCCOc1cccc(C[N@H+]2Cc3ccc(O)cc3C2)c1 ZINC000625727689 1121120451 /nfs/dbraw/zinc/12/04/51/1121120451.db2.gz IDHBMWVAIMOHRU-UHFFFAOYSA-N 1 2 283.371 3.697 20 0 CHADLO Cn1c[nH+]cc1CN1Cc2ccccc2-c2ccccc21 ZINC000674369924 1121125086 /nfs/dbraw/zinc/12/50/86/1121125086.db2.gz GGQJTENJPHMART-UHFFFAOYSA-N 1 2 275.355 3.607 20 0 CHADLO COc1c(C)cc(Nc2ccc3c(c2)[nH+]cn3C)cc1C ZINC001174999183 1121125810 /nfs/dbraw/zinc/12/58/10/1121125810.db2.gz MUKICMYHLRKYQQ-UHFFFAOYSA-N 1 2 281.359 3.942 20 0 CHADLO Cc1cc(N(C)[C@H](C)c2cccc(O)c2)nc(C2CC2)[nH+]1 ZINC000663677830 1121128399 /nfs/dbraw/zinc/12/83/99/1121128399.db2.gz DWJKHSMGXYCSOP-GFCCVEGCSA-N 1 2 283.375 3.565 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C)c(OC)c1 ZINC001175012493 1121133382 /nfs/dbraw/zinc/13/33/82/1121133382.db2.gz BVPBOPIEKONZSQ-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO COc1ccc(C[N@H+](CC(F)F)[C@H](C)C2CC2)cc1 ZINC000171990966 1121135641 /nfs/dbraw/zinc/13/56/41/1121135641.db2.gz WTUQNRNDKXEKPL-LLVKDONJSA-N 1 2 269.335 3.561 20 0 CHADLO COc1ccc(C[N@@H+](CC(F)F)[C@H](C)C2CC2)cc1 ZINC000171990966 1121135646 /nfs/dbraw/zinc/13/56/46/1121135646.db2.gz WTUQNRNDKXEKPL-LLVKDONJSA-N 1 2 269.335 3.561 20 0 CHADLO CC[C@H](OCC[N@@H+]1Cc2ccc(O)cc2C1)c1ccccc1 ZINC000625728554 1121145733 /nfs/dbraw/zinc/14/57/33/1121145733.db2.gz YXEXTOTXYCVVMT-IBGZPJMESA-N 1 2 297.398 3.876 20 0 CHADLO CC[C@H](OCC[N@H+]1Cc2ccc(O)cc2C1)c1ccccc1 ZINC000625728554 1121145736 /nfs/dbraw/zinc/14/57/36/1121145736.db2.gz YXEXTOTXYCVVMT-IBGZPJMESA-N 1 2 297.398 3.876 20 0 CHADLO COc1cc(Nc2c(F)ccc(F)c2F)cc(C)[nH+]1 ZINC001175028840 1121149927 /nfs/dbraw/zinc/14/99/27/1121149927.db2.gz ZWHHUFZNRHAOFI-UHFFFAOYSA-N 1 2 268.238 3.560 20 0 CHADLO CCCCc1ccc(N(C)c2[nH+]cccc2N)cc1 ZINC001175035474 1121152578 /nfs/dbraw/zinc/15/25/78/1121152578.db2.gz JLRPSSXDCWSJKB-UHFFFAOYSA-N 1 2 255.365 3.774 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2cccs2)nc(C)[nH+]1 ZINC000172711063 1121161878 /nfs/dbraw/zinc/16/18/78/1121161878.db2.gz KJPQDAKPTKMDBA-ZDUSSCGKSA-N 1 2 273.405 3.750 20 0 CHADLO COc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)cc(OC)c1 ZINC001175108364 1121167228 /nfs/dbraw/zinc/16/72/28/1121167228.db2.gz OBQFWJWCUAUZFC-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO CCCCc1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000096733814 1121177216 /nfs/dbraw/zinc/17/72/16/1121177216.db2.gz GFRWCDBCNZAFJH-UHFFFAOYSA-N 1 2 268.360 3.985 20 0 CHADLO CCOc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(F)c1F ZINC001175150154 1121185379 /nfs/dbraw/zinc/18/53/79/1121185379.db2.gz KQHKCSYTHQELCB-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO CCCOCCNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001167872693 1121190557 /nfs/dbraw/zinc/19/05/57/1121190557.db2.gz PCNXDLKDZAUYIB-UHFFFAOYSA-N 1 2 265.401 3.562 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)cc(F)cc2F)c[nH+]1 ZINC001175144249 1121200543 /nfs/dbraw/zinc/20/05/43/1121200543.db2.gz YXJMWKQBDFCTAQ-UHFFFAOYSA-N 1 2 281.281 3.699 20 0 CHADLO CCOc1ccc(Nc2ccn3cc[nH+]c3c2)cc1C ZINC001175170659 1121213566 /nfs/dbraw/zinc/21/35/66/1121213566.db2.gz FUBDDCRDQKOOCM-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO COc1ccc([NH2+][C@H]2CCC23CCCC3)c(OC)c1 ZINC000694468878 1121221403 /nfs/dbraw/zinc/22/14/03/1121221403.db2.gz IVZLRCAAOGBSGU-HNNXBMFYSA-N 1 2 261.365 3.839 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000351732330 1121233698 /nfs/dbraw/zinc/23/36/98/1121233698.db2.gz QAMGHJOFONEOAN-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000351732330 1121233701 /nfs/dbraw/zinc/23/37/01/1121233701.db2.gz QAMGHJOFONEOAN-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(Cl)ccc1F ZINC001175300483 1121261744 /nfs/dbraw/zinc/26/17/44/1121261744.db2.gz WHPAVZMSZBCJLY-UHFFFAOYSA-N 1 2 275.714 3.709 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1ccoc1 ZINC000766108489 1121262189 /nfs/dbraw/zinc/26/21/89/1121262189.db2.gz OVUHODHEGWZSKA-UHFFFAOYSA-N 1 2 275.739 3.915 20 0 CHADLO CCOc1cc(Nc2cccn3cc(C)[nH+]c23)ccc1OC ZINC001212539942 1121268712 /nfs/dbraw/zinc/26/87/12/1121268712.db2.gz YYGAOYDMVTXJRG-UHFFFAOYSA-N 1 2 297.358 3.794 20 0 CHADLO Cc1cc(Nc2ccc(N(C)C)[nH+]c2)c2ccsc2n1 ZINC001175343158 1121271793 /nfs/dbraw/zinc/27/17/93/1121271793.db2.gz ZOYMQTMHPICREW-UHFFFAOYSA-N 1 2 284.388 3.809 20 0 CHADLO CN(C)c1ccc(Nc2cccc(Cl)c2F)c[nH+]1 ZINC001175343490 1121272498 /nfs/dbraw/zinc/27/24/98/1121272498.db2.gz LATJHZUHTGHBMW-UHFFFAOYSA-N 1 2 265.719 3.684 20 0 CHADLO CN(C)c1ccc(Nc2ccc(Br)cc2)c[nH+]1 ZINC001175341848 1121272720 /nfs/dbraw/zinc/27/27/20/1121272720.db2.gz MNOGUUXEMLADNN-UHFFFAOYSA-N 1 2 292.180 3.654 20 0 CHADLO COC[C@@H]1CCC[N@@H+]1Cc1cc(Cl)cc(Cl)c1F ZINC001175325226 1121283101 /nfs/dbraw/zinc/28/31/01/1121283101.db2.gz ZMCVCQYNRHUHET-NSHDSACASA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@@H]1CCC[N@H+]1Cc1cc(Cl)cc(Cl)c1F ZINC001175325226 1121283103 /nfs/dbraw/zinc/28/31/03/1121283103.db2.gz ZMCVCQYNRHUHET-NSHDSACASA-N 1 2 292.181 3.743 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@H](C)c2nnc(C)s2)cc1 ZINC000274252478 1121286050 /nfs/dbraw/zinc/28/60/50/1121286050.db2.gz SLCIOZVQMDSABO-WDEREUQCSA-N 1 2 291.420 3.657 20 0 CHADLO Cc1ccc2nc(Nc3ccc(N(C)C)[nH+]c3)ccc2c1 ZINC001175338739 1121290242 /nfs/dbraw/zinc/29/02/42/1121290242.db2.gz LUYOQQZEVOUHMR-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO CN(C)c1ccc(Nc2ccnc(Cl)c2Cl)c[nH+]1 ZINC001175338542 1121290353 /nfs/dbraw/zinc/29/03/53/1121290353.db2.gz HILBWXUXOUEIOL-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO Cc1ccc(Cl)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175341090 1121290695 /nfs/dbraw/zinc/29/06/95/1121290695.db2.gz BJFRYXYDECDFFI-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO c1cnn(-c2ccc(Nc3cccc(C4CC4)[nH+]3)cc2)c1 ZINC001175369891 1121299415 /nfs/dbraw/zinc/29/94/15/1121299415.db2.gz LRUGTSIKRLEOSP-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO CCOc1cc(Nc2ccc(-n3cccn3)cc2)cc(C)[nH+]1 ZINC001175369519 1121299892 /nfs/dbraw/zinc/29/98/92/1121299892.db2.gz GEZMATOPBCFYRU-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432740522 1121306052 /nfs/dbraw/zinc/30/60/52/1121306052.db2.gz XMEZCEYDMALFBO-QMMMGPOBSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432740522 1121306059 /nfs/dbraw/zinc/30/60/59/1121306059.db2.gz XMEZCEYDMALFBO-QMMMGPOBSA-N 1 2 281.368 3.704 20 0 CHADLO COc1ncc(Nc2c(C)cc[nH+]c2C(C)C)s1 ZINC001175392811 1121311373 /nfs/dbraw/zinc/31/13/73/1121311373.db2.gz SMFMRQVUONPMMF-UHFFFAOYSA-N 1 2 263.366 3.722 20 0 CHADLO Cn1ncc2cccc(Nc3cccc(-n4cc[nH+]c4)c3)c21 ZINC001175441981 1121326709 /nfs/dbraw/zinc/32/67/09/1121326709.db2.gz BJDIISUOEFUTGS-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO c1nnc([C@H]([NH2+]CCCC2CCCCC2)c2ccccc2)[nH]1 ZINC000675647709 1121333048 /nfs/dbraw/zinc/33/30/48/1121333048.db2.gz NCFADIHNCKIRIP-QGZVFWFLSA-N 1 2 298.434 3.844 20 0 CHADLO C[NH+](C)c1ccc(NCc2cccc3c2OCCCO3)cc1 ZINC000125056683 1121338725 /nfs/dbraw/zinc/33/87/25/1121338725.db2.gz WKZZHWOQOVLMFW-UHFFFAOYSA-N 1 2 298.386 3.526 20 0 CHADLO Cn1cc2cccc(Nc3cccc4cc[nH+]cc43)c2n1 ZINC001175459203 1121349889 /nfs/dbraw/zinc/34/98/89/1121349889.db2.gz KCPASFCBXNEGQX-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO CCc1ccc[nH+]c1Nc1cc2c[nH]nc2c(C)c1 ZINC001175516806 1121355949 /nfs/dbraw/zinc/35/59/49/1121355949.db2.gz HSMOGIYUJRNREF-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1ccc2n[nH]cc2c1Nc1ccccc1-n1cc[nH+]c1 ZINC001175526652 1121367569 /nfs/dbraw/zinc/36/75/69/1121367569.db2.gz XQPFYLQJYDCGNC-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2c3cn[nH]c3ccc2C)c1 ZINC001175526417 1121367976 /nfs/dbraw/zinc/36/79/76/1121367976.db2.gz VAYDBJXCGXOIOX-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO COCCCCN(C)c1cc[nH+]c2c(Cl)cccc12 ZINC000419015241 1121369151 /nfs/dbraw/zinc/36/91/51/1121369151.db2.gz MBZFJLMRFGDWQZ-UHFFFAOYSA-N 1 2 278.783 3.751 20 0 CHADLO COc1cc(C)cc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001211726639 1121374512 /nfs/dbraw/zinc/37/45/12/1121374512.db2.gz OASMVDISQBRLHJ-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+]1C[C@H](C)O[C@H](C(F)(F)F)C1 ZINC000675891865 1121377051 /nfs/dbraw/zinc/37/70/51/1121377051.db2.gz DABSGZNZKINVEZ-OBJOEFQTSA-N 1 2 287.325 3.708 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+]1C[C@H](C)O[C@H](C(F)(F)F)C1 ZINC000675891865 1121377062 /nfs/dbraw/zinc/37/70/62/1121377062.db2.gz DABSGZNZKINVEZ-OBJOEFQTSA-N 1 2 287.325 3.708 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc3nn(C)cc32)cc1 ZINC001175594591 1121378817 /nfs/dbraw/zinc/37/88/17/1121378817.db2.gz RLPHSFKNVZMGED-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc3nn(C)cc32)cc1 ZINC001175594591 1121378827 /nfs/dbraw/zinc/37/88/27/1121378827.db2.gz RLPHSFKNVZMGED-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO Cc1cc(Br)c(NC2=CCC[N@@H+](C)C2)cc1F ZINC001175579924 1121395366 /nfs/dbraw/zinc/39/53/66/1121395366.db2.gz IDAYBMGRJWNKKW-UHFFFAOYSA-N 1 2 299.187 3.528 20 0 CHADLO Cc1cc(Br)c(NC2=CCC[N@H+](C)C2)cc1F ZINC001175579924 1121395371 /nfs/dbraw/zinc/39/53/71/1121395371.db2.gz IDAYBMGRJWNKKW-UHFFFAOYSA-N 1 2 299.187 3.528 20 0 CHADLO Cc1ccc(C[NH2+]C(C)(C)c2nccs2)c(F)c1 ZINC000631074535 1128982759 /nfs/dbraw/zinc/98/27/59/1128982759.db2.gz CCFUWJJIHHUOJP-UHFFFAOYSA-N 1 2 264.369 3.616 20 0 CHADLO Cl/C=C(/Cl)C[NH2+][C@H](Cn1cccn1)c1ccccc1 ZINC000763560274 1128983452 /nfs/dbraw/zinc/98/34/52/1128983452.db2.gz LIYAXSDSDDATEN-KADHNRKRSA-N 1 2 296.201 3.533 20 0 CHADLO CCc1cccc(Nc2ccc3[nH]nc(C)c3c2)[nH+]1 ZINC001175694582 1121415107 /nfs/dbraw/zinc/41/51/07/1121415107.db2.gz SDRPDVIEMJXULE-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO c1cn2c(cccc2Nc2ccc3ccncc3c2)[nH+]1 ZINC001175701096 1121419899 /nfs/dbraw/zinc/41/98/99/1121419899.db2.gz IHRBZOUWDARTLA-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1n[nH]c2cc(Nc3ccc(N4CCCC4)[nH+]c3)ccc12 ZINC001175660196 1121424870 /nfs/dbraw/zinc/42/48/70/1121424870.db2.gz MLPYGWFPANORBC-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1cc(N2CCCC[C@H]2c2cc[nH]n2)nc(C2CCC2)[nH+]1 ZINC000893819061 1121428645 /nfs/dbraw/zinc/42/86/45/1121428645.db2.gz HCZIBAMKXHTARY-HNNXBMFYSA-N 1 2 297.406 3.507 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2noc3c2CCCC3)cc1F ZINC000181353126 1121430805 /nfs/dbraw/zinc/43/08/05/1121430805.db2.gz QHMOCXRYCDMSAM-GFCCVEGCSA-N 1 2 288.366 3.852 20 0 CHADLO COc1cc(Nc2cccc3cc[nH+]cc32)c(F)cn1 ZINC001175692141 1121433895 /nfs/dbraw/zinc/43/38/95/1121433895.db2.gz PRDINJKIMTVNJQ-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO CNc1cccc(C)c1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175715822 1121434899 /nfs/dbraw/zinc/43/48/99/1121434899.db2.gz JIAODOBVOJRYTA-UHFFFAOYSA-N 1 2 296.296 3.776 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cnc3occc3c2)c[nH+]1 ZINC001175712005 1121435056 /nfs/dbraw/zinc/43/50/56/1121435056.db2.gz FHRXADAKCYGIOZ-UHFFFAOYSA-N 1 2 294.236 3.567 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCOc3c(F)cccc32)sc1C ZINC000893899009 1121436064 /nfs/dbraw/zinc/43/60/64/1121436064.db2.gz ZKAGGETVTDEXLA-CYBMUJFWSA-N 1 2 292.379 3.512 20 0 CHADLO COc1cc(F)c(Nc2cccn3cc(C)[nH+]c23)c(F)c1 ZINC001175720357 1121437523 /nfs/dbraw/zinc/43/75/23/1121437523.db2.gz HAFBEERJLUXAPC-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CCC[C@H]2c2cccs2)n1 ZINC000893905300 1121437955 /nfs/dbraw/zinc/43/79/55/1121437955.db2.gz KSECMYOPAVXWBW-ZDUSSCGKSA-N 1 2 275.421 3.932 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CCC[C@H]2c2cccs2)n1 ZINC000893905300 1121437958 /nfs/dbraw/zinc/43/79/58/1121437958.db2.gz KSECMYOPAVXWBW-ZDUSSCGKSA-N 1 2 275.421 3.932 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2CCC[C@H]2c2cccc(C)c2)c1C ZINC000893943982 1121442698 /nfs/dbraw/zinc/44/26/98/1121442698.db2.gz JKGCJMYDSFUHHP-KRWDZBQOSA-N 1 2 269.392 3.672 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CCC[C@H]2c2cccc(C)c2)c1C ZINC000893943982 1121442701 /nfs/dbraw/zinc/44/27/01/1121442701.db2.gz JKGCJMYDSFUHHP-KRWDZBQOSA-N 1 2 269.392 3.672 20 0 CHADLO Cc1cscc1N[C@@H](C)c1cn2c([nH+]1)CCCC2 ZINC000925926815 1121444457 /nfs/dbraw/zinc/44/44/57/1121444457.db2.gz TVNKYGUUSNHJCF-NSHDSACASA-N 1 2 261.394 3.762 20 0 CHADLO Cc1cc(NC(=O)c2ccc(CC(C)C)cc2)c(C)c[nH+]1 ZINC000676587995 1121447492 /nfs/dbraw/zinc/44/74/92/1121447492.db2.gz NREFCXRNBGPIJV-UHFFFAOYSA-N 1 2 282.387 3.571 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+](Cc2cccc(O)c2)CC2CC2)n1 ZINC000893984210 1121450622 /nfs/dbraw/zinc/45/06/22/1121450622.db2.gz LQITVRVQOQVRTH-UHFFFAOYSA-N 1 2 299.418 3.651 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+](Cc2cccc(O)c2)CC2CC2)n1 ZINC000893984210 1121450625 /nfs/dbraw/zinc/45/06/25/1121450625.db2.gz LQITVRVQOQVRTH-UHFFFAOYSA-N 1 2 299.418 3.651 20 0 CHADLO Cc1conc1C[NH2+][C@H](C)c1cccc(C(F)(F)F)c1 ZINC000708223429 1121463487 /nfs/dbraw/zinc/46/34/87/1121463487.db2.gz ZMSRUVWDFYXQNU-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C)cc(C)c1N ZINC001175936260 1121487570 /nfs/dbraw/zinc/48/75/70/1121487570.db2.gz WTPKKNGKFQOIJE-UHFFFAOYSA-N 1 2 266.348 3.516 20 0 CHADLO Cc1cnc(Cl)c(C[NH2+]Cc2c(F)cc(C)cc2F)c1 ZINC000922946224 1121494995 /nfs/dbraw/zinc/49/49/95/1121494995.db2.gz DVOBFTNILZSJLI-UHFFFAOYSA-N 1 2 296.748 3.920 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CC(C)(C)Oc3ccc(F)cc32)on1 ZINC000631167742 1128988579 /nfs/dbraw/zinc/98/85/79/1128988579.db2.gz RSDLLRSMVNVKOJ-CQSZACIVSA-N 1 2 290.338 3.514 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CC(C)(C)Oc3ccc(F)cc32)on1 ZINC000631167741 1128988830 /nfs/dbraw/zinc/98/88/30/1128988830.db2.gz RSDLLRSMVNVKOJ-AWEZNQCLSA-N 1 2 290.338 3.514 20 0 CHADLO CCC[C@@H]([NH2+]C1CC(c2ccccc2Cl)C1)C(=O)OC ZINC000512663838 1121517333 /nfs/dbraw/zinc/51/73/33/1121517333.db2.gz QZYOHSNATBNBLP-KOHJWAIASA-N 1 2 295.810 3.517 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc(-c2ccon2)c1 ZINC000923029140 1121517509 /nfs/dbraw/zinc/51/75/09/1121517509.db2.gz DVZMKFLTMGSANE-UHFFFAOYSA-N 1 2 282.347 3.731 20 0 CHADLO c1cn(-c2ccccc2Nc2cccc3c2OCC3)c[nH+]1 ZINC001176075955 1121530503 /nfs/dbraw/zinc/53/05/03/1121530503.db2.gz FEENFMZWKMVMFR-UHFFFAOYSA-N 1 2 277.327 3.551 20 0 CHADLO c1cn(Cc2cccc(Nc3cccc4c3OCC4)c2)c[nH+]1 ZINC001176076847 1121531570 /nfs/dbraw/zinc/53/15/70/1121531570.db2.gz ZORMQYXLXJBRRG-UHFFFAOYSA-N 1 2 291.354 3.610 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC(C)(C)Cc2occc21)c1ccon1 ZINC000543627943 1121533660 /nfs/dbraw/zinc/53/36/60/1121533660.db2.gz ZHNYNXFMUUSEBM-GXFFZTMASA-N 1 2 260.337 3.632 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2ccccc2o1)c1c(F)cncc1F ZINC000353145655 1121539043 /nfs/dbraw/zinc/53/90/43/1121539043.db2.gz WVPQGMCIIBJAJK-SNVBAGLBSA-N 1 2 288.297 3.957 20 0 CHADLO Clc1ccc2c(NC3=CCOCC3)cc[nH+]c2c1 ZINC001176142301 1121548079 /nfs/dbraw/zinc/54/80/79/1121548079.db2.gz XTZXAOMMNRZEHM-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@@H](c3ccccc3)C2)no1 ZINC000433065324 1121551470 /nfs/dbraw/zinc/55/14/70/1121551470.db2.gz BQHAXWJOODZLJX-MRXNPFEDSA-N 1 2 299.418 3.792 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@@H](c3ccccc3)C2)no1 ZINC000433065324 1121551477 /nfs/dbraw/zinc/55/14/77/1121551477.db2.gz BQHAXWJOODZLJX-MRXNPFEDSA-N 1 2 299.418 3.792 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)ccc1Br ZINC001176121099 1121556351 /nfs/dbraw/zinc/55/63/51/1121556351.db2.gz NLQMNHQAFVCJIH-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C)cc(Br)c1 ZINC001176120474 1121556590 /nfs/dbraw/zinc/55/65/90/1121556590.db2.gz BLVVDNNTMBJWAA-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO COc1cc[nH+]cc1Nc1c(O)ccc2ccccc21 ZINC001176123462 1121558567 /nfs/dbraw/zinc/55/85/67/1121558567.db2.gz VCNNJPUYSHZRKR-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(F)(F)F)cc1O ZINC001176122846 1121558716 /nfs/dbraw/zinc/55/87/16/1121558716.db2.gz JTCINNNEJMAFJO-UHFFFAOYSA-N 1 2 284.237 3.558 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(C)=O)ccc1Cl ZINC001176123917 1121558801 /nfs/dbraw/zinc/55/88/01/1121558801.db2.gz XJIYLSACIRHXMO-UHFFFAOYSA-N 1 2 276.723 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2cc(O)ccc21 ZINC001176123783 1121559301 /nfs/dbraw/zinc/55/93/01/1121559301.db2.gz FUESPUOLSNAUEP-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO COc1cc[nH+]cc1Nc1c(O)cccc1C(F)(F)F ZINC001176125288 1121560571 /nfs/dbraw/zinc/56/05/71/1121560571.db2.gz YCXOWXZTFYLTNV-UHFFFAOYSA-N 1 2 284.237 3.558 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1ccc(F)cc1F ZINC000625979818 1121565050 /nfs/dbraw/zinc/56/50/50/1121565050.db2.gz DKVZGXRKCXMWNU-VIFPVBQESA-N 1 2 261.262 3.584 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1ccc(F)cc1F ZINC000625979818 1121565055 /nfs/dbraw/zinc/56/50/55/1121565055.db2.gz DKVZGXRKCXMWNU-VIFPVBQESA-N 1 2 261.262 3.584 20 0 CHADLO Cc1cc(F)ccc1[C@@H]1CCCN1c1nccn2c[nH+]cc12 ZINC000894117901 1121565374 /nfs/dbraw/zinc/56/53/74/1121565374.db2.gz UDFZBPURKGWIAR-HNNXBMFYSA-N 1 2 296.349 3.518 20 0 CHADLO COC(=O)[C@H]([NH2+]CC1CCCC1)c1cccc2ccccc21 ZINC001176183265 1121566795 /nfs/dbraw/zinc/56/67/95/1121566795.db2.gz AWHXQCZYXALDAQ-GOSISDBHSA-N 1 2 297.398 3.834 20 0 CHADLO FC(F)(F)c1nn(C[C@@H]2CC[C@H]3C[C@H]3C2)c2cc[nH+]cc21 ZINC000663994182 1121567145 /nfs/dbraw/zinc/56/71/45/1121567145.db2.gz HUQGSGXDCSFKJN-OUAUKWLOSA-N 1 2 295.308 3.886 20 0 CHADLO CC[C@H]1COCC[N@@H+]1Cc1c(Cl)oc2ccccc21 ZINC000509782217 1121577764 /nfs/dbraw/zinc/57/77/64/1121577764.db2.gz LHGLJQCHDAWOSH-NSHDSACASA-N 1 2 279.767 3.697 20 0 CHADLO CC[C@H]1COCC[N@H+]1Cc1c(Cl)oc2ccccc21 ZINC000509782217 1121577768 /nfs/dbraw/zinc/57/77/68/1121577768.db2.gz LHGLJQCHDAWOSH-NSHDSACASA-N 1 2 279.767 3.697 20 0 CHADLO Cc1cc(OCc2cc(C3CC3)no2)c2ccccc2[nH+]1 ZINC000664020285 1121579751 /nfs/dbraw/zinc/57/97/51/1121579751.db2.gz OPJQKZNZNFGERR-UHFFFAOYSA-N 1 2 280.327 3.988 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCc2c(O)cccc2C1 ZINC000626026790 1121583194 /nfs/dbraw/zinc/58/31/94/1121583194.db2.gz ZABNDWLLDGQHEN-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCc2c(O)cccc2C1 ZINC000626026790 1121583200 /nfs/dbraw/zinc/58/32/00/1121583200.db2.gz ZABNDWLLDGQHEN-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO O=C1CCc2cc(Nc3ccc[nH+]c3N3CCCC3)ccc21 ZINC001176233370 1121583576 /nfs/dbraw/zinc/58/35/76/1121583576.db2.gz ZPBHXQCUYRVZTP-UHFFFAOYSA-N 1 2 293.370 3.554 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1O ZINC001176256368 1121594197 /nfs/dbraw/zinc/59/41/97/1121594197.db2.gz QWSDCMZEYLSXGW-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO COc1ccc(F)cc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000092846371 1121597352 /nfs/dbraw/zinc/59/73/52/1121597352.db2.gz QNMIBTZMVWIPPF-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Nc1[nH+]cccc1COc1ccc(C2CC2)cc1Cl ZINC000664043045 1121600662 /nfs/dbraw/zinc/60/06/62/1121600662.db2.gz NCDHWGLQOPUTTI-UHFFFAOYSA-N 1 2 274.751 3.774 20 0 CHADLO C[C@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1cncc(F)c1 ZINC000631283297 1128996577 /nfs/dbraw/zinc/99/65/77/1128996577.db2.gz KBHVCRRZGYALKW-BONVTDFDSA-N 1 2 280.293 3.721 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc2cc(O)ccc2c1 ZINC001176387405 1121608881 /nfs/dbraw/zinc/60/88/81/1121608881.db2.gz RIFYJIWORZZPHX-UHFFFAOYSA-N 1 2 289.338 3.775 20 0 CHADLO CN(CCOC(C)(C)C)c1[nH+]ccc2cc(F)ccc21 ZINC000645192479 1121610527 /nfs/dbraw/zinc/61/05/27/1121610527.db2.gz PIHVNJOHAFGBGO-UHFFFAOYSA-N 1 2 276.355 3.625 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2scnc2C2CC2)cs1 ZINC000487272445 1121617186 /nfs/dbraw/zinc/61/71/86/1121617186.db2.gz HWFPCWNYXIRIHA-SECBINFHSA-N 1 2 293.461 3.890 20 0 CHADLO Oc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)c(F)c1 ZINC001176413598 1121628407 /nfs/dbraw/zinc/62/84/07/1121628407.db2.gz NXEJSHHYOJCJHE-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cccc(OC(F)(F)F)c1 ZINC001176535782 1121645486 /nfs/dbraw/zinc/64/54/86/1121645486.db2.gz NUUBRTWOSSLXHP-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccc(O)cc2)c1 ZINC000611526139 1121653989 /nfs/dbraw/zinc/65/39/89/1121653989.db2.gz IRWDDKWTBFADJS-UHFFFAOYSA-N 1 2 274.389 3.652 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(O)cc(Cl)c3)ccc21 ZINC001176624601 1121664669 /nfs/dbraw/zinc/66/46/69/1121664669.db2.gz MJDMARMGCSOJBN-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO COC[C@H]1CCCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000645213786 1121669676 /nfs/dbraw/zinc/66/96/76/1121669676.db2.gz INFDLKWLNKDEJM-ZDUSSCGKSA-N 1 2 288.366 3.627 20 0 CHADLO Cc1cc(N[C@H](C)c2ncccc2F)nc(C2CCC2)[nH+]1 ZINC000894152364 1121671422 /nfs/dbraw/zinc/67/14/22/1121671422.db2.gz KQQATTRDTLTPFZ-LLVKDONJSA-N 1 2 286.354 3.760 20 0 CHADLO c1c[nH+]c(Nc2cccc(C3CC3)c2)c(N2CCOCC2)c1 ZINC001176723483 1121678618 /nfs/dbraw/zinc/67/86/18/1121678618.db2.gz BTWLHZRCZDRPDG-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)n(C(C)(C)C)n1 ZINC001176744187 1121686797 /nfs/dbraw/zinc/68/67/97/1121686797.db2.gz QGHSYLFSITTXCQ-UHFFFAOYSA-N 1 2 299.422 3.685 20 0 CHADLO Fc1cnc(Cl)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001176750225 1121688326 /nfs/dbraw/zinc/68/83/26/1121688326.db2.gz UNBATVROJRVHOJ-UHFFFAOYSA-N 1 2 292.745 3.613 20 0 CHADLO CCC1(CC)CCCN1C(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC001177023835 1121707859 /nfs/dbraw/zinc/70/78/59/1121707859.db2.gz UODIXPIJCOXNHR-OAHLLOKOSA-N 1 2 291.439 3.652 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1coc(C2CC2)n1 ZINC000727463668 1121720002 /nfs/dbraw/zinc/72/00/02/1121720002.db2.gz FAGSEFDCHXBRER-GFCCVEGCSA-N 1 2 286.375 3.720 20 0 CHADLO CC(C)C(=O)OCC(C)(C)[C@H](Oc1cc[nH+]cc1)C(C)C ZINC001225290811 1121723471 /nfs/dbraw/zinc/72/34/71/1121723471.db2.gz IPDPXNCISJPFIQ-OAHLLOKOSA-N 1 2 293.407 3.710 20 0 CHADLO CC[C@H]1C[C@@H](C[NH2+]c2ccc(N(C)C)cc2C)CCO1 ZINC001177363001 1121727169 /nfs/dbraw/zinc/72/71/69/1121727169.db2.gz HBFSXSFUUPEWHD-HOCLYGCPSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@H]1C[C@@H](CNc2ccc([NH+](C)C)cc2C)CCO1 ZINC001177363001 1121727175 /nfs/dbraw/zinc/72/71/75/1121727175.db2.gz HBFSXSFUUPEWHD-HOCLYGCPSA-N 1 2 276.424 3.678 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)CCc1c[nH]c[nH+]1 ZINC000526991963 1121728046 /nfs/dbraw/zinc/72/80/46/1121728046.db2.gz JAFHHXQUNCRWHV-UHFFFAOYSA-N 1 2 285.391 3.570 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)CCc1c[nH+]c[nH]1 ZINC000526991963 1121728052 /nfs/dbraw/zinc/72/80/52/1121728052.db2.gz JAFHHXQUNCRWHV-UHFFFAOYSA-N 1 2 285.391 3.570 20 0 CHADLO Cc1ccc2ccccc2c1NC(=O)[C@@H](C)n1cc[nH+]c1 ZINC001177917215 1121756810 /nfs/dbraw/zinc/75/68/10/1121756810.db2.gz FHEXPIMNQPIAQC-CYBMUJFWSA-N 1 2 279.343 3.544 20 0 CHADLO Cc1cc(CNc2ccc(N(C)C)[nH+]c2)cc2cccnc12 ZINC001178143619 1121768480 /nfs/dbraw/zinc/76/84/80/1121768480.db2.gz LVCXXXJWCAPVPQ-UHFFFAOYSA-N 1 2 292.386 3.616 20 0 CHADLO C[C@@H]1Cc2cc(CNc3ccc([NH+](C)C)cc3)ccc2O1 ZINC001178122978 1121769212 /nfs/dbraw/zinc/76/92/12/1121769212.db2.gz IERFKWFUPOSGDM-CYBMUJFWSA-N 1 2 282.387 3.688 20 0 CHADLO CNc1ccc(Nc2c[nH+]c(CC(C)C)cc2C)c(F)n1 ZINC001203355796 1121770677 /nfs/dbraw/zinc/77/06/77/1121770677.db2.gz NAIPRRVDACDMNM-UHFFFAOYSA-N 1 2 288.370 3.908 20 0 CHADLO CCN(CC)c1ccc(NCc2nc(C)c(C)s2)c[nH+]1 ZINC001178254537 1121774275 /nfs/dbraw/zinc/77/42/75/1121774275.db2.gz SWYNNXIDULRWJN-UHFFFAOYSA-N 1 2 290.436 3.613 20 0 CHADLO Cc1nnc(C[NH2+]Cc2ccc(C3CC3)c(C3CC3)c2)s1 ZINC001178462420 1121788844 /nfs/dbraw/zinc/78/88/44/1121788844.db2.gz FFQKKUABXWQMIK-UHFFFAOYSA-N 1 2 299.443 3.891 20 0 CHADLO Cc1scc(CNCC[N@@H+]2CCCC(C)(C)C2)c1C ZINC001178581256 1121796039 /nfs/dbraw/zinc/79/60/39/1121796039.db2.gz HUQFVZQROXKGQY-UHFFFAOYSA-N 1 2 280.481 3.577 20 0 CHADLO Cc1scc(CNCC[N@H+]2CCCC(C)(C)C2)c1C ZINC001178581256 1121796044 /nfs/dbraw/zinc/79/60/44/1121796044.db2.gz HUQFVZQROXKGQY-UHFFFAOYSA-N 1 2 280.481 3.577 20 0 CHADLO CC(C)[C@H](C)N(C(=O)CCCn1cc[nH+]c1)c1ccccc1 ZINC000894221903 1121800250 /nfs/dbraw/zinc/80/02/50/1121800250.db2.gz RNKOEYYAXBMNHO-INIZCTEOSA-N 1 2 299.418 3.741 20 0 CHADLO CCCCCC[C@@H](CC)C(=O)NCc1c[nH+]c(CC)[nH]1 ZINC001178669896 1121804152 /nfs/dbraw/zinc/80/41/52/1121804152.db2.gz QNRZAQRBKRIVCX-CYBMUJFWSA-N 1 2 279.428 3.585 20 0 CHADLO CCCCCC[C@@H](CC)C(=O)NCc1c[nH]c(CC)[nH+]1 ZINC001178669896 1121804162 /nfs/dbraw/zinc/80/41/62/1121804162.db2.gz QNRZAQRBKRIVCX-CYBMUJFWSA-N 1 2 279.428 3.585 20 0 CHADLO CCS[C@@H]1CCCC[C@H]1Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000433792008 1121811445 /nfs/dbraw/zinc/81/14/45/1121811445.db2.gz KXQSENGTJTZBAG-CHWSQXEVSA-N 1 2 290.436 3.743 20 0 CHADLO Cc1cc(C)c(/C=C\C[NH2+]C(CF)CF)c(C)c1 ZINC001178787097 1121814893 /nfs/dbraw/zinc/81/48/93/1121814893.db2.gz JMQVFBQRPQAXJR-PLNGDYQASA-N 1 2 253.336 3.522 20 0 CHADLO C[C@H]1C[C@H](Nc2cc[nH+]c3c(Cl)cccc23)c2ncnn21 ZINC000664185672 1121816750 /nfs/dbraw/zinc/81/67/50/1121816750.db2.gz KHXFSOCCCZDDOV-ZANVPECISA-N 1 2 299.765 3.598 20 0 CHADLO Cc1ccc(N(C(=O)C[C@@H](C)n2cc[nH+]c2)C2CCC2)cc1 ZINC000894231966 1121829946 /nfs/dbraw/zinc/82/99/46/1121829946.db2.gz UUNPYFLPLMUURJ-OAHLLOKOSA-N 1 2 297.402 3.728 20 0 CHADLO COc1ccc(CNc2cc3cc[nH]c3c[nH+]2)c(Cl)c1 ZINC001179044301 1121840333 /nfs/dbraw/zinc/84/03/33/1121840333.db2.gz AGMZLBDEHPYADN-UHFFFAOYSA-N 1 2 287.750 3.837 20 0 CHADLO c1cc2cc(CNc3cc4cc[nH]c4c[nH+]3)ccc2[nH]1 ZINC001179044293 1121841541 /nfs/dbraw/zinc/84/15/41/1121841541.db2.gz ABLHUOUPPGRLDE-UHFFFAOYSA-N 1 2 262.316 3.656 20 0 CHADLO C(=C\c1[nH]cc[nH+]1)\c1nc(-c2ccccc2)cs1 ZINC000731155332 1121850236 /nfs/dbraw/zinc/85/02/36/1121850236.db2.gz DQOJHOYGRGJJDQ-SREVYHEPSA-N 1 2 253.330 3.704 20 0 CHADLO COc1ccc(CNc2c[nH+]cc3c2CCCC3)cc1C ZINC001179148031 1121854729 /nfs/dbraw/zinc/85/47/29/1121854729.db2.gz MJOOSQZTMPGPPD-UHFFFAOYSA-N 1 2 282.387 3.890 20 0 CHADLO CCCn1c[nH+]cc1CNc1cccc(C2CCOCC2)c1 ZINC001179308771 1121867703 /nfs/dbraw/zinc/86/77/03/1121867703.db2.gz SIQDDXKZUMSJQX-UHFFFAOYSA-N 1 2 299.418 3.799 20 0 CHADLO CCC(CC)Cc1cc(F)ccc1C[NH+]1CCOCC1 ZINC001249930647 1121875127 /nfs/dbraw/zinc/87/51/27/1121875127.db2.gz VONAEXQRQIKYIQ-UHFFFAOYSA-N 1 2 279.399 3.637 20 0 CHADLO Cc1cc(NCc2ccc(OC(F)F)cc2)c[nH+]c1C ZINC001179447658 1121877712 /nfs/dbraw/zinc/87/77/12/1121877712.db2.gz NQEYIQQKDGWRLP-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO C[C@@]1(c2ccc(Cl)cc2)C[N@H+](Cc2ccoc2)CCO1 ZINC001204244334 1121879211 /nfs/dbraw/zinc/87/92/11/1121879211.db2.gz ZHXXTEYXYHQYPX-INIZCTEOSA-N 1 2 291.778 3.681 20 0 CHADLO C[C@@]1(c2ccc(Cl)cc2)C[N@@H+](Cc2ccoc2)CCO1 ZINC001204244334 1121879218 /nfs/dbraw/zinc/87/92/18/1121879218.db2.gz ZHXXTEYXYHQYPX-INIZCTEOSA-N 1 2 291.778 3.681 20 0 CHADLO COc1cccc2[nH]c(NCc3ccc(C)c(OC)c3)[nH+]c21 ZINC001179497976 1121885851 /nfs/dbraw/zinc/88/58/51/1121885851.db2.gz SRPLIVLSBDUGKR-UHFFFAOYSA-N 1 2 297.358 3.501 20 0 CHADLO CC(C)(C)/C=C\C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000731896340 1121892752 /nfs/dbraw/zinc/89/27/52/1121892752.db2.gz IPDNQXPABOEGOR-SREVYHEPSA-N 1 2 287.338 3.552 20 0 CHADLO CCCC1(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CCC1 ZINC000345711273 1121908168 /nfs/dbraw/zinc/90/81/68/1121908168.db2.gz FNTPUUSPNHEZJO-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO CCc1cccc(F)c1C[N@@H+]1CCOC[C@H]1c1ccccc1 ZINC001180057958 1121944145 /nfs/dbraw/zinc/94/41/45/1121944145.db2.gz LGGJWNVUJIBIKK-IBGZPJMESA-N 1 2 299.389 3.962 20 0 CHADLO CCc1cccc(F)c1C[N@H+]1CCOC[C@H]1c1ccccc1 ZINC001180057958 1121944153 /nfs/dbraw/zinc/94/41/53/1121944153.db2.gz LGGJWNVUJIBIKK-IBGZPJMESA-N 1 2 299.389 3.962 20 0 CHADLO C[C@@H]1COC[C@H](c2ccccc2)[N@@H+]1Cc1cccc(F)c1 ZINC000501072067 1121951415 /nfs/dbraw/zinc/95/14/15/1121951415.db2.gz YOLAXAPICNEZPJ-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1COC[C@H](c2ccccc2)[N@H+]1Cc1cccc(F)c1 ZINC000501072067 1121951418 /nfs/dbraw/zinc/95/14/18/1121951418.db2.gz YOLAXAPICNEZPJ-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO Cc1ccc(OCC[N@@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733308110 1121974030 /nfs/dbraw/zinc/97/40/30/1121974030.db2.gz VHPMGCSOODUKQT-UHFFFAOYSA-N 1 2 288.391 3.617 20 0 CHADLO Cc1ccc(OCC[N@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733308110 1121974038 /nfs/dbraw/zinc/97/40/38/1121974038.db2.gz VHPMGCSOODUKQT-UHFFFAOYSA-N 1 2 288.391 3.617 20 0 CHADLO CC[N@H+](Cc1ncc(C(C)C)o1)Cc1ccc(OC)cc1 ZINC000733308984 1121974846 /nfs/dbraw/zinc/97/48/46/1121974846.db2.gz WFZQEWWAFHKDCZ-UHFFFAOYSA-N 1 2 288.391 3.829 20 0 CHADLO CC[N@@H+](Cc1ncc(C(C)C)o1)Cc1ccc(OC)cc1 ZINC000733308984 1121974854 /nfs/dbraw/zinc/97/48/54/1121974854.db2.gz WFZQEWWAFHKDCZ-UHFFFAOYSA-N 1 2 288.391 3.829 20 0 CHADLO Fc1cccnc1C[N@@H+]1CCSC[C@@H]1c1ccccc1 ZINC001180379105 1121982905 /nfs/dbraw/zinc/98/29/05/1121982905.db2.gz UBVGCFVAYLYCPY-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1cccnc1C[N@H+]1CCSC[C@@H]1c1ccccc1 ZINC001180379105 1121982909 /nfs/dbraw/zinc/98/29/09/1121982909.db2.gz UBVGCFVAYLYCPY-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cncc(Cl)c2Cl)CCS1 ZINC001180865473 1122019302 /nfs/dbraw/zinc/01/93/02/1122019302.db2.gz LJBVPWIPQZYCDT-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO CCn1cnc(Cl)c1C[N@H+](C)[C@H]1CCc2ccccc21 ZINC001180533066 1121993954 /nfs/dbraw/zinc/99/39/54/1121993954.db2.gz XPOJBYWJKKSXDU-AWEZNQCLSA-N 1 2 289.810 3.676 20 0 CHADLO CCn1cnc(Cl)c1C[N@@H+](C)[C@H]1CCc2ccccc21 ZINC001180533066 1121993962 /nfs/dbraw/zinc/99/39/62/1121993962.db2.gz XPOJBYWJKKSXDU-AWEZNQCLSA-N 1 2 289.810 3.676 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1ccc(O)c(F)c1F ZINC001180497755 1121996060 /nfs/dbraw/zinc/99/60/60/1121996060.db2.gz RKBRHCNCLNJRIR-NSHDSACASA-N 1 2 277.314 3.863 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1ccc(O)c(F)c1F ZINC001180497755 1121996066 /nfs/dbraw/zinc/99/60/66/1121996066.db2.gz RKBRHCNCLNJRIR-NSHDSACASA-N 1 2 277.314 3.863 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccc(C)c(Cl)c1)C1CCC1 ZINC001180646551 1122005584 /nfs/dbraw/zinc/00/55/84/1122005584.db2.gz NLDBWDPZMQRSTJ-UHFFFAOYSA-N 1 2 295.810 3.566 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccc(C)c(Cl)c1)C1CCC1 ZINC001180646551 1122005591 /nfs/dbraw/zinc/00/55/91/1122005591.db2.gz NLDBWDPZMQRSTJ-UHFFFAOYSA-N 1 2 295.810 3.566 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cncc(Cl)c2Cl)CCS1 ZINC001180865473 1122019296 /nfs/dbraw/zinc/01/92/96/1122019296.db2.gz LJBVPWIPQZYCDT-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO CC[C@H]1C[C@@H](Nc2cc[nH+]c3c(OC)cccc23)CCO1 ZINC000420614490 1122026499 /nfs/dbraw/zinc/02/64/99/1122026499.db2.gz AMKYATSHBYKGDC-STQMWFEESA-N 1 2 286.375 3.613 20 0 CHADLO Cc1ccc(Nc2cc(Cl)nnc2Cl)c(C)[nH+]1 ZINC001203366462 1122040608 /nfs/dbraw/zinc/04/06/08/1122040608.db2.gz AWNKEDVCOLOBPW-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO CCn1ccc(C[NH2+]Cc2ccc(-c3ccc(C)cc3)o2)n1 ZINC000414476578 1122040690 /nfs/dbraw/zinc/04/06/90/1122040690.db2.gz AZWPPKSGAPUKAV-UHFFFAOYSA-N 1 2 295.386 3.761 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@H+](C)CC(=O)OC(C)(C)C ZINC001181253932 1122043371 /nfs/dbraw/zinc/04/33/71/1122043371.db2.gz HCFNOIFZPUSOPQ-UHFFFAOYSA-N 1 2 297.826 3.730 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@@H+](C)CC(=O)OC(C)(C)C ZINC001181253932 1122043378 /nfs/dbraw/zinc/04/33/78/1122043378.db2.gz HCFNOIFZPUSOPQ-UHFFFAOYSA-N 1 2 297.826 3.730 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1nn(C)cc1Cl ZINC000420962701 1122051162 /nfs/dbraw/zinc/05/11/62/1122051162.db2.gz KUZZNWMKZKTJDN-JTQLQIEISA-N 1 2 295.839 3.646 20 0 CHADLO Cc1nc(C[NH2+][C@H](CC(F)F)c2ccccc2)oc1C ZINC000421002384 1122059188 /nfs/dbraw/zinc/05/91/88/1122059188.db2.gz XYMDGSYGMROVKP-CYBMUJFWSA-N 1 2 280.318 3.778 20 0 CHADLO CCC[C@H](C[NH2+]CC(F)(F)c1ccc(Cl)cc1)OC ZINC001181621707 1122066285 /nfs/dbraw/zinc/06/62/85/1122066285.db2.gz GIIGKMLGVMNRAV-CYBMUJFWSA-N 1 2 291.769 3.837 20 0 CHADLO C[C@@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1cccc(O)c1 ZINC000631798445 1129029223 /nfs/dbraw/zinc/02/92/23/1129029223.db2.gz SUHSBVCCSXGACN-BZNIZROVSA-N 1 2 277.314 3.893 20 0 CHADLO CCCc1ccccc1NC(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421094852 1122070945 /nfs/dbraw/zinc/07/09/45/1122070945.db2.gz WIWAKIQNMHFMEZ-UHFFFAOYSA-N 1 2 297.402 3.973 20 0 CHADLO FC[C@H]([NH2+][C@@H]1CCCc2cccnc21)c1ccc(F)cc1 ZINC000631798061 1129029525 /nfs/dbraw/zinc/02/95/25/1129029525.db2.gz MHNXPCKJOIKLKR-CVEARBPZSA-N 1 2 288.341 3.899 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@H](CF)c2ccc(F)cc2)ccn1 ZINC000631798338 1129029838 /nfs/dbraw/zinc/02/98/38/1129029838.db2.gz RDGDZDZRTLFFIA-IAQYHMDHSA-N 1 2 292.329 3.591 20 0 CHADLO Cc1ccc(Nc2c(C)ccnc2Br)c(C)[nH+]1 ZINC001203368170 1122082502 /nfs/dbraw/zinc/08/25/02/1122082502.db2.gz VPPBNOXMCQEPBU-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCC1(CC)C[C@@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001181878742 1122087961 /nfs/dbraw/zinc/08/79/61/1122087961.db2.gz NTKLQMZCYCAPGR-OAHLLOKOSA-N 1 2 283.375 3.637 20 0 CHADLO CC[C@H]1C[C@@]1([NH2+]Cc1cc(C)on1)c1cccc(C)c1 ZINC000502558440 1122108424 /nfs/dbraw/zinc/10/84/24/1122108424.db2.gz JKUFVYRQVPUGNZ-YOEHRIQHSA-N 1 2 270.376 3.706 20 0 CHADLO CCCc1noc(C[NH2+][C@@]2(c3cccc(C)c3)C[C@@H]2CC)n1 ZINC000502580392 1122115721 /nfs/dbraw/zinc/11/57/21/1122115721.db2.gz HFUFKJVZYPVBEH-KSSFIOAISA-N 1 2 299.418 3.746 20 0 CHADLO Cc1ccc(-n2nccc2Nc2ccc(C)[nH+]c2C)cc1 ZINC001203370209 1122117505 /nfs/dbraw/zinc/11/75/05/1122117505.db2.gz HZSYVFRBHMEXCC-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1cc(CNC(=O)[C@@H](C)CC2CCCC2)cc(C)[nH+]1 ZINC000421580545 1122139537 /nfs/dbraw/zinc/13/95/37/1122139537.db2.gz WRHKUXBXITZUBN-LBPRGKRZSA-N 1 2 274.408 3.531 20 0 CHADLO C/C(=C\C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C(C)(C)C ZINC000079450651 1129034198 /nfs/dbraw/zinc/03/41/98/1129034198.db2.gz RZQZHVOMXLKPCP-ACCUITESSA-N 1 2 283.375 3.803 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1CCCc1ccccc1 ZINC000502847622 1122151452 /nfs/dbraw/zinc/15/14/52/1122151452.db2.gz TXJWWBRQJPTDRL-AWEZNQCLSA-N 1 2 253.336 3.739 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1CCCc1ccccc1 ZINC000502847622 1122151455 /nfs/dbraw/zinc/15/14/55/1122151455.db2.gz TXJWWBRQJPTDRL-AWEZNQCLSA-N 1 2 253.336 3.739 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@@](F)(c3ccccc3C)C2)o1 ZINC000502967195 1122160115 /nfs/dbraw/zinc/16/01/15/1122160115.db2.gz BAVVYEAFMTYMPQ-PBHICJAKSA-N 1 2 288.366 3.923 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CC[C@@](F)(c3ccccc3C)C2)o1 ZINC000502967195 1122160119 /nfs/dbraw/zinc/16/01/19/1122160119.db2.gz BAVVYEAFMTYMPQ-PBHICJAKSA-N 1 2 288.366 3.923 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1nc(C(C)C)no1)C1CC1 ZINC000271799320 1122176399 /nfs/dbraw/zinc/17/63/99/1122176399.db2.gz BTSJFZGDJIDSOV-INIZCTEOSA-N 1 2 285.391 3.742 20 0 CHADLO Nc1[nH+]cccc1CN1Cc2ccccc2-c2ccccc21 ZINC001182635186 1122179424 /nfs/dbraw/zinc/17/94/24/1122179424.db2.gz XXOVSWLNSBPDGT-UHFFFAOYSA-N 1 2 287.366 3.851 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3nc(Cl)ccc3C2)cc1C ZINC001204355046 1122186794 /nfs/dbraw/zinc/18/67/94/1122186794.db2.gz UPVHKLKTIRLTKZ-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3nc(Cl)ccc3C2)cc1C ZINC001204355046 1122186797 /nfs/dbraw/zinc/18/67/97/1122186797.db2.gz UPVHKLKTIRLTKZ-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO C[N@H+](Cc1cnc[nH]1)Cc1ccc2oc3ccccc3c2c1 ZINC001182836382 1122191602 /nfs/dbraw/zinc/19/16/02/1122191602.db2.gz ZLQLTDHLGFEWFN-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO C[N@@H+](Cc1cnc[nH]1)Cc1ccc2oc3ccccc3c2c1 ZINC001182836382 1122191604 /nfs/dbraw/zinc/19/16/04/1122191604.db2.gz ZLQLTDHLGFEWFN-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO C[N@H+](Cc1c[nH]cn1)Cc1ccc2oc3ccccc3c2c1 ZINC001182836382 1122191607 /nfs/dbraw/zinc/19/16/07/1122191607.db2.gz ZLQLTDHLGFEWFN-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO C[N@@H+](Cc1c[nH]cn1)Cc1ccc2oc3ccccc3c2c1 ZINC001182836382 1122191609 /nfs/dbraw/zinc/19/16/09/1122191609.db2.gz ZLQLTDHLGFEWFN-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](c2ccc(F)c(C)c2)C2CC2)o1 ZINC000272114717 1122191743 /nfs/dbraw/zinc/19/17/43/1122191743.db2.gz RNUVJSJPQUPCRT-MEBBXXQBSA-N 1 2 289.354 3.627 20 0 CHADLO Cc1ncc([C@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)c(C)n1 ZINC000272143997 1122192162 /nfs/dbraw/zinc/19/21/62/1122192162.db2.gz LTOHMKKPSLDTPE-ZDUSSCGKSA-N 1 2 296.418 3.649 20 0 CHADLO Cc1ncc([C@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)c(C)n1 ZINC000272143997 1122192166 /nfs/dbraw/zinc/19/21/66/1122192166.db2.gz LTOHMKKPSLDTPE-ZDUSSCGKSA-N 1 2 296.418 3.649 20 0 CHADLO Cc1ncc([C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)c(C)n1 ZINC000272350626 1122198359 /nfs/dbraw/zinc/19/83/59/1122198359.db2.gz FRBVQGOTFBPGIU-CYBMUJFWSA-N 1 2 284.407 3.641 20 0 CHADLO CCC[C@H]([NH2+][C@@H]1CCCc2oc(C)nc21)c1ccccn1 ZINC000924947432 1122214691 /nfs/dbraw/zinc/21/46/91/1122214691.db2.gz RCJSWBQDDZQUIN-LSDHHAIUSA-N 1 2 285.391 3.886 20 0 CHADLO CCC[C@H]([NH2+][C@H]1CCCc2oc(C)nc21)c1ccccn1 ZINC000924947423 1122215169 /nfs/dbraw/zinc/21/51/69/1122215169.db2.gz RCJSWBQDDZQUIN-GJZGRUSLSA-N 1 2 285.391 3.886 20 0 CHADLO Cc1cocc1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC001183351060 1122221147 /nfs/dbraw/zinc/22/11/47/1122221147.db2.gz YTKPTSSZVABHKE-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Cc1cc(N[C@@H]2C[C@@H](OC(C)C)C2(C)C)c[nH+]c1C ZINC001183527388 1122225717 /nfs/dbraw/zinc/22/57/17/1122225717.db2.gz VVDWCSKIXKILMS-HUUCEWRRSA-N 1 2 262.397 3.702 20 0 CHADLO Fc1ccc([C@@H]2C[C@H](Nc3cccc[nH+]3)CCO2)cc1 ZINC000273282906 1122226603 /nfs/dbraw/zinc/22/66/03/1122226603.db2.gz JESUCBLTGOAKOC-CABCVRRESA-N 1 2 272.323 3.553 20 0 CHADLO C=Cc1ccc(NC(=O)[C@@H]2CC[N@@H+]2Cc2ccccc2)cc1 ZINC001183752932 1122237263 /nfs/dbraw/zinc/23/72/63/1122237263.db2.gz OZKLOARGAKTHJA-SFHVURJKSA-N 1 2 292.382 3.543 20 0 CHADLO C=Cc1ccc(NC(=O)[C@@H]2CC[N@H+]2Cc2ccccc2)cc1 ZINC001183752932 1122237270 /nfs/dbraw/zinc/23/72/70/1122237270.db2.gz OZKLOARGAKTHJA-SFHVURJKSA-N 1 2 292.382 3.543 20 0 CHADLO CCC(C)(C)c1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000273721968 1122245892 /nfs/dbraw/zinc/24/58/92/1122245892.db2.gz JPBUVIWHMVKMGB-UHFFFAOYSA-N 1 2 296.374 3.669 20 0 CHADLO CCC(CC)c1noc(-c2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC000273754144 1122247931 /nfs/dbraw/zinc/24/79/31/1122247931.db2.gz CRQWMTKCPGZTMH-UHFFFAOYSA-N 1 2 296.374 3.885 20 0 CHADLO CC(C)CC(C)(C)CNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000434192752 1122258124 /nfs/dbraw/zinc/25/81/24/1122258124.db2.gz BILRKMSJMKUPHF-UHFFFAOYSA-N 1 2 299.418 3.674 20 0 CHADLO CCCOc1cccc2c(N[C@@H](C)C[C@@H](C)O)cc[nH+]c12 ZINC000503611426 1122270803 /nfs/dbraw/zinc/27/08/03/1122270803.db2.gz ILROIFUDSODERR-QWHCGFSZSA-N 1 2 288.391 3.595 20 0 CHADLO c1coc(CSc2nc3ccccc3n3c[nH+]cc23)c1 ZINC001184572742 1122284891 /nfs/dbraw/zinc/28/48/91/1122284891.db2.gz WMAWJYASXBLMLC-UHFFFAOYSA-N 1 2 281.340 3.768 20 0 CHADLO CC[C@H](C)Cc1noc(-c2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC000632246014 1129044356 /nfs/dbraw/zinc/04/43/56/1129044356.db2.gz JNBBAYYWDHWKPS-ZDUSSCGKSA-N 1 2 296.374 3.570 20 0 CHADLO Cc1cc(NCc2ccc(F)cc2)nc(C2CCC2)[nH+]1 ZINC001184608766 1122287944 /nfs/dbraw/zinc/28/79/44/1122287944.db2.gz WIHBQIFHZUGLBW-UHFFFAOYSA-N 1 2 271.339 3.804 20 0 CHADLO Cc1c(O)ccc(Nc2ccc[nH+]c2N2CCCC2)c1C ZINC001184968978 1122304716 /nfs/dbraw/zinc/30/47/16/1122304716.db2.gz SCDZZLAOAIEHJZ-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO CC(=O)c1ccc(C)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001184967551 1122305150 /nfs/dbraw/zinc/30/51/50/1122305150.db2.gz GMYSCLLPEJFZQW-UHFFFAOYSA-N 1 2 295.386 3.936 20 0 CHADLO CCc1cc(C[N@@H+]2CCC[C@@H]2c2cc(F)cc(F)c2)on1 ZINC001203231149 1122309114 /nfs/dbraw/zinc/30/91/14/1122309114.db2.gz BHQXVEIXDDDTHT-MRXNPFEDSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1cc(C[N@H+]2CCC[C@@H]2c2cc(F)cc(F)c2)on1 ZINC001203231149 1122309120 /nfs/dbraw/zinc/30/91/20/1122309120.db2.gz BHQXVEIXDDDTHT-MRXNPFEDSA-N 1 2 292.329 3.852 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)[C@H](c1nccn1C)C1CC1 ZINC000637898440 1122312887 /nfs/dbraw/zinc/31/28/87/1122312887.db2.gz QPFSUHLTZVJPTB-WBMJQRKESA-N 1 2 287.382 3.703 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)[C@H](c1nccn1C)C1CC1 ZINC000637898440 1122312893 /nfs/dbraw/zinc/31/28/93/1122312893.db2.gz QPFSUHLTZVJPTB-WBMJQRKESA-N 1 2 287.382 3.703 20 0 CHADLO Nc1cc(Cl)cc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001185042337 1122313463 /nfs/dbraw/zinc/31/34/63/1122313463.db2.gz FWOSNWFTKGTISU-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO Cc1cc2c(cccc2N)n1-c1ccc[nH+]c1N1CCCC1 ZINC001185044661 1122313847 /nfs/dbraw/zinc/31/38/47/1122313847.db2.gz YRLDWNLPHIBYRN-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccsc2)c(Cl)n1 ZINC000688566050 1129046227 /nfs/dbraw/zinc/04/62/27/1129046227.db2.gz KVXUCHFNNATJNR-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccsc2)c(Cl)n1 ZINC000688566050 1129046229 /nfs/dbraw/zinc/04/62/29/1129046229.db2.gz KVXUCHFNNATJNR-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Nc1ccc(Nc2cc[nH+]c3cc(Cl)ccc23)c(F)n1 ZINC001203612533 1122328272 /nfs/dbraw/zinc/32/82/72/1122328272.db2.gz FXMSIBHJCVZDQA-UHFFFAOYSA-N 1 2 288.713 3.748 20 0 CHADLO Nc1cc(Cl)[nH+]c(NCc2cccc(OC(F)F)c2)c1 ZINC000154128702 1122336223 /nfs/dbraw/zinc/33/62/23/1122336223.db2.gz UOHRRXQQJCGZGF-UHFFFAOYSA-N 1 2 299.708 3.531 20 0 CHADLO CC[C@@H]1CCC[C@@H]1Nc1nc2ccccc2n2c[nH+]cc12 ZINC001185801501 1122360758 /nfs/dbraw/zinc/36/07/58/1122360758.db2.gz JLQXFRLUWILBLS-OLZOCXBDSA-N 1 2 280.375 3.873 20 0 CHADLO FCC[C@@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000664416722 1122368322 /nfs/dbraw/zinc/36/83/22/1122368322.db2.gz YANDTJVIYNKBPM-LBPRGKRZSA-N 1 2 276.330 3.950 20 0 CHADLO CC(C)SCC[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000514187196 1122385163 /nfs/dbraw/zinc/38/51/63/1122385163.db2.gz PXNNTLCXIQVKAI-OAHLLOKOSA-N 1 2 299.867 3.855 20 0 CHADLO CC(C)SCC[N@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000514187196 1122385167 /nfs/dbraw/zinc/38/51/67/1122385167.db2.gz PXNNTLCXIQVKAI-OAHLLOKOSA-N 1 2 299.867 3.855 20 0 CHADLO Cc1nc(NC[C@@H]2CCC[C@@H]2c2ccccc2)cc[nH+]1 ZINC001186564842 1122397787 /nfs/dbraw/zinc/39/77/87/1122397787.db2.gz GHWALDYRAZJKDZ-JKSUJKDBSA-N 1 2 267.376 3.781 20 0 CHADLO CSc1ccccc1NC(=O)Nc1cccc2[nH+]ccn21 ZINC001186708033 1122404052 /nfs/dbraw/zinc/40/40/52/1122404052.db2.gz CCDSVOQTGRGSHO-UHFFFAOYSA-N 1 2 298.371 3.700 20 0 CHADLO CCc1cc(NC[C@@H]2C[C@H]2c2ccccc2)nc(CC)[nH+]1 ZINC001186823475 1122410355 /nfs/dbraw/zinc/41/03/55/1122410355.db2.gz WTJLZIZAAVZLCW-HOCLYGCPSA-N 1 2 281.403 3.817 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1ccc(C)cc1F ZINC000638020964 1122412131 /nfs/dbraw/zinc/41/21/31/1122412131.db2.gz JIIASHYNVSREOH-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1ccc(C)cc1F ZINC000638020964 1122412134 /nfs/dbraw/zinc/41/21/34/1122412134.db2.gz JIIASHYNVSREOH-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO CCc1cc(NCC(C)(C)c2ccccc2)nc(CC)[nH+]1 ZINC001186973688 1122416374 /nfs/dbraw/zinc/41/63/74/1122416374.db2.gz ODFYESHAIHLWOR-UHFFFAOYSA-N 1 2 283.419 3.991 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(N3CCCCC3)nc2)c1 ZINC001203655132 1122421993 /nfs/dbraw/zinc/42/19/93/1122421993.db2.gz WIWCLBNZJNGIEZ-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnn2-c2cccc(F)c2)c1 ZINC001203656878 1122423743 /nfs/dbraw/zinc/42/37/43/1122423743.db2.gz AYWVTPAAUOHHTF-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO COC(=O)c1cc(F)c(F)cc1Nc1cc(C)c[nH+]c1C ZINC001203660783 1122425942 /nfs/dbraw/zinc/42/59/42/1122425942.db2.gz MBHBMQWBACQXRM-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc3snnc3c(C)c2)c1 ZINC001203667520 1122441474 /nfs/dbraw/zinc/44/14/74/1122441474.db2.gz NDIVZFHJAHSUSD-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cc1cc(N2CC(F)(F)CC[C@@H]2C)nc(C2CCC2)[nH+]1 ZINC001187880845 1122446288 /nfs/dbraw/zinc/44/62/88/1122446288.db2.gz RIPCMBBABYLOHG-NSHDSACASA-N 1 2 281.350 3.677 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@@H+]1Cc1ccccc1C(F)(F)F ZINC000505639862 1122447065 /nfs/dbraw/zinc/44/70/65/1122447065.db2.gz RFINCWQMWSOAMJ-DGCLKSJQSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@H+]1Cc1ccccc1C(F)(F)F ZINC000505639862 1122447067 /nfs/dbraw/zinc/44/70/67/1122447067.db2.gz RFINCWQMWSOAMJ-DGCLKSJQSA-N 1 2 287.325 3.705 20 0 CHADLO CCNc1ccc(Nc2cc(C3CC3)nc(C3CC3)n2)c[nH+]1 ZINC001187920945 1122447415 /nfs/dbraw/zinc/44/74/15/1122447415.db2.gz LXOXNFSFCQKNII-UHFFFAOYSA-N 1 2 295.390 3.802 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2ccncc2)c(Cl)n1 ZINC000688609928 1129055313 /nfs/dbraw/zinc/05/53/13/1129055313.db2.gz FWZLLHADCWPGHQ-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2ccncc2)c(Cl)n1 ZINC000688609928 1129055317 /nfs/dbraw/zinc/05/53/17/1129055317.db2.gz FWZLLHADCWPGHQ-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO CC(C)Oc1c(F)cc(CCn2cc[nH+]c2)cc1Cl ZINC001250318809 1122491053 /nfs/dbraw/zinc/49/10/53/1122491053.db2.gz KTWQAIMIBMNLMB-UHFFFAOYSA-N 1 2 282.746 3.706 20 0 CHADLO c1cn(CCc2cccc(OCc3ccccc3)c2)c[nH+]1 ZINC001250315082 1122491489 /nfs/dbraw/zinc/49/14/89/1122491489.db2.gz DOJPTIVSZSEWQP-UHFFFAOYSA-N 1 2 278.355 3.705 20 0 CHADLO CC(C)c1cc(NCC2CCC(O)CC2)nc(C(C)C)[nH+]1 ZINC000664528318 1122514478 /nfs/dbraw/zinc/51/44/78/1122514478.db2.gz TYZGTFMLUNVMRD-UHFFFAOYSA-N 1 2 291.439 3.686 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1csnc1OC ZINC001190331300 1122533691 /nfs/dbraw/zinc/53/36/91/1122533691.db2.gz CSTJEOCLGSESPG-GFCCVEGCSA-N 1 2 294.445 3.723 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1csnc1OC ZINC001190331300 1122533692 /nfs/dbraw/zinc/53/36/92/1122533692.db2.gz CSTJEOCLGSESPG-GFCCVEGCSA-N 1 2 294.445 3.723 20 0 CHADLO COCCC1(CNc2ccc(N(C)C)[nH+]c2)CCCCC1 ZINC000436294921 1122534471 /nfs/dbraw/zinc/53/44/71/1122534471.db2.gz GCAZTUVBCLRKMS-UHFFFAOYSA-N 1 2 291.439 3.547 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C(C)(C)C)no1)c1ccccc1 ZINC000775391830 1122540715 /nfs/dbraw/zinc/54/07/15/1122540715.db2.gz WVKFRABUXRXACG-KRWDZBQOSA-N 1 2 287.407 3.782 20 0 CHADLO C[C@@H](c1csnn1)[NH+]1CCC(Cc2ccccc2)CC1 ZINC000436469507 1122552659 /nfs/dbraw/zinc/55/26/59/1122552659.db2.gz CPQBFDYJXWSQMG-ZDUSSCGKSA-N 1 2 287.432 3.554 20 0 CHADLO CCc1ccc(C[N@H+](Cc2snnc2C)C2CC2)cc1 ZINC000515970853 1122555656 /nfs/dbraw/zinc/55/56/56/1122555656.db2.gz XOTRMHRYYQQCKB-UHFFFAOYSA-N 1 2 287.432 3.574 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2snnc2C)C2CC2)cc1 ZINC000515970853 1122555659 /nfs/dbraw/zinc/55/56/59/1122555659.db2.gz XOTRMHRYYQQCKB-UHFFFAOYSA-N 1 2 287.432 3.574 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)NC1CCCCCC1 ZINC001191268340 1122587852 /nfs/dbraw/zinc/58/78/52/1122587852.db2.gz JXYMNMVXKJJJEJ-UHFFFAOYSA-N 1 2 298.390 3.717 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)c(Cl)n1 ZINC000838877458 1122589232 /nfs/dbraw/zinc/58/92/32/1122589232.db2.gz YZXSCOJHXZYPHY-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)c(Cl)n1 ZINC000838877458 1122589237 /nfs/dbraw/zinc/58/92/37/1122589237.db2.gz YZXSCOJHXZYPHY-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC[C@@H]2c2ccccc2)cc(C)c1O ZINC000516347185 1122591238 /nfs/dbraw/zinc/59/12/38/1122591238.db2.gz OJWDKLLYOBDQDR-GOSISDBHSA-N 1 2 297.398 3.583 20 0 CHADLO Cc1cc(C[N@H+]2CCOC[C@@H]2c2ccccc2)cc(C)c1O ZINC000516347185 1122591240 /nfs/dbraw/zinc/59/12/40/1122591240.db2.gz OJWDKLLYOBDQDR-GOSISDBHSA-N 1 2 297.398 3.583 20 0 CHADLO c1nnc([C@@H]([NH2+][C@H]2CCc3ccccc32)C2CCCCC2)[nH]1 ZINC000638317861 1122599461 /nfs/dbraw/zinc/59/94/61/1122599461.db2.gz UVVFGOKEBXPQPU-IRXDYDNUSA-N 1 2 296.418 3.703 20 0 CHADLO CC1(C[N@@H+]2CCO[C@H](c3ccc(F)cc3F)C2)CCC1 ZINC000516530059 1122608413 /nfs/dbraw/zinc/60/84/13/1122608413.db2.gz HRJYRVSJEYUPKP-HNNXBMFYSA-N 1 2 281.346 3.528 20 0 CHADLO CC1(C[N@H+]2CCO[C@H](c3ccc(F)cc3F)C2)CCC1 ZINC000516530059 1122608415 /nfs/dbraw/zinc/60/84/15/1122608415.db2.gz HRJYRVSJEYUPKP-HNNXBMFYSA-N 1 2 281.346 3.528 20 0 CHADLO CCCc1cc(C(=O)Nc2ccn3cc[nH+]c3c2)ccc1F ZINC001191975525 1122625056 /nfs/dbraw/zinc/62/50/56/1122625056.db2.gz MIBIDNNRGYEZHO-UHFFFAOYSA-N 1 2 297.333 3.678 20 0 CHADLO CCNc1nc(C[NH2+][C@@H](C)c2ccc(F)c(F)c2)cs1 ZINC001192833738 1122660207 /nfs/dbraw/zinc/66/02/07/1122660207.db2.gz LXNMHPKJRNNWIX-VIFPVBQESA-N 1 2 297.374 3.704 20 0 CHADLO COC[C@@H]([NH2+]Cc1c(F)ccc(C)c1F)c1ccccc1 ZINC001192900761 1122660794 /nfs/dbraw/zinc/66/07/94/1122660794.db2.gz FFVCBQDWLJAEKM-MRXNPFEDSA-N 1 2 291.341 3.751 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ncccn1)c1ccc(C)c(F)c1 ZINC001192910739 1122662124 /nfs/dbraw/zinc/66/21/24/1122662124.db2.gz WORWVFSRGLKZQU-SWLSCSKDSA-N 1 2 273.355 3.726 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1ccc(-c2ncco2)cc1F ZINC001192964298 1122668249 /nfs/dbraw/zinc/66/82/49/1122668249.db2.gz IYNALCUJJQVKRZ-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1ccc(-c2ncco2)cc1F ZINC001192964298 1122668252 /nfs/dbraw/zinc/66/82/52/1122668252.db2.gz IYNALCUJJQVKRZ-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[C@H]([NH2+][C@H]1CC[C@H](c2ccccc2)C1)C(=O)OC(C)(C)C ZINC000438072245 1122673401 /nfs/dbraw/zinc/67/34/01/1122673401.db2.gz LMHTZSYUMDPSOA-BPUTZDHNSA-N 1 2 289.419 3.643 20 0 CHADLO C[C@H](Cc1ccccc1F)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000438086269 1122674412 /nfs/dbraw/zinc/67/44/12/1122674412.db2.gz HCDIAQKSXCSQBM-CQSZACIVSA-N 1 2 299.393 3.864 20 0 CHADLO Clc1ccc2c(cc3n2CC[N@H+](CC2CCC2)C3)c1 ZINC001193401471 1122688310 /nfs/dbraw/zinc/68/83/10/1122688310.db2.gz LLQOFBQSYLWNDN-UHFFFAOYSA-N 1 2 274.795 3.910 20 0 CHADLO Clc1ccc2c(cc3n2CC[N@@H+](CC2CCC2)C3)c1 ZINC001193401471 1122688312 /nfs/dbraw/zinc/68/83/12/1122688312.db2.gz LLQOFBQSYLWNDN-UHFFFAOYSA-N 1 2 274.795 3.910 20 0 CHADLO Cc1cc(F)ccc1NCc1c[nH+]cn1Cc1ccccc1 ZINC000438301588 1122689739 /nfs/dbraw/zinc/68/97/39/1122689739.db2.gz SJGZNSQARVEPHG-UHFFFAOYSA-N 1 2 295.361 3.991 20 0 CHADLO CCC[C@@H](CC)[NH2+][C@@H](Cc1ccc(Cl)cc1)C(=O)OC ZINC000438295479 1122689881 /nfs/dbraw/zinc/68/98/81/1122689881.db2.gz VIXDFTUFIZNCOE-CABCVRRESA-N 1 2 297.826 3.592 20 0 CHADLO CCCc1csc(C[N@H+](C)Cc2cccs2)n1 ZINC000426055243 1122708038 /nfs/dbraw/zinc/70/80/38/1122708038.db2.gz PHWZXJDWKQQMIX-UHFFFAOYSA-N 1 2 266.435 3.789 20 0 CHADLO CCCc1csc(C[N@@H+](C)Cc2cccs2)n1 ZINC000426055243 1122708041 /nfs/dbraw/zinc/70/80/41/1122708041.db2.gz PHWZXJDWKQQMIX-UHFFFAOYSA-N 1 2 266.435 3.789 20 0 CHADLO C(=C\c1ccccc1)\C[N@@H+]1CCO[C@H](c2ccccc2)C1 ZINC000506325592 1122719437 /nfs/dbraw/zinc/71/94/37/1122719437.db2.gz LPXDJXGGMVDYIA-KWEPMOPPSA-N 1 2 279.383 3.773 20 0 CHADLO C(=C\c1ccccc1)\C[N@H+]1CCO[C@H](c2ccccc2)C1 ZINC000506325592 1122719442 /nfs/dbraw/zinc/71/94/42/1122719442.db2.gz LPXDJXGGMVDYIA-KWEPMOPPSA-N 1 2 279.383 3.773 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1ccccc1Oc1ccccc1 ZINC000506386158 1122729183 /nfs/dbraw/zinc/72/91/83/1122729183.db2.gz HHAPUTBTQIXJDR-OAHLLOKOSA-N 1 2 283.371 3.700 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1ccccc1Oc1ccccc1 ZINC000506386158 1122729186 /nfs/dbraw/zinc/72/91/86/1122729186.db2.gz HHAPUTBTQIXJDR-OAHLLOKOSA-N 1 2 283.371 3.700 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cccn2CC)c1 ZINC000295529500 1122751248 /nfs/dbraw/zinc/75/12/48/1122751248.db2.gz WMMQMCAICGWYLH-UHFFFAOYSA-N 1 2 275.421 3.768 20 0 CHADLO CC(C)C[N@H+](C[C@@H]1CCO[C@@H](C)C1)c1ccccc1 ZINC001194202924 1122758370 /nfs/dbraw/zinc/75/83/70/1122758370.db2.gz DHNPJOVKHIGWTA-JKSUJKDBSA-N 1 2 261.409 3.964 20 0 CHADLO CC(C)C[N@@H+](C[C@@H]1CCO[C@@H](C)C1)c1ccccc1 ZINC001194202924 1122758374 /nfs/dbraw/zinc/75/83/74/1122758374.db2.gz DHNPJOVKHIGWTA-JKSUJKDBSA-N 1 2 261.409 3.964 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Oc2ccc(C)cc2)[nH+]1 ZINC001194420023 1122774697 /nfs/dbraw/zinc/77/46/97/1122774697.db2.gz XAPMCGUGAWPTKO-UHFFFAOYSA-N 1 2 281.315 3.562 20 0 CHADLO CCCc1csc(C[N@H+](C)[C@H](C)c2ccncc2)n1 ZINC000426362675 1122774907 /nfs/dbraw/zinc/77/49/07/1122774907.db2.gz BPVBCKSSQHKEAM-GFCCVEGCSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1csc(C[N@@H+](C)[C@H](C)c2ccncc2)n1 ZINC000426362675 1122774912 /nfs/dbraw/zinc/77/49/12/1122774912.db2.gz BPVBCKSSQHKEAM-GFCCVEGCSA-N 1 2 275.421 3.684 20 0 CHADLO CC(C)c1ccc(CSCc2c[nH+]cn2C)cc1 ZINC000439520904 1122789323 /nfs/dbraw/zinc/78/93/23/1122789323.db2.gz ANLFZFBWAWWDDV-UHFFFAOYSA-N 1 2 260.406 3.977 20 0 CHADLO CCC[C@@H]([NH2+]CCc1ccc2ccccc2c1)C(=O)OCC ZINC000439555686 1122789640 /nfs/dbraw/zinc/78/96/40/1122789640.db2.gz GFHHRMYNMGIDKI-GOSISDBHSA-N 1 2 299.414 3.704 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)c(Cl)c2)[C@H]1[C@@H]1CCCO1 ZINC000519170612 1122821271 /nfs/dbraw/zinc/82/12/71/1122821271.db2.gz XVDLBYSDPUPMBN-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)c(Cl)c2)[C@H]1[C@@H]1CCCO1 ZINC000519170612 1122821274 /nfs/dbraw/zinc/82/12/74/1122821274.db2.gz XVDLBYSDPUPMBN-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO CCc1nnc([C@H](C)[N@H+](CC)Cc2cccc(Cl)c2)o1 ZINC000153989599 1129080404 /nfs/dbraw/zinc/08/04/04/1129080404.db2.gz WVEUWEUWWLTDSQ-NSHDSACASA-N 1 2 293.798 3.869 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+](CC)Cc2cccc(Cl)c2)o1 ZINC000153989599 1129080409 /nfs/dbraw/zinc/08/04/09/1129080409.db2.gz WVEUWEUWWLTDSQ-NSHDSACASA-N 1 2 293.798 3.869 20 0 CHADLO CC[C@H]1CN(c2[nH+]ccc3c(C)cccc32)C[C@@H](C)O1 ZINC000519546001 1122856999 /nfs/dbraw/zinc/85/69/99/1122856999.db2.gz UVVFLHUDEXYLKE-KGLIPLIRSA-N 1 2 270.376 3.547 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1Cc1cc(C)co1 ZINC000894644346 1122885603 /nfs/dbraw/zinc/88/56/03/1122885603.db2.gz KRXFUZPOEIRYBG-OAHLLOKOSA-N 1 2 273.380 3.532 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1Cc1cc(C)co1 ZINC000894644346 1122885606 /nfs/dbraw/zinc/88/56/06/1122885606.db2.gz KRXFUZPOEIRYBG-OAHLLOKOSA-N 1 2 273.380 3.532 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@@H+]1Cc1ccc(Cl)s1 ZINC001195851279 1122890023 /nfs/dbraw/zinc/89/00/23/1122890023.db2.gz ISNRKJYTHHUVON-SNVBAGLBSA-N 1 2 273.829 3.791 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@H+]1Cc1ccc(Cl)s1 ZINC001195851279 1122890029 /nfs/dbraw/zinc/89/00/29/1122890029.db2.gz ISNRKJYTHHUVON-SNVBAGLBSA-N 1 2 273.829 3.791 20 0 CHADLO C[C@H]([NH2+]Cc1cccnc1Cl)c1ccc(F)cc1F ZINC000102184884 1122893018 /nfs/dbraw/zinc/89/30/18/1122893018.db2.gz GLBUYRHZWHESDM-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO Cc1nsc(C)c1C[N@@H+](C)Cc1ccccc1F ZINC000647959821 1122897336 /nfs/dbraw/zinc/89/73/36/1122897336.db2.gz OAHYBTKDVDNMLE-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1nsc(C)c1C[N@H+](C)Cc1ccccc1F ZINC000647959821 1122897342 /nfs/dbraw/zinc/89/73/42/1122897342.db2.gz OAHYBTKDVDNMLE-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=CC3CCCC3)cc2[nH+]1 ZINC000843759321 1122901898 /nfs/dbraw/zinc/90/18/98/1122901898.db2.gz MBQXATNXQQADCN-TWGQIWQCSA-N 1 2 269.348 3.556 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(O)c2Cl)cc1 ZINC000506944677 1122914010 /nfs/dbraw/zinc/91/40/10/1122914010.db2.gz LPXMRJRLWIHHOG-UHFFFAOYSA-N 1 2 275.779 3.986 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(O)c2Cl)cc1 ZINC000506944677 1122914015 /nfs/dbraw/zinc/91/40/15/1122914015.db2.gz LPXMRJRLWIHHOG-UHFFFAOYSA-N 1 2 275.779 3.986 20 0 CHADLO COCc1nc(C[N@H+](C)[C@H](C)c2ccccc2C)cs1 ZINC000507205118 1122936686 /nfs/dbraw/zinc/93/66/86/1122936686.db2.gz UHESZEFCHDZXFQ-CYBMUJFWSA-N 1 2 290.432 3.791 20 0 CHADLO COCc1nc(C[N@@H+](C)[C@H](C)c2ccccc2C)cs1 ZINC000507205118 1122936688 /nfs/dbraw/zinc/93/66/88/1122936688.db2.gz UHESZEFCHDZXFQ-CYBMUJFWSA-N 1 2 290.432 3.791 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)Cc1cccc(O)c1 ZINC000507195141 1122937894 /nfs/dbraw/zinc/93/78/94/1122937894.db2.gz TVNBRJOGVOSMFU-NSHDSACASA-N 1 2 277.314 3.863 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)Cc1cccc(O)c1 ZINC000507195141 1122937896 /nfs/dbraw/zinc/93/78/96/1122937896.db2.gz TVNBRJOGVOSMFU-NSHDSACASA-N 1 2 277.314 3.863 20 0 CHADLO Cc1ccc(O)c(C[N@@H+](C)[C@@H](C)c2c(F)cccc2F)n1 ZINC000507252203 1122942416 /nfs/dbraw/zinc/94/24/16/1122942416.db2.gz MEWBKBBFVBDGCD-NSHDSACASA-N 1 2 292.329 3.567 20 0 CHADLO Cc1ccc(O)c(C[N@H+](C)[C@@H](C)c2c(F)cccc2F)n1 ZINC000507252203 1122942418 /nfs/dbraw/zinc/94/24/18/1122942418.db2.gz MEWBKBBFVBDGCD-NSHDSACASA-N 1 2 292.329 3.567 20 0 CHADLO Cc1ccc(-c2noc([C@H]([NH3+])c3cccc(Cl)c3)n2)cc1 ZINC001205811469 1122968462 /nfs/dbraw/zinc/96/84/62/1122968462.db2.gz CNPWYTGGFNHDAZ-CQSZACIVSA-N 1 2 299.761 3.747 20 0 CHADLO CCn1cnc2c1CC[N@H+](Cc1ccccc1CC(C)C)C2 ZINC001198084968 1122981062 /nfs/dbraw/zinc/98/10/62/1122981062.db2.gz NCMFLBFWSDHWTO-UHFFFAOYSA-N 1 2 297.446 3.660 20 0 CHADLO CCn1cnc2c1CC[N@@H+](Cc1ccccc1CC(C)C)C2 ZINC001198084968 1122981066 /nfs/dbraw/zinc/98/10/66/1122981066.db2.gz NCMFLBFWSDHWTO-UHFFFAOYSA-N 1 2 297.446 3.660 20 0 CHADLO Cc1coc(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)c1 ZINC000894854038 1122981255 /nfs/dbraw/zinc/98/12/55/1122981255.db2.gz BWHYDLZKMVYPEL-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1coc(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)c1 ZINC000894854038 1122981256 /nfs/dbraw/zinc/98/12/56/1122981256.db2.gz BWHYDLZKMVYPEL-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO CC(C)c1cccc(N(C)C(=O)[C@@H]2CCCc3[nH+]c[nH]c32)c1 ZINC000334318864 1122985653 /nfs/dbraw/zinc/98/56/53/1122985653.db2.gz XBYALFZGIVXPEM-OAHLLOKOSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1ccc(C[N@@H+]2CCn3c(Cl)ccc3[C@H]2C)c(C)n1 ZINC000794434070 1122998875 /nfs/dbraw/zinc/99/88/75/1122998875.db2.gz IIZUUGGLGYRXJE-CYBMUJFWSA-N 1 2 289.810 3.730 20 0 CHADLO Cc1ccc(C[N@H+]2CCn3c(Cl)ccc3[C@H]2C)c(C)n1 ZINC000794434070 1122998877 /nfs/dbraw/zinc/99/88/77/1122998877.db2.gz IIZUUGGLGYRXJE-CYBMUJFWSA-N 1 2 289.810 3.730 20 0 CHADLO Cc1ccc(C[N@@H+]2CCn3c(Cl)ccc3[C@@H]2C)c(C)n1 ZINC000794434072 1122999267 /nfs/dbraw/zinc/99/92/67/1122999267.db2.gz IIZUUGGLGYRXJE-ZDUSSCGKSA-N 1 2 289.810 3.730 20 0 CHADLO Cc1ccc(C[N@H+]2CCn3c(Cl)ccc3[C@@H]2C)c(C)n1 ZINC000794434072 1122999270 /nfs/dbraw/zinc/99/92/70/1122999270.db2.gz IIZUUGGLGYRXJE-ZDUSSCGKSA-N 1 2 289.810 3.730 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@@H+](CC(=O)c1ccccc1F)C2 ZINC001198850771 1123012420 /nfs/dbraw/zinc/01/24/20/1123012420.db2.gz CYTPQPDLGFGYFY-UHFFFAOYSA-N 1 2 297.373 3.684 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@H+](CC(=O)c1ccccc1F)C2 ZINC001198850771 1123012424 /nfs/dbraw/zinc/01/24/24/1123012424.db2.gz CYTPQPDLGFGYFY-UHFFFAOYSA-N 1 2 297.373 3.684 20 0 CHADLO c1cn(-c2csc(CNc3ccccc3)c2)c[nH+]1 ZINC001199482304 1123030732 /nfs/dbraw/zinc/03/07/32/1123030732.db2.gz DTFIZDRLWRQEFI-UHFFFAOYSA-N 1 2 255.346 3.546 20 0 CHADLO CC(C)[C@H]1CCCCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001152612526 1123030984 /nfs/dbraw/zinc/03/09/84/1123030984.db2.gz PWHBVXBVJQISMM-MRXNPFEDSA-N 1 2 285.391 3.604 20 0 CHADLO CCc1cccnc1[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000780740709 1123052410 /nfs/dbraw/zinc/05/24/10/1123052410.db2.gz SCDOSEDTMHSGMB-ZDUSSCGKSA-N 1 2 269.392 3.883 20 0 CHADLO Cc1cc(F)cc(NCc2cc(-n3cc[nH+]c3)cs2)c1 ZINC001201151353 1123076154 /nfs/dbraw/zinc/07/61/54/1123076154.db2.gz VAJRCETYNZMOQN-UHFFFAOYSA-N 1 2 287.363 3.993 20 0 CHADLO Nc1ccc(Nc2ccc(-c3cccc(O)c3)cc2)c[nH+]1 ZINC001201300433 1123085377 /nfs/dbraw/zinc/08/53/77/1123085377.db2.gz QQNUFGSWWYEQGP-UHFFFAOYSA-N 1 2 277.327 3.780 20 0 CHADLO Nc1ccc(Nc2cnc3c(Cl)cccc3c2)c[nH+]1 ZINC001201279250 1123085456 /nfs/dbraw/zinc/08/54/56/1123085456.db2.gz SFDPSQSALNPVMC-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2ccc(C(F)(F)F)c(F)c2F)c[nH+]1 ZINC001201294502 1123085719 /nfs/dbraw/zinc/08/57/19/1123085719.db2.gz BPXPIKCBZVAROO-UHFFFAOYSA-N 1 2 289.207 3.704 20 0 CHADLO Cc1c(Cl)cccc1CNc1ccc(N(C)C)[nH+]c1 ZINC001201364997 1123087501 /nfs/dbraw/zinc/08/75/01/1123087501.db2.gz MZRHFDHWEQUYQL-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO CC[N@H+](Cc1ccnn1C1CCC1)Cc1occc1C ZINC000894925798 1123089586 /nfs/dbraw/zinc/08/95/86/1123089586.db2.gz NYVSQLIPJGAUBS-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO CC[N@@H+](Cc1ccnn1C1CCC1)Cc1occc1C ZINC000894925798 1123089591 /nfs/dbraw/zinc/08/95/91/1123089591.db2.gz NYVSQLIPJGAUBS-UHFFFAOYSA-N 1 2 273.380 3.532 20 0 CHADLO CC(C)(C)OC(=O)C[N@@H+]1CCCCC[C@@H]1c1ccco1 ZINC000112669601 1123093571 /nfs/dbraw/zinc/09/35/71/1123093571.db2.gz FBDUFMYGGFXDJO-CYBMUJFWSA-N 1 2 279.380 3.538 20 0 CHADLO CC(C)(C)OC(=O)C[N@H+]1CCCCC[C@@H]1c1ccco1 ZINC000112669601 1123093573 /nfs/dbraw/zinc/09/35/73/1123093573.db2.gz FBDUFMYGGFXDJO-CYBMUJFWSA-N 1 2 279.380 3.538 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2cncc(C)c2)nc(CC)[nH+]1 ZINC000895039341 1123103833 /nfs/dbraw/zinc/10/38/33/1123103833.db2.gz ZEBNGRKPSJXSCO-MRXNPFEDSA-N 1 2 296.418 3.646 20 0 CHADLO CCc1cc(N[C@@H](C)c2cc3cnccc3o2)nc(CC)[nH+]1 ZINC000895037900 1123104170 /nfs/dbraw/zinc/10/41/70/1123104170.db2.gz QDKHTARWNBXNGP-NSHDSACASA-N 1 2 296.374 3.916 20 0 CHADLO C[C@H]1CC[N@@H+]1C/C(Br)=C/c1ccccc1 ZINC001201451184 1123106812 /nfs/dbraw/zinc/10/68/12/1123106812.db2.gz RHKVWJNVMDISFT-KWJWQAOWSA-N 1 2 266.182 3.517 20 0 CHADLO C[C@H]1CC[N@H+]1C/C(Br)=C/c1ccccc1 ZINC001201451184 1123106814 /nfs/dbraw/zinc/10/68/14/1123106814.db2.gz RHKVWJNVMDISFT-KWJWQAOWSA-N 1 2 266.182 3.517 20 0 CHADLO COc1ncnc(Cl)c1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201486990 1123107917 /nfs/dbraw/zinc/10/79/17/1123107917.db2.gz DTGQAWUIUMLOFI-UHFFFAOYSA-N 1 2 292.770 3.703 20 0 CHADLO COc1ncc(Nc2ccc([NH2+]C(C)C)cc2)cc1C ZINC001201487017 1123107959 /nfs/dbraw/zinc/10/79/59/1123107959.db2.gz FEFRDULGZHPUGF-UHFFFAOYSA-N 1 2 271.364 3.963 20 0 CHADLO Cc1cn(-c2ccc([NH2+]C(C)C)cc2)c2ncc(N)cc12 ZINC001201490670 1123109370 /nfs/dbraw/zinc/10/93/70/1123109370.db2.gz JEXSRGUSHCNTDD-UHFFFAOYSA-N 1 2 280.375 3.736 20 0 CHADLO Cc1cn2c(cccc2Nc2cncc(OC(C)C)c2)[nH+]1 ZINC001201534067 1123122392 /nfs/dbraw/zinc/12/23/92/1123122392.db2.gz CDNQRRDUTRTWCY-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Oc1ccc(Cl)cc1Cl ZINC000121594427 1123130269 /nfs/dbraw/zinc/13/02/69/1123130269.db2.gz OUGLLLJAQIEWMG-UHFFFAOYSA-N 1 2 299.157 3.576 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(C4CC4)nc3)ccc21 ZINC001201714590 1123139322 /nfs/dbraw/zinc/13/93/22/1123139322.db2.gz QKNQTJRQSJNEMA-UHFFFAOYSA-N 1 2 264.332 3.589 20 0 CHADLO Cc1cccc(C)c1NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC001202196527 1123186166 /nfs/dbraw/zinc/18/61/66/1123186166.db2.gz NWPBRMZZCVFWJG-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)Nc1cccc(Cl)c1 ZINC001202240089 1123193257 /nfs/dbraw/zinc/19/32/57/1123193257.db2.gz BHWKLPPUNKLMBH-UHFFFAOYSA-N 1 2 286.722 3.632 20 0 CHADLO c1cn(CCCN2CCCCCc3ccccc32)c[nH+]1 ZINC000343311158 1123195026 /nfs/dbraw/zinc/19/50/26/1123195026.db2.gz OBJJWHYOHKWMEU-UHFFFAOYSA-N 1 2 269.392 3.506 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2cc(F)cc(F)c21)c1ccccc1F ZINC000680042067 1123204793 /nfs/dbraw/zinc/20/47/93/1123204793.db2.gz XAFZANDMNBVRAJ-YMTOWFKASA-N 1 2 293.288 3.888 20 0 CHADLO FC(F)C[N@@H+]1C[C@H](c2ccccc2)C2(CCC2)C1 ZINC000665177612 1123217889 /nfs/dbraw/zinc/21/78/89/1123217889.db2.gz FHHNCORQBNLMFC-CYBMUJFWSA-N 1 2 251.320 3.521 20 0 CHADLO FC(F)C[N@H+]1C[C@H](c2ccccc2)C2(CCC2)C1 ZINC000665177612 1123217892 /nfs/dbraw/zinc/21/78/92/1123217892.db2.gz FHHNCORQBNLMFC-CYBMUJFWSA-N 1 2 251.320 3.521 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1cn(-c2ccccc2)nn1 ZINC000338920255 1123223241 /nfs/dbraw/zinc/22/32/41/1123223241.db2.gz ZIUSDGONHUQMLJ-CYBMUJFWSA-N 1 2 298.415 3.522 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1cn(-c2ccccc2)nn1 ZINC000338920255 1123223244 /nfs/dbraw/zinc/22/32/44/1123223244.db2.gz ZIUSDGONHUQMLJ-CYBMUJFWSA-N 1 2 298.415 3.522 20 0 CHADLO OCC1([C@H]([NH2+]CC(F)F)c2ccccc2)CCCCC1 ZINC000665207076 1123229439 /nfs/dbraw/zinc/22/94/39/1123229439.db2.gz MDYOGFYHULDZEK-OAHLLOKOSA-N 1 2 283.362 3.525 20 0 CHADLO C[C@@H]([NH2+]C[C@H](O)c1ccsc1)C(F)(F)c1ccccc1 ZINC000925112196 1123232760 /nfs/dbraw/zinc/23/27/60/1123232760.db2.gz TXXJVJGPBQGNEY-RISCZKNCSA-N 1 2 297.370 3.552 20 0 CHADLO Cn1ncc(C2CC2)c1CNc1ccc([NH+]2CCCC2)cc1 ZINC000850044890 1123238525 /nfs/dbraw/zinc/23/85/25/1123238525.db2.gz ZLWMSUOPYKLXIA-UHFFFAOYSA-N 1 2 296.418 3.510 20 0 CHADLO Cc1[nH]c(CNc2ccc(OC3CCC3)c(F)c2)[nH+]c1C ZINC000850218922 1123248269 /nfs/dbraw/zinc/24/82/69/1123248269.db2.gz ATVQZJPVXSDHMW-UHFFFAOYSA-N 1 2 289.354 3.709 20 0 CHADLO Cc1[nH]c(CNc2ccc(F)cc2OC(C)C)[nH+]c1C ZINC000850240151 1123249080 /nfs/dbraw/zinc/24/90/80/1123249080.db2.gz UYYCDPCWKALTIU-UHFFFAOYSA-N 1 2 277.343 3.565 20 0 CHADLO CC[C@H]1C[C@@H](CNc2c[nH+]cc3c2CCCC3)CCO1 ZINC000850343536 1123254403 /nfs/dbraw/zinc/25/44/03/1123254403.db2.gz WBMNONLIBCNNRP-ZFWWWQNUSA-N 1 2 274.408 3.578 20 0 CHADLO CC(C)(O)c1ccc(CNc2c[nH+]cc3c2CCCC3)cc1 ZINC000850343116 1123254985 /nfs/dbraw/zinc/25/49/85/1123254985.db2.gz PKKQGSQRBZUIJR-UHFFFAOYSA-N 1 2 296.414 3.800 20 0 CHADLO Cc1cc(N[C@@H](C)CSCCF)ccc1[NH+](C)C ZINC000850455636 1123270256 /nfs/dbraw/zinc/27/02/56/1123270256.db2.gz MWJKQSVTBVGYPW-LBPRGKRZSA-N 1 2 270.417 3.564 20 0 CHADLO Clc1ccc2c(c1Cl)CC[C@@H]2[NH2+]Cc1ncccn1 ZINC000850551661 1123290108 /nfs/dbraw/zinc/29/01/08/1123290108.db2.gz ITYPHRQLEWUDDW-LBPRGKRZSA-N 1 2 294.185 3.561 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nnc(C(C)C)[nH]2)s1 ZINC000428240301 1123295358 /nfs/dbraw/zinc/29/53/58/1123295358.db2.gz IJUSGDDNLFZYJV-GFCCVEGCSA-N 1 2 290.436 3.635 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)s1 ZINC000428240301 1123295361 /nfs/dbraw/zinc/29/53/61/1123295361.db2.gz IJUSGDDNLFZYJV-GFCCVEGCSA-N 1 2 290.436 3.635 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cc3ccnc(Cl)c3s2)C1 ZINC000895150086 1123298866 /nfs/dbraw/zinc/29/88/66/1123298866.db2.gz GRVWOPIVEVXDMG-SNVBAGLBSA-N 1 2 284.787 3.884 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cc3ccnc(Cl)c3s2)C1 ZINC000895150086 1123298869 /nfs/dbraw/zinc/29/88/69/1123298869.db2.gz GRVWOPIVEVXDMG-SNVBAGLBSA-N 1 2 284.787 3.884 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)Cc2ccccc2C)c(C)[nH+]1 ZINC000335157402 1123311176 /nfs/dbraw/zinc/31/11/76/1123311176.db2.gz MCNNJHXDHMZSFP-UHFFFAOYSA-N 1 2 297.402 3.979 20 0 CHADLO CC[C@@H]([NH2+][C@@H](COC)c1ccco1)c1ccc(F)cc1F ZINC000156643862 1129114946 /nfs/dbraw/zinc/11/49/46/1129114946.db2.gz MFECVDSSTZORIJ-CABCVRRESA-N 1 2 295.329 3.986 20 0 CHADLO CCC[C@@H]1CCCC[C@@H]1OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000851453152 1123359195 /nfs/dbraw/zinc/35/91/95/1123359195.db2.gz NSZSQUCYUQOHAV-KFWWJZLASA-N 1 2 278.396 3.736 20 0 CHADLO C[C@@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1coc2ccccc21 ZINC000851478124 1123360739 /nfs/dbraw/zinc/36/07/39/1123360739.db2.gz QYKKCBJQQHBJPM-QWHCGFSZSA-N 1 2 298.342 3.885 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000335384420 1123367192 /nfs/dbraw/zinc/36/71/92/1123367192.db2.gz PUMKZAFRVYSHLD-KWQFWETISA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000335384420 1123367197 /nfs/dbraw/zinc/36/71/97/1123367197.db2.gz PUMKZAFRVYSHLD-KWQFWETISA-N 1 2 278.343 3.614 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000335463654 1123371249 /nfs/dbraw/zinc/37/12/49/1123371249.db2.gz MFOXDRURTGQQAA-QWHCGFSZSA-N 1 2 286.375 3.532 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000335463654 1123371254 /nfs/dbraw/zinc/37/12/54/1123371254.db2.gz MFOXDRURTGQQAA-QWHCGFSZSA-N 1 2 286.375 3.532 20 0 CHADLO Fc1cccc(C[N@H+](Cc2ccccc2F)C2CC2)n1 ZINC000851711628 1123373848 /nfs/dbraw/zinc/37/38/48/1123373848.db2.gz DBEYJQHMPRCCCK-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2ccccc2F)C2CC2)n1 ZINC000851711628 1123373851 /nfs/dbraw/zinc/37/38/51/1123373851.db2.gz DBEYJQHMPRCCCK-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Oc1ccc(C2=CC[N@H+](CC=C(Cl)Cl)CC2)cc1 ZINC000851717185 1123374614 /nfs/dbraw/zinc/37/46/14/1123374614.db2.gz QMZPGAMTRJENMB-UHFFFAOYSA-N 1 2 284.186 3.800 20 0 CHADLO Oc1ccc(C2=CC[N@@H+](CC=C(Cl)Cl)CC2)cc1 ZINC000851717185 1123374619 /nfs/dbraw/zinc/37/46/19/1123374619.db2.gz QMZPGAMTRJENMB-UHFFFAOYSA-N 1 2 284.186 3.800 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCC(C)(C)C2)c(C)[nH+]1 ZINC000335588954 1123380077 /nfs/dbraw/zinc/38/00/77/1123380077.db2.gz ITTIIKQSLRFBCZ-UHFFFAOYSA-N 1 2 275.396 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@@H]2C(C)C)c(C)[nH+]1 ZINC000335586069 1123380155 /nfs/dbraw/zinc/38/01/55/1123380155.db2.gz JHNHNVXWHUELMG-CQSZACIVSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1csc(C2([NH2+]Cc3cccc(F)n3)CCCC2)n1 ZINC000851850571 1123384718 /nfs/dbraw/zinc/38/47/18/1123384718.db2.gz QDHAFIWKWJKHRP-UHFFFAOYSA-N 1 2 291.395 3.545 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CC=CC[C@H]2C)c(C)[nH+]1 ZINC000335656015 1123387907 /nfs/dbraw/zinc/38/79/07/1123387907.db2.gz AGOPIDJXSBNPMV-QMTHXVAHSA-N 1 2 258.365 3.548 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C2CC2)no1)c1cc(F)c(F)c(F)c1 ZINC000851900591 1123388213 /nfs/dbraw/zinc/38/82/13/1123388213.db2.gz FJHZCTISGPZSGG-QMMMGPOBSA-N 1 2 296.292 3.820 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cccnc1Cl)CC2 ZINC000852404932 1123404348 /nfs/dbraw/zinc/40/43/48/1123404348.db2.gz WXQOPNGNYAYYKK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cccnc1Cl)CC2 ZINC000852404932 1123404349 /nfs/dbraw/zinc/40/43/49/1123404349.db2.gz WXQOPNGNYAYYKK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[NH2+][C@@H](C)c2csnn2)c1 ZINC000398444549 1123405919 /nfs/dbraw/zinc/40/59/19/1123405919.db2.gz ALYMCFOTFDXFJH-NEPJUHHUSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1nn(C(C)C)c(C)c1CNc1cc2cc[nH]c2c[nH+]1 ZINC000895203014 1123406396 /nfs/dbraw/zinc/40/63/96/1123406396.db2.gz UKDFQCLWWOMLLE-UHFFFAOYSA-N 1 2 283.379 3.569 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)c2cccs2)c(C)[nH+]1 ZINC000151621448 1123423182 /nfs/dbraw/zinc/42/31/82/1123423182.db2.gz ZHAFDRWSUOTSPE-NSHDSACASA-N 1 2 274.389 3.811 20 0 CHADLO Cc1cc([NH2+]C[C@H](C)c2ccc(F)cc2)ccc1N ZINC000853248634 1123429985 /nfs/dbraw/zinc/42/99/85/1123429985.db2.gz WAENYZWGAXNKLR-LBPRGKRZSA-N 1 2 258.340 3.932 20 0 CHADLO CCCC[C@H](CC)CCC[N@H+](C)Cn1ccsc1=O ZINC000853630904 1123444655 /nfs/dbraw/zinc/44/46/55/1123444655.db2.gz RXEBFQWHINHGEW-AWEZNQCLSA-N 1 2 284.469 3.796 20 0 CHADLO CCCC[C@H](CC)CCC[N@@H+](C)Cn1ccsc1=O ZINC000853630904 1123444659 /nfs/dbraw/zinc/44/46/59/1123444659.db2.gz RXEBFQWHINHGEW-AWEZNQCLSA-N 1 2 284.469 3.796 20 0 CHADLO CC[C@@H]1CCC[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000443616387 1123446686 /nfs/dbraw/zinc/44/66/86/1123446686.db2.gz RICAOEVYUJWLRS-MRVPVSSYSA-N 1 2 264.316 3.536 20 0 CHADLO CC[C@@H]1CCC[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000443616387 1123446689 /nfs/dbraw/zinc/44/66/89/1123446689.db2.gz RICAOEVYUJWLRS-MRVPVSSYSA-N 1 2 264.316 3.536 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(C)c2)c(C)s1 ZINC000443628160 1123449750 /nfs/dbraw/zinc/44/97/50/1123449750.db2.gz FGBAMFBHEMGKLW-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(C)c2)c(C)s1 ZINC000443628160 1123449754 /nfs/dbraw/zinc/44/97/54/1123449754.db2.gz FGBAMFBHEMGKLW-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1ccc2oc(C[NH2+]Cc3nccn3C3CC3)cc2c1 ZINC000895374234 1123470706 /nfs/dbraw/zinc/47/07/06/1123470706.db2.gz SGDGERAVRKRYDU-UHFFFAOYSA-N 1 2 281.359 3.562 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2ccc(Cl)s2)s1 ZINC000273382902 1129125006 /nfs/dbraw/zinc/12/50/06/1129125006.db2.gz HPMJTIZWUMEXOZ-SSDOTTSWSA-N 1 2 287.841 3.666 20 0 CHADLO Cc1ncc([C@@H](C)Nc2ccc([NH+](C)C)cc2C)s1 ZINC000776766272 1123500776 /nfs/dbraw/zinc/50/07/76/1123500776.db2.gz AEUMCKFDYYMGQG-LLVKDONJSA-N 1 2 275.421 3.999 20 0 CHADLO CC(C)(C)OC[C@H]1CCCN1c1[nH+]ccc2c(N)cccc21 ZINC000895653878 1123501401 /nfs/dbraw/zinc/50/14/01/1123501401.db2.gz BTTQBTKDQQWAFH-CYBMUJFWSA-N 1 2 299.418 3.601 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C/c2ccc(F)cc2)c(C)[nH+]1 ZINC000097039986 1123529751 /nfs/dbraw/zinc/52/97/51/1123529751.db2.gz HHQDDZJCYSNMLT-RMKNXTFCSA-N 1 2 284.334 3.798 20 0 CHADLO CCc1ccccc1OCC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000097076061 1123530857 /nfs/dbraw/zinc/53/08/57/1123530857.db2.gz VCQLGBGKZJNDHU-UHFFFAOYSA-N 1 2 298.386 3.587 20 0 CHADLO CN(Cc1cn2c([nH+]1)CCCC2)Cc1ccccc1Cl ZINC000429526357 1123543254 /nfs/dbraw/zinc/54/32/54/1123543254.db2.gz GSPKROYLKGGZMR-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO CCc1onc(C)c1C[NH2+][C@@H]1c2ccccc2CC[C@H]1F ZINC000896029194 1123543705 /nfs/dbraw/zinc/54/37/05/1123543705.db2.gz AYLULQLZEDONHA-NVXWUHKLSA-N 1 2 288.366 3.661 20 0 CHADLO Oc1cccc(C[N@@H+]2CC[C@@](F)(c3ccccc3F)C2)c1 ZINC000338996504 1123563203 /nfs/dbraw/zinc/56/32/03/1123563203.db2.gz BFDVIDQLJMPUJC-KRWDZBQOSA-N 1 2 289.325 3.602 20 0 CHADLO Oc1cccc(C[N@H+]2CC[C@@](F)(c3ccccc3F)C2)c1 ZINC000338996504 1123563207 /nfs/dbraw/zinc/56/32/07/1123563207.db2.gz BFDVIDQLJMPUJC-KRWDZBQOSA-N 1 2 289.325 3.602 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cn(C)nc2Cl)[C@@H](c2ccco2)C1 ZINC000857022437 1123578964 /nfs/dbraw/zinc/57/89/64/1123578964.db2.gz CYGWVZRIKBKGJQ-WCQYABFASA-N 1 2 293.798 3.640 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cn(C)nc2Cl)[C@@H](c2ccco2)C1 ZINC000857022437 1123578967 /nfs/dbraw/zinc/57/89/67/1123578967.db2.gz CYGWVZRIKBKGJQ-WCQYABFASA-N 1 2 293.798 3.640 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3cccnc32)nc(C2CC2)[nH+]1 ZINC000896413850 1123581642 /nfs/dbraw/zinc/58/16/42/1123581642.db2.gz XJVJUAPXWRKXFB-CQSZACIVSA-N 1 2 280.375 3.547 20 0 CHADLO CCc1cccc(F)c1C[NH2+]Cc1nc2cc(C)ccc2[nH]1 ZINC000474809547 1123590105 /nfs/dbraw/zinc/59/01/05/1123590105.db2.gz OPTQNYNWLZBHQC-UHFFFAOYSA-N 1 2 297.377 3.863 20 0 CHADLO CCc1cccc(F)c1C[NH2+]Cc1nc2ccc(C)cc2[nH]1 ZINC000474809547 1123590110 /nfs/dbraw/zinc/59/01/10/1123590110.db2.gz OPTQNYNWLZBHQC-UHFFFAOYSA-N 1 2 297.377 3.863 20 0 CHADLO Cc1cc(NCC[C@H]2CCC3(CCC3)O2)nc(C2CC2)[nH+]1 ZINC000896556154 1123597433 /nfs/dbraw/zinc/59/74/33/1123597433.db2.gz SPCYXKKEIYUJJA-CQSZACIVSA-N 1 2 287.407 3.566 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1cc(F)c(Br)cc1F ZINC001141910019 1123617358 /nfs/dbraw/zinc/61/73/58/1123617358.db2.gz IIZWFHCUASHDJY-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO CCc1cccc(CC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000179240167 1123599381 /nfs/dbraw/zinc/59/93/81/1123599381.db2.gz VKURYJQBLHSMHX-UHFFFAOYSA-N 1 2 282.387 3.750 20 0 CHADLO Cc1nc(N[C@@H]2CCCc3cn(C4CCCC4)nc32)cc[nH+]1 ZINC000329495877 1123616140 /nfs/dbraw/zinc/61/61/40/1123616140.db2.gz IUKUTRLLXXDESO-OAHLLOKOSA-N 1 2 297.406 3.586 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1cc(F)c(Br)cc1F ZINC001141910019 1123617357 /nfs/dbraw/zinc/61/73/57/1123617357.db2.gz IIZWFHCUASHDJY-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO CCc1ccc2ccccc2c1C[NH2+]Cc1csnn1 ZINC000896848846 1123642995 /nfs/dbraw/zinc/64/29/95/1123642995.db2.gz QTHRHZWIYVRURL-UHFFFAOYSA-N 1 2 283.400 3.544 20 0 CHADLO Fc1ccc(C[NH2+]C2(c3c(F)cccc3F)CCC2)cn1 ZINC000858343222 1123646481 /nfs/dbraw/zinc/64/64/81/1123646481.db2.gz ZMPIHLKUALKSTM-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO COc1c(Nc2[nH+]cc(C)cc2C)ccc(F)c1F ZINC001211889619 1123664588 /nfs/dbraw/zinc/66/45/88/1123664588.db2.gz WEOXTDBVKYJYHA-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCc1cccc(C[N@H+](C)Cc2csc(C)n2)c1 ZINC000346237591 1123673660 /nfs/dbraw/zinc/67/36/60/1123673660.db2.gz IWIVQIOUTPGWRU-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1cccc(C[N@@H+](C)Cc2csc(C)n2)c1 ZINC000346237591 1123673662 /nfs/dbraw/zinc/67/36/62/1123673662.db2.gz IWIVQIOUTPGWRU-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCCC(C)(C)CC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000346244952 1123674400 /nfs/dbraw/zinc/67/44/00/1123674400.db2.gz NCICAKJADNUBLD-GJZGRUSLSA-N 1 2 291.439 3.509 20 0 CHADLO CCCC(C)(C)CC(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000346244953 1123674672 /nfs/dbraw/zinc/67/46/72/1123674672.db2.gz NCICAKJADNUBLD-HUUCEWRRSA-N 1 2 291.439 3.509 20 0 CHADLO CC(C)c1cnc(C[NH+]2C[C@@H](C)C(F)(F)[C@H](C)C2)o1 ZINC000859412017 1123696916 /nfs/dbraw/zinc/69/69/16/1123696916.db2.gz PVCBRQSAIHWAQF-GHMZBOCLSA-N 1 2 272.339 3.521 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+]2CCC[C@H]2c2ccsc2)o1 ZINC000346655834 1123705354 /nfs/dbraw/zinc/70/53/54/1123705354.db2.gz OEFLOFRSZPRWKB-PWSUYJOCSA-N 1 2 277.393 3.592 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+]2CCC[C@H]2c2ccsc2)o1 ZINC000346655834 1123705357 /nfs/dbraw/zinc/70/53/57/1123705357.db2.gz OEFLOFRSZPRWKB-PWSUYJOCSA-N 1 2 277.393 3.592 20 0 CHADLO CCCCc1nc(C[NH2+][C@H](C)c2ccns2)cs1 ZINC000860052904 1123719400 /nfs/dbraw/zinc/71/94/00/1123719400.db2.gz LJYOJJMWQPUAOZ-SNVBAGLBSA-N 1 2 281.450 3.793 20 0 CHADLO CCC[C@H](C)CC(=O)N(C)CCCc1[nH+]ccn1CCC ZINC000346949747 1123735765 /nfs/dbraw/zinc/73/57/65/1123735765.db2.gz YVYPQWQPFFLZDW-HNNXBMFYSA-N 1 2 293.455 3.510 20 0 CHADLO CC(C)[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)c1cccnc1 ZINC000346975209 1123737545 /nfs/dbraw/zinc/73/75/45/1123737545.db2.gz VOECGVARUBFEQL-GOSISDBHSA-N 1 2 296.418 3.886 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CC(C)(C)CCc3ccccc32)no1 ZINC000281694601 1123739067 /nfs/dbraw/zinc/73/90/67/1123739067.db2.gz NHDLHAFDAUVRAG-HNNXBMFYSA-N 1 2 299.418 3.825 20 0 CHADLO Clc1cccnc1C1CCN(c2cccc[nH+]2)CC1 ZINC000336281128 1123756431 /nfs/dbraw/zinc/75/64/31/1123756431.db2.gz BNOGATUBELVEQW-UHFFFAOYSA-N 1 2 273.767 3.514 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(SC(F)F)cc2)CCO1 ZINC000143271735 1123777721 /nfs/dbraw/zinc/77/77/21/1123777721.db2.gz ZSONHOYBBPZUEE-GFCCVEGCSA-N 1 2 287.375 3.612 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(SC(F)F)cc2)CCO1 ZINC000143271735 1123777724 /nfs/dbraw/zinc/77/77/24/1123777724.db2.gz ZSONHOYBBPZUEE-GFCCVEGCSA-N 1 2 287.375 3.612 20 0 CHADLO CCC[C@@H]([NH2+]C1CC(c2ccc(Cl)cc2)C1)C(=O)OC ZINC000475085665 1123791852 /nfs/dbraw/zinc/79/18/52/1123791852.db2.gz FHEKPXLJXPRSGE-PESDSKBTSA-N 1 2 295.810 3.517 20 0 CHADLO c1nc(C2CC2)oc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000862253932 1123795711 /nfs/dbraw/zinc/79/57/11/1123795711.db2.gz WBYMLYPDNPSNGV-UHFFFAOYSA-N 1 2 283.375 3.764 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C(C)(C)C)nn1)c1cc2ccccc2o1 ZINC000276664458 1129145891 /nfs/dbraw/zinc/14/58/91/1129145891.db2.gz WZXJMOAVDFJMJU-GFCCVEGCSA-N 1 2 298.390 3.630 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccnc(F)c2C)c1 ZINC000862290666 1123798756 /nfs/dbraw/zinc/79/87/56/1123798756.db2.gz CBUQWNDHYQKFEN-UHFFFAOYSA-N 1 2 291.395 3.789 20 0 CHADLO Cc1c(CNc2ccc3c(c2)CCC[N@H+]3C)ccnc1F ZINC000862297084 1123799155 /nfs/dbraw/zinc/79/91/55/1123799155.db2.gz NCXDGMOFRAXCBM-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO Cc1c(CNc2ccc3c(c2)CCC[N@@H+]3C)ccnc1F ZINC000862297084 1123799160 /nfs/dbraw/zinc/79/91/60/1123799160.db2.gz NCXDGMOFRAXCBM-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO COc1cccc2c(NC3CC4(C3)CO[C@@H](C)C4)cc[nH+]c12 ZINC000897044579 1123803036 /nfs/dbraw/zinc/80/30/36/1123803036.db2.gz HNIRJHYUCDPPCK-ZVLZOBCMSA-N 1 2 298.386 3.613 20 0 CHADLO Cc1cc(C)c(CNC(=S)Nc2ccc(C)c(C)c2)c[nH+]1 ZINC000840826751 1123829036 /nfs/dbraw/zinc/82/90/36/1123829036.db2.gz UTYAXYFRELYWIT-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO COc1ccccc1CCC[N@H+](CC(F)F)C(C)C ZINC000182567879 1123832446 /nfs/dbraw/zinc/83/24/46/1123832446.db2.gz DZVDIOFVGURIIR-UHFFFAOYSA-N 1 2 271.351 3.603 20 0 CHADLO COc1ccccc1CCC[N@@H+](CC(F)F)C(C)C ZINC000182567879 1123832452 /nfs/dbraw/zinc/83/24/52/1123832452.db2.gz DZVDIOFVGURIIR-UHFFFAOYSA-N 1 2 271.351 3.603 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nc(C3CCC3)no2)c1C ZINC000639564662 1123862721 /nfs/dbraw/zinc/86/27/21/1123862721.db2.gz AZLRZWUILZPMLJ-CYBMUJFWSA-N 1 2 285.391 3.805 20 0 CHADLO c1ccc([C@H](CC2CC2)[NH2+]Cc2nc(C3CCC3)no2)cc1 ZINC000639565676 1123865418 /nfs/dbraw/zinc/86/54/18/1123865418.db2.gz QXNJLUJXPZZNKE-INIZCTEOSA-N 1 2 297.402 3.968 20 0 CHADLO Clc1nc(NCCCn2cc[nH+]c2)cc2ccccc12 ZINC000301091415 1123873954 /nfs/dbraw/zinc/87/39/54/1123873954.db2.gz RXUIRBAAOQLFJQ-UHFFFAOYSA-N 1 2 286.766 3.587 20 0 CHADLO Cc1cc(Nc2ccc([NH+]3CCCCC3)cc2)ncn1 ZINC000301209393 1123881396 /nfs/dbraw/zinc/88/13/96/1123881396.db2.gz STXJNXYYYOGDCH-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Fc1ccc(-c2nc(CCNc3cccc[nH+]3)co2)cc1 ZINC000301268373 1123885834 /nfs/dbraw/zinc/88/58/34/1123885834.db2.gz ITTORWQXBYTTTK-UHFFFAOYSA-N 1 2 283.306 3.530 20 0 CHADLO Cc1cc([C@H](C)Nc2cc(NCC3CC3)[nH+]cn2)c(C)o1 ZINC000301284421 1123887727 /nfs/dbraw/zinc/88/77/27/1123887727.db2.gz LXVALLUDKRMIPK-NSHDSACASA-N 1 2 286.379 3.681 20 0 CHADLO Cc1cc([C@H](C)Nc2cc(NCC3CC3)nc[nH+]2)c(C)o1 ZINC000301284421 1123887730 /nfs/dbraw/zinc/88/77/30/1123887730.db2.gz LXVALLUDKRMIPK-NSHDSACASA-N 1 2 286.379 3.681 20 0 CHADLO C[C@H]1CCCN1c1cc[nH+]c2c(Br)cccc12 ZINC000301412935 1123898843 /nfs/dbraw/zinc/89/88/43/1123898843.db2.gz AXXWBFBCGYMLKC-JTQLQIEISA-N 1 2 291.192 3.986 20 0 CHADLO C[C@H]1CN(c2cccc[nH+]2)CC[C@H]1c1ccccc1 ZINC000301428019 1123899640 /nfs/dbraw/zinc/89/96/40/1123899640.db2.gz FCJUULUQWNVEFI-GOEBONIOSA-N 1 2 252.361 3.712 20 0 CHADLO Clc1ccccc1N1CCC[C@H](Nc2cccc[nH+]2)C1 ZINC000301449058 1123901216 /nfs/dbraw/zinc/90/12/16/1123901216.db2.gz WOZXBIWDZRTWQX-ZDUSSCGKSA-N 1 2 287.794 3.816 20 0 CHADLO Cn1c[nH+]c2ccc(N[C@@H]3C[C@H]3c3ccc(F)c(F)c3)cc21 ZINC001168066032 1123905399 /nfs/dbraw/zinc/90/53/99/1123905399.db2.gz TUCYFEJRWASGQV-BLLLJJGKSA-N 1 2 299.324 3.820 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc(C3(O)CCC3)cc2)n1C ZINC000863976734 1123906215 /nfs/dbraw/zinc/90/62/15/1123906215.db2.gz KGQQROUDUFGBBZ-UHFFFAOYSA-N 1 2 270.376 3.582 20 0 CHADLO Cc1ncsc1CNc1[nH+]c2ccccc2n1C1CC1 ZINC000680852478 1123910154 /nfs/dbraw/zinc/91/01/54/1123910154.db2.gz BIQPVZWJDFNTGU-UHFFFAOYSA-N 1 2 284.388 3.748 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000301744498 1123929182 /nfs/dbraw/zinc/92/91/82/1123929182.db2.gz ZWQSGLYLBRDIAE-UAGQMJEPSA-N 1 2 282.387 3.913 20 0 CHADLO CC(C)c1cc(N[C@@H]2CCC[C@]2(C)CO)nc(C(C)C)[nH+]1 ZINC000301799284 1123933744 /nfs/dbraw/zinc/93/37/44/1123933744.db2.gz BNPNAYVDVBLOQQ-RHSMWYFYSA-N 1 2 291.439 3.686 20 0 CHADLO CSC[C@@H](C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301847342 1123937147 /nfs/dbraw/zinc/93/71/47/1123937147.db2.gz BLSFDFXSLGTQGC-LLVKDONJSA-N 1 2 267.442 3.887 20 0 CHADLO CC(C)c1cc(N2C[C@@H]3CCC[C@H]3C2)nc(C(C)C)[nH+]1 ZINC000301881901 1123940683 /nfs/dbraw/zinc/94/06/83/1123940683.db2.gz WNCLASXFZKZYAV-KBPBESRZSA-N 1 2 273.424 3.960 20 0 CHADLO CC(C)c1cc(N(C)CC2CCOCC2)nc(C(C)C)[nH+]1 ZINC000301918194 1123943370 /nfs/dbraw/zinc/94/33/70/1123943370.db2.gz DYPPZZMPKLEJAM-UHFFFAOYSA-N 1 2 291.439 3.586 20 0 CHADLO CS[C@H]1CCC[C@@H](Nc2nc(C)[nH+]c3c2CCCC3)C1 ZINC000302048523 1123952680 /nfs/dbraw/zinc/95/26/80/1123952680.db2.gz MHOVRXWLYGJILN-OLZOCXBDSA-N 1 2 291.464 3.750 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1nc(C)[nH+]c3c1CCCC3)CO2 ZINC000302062535 1123953372 /nfs/dbraw/zinc/95/33/72/1123953372.db2.gz CAEYLSFDUOAROQ-INIZCTEOSA-N 1 2 295.386 3.518 20 0 CHADLO Cc1cc(C[NH2+][C@@H](c2nc(C3CC3)no2)C(C)C)c(C)o1 ZINC000120455840 1123965640 /nfs/dbraw/zinc/96/56/40/1123965640.db2.gz JPWSMOPZIWXFFN-CQSZACIVSA-N 1 2 289.379 3.644 20 0 CHADLO CC(C)(C)SCCCSCCn1cc[nH+]c1 ZINC000865288810 1123969872 /nfs/dbraw/zinc/96/98/72/1123969872.db2.gz VHRVFSSKGBWRPV-UHFFFAOYSA-N 1 2 258.456 3.538 20 0 CHADLO CC(C)(C)c1cn(Cc2cccc(OC(F)F)c2)c[nH+]1 ZINC000865376037 1123976331 /nfs/dbraw/zinc/97/63/31/1123976331.db2.gz WOAWCZDBEPWSRB-UHFFFAOYSA-N 1 2 280.318 3.830 20 0 CHADLO CC(C)(C)c1cn(Cc2cnc3ccccc3c2)c[nH+]1 ZINC000865376553 1123977204 /nfs/dbraw/zinc/97/72/04/1123977204.db2.gz SNJAXJASGCOWNQ-UHFFFAOYSA-N 1 2 265.360 3.777 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2cc3c(cc[nH]c3=O)o2)o1 ZINC000865417970 1123981828 /nfs/dbraw/zinc/98/18/28/1123981828.db2.gz FLYHAWYGJORMEU-GXFFZTMASA-N 1 2 298.342 3.540 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc([NH+](C)C)cc2)C12CCCC2 ZINC000085679321 1129159016 /nfs/dbraw/zinc/15/90/16/1129159016.db2.gz VOSSFTCMYPPDFY-DLBZAZTESA-N 1 2 288.435 3.902 20 0 CHADLO CCO[C@@H]1C[C@H]([NH2+]c2ccc(N(C)C)cc2)C12CCCC2 ZINC000085679321 1129159019 /nfs/dbraw/zinc/15/90/19/1129159019.db2.gz VOSSFTCMYPPDFY-DLBZAZTESA-N 1 2 288.435 3.902 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]Cc2ccncc2Cl)o1 ZINC000865814981 1124015919 /nfs/dbraw/zinc/01/59/19/1124015919.db2.gz OJWCRQFOMLERIB-UHFFFAOYSA-N 1 2 278.783 3.915 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccc(C(C)(C)C)o3)cn2c1 ZINC000865815304 1124016990 /nfs/dbraw/zinc/01/69/90/1124016990.db2.gz USWKVNCLDFQZDR-UHFFFAOYSA-N 1 2 297.402 3.823 20 0 CHADLO CCCOc1ccc(C[NH2+][C@H](C)C(C)(F)F)cc1 ZINC000389473599 1124028530 /nfs/dbraw/zinc/02/85/30/1124028530.db2.gz VUMCTPQJXOCCEZ-LLVKDONJSA-N 1 2 257.324 3.609 20 0 CHADLO CCc1ccc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)s1 ZINC000866232099 1124039927 /nfs/dbraw/zinc/03/99/27/1124039927.db2.gz LYLSPEOTDBTGNF-GDBMZVCRSA-N 1 2 291.391 3.872 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+]Cc2cc(C)oc2C)o1 ZINC000120964146 1124041611 /nfs/dbraw/zinc/04/16/11/1124041611.db2.gz HUFNOIBNBBQZAD-OAHLLOKOSA-N 1 2 277.364 3.529 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+][C@@H]1c2ccccc2OC[C@H]1F ZINC000866289345 1124048410 /nfs/dbraw/zinc/04/84/10/1124048410.db2.gz ZRAVIXXIOBNYRI-XGWLTEMNSA-N 1 2 286.350 3.512 20 0 CHADLO CCC[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000444700197 1124055387 /nfs/dbraw/zinc/05/53/87/1124055387.db2.gz FLSXEAPWSLTRNB-SMDDNHRTSA-N 1 2 253.773 3.512 20 0 CHADLO CCC[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000444700197 1124055390 /nfs/dbraw/zinc/05/53/90/1124055390.db2.gz FLSXEAPWSLTRNB-SMDDNHRTSA-N 1 2 253.773 3.512 20 0 CHADLO Cc1cscc1C[NH2+][C@H]1c2ccccc2OC[C@@H]1F ZINC000866349022 1124055560 /nfs/dbraw/zinc/05/55/60/1124055560.db2.gz FXTKKQNRKGPPNJ-ZFWWWQNUSA-N 1 2 277.364 3.618 20 0 CHADLO CCc1cnc(C[NH2+][C@H]2CCc3c2nccc3Cl)s1 ZINC000925163935 1124073259 /nfs/dbraw/zinc/07/32/59/1124073259.db2.gz LSMCGUJQHZAGML-LBPRGKRZSA-N 1 2 293.823 3.531 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncc(C(C)(C)C)o2)cc1 ZINC000508536022 1124092471 /nfs/dbraw/zinc/09/24/71/1124092471.db2.gz XHRBYFVYNJXFKN-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncc(C(C)(C)C)o2)cc1 ZINC000508536022 1124092474 /nfs/dbraw/zinc/09/24/74/1124092474.db2.gz XHRBYFVYNJXFKN-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO CSCc1cccc(CNc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000445669785 1124096827 /nfs/dbraw/zinc/09/68/27/1124096827.db2.gz HQSGHVHNBIFRFK-UHFFFAOYSA-N 1 2 299.443 3.559 20 0 CHADLO CCCC[N@H+](Cc1nnc(C)o1)[C@H](C)c1ccc(C)o1 ZINC000621318385 1129167012 /nfs/dbraw/zinc/16/70/12/1129167012.db2.gz BYTRULDFSDFAMU-GFCCVEGCSA-N 1 2 277.368 3.643 20 0 CHADLO CCCC[N@@H+](Cc1nnc(C)o1)[C@H](C)c1ccc(C)o1 ZINC000621318385 1129167013 /nfs/dbraw/zinc/16/70/13/1129167013.db2.gz BYTRULDFSDFAMU-GFCCVEGCSA-N 1 2 277.368 3.643 20 0 CHADLO CCCC[N@H+](Cc1nc(CC)no1)[C@@H](C)c1ccc(C)o1 ZINC000621317712 1129167094 /nfs/dbraw/zinc/16/70/94/1129167094.db2.gz VFJYQNTVGWTGPY-ZDUSSCGKSA-N 1 2 291.395 3.897 20 0 CHADLO CCCC[N@@H+](Cc1nc(CC)no1)[C@@H](C)c1ccc(C)o1 ZINC000621317712 1129167096 /nfs/dbraw/zinc/16/70/96/1129167096.db2.gz VFJYQNTVGWTGPY-ZDUSSCGKSA-N 1 2 291.395 3.897 20 0 CHADLO C[C@@H]1C[N@@H+](CCCc2c(F)cccc2Cl)C[C@H](C)O1 ZINC000446824817 1124124476 /nfs/dbraw/zinc/12/44/76/1124124476.db2.gz WLQGAOWJHMMIJG-TXEJJXNPSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@@H]1C[N@H+](CCCc2c(F)cccc2Cl)C[C@H](C)O1 ZINC000446824817 1124124477 /nfs/dbraw/zinc/12/44/77/1124124477.db2.gz WLQGAOWJHMMIJG-TXEJJXNPSA-N 1 2 285.790 3.521 20 0 CHADLO Cc1cc(F)ccc1-c1ccc(C[N@H+]2CCOC[C@H]2C)o1 ZINC000446904999 1124128133 /nfs/dbraw/zinc/12/81/33/1124128133.db2.gz PYPHBWGPVAWMQH-CYBMUJFWSA-N 1 2 289.350 3.615 20 0 CHADLO Cc1cc(F)ccc1-c1ccc(C[N@@H+]2CCOC[C@H]2C)o1 ZINC000446904999 1124128135 /nfs/dbraw/zinc/12/81/35/1124128135.db2.gz PYPHBWGPVAWMQH-CYBMUJFWSA-N 1 2 289.350 3.615 20 0 CHADLO Cc1n[nH]c(C)c1CNc1ccc2[nH+]c(C)cc(C)c2c1 ZINC001119436155 1131254435 /nfs/dbraw/zinc/25/44/35/1131254435.db2.gz WQMKKEVMHYJXKD-UHFFFAOYSA-N 1 2 280.375 3.804 20 0 CHADLO c1cn(Cc2ccc(-c3ccc(C4CC4)nc3)cc2)c[nH+]1 ZINC001238854291 1131257889 /nfs/dbraw/zinc/25/78/89/1131257889.db2.gz ANFWOVRYPIPMQR-UHFFFAOYSA-N 1 2 275.355 3.871 20 0 CHADLO CC[C@@]1(C)C[N@H+](Cc2cc(C)ccc2OC(F)F)CCO1 ZINC000428485973 1124479892 /nfs/dbraw/zinc/47/98/92/1124479892.db2.gz VTUIGUBPGWYLGH-INIZCTEOSA-N 1 2 299.361 3.597 20 0 CHADLO CC[C@@]1(C)C[N@@H+](Cc2cc(C)ccc2OC(F)F)CCO1 ZINC000428485973 1124479899 /nfs/dbraw/zinc/47/98/99/1124479899.db2.gz VTUIGUBPGWYLGH-INIZCTEOSA-N 1 2 299.361 3.597 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1cc(C(F)(F)F)ccc1F ZINC000525997057 1124488910 /nfs/dbraw/zinc/48/89/10/1124488910.db2.gz UODFEQZWTUZOQS-IUCAKERBSA-N 1 2 261.262 3.827 20 0 CHADLO Cc1cc([C@H](C)NC[C@H]2CCCC[N@@H+]2C2CC2)c(C)o1 ZINC000447604175 1124499053 /nfs/dbraw/zinc/49/90/53/1124499053.db2.gz ZBHWJUKFHQRNQH-XJKSGUPXSA-N 1 2 276.424 3.564 20 0 CHADLO Cc1cc([C@H](C)NC[C@H]2CCCC[N@H+]2C2CC2)c(C)o1 ZINC000447604175 1124499056 /nfs/dbraw/zinc/49/90/56/1124499056.db2.gz ZBHWJUKFHQRNQH-XJKSGUPXSA-N 1 2 276.424 3.564 20 0 CHADLO Clc1ccc2cc3n(c2c1)CCN(c1cccc[nH+]1)C3 ZINC000447672458 1124505316 /nfs/dbraw/zinc/50/53/16/1124505316.db2.gz YRQTVUWEZNVBCH-UHFFFAOYSA-N 1 2 283.762 3.710 20 0 CHADLO Fc1cccc(Cl)c1CCC[N@@H+]1CCOC[C@H]1C1CC1 ZINC000447733204 1124517696 /nfs/dbraw/zinc/51/76/96/1124517696.db2.gz XBUDQAKAFDIVDT-INIZCTEOSA-N 1 2 297.801 3.523 20 0 CHADLO Fc1cccc(Cl)c1CCC[N@H+]1CCOC[C@H]1C1CC1 ZINC000447733204 1124517704 /nfs/dbraw/zinc/51/77/04/1124517704.db2.gz XBUDQAKAFDIVDT-INIZCTEOSA-N 1 2 297.801 3.523 20 0 CHADLO COc1ccc(C[N@@H+]2CCO[C@@H](C(C)(C)C)C2)cc1Cl ZINC000447831968 1124533048 /nfs/dbraw/zinc/53/30/48/1124533048.db2.gz ZXVFDJSYHPFKTQ-OAHLLOKOSA-N 1 2 297.826 3.596 20 0 CHADLO COc1ccc(C[N@H+]2CCO[C@@H](C(C)(C)C)C2)cc1Cl ZINC000447831968 1124533055 /nfs/dbraw/zinc/53/30/55/1124533055.db2.gz ZXVFDJSYHPFKTQ-OAHLLOKOSA-N 1 2 297.826 3.596 20 0 CHADLO c1sc2ccccc2c1-c1cc[nH+]c2c1CCN2 ZINC001238895013 1131268827 /nfs/dbraw/zinc/26/88/27/1131268827.db2.gz TUVIIAIKMDITIK-UHFFFAOYSA-N 1 2 252.342 3.931 20 0 CHADLO Cc1ccccc1-n1nccc1C[N@H+]1CCC[C@](C)(F)C1 ZINC001205960515 1124566073 /nfs/dbraw/zinc/56/60/73/1124566073.db2.gz SGBMEFROKZYTSR-KRWDZBQOSA-N 1 2 287.382 3.505 20 0 CHADLO Cc1ccccc1-n1nccc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC001205960515 1124566063 /nfs/dbraw/zinc/56/60/63/1124566063.db2.gz SGBMEFROKZYTSR-KRWDZBQOSA-N 1 2 287.382 3.505 20 0 CHADLO C[C@@H]1CCC[C@@]1(C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000448724848 1124609712 /nfs/dbraw/zinc/60/97/12/1124609712.db2.gz MNVOCMKSANHXSL-CXAGYDPISA-N 1 2 283.375 3.637 20 0 CHADLO CCOCc1cncc(-c2cccc(C(=[NH2+])OCC)c2)c1 ZINC001238929815 1131272825 /nfs/dbraw/zinc/27/28/25/1131272825.db2.gz NNRZSJQKPIPEKM-UHFFFAOYSA-N 1 2 284.359 3.647 20 0 CHADLO CCOCc1cncc(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001238937858 1131274066 /nfs/dbraw/zinc/27/40/66/1131274066.db2.gz BHCLTOOFZMABMY-UHFFFAOYSA-N 1 2 293.370 3.686 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccnn1C1CCCC1)c1nccs1 ZINC000449159810 1124661883 /nfs/dbraw/zinc/66/18/83/1124661883.db2.gz IWMSAKYYTNLYHK-CQSZACIVSA-N 1 2 290.436 3.696 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CCC[C@@H]1c1nc2ccccc2n1C ZINC000528422283 1124661950 /nfs/dbraw/zinc/66/19/50/1124661950.db2.gz JIHJKDVXVUYBPC-SAAWKEMMSA-N 1 2 289.810 3.853 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CCC[C@@H]1c1nc2ccccc2n1C ZINC000528422283 1124661951 /nfs/dbraw/zinc/66/19/51/1124661951.db2.gz JIHJKDVXVUYBPC-SAAWKEMMSA-N 1 2 289.810 3.853 20 0 CHADLO C[N@H+](CCc1ccc(F)cc1)C/C(Cl)=C/Cl ZINC000123921974 1124665810 /nfs/dbraw/zinc/66/58/10/1124665810.db2.gz PZQKZBCBXQPUAQ-FLIBITNWSA-N 1 2 262.155 3.619 20 0 CHADLO C[N@@H+](CCc1ccc(F)cc1)C/C(Cl)=C/Cl ZINC000123921974 1124665812 /nfs/dbraw/zinc/66/58/12/1124665812.db2.gz PZQKZBCBXQPUAQ-FLIBITNWSA-N 1 2 262.155 3.619 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2cc(O)ccc2F)c(F)c1 ZINC000872049707 1124666001 /nfs/dbraw/zinc/66/60/01/1124666001.db2.gz VIGLHUNFWZKYAU-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CCC[C@H]1c1nc2c(n1C)CCCC2 ZINC000528458610 1124669847 /nfs/dbraw/zinc/66/98/47/1124669847.db2.gz GMWDRMYLMHYLDO-RZXPCSSPSA-N 1 2 293.842 3.578 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CCC[C@H]1c1nc2c(n1C)CCCC2 ZINC000528458610 1124669848 /nfs/dbraw/zinc/66/98/48/1124669848.db2.gz GMWDRMYLMHYLDO-RZXPCSSPSA-N 1 2 293.842 3.578 20 0 CHADLO C/C(Cl)=C/C[NH2+][C@@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000528452661 1124671449 /nfs/dbraw/zinc/67/14/49/1124671449.db2.gz PLACVSCOYSQXCL-MBACFSSFSA-N 1 2 295.745 3.669 20 0 CHADLO C/C(Cl)=C\C[NH2+]C(C)(C)c1cc(C(F)(F)F)on1 ZINC000528512514 1124680040 /nfs/dbraw/zinc/68/00/40/1124680040.db2.gz LMHKEEYHGGPJIS-QPJJXVBHSA-N 1 2 282.693 3.661 20 0 CHADLO Fc1c(Cl)cccc1-c1ccc(Cn2cc[nH+]c2)cn1 ZINC001238955070 1131277106 /nfs/dbraw/zinc/27/71/06/1131277106.db2.gz JZKILSRCFFWVCQ-UHFFFAOYSA-N 1 2 287.725 3.786 20 0 CHADLO Clc1cccc2c1C[C@@H]([N@H+]1Cc3ncsc3C1)CC2 ZINC001170943946 1131277084 /nfs/dbraw/zinc/27/70/84/1131277084.db2.gz OHCSACGOWHLXQG-NSHDSACASA-N 1 2 290.819 3.670 20 0 CHADLO Clc1cccc2c1C[C@@H]([N@@H+]1Cc3ncsc3C1)CC2 ZINC001170943946 1131277087 /nfs/dbraw/zinc/27/70/87/1131277087.db2.gz OHCSACGOWHLXQG-NSHDSACASA-N 1 2 290.819 3.670 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1c[nH]c3c1cccc3F)C2 ZINC001137057593 1124698558 /nfs/dbraw/zinc/69/85/58/1124698558.db2.gz IWOGZZZMLJSDMS-UHFFFAOYSA-N 1 2 284.309 3.962 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1c[nH]c3c1cccc3F)C2 ZINC001137057593 1124698562 /nfs/dbraw/zinc/69/85/62/1124698562.db2.gz IWOGZZZMLJSDMS-UHFFFAOYSA-N 1 2 284.309 3.962 20 0 CHADLO c1cc2ccc(CNc3ccc(N4CCCC4)[nH+]c3)cc2[nH]1 ZINC000389638110 1124751672 /nfs/dbraw/zinc/75/16/72/1124751672.db2.gz WSAPQFXAFYNSRH-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO Cc1cc(Nc2ccc3nc(N)sc3c2)ccc1[NH+](C)C ZINC001159821052 1131289879 /nfs/dbraw/zinc/28/98/79/1131289879.db2.gz LVWGVDHORQULOV-UHFFFAOYSA-N 1 2 298.415 3.997 20 0 CHADLO Cc1cc(C[NH2+][C@H](Cc2ccccc2C)c2ccco2)on1 ZINC000820810012 1131302696 /nfs/dbraw/zinc/30/26/96/1131302696.db2.gz NAWQSNSHAFJULF-QGZVFWFLSA-N 1 2 296.370 3.958 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC001123025814 1131306688 /nfs/dbraw/zinc/30/66/88/1131306688.db2.gz UWTLCMPEFCCUTJ-QWHCGFSZSA-N 1 2 290.338 3.586 20 0 CHADLO CCc1ncc(C[NH2+]Cc2cccc(Cl)c2Cl)o1 ZINC000449608395 1124814925 /nfs/dbraw/zinc/81/49/25/1124814925.db2.gz HLNIMTYLKGIBTA-UHFFFAOYSA-N 1 2 285.174 3.834 20 0 CHADLO c1coc([C@H]2[N@H+](Cc3cnc4ccccn34)CC23CCC3)c1 ZINC000449628299 1124824338 /nfs/dbraw/zinc/82/43/38/1124824338.db2.gz BXQWFQPWSWCTPV-QGZVFWFLSA-N 1 2 293.370 3.655 20 0 CHADLO c1coc([C@H]2[N@@H+](Cc3cnc4ccccn34)CC23CCC3)c1 ZINC000449628299 1124824346 /nfs/dbraw/zinc/82/43/46/1124824346.db2.gz BXQWFQPWSWCTPV-QGZVFWFLSA-N 1 2 293.370 3.655 20 0 CHADLO COCc1ccc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)o1 ZINC000449638629 1124832431 /nfs/dbraw/zinc/83/24/31/1124832431.db2.gz LJXCDEIAHMHBAC-MRXNPFEDSA-N 1 2 287.359 3.746 20 0 CHADLO COCc1ccc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)o1 ZINC000449638629 1124832440 /nfs/dbraw/zinc/83/24/40/1124832440.db2.gz LJXCDEIAHMHBAC-MRXNPFEDSA-N 1 2 287.359 3.746 20 0 CHADLO CCCOc1cccc(C[N@@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC000639870010 1124841232 /nfs/dbraw/zinc/84/12/32/1124841232.db2.gz NYPHPUFIMBOGAP-QGZVFWFLSA-N 1 2 297.402 3.603 20 0 CHADLO CCCOc1cccc(C[N@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC000639870010 1124841243 /nfs/dbraw/zinc/84/12/43/1124841243.db2.gz NYPHPUFIMBOGAP-QGZVFWFLSA-N 1 2 297.402 3.603 20 0 CHADLO C[NH+](C)c1ccc(NCc2cnn(-c3ccccc3)c2)cc1 ZINC000125058127 1124842619 /nfs/dbraw/zinc/84/26/19/1124842619.db2.gz UAEMRXAMLJIAON-UHFFFAOYSA-N 1 2 292.386 3.550 20 0 CHADLO Cc1cc(F)ccc1[C@@H]1CCC[N@@H+]1Cc1cscn1 ZINC000449729840 1124849156 /nfs/dbraw/zinc/84/91/56/1124849156.db2.gz BTQXZZCPIPQTFR-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cc(F)ccc1[C@@H]1CCC[N@H+]1Cc1cscn1 ZINC000449729840 1124849162 /nfs/dbraw/zinc/84/91/62/1124849162.db2.gz BTQXZZCPIPQTFR-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO CCc1nocc1C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000449734159 1124853645 /nfs/dbraw/zinc/85/36/45/1124853645.db2.gz RFVMSCHBJXXOLR-MRXNPFEDSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1nocc1C[N@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000449734159 1124853652 /nfs/dbraw/zinc/85/36/52/1124853652.db2.gz RFVMSCHBJXXOLR-MRXNPFEDSA-N 1 2 292.329 3.852 20 0 CHADLO COc1cc(C)[nH+]cc1-c1cc(Cl)cnc1OC(C)C ZINC001239324219 1131317572 /nfs/dbraw/zinc/31/75/72/1131317572.db2.gz LDRPQWFTOTUJQI-UHFFFAOYSA-N 1 2 292.766 3.901 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)c(O)c(C)c1 ZINC001211905365 1124903749 /nfs/dbraw/zinc/90/37/49/1124903749.db2.gz BOSQMOXVKVFBJW-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO COc1cccc([C@H](C)[N@H+](C)Cc2csc(C)n2)c1 ZINC000120557884 1124950672 /nfs/dbraw/zinc/95/06/72/1124950672.db2.gz BGXBJEQJDUVTBT-NSHDSACASA-N 1 2 276.405 3.653 20 0 CHADLO COc1cccc([C@H](C)[N@@H+](C)Cc2csc(C)n2)c1 ZINC000120557884 1124950677 /nfs/dbraw/zinc/95/06/77/1124950677.db2.gz BGXBJEQJDUVTBT-NSHDSACASA-N 1 2 276.405 3.653 20 0 CHADLO C[N@H+](Cc1nnc(C2CC2)s1)[C@H]1CCc2ccccc21 ZINC000450255033 1124957499 /nfs/dbraw/zinc/95/74/99/1124957499.db2.gz ACZLMKIDLUZWPY-AWEZNQCLSA-N 1 2 285.416 3.535 20 0 CHADLO C[N@@H+](Cc1nnc(C2CC2)s1)[C@H]1CCc2ccccc21 ZINC000450255033 1124957506 /nfs/dbraw/zinc/95/75/06/1124957506.db2.gz ACZLMKIDLUZWPY-AWEZNQCLSA-N 1 2 285.416 3.535 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)C1CC(F)(F)C1 ZINC000529543250 1124987869 /nfs/dbraw/zinc/98/78/69/1124987869.db2.gz SOWMJUXPYWJZKA-GFCCVEGCSA-N 1 2 295.377 3.918 20 0 CHADLO CC(=O)c1ccc(C[N@@H+](C)Cc2cc(Cl)cn2C)cc1 ZINC000450487758 1125043798 /nfs/dbraw/zinc/04/37/98/1125043798.db2.gz VWWZDCCZENRPLU-UHFFFAOYSA-N 1 2 290.794 3.513 20 0 CHADLO CC(=O)c1ccc(C[N@H+](C)Cc2cc(Cl)cn2C)cc1 ZINC000450487758 1125043808 /nfs/dbraw/zinc/04/38/08/1125043808.db2.gz VWWZDCCZENRPLU-UHFFFAOYSA-N 1 2 290.794 3.513 20 0 CHADLO CCOc1cc(F)cc(C[NH+]2CC(CC(F)(F)F)C2)c1 ZINC000877580550 1125048608 /nfs/dbraw/zinc/04/86/08/1125048608.db2.gz MZVPVORHSGGOIT-UHFFFAOYSA-N 1 2 291.288 3.609 20 0 CHADLO CCOc1cccc(F)c1C[NH+]1CC(CC(F)(F)F)C1 ZINC000877580972 1125049091 /nfs/dbraw/zinc/04/90/91/1125049091.db2.gz UQEUVCHLEUACLQ-UHFFFAOYSA-N 1 2 291.288 3.609 20 0 CHADLO Cc1cc(C)c(C(=O)NCCC2=CCCCC2)c(C)[nH+]1 ZINC001124171869 1131327794 /nfs/dbraw/zinc/32/77/94/1131327794.db2.gz JJBSOMGZUHYCBM-UHFFFAOYSA-N 1 2 272.392 3.627 20 0 CHADLO CO[C@H](C)[C@@H](C)Nc1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450531865 1125052388 /nfs/dbraw/zinc/05/23/88/1125052388.db2.gz LLSFVVJCXXSDGB-NXEZZACHSA-N 1 2 298.308 3.511 20 0 CHADLO CC(C)(C)c1csc(C[NH+]2CC(Cc3ccco3)C2)n1 ZINC000877653843 1125058512 /nfs/dbraw/zinc/05/85/12/1125058512.db2.gz HJSFHLWFCYMEOR-UHFFFAOYSA-N 1 2 290.432 3.708 20 0 CHADLO CCSCC[C@@H](C)[N@H+](C)Cc1nnc(C(C)C)s1 ZINC000450574791 1125060435 /nfs/dbraw/zinc/06/04/35/1125060435.db2.gz BRZOXGSOKMWMJQ-LLVKDONJSA-N 1 2 287.498 3.625 20 0 CHADLO CCSCC[C@@H](C)[N@@H+](C)Cc1nnc(C(C)C)s1 ZINC000450574791 1125060444 /nfs/dbraw/zinc/06/04/44/1125060444.db2.gz BRZOXGSOKMWMJQ-LLVKDONJSA-N 1 2 287.498 3.625 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]Cc2cc(C3CC3)no2)o1 ZINC000877734808 1125069280 /nfs/dbraw/zinc/06/92/80/1125069280.db2.gz GYTCMYCMRLUEBR-UHFFFAOYSA-N 1 2 274.364 3.732 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CCc3c(F)cccc3C2)c1 ZINC000450629252 1125070881 /nfs/dbraw/zinc/07/08/81/1125070881.db2.gz XPKNBCOOSMGQEB-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CCc3c(F)cccc3C2)c1 ZINC000450629252 1125070889 /nfs/dbraw/zinc/07/08/89/1125070889.db2.gz XPKNBCOOSMGQEB-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1ccc(C[N@@H+]2CCc3c(F)cccc3C2)cc1 ZINC000450616360 1125073810 /nfs/dbraw/zinc/07/38/10/1125073810.db2.gz BAMZCVRAJVZMAP-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1ccc(C[N@H+]2CCc3c(F)cccc3C2)cc1 ZINC000450616360 1125073820 /nfs/dbraw/zinc/07/38/20/1125073820.db2.gz BAMZCVRAJVZMAP-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CO[C@@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C[C@@H]1C ZINC000450749952 1125098697 /nfs/dbraw/zinc/09/86/97/1125098697.db2.gz AOXJESNUVYTDNI-XHDPSFHLSA-N 1 2 290.794 3.749 20 0 CHADLO CO[C@@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C[C@H]1C ZINC000450749949 1125099647 /nfs/dbraw/zinc/09/96/47/1125099647.db2.gz AOXJESNUVYTDNI-IAQYHMDHSA-N 1 2 290.794 3.749 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2scnc2Cl)n1 ZINC000878248659 1125136085 /nfs/dbraw/zinc/13/60/85/1125136085.db2.gz CNTJNGGPFLICIX-UHFFFAOYSA-N 1 2 287.841 3.586 20 0 CHADLO CCc1ccc(CNc2ccc(-n3cc[nH+]c3)c(F)c2)o1 ZINC000121374370 1125109353 /nfs/dbraw/zinc/10/93/53/1125109353.db2.gz UQQQBGLNMQCJPZ-UHFFFAOYSA-N 1 2 285.322 3.779 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@H](C)c2ccc3ccccc3c2)o1 ZINC000053642961 1125111111 /nfs/dbraw/zinc/11/11/11/1125111111.db2.gz NSLONHMCZVXBHM-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@H](C)c2ccc3ccccc3c2)o1 ZINC000053642961 1125111114 /nfs/dbraw/zinc/11/11/14/1125111114.db2.gz NSLONHMCZVXBHM-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO CC(C)([NH2+]Cc1nc2c(o1)CCCC2)c1ccccc1 ZINC000878045350 1125115044 /nfs/dbraw/zinc/11/50/44/1125115044.db2.gz XNVTXUFNKLRXEH-UHFFFAOYSA-N 1 2 270.376 3.578 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1nc2c(o1)CCCC2 ZINC000878083322 1125117347 /nfs/dbraw/zinc/11/73/47/1125117347.db2.gz UDLNKAFIXVGILA-ZDUSSCGKSA-N 1 2 282.387 3.673 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC000878083322 1125117348 /nfs/dbraw/zinc/11/73/48/1125117348.db2.gz UDLNKAFIXVGILA-ZDUSSCGKSA-N 1 2 282.387 3.673 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1CC(F)F)c1ccccc1Cl ZINC000878203425 1125130614 /nfs/dbraw/zinc/13/06/14/1125130614.db2.gz OLHHGERPTFNZNI-JTQLQIEISA-N 1 2 299.752 3.652 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1CC(F)F)c1ccccc1Cl ZINC000878203426 1125131167 /nfs/dbraw/zinc/13/11/67/1125131167.db2.gz OLHHGERPTFNZNI-SNVBAGLBSA-N 1 2 299.752 3.652 20 0 CHADLO Cc1cc(NC(=O)c2cc3ccc(F)cc3nc2C)cc[nH+]1 ZINC000121538813 1125133097 /nfs/dbraw/zinc/13/30/97/1125133097.db2.gz FBGGOZDZVMKCBH-UHFFFAOYSA-N 1 2 295.317 3.638 20 0 CHADLO Cc1conc1C[NH2+]C1(c2ccc(Cl)cc2)CCC1 ZINC000878238016 1125134570 /nfs/dbraw/zinc/13/45/70/1125134570.db2.gz LPFHPWRVTBZKHW-UHFFFAOYSA-N 1 2 276.767 3.805 20 0 CHADLO Cc1conc1C[NH+]1CCC(=Cc2cccc(F)c2)CC1 ZINC000878275985 1125136971 /nfs/dbraw/zinc/13/69/71/1125136971.db2.gz XOQCOBRPLAXSJG-UHFFFAOYSA-N 1 2 286.350 3.802 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(C)c(Cl)n1)c1nccs1 ZINC000878409680 1125150281 /nfs/dbraw/zinc/15/02/81/1125150281.db2.gz NSAAXWHYOCFQCI-NSHDSACASA-N 1 2 281.812 3.741 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc3c(o2)CCCC3)s1 ZINC000878416221 1125152123 /nfs/dbraw/zinc/15/21/23/1125152123.db2.gz DULKGMRTZWLKAK-LLVKDONJSA-N 1 2 276.405 3.774 20 0 CHADLO Fc1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1 ZINC001239474873 1131335429 /nfs/dbraw/zinc/33/54/29/1131335429.db2.gz ZBTMUYBMHSSDTA-UHFFFAOYSA-N 1 2 252.292 3.738 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001137134227 1125162350 /nfs/dbraw/zinc/16/23/50/1125162350.db2.gz LFLSNKPBBNJKPY-HZPDHXFCSA-N 1 2 283.362 3.746 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001137134227 1125162357 /nfs/dbraw/zinc/16/23/57/1125162357.db2.gz LFLSNKPBBNJKPY-HZPDHXFCSA-N 1 2 283.362 3.746 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@H]2c2ccccn2)cs1 ZINC000530963319 1125190124 /nfs/dbraw/zinc/19/01/24/1125190124.db2.gz OOJVFYDDYOBKPG-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@H]2c2ccccn2)cs1 ZINC000530963319 1125190130 /nfs/dbraw/zinc/19/01/30/1125190130.db2.gz OOJVFYDDYOBKPG-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2CCCOc3ccc(F)cc32)s1 ZINC000122886468 1125208854 /nfs/dbraw/zinc/20/88/54/1125208854.db2.gz KXXINLZPSWFLMR-ZDUSSCGKSA-N 1 2 292.379 3.594 20 0 CHADLO COc1ccc(COc2ccccc2-n2cc[nH+]c2)cc1F ZINC000531350461 1125211650 /nfs/dbraw/zinc/21/16/50/1125211650.db2.gz WIRLPWZFCCQRAJ-UHFFFAOYSA-N 1 2 298.317 3.599 20 0 CHADLO C[C@@H]1CCN(c2cc[nH+]c3c(Br)cccc23)C1 ZINC000531441942 1125219802 /nfs/dbraw/zinc/21/98/02/1125219802.db2.gz ICEQWMUOWXMVQO-SNVBAGLBSA-N 1 2 291.192 3.844 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C[C@@H]1C[C@H]1C1CC1 ZINC000879840930 1125236464 /nfs/dbraw/zinc/23/64/64/1125236464.db2.gz GKCSQRAWKRWGCJ-GJZGRUSLSA-N 1 2 295.386 3.760 20 0 CHADLO Cc1csc([C@@H]2CC[N@H+](C/C(Cl)=C\Cl)C2)n1 ZINC000880009824 1125245503 /nfs/dbraw/zinc/24/55/03/1125245503.db2.gz PUGHOWMPYZMLFN-BZFUBLJMSA-N 1 2 277.220 3.560 20 0 CHADLO Cc1csc([C@@H]2CC[N@@H+](C/C(Cl)=C\Cl)C2)n1 ZINC000880009824 1125245506 /nfs/dbraw/zinc/24/55/06/1125245506.db2.gz PUGHOWMPYZMLFN-BZFUBLJMSA-N 1 2 277.220 3.560 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCC[C@@H]3c3ccccn3)oc2c1 ZINC000532326361 1125249192 /nfs/dbraw/zinc/24/91/92/1125249192.db2.gz MKFZPKSBMPVZEM-OAHLLOKOSA-N 1 2 297.333 3.699 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCC[C@@H]3c3ccccn3)oc2c1 ZINC000532326361 1125249196 /nfs/dbraw/zinc/24/91/96/1125249196.db2.gz MKFZPKSBMPVZEM-OAHLLOKOSA-N 1 2 297.333 3.699 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000880237493 1125258534 /nfs/dbraw/zinc/25/85/34/1125258534.db2.gz WZUDOKOVMCWHAD-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000880237493 1125258538 /nfs/dbraw/zinc/25/85/38/1125258538.db2.gz WZUDOKOVMCWHAD-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1scnc1Cl ZINC000880375929 1125270036 /nfs/dbraw/zinc/27/00/36/1125270036.db2.gz NYSOTXHERYMMBL-QMMMGPOBSA-N 1 2 284.837 3.977 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1scnc1Cl ZINC000880375929 1125270038 /nfs/dbraw/zinc/27/00/38/1125270038.db2.gz NYSOTXHERYMMBL-QMMMGPOBSA-N 1 2 284.837 3.977 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137884108 1125276664 /nfs/dbraw/zinc/27/66/64/1125276664.db2.gz IUKAZTHRCOAHLZ-JTQLQIEISA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137884108 1125276668 /nfs/dbraw/zinc/27/66/68/1125276668.db2.gz IUKAZTHRCOAHLZ-JTQLQIEISA-N 1 2 289.753 3.618 20 0 CHADLO C[C@@H]1OCC[C@H]1C[NH2+][C@H](c1cccc(Cl)c1)C(F)F ZINC000880535037 1125277651 /nfs/dbraw/zinc/27/76/51/1125277651.db2.gz XXUDMLBCQWXCGS-XHVZSJERSA-N 1 2 289.753 3.661 20 0 CHADLO FC(F)[C@H]([NH2+]C[C@@H]1CCCOC1)c1cccc(Cl)c1 ZINC000880537106 1125278907 /nfs/dbraw/zinc/27/89/07/1125278907.db2.gz KWKNGYQCJLEXLC-GXFFZTMASA-N 1 2 289.753 3.662 20 0 CHADLO CC(C)c1cc(NC[C@H](C)C(F)(F)F)nc(C(C)C)[nH+]1 ZINC000475739862 1125284007 /nfs/dbraw/zinc/28/40/07/1125284007.db2.gz PPDFRYIFCRMVGA-JTQLQIEISA-N 1 2 289.345 3.756 20 0 CHADLO CCc1cccc(Cl)c1C[N@H+](C)Cc1cccc(=O)[nH]1 ZINC000897392127 1125288962 /nfs/dbraw/zinc/28/89/62/1125288962.db2.gz NYNLXHIXRMNJIL-UHFFFAOYSA-N 1 2 290.794 3.635 20 0 CHADLO CCc1cccc(Cl)c1C[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC000897392127 1125288965 /nfs/dbraw/zinc/28/89/65/1125288965.db2.gz NYNLXHIXRMNJIL-UHFFFAOYSA-N 1 2 290.794 3.635 20 0 CHADLO C[NH+](C)c1ccc(N[C@H](c2ncc[nH]2)c2ccccc2)cc1 ZINC000129173066 1125328136 /nfs/dbraw/zinc/32/81/36/1125328136.db2.gz GDTSADVMPJWEEC-KRWDZBQOSA-N 1 2 292.386 3.677 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1ncccn1)C1CCCCC1 ZINC000191996056 1125331026 /nfs/dbraw/zinc/33/10/26/1125331026.db2.gz FJCUBJBAELXKEA-UHFFFAOYSA-N 1 2 299.393 3.951 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1ncccn1)C1CCCCC1 ZINC000191996056 1125331028 /nfs/dbraw/zinc/33/10/28/1125331028.db2.gz FJCUBJBAELXKEA-UHFFFAOYSA-N 1 2 299.393 3.951 20 0 CHADLO Cc1cc(Cl)ccc1CNc1cc[nH+]cc1F ZINC000192150023 1125331637 /nfs/dbraw/zinc/33/16/37/1125331637.db2.gz ABCQUIHEPOTHLR-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ncccn1)c1ccccc1Cl ZINC000192157575 1125331948 /nfs/dbraw/zinc/33/19/48/1125331948.db2.gz YSSXCIUIHMYKTO-OAHLLOKOSA-N 1 2 275.783 3.617 20 0 CHADLO CC(=O)c1c(Cl)cccc1NCCCCn1cc[nH+]c1 ZINC000882159832 1125338085 /nfs/dbraw/zinc/33/80/85/1125338085.db2.gz FXTIHRFVGHBREI-UHFFFAOYSA-N 1 2 291.782 3.631 20 0 CHADLO Cc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2ccco2)cn1 ZINC000639929229 1125339966 /nfs/dbraw/zinc/33/99/66/1125339966.db2.gz MIHUPYSMDVFKQU-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2ccco2)cn1 ZINC000639929229 1125339971 /nfs/dbraw/zinc/33/99/71/1125339971.db2.gz MIHUPYSMDVFKQU-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCO[C@H](C)C1 ZINC000220086027 1125359090 /nfs/dbraw/zinc/35/90/90/1125359090.db2.gz QFMHHIMBVIYQGD-OCCSQVGLSA-N 1 2 256.349 3.523 20 0 CHADLO CC[C@@H](C)CCC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882872208 1125359281 /nfs/dbraw/zinc/35/92/81/1125359281.db2.gz ZIPQSFXUCKSBKE-WBVHZDCISA-N 1 2 299.418 3.567 20 0 CHADLO CCCCCCC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882873874 1125360099 /nfs/dbraw/zinc/36/00/99/1125360099.db2.gz NMNMSZHWHASAOU-KRWDZBQOSA-N 1 2 299.418 3.711 20 0 CHADLO Fc1ccc(CNc2cccc(-n3cc[nH+]c3)c2)c(F)c1 ZINC000036939831 1125363245 /nfs/dbraw/zinc/36/32/45/1125363245.db2.gz UWPCOQLYUYYGMP-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO CC1CCC(C)(CNC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001626818398 1125372981 /nfs/dbraw/zinc/37/29/81/1125372981.db2.gz RVDKDKIKJMUQCA-UHFFFAOYSA-N 1 2 285.391 3.509 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CC2(CC3CC3)CC2)C2CCCCC2)n1 ZINC000883254049 1125385210 /nfs/dbraw/zinc/38/52/10/1125385210.db2.gz JBEGESICEUGCSZ-HNNXBMFYSA-N 1 2 288.439 3.596 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cc(C2CC2)ccc1OC ZINC000883267068 1125389565 /nfs/dbraw/zinc/38/95/65/1125389565.db2.gz ROIVVUIKWMDPKO-CYBMUJFWSA-N 1 2 299.418 3.640 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2ccc(Cl)cn2)c(Cl)n1 ZINC000883301949 1125392795 /nfs/dbraw/zinc/39/27/95/1125392795.db2.gz MRNZFAXAKFUVTA-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO COC(=O)[C@H](c1ccc(Cl)cc1)[N@@H+]1CC[C@H](C)[C@@H](C)C1 ZINC001168201132 1125392856 /nfs/dbraw/zinc/39/28/56/1125392856.db2.gz IRMLUBLJWJWYEQ-HUBLWGQQSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1ccc(Cl)cc1)[N@H+]1CC[C@H](C)[C@@H](C)C1 ZINC001168201132 1125392858 /nfs/dbraw/zinc/39/28/58/1125392858.db2.gz IRMLUBLJWJWYEQ-HUBLWGQQSA-N 1 2 295.810 3.532 20 0 CHADLO C[C@@H]([NH2+]C1(c2ncccn2)CCC1)c1ccc(Cl)cc1 ZINC000883306633 1125393100 /nfs/dbraw/zinc/39/31/00/1125393100.db2.gz ZXHKZCKNRMYTAT-GFCCVEGCSA-N 1 2 287.794 3.860 20 0 CHADLO Cc1ccccc1NC(=O)C[C@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC000883340856 1125397725 /nfs/dbraw/zinc/39/77/25/1125397725.db2.gz AQMZEHNTNLHUHO-AWEZNQCLSA-N 1 2 297.402 3.836 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc([S@](C)=O)cc2)c[nH+]c1C ZINC000883342072 1125398515 /nfs/dbraw/zinc/39/85/15/1125398515.db2.gz JPAGUPIZWQMSBN-XCLFUZPHSA-N 1 2 288.416 3.609 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc3c(c2)COC3)c[nH+]c1C ZINC000883342318 1125398740 /nfs/dbraw/zinc/39/87/40/1125398740.db2.gz SNEAVBITEIGIPU-ZDUSSCGKSA-N 1 2 268.360 3.902 20 0 CHADLO c1cn(-c2csc(-c3nc4cnccc4s3)c2)c[nH+]1 ZINC000342153695 1125400395 /nfs/dbraw/zinc/40/03/95/1125400395.db2.gz ZUPZFHHSXDQTDC-UHFFFAOYSA-N 1 2 284.369 3.606 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCc3c2cccc3F)cc1 ZINC000214573211 1125403416 /nfs/dbraw/zinc/40/34/16/1125403416.db2.gz FDOKWGCLVUMJKW-KRWDZBQOSA-N 1 2 270.351 3.991 20 0 CHADLO CCCn1nccc1CNc1[nH+]cccc1CSCC ZINC000883378043 1125405107 /nfs/dbraw/zinc/40/51/07/1125405107.db2.gz YGYZEPSETKTLGV-UHFFFAOYSA-N 1 2 290.436 3.553 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1occc1C ZINC000883378773 1125405523 /nfs/dbraw/zinc/40/55/23/1125405523.db2.gz YNVBDTMVOFOMCT-UHFFFAOYSA-N 1 2 262.378 3.848 20 0 CHADLO Cc1cc(C[NH2+][C@H]2c3ccccc3CC[C@@H]2F)sn1 ZINC000883381170 1125406098 /nfs/dbraw/zinc/40/60/98/1125406098.db2.gz ICFNVPQFXCBUJG-GJZGRUSLSA-N 1 2 276.380 3.567 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+]Cc1cc2cccnc2o1 ZINC000883380942 1125406162 /nfs/dbraw/zinc/40/61/62/1125406162.db2.gz DCJIQFRODVFYKU-IAGOWNOFSA-N 1 2 296.345 3.943 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2c3ccccc3CC[C@@H]2F)nc1 ZINC000883382309 1125406723 /nfs/dbraw/zinc/40/67/23/1125406723.db2.gz DOMNGODUBDZIMC-DLBZAZTESA-N 1 2 270.351 3.505 20 0 CHADLO CC(C)n1cc(C[NH2+][C@H]2c3ccccc3CC[C@@H]2F)cn1 ZINC000883382938 1125406973 /nfs/dbraw/zinc/40/69/73/1125406973.db2.gz QINOXGGKILEIDS-IRXDYDNUSA-N 1 2 287.382 3.579 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2c3ccccc3CC[C@@H]2F)nc1 ZINC000883382311 1125407021 /nfs/dbraw/zinc/40/70/21/1125407021.db2.gz DOMNGODUBDZIMC-IRXDYDNUSA-N 1 2 270.351 3.505 20 0 CHADLO Cc1ccc2c(Nc3cnc4c(c3)ncn4C)cccc2[nH+]1 ZINC001212795264 1125415039 /nfs/dbraw/zinc/41/50/39/1125415039.db2.gz XZUSAPCWYOOHPX-UHFFFAOYSA-N 1 2 289.342 3.569 20 0 CHADLO Cc1cnn2cc(Nc3cccc4[nH+]c(C)ccc34)cnc12 ZINC001212796210 1125419075 /nfs/dbraw/zinc/41/90/75/1125419075.db2.gz SZPFCLXGRBOAJO-UHFFFAOYSA-N 1 2 289.342 3.638 20 0 CHADLO Cc1cc(NC[C@H]2C[C@H](F)C2)[nH+]cc1C(F)(F)F ZINC001168233267 1125422638 /nfs/dbraw/zinc/42/26/38/1125422638.db2.gz HRUQNDJHQXHDBB-KYZUINATSA-N 1 2 262.250 3.569 20 0 CHADLO CC[C@@H](C)[C@@H]([NH2+]Cc1cccc2ccccc21)C(=O)OC ZINC000035043283 1125422890 /nfs/dbraw/zinc/42/28/90/1125422890.db2.gz RBTNINYAFHYYQY-CXAGYDPISA-N 1 2 285.387 3.517 20 0 CHADLO Cc1ccc2c(Nc3cc([C@H](C)O)ccn3)cccc2[nH+]1 ZINC001212797096 1125430494 /nfs/dbraw/zinc/43/04/94/1125430494.db2.gz XUMAEICDKKJBOL-LBPRGKRZSA-N 1 2 279.343 3.735 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH2+][C@@H](C)c2ccccc2)n1 ZINC000107070511 1125445902 /nfs/dbraw/zinc/44/59/02/1125445902.db2.gz UGDFAWYYNIJGAD-NWDGAFQWSA-N 1 2 259.353 3.605 20 0 CHADLO CCCCc1noc([C@H](C)[NH2+][C@@H](C)c2ccccc2)n1 ZINC000107070301 1125445827 /nfs/dbraw/zinc/44/58/27/1125445827.db2.gz SDBPSHOLNDFHIB-STQMWFEESA-N 1 2 273.380 3.824 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH2+][C@H](C)c2ccccc2)n1 ZINC000107071305 1125445855 /nfs/dbraw/zinc/44/58/55/1125445855.db2.gz UGDFAWYYNIJGAD-VXGBXAGGSA-N 1 2 259.353 3.605 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ncc(C(C)(C)C)o1)CC2 ZINC000429560550 1125446544 /nfs/dbraw/zinc/44/65/44/1125446544.db2.gz NXXQUPCKUUFILH-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ncc(C(C)(C)C)o1)CC2 ZINC000429560550 1125446546 /nfs/dbraw/zinc/44/65/46/1125446546.db2.gz NXXQUPCKUUFILH-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO CCCC[N@H+](Cc1cn(CC)nn1)[C@H](C)c1ccc(C)o1 ZINC000883999093 1125455016 /nfs/dbraw/zinc/45/50/16/1125455016.db2.gz BYYSVEFWDGHYDH-CQSZACIVSA-N 1 2 290.411 3.563 20 0 CHADLO CCCC[N@@H+](Cc1cn(CC)nn1)[C@H](C)c1ccc(C)o1 ZINC000883999093 1125455019 /nfs/dbraw/zinc/45/50/19/1125455019.db2.gz BYYSVEFWDGHYDH-CQSZACIVSA-N 1 2 290.411 3.563 20 0 CHADLO Nc1cn2ccc(-c3cccc(C(F)(F)F)c3)cc2[nH+]1 ZINC001239514754 1131356667 /nfs/dbraw/zinc/35/66/67/1131356667.db2.gz DRNKCVCRNYHXTM-UHFFFAOYSA-N 1 2 277.249 3.602 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc([NH+](C)C)cc2C)C12CCC2 ZINC000087709521 1129191202 /nfs/dbraw/zinc/19/12/02/1129191202.db2.gz FQPZTPUTOUMRLL-IAGOWNOFSA-N 1 2 288.435 3.821 20 0 CHADLO CC(C)c1cc(NCc2cccnc2F)nc(C(C)C)[nH+]1 ZINC000795230708 1129193558 /nfs/dbraw/zinc/19/35/58/1129193558.db2.gz SKZURLSTMMLXQM-UHFFFAOYSA-N 1 2 288.370 3.870 20 0 CHADLO [NH3+][C@@H](c1nc(C2CCCCCC2)no1)c1ccccc1 ZINC000087945616 1129196964 /nfs/dbraw/zinc/19/69/64/1129196964.db2.gz GHDQEDRBKNQVGR-CQSZACIVSA-N 1 2 271.364 3.556 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(Br)cc2F)c1 ZINC001212868322 1129204657 /nfs/dbraw/zinc/20/46/57/1129204657.db2.gz NHJBCPYIMQXJGX-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO CCO[C@@H]1C[C@@H]([N@H+](C)C/C(Cl)=C\Cl)C12CCC2 ZINC000763704863 1129213585 /nfs/dbraw/zinc/21/35/85/1129213585.db2.gz DDANJWAMTKXYNX-BXAYLQTHSA-N 1 2 278.223 3.585 20 0 CHADLO CCO[C@@H]1C[C@@H]([N@@H+](C)C/C(Cl)=C\Cl)C12CCC2 ZINC000763704863 1129213587 /nfs/dbraw/zinc/21/35/87/1129213587.db2.gz DDANJWAMTKXYNX-BXAYLQTHSA-N 1 2 278.223 3.585 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccns2)ccc1SC ZINC000637987019 1129239372 /nfs/dbraw/zinc/23/93/72/1129239372.db2.gz MXLKEXDWXLTQKR-UHFFFAOYSA-N 1 2 294.445 3.506 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccns2)ccc1SC ZINC000637987019 1129239374 /nfs/dbraw/zinc/23/93/74/1129239374.db2.gz MXLKEXDWXLTQKR-UHFFFAOYSA-N 1 2 294.445 3.506 20 0 CHADLO Clc1cscc1C[NH2+]CCc1ccc2cn[nH]c2c1 ZINC000671193035 1129251613 /nfs/dbraw/zinc/25/16/13/1129251613.db2.gz OKDKLFSBCRNDOH-UHFFFAOYSA-N 1 2 291.807 3.610 20 0 CHADLO C[C@@H]1CCCC[C@@H]1[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000638298294 1129252350 /nfs/dbraw/zinc/25/23/50/1129252350.db2.gz AFYAWLULKPPLQF-VHDGCEQUSA-N 1 2 276.428 3.594 20 0 CHADLO COc1cccc(-c2ccc(-n3cc[nH+]c3)cc2OC)c1 ZINC001239522649 1131361906 /nfs/dbraw/zinc/36/19/06/1131361906.db2.gz LLRZFMFYAVWNID-UHFFFAOYSA-N 1 2 280.327 3.557 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(OC(C)C)c(Cl)c1 ZINC000090171397 1129272633 /nfs/dbraw/zinc/27/26/33/1129272633.db2.gz SONKSEKZYPJCQT-UHFFFAOYSA-N 1 2 293.798 3.956 20 0 CHADLO CO[C@H]1CCC[C@H]([NH2+]c2ccc(N(C)C)cc2)CC1 ZINC000718859181 1129289197 /nfs/dbraw/zinc/28/91/97/1129289197.db2.gz LIWSZQXIRZOXGO-BBRMVZONSA-N 1 2 262.397 3.512 20 0 CHADLO CO[C@H]1CCC[C@H](Nc2ccc([NH+](C)C)cc2)CC1 ZINC000718859181 1129289200 /nfs/dbraw/zinc/28/92/00/1129289200.db2.gz LIWSZQXIRZOXGO-BBRMVZONSA-N 1 2 262.397 3.512 20 0 CHADLO c1n[nH]c([C@@H]([NH2+][C@@H](C2CC2)C2CCC2)C2CCCCC2)n1 ZINC000639246752 1129297404 /nfs/dbraw/zinc/29/74/04/1129297404.db2.gz KNASJEMHAPPBRF-CVEARBPZSA-N 1 2 288.439 3.594 20 0 CHADLO CC([NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)(C1CC1)C1CC1 ZINC000639329315 1129303554 /nfs/dbraw/zinc/30/35/54/1129303554.db2.gz XOOODQSCEIMBHZ-OAHLLOKOSA-N 1 2 288.439 3.594 20 0 CHADLO CCCCC[C@H](Nc1[nH+]cnc2c1cnn2C)C(C)(C)C ZINC000734484983 1129309554 /nfs/dbraw/zinc/30/95/54/1129309554.db2.gz OZUJMHOMPXFCAU-ZDUSSCGKSA-N 1 2 289.427 3.770 20 0 CHADLO O=C(C[N@@H+]1CCC[C@H]1c1ccsc1)c1ccccc1F ZINC000639451902 1129316354 /nfs/dbraw/zinc/31/63/54/1129316354.db2.gz WANKIWHCJMFWJE-HNNXBMFYSA-N 1 2 289.375 3.907 20 0 CHADLO O=C(C[N@H+]1CCC[C@H]1c1ccsc1)c1ccccc1F ZINC000639451902 1129316359 /nfs/dbraw/zinc/31/63/59/1129316359.db2.gz WANKIWHCJMFWJE-HNNXBMFYSA-N 1 2 289.375 3.907 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCOC[C@@H]2c2ccccc2)c(C)n1 ZINC000639504970 1129320472 /nfs/dbraw/zinc/32/04/72/1129320472.db2.gz VVTLFYKOEJONRR-LJQANCHMSA-N 1 2 296.414 3.580 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCOC[C@@H]2c2ccccc2)c(C)n1 ZINC000639504970 1129320476 /nfs/dbraw/zinc/32/04/76/1129320476.db2.gz VVTLFYKOEJONRR-LJQANCHMSA-N 1 2 296.414 3.580 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CCC2)no1)c1ccc(Cl)cc1 ZINC000639520765 1129321289 /nfs/dbraw/zinc/32/12/89/1129321289.db2.gz ZMPXQONPYSZWHU-SNVBAGLBSA-N 1 2 291.782 3.841 20 0 CHADLO Cc1cccc([C@H]([NH2+]Cc2nc(CC3CC3)no2)C(C)C)c1 ZINC000639893947 1129338638 /nfs/dbraw/zinc/33/86/38/1129338638.db2.gz KTVKZPLQPDRPKJ-GOSISDBHSA-N 1 2 299.418 3.817 20 0 CHADLO Cc1cc(C[NH2+]Cc2occc2Br)ccc1F ZINC000735320652 1129339098 /nfs/dbraw/zinc/33/90/98/1129339098.db2.gz DGCCKQQXJZYRNI-UHFFFAOYSA-N 1 2 298.155 3.779 20 0 CHADLO CCc1cnc(C[NH2+][C@](C)(CC)c2ccc(F)cc2)o1 ZINC000639928076 1129340430 /nfs/dbraw/zinc/34/04/30/1129340430.db2.gz IRIJXNHNXKKCSC-MRXNPFEDSA-N 1 2 276.355 3.791 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2c(s1)CCC2)c1ccccc1F ZINC000719640988 1129349553 /nfs/dbraw/zinc/34/95/53/1129349553.db2.gz QFNBVMYBYYHSRC-SNVBAGLBSA-N 1 2 276.380 3.622 20 0 CHADLO CCc1cccc(Nc2ccc(CO)c(Cl)c2)[nH+]1 ZINC001212890337 1129372497 /nfs/dbraw/zinc/37/24/97/1129372497.db2.gz WTXFPRMAYPXXDT-UHFFFAOYSA-N 1 2 262.740 3.533 20 0 CHADLO Cc1ccc(Nc2c(Cl)ccc(O)c2F)c(C)[nH+]1 ZINC001214554248 1129376366 /nfs/dbraw/zinc/37/63/66/1129376366.db2.gz NUPMENWZBZNJPT-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO CC(C)[C@@H](Nc1cc(CO)cc[nH+]1)c1ccccc1F ZINC000641658376 1129385821 /nfs/dbraw/zinc/38/58/21/1129385821.db2.gz GLQUSJWPAYZMSR-MRXNPFEDSA-N 1 2 274.339 3.522 20 0 CHADLO CSc1ccc(C[NH2+]Cc2nc(C3CC3)cs2)o1 ZINC000641739067 1129389645 /nfs/dbraw/zinc/38/96/45/1129389645.db2.gz HHRZXRKXEKBIOP-UHFFFAOYSA-N 1 2 280.418 3.625 20 0 CHADLO C[C@H]([NH2+]CCc1ccc2ccccc2c1)C(=O)OC(C)(C)C ZINC000738415516 1129392965 /nfs/dbraw/zinc/39/29/65/1129392965.db2.gz FQYGLDRMZNFOIQ-AWEZNQCLSA-N 1 2 299.414 3.702 20 0 CHADLO CC[C@@H](C)[C@@H]1CCCC[N@@H+]1CC(F)(F)C(F)F ZINC000738315755 1129393103 /nfs/dbraw/zinc/39/31/03/1129393103.db2.gz ZWORZIOWUJFVTQ-ZJUUUORDSA-N 1 2 255.299 3.787 20 0 CHADLO CC[C@@H](C)[C@@H]1CCCC[N@H+]1CC(F)(F)C(F)F ZINC000738315755 1129393107 /nfs/dbraw/zinc/39/31/07/1129393107.db2.gz ZWORZIOWUJFVTQ-ZJUUUORDSA-N 1 2 255.299 3.787 20 0 CHADLO CC[C@@H](C)[C@H]1CCCC[N@@H+]1CC(F)(F)C(F)F ZINC000738315752 1129393420 /nfs/dbraw/zinc/39/34/20/1129393420.db2.gz ZWORZIOWUJFVTQ-NXEZZACHSA-N 1 2 255.299 3.787 20 0 CHADLO CC[C@@H](C)[C@H]1CCCC[N@H+]1CC(F)(F)C(F)F ZINC000738315752 1129393425 /nfs/dbraw/zinc/39/34/25/1129393425.db2.gz ZWORZIOWUJFVTQ-NXEZZACHSA-N 1 2 255.299 3.787 20 0 CHADLO C[C@H]([NH2+]CC(C)(C)Cc1ccccc1)C(=O)OC(C)(C)C ZINC000738425730 1129393578 /nfs/dbraw/zinc/39/35/78/1129393578.db2.gz QTXSUEBCWOSRKZ-AWEZNQCLSA-N 1 2 291.435 3.575 20 0 CHADLO CCn1c2ccccc2nc1[C@H]1CCC[N@@H+]1Cc1ccoc1 ZINC000092755860 1129406691 /nfs/dbraw/zinc/40/66/91/1129406691.db2.gz FFHCVBYHGCSWKC-QGZVFWFLSA-N 1 2 295.386 3.986 20 0 CHADLO CCn1c2ccccc2nc1[C@H]1CCC[N@H+]1Cc1ccoc1 ZINC000092755860 1129406693 /nfs/dbraw/zinc/40/66/93/1129406693.db2.gz FFHCVBYHGCSWKC-QGZVFWFLSA-N 1 2 295.386 3.986 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](c3ccccc3F)C2)c(C)o1 ZINC000092975291 1129414015 /nfs/dbraw/zinc/41/40/15/1129414015.db2.gz NWSVXGSXCZIRRR-KRWDZBQOSA-N 1 2 289.350 3.609 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](c3ccccc3F)C2)c(C)o1 ZINC000092975291 1129414017 /nfs/dbraw/zinc/41/40/17/1129414017.db2.gz NWSVXGSXCZIRRR-KRWDZBQOSA-N 1 2 289.350 3.609 20 0 CHADLO c1cn(-c2cccc(-c3noc(CCCC4CC4)n3)c2)c[nH+]1 ZINC000643358506 1129440971 /nfs/dbraw/zinc/44/09/71/1129440971.db2.gz FXTJPDCTLKXUQA-UHFFFAOYSA-N 1 2 294.358 3.655 20 0 CHADLO C[C@H](Cc1cccs1)[NH2+]c1ccc2c(c1)CCN2 ZINC000643503297 1129442790 /nfs/dbraw/zinc/44/27/90/1129442790.db2.gz ZVJALBSKBKHIJM-LLVKDONJSA-N 1 2 258.390 3.759 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]CCOc1cccc2ccccc21 ZINC000180292030 1129467689 /nfs/dbraw/zinc/46/76/89/1129467689.db2.gz GTCGNSLWTNCKJE-CYBMUJFWSA-N 1 2 295.386 3.601 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CCC2CCCC2)C2CCCCC2)n1 ZINC000644532198 1129468998 /nfs/dbraw/zinc/46/89/98/1129468998.db2.gz YQERAJXELZKAME-OAHLLOKOSA-N 1 2 276.428 3.596 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1ncc(CC)o1 ZINC000742348841 1129472549 /nfs/dbraw/zinc/47/25/49/1129472549.db2.gz NZOXGQUJMDIZPI-UHFFFAOYSA-N 1 2 298.390 3.847 20 0 CHADLO CN(C)c1cc(-c2ccc(Cl)c(F)c2)cc[nH+]1 ZINC001241231252 1129493396 /nfs/dbraw/zinc/49/33/96/1129493396.db2.gz ACKPJHRORLCKJY-UHFFFAOYSA-N 1 2 250.704 3.607 20 0 CHADLO Cc1cc(-c2cc[nH+]c(N3CCCC3)c2)ccc1F ZINC001241279472 1129506680 /nfs/dbraw/zinc/50/66/80/1129506680.db2.gz MHOHSFSZLFMDFI-UHFFFAOYSA-N 1 2 256.324 3.796 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nccn2C(C)C)CC2CC2)cn1 ZINC000646019670 1129517133 /nfs/dbraw/zinc/51/71/33/1129517133.db2.gz SXDDCOQJDSTUCY-UHFFFAOYSA-N 1 2 298.434 3.580 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nccn2C(C)C)CC2CC2)cn1 ZINC000646019670 1129517136 /nfs/dbraw/zinc/51/71/36/1129517136.db2.gz SXDDCOQJDSTUCY-UHFFFAOYSA-N 1 2 298.434 3.580 20 0 CHADLO C[N@H+](CCC(C)(C)C)Cc1noc(Cc2ccccc2)n1 ZINC000646027782 1129518024 /nfs/dbraw/zinc/51/80/24/1129518024.db2.gz BFWGVXJKLLAJNA-UHFFFAOYSA-N 1 2 287.407 3.528 20 0 CHADLO C[N@@H+](CCC(C)(C)C)Cc1noc(Cc2ccccc2)n1 ZINC000646027782 1129518027 /nfs/dbraw/zinc/51/80/27/1129518027.db2.gz BFWGVXJKLLAJNA-UHFFFAOYSA-N 1 2 287.407 3.528 20 0 CHADLO CC(C)[C@@H]1C[N@H+](Cn2ccn(C(C)C)c2=S)CCS1 ZINC000743396286 1129521702 /nfs/dbraw/zinc/52/17/02/1129521702.db2.gz PRLJRRLEUFSCRL-ZDUSSCGKSA-N 1 2 299.509 3.631 20 0 CHADLO CC(C)[C@@H]1C[N@@H+](Cn2ccn(C(C)C)c2=S)CCS1 ZINC000743396286 1129521706 /nfs/dbraw/zinc/52/17/06/1129521706.db2.gz PRLJRRLEUFSCRL-ZDUSSCGKSA-N 1 2 299.509 3.631 20 0 CHADLO COc1ccc(F)c(C[N@@H+]2CC[C@H]2c2cccc(F)c2)c1 ZINC000646094965 1129521867 /nfs/dbraw/zinc/52/18/67/1129521867.db2.gz YLIKLBSMBLJEHO-KRWDZBQOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(F)c(C[N@H+]2CC[C@H]2c2cccc(F)c2)c1 ZINC000646094965 1129521870 /nfs/dbraw/zinc/52/18/70/1129521870.db2.gz YLIKLBSMBLJEHO-KRWDZBQOSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H]1CC(C)(C)c2ccccc21 ZINC000180969877 1129527070 /nfs/dbraw/zinc/52/70/70/1129527070.db2.gz SYIYDESPUMJODK-BDJLRTHQSA-N 1 2 269.392 3.791 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2cccc(F)c2)C2CCCC2)o1 ZINC000181014320 1129530936 /nfs/dbraw/zinc/53/09/36/1129530936.db2.gz XUBSBDLYSJORBS-INIZCTEOSA-N 1 2 289.354 3.538 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCCC[C@H]1C1CCC1 ZINC000646391983 1129534345 /nfs/dbraw/zinc/53/43/45/1129534345.db2.gz SGANXUDTVNYZRG-HNNXBMFYSA-N 1 2 298.390 3.521 20 0 CHADLO Cc1cc(C)c(-c2ccn3cc(N)[nH+]c3c2)c(C)c1 ZINC001239551112 1131380864 /nfs/dbraw/zinc/38/08/64/1131380864.db2.gz UTZGTLNSIMFYMG-UHFFFAOYSA-N 1 2 251.333 3.509 20 0 CHADLO CCCCOC(=O)C[N@@H+]1CC[C@H](C)C[C@@H]1c1ccc(C)o1 ZINC000743871382 1129542103 /nfs/dbraw/zinc/54/21/03/1129542103.db2.gz DYUNDGFQVWVQDA-DZGCQCFKSA-N 1 2 293.407 3.704 20 0 CHADLO CCCCOC(=O)C[N@H+]1CC[C@H](C)C[C@@H]1c1ccc(C)o1 ZINC000743871382 1129542105 /nfs/dbraw/zinc/54/21/05/1129542105.db2.gz DYUNDGFQVWVQDA-DZGCQCFKSA-N 1 2 293.407 3.704 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1cc2n(n1)CCCC2)c1ccccn1 ZINC000647287749 1129564053 /nfs/dbraw/zinc/56/40/53/1129564053.db2.gz RBFXGJJQOQYNPY-YOEHRIQHSA-N 1 2 298.434 3.806 20 0 CHADLO Cc1ccc2c(c1)O[C@@H](C)CN2Cc1c[nH+]cn1C(C)C ZINC000822469807 1131382557 /nfs/dbraw/zinc/38/25/57/1131382557.db2.gz CPFPHKPZSITSPJ-AWEZNQCLSA-N 1 2 285.391 3.560 20 0 CHADLO FC1(F)CCC(Nc2ccc(N3CCCC3)[nH+]c2)CC1 ZINC000647297262 1129565391 /nfs/dbraw/zinc/56/53/91/1129565391.db2.gz UMXKRZJALZPNSW-UHFFFAOYSA-N 1 2 281.350 3.672 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@]1(F)c1ccccc1)c1cc2n(n1)CCCC2 ZINC000647309684 1129567140 /nfs/dbraw/zinc/56/71/40/1129567140.db2.gz FQMXVZUUHOOGHM-MORSLUCNSA-N 1 2 299.393 3.507 20 0 CHADLO CCCOc1cccc(-c2ccn3cc[nH+]c3c2)c1 ZINC001241589725 1129588320 /nfs/dbraw/zinc/58/83/20/1129588320.db2.gz CQVKKXCHVNTENK-UHFFFAOYSA-N 1 2 252.317 3.790 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cc(C)c(C)cc1C ZINC001241635658 1129594008 /nfs/dbraw/zinc/59/40/08/1129594008.db2.gz UVBQOPQFMNRVHY-UHFFFAOYSA-N 1 2 266.344 3.935 20 0 CHADLO CSc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)cn1 ZINC001241635829 1129594080 /nfs/dbraw/zinc/59/40/80/1129594080.db2.gz IPEYDTBKPNBENX-UHFFFAOYSA-N 1 2 281.384 3.871 20 0 CHADLO CC1(CC(F)F)C[NH+](C/C=C\c2ccc(F)cc2F)C1 ZINC000648058775 1129594056 /nfs/dbraw/zinc/59/40/56/1129594056.db2.gz BZYIDFAHDJHLFH-IHWYPQMZSA-N 1 2 287.300 3.955 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2ccc(Cl)s2)C1 ZINC000648058375 1129595161 /nfs/dbraw/zinc/59/51/61/1129595161.db2.gz HAICZIUWRVUNAQ-UHFFFAOYSA-N 1 2 265.756 3.879 20 0 CHADLO Cc1cscc1-c1ccc(C[NH+]2CCOCC2)cc1F ZINC001241732573 1129614029 /nfs/dbraw/zinc/61/40/29/1129614029.db2.gz LPMHBFRNGASDHY-UHFFFAOYSA-N 1 2 291.391 3.695 20 0 CHADLO Cc1cc(N[C@H]2CCCc3c(O)cccc32)nc(C2CC2)[nH+]1 ZINC000189573342 1129617895 /nfs/dbraw/zinc/61/78/95/1129617895.db2.gz YSDKWLATOXDZHW-HNNXBMFYSA-N 1 2 295.386 3.858 20 0 CHADLO CCC[N@H+](CC)Cc1c(F)c(F)c(F)c(F)c1F ZINC000746107246 1129626237 /nfs/dbraw/zinc/62/62/37/1129626237.db2.gz BJTUDJNYPUFRKW-UHFFFAOYSA-N 1 2 267.241 3.614 20 0 CHADLO CCC[N@@H+](CC)Cc1c(F)c(F)c(F)c(F)c1F ZINC000746107246 1129626238 /nfs/dbraw/zinc/62/62/38/1129626238.db2.gz BJTUDJNYPUFRKW-UHFFFAOYSA-N 1 2 267.241 3.614 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1ncc(Cl)n1C)C1CC1 ZINC000746115039 1129626325 /nfs/dbraw/zinc/62/63/25/1129626325.db2.gz GTHBHRQFBXNZTF-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1ncc(Cl)n1C)C1CC1 ZINC000746115039 1129626328 /nfs/dbraw/zinc/62/63/28/1129626328.db2.gz GTHBHRQFBXNZTF-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO CCOc1ccc(Cl)c(Nc2[nH+]cc(C)cc2N)c1 ZINC001212575803 1129627142 /nfs/dbraw/zinc/62/71/42/1129627142.db2.gz XWJLJTRTTIUOEH-UHFFFAOYSA-N 1 2 277.755 3.768 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2noc3cccnc32)cc1 ZINC001212968151 1129637031 /nfs/dbraw/zinc/63/70/31/1129637031.db2.gz MIJBILKDJGHKHD-UHFFFAOYSA-N 1 2 282.347 3.813 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2ncc(F)s2)cc1 ZINC001212968338 1129637526 /nfs/dbraw/zinc/63/75/26/1129637526.db2.gz XANATHBVVLBMGV-UHFFFAOYSA-N 1 2 265.357 3.872 20 0 CHADLO c1ccc([C@H]2CCCN2c2cccc(C3CC3)[nH+]2)nc1 ZINC001156033397 1129639812 /nfs/dbraw/zinc/63/98/12/1129639812.db2.gz NEOXTWYNAOQXPZ-MRXNPFEDSA-N 1 2 265.360 3.696 20 0 CHADLO C[C@@H](c1csnn1)[NH+]1CCC(C2CCCC2)CC1 ZINC000649325672 1129640722 /nfs/dbraw/zinc/64/07/22/1129640722.db2.gz TZESRZLTDQQNQE-NSHDSACASA-N 1 2 265.426 3.501 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)c1 ZINC000649338708 1129641128 /nfs/dbraw/zinc/64/11/28/1129641128.db2.gz IDRGVOYRKPTGGU-GOSISDBHSA-N 1 2 283.346 3.975 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)c1 ZINC000649338708 1129641130 /nfs/dbraw/zinc/64/11/30/1129641130.db2.gz IDRGVOYRKPTGGU-GOSISDBHSA-N 1 2 283.346 3.975 20 0 CHADLO CCCC[N@H+](CC)Cn1nc(OC(C)C)sc1=S ZINC000098051372 1129642494 /nfs/dbraw/zinc/64/24/94/1129642494.db2.gz AUPNXNVLMNNACW-UHFFFAOYSA-N 1 2 289.470 3.541 20 0 CHADLO CCCC[N@@H+](CC)Cn1nc(OC(C)C)sc1=S ZINC000098051372 1129642497 /nfs/dbraw/zinc/64/24/97/1129642497.db2.gz AUPNXNVLMNNACW-UHFFFAOYSA-N 1 2 289.470 3.541 20 0 CHADLO Cc1cc(C(C)(C)[NH2+]CC(F)F)ccc1Br ZINC000381542453 1129644803 /nfs/dbraw/zinc/64/48/03/1129644803.db2.gz IPFCHCSEIPVGQI-UHFFFAOYSA-N 1 2 292.167 3.847 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCc3ccccc3C2)c(C)[nH+]1 ZINC000649566363 1129658506 /nfs/dbraw/zinc/65/85/06/1129658506.db2.gz JINQOIYDCUKHLB-QGZVFWFLSA-N 1 2 294.398 3.750 20 0 CHADLO CN(C)c1cc[nH+]c(-c2cc(C(F)(F)F)ccc2O)c1 ZINC001242131388 1129659759 /nfs/dbraw/zinc/65/97/59/1129659759.db2.gz JBCNQSVCUXOGHW-UHFFFAOYSA-N 1 2 282.265 3.539 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C(C)C)o1)c1ccc(C)c(F)c1 ZINC001168792962 1129666420 /nfs/dbraw/zinc/66/64/20/1129666420.db2.gz JULMCKDAYVSAHA-AWEZNQCLSA-N 1 2 291.370 3.881 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCCCCc1ccccn1 ZINC001168804341 1129673379 /nfs/dbraw/zinc/67/33/79/1129673379.db2.gz VINMLIUGBHUXSV-UHFFFAOYSA-N 1 2 285.391 3.699 20 0 CHADLO Cc1cc(NCc2cc(C(C)C)no2)cc(OC(F)F)[nH+]1 ZINC001168826854 1129674595 /nfs/dbraw/zinc/67/45/95/1129674595.db2.gz ABEMMFYVNUSQID-UHFFFAOYSA-N 1 2 297.305 3.715 20 0 CHADLO COCCn1c2ccccc2[nH+]c1NCc1cc(C)oc1C ZINC000766521175 1129681205 /nfs/dbraw/zinc/68/12/05/1129681205.db2.gz PFTCBEIVIVMIQA-UHFFFAOYSA-N 1 2 299.374 3.505 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)o1 ZINC000650193124 1129699344 /nfs/dbraw/zinc/69/93/44/1129699344.db2.gz XMCKQDLDSIXDJJ-OAHLLOKOSA-N 1 2 272.348 3.612 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)o1 ZINC000650193124 1129699347 /nfs/dbraw/zinc/69/93/47/1129699347.db2.gz XMCKQDLDSIXDJJ-OAHLLOKOSA-N 1 2 272.348 3.612 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2csc(Cl)n2)CC12CCC2 ZINC000828610539 1131392597 /nfs/dbraw/zinc/39/25/97/1131392597.db2.gz KONPTVBCZVVYQS-SNVBAGLBSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2csc(Cl)n2)CC12CCC2 ZINC000828610539 1131392600 /nfs/dbraw/zinc/39/26/00/1131392600.db2.gz KONPTVBCZVVYQS-SNVBAGLBSA-N 1 2 270.829 3.809 20 0 CHADLO Cc1ccc(CCCNc2cc(C)c3[nH]ccc3[nH+]2)cn1 ZINC001169259231 1129713788 /nfs/dbraw/zinc/71/37/88/1129713788.db2.gz DZBYCVVUQLGDDB-UHFFFAOYSA-N 1 2 280.375 3.619 20 0 CHADLO COc1ccc(N[C@H](C2CCC2)C(F)(F)F)[nH+]c1C ZINC001169288637 1129716486 /nfs/dbraw/zinc/71/64/86/1129716486.db2.gz YHLUUHXDMMZYCJ-GFCCVEGCSA-N 1 2 274.286 3.542 20 0 CHADLO CCN(C)c1cc(N[C@H]2C[C@H](C)c3ccccc32)[nH+]cn1 ZINC001169367073 1129720782 /nfs/dbraw/zinc/72/07/82/1129720782.db2.gz RJPFDZDQLVDYRX-WFASDCNBSA-N 1 2 282.391 3.593 20 0 CHADLO CCN(C)c1cc(N[C@H]2C[C@H](C)c3ccccc32)nc[nH+]1 ZINC001169367073 1129720784 /nfs/dbraw/zinc/72/07/84/1129720784.db2.gz RJPFDZDQLVDYRX-WFASDCNBSA-N 1 2 282.391 3.593 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccn3cc(N)[nH+]c3c2)c2ccccc21 ZINC001169372606 1129722010 /nfs/dbraw/zinc/72/20/10/1129722010.db2.gz GCLSUUGXPUQNTB-IAQYHMDHSA-N 1 2 278.359 3.577 20 0 CHADLO COCCOc1cc(N[C@H]2C[C@@H](C)c3ccccc32)cc[nH+]1 ZINC001169374881 1129722122 /nfs/dbraw/zinc/72/21/22/1129722122.db2.gz CXUHGWTXXSZELT-DYVFJYSZSA-N 1 2 298.386 3.767 20 0 CHADLO CCC[C@H]1CCC[N@H+](Cn2nc(C)n(C3CC3)c2=S)C1 ZINC000121344367 1129722947 /nfs/dbraw/zinc/72/29/47/1129722947.db2.gz BJMDIHMZKQXLNU-ZDUSSCGKSA-N 1 2 294.468 3.527 20 0 CHADLO CCC[C@H]1CCC[N@@H+](Cn2nc(C)n(C3CC3)c2=S)C1 ZINC000121344367 1129722948 /nfs/dbraw/zinc/72/29/48/1129722948.db2.gz BJMDIHMZKQXLNU-ZDUSSCGKSA-N 1 2 294.468 3.527 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@H]1C[C@@H]1C1CCCC1 ZINC000651214087 1129733595 /nfs/dbraw/zinc/73/35/95/1129733595.db2.gz XSYJUPVXDBSDLB-HZPDHXFCSA-N 1 2 295.386 3.842 20 0 CHADLO Cc1nc(NC[C@@H](C)c2ccc(O)cc2)c2c([nH+]1)CCCC2 ZINC001169534960 1129733734 /nfs/dbraw/zinc/73/37/34/1129733734.db2.gz IOEKSMCZOQSUFD-GFCCVEGCSA-N 1 2 297.402 3.585 20 0 CHADLO Cc1cc(NC[C@@H](C)c2ccc(O)cc2)c[nH+]c1C ZINC001169539230 1129734566 /nfs/dbraw/zinc/73/45/66/1129734566.db2.gz MBYRMLSSYSROLQ-GFCCVEGCSA-N 1 2 256.349 3.620 20 0 CHADLO C[C@@H](CNc1ccc(N2CCCC2)[nH+]c1)c1ccc(O)cc1 ZINC001169540367 1129734585 /nfs/dbraw/zinc/73/45/85/1129734585.db2.gz UGFJKDKLDDGSMU-AWEZNQCLSA-N 1 2 297.402 3.603 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NC[C@@H](C)c1ccc(O)cc1 ZINC001169543076 1129735169 /nfs/dbraw/zinc/73/51/69/1129735169.db2.gz OZISHHYRHZTCDR-CYBMUJFWSA-N 1 2 286.375 3.790 20 0 CHADLO Cc1cc(NC[C@@H](C)c2ccc(O)cc2)ccc1[NH+](C)C ZINC001169549456 1129735809 /nfs/dbraw/zinc/73/58/09/1129735809.db2.gz ZZJKNOHRBYQWDZ-CQSZACIVSA-N 1 2 284.403 3.982 20 0 CHADLO Cc1cc([NH2+]C[C@@H](C)c2ccc(O)cc2)ccc1N(C)C ZINC001169549456 1129735810 /nfs/dbraw/zinc/73/58/10/1129735810.db2.gz ZZJKNOHRBYQWDZ-CQSZACIVSA-N 1 2 284.403 3.982 20 0 CHADLO c1oc2ccccc2c1CCNc1ccc2c([nH+]1)CCOC2 ZINC001169591687 1129739558 /nfs/dbraw/zinc/73/95/58/1129739558.db2.gz XVUWSPWUDJMMEZ-UHFFFAOYSA-N 1 2 294.354 3.555 20 0 CHADLO Cc1cc(N[C@H]2CCc3ccccc3[C@H]2O)ccc1[NH+](C)C ZINC001169630944 1129742373 /nfs/dbraw/zinc/74/23/73/1129742373.db2.gz QSMADTSOSWJWSM-PKOBYXMFSA-N 1 2 296.414 3.521 20 0 CHADLO Cc1cc(N[C@@H]2CCc3ccccc3[C@@H]2O)ccc1[NH+](C)C ZINC001169630942 1129742756 /nfs/dbraw/zinc/74/27/56/1129742756.db2.gz QSMADTSOSWJWSM-MJGOQNOKSA-N 1 2 296.414 3.521 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CCC3)s2)C2(CCC2)CO1 ZINC000651975986 1129754387 /nfs/dbraw/zinc/75/43/87/1129754387.db2.gz TWQBTLJWRFWBCV-GFCCVEGCSA-N 1 2 292.448 3.554 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CCC3)s2)C2(CCC2)CO1 ZINC000651975986 1129754390 /nfs/dbraw/zinc/75/43/90/1129754390.db2.gz TWQBTLJWRFWBCV-GFCCVEGCSA-N 1 2 292.448 3.554 20 0 CHADLO C[C@@H]([NH2+][C@H](Cc1ccccc1)c1nccn1C)c1ccco1 ZINC000652273146 1129762004 /nfs/dbraw/zinc/76/20/04/1129762004.db2.gz DEMQALOZOVSZNF-GDBMZVCRSA-N 1 2 295.386 3.648 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCc3cc(F)ccc32)c1 ZINC000652330852 1129763297 /nfs/dbraw/zinc/76/32/97/1129763297.db2.gz QTQQFTRLUTZMNW-MRXNPFEDSA-N 1 2 286.350 3.857 20 0 CHADLO FC1(F)CC[NH+](Cc2nc3ccccc3s2)CC1 ZINC000796541039 1129777351 /nfs/dbraw/zinc/77/73/51/1129777351.db2.gz NPFSBAFOFBWMSH-UHFFFAOYSA-N 1 2 268.332 3.527 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2OC)cc1F ZINC001239581481 1131398041 /nfs/dbraw/zinc/39/80/41/1131398041.db2.gz SLUGNIUTACJJRN-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO CC(C)C1CC[NH+](Cc2csc(Cl)n2)CC1 ZINC000380726515 1129831947 /nfs/dbraw/zinc/83/19/47/1129831947.db2.gz PNUUIGJYJYYOQK-UHFFFAOYSA-N 1 2 258.818 3.665 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(OC(F)F)cc2)[nH+]1 ZINC001242439216 1129837742 /nfs/dbraw/zinc/83/77/42/1129837742.db2.gz ISYMLMZWVFIVFP-UHFFFAOYSA-N 1 2 274.270 3.911 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2coc(C3CC3)n2)cc1 ZINC000768163910 1129837826 /nfs/dbraw/zinc/83/78/26/1129837826.db2.gz UTBSCVPRTOXMRP-UHFFFAOYSA-N 1 2 270.376 3.746 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2coc(C3CC3)n2)cc1 ZINC000768163910 1129837830 /nfs/dbraw/zinc/83/78/30/1129837830.db2.gz UTBSCVPRTOXMRP-UHFFFAOYSA-N 1 2 270.376 3.746 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccc(F)c(F)c2)cc1F ZINC001239586624 1131400945 /nfs/dbraw/zinc/40/09/45/1131400945.db2.gz XBGXJWLUOCQXRJ-UHFFFAOYSA-N 1 2 283.268 3.972 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1N[C@H]1CC2CCC1CC2 ZINC000382136821 1129854691 /nfs/dbraw/zinc/85/46/91/1129854691.db2.gz PCXCIEXSNURGMU-XUJLQICISA-N 1 2 271.408 3.672 20 0 CHADLO Cc1cc(Cl)c2c(c1)[C@H]([NH2+]Cc1ccon1)CCCO2 ZINC000768513148 1129858594 /nfs/dbraw/zinc/85/85/94/1129858594.db2.gz XMOSERZRLSCRNJ-CQSZACIVSA-N 1 2 292.766 3.640 20 0 CHADLO Cl/C=C(/Cl)C[NH+]1CCC(c2cccnc2)CC1 ZINC000768753983 1129872124 /nfs/dbraw/zinc/87/21/24/1129872124.db2.gz FEPMGJYJTBHJPH-MDWZMJQESA-N 1 2 271.191 3.580 20 0 CHADLO COCC[C@@H]1CCC[C@H]1[NH2+]c1ccc(N(C)C)c(C)c1 ZINC000800488491 1129878857 /nfs/dbraw/zinc/87/88/57/1129878857.db2.gz REGMTTNOYJZHBH-GOEBONIOSA-N 1 2 276.424 3.678 20 0 CHADLO COCC[C@@H]1CCC[C@H]1Nc1ccc([NH+](C)C)c(C)c1 ZINC000800488491 1129878862 /nfs/dbraw/zinc/87/88/62/1129878862.db2.gz REGMTTNOYJZHBH-GOEBONIOSA-N 1 2 276.424 3.678 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCOc3ccccc3C2)s1 ZINC000768941761 1129880843 /nfs/dbraw/zinc/88/08/43/1129880843.db2.gz SVNDZVNYQOUJEN-UHFFFAOYSA-N 1 2 288.416 3.661 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCOc3ccccc3C2)s1 ZINC000768941761 1129880846 /nfs/dbraw/zinc/88/08/46/1129880846.db2.gz SVNDZVNYQOUJEN-UHFFFAOYSA-N 1 2 288.416 3.661 20 0 CHADLO CC(C)SCCO[NH+]=C(N)c1cccc2ccccc21 ZINC000800868535 1129894788 /nfs/dbraw/zinc/89/47/88/1129894788.db2.gz SCXCWGJYEQJGBA-UHFFFAOYSA-N 1 2 288.416 3.828 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@H+]2Cc2cncc3ccccc32)n1 ZINC000769210878 1129896522 /nfs/dbraw/zinc/89/65/22/1129896522.db2.gz UMVDYMIVSLEDFT-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@@H+]2Cc2cncc3ccccc32)n1 ZINC000769210878 1129896524 /nfs/dbraw/zinc/89/65/24/1129896524.db2.gz UMVDYMIVSLEDFT-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO COc1cc2[nH+]ccn2cc1/C=C/c1ccccc1 ZINC001239594510 1131405852 /nfs/dbraw/zinc/40/58/52/1131405852.db2.gz WTLLGRCKYVLKMX-BQYQJAHWSA-N 1 2 250.301 3.513 20 0 CHADLO CC1(C)C[N@H+](Cc2c[nH]c3ccc(Cl)cc23)CCC1=O ZINC001137713834 1131406948 /nfs/dbraw/zinc/40/69/48/1131406948.db2.gz AHNRRHYBSSFQBA-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO CC1(C)C[N@@H+](Cc2c[nH]c3ccc(Cl)cc23)CCC1=O ZINC001137713834 1131406950 /nfs/dbraw/zinc/40/69/50/1131406950.db2.gz AHNRRHYBSSFQBA-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)Cc1ccccc1Cl)C(=O)OC(C)(C)C ZINC000769555734 1129917820 /nfs/dbraw/zinc/91/78/20/1129917820.db2.gz ITKRFRODUOQLDY-NWDGAFQWSA-N 1 2 297.826 3.591 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[NH2+][C@@H](C)C(=O)OC(C)(C)C ZINC000769555737 1129917970 /nfs/dbraw/zinc/91/79/70/1129917970.db2.gz ITKRFRODUOQLDY-RYUDHWBXSA-N 1 2 297.826 3.591 20 0 CHADLO CCc1noc(C)c1[C@@H](C)[NH2+]Cc1nc2cc(C)ccc2[nH]1 ZINC000769870005 1129930186 /nfs/dbraw/zinc/93/01/86/1129930186.db2.gz BAQROCOVJVMVAO-LLVKDONJSA-N 1 2 298.390 3.581 20 0 CHADLO CCc1noc(C)c1[C@@H](C)[NH2+]Cc1nc2ccc(C)cc2[nH]1 ZINC000769870005 1129930189 /nfs/dbraw/zinc/93/01/89/1129930189.db2.gz BAQROCOVJVMVAO-LLVKDONJSA-N 1 2 298.390 3.581 20 0 CHADLO CCc1noc(C)c1[C@@H](C)[NH2+]Cc1cc(C(C)C)no1 ZINC000769871254 1129930221 /nfs/dbraw/zinc/93/02/21/1129930221.db2.gz DFLGPSYJSLGWCA-SNVBAGLBSA-N 1 2 277.368 3.508 20 0 CHADLO Cc1cc(Cl)cc2c1OCCC[C@H]2[NH2+]Cc1ccon1 ZINC000769875699 1129931400 /nfs/dbraw/zinc/93/14/00/1129931400.db2.gz PDBJYJXXJUNXRU-CQSZACIVSA-N 1 2 292.766 3.640 20 0 CHADLO Cc1ccc(N(C(=O)c2cccc3[nH+]ccn32)C(C)C)cc1 ZINC000770076917 1129937715 /nfs/dbraw/zinc/93/77/15/1129937715.db2.gz BXKYYNPWAJSOGP-UHFFFAOYSA-N 1 2 293.370 3.698 20 0 CHADLO CCN(C(=O)c1cccc2[nH+]ccn21)c1cc(C)cc(C)c1 ZINC000770078336 1129938094 /nfs/dbraw/zinc/93/80/94/1129938094.db2.gz OWEYTJXPWSUGSF-UHFFFAOYSA-N 1 2 293.370 3.618 20 0 CHADLO CC(C)(C)c1cn(C[C@H]2CCC[C@@H](C(F)(F)F)O2)c[nH+]1 ZINC000901588749 1129938176 /nfs/dbraw/zinc/93/81/76/1129938176.db2.gz VWNFNMGIEOEZTH-PWSUYJOCSA-N 1 2 290.329 3.681 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+]1CCc2cc(Cl)nnc2C1 ZINC000829137603 1131413360 /nfs/dbraw/zinc/41/33/60/1131413360.db2.gz YNNIBJPKCQCBOJ-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO O=C(CCC1CCCCC1)OCc1cccc2[nH+]ccn21 ZINC000770924055 1129963561 /nfs/dbraw/zinc/96/35/61/1129963561.db2.gz ARDBQRVDVFOHIP-UHFFFAOYSA-N 1 2 286.375 3.738 20 0 CHADLO CNc1ccccc1CNc1ccc(N2CCCCC2)c[nH+]1 ZINC000902091234 1129979041 /nfs/dbraw/zinc/97/90/41/1129979041.db2.gz YIVXGJOVNDOYOC-UHFFFAOYSA-N 1 2 296.418 3.726 20 0 CHADLO C[N@H+](Cc1occc1Br)Cc1ccccc1 ZINC000771929560 1129995009 /nfs/dbraw/zinc/99/50/09/1129995009.db2.gz ZCMZICGVYZLIKS-UHFFFAOYSA-N 1 2 280.165 3.674 20 0 CHADLO C[N@@H+](Cc1occc1Br)Cc1ccccc1 ZINC000771929560 1129995011 /nfs/dbraw/zinc/99/50/11/1129995011.db2.gz ZCMZICGVYZLIKS-UHFFFAOYSA-N 1 2 280.165 3.674 20 0 CHADLO CC[C@H](c1ccccc1)[N@@H+]1CCc2cc(Cl)nnc2C1 ZINC000829137603 1131413359 /nfs/dbraw/zinc/41/33/59/1131413359.db2.gz YNNIBJPKCQCBOJ-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO Clc1nc2ccccn2c1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000771941243 1129997619 /nfs/dbraw/zinc/99/76/19/1129997619.db2.gz ZFMGCZAUDLHDDI-AWEZNQCLSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1nc2ccccn2c1C[N@H+]1CC[C@H]1c1ccccc1 ZINC000771941243 1129997621 /nfs/dbraw/zinc/99/76/21/1129997621.db2.gz ZFMGCZAUDLHDDI-AWEZNQCLSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1cccc(NCc2cc(-n3cc[nH+]c3)cs2)n1 ZINC000785722322 1130051785 /nfs/dbraw/zinc/05/17/85/1130051785.db2.gz NUJHJTVHXYQCLD-UHFFFAOYSA-N 1 2 290.779 3.594 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccccc2NC)c1 ZINC000902434510 1130010478 /nfs/dbraw/zinc/01/04/78/1130010478.db2.gz XFLUBWGSZNVEFQ-UHFFFAOYSA-N 1 2 287.432 3.989 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(OC)c(OC)c2)c1 ZINC001239617525 1130013801 /nfs/dbraw/zinc/01/38/01/1130013801.db2.gz VMXOPPKSNMSOHT-UHFFFAOYSA-N 1 2 285.343 3.733 20 0 CHADLO COC(=[NH2+])c1cccc(-c2ccnc3cc(OC)ccc32)c1 ZINC001239682694 1130052840 /nfs/dbraw/zinc/05/28/40/1130052840.db2.gz IFQZCFRHYVPAQJ-UHFFFAOYSA-N 1 2 292.338 3.882 20 0 CHADLO CNc1ccc(C)cc1C[NH2+][C@H](C)c1c(F)cncc1F ZINC000902564974 1130025462 /nfs/dbraw/zinc/02/54/62/1130025462.db2.gz XTJDLHKAZCKQEP-LLVKDONJSA-N 1 2 291.345 3.561 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cccc(C(C)=O)c2)cc1 ZINC001239639192 1130030197 /nfs/dbraw/zinc/03/01/97/1130030197.db2.gz VQYZRLDXWAEKSI-UHFFFAOYSA-N 1 2 267.328 3.918 20 0 CHADLO Cn1cc[nH+]c1CCCOC(=O)C[C@H]1CCCC(C)(C)C1 ZINC000802786081 1130039190 /nfs/dbraw/zinc/03/91/90/1130039190.db2.gz MEQUEGRCDXERIF-CQSZACIVSA-N 1 2 292.423 3.502 20 0 CHADLO Cc1ccc2[nH+]c(C)cc(OCc3cc(C)nnc3C)c2c1 ZINC000902693258 1130039530 /nfs/dbraw/zinc/03/95/30/1130039530.db2.gz QTRKKNVJRHGYSR-UHFFFAOYSA-N 1 2 293.370 3.837 20 0 CHADLO COc1ccc2c(c1)nccc2-c1cccc2[nH+]c(C)cn21 ZINC001239678027 1130048529 /nfs/dbraw/zinc/04/85/29/1130048529.db2.gz NPODQSWEJFWYGC-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO CCCOCCNc1cc(C)c2cccc(C)c2[nH+]1 ZINC001157086883 1130055985 /nfs/dbraw/zinc/05/59/85/1130055985.db2.gz SPWRCBAMHTWEFO-UHFFFAOYSA-N 1 2 258.365 3.690 20 0 CHADLO Fc1c(Cl)cccc1C[N@@H+]1CC[C@H](C(F)(F)F)C1 ZINC000786429443 1130092744 /nfs/dbraw/zinc/09/27/44/1130092744.db2.gz MRZNGZBKPBUUOB-VIFPVBQESA-N 1 2 281.680 3.863 20 0 CHADLO Fc1c(Cl)cccc1C[N@H+]1CC[C@H](C(F)(F)F)C1 ZINC000786429443 1130092750 /nfs/dbraw/zinc/09/27/50/1130092750.db2.gz MRZNGZBKPBUUOB-VIFPVBQESA-N 1 2 281.680 3.863 20 0 CHADLO Cc1cc(-c2cnc3ccccc3c2)cn2cc[nH+]c12 ZINC001239793691 1130110050 /nfs/dbraw/zinc/11/00/50/1130110050.db2.gz OQVJCHVVKGGJAY-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cnc2ccccc2c1 ZINC001239793377 1130110226 /nfs/dbraw/zinc/11/02/26/1130110226.db2.gz IUDOOVROBGIDQL-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1ccc(F)cn1 ZINC000786731482 1130113615 /nfs/dbraw/zinc/11/36/15/1130113615.db2.gz ATBQLQOBVGEPRG-UHFFFAOYSA-N 1 2 298.365 3.831 20 0 CHADLO Cc1cc(N[C@@H]2CCOC23CCCC3)ccc1[NH+](C)C ZINC000903144006 1130118975 /nfs/dbraw/zinc/11/89/75/1130118975.db2.gz GEMGLIWSUIPZMH-MRXNPFEDSA-N 1 2 274.408 3.575 20 0 CHADLO CC(C)[C@@H](Nc1cc[nH+]cc1F)c1ccc(F)cc1 ZINC001170066064 1130124659 /nfs/dbraw/zinc/12/46/59/1130124659.db2.gz HQROSDZTABLZSN-OAHLLOKOSA-N 1 2 262.303 3.591 20 0 CHADLO CCC[C@@H]1C[N@H+](Cc2ccc(C(F)F)c(F)c2)CCO1 ZINC001461958581 1130134281 /nfs/dbraw/zinc/13/42/81/1130134281.db2.gz KAJKDSAFMZEGLO-GFCCVEGCSA-N 1 2 287.325 3.764 20 0 CHADLO CCC[C@@H]1C[N@@H+](Cc2ccc(C(F)F)c(F)c2)CCO1 ZINC001461958581 1130134284 /nfs/dbraw/zinc/13/42/84/1130134284.db2.gz KAJKDSAFMZEGLO-GFCCVEGCSA-N 1 2 287.325 3.764 20 0 CHADLO C[C@H]1[C@@H](Cc2ccccc2)CC[N@@H+]1CC(F)(F)C(F)F ZINC000746678395 1130147076 /nfs/dbraw/zinc/14/70/76/1130147076.db2.gz WMCBVMADGDTXLZ-WCQYABFASA-N 1 2 289.316 3.840 20 0 CHADLO C[C@H]1[C@@H](Cc2ccccc2)CC[N@H+]1CC(F)(F)C(F)F ZINC000746678395 1130147077 /nfs/dbraw/zinc/14/70/77/1130147077.db2.gz WMCBVMADGDTXLZ-WCQYABFASA-N 1 2 289.316 3.840 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCCC[C@H]2c2cccn2C)o1 ZINC000746681308 1130150139 /nfs/dbraw/zinc/15/01/39/1130150139.db2.gz XYKXPGXLZPIJKS-HNNXBMFYSA-N 1 2 287.407 3.864 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCCC[C@H]2c2cccn2C)o1 ZINC000746681308 1130150140 /nfs/dbraw/zinc/15/01/40/1130150140.db2.gz XYKXPGXLZPIJKS-HNNXBMFYSA-N 1 2 287.407 3.864 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ncccn1)C1CC1)c1csc(Cl)c1 ZINC000903327680 1130156114 /nfs/dbraw/zinc/15/61/14/1130156114.db2.gz XFHWCAVOLNYSRA-RNCFNFMXSA-N 1 2 293.823 3.993 20 0 CHADLO COCc1cccc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001239936627 1130159117 /nfs/dbraw/zinc/15/91/17/1130159117.db2.gz ZQXAQZMOKMQWJT-UHFFFAOYSA-N 1 2 278.355 3.745 20 0 CHADLO Cc1cc(CNc2[nH+]c3cc(Cl)ccc3n2C)sn1 ZINC000787793246 1130163757 /nfs/dbraw/zinc/16/37/57/1130163757.db2.gz CJYGITPKMRBXPQ-UHFFFAOYSA-N 1 2 292.795 3.604 20 0 CHADLO c1csc(C[N@H+](Cc2ccncc2)Cc2cccnc2)c1 ZINC000746738763 1130181160 /nfs/dbraw/zinc/18/11/60/1130181160.db2.gz MHSOCGAHDGQMNS-UHFFFAOYSA-N 1 2 295.411 3.741 20 0 CHADLO c1csc(C[N@@H+](Cc2ccncc2)Cc2cccnc2)c1 ZINC000746738763 1130181165 /nfs/dbraw/zinc/18/11/65/1130181165.db2.gz MHSOCGAHDGQMNS-UHFFFAOYSA-N 1 2 295.411 3.741 20 0 CHADLO Clc1cnc(C[N@@H+]2CCCCC[C@H]2c2ccco2)cn1 ZINC000788482609 1130189447 /nfs/dbraw/zinc/18/94/47/1130189447.db2.gz XJFRPLAHTDDUSB-ZDUSSCGKSA-N 1 2 291.782 3.840 20 0 CHADLO Clc1cnc(C[N@H+]2CCCCC[C@H]2c2ccco2)cn1 ZINC000788482609 1130189448 /nfs/dbraw/zinc/18/94/48/1130189448.db2.gz XJFRPLAHTDDUSB-ZDUSSCGKSA-N 1 2 291.782 3.840 20 0 CHADLO COc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)c(F)c1 ZINC001240036602 1130201451 /nfs/dbraw/zinc/20/14/51/1130201451.db2.gz UFPKFLOLIJWAAJ-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO Nc1cc(-c2cccc(C(=O)Nc3ccccc3)c2)cc[nH+]1 ZINC001240114186 1130213776 /nfs/dbraw/zinc/21/37/76/1130213776.db2.gz UQXATDFYRBYMEG-UHFFFAOYSA-N 1 2 289.338 3.583 20 0 CHADLO COc1ccc(F)c(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001240119064 1130216626 /nfs/dbraw/zinc/21/66/26/1130216626.db2.gz CWWWJZXBUJCQOE-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccc(Cl)nc1 ZINC000788972620 1130221502 /nfs/dbraw/zinc/22/15/02/1130221502.db2.gz CAOYWEMEWYCFMT-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(C(=O)c2ccccc2)cc1 ZINC001240136657 1130222396 /nfs/dbraw/zinc/22/23/96/1130222396.db2.gz MWPYRFXTOHLOGS-ACFHMISVSA-N 1 2 263.340 3.793 20 0 CHADLO Cc1nc2ccc(-c3ccc4[nH+]ccn4c3)nc2n1C(C)C ZINC001240213775 1130233085 /nfs/dbraw/zinc/23/30/85/1130233085.db2.gz GUOJHIPYJNYFKJ-UHFFFAOYSA-N 1 2 291.358 3.635 20 0 CHADLO Fc1ccc2[nH]ccc2c1-c1ccn2cc[nH+]c2c1 ZINC001240215289 1130234984 /nfs/dbraw/zinc/23/49/84/1130234984.db2.gz IPYJKUHPALNPAN-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO COc1cccc2cc(-c3ccc4[nH+]ccn4c3)cnc21 ZINC001240218306 1130235533 /nfs/dbraw/zinc/23/55/33/1130235533.db2.gz KMPUXCJNDUYCNC-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO FC(F)Oc1cccc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218025 1130235850 /nfs/dbraw/zinc/23/58/50/1130235850.db2.gz WRNIKGBKWWIBPS-UHFFFAOYSA-N 1 2 260.243 3.603 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(F)c(-c2ccccc2)c1 ZINC001243072537 1130236886 /nfs/dbraw/zinc/23/68/86/1130236886.db2.gz QWLPFHDEMZSHRH-UHFFFAOYSA-N 1 2 252.292 3.893 20 0 CHADLO c1cn2cc(-c3cccc(OC4CCC4)c3)ccc2[nH+]1 ZINC001240218695 1130237433 /nfs/dbraw/zinc/23/74/33/1130237433.db2.gz UILDNDGUEFNZAY-UHFFFAOYSA-N 1 2 264.328 3.933 20 0 CHADLO COc1cc(-c2ccc3[nH+]ccn3c2)ccc1OC(F)F ZINC001240218696 1130237549 /nfs/dbraw/zinc/23/75/49/1130237549.db2.gz UJGVEHWMUBIDGY-UHFFFAOYSA-N 1 2 290.269 3.611 20 0 CHADLO COc1cccc2c(-c3ccc4[nH+]ccn4c3)ccnc12 ZINC001240219092 1130237869 /nfs/dbraw/zinc/23/78/69/1130237869.db2.gz YSGKXWIQWYJTCJ-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1cc2ncccc2c(F)c1-c1ccc2[nH+]ccn2c1 ZINC001240219837 1130238331 /nfs/dbraw/zinc/23/83/31/1130238331.db2.gz WOOGNHFEWFHHPU-UHFFFAOYSA-N 1 2 281.265 3.828 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Oc1c(Cl)cccc1Cl ZINC000748608467 1130238827 /nfs/dbraw/zinc/23/88/27/1130238827.db2.gz YLKDXAPOFNVGOA-UHFFFAOYSA-N 1 2 299.157 3.576 20 0 CHADLO CC1(C)CC(=O)Nc2ccc(-c3ccc4[nH+]ccn4c3)cc21 ZINC001240219749 1130239026 /nfs/dbraw/zinc/23/90/26/1130239026.db2.gz UPFJDRGCLUQYDQ-UHFFFAOYSA-N 1 2 291.354 3.621 20 0 CHADLO Fc1ccc(-c2cccc3n[nH]cc32)cc1-n1cc[nH+]c1 ZINC001240317360 1130264513 /nfs/dbraw/zinc/26/45/13/1130264513.db2.gz GEXSVZOEEMJPFP-UHFFFAOYSA-N 1 2 278.290 3.555 20 0 CHADLO COc1cc[nH+]cc1NCc1ccccc1-c1cccnc1 ZINC001170114926 1130271579 /nfs/dbraw/zinc/27/15/79/1130271579.db2.gz RKLOFRXENRHLNX-UHFFFAOYSA-N 1 2 291.354 3.764 20 0 CHADLO Cc1cn2c([nH+]1)[C@@H](CNc1nc3cc(C)ccc3o1)CCC2 ZINC000676878746 1130274386 /nfs/dbraw/zinc/27/43/86/1130274386.db2.gz FSCWLPCXXZAQII-CYBMUJFWSA-N 1 2 296.374 3.631 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cnc3ccccc3c1)C2 ZINC001137284113 1130288673 /nfs/dbraw/zinc/28/86/73/1130288673.db2.gz GBLIQRYAYMJVGT-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cnc3ccccc3c1)C2 ZINC001137284113 1130288675 /nfs/dbraw/zinc/28/86/75/1130288675.db2.gz GBLIQRYAYMJVGT-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO Cc1cccc(C(=O)Nc2ccc3[nH+]ccn3c2)c1Cl ZINC000677284889 1130299353 /nfs/dbraw/zinc/29/93/53/1130299353.db2.gz UZQYQARQTGNBRA-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO Cc1csc(C[N@H+]2CCOC[C@H]2C(C)C)c1Cl ZINC001137292528 1130307144 /nfs/dbraw/zinc/30/71/44/1130307144.db2.gz DIDZLRSFHNLFGG-NSHDSACASA-N 1 2 273.829 3.567 20 0 CHADLO Cc1csc(C[N@@H+]2CCOC[C@H]2C(C)C)c1Cl ZINC001137292528 1130307150 /nfs/dbraw/zinc/30/71/50/1130307150.db2.gz DIDZLRSFHNLFGG-NSHDSACASA-N 1 2 273.829 3.567 20 0 CHADLO Fc1cccc(Cl)c1C[N@@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000677713167 1130322422 /nfs/dbraw/zinc/32/24/22/1130322422.db2.gz CKAYWZAFHAMUIU-MRVPVSSYSA-N 1 2 281.680 3.863 20 0 CHADLO Fc1cccc(Cl)c1C[N@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000677713167 1130322430 /nfs/dbraw/zinc/32/24/30/1130322430.db2.gz CKAYWZAFHAMUIU-MRVPVSSYSA-N 1 2 281.680 3.863 20 0 CHADLO Cc1cc2cc(-c3ccc(-c4c[nH+]cn4C)cc3)cnc2[nH]1 ZINC001240488281 1130325190 /nfs/dbraw/zinc/32/51/90/1130325190.db2.gz NIPBRVPIEFZOMN-UHFFFAOYSA-N 1 2 288.354 3.939 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[NH+]1CC2(C1)CC(F)(F)C2 ZINC000677733178 1130326417 /nfs/dbraw/zinc/32/64/17/1130326417.db2.gz MNIOJXOBZJPAAI-SECBINFHSA-N 1 2 273.273 3.757 20 0 CHADLO CCOc1ncccc1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001240493625 1130326986 /nfs/dbraw/zinc/32/69/86/1130326986.db2.gz FONFATMUJPPNPD-UHFFFAOYSA-N 1 2 279.343 3.548 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cnc(Cl)s1 ZINC000791585874 1130386966 /nfs/dbraw/zinc/38/69/66/1130386966.db2.gz UXCMFMVVUGWSKV-SVRRBLITSA-N 1 2 284.734 3.569 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1cnc(Cl)s1 ZINC000791585874 1130386970 /nfs/dbraw/zinc/38/69/70/1130386970.db2.gz UXCMFMVVUGWSKV-SVRRBLITSA-N 1 2 284.734 3.569 20 0 CHADLO Cc1ccc2[nH+]cc(CN3Cc4ccc(Cl)cc4C3)n2c1 ZINC001137310738 1130328144 /nfs/dbraw/zinc/32/81/44/1130328144.db2.gz OKINXECIUOLXPM-UHFFFAOYSA-N 1 2 297.789 3.812 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1cccc(O)c1 ZINC000677742774 1130328902 /nfs/dbraw/zinc/32/89/02/1130328902.db2.gz ZVXBODUTWVDYDK-UHFFFAOYSA-N 1 2 281.359 3.764 20 0 CHADLO Fc1ccc2cc(-c3ccn4cc[nH+]c4c3)cnc2c1 ZINC001240502948 1130332805 /nfs/dbraw/zinc/33/28/05/1130332805.db2.gz BOWBRVNYKJEGTL-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ccc2cc(-c3ccn4cc[nH+]c4c3)ccc2n1 ZINC001240502927 1130333374 /nfs/dbraw/zinc/33/33/74/1130333374.db2.gz ATHMEPFKDKUAMU-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO S=c1[nH]cc(-c2ccc(-c3ccn4cc[nH+]c4c3)cc2)[nH]1 ZINC001240508602 1130333928 /nfs/dbraw/zinc/33/39/28/1130333928.db2.gz FFMHKELEWBSMSH-UHFFFAOYSA-N 1 2 292.367 3.680 20 0 CHADLO Fc1cc(-c2ccn3cc[nH+]c3c2)ccc1OC(F)F ZINC001240508765 1130334250 /nfs/dbraw/zinc/33/42/50/1130334250.db2.gz JYSNULUGHLWBLE-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO FC(F)Oc1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240509086 1130335047 /nfs/dbraw/zinc/33/50/47/1130335047.db2.gz QNSDYCJHPYRMIB-UHFFFAOYSA-N 1 2 260.243 3.603 20 0 CHADLO COc1cccc2c(-c3ccn4cc[nH+]c4c3)cc(C)nc12 ZINC001240510468 1130335330 /nfs/dbraw/zinc/33/53/30/1130335330.db2.gz LICYGJLLZUUOLQ-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO CC(=O)Nc1cc(C)c(C)cc1-c1ccn2cc[nH+]c2c1 ZINC001240510205 1130335372 /nfs/dbraw/zinc/33/53/72/1130335372.db2.gz FPCGOXOUSRDOOP-UHFFFAOYSA-N 1 2 279.343 3.577 20 0 CHADLO Cc1ccnc2ccc(-c3ccn4cc[nH+]c4c3)cc12 ZINC001240510336 1130336008 /nfs/dbraw/zinc/33/60/08/1130336008.db2.gz IERLVBRZLQVCKY-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1nccc(-c2ccc(-c3ccn4cc[nH+]c4c3)cc2)n1 ZINC001240510368 1130336127 /nfs/dbraw/zinc/33/61/27/1130336127.db2.gz IUQIOARONFNFCN-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO Fc1c[nH]c2cccc(-c3ccn4cc[nH+]c4c3)c12 ZINC001240512170 1130337927 /nfs/dbraw/zinc/33/79/27/1130337927.db2.gz QKWXCMDZPJHMGO-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc3ccccc3[nH]1)C2 ZINC001137319010 1130339653 /nfs/dbraw/zinc/33/96/53/1130339653.db2.gz AMPWZUJEHPFHQQ-UHFFFAOYSA-N 1 2 278.355 3.692 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc3ccccc3[nH]1)C2 ZINC001137319010 1130339657 /nfs/dbraw/zinc/33/96/57/1130339657.db2.gz AMPWZUJEHPFHQQ-UHFFFAOYSA-N 1 2 278.355 3.692 20 0 CHADLO Cc1cnc2cc(-c3ccn4cc[nH+]c4c3)ccc2c1 ZINC001240517753 1130340926 /nfs/dbraw/zinc/34/09/26/1130340926.db2.gz DQMOTXLFWCFETI-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1cscn1)c1ccccn1 ZINC000678114387 1130350035 /nfs/dbraw/zinc/35/00/35/1130350035.db2.gz FHCFBCAZBKERNE-YPMHNXCESA-N 1 2 261.394 3.730 20 0 CHADLO C[N@H+](Cc1cscc1Cl)Cc1cccc(O)c1 ZINC000678118224 1130351957 /nfs/dbraw/zinc/35/19/57/1130351957.db2.gz OGDXEXBHNGBZNZ-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO C[N@@H+](Cc1cscc1Cl)Cc1cccc(O)c1 ZINC000678118224 1130351965 /nfs/dbraw/zinc/35/19/65/1130351965.db2.gz OGDXEXBHNGBZNZ-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nn(C)c3ccccc23)C2CC2)o1 ZINC000678134889 1130355464 /nfs/dbraw/zinc/35/54/64/1130355464.db2.gz BAOMQNSRUPQKGL-UHFFFAOYSA-N 1 2 295.386 3.639 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nn(C)c3ccccc23)C2CC2)o1 ZINC000678134889 1130355470 /nfs/dbraw/zinc/35/54/70/1130355470.db2.gz BAOMQNSRUPQKGL-UHFFFAOYSA-N 1 2 295.386 3.639 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1cn[nH]c1C ZINC000678137508 1130357024 /nfs/dbraw/zinc/35/70/24/1130357024.db2.gz OKPBAPXETWYORR-HNNXBMFYSA-N 1 2 277.799 3.955 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cn[nH]c1C ZINC000678137508 1130357031 /nfs/dbraw/zinc/35/70/31/1130357031.db2.gz OKPBAPXETWYORR-HNNXBMFYSA-N 1 2 277.799 3.955 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@H+]1Cc1n[nH]c2ccccc21 ZINC000678196729 1130368656 /nfs/dbraw/zinc/36/86/56/1130368656.db2.gz CXVGNJMSRSIYND-CYBMUJFWSA-N 1 2 293.370 3.519 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@@H+]1Cc1n[nH]c2ccccc21 ZINC000678196729 1130368662 /nfs/dbraw/zinc/36/86/62/1130368662.db2.gz CXVGNJMSRSIYND-CYBMUJFWSA-N 1 2 293.370 3.519 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2cccc3cc[nH]c32)no1 ZINC000678201128 1130370547 /nfs/dbraw/zinc/37/05/47/1130370547.db2.gz NKODINQEHGDGBX-OAHLLOKOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2cccc3cc[nH]c32)no1 ZINC000678201128 1130370549 /nfs/dbraw/zinc/37/05/49/1130370549.db2.gz NKODINQEHGDGBX-OAHLLOKOSA-N 1 2 296.374 3.587 20 0 CHADLO COCC[N@H+](CCF)Cc1ccc(-c2ccccc2F)o1 ZINC000827059847 1130374376 /nfs/dbraw/zinc/37/43/76/1130374376.db2.gz CAFPLWJDDRMELX-UHFFFAOYSA-N 1 2 295.329 3.504 20 0 CHADLO COCC[N@@H+](CCF)Cc1ccc(-c2ccccc2F)o1 ZINC000827059847 1130374382 /nfs/dbraw/zinc/37/43/82/1130374382.db2.gz CAFPLWJDDRMELX-UHFFFAOYSA-N 1 2 295.329 3.504 20 0 CHADLO CC1(C)C[N@H+](Cc2cscc2Cl)C(C)(C)CO1 ZINC000678269584 1130384821 /nfs/dbraw/zinc/38/48/21/1130384821.db2.gz CLDOUIBLWIRKHT-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO CC1(C)C[N@@H+](Cc2cscc2Cl)C(C)(C)CO1 ZINC000678269584 1130384824 /nfs/dbraw/zinc/38/48/24/1130384824.db2.gz CLDOUIBLWIRKHT-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO Cn1nc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)c2ccccc21 ZINC000678283623 1130391914 /nfs/dbraw/zinc/39/19/14/1130391914.db2.gz PDETYKWBGUCUJK-KRWDZBQOSA-N 1 2 295.361 3.659 20 0 CHADLO Cn1nc(C[N@H+]2CC[C@H]2c2cccc(F)c2)c2ccccc21 ZINC000678283623 1130391919 /nfs/dbraw/zinc/39/19/19/1130391919.db2.gz PDETYKWBGUCUJK-KRWDZBQOSA-N 1 2 295.361 3.659 20 0 CHADLO COc1cc(-c2cccc3[nH+]c(C)cn32)cc(F)c1F ZINC001240661807 1130407970 /nfs/dbraw/zinc/40/79/70/1130407970.db2.gz QJGHSUYLNFNFJT-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO CC[C@@H](CC(=O)OCc1cc[nH+]c(N)c1)c1ccc(C)cc1 ZINC000791932042 1130420576 /nfs/dbraw/zinc/42/05/76/1130420576.db2.gz VVCLAZOFXDKNFM-HNNXBMFYSA-N 1 2 298.386 3.599 20 0 CHADLO Oc1ccc(F)cc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001240697578 1130423827 /nfs/dbraw/zinc/42/38/27/1130423827.db2.gz LTVNLEZHLVPFPG-UHFFFAOYSA-N 1 2 272.254 3.523 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cc(F)ccc2O)c1 ZINC001240698957 1130424026 /nfs/dbraw/zinc/42/40/26/1130424026.db2.gz XSIIZBCSXMLQFB-UHFFFAOYSA-N 1 2 259.280 3.560 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2cc(F)ccc2O)cc1F ZINC001240698096 1130424171 /nfs/dbraw/zinc/42/41/71/1130424171.db2.gz UBWKVRPVAWOUSC-UHFFFAOYSA-N 1 2 281.277 3.538 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3ccc(C)c(C)c3)nn2)c1C ZINC001240701687 1130426849 /nfs/dbraw/zinc/42/68/49/1130426849.db2.gz MYJRSQRAAWREMJ-UHFFFAOYSA-N 1 2 278.359 3.563 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(-c2ccc(F)cc2)o1)c1nccn1C ZINC000678765961 1130450798 /nfs/dbraw/zinc/45/07/98/1130450798.db2.gz BIUANCRMJQQIBI-LBPRGKRZSA-N 1 2 299.349 3.670 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@H](CC2CC2)c2ccccc2)n1 ZINC000678775960 1130454003 /nfs/dbraw/zinc/45/40/03/1130454003.db2.gz HRMLGQQFQJOUES-MRXNPFEDSA-N 1 2 299.418 3.899 20 0 CHADLO Cc1ccc(C(=O)C2CCN(c3cc[nH+]cc3F)CC2)cc1 ZINC000678788765 1130455147 /nfs/dbraw/zinc/45/51/47/1130455147.db2.gz NQWLNNQPGIYHDQ-UHFFFAOYSA-N 1 2 298.361 3.628 20 0 CHADLO CCCc1cc[nH+]c(Nc2cnc3[nH]cc(C)c3c2)c1 ZINC001206043262 1130458553 /nfs/dbraw/zinc/45/85/53/1130458553.db2.gz WHTYQPMEMOQCNY-UHFFFAOYSA-N 1 2 266.348 3.962 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3c(s2)CCC3)s1 ZINC000678826230 1130461936 /nfs/dbraw/zinc/46/19/36/1130461936.db2.gz XKVXXVVVXYWCQC-UHFFFAOYSA-N 1 2 278.446 3.634 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3c(s2)CCC3)s1 ZINC000678826230 1130461938 /nfs/dbraw/zinc/46/19/38/1130461938.db2.gz XKVXXVVVXYWCQC-UHFFFAOYSA-N 1 2 278.446 3.634 20 0 CHADLO COCOc1cc(C)ccc1-c1ccc2[nH+]ccn2c1C ZINC001240769285 1130462518 /nfs/dbraw/zinc/46/25/18/1130462518.db2.gz NIUWZCGJYPALEP-UHFFFAOYSA-N 1 2 282.343 3.601 20 0 CHADLO CCCC[C@H]([NH2+]Cc1csnn1)c1ccc(OC)cc1 ZINC000678927541 1130472148 /nfs/dbraw/zinc/47/21/48/1130472148.db2.gz PINOZGVJFAFMAA-HNNXBMFYSA-N 1 2 291.420 3.568 20 0 CHADLO Cc1ccc(Br)c(C[N@H+]2C[C@@H](F)C[C@H]2C)c1 ZINC001137380255 1130545991 /nfs/dbraw/zinc/54/59/91/1130545991.db2.gz FRJAGGPJSCGZCE-PWSUYJOCSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1ccc(Br)c(C[N@@H+]2C[C@@H](F)C[C@H]2C)c1 ZINC001137380255 1130545995 /nfs/dbraw/zinc/54/59/95/1130545995.db2.gz FRJAGGPJSCGZCE-PWSUYJOCSA-N 1 2 286.188 3.690 20 0 CHADLO FC[C@H]1[C@@H]2C[N@H+](Cc3c(Cl)ccc(F)c3Cl)C[C@H]12 ZINC001137358645 1130478569 /nfs/dbraw/zinc/47/85/69/1130478569.db2.gz XCJKTOICJROCAY-PSVAKVPMSA-N 1 2 292.156 3.780 20 0 CHADLO FC[C@H]1[C@@H]2C[N@@H+](Cc3c(Cl)ccc(F)c3Cl)C[C@H]12 ZINC001137358645 1130478573 /nfs/dbraw/zinc/47/85/73/1130478573.db2.gz XCJKTOICJROCAY-PSVAKVPMSA-N 1 2 292.156 3.780 20 0 CHADLO CC[C@@H]([NH2+]Cc1cocn1)c1cc(Cl)ccc1OC ZINC000679047979 1130487244 /nfs/dbraw/zinc/48/72/44/1130487244.db2.gz LVTXTAKFRLBHCX-CYBMUJFWSA-N 1 2 280.755 3.578 20 0 CHADLO Clc1cccc2c1SCC[C@H]2[NH2+]Cc1cocn1 ZINC000679048979 1130487598 /nfs/dbraw/zinc/48/75/98/1130487598.db2.gz LJRYWYVHKMKZCG-GFCCVEGCSA-N 1 2 280.780 3.655 20 0 CHADLO Cc1cc2cc([C@@H](C)[NH2+]Cc3cocn3)oc2cc1C ZINC000679047369 1130487820 /nfs/dbraw/zinc/48/78/20/1130487820.db2.gz DZQRQYGMCJDWGR-GFCCVEGCSA-N 1 2 270.332 3.888 20 0 CHADLO CNc1cc(-c2ccc(Oc3cccnc3)cc2)cc[nH+]1 ZINC001240884314 1130501494 /nfs/dbraw/zinc/50/14/94/1130501494.db2.gz SPPSHLTXHYHCOB-UHFFFAOYSA-N 1 2 277.327 3.978 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cccc(Br)c2)C[C@@H]1F ZINC000679218869 1130502356 /nfs/dbraw/zinc/50/23/56/1130502356.db2.gz AYMMZIBCOUPNDN-GWCFXTLKSA-N 1 2 286.188 3.629 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cccc(Br)c2)C[C@@H]1F ZINC000679218869 1130502360 /nfs/dbraw/zinc/50/23/60/1130502360.db2.gz AYMMZIBCOUPNDN-GWCFXTLKSA-N 1 2 286.188 3.629 20 0 CHADLO C[N@H+](C/C=C\Cl)[C@]1(c2ccccc2)CCCCC1=O ZINC000792881429 1130504522 /nfs/dbraw/zinc/50/45/22/1130504522.db2.gz ZYVSNTLBIWBZBE-FGLWMGOFSA-N 1 2 277.795 3.709 20 0 CHADLO C[N@@H+](C/C=C\Cl)[C@]1(c2ccccc2)CCCCC1=O ZINC000792881429 1130504525 /nfs/dbraw/zinc/50/45/25/1130504525.db2.gz ZYVSNTLBIWBZBE-FGLWMGOFSA-N 1 2 277.795 3.709 20 0 CHADLO Cc1c(-c2cccc3cccnc32)ccc2[nH+]ccn21 ZINC001240895767 1130507538 /nfs/dbraw/zinc/50/75/38/1130507538.db2.gz SPZASRVNMSONDE-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COC(=O)[C@@H]([NH3+])c1ccc(-c2ccc3ccccc3c2)cc1 ZINC001240911709 1130512129 /nfs/dbraw/zinc/51/21/29/1130512129.db2.gz XIMLMCREFYYSNM-SFHVURJKSA-N 1 2 291.350 3.680 20 0 CHADLO CN(C)c1ccc(-c2cc[nH+]c(N3CCCCC3)c2)cc1 ZINC001240913917 1130513386 /nfs/dbraw/zinc/51/33/86/1130513386.db2.gz RAHFPSGJWBLPOI-UHFFFAOYSA-N 1 2 281.403 3.805 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](c1ccc(C)cc1)C1CC1 ZINC000679332185 1130515635 /nfs/dbraw/zinc/51/56/35/1130515635.db2.gz SAOYGDJAVNAWJB-PXAZEXFGSA-N 1 2 269.392 3.828 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](c1ccc(F)cc1)C(C)C ZINC000679330232 1130515814 /nfs/dbraw/zinc/51/58/14/1130515814.db2.gz DXBQNYDWNHXMHZ-BZNIZROVSA-N 1 2 275.371 3.905 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]Cc1cc2c(s1)CCCC2 ZINC000679336465 1130516787 /nfs/dbraw/zinc/51/67/87/1130516787.db2.gz OVXJDXZXJKYXLD-SNVBAGLBSA-N 1 2 275.421 3.509 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CC[C@H](C)[C@@H](F)C2)c1 ZINC000679362573 1130521668 /nfs/dbraw/zinc/52/16/68/1130521668.db2.gz ZPTYNDFUMZXGDJ-AAEUAGOBSA-N 1 2 287.325 3.776 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CC[C@H](C)[C@@H](F)C2)c1 ZINC000679362573 1130521673 /nfs/dbraw/zinc/52/16/73/1130521673.db2.gz ZPTYNDFUMZXGDJ-AAEUAGOBSA-N 1 2 287.325 3.776 20 0 CHADLO CC(C)(C)OCc1ccc(-c2ccc(N)[nH+]c2)cc1 ZINC001240942984 1130526917 /nfs/dbraw/zinc/52/69/17/1130526917.db2.gz YXGHDZALBCLMNN-UHFFFAOYSA-N 1 2 256.349 3.646 20 0 CHADLO COCc1ccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001240952998 1130533064 /nfs/dbraw/zinc/53/30/64/1130533064.db2.gz KOQPBDSCZFRNQQ-UHFFFAOYSA-N 1 2 264.328 3.890 20 0 CHADLO COc1cc(F)c(-c2ccc3[nH+]c(C)cn3c2)cc1F ZINC001240959133 1130536963 /nfs/dbraw/zinc/53/69/63/1130536963.db2.gz QOTPQARHMNKZON-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO Cc1csc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)n1 ZINC000679544915 1130539707 /nfs/dbraw/zinc/53/97/07/1130539707.db2.gz IWRBSVGYVKAAAX-UWVGGRQHSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1csc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)n1 ZINC000679544915 1130539710 /nfs/dbraw/zinc/53/97/10/1130539710.db2.gz IWRBSVGYVKAAAX-UWVGGRQHSA-N 1 2 278.343 3.614 20 0 CHADLO CC[C@@H](C)c1ccc(C(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000747113201 1130544730 /nfs/dbraw/zinc/54/47/30/1130544730.db2.gz VWXDXMAXCRZDQS-GFCCVEGCSA-N 1 2 282.387 3.886 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cc(Cl)ccc1Br ZINC000606296658 1130545511 /nfs/dbraw/zinc/54/55/11/1130545511.db2.gz FOHYOGPPVWPMSN-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cc(Cl)ccc1Br ZINC000606296658 1130545518 /nfs/dbraw/zinc/54/55/18/1130545518.db2.gz FOHYOGPPVWPMSN-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO Fc1cc(-c2ccc(-n3cc[nH+]c3)cc2)cc(F)c1F ZINC001240980378 1130548970 /nfs/dbraw/zinc/54/89/70/1130548970.db2.gz TXFGGPGXTKTCDO-UHFFFAOYSA-N 1 2 274.245 3.957 20 0 CHADLO FC(F)O[C@@H]1CCC[N@H+](Cc2cscc2Cl)C1 ZINC000679740379 1130554879 /nfs/dbraw/zinc/55/48/79/1130554879.db2.gz MDEMWVOATNIQKR-SECBINFHSA-N 1 2 281.755 3.605 20 0 CHADLO FC(F)O[C@@H]1CCC[N@@H+](Cc2cscc2Cl)C1 ZINC000679740379 1130554884 /nfs/dbraw/zinc/55/48/84/1130554884.db2.gz MDEMWVOATNIQKR-SECBINFHSA-N 1 2 281.755 3.605 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccnc(Cl)c2Cl)CCS1 ZINC000811496178 1130612889 /nfs/dbraw/zinc/61/28/89/1130612889.db2.gz RXZMVRIGIWTOMM-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccnc(Cl)c2Cl)CCS1 ZINC000811496178 1130612892 /nfs/dbraw/zinc/61/28/92/1130612892.db2.gz RXZMVRIGIWTOMM-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO Cc1cnc(Nc2ccc([NH+]3CCC(C)CC3)cc2)nc1 ZINC000758146820 1130601146 /nfs/dbraw/zinc/60/11/46/1130601146.db2.gz ZBZNAWZLEJMIBZ-UHFFFAOYSA-N 1 2 282.391 3.765 20 0 CHADLO Cc1ccc2sc(C(=O)OCc3cc[nH+]c(N)c3)cc2c1 ZINC000811347369 1130602145 /nfs/dbraw/zinc/60/21/45/1130602145.db2.gz QETWVAFVZLGKHC-UHFFFAOYSA-N 1 2 298.367 3.544 20 0 CHADLO CN(C)c1ccnc(Cl)c1C[N@@H+]1CCCC[C@](C)(F)C1 ZINC001234102908 1130608404 /nfs/dbraw/zinc/60/84/04/1130608404.db2.gz AKRXOUXIGBKOMF-HNNXBMFYSA-N 1 2 299.821 3.515 20 0 CHADLO CN(C)c1ccnc(Cl)c1C[N@H+]1CCCC[C@](C)(F)C1 ZINC001234102908 1130608408 /nfs/dbraw/zinc/60/84/08/1130608408.db2.gz AKRXOUXIGBKOMF-HNNXBMFYSA-N 1 2 299.821 3.515 20 0 CHADLO C[C@@H]1C[N@H+](Cn2nc(Cl)c3ccccc32)CCC1(F)F ZINC000811779995 1130643749 /nfs/dbraw/zinc/64/37/49/1130643749.db2.gz KTFGBERLJGUAMJ-SNVBAGLBSA-N 1 2 299.752 3.624 20 0 CHADLO C[C@@H]1C[N@@H+](Cn2nc(Cl)c3ccccc32)CCC1(F)F ZINC000811779995 1130643752 /nfs/dbraw/zinc/64/37/52/1130643752.db2.gz KTFGBERLJGUAMJ-SNVBAGLBSA-N 1 2 299.752 3.624 20 0 CHADLO FCC[N@@H+]1CCC[C@@H]1c1ccccc1Br ZINC000759373031 1130653774 /nfs/dbraw/zinc/65/37/74/1130653774.db2.gz OBLXEYURBHONHU-GFCCVEGCSA-N 1 2 272.161 3.556 20 0 CHADLO FCC[N@H+]1CCC[C@@H]1c1ccccc1Br ZINC000759373031 1130653776 /nfs/dbraw/zinc/65/37/76/1130653776.db2.gz OBLXEYURBHONHU-GFCCVEGCSA-N 1 2 272.161 3.556 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H](C)c2ccc(C)nc2)cc1 ZINC001234588318 1130659813 /nfs/dbraw/zinc/65/98/13/1130659813.db2.gz LRJCPQSOEKIPSL-ZDUSSCGKSA-N 1 2 284.359 3.892 20 0 CHADLO C[N@H+](Cc1cccc(C(C)(C)C)c1)[C@@H]1CC(C)(C)OC1=O ZINC001170661085 1130668774 /nfs/dbraw/zinc/66/87/74/1130668774.db2.gz IEGWVAINZKXHFP-OAHLLOKOSA-N 1 2 289.419 3.510 20 0 CHADLO C[N@@H+](Cc1cccc(C(C)(C)C)c1)[C@@H]1CC(C)(C)OC1=O ZINC001170661085 1130668777 /nfs/dbraw/zinc/66/87/77/1130668777.db2.gz IEGWVAINZKXHFP-OAHLLOKOSA-N 1 2 289.419 3.510 20 0 CHADLO Cc1ccoc1C[NH2+][C@H]1CCCc2cn(C(C)C)nc21 ZINC000780408321 1130679258 /nfs/dbraw/zinc/67/92/58/1130679258.db2.gz IPKJTHPANRYGIS-AWEZNQCLSA-N 1 2 273.380 3.533 20 0 CHADLO CC[C@@H](CC(=O)Nc1c(C)c[nH+]cc1C)c1ccc(C)cc1 ZINC001133456498 1130720145 /nfs/dbraw/zinc/72/01/45/1130720145.db2.gz SPZIVKLAFPQNHP-INIZCTEOSA-N 1 2 296.414 3.951 20 0 CHADLO CC[N@H+](C/C(Cl)=C\Cl)[C@H](C)[C@@H](O)c1ccccc1 ZINC000812848564 1130721361 /nfs/dbraw/zinc/72/13/61/1130721361.db2.gz KXDGOPHIGURSIA-FGEHYREYSA-N 1 2 288.218 3.749 20 0 CHADLO CC[N@@H+](C/C(Cl)=C\Cl)[C@H](C)[C@@H](O)c1ccccc1 ZINC000812848564 1130721366 /nfs/dbraw/zinc/72/13/66/1130721366.db2.gz KXDGOPHIGURSIA-FGEHYREYSA-N 1 2 288.218 3.749 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCc3ccccc3C2)c(F)c1 ZINC001235192227 1130722047 /nfs/dbraw/zinc/72/20/47/1130722047.db2.gz GDWJEQULSJQFPB-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCc3ccccc3C2)c(F)c1 ZINC001235192227 1130722050 /nfs/dbraw/zinc/72/20/50/1130722050.db2.gz GDWJEQULSJQFPB-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO C[C@@H]([N@H+](C)Cn1nc(C2CCC2)n(C)c1=S)C(C)(C)C ZINC000781563502 1130726598 /nfs/dbraw/zinc/72/65/98/1130726598.db2.gz OPMIOIPLMKWHTD-LLVKDONJSA-N 1 2 296.484 3.542 20 0 CHADLO C[C@@H]([N@@H+](C)Cn1nc(C2CCC2)n(C)c1=S)C(C)(C)C ZINC000781563502 1130726604 /nfs/dbraw/zinc/72/66/04/1130726604.db2.gz OPMIOIPLMKWHTD-LLVKDONJSA-N 1 2 296.484 3.542 20 0 CHADLO COc1cc(C[N@H+]2CC=C(c3ccccc3)CC2)c(F)cn1 ZINC001235327327 1130733717 /nfs/dbraw/zinc/73/37/17/1130733717.db2.gz REEYCUAFPWEVAV-UHFFFAOYSA-N 1 2 298.361 3.519 20 0 CHADLO COc1cc(C[N@@H+]2CC=C(c3ccccc3)CC2)c(F)cn1 ZINC001235327327 1130733722 /nfs/dbraw/zinc/73/37/22/1130733722.db2.gz REEYCUAFPWEVAV-UHFFFAOYSA-N 1 2 298.361 3.519 20 0 CHADLO Cc1cnc(F)c(C[N@H+](C)Cc2ccc(Cl)cc2)c1 ZINC001235333596 1130734841 /nfs/dbraw/zinc/73/48/41/1130734841.db2.gz FLJNSTRUSINQAF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cnc(F)c(C[N@@H+](C)Cc2ccc(Cl)cc2)c1 ZINC001235333596 1130734844 /nfs/dbraw/zinc/73/48/44/1130734844.db2.gz FLJNSTRUSINQAF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@@H+]1Cc1ncc(Cl)cn1 ZINC001235407993 1130739470 /nfs/dbraw/zinc/73/94/70/1130739470.db2.gz SESDAVUZQRAVOA-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@H+]1Cc1ncc(Cl)cn1 ZINC001235407993 1130739475 /nfs/dbraw/zinc/73/94/75/1130739475.db2.gz SESDAVUZQRAVOA-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO C[N@H+](Cc1cncc(F)c1Cl)[C@@H]1CCc2ccccc21 ZINC001235503862 1130748447 /nfs/dbraw/zinc/74/84/47/1130748447.db2.gz KCKISNCYIDZUHR-OAHLLOKOSA-N 1 2 290.769 3.993 20 0 CHADLO C[N@@H+](Cc1cncc(F)c1Cl)[C@@H]1CCc2ccccc21 ZINC001235503862 1130748451 /nfs/dbraw/zinc/74/84/51/1130748451.db2.gz KCKISNCYIDZUHR-OAHLLOKOSA-N 1 2 290.769 3.993 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)C=CC1CCCCCC1 ZINC001133685937 1130755283 /nfs/dbraw/zinc/75/52/83/1130755283.db2.gz KXCFJBRTTJYUGD-KTKRTIGZSA-N 1 2 272.392 3.585 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)[C@@H]1CCC[C@H]2CCCC[C@H]21 ZINC001133686108 1130755920 /nfs/dbraw/zinc/75/59/20/1130755920.db2.gz RXMXYLJFYLQFSN-BZUAXINKSA-N 1 2 286.419 3.665 20 0 CHADLO C[C@H]([NH2+]C1(c2ccccc2F)CC1)c1cn2c(n1)CCCC2 ZINC000813329696 1130759923 /nfs/dbraw/zinc/75/99/23/1130759923.db2.gz VRBBQSWKFVCOHN-ZDUSSCGKSA-N 1 2 299.393 3.698 20 0 CHADLO C[C@H](NC1(c2ccccc2F)CC1)c1cn2c([nH+]1)CCCC2 ZINC000813329696 1130759926 /nfs/dbraw/zinc/75/99/26/1130759926.db2.gz VRBBQSWKFVCOHN-ZDUSSCGKSA-N 1 2 299.393 3.698 20 0 CHADLO CC(C)(C)OC(=O)n1cccc1C[N@@H+]1CCCC[C@@H](F)C1 ZINC001235625259 1130759983 /nfs/dbraw/zinc/75/99/83/1130759983.db2.gz LULXVGYPDFAIDN-CYBMUJFWSA-N 1 2 296.386 3.595 20 0 CHADLO CC(C)(C)OC(=O)n1cccc1C[N@H+]1CCCC[C@@H](F)C1 ZINC001235625259 1130759988 /nfs/dbraw/zinc/75/99/88/1130759988.db2.gz LULXVGYPDFAIDN-CYBMUJFWSA-N 1 2 296.386 3.595 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CC[C@@H](c4ccccc4)C3)[nH]c2c1 ZINC001235683731 1130767883 /nfs/dbraw/zinc/76/78/83/1130767883.db2.gz PDHOHGWPFSWDHP-CQSZACIVSA-N 1 2 295.361 3.692 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CC[C@@H](c4ccccc4)C3)[nH]c2c1 ZINC001235683731 1130767887 /nfs/dbraw/zinc/76/78/87/1130767887.db2.gz PDHOHGWPFSWDHP-CQSZACIVSA-N 1 2 295.361 3.692 20 0 CHADLO Cc1ccccc1OCCOc1ccccc1-n1cc[nH+]c1 ZINC000747856137 1130770510 /nfs/dbraw/zinc/77/05/10/1130770510.db2.gz BSBUMXMCXUNGPB-UHFFFAOYSA-N 1 2 294.354 3.639 20 0 CHADLO Cc1cn2c(cccc2-c2cc(Cl)ccc2O)[nH+]1 ZINC001245051269 1130773320 /nfs/dbraw/zinc/77/33/20/1130773320.db2.gz WJJBKMLJBHLLCO-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)[C@H]1C ZINC000813868142 1130790408 /nfs/dbraw/zinc/79/04/08/1130790408.db2.gz SCVFBYWJRLRHBP-PYOGLGTISA-N 1 2 288.435 3.757 20 0 CHADLO CCOc1cc(-c2ccccc2Cn2cc[nH+]c2)c(F)cn1 ZINC001235875782 1130791822 /nfs/dbraw/zinc/79/18/22/1130791822.db2.gz CACZXNCJLIRGMR-UHFFFAOYSA-N 1 2 297.333 3.531 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OC/C=C/c1ccccc1 ZINC000783149437 1130798923 /nfs/dbraw/zinc/79/89/23/1130798923.db2.gz QYRADSRSABKMMV-WEVVVXLNSA-N 1 2 284.334 3.580 20 0 CHADLO CC(C)(C)Oc1ccc(-c2nc3[nH]ccc3c[nH+]2)cc1 ZINC001235921523 1130804663 /nfs/dbraw/zinc/80/46/63/1130804663.db2.gz FZXWKAKXAMOVHU-UHFFFAOYSA-N 1 2 267.332 3.754 20 0 CHADLO Cc1cc2c[nH+]c(-c3ccc(-c4ccncc4)cc3)nc2[nH]1 ZINC001236032013 1130833696 /nfs/dbraw/zinc/83/36/96/1130833696.db2.gz FXZSXTIVOMRTGM-UHFFFAOYSA-N 1 2 286.338 3.947 20 0 CHADLO Nc1cn2ccc(-c3ccc(-c4ccncc4)cc3)cc2[nH+]1 ZINC001236039700 1130836485 /nfs/dbraw/zinc/83/64/85/1130836485.db2.gz WSCWXDNPGJIYDV-UHFFFAOYSA-N 1 2 286.338 3.646 20 0 CHADLO Cc1cc(-c2ccnn2Cc2ccccc2)c2[nH+]ccn2c1 ZINC001236047784 1130838836 /nfs/dbraw/zinc/83/88/36/1130838836.db2.gz CKYZWHWOKUQKOU-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO Cc1cc(N2CCOCC2)[nH+]cc1-c1cccc(C2CC2)c1 ZINC001236084382 1130852833 /nfs/dbraw/zinc/85/28/33/1130852833.db2.gz KGRVKESPDJZPHD-UHFFFAOYSA-N 1 2 294.398 3.771 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@H](F)C(C)C)c2)[nH+]c1C ZINC000784357814 1130900979 /nfs/dbraw/zinc/90/09/79/1130900979.db2.gz KABHUGOSXURXPY-CQSZACIVSA-N 1 2 289.354 3.626 20 0 CHADLO Cc1cc(-c2ccc(NC(=O)C(C)C)cc2)cc(C)[nH+]1 ZINC001236261860 1130909124 /nfs/dbraw/zinc/90/91/24/1130909124.db2.gz YYFWKZWOAXNUCP-UHFFFAOYSA-N 1 2 268.360 3.960 20 0 CHADLO Nc1ccc(-c2ccc(-c3nc4ccccc4[nH]3)cc2)c[nH+]1 ZINC001236313266 1130912678 /nfs/dbraw/zinc/91/26/78/1130912678.db2.gz KNNGYMQLOMNPIL-UHFFFAOYSA-N 1 2 286.338 3.874 20 0 CHADLO CCCOc1c(F)c(F)c(/C(C)=C/C=C/[NH3+])c(F)c1F ZINC001236327633 1130914223 /nfs/dbraw/zinc/91/42/23/1130914223.db2.gz MTXWCBCIXCNXMV-HLQBBKRNSA-N 1 2 289.272 3.908 20 0 CHADLO CCC=CNc1ccc2c(cc(OC)c(OC)c2OC)[nH+]1 ZINC001170767001 1130917361 /nfs/dbraw/zinc/91/73/61/1130917361.db2.gz FFDZFZLOOMQEPM-RMKNXTFCSA-N 1 2 288.347 3.596 20 0 CHADLO COc1nc(C(C)C)ccc1-c1cc2[nH]ccc2c[nH+]1 ZINC001236439619 1130941753 /nfs/dbraw/zinc/94/17/53/1130941753.db2.gz RBDWLOMAURRKKX-UHFFFAOYSA-N 1 2 267.332 3.757 20 0 CHADLO COc1nc(C(C)C)ccc1-c1cccc2[nH+]c(C)cn21 ZINC001236443430 1130942763 /nfs/dbraw/zinc/94/27/63/1130942763.db2.gz OBVZCYWLVMUCIS-UHFFFAOYSA-N 1 2 281.359 3.837 20 0 CHADLO CCCOc1ccc(Cl)cc1-c1cc[nH+]c(N)c1 ZINC001236453891 1130946190 /nfs/dbraw/zinc/94/61/90/1130946190.db2.gz XTOAZDKGPRVOKC-UHFFFAOYSA-N 1 2 262.740 3.773 20 0 CHADLO Cc1cc(C)c(Cl)c(-c2cc[nH+]c3c2CCN3)c1 ZINC001236548236 1130972425 /nfs/dbraw/zinc/97/24/25/1130972425.db2.gz NGEYPZCLGAHWCZ-UHFFFAOYSA-N 1 2 258.752 3.987 20 0 CHADLO Cc1cc(-c2cncc(OCc3ccccc3)c2)c[nH+]c1N ZINC001236574167 1130978653 /nfs/dbraw/zinc/97/86/53/1130978653.db2.gz SBVUXJYXSSYDAB-UHFFFAOYSA-N 1 2 291.354 3.613 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCC[C@H]2c2c(F)cccc2F)o1 ZINC001236593293 1130982757 /nfs/dbraw/zinc/98/27/57/1130982757.db2.gz APHNKSYXNIRKAU-AWEZNQCLSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCC[C@H]2c2c(F)cccc2F)o1 ZINC001236593293 1130982762 /nfs/dbraw/zinc/98/27/62/1130982762.db2.gz APHNKSYXNIRKAU-AWEZNQCLSA-N 1 2 292.329 3.907 20 0 CHADLO COc1ccc2sc(C[N@H+](C)Cc3ccon3)cc2c1 ZINC001236657709 1130989316 /nfs/dbraw/zinc/98/93/16/1130989316.db2.gz ABJFIIDKYDTKRZ-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO COc1ccc2sc(C[N@@H+](C)Cc3ccon3)cc2c1 ZINC001236657709 1130989319 /nfs/dbraw/zinc/98/93/19/1130989319.db2.gz ABJFIIDKYDTKRZ-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO COc1cc2ccccc2cc1C[N@@H+]1CCn2cccc2C1 ZINC001236676370 1130991686 /nfs/dbraw/zinc/99/16/86/1130991686.db2.gz XESQIKMIGHALEG-UHFFFAOYSA-N 1 2 292.382 3.666 20 0 CHADLO COc1cc2ccccc2cc1C[N@H+]1CCn2cccc2C1 ZINC001236676370 1130991691 /nfs/dbraw/zinc/99/16/91/1130991691.db2.gz XESQIKMIGHALEG-UHFFFAOYSA-N 1 2 292.382 3.666 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1cccc2sccc21 ZINC001236690131 1130994918 /nfs/dbraw/zinc/99/49/18/1130994918.db2.gz PQKYTIBYWSJMGL-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1cccc2sccc21 ZINC001236690131 1130994925 /nfs/dbraw/zinc/99/49/25/1130994925.db2.gz PQKYTIBYWSJMGL-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO Cc1cc(CNc2ccc(C)[nH+]c2C)cc(Cl)n1 ZINC000797602131 1130997863 /nfs/dbraw/zinc/99/78/63/1130997863.db2.gz LYXFIGLXUTZZQN-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+][C@@H]1CCCC1(F)F ZINC000815627004 1131011151 /nfs/dbraw/zinc/01/11/51/1131011151.db2.gz QQRRASXWFJSFFZ-ZWNOBZJWSA-N 1 2 257.299 3.973 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1c(F)cc(F)cc1F ZINC000815650018 1131016260 /nfs/dbraw/zinc/01/62/60/1131016260.db2.gz FVDCJHCZTJNMEQ-JTQLQIEISA-N 1 2 294.320 3.912 20 0 CHADLO CCc1noc(C)c1[C@@H](C)[NH2+]Cc1c(F)cc(F)cc1F ZINC000815650210 1131018302 /nfs/dbraw/zinc/01/83/02/1131018302.db2.gz LPARIBQDRIORAV-MRVPVSSYSA-N 1 2 298.308 3.814 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccccn2)c1Cl ZINC001236852511 1131023182 /nfs/dbraw/zinc/02/31/82/1131023182.db2.gz XOWQPAWNUWIZHB-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccccn2)c1Cl ZINC001236852511 1131023189 /nfs/dbraw/zinc/02/31/89/1131023189.db2.gz XOWQPAWNUWIZHB-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1cccc(C)c1Cl)CC2 ZINC001236860028 1131026129 /nfs/dbraw/zinc/02/61/29/1131026129.db2.gz VYQAWTQOQZDLSA-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1cccc(C)c1Cl)CC2 ZINC001236860028 1131026133 /nfs/dbraw/zinc/02/61/33/1131026133.db2.gz VYQAWTQOQZDLSA-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Fc1ccc2c(cc[nH+]c2NCOc2ccccc2)c1 ZINC001170806019 1131030460 /nfs/dbraw/zinc/03/04/60/1131030460.db2.gz GFYDJTGSKFYXMO-UHFFFAOYSA-N 1 2 268.291 3.822 20 0 CHADLO CCc1cccc(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)c1 ZINC001135304922 1131037042 /nfs/dbraw/zinc/03/70/42/1131037042.db2.gz XLRRGFUXRHEDKA-INIZCTEOSA-N 1 2 285.391 3.671 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@@H]2c2ccccn2)o1 ZINC000748039052 1131037328 /nfs/dbraw/zinc/03/73/28/1131037328.db2.gz ITBJXQCZWTUHQV-CQSZACIVSA-N 1 2 271.364 3.530 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@@H]2c2ccccn2)o1 ZINC000748039052 1131037332 /nfs/dbraw/zinc/03/73/32/1131037332.db2.gz ITBJXQCZWTUHQV-CQSZACIVSA-N 1 2 271.364 3.530 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccccc2OC(C)(C)C)cn1 ZINC001237030062 1131038154 /nfs/dbraw/zinc/03/81/54/1131038154.db2.gz XTFGISIPYZYTKG-UHFFFAOYSA-N 1 2 299.418 3.594 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccccc2OC(C)(C)C)cn1 ZINC001237030062 1131038158 /nfs/dbraw/zinc/03/81/58/1131038158.db2.gz XTFGISIPYZYTKG-UHFFFAOYSA-N 1 2 299.418 3.594 20 0 CHADLO Cc1c(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)ccc(F)c1F ZINC001237155280 1131060434 /nfs/dbraw/zinc/06/04/34/1131060434.db2.gz QLLRJJALHBZOTR-ZFWWWQNUSA-N 1 2 293.357 3.607 20 0 CHADLO Cc1c(C[N@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)ccc(F)c1F ZINC001237155280 1131060440 /nfs/dbraw/zinc/06/04/40/1131060440.db2.gz QLLRJJALHBZOTR-ZFWWWQNUSA-N 1 2 293.357 3.607 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@H]3CCCc4cccnc43)ccc21 ZINC000798933702 1131062409 /nfs/dbraw/zinc/06/24/09/1131062409.db2.gz RHLALBXUKHIEHH-KRWDZBQOSA-N 1 2 293.414 3.954 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@H]3CCCc4cccnc43)ccc21 ZINC000798933702 1131062416 /nfs/dbraw/zinc/06/24/16/1131062416.db2.gz RHLALBXUKHIEHH-KRWDZBQOSA-N 1 2 293.414 3.954 20 0 CHADLO COc1cccc(C[N@@H+]2CCCC[C@@H](F)C2)c1Cl ZINC001237185440 1131067192 /nfs/dbraw/zinc/06/71/92/1131067192.db2.gz OSWOHFAKADBIAI-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cccc(C[N@H+]2CCCC[C@@H](F)C2)c1Cl ZINC001237185440 1131067196 /nfs/dbraw/zinc/06/71/96/1131067196.db2.gz OSWOHFAKADBIAI-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO Clc1cnc(C[NH+]2CCC(c3ccsc3)CC2)cn1 ZINC000799166419 1131073653 /nfs/dbraw/zinc/07/36/53/1131073653.db2.gz IWAPXUBNAKWDSW-UHFFFAOYSA-N 1 2 293.823 3.571 20 0 CHADLO COc1cccc2c(N[C@H](C)c3ccc[nH]3)cc[nH+]c12 ZINC000799321393 1131079377 /nfs/dbraw/zinc/07/93/77/1131079377.db2.gz BVOHBMPYVSYGGX-LLVKDONJSA-N 1 2 267.332 3.745 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@H+]1CCC(=O)C[C@H]1C ZINC001237351854 1131088766 /nfs/dbraw/zinc/08/87/66/1131088766.db2.gz ASWFDRWTYQDEPN-GFCCVEGCSA-N 1 2 265.784 3.510 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@@H+]1CCC(=O)C[C@H]1C ZINC001237351854 1131088771 /nfs/dbraw/zinc/08/87/71/1131088771.db2.gz ASWFDRWTYQDEPN-GFCCVEGCSA-N 1 2 265.784 3.510 20 0 CHADLO Cn1ncc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2)c1Cl ZINC000816483337 1131097696 /nfs/dbraw/zinc/09/76/96/1131097696.db2.gz OTEVBHYDWVJKAF-AWEZNQCLSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1ncc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2)c1Cl ZINC000816483337 1131097700 /nfs/dbraw/zinc/09/77/00/1131097700.db2.gz OTEVBHYDWVJKAF-AWEZNQCLSA-N 1 2 293.773 3.550 20 0 CHADLO FC(F)(F)c1cc(C[NH+]2CCCCC2)cc(Cl)n1 ZINC001237490857 1131104445 /nfs/dbraw/zinc/10/44/45/1131104445.db2.gz IEELELWCNPVPIS-UHFFFAOYSA-N 1 2 278.705 3.740 20 0 CHADLO O=c1[nH]c2ccccc2c(Cl)c1C[N@H+]1CCC[C@H](F)C1 ZINC001237497184 1131105781 /nfs/dbraw/zinc/10/57/81/1131105781.db2.gz LYYBIXMWJRRROD-JTQLQIEISA-N 1 2 294.757 3.528 20 0 CHADLO O=c1[nH]c2ccccc2c(Cl)c1C[N@@H+]1CCC[C@H](F)C1 ZINC001237497184 1131105785 /nfs/dbraw/zinc/10/57/85/1131105785.db2.gz LYYBIXMWJRRROD-JTQLQIEISA-N 1 2 294.757 3.528 20 0 CHADLO O=c1[nH]c2ccccc2c(Cl)c1C[N@H+]1CCC[C@@H](F)C1 ZINC001237497188 1131106332 /nfs/dbraw/zinc/10/63/32/1131106332.db2.gz LYYBIXMWJRRROD-SNVBAGLBSA-N 1 2 294.757 3.528 20 0 CHADLO O=c1[nH]c2ccccc2c(Cl)c1C[N@@H+]1CCC[C@@H](F)C1 ZINC001237497188 1131106335 /nfs/dbraw/zinc/10/63/35/1131106335.db2.gz LYYBIXMWJRRROD-SNVBAGLBSA-N 1 2 294.757 3.528 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000816563865 1131111675 /nfs/dbraw/zinc/11/16/75/1131111675.db2.gz LYUIODNCIZGWFJ-AWEZNQCLSA-N 1 2 296.414 3.818 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000816563865 1131111681 /nfs/dbraw/zinc/11/16/81/1131111681.db2.gz LYUIODNCIZGWFJ-AWEZNQCLSA-N 1 2 296.414 3.818 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)[NH2+]c1ccc2c(c1)CCCN2C ZINC000816563865 1131111684 /nfs/dbraw/zinc/11/16/84/1131111684.db2.gz LYUIODNCIZGWFJ-AWEZNQCLSA-N 1 2 296.414 3.818 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1ccn3nccc3c1)C2 ZINC001237543370 1131112571 /nfs/dbraw/zinc/11/25/71/1131112571.db2.gz WAZIBQXNTHEWNK-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1ccn3nccc3c1)C2 ZINC001237543370 1131112573 /nfs/dbraw/zinc/11/25/73/1131112573.db2.gz WAZIBQXNTHEWNK-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1cc([NH2+][C@H]2CC=C(C)CC2)ccc1N1CCOCC1 ZINC000816567223 1131112566 /nfs/dbraw/zinc/11/25/66/1131112566.db2.gz UXOWQTYNDLOOPS-INIZCTEOSA-N 1 2 286.419 3.742 20 0 CHADLO NC(=[NH+]OCCSC1CCCCC1)c1ccccc1 ZINC000799960648 1131116766 /nfs/dbraw/zinc/11/67/66/1131116766.db2.gz ZEGJFFBBIUGHNU-UHFFFAOYSA-N 1 2 278.421 3.599 20 0 CHADLO CCC(CC)[N@H+](Cc1nnc[nH]1)[C@H](C)c1ccccc1 ZINC001237603914 1131118668 /nfs/dbraw/zinc/11/86/68/1131118668.db2.gz KANGNSQZZODIAM-CYBMUJFWSA-N 1 2 272.396 3.557 20 0 CHADLO CCC(CC)[N@@H+](Cc1nnc[nH]1)[C@H](C)c1ccccc1 ZINC001237603914 1131118671 /nfs/dbraw/zinc/11/86/71/1131118671.db2.gz KANGNSQZZODIAM-CYBMUJFWSA-N 1 2 272.396 3.557 20 0 CHADLO CCOc1ccc(C[N@H+](Cc2ccco2)C2CC2)c(F)c1 ZINC001237629619 1131120126 /nfs/dbraw/zinc/12/01/26/1131120126.db2.gz AULIZPAGHNIOCT-UHFFFAOYSA-N 1 2 289.350 3.982 20 0 CHADLO CCOc1ccc(C[N@@H+](Cc2ccco2)C2CC2)c(F)c1 ZINC001237629619 1131120131 /nfs/dbraw/zinc/12/01/31/1131120131.db2.gz AULIZPAGHNIOCT-UHFFFAOYSA-N 1 2 289.350 3.982 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[N@@H+]1C[C@H](C)[C@H]1C ZINC000816649169 1131121158 /nfs/dbraw/zinc/12/11/58/1131121158.db2.gz VGPQCRKQRLBKEU-DTWKUNHWSA-N 1 2 274.191 3.842 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[N@H+]1C[C@H](C)[C@H]1C ZINC000816649169 1131121162 /nfs/dbraw/zinc/12/11/62/1131121162.db2.gz VGPQCRKQRLBKEU-DTWKUNHWSA-N 1 2 274.191 3.842 20 0 CHADLO Cc1coc(C[N@H+]2CC=C(c3ccc(Cl)cc3)CC2)n1 ZINC001237634294 1131122129 /nfs/dbraw/zinc/12/21/29/1131122129.db2.gz DYWYGTGAOWGOQR-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1coc(C[N@@H+]2CC=C(c3ccc(Cl)cc3)CC2)n1 ZINC001237634294 1131122132 /nfs/dbraw/zinc/12/21/32/1131122132.db2.gz DYWYGTGAOWGOQR-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1coc(C[N@@H+](C)Cc2ccc3ccccc3c2)n1 ZINC001237643910 1131123237 /nfs/dbraw/zinc/12/32/37/1131123237.db2.gz VIRWAOKXHJKFQR-UHFFFAOYSA-N 1 2 266.344 3.768 20 0 CHADLO Cc1coc(C[N@H+](C)Cc2ccc3ccccc3c2)n1 ZINC001237643910 1131123238 /nfs/dbraw/zinc/12/32/38/1131123238.db2.gz VIRWAOKXHJKFQR-UHFFFAOYSA-N 1 2 266.344 3.768 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1Cl)[N@@H+]1CC(C)(C)C1(C)C ZINC001116211587 1131133922 /nfs/dbraw/zinc/13/39/22/1131133922.db2.gz KZOWSRWIPFKOLO-NSHDSACASA-N 1 2 294.826 3.787 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1Cl)[N@H+]1CC(C)(C)C1(C)C ZINC001116211587 1131133925 /nfs/dbraw/zinc/13/39/25/1131133925.db2.gz KZOWSRWIPFKOLO-NSHDSACASA-N 1 2 294.826 3.787 20 0 CHADLO CCc1cc(C[N@H+](C)Cc2ccon2)ccc1Cl ZINC001237740380 1131136490 /nfs/dbraw/zinc/13/64/90/1131136490.db2.gz UCWBIDFKETUNFJ-UHFFFAOYSA-N 1 2 264.756 3.522 20 0 CHADLO CCc1cc(C[N@@H+](C)Cc2ccon2)ccc1Cl ZINC001237740380 1131136493 /nfs/dbraw/zinc/13/64/93/1131136493.db2.gz UCWBIDFKETUNFJ-UHFFFAOYSA-N 1 2 264.756 3.522 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001238008881 1131161301 /nfs/dbraw/zinc/16/13/01/1131161301.db2.gz SONMCGWCKIAKPU-SECBINFHSA-N 1 2 259.180 3.620 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001238008881 1131161306 /nfs/dbraw/zinc/16/13/06/1131161306.db2.gz SONMCGWCKIAKPU-SECBINFHSA-N 1 2 259.180 3.620 20 0 CHADLO CCc1ncc(C[N@@H+]2CCc3cccc(Cl)c3C2)s1 ZINC001237828272 1131143856 /nfs/dbraw/zinc/14/38/56/1131143856.db2.gz KOCYZVIIHGJLBZ-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CCc1ncc(C[N@H+]2CCc3cccc(Cl)c3C2)s1 ZINC001237828272 1131143862 /nfs/dbraw/zinc/14/38/62/1131143862.db2.gz KOCYZVIIHGJLBZ-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO Cc1cc(CNC(=O)NC2CCCCCCC2)cc(C)[nH+]1 ZINC000817153008 1131144892 /nfs/dbraw/zinc/14/48/92/1131144892.db2.gz RJAKTSHTLFVOFH-UHFFFAOYSA-N 1 2 289.423 3.611 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2Cc2ccccc2Cl)n[nH]1 ZINC001116440496 1131145033 /nfs/dbraw/zinc/14/50/33/1131145033.db2.gz KEASNKXVCSZAQY-HNNXBMFYSA-N 1 2 289.810 3.579 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2Cc2ccccc2Cl)n[nH]1 ZINC001116440496 1131145036 /nfs/dbraw/zinc/14/50/36/1131145036.db2.gz KEASNKXVCSZAQY-HNNXBMFYSA-N 1 2 289.810 3.579 20 0 CHADLO Cc1ccc(N[C@H](C)c2ccccc2N(C)C)c(C)[nH+]1 ZINC001116485081 1131150341 /nfs/dbraw/zinc/15/03/41/1131150341.db2.gz QRMREGQFQHIXAF-CYBMUJFWSA-N 1 2 269.392 3.938 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ncoc2C(C)C)c(C)o1 ZINC001116578528 1131156108 /nfs/dbraw/zinc/15/61/08/1131156108.db2.gz ZZYNYEWHFOXEGE-LLVKDONJSA-N 1 2 262.353 3.859 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237993990 1131159316 /nfs/dbraw/zinc/15/93/16/1131159316.db2.gz XYWPKOCAWLOKNV-ZDUSSCGKSA-N 1 2 271.763 3.805 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237993990 1131159319 /nfs/dbraw/zinc/15/93/19/1131159319.db2.gz XYWPKOCAWLOKNV-ZDUSSCGKSA-N 1 2 271.763 3.805 20 0 CHADLO CCN(Cc1ccccn1)c1cc(C)c2ccccc2[nH+]1 ZINC001116718049 1131161862 /nfs/dbraw/zinc/16/18/62/1131161862.db2.gz BMFURIPGMPVXBR-UHFFFAOYSA-N 1 2 277.371 3.965 20 0 CHADLO COc1ncc(C2CC2)cc1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001238023390 1131162373 /nfs/dbraw/zinc/16/23/73/1131162373.db2.gz DTZMSVYKASHRAM-UHFFFAOYSA-N 1 2 294.398 3.792 20 0 CHADLO COc1ncc(C2CC2)cc1C[N@H+]1Cc2cccc(C)c2C1 ZINC001238023390 1131162375 /nfs/dbraw/zinc/16/23/75/1131162375.db2.gz DTZMSVYKASHRAM-UHFFFAOYSA-N 1 2 294.398 3.792 20 0 CHADLO CCCCCC(C)(C)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000817458370 1131162475 /nfs/dbraw/zinc/16/24/75/1131162475.db2.gz FKAVMDZBHLVVMK-UHFFFAOYSA-N 1 2 276.424 3.921 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cnc(F)cc2Cl)cc1 ZINC001238017408 1131162787 /nfs/dbraw/zinc/16/27/87/1131162787.db2.gz DOYMSPBPKQZVQV-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cnc(F)cc2Cl)cc1 ZINC001238017408 1131162789 /nfs/dbraw/zinc/16/27/89/1131162789.db2.gz DOYMSPBPKQZVQV-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C2CCCCC2)no1)n1cc[nH+]c1 ZINC001116797622 1131164684 /nfs/dbraw/zinc/16/46/84/1131164684.db2.gz LOJGLSVFTDHYNU-LBPRGKRZSA-N 1 2 288.395 3.733 20 0 CHADLO CCOc1cc(C[N@@H+]2Cc3cccc(OC)c3C2)ccc1C ZINC001238076742 1131167990 /nfs/dbraw/zinc/16/79/90/1131167990.db2.gz PWHTZGFHXXFGAS-UHFFFAOYSA-N 1 2 297.398 3.918 20 0 CHADLO CCOc1cc(C[N@H+]2Cc3cccc(OC)c3C2)ccc1C ZINC001238076742 1131167994 /nfs/dbraw/zinc/16/79/94/1131167994.db2.gz PWHTZGFHXXFGAS-UHFFFAOYSA-N 1 2 297.398 3.918 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(F)c(Br)c2F)C1 ZINC001238078787 1131168001 /nfs/dbraw/zinc/16/80/01/1131168001.db2.gz RTULUMFPSRLBDF-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(F)c(Br)c2F)C1 ZINC001238078787 1131168005 /nfs/dbraw/zinc/16/80/05/1131168005.db2.gz RTULUMFPSRLBDF-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO c1c(C[NH+]2CCC2)nn(-c2ccccc2)c1-c1ccccc1 ZINC001238099211 1131171016 /nfs/dbraw/zinc/17/10/16/1131171016.db2.gz OAVIWYMNRIPXEQ-UHFFFAOYSA-N 1 2 289.382 3.745 20 0 CHADLO COc1cnc(F)c(C[N@H+]2CC=C(c3ccccc3)CC2)c1 ZINC001238124676 1131171882 /nfs/dbraw/zinc/17/18/82/1131171882.db2.gz ZPJUAZBAJOOYFD-UHFFFAOYSA-N 1 2 298.361 3.519 20 0 CHADLO COc1cnc(F)c(C[N@@H+]2CC=C(c3ccccc3)CC2)c1 ZINC001238124676 1131171886 /nfs/dbraw/zinc/17/18/86/1131171886.db2.gz ZPJUAZBAJOOYFD-UHFFFAOYSA-N 1 2 298.361 3.519 20 0 CHADLO Cc1ccc(NC(=S)NCc2cc(C)[nH+]c(C)c2)c(C)c1 ZINC000817563475 1131175459 /nfs/dbraw/zinc/17/54/59/1131175459.db2.gz YOBMINCXEJDKDA-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO c1cn(Cc2ccc(-c3nnc(C4CCCC4)o3)cc2)c[nH+]1 ZINC001117233170 1131180727 /nfs/dbraw/zinc/18/07/27/1131180727.db2.gz DRTYPXQTPJQHCA-UHFFFAOYSA-N 1 2 294.358 3.639 20 0 CHADLO CCCCCC[C@](C)(CC)c1nnc(C[NH+](C)C)o1 ZINC001117229047 1131180893 /nfs/dbraw/zinc/18/08/93/1131180893.db2.gz MHKLYCTXCJZCCZ-HNNXBMFYSA-N 1 2 267.417 3.769 20 0 CHADLO Cc1c(C)c(C[N@@H+]2CCCC(=O)CC2)ccc1Cl ZINC001238210936 1131180894 /nfs/dbraw/zinc/18/08/94/1131180894.db2.gz CXNMUHIWANUGSR-UHFFFAOYSA-N 1 2 265.784 3.512 20 0 CHADLO Cc1c(C)c(C[N@H+]2CCCC(=O)CC2)ccc1Cl ZINC001238210936 1131180898 /nfs/dbraw/zinc/18/08/98/1131180898.db2.gz CXNMUHIWANUGSR-UHFFFAOYSA-N 1 2 265.784 3.512 20 0 CHADLO C[C@@H](COC(=O)c1cccc(Cn2cc[nH+]c2)c1)C1CCC1 ZINC000817755582 1131182965 /nfs/dbraw/zinc/18/29/65/1131182965.db2.gz LYELHLJNYVATSH-AWEZNQCLSA-N 1 2 298.386 3.524 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H](F)C2)c(C)cc1Br ZINC001238280113 1131188602 /nfs/dbraw/zinc/18/86/02/1131188602.db2.gz XYFAITMFGHQCRR-GFCCVEGCSA-N 1 2 286.188 3.610 20 0 CHADLO Fc1ccc(C[NH+]2CC3CC(C3)C2)c(F)c1C(F)(F)F ZINC001238246150 1131185868 /nfs/dbraw/zinc/18/58/68/1131185868.db2.gz XLIPASVHEKILDU-UHFFFAOYSA-N 1 2 291.263 3.825 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H](F)C2)c(C)cc1Br ZINC001238280113 1131188600 /nfs/dbraw/zinc/18/86/00/1131188600.db2.gz XYFAITMFGHQCRR-GFCCVEGCSA-N 1 2 286.188 3.610 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cccc(C)c2)c(Cl)cn1 ZINC001238361281 1131193523 /nfs/dbraw/zinc/19/35/23/1131193523.db2.gz RDVGVMCVYMHYBC-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cccc(C)c2)c(Cl)cn1 ZINC001238361281 1131193526 /nfs/dbraw/zinc/19/35/26/1131193526.db2.gz RDVGVMCVYMHYBC-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CO[C@H]1C[C@H]2C[C@H]([NH2+]c3ccc(N(C)C)c(C)c3)C[C@H]2C1 ZINC000818338165 1131208517 /nfs/dbraw/zinc/20/85/17/1131208517.db2.gz ULORLLDQXVTWEM-LOXOPEBLSA-N 1 2 288.435 3.677 20 0 CHADLO CO[C@H]1C[C@H]2C[C@H](Nc3ccc([NH+](C)C)c(C)c3)C[C@H]2C1 ZINC000818338165 1131208520 /nfs/dbraw/zinc/20/85/20/1131208520.db2.gz ULORLLDQXVTWEM-LOXOPEBLSA-N 1 2 288.435 3.677 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2csc(C)n2)c(Cl)c1 ZINC000818331665 1131208641 /nfs/dbraw/zinc/20/86/41/1131208641.db2.gz XLZILDZDMIVAQD-SECBINFHSA-N 1 2 296.823 3.964 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H](F)C2)cc1C1CC1 ZINC001238502589 1131210041 /nfs/dbraw/zinc/21/00/41/1131210041.db2.gz WBLFYUSCSTXPFV-ZDUSSCGKSA-N 1 2 251.320 3.637 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H](F)C2)cc1C1CC1 ZINC001238502589 1131210045 /nfs/dbraw/zinc/21/00/45/1131210045.db2.gz WBLFYUSCSTXPFV-ZDUSSCGKSA-N 1 2 251.320 3.637 20 0 CHADLO CC(=O)C1CC[NH+](Cc2ccc(Cl)c(C)c2F)CC1 ZINC001238517691 1131212211 /nfs/dbraw/zinc/21/22/11/1131212211.db2.gz JGTCOHLZJWZNTR-UHFFFAOYSA-N 1 2 283.774 3.589 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCc3ncc(C)cc3C2)c1 ZINC001238561991 1131215793 /nfs/dbraw/zinc/21/57/93/1131215793.db2.gz AEODGUFZTCNTCI-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCc3ncc(C)cc3C2)c1 ZINC001238561991 1131215795 /nfs/dbraw/zinc/21/57/95/1131215795.db2.gz AEODGUFZTCNTCI-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)c(-c3ccccc3)c2)CCO1 ZINC001238561143 1131216183 /nfs/dbraw/zinc/21/61/83/1131216183.db2.gz MVSLEBYEQPZEQJ-CQSZACIVSA-N 1 2 285.362 3.713 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)c(-c3ccccc3)c2)CCO1 ZINC001238561143 1131216185 /nfs/dbraw/zinc/21/61/85/1131216185.db2.gz MVSLEBYEQPZEQJ-CQSZACIVSA-N 1 2 285.362 3.713 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)Cc2ccccn2)c1 ZINC001238560140 1131216872 /nfs/dbraw/zinc/21/68/72/1131216872.db2.gz LDKLQGDFSTYVJR-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)Cc2ccccn2)c1 ZINC001238560140 1131216875 /nfs/dbraw/zinc/21/68/75/1131216875.db2.gz LDKLQGDFSTYVJR-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)Cc2cccnc2)c1 ZINC001238560547 1131216953 /nfs/dbraw/zinc/21/69/53/1131216953.db2.gz OUCSCGRUPSJVFC-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)Cc2cccnc2)c1 ZINC001238560547 1131216955 /nfs/dbraw/zinc/21/69/55/1131216955.db2.gz OUCSCGRUPSJVFC-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCc3occc3C2)c1 ZINC001238568855 1131218354 /nfs/dbraw/zinc/21/83/54/1131218354.db2.gz KMBQHELOROOCNS-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCc3occc3C2)c1 ZINC001238568855 1131218356 /nfs/dbraw/zinc/21/83/56/1131218356.db2.gz KMBQHELOROOCNS-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1cnc(-c2ccccc2)c(C[N@H+]2CCC(=O)C[C@H]2C)c1 ZINC001238619655 1131222729 /nfs/dbraw/zinc/22/27/29/1131222729.db2.gz SFHODDCJNGCIIS-OAHLLOKOSA-N 1 2 294.398 3.610 20 0 CHADLO Cc1cnc(-c2ccccc2)c(C[N@@H+]2CCC(=O)C[C@H]2C)c1 ZINC001238619655 1131222732 /nfs/dbraw/zinc/22/27/32/1131222732.db2.gz SFHODDCJNGCIIS-OAHLLOKOSA-N 1 2 294.398 3.610 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCC(=O)[C@H](C)CC1 ZINC001238671977 1131230187 /nfs/dbraw/zinc/23/01/87/1131230187.db2.gz OKSPUXIZEICSJB-LLVKDONJSA-N 1 2 297.851 3.863 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCC(=O)[C@H](C)CC1 ZINC001238671977 1131230189 /nfs/dbraw/zinc/23/01/89/1131230189.db2.gz OKSPUXIZEICSJB-LLVKDONJSA-N 1 2 297.851 3.863 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1scnc1Cl ZINC001137817484 1131419497 /nfs/dbraw/zinc/41/94/97/1131419497.db2.gz VJQMUCHDWRDCRQ-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1scnc1Cl ZINC001137817484 1131419498 /nfs/dbraw/zinc/41/94/98/1131419498.db2.gz VJQMUCHDWRDCRQ-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2ncc(C(C)C)o2)CC1 ZINC000829489894 1131421493 /nfs/dbraw/zinc/42/14/93/1131421493.db2.gz LIGOWRALCNLDAA-UHFFFAOYSA-N 1 2 270.376 3.885 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c1Cl ZINC001138004698 1131449966 /nfs/dbraw/zinc/44/99/66/1131449966.db2.gz BOSCHNYCPWCACN-UBJUHAIHSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c1Cl ZINC001138004698 1131449968 /nfs/dbraw/zinc/44/99/68/1131449968.db2.gz BOSCHNYCPWCACN-UBJUHAIHSA-N 1 2 275.701 3.551 20 0 CHADLO CC(=O)C1CC[NH+](Cc2c(F)ccc(C)c2Cl)CC1 ZINC001138027626 1131454780 /nfs/dbraw/zinc/45/47/80/1131454780.db2.gz BDTVVWBHOYZIFZ-UHFFFAOYSA-N 1 2 283.774 3.589 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Br)c(F)cc2F)C1 ZINC001136849658 1131462709 /nfs/dbraw/zinc/46/27/09/1131462709.db2.gz TVLVURKZVQFVLX-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Br)c(F)cc2F)C1 ZINC001136849658 1131462713 /nfs/dbraw/zinc/46/27/13/1131462713.db2.gz TVLVURKZVQFVLX-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO Fc1cc(F)c(F)c(C[NH+]2CCCCCC2)c1F ZINC001138229685 1131479491 /nfs/dbraw/zinc/47/94/91/1131479491.db2.gz POXQYPLCNJPRSL-UHFFFAOYSA-N 1 2 261.262 3.619 20 0 CHADLO CC1(C)CCC[N@@H+]1Cc1c(F)c(F)cc(F)c1F ZINC001138229711 1131479629 /nfs/dbraw/zinc/47/96/29/1131479629.db2.gz QHUXYDFDBQGBPP-UHFFFAOYSA-N 1 2 261.262 3.617 20 0 CHADLO CC1(C)CCC[N@H+]1Cc1c(F)c(F)cc(F)c1F ZINC001138229711 1131479631 /nfs/dbraw/zinc/47/96/31/1131479631.db2.gz QHUXYDFDBQGBPP-UHFFFAOYSA-N 1 2 261.262 3.617 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2c(F)c(F)cc(F)c2F)[C@@H]1C ZINC001138234297 1131480274 /nfs/dbraw/zinc/48/02/74/1131480274.db2.gz MJFFTGAHXZSCDQ-RKDXNWHRSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)[C@@H]1C ZINC001138234297 1131480277 /nfs/dbraw/zinc/48/02/77/1131480277.db2.gz MJFFTGAHXZSCDQ-RKDXNWHRSA-N 1 2 275.289 3.863 20 0 CHADLO F[C@H]1CCCC[N@H+](Cc2nc3ccccc3s2)C1 ZINC001136948610 1131487970 /nfs/dbraw/zinc/48/79/70/1131487970.db2.gz KABZVRLLIDGEPA-NSHDSACASA-N 1 2 264.369 3.620 20 0 CHADLO F[C@H]1CCCC[N@@H+](Cc2nc3ccccc3s2)C1 ZINC001136948610 1131487972 /nfs/dbraw/zinc/48/79/72/1131487972.db2.gz KABZVRLLIDGEPA-NSHDSACASA-N 1 2 264.369 3.620 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+][C@@H]3CCC[C@@H]4C[C@@H]43)cc2)CCO1 ZINC000825033818 1131494877 /nfs/dbraw/zinc/49/48/77/1131494877.db2.gz XMAQCROAEDULES-IHETXDGRSA-N 1 2 286.419 3.512 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(Cl)cc2)co1 ZINC000825056734 1131495977 /nfs/dbraw/zinc/49/59/77/1131495977.db2.gz JPFZSNJNFZYPAX-JTQLQIEISA-N 1 2 264.756 3.741 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2coc(C3CC3)n2)cc1C ZINC000825299816 1131505846 /nfs/dbraw/zinc/50/58/46/1131505846.db2.gz PFGZDKJJBCOCGG-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2coc(C3CC3)n2)cc1C ZINC000825299816 1131505850 /nfs/dbraw/zinc/50/58/50/1131505850.db2.gz PFGZDKJJBCOCGG-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO FC(F)(F)c1c[nH]c(C[N@@H+]2CCC3(C2)CCCCC3)n1 ZINC001137020236 1131506736 /nfs/dbraw/zinc/50/67/36/1131506736.db2.gz IGPRILQWKQORQJ-UHFFFAOYSA-N 1 2 287.329 3.585 20 0 CHADLO FC(F)(F)c1c[nH]c(C[N@H+]2CCC3(C2)CCCCC3)n1 ZINC001137020236 1131506739 /nfs/dbraw/zinc/50/67/39/1131506739.db2.gz IGPRILQWKQORQJ-UHFFFAOYSA-N 1 2 287.329 3.585 20 0 CHADLO COc1ccc(C[N@@H+]2CCCC[C@H](F)C2)cc1Cl ZINC001138470316 1131510764 /nfs/dbraw/zinc/51/07/64/1131510764.db2.gz SWRMNEDUBXTGSF-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(C[N@H+]2CCCC[C@H](F)C2)cc1Cl ZINC001138470316 1131510767 /nfs/dbraw/zinc/51/07/67/1131510767.db2.gz SWRMNEDUBXTGSF-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc2[nH]cc(C[N@@H+]3CCn4cccc4[C@@H]3C)c2c1 ZINC001138509441 1131514466 /nfs/dbraw/zinc/51/44/66/1131514466.db2.gz CYOFVBBBWAIKCU-ZDUSSCGKSA-N 1 2 295.386 3.555 20 0 CHADLO COc1ccc2[nH]cc(C[N@H+]3CCn4cccc4[C@@H]3C)c2c1 ZINC001138509441 1131514470 /nfs/dbraw/zinc/51/44/70/1131514470.db2.gz CYOFVBBBWAIKCU-ZDUSSCGKSA-N 1 2 295.386 3.555 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1ccc(OC(F)(F)F)cc1F ZINC001143781373 1131533461 /nfs/dbraw/zinc/53/34/61/1131533461.db2.gz PRNWJTOVGUYDNY-PSASIEDQSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1ccc(OC(F)(F)F)cc1F ZINC001143781373 1131533463 /nfs/dbraw/zinc/53/34/63/1131533463.db2.gz PRNWJTOVGUYDNY-PSASIEDQSA-N 1 2 295.251 3.657 20 0 CHADLO F[C@@H]1CC[N@H+](Cc2ccc(C(F)(F)F)c(Cl)c2)C1 ZINC001143803868 1131535464 /nfs/dbraw/zinc/53/54/64/1131535464.db2.gz DJTUXOGMIXLCHZ-SECBINFHSA-N 1 2 281.680 3.903 20 0 CHADLO F[C@@H]1CC[N@@H+](Cc2ccc(C(F)(F)F)c(Cl)c2)C1 ZINC001143803868 1131535467 /nfs/dbraw/zinc/53/54/67/1131535467.db2.gz DJTUXOGMIXLCHZ-SECBINFHSA-N 1 2 281.680 3.903 20 0 CHADLO C[N@H+](Cc1cncs1)Cc1c(Cl)[nH]c2ccccc21 ZINC001138689990 1131538205 /nfs/dbraw/zinc/53/82/05/1131538205.db2.gz YBQMFAMQGVKZQD-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cncs1)Cc1c(Cl)[nH]c2ccccc21 ZINC001138689990 1131538208 /nfs/dbraw/zinc/53/82/08/1131538208.db2.gz YBQMFAMQGVKZQD-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO COc1cc(C)c(C[N@H+](C)Cc2cscn2)c(C)c1C ZINC001138723965 1131542306 /nfs/dbraw/zinc/54/23/06/1131542306.db2.gz ADEZRWLBQAXTLL-UHFFFAOYSA-N 1 2 290.432 3.709 20 0 CHADLO COc1cc(C)c(C[N@@H+](C)Cc2cscn2)c(C)c1C ZINC001138723965 1131542309 /nfs/dbraw/zinc/54/23/09/1131542309.db2.gz ADEZRWLBQAXTLL-UHFFFAOYSA-N 1 2 290.432 3.709 20 0 CHADLO CCCCc1ccc(C[NH+]2CC3(C2)CCCCO3)s1 ZINC001138753658 1131545625 /nfs/dbraw/zinc/54/56/25/1131545625.db2.gz ZZWKXHPCHPDDAV-UHFFFAOYSA-N 1 2 279.449 3.846 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1cccc3ccnn31)C2 ZINC001138807232 1131551746 /nfs/dbraw/zinc/55/17/46/1131551746.db2.gz AVLVLWGQSZMJPZ-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1cccc3ccnn31)C2 ZINC001138807232 1131551751 /nfs/dbraw/zinc/55/17/51/1131551751.db2.gz AVLVLWGQSZMJPZ-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1c(C[NH+]2C[C@@H](C)O[C@H](C)C2)cccc1C(F)(F)F ZINC001143917723 1131553637 /nfs/dbraw/zinc/55/36/37/1131553637.db2.gz NLTKIWMQGKAVIM-GHMZBOCLSA-N 1 2 287.325 3.623 20 0 CHADLO CCCO[C@H]1CC[N@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957080 1131559156 /nfs/dbraw/zinc/55/91/56/1131559156.db2.gz IAMKSQFVZBXNOC-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@H]1CC[N@@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957080 1131559158 /nfs/dbraw/zinc/55/91/58/1131559158.db2.gz IAMKSQFVZBXNOC-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CCOc1ccc(Br)cc1C[NH+]1CC(C)(C)C1 ZINC001138989419 1131567029 /nfs/dbraw/zinc/56/70/29/1131567029.db2.gz FLTZDQJGAXSPKC-UHFFFAOYSA-N 1 2 298.224 3.690 20 0 CHADLO Cc1cc(Cl)ccc1COC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000844482564 1131567354 /nfs/dbraw/zinc/56/73/54/1131567354.db2.gz YSDOUZYNHGVBES-GFCCVEGCSA-N 1 2 292.766 3.539 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1CC[C@]2(CC2(F)F)C1 ZINC001139050769 1131575934 /nfs/dbraw/zinc/57/59/34/1131575934.db2.gz CCVMMKMLZZMZNV-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1CC[C@]2(CC2(F)F)C1 ZINC001139050769 1131575936 /nfs/dbraw/zinc/57/59/36/1131575936.db2.gz CCVMMKMLZZMZNV-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[NH+]1C2CCC1CC2 ZINC001139051299 1131575987 /nfs/dbraw/zinc/57/59/87/1131575987.db2.gz IQRYEQDUBMPVJA-UHFFFAOYSA-N 1 2 257.711 3.745 20 0 CHADLO Cc1cccc2[nH]cc(C[N@@H+]3CCn4cccc4[C@@H]3C)c21 ZINC001139196678 1131594987 /nfs/dbraw/zinc/59/49/87/1131594987.db2.gz QOYDLWROBIZYPY-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1cccc2[nH]cc(C[N@H+]3CCn4cccc4[C@@H]3C)c21 ZINC001139196678 1131594990 /nfs/dbraw/zinc/59/49/90/1131594990.db2.gz QOYDLWROBIZYPY-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cc(Cl)cnc2Cl)CC1 ZINC001139354466 1131603835 /nfs/dbraw/zinc/60/38/35/1131603835.db2.gz VFDXOWHDPQPVPS-NSHDSACASA-N 1 2 277.170 3.712 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cc(Cl)cnc2Cl)CC1 ZINC001139354466 1131603837 /nfs/dbraw/zinc/60/38/37/1131603837.db2.gz VFDXOWHDPQPVPS-NSHDSACASA-N 1 2 277.170 3.712 20 0 CHADLO C[C@H]1CC(=O)CC[N@@H+]1Cc1ccc(-c2ccccc2)s1 ZINC001139412643 1131607777 /nfs/dbraw/zinc/60/77/77/1131607777.db2.gz MWYUPOFUNCZBMC-ZDUSSCGKSA-N 1 2 285.412 3.969 20 0 CHADLO C[C@H]1CC(=O)CC[N@H+]1Cc1ccc(-c2ccccc2)s1 ZINC001139412643 1131607778 /nfs/dbraw/zinc/60/77/78/1131607778.db2.gz MWYUPOFUNCZBMC-ZDUSSCGKSA-N 1 2 285.412 3.969 20 0 CHADLO Clc1cccc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)n1 ZINC001139552685 1131616013 /nfs/dbraw/zinc/61/60/13/1131616013.db2.gz RBTFBNXERMNBOL-OAHLLOKOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cccc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)n1 ZINC001139552685 1131616016 /nfs/dbraw/zinc/61/60/16/1131616016.db2.gz RBTFBNXERMNBOL-OAHLLOKOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccnc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)c1 ZINC001139565393 1131616788 /nfs/dbraw/zinc/61/67/88/1131616788.db2.gz XKSGCNBYFDNFGD-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccnc(C[N@H+]2CCOC[C@H]2C2CCCCC2)c1 ZINC001139565393 1131616792 /nfs/dbraw/zinc/61/67/92/1131616792.db2.gz XKSGCNBYFDNFGD-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCCC[C@@](C)(F)C2)c1 ZINC001139589750 1131618432 /nfs/dbraw/zinc/61/84/32/1131618432.db2.gz PBRZYSKKFAOHDK-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCCC[C@@](C)(F)C2)c1 ZINC001139589750 1131618438 /nfs/dbraw/zinc/61/84/38/1131618438.db2.gz PBRZYSKKFAOHDK-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1nc2cc(C)ccn2c1C[N@@H+](C)Cc1ccccc1F ZINC001139681156 1131627558 /nfs/dbraw/zinc/62/75/58/1131627558.db2.gz TWPFNRSCZPYGLK-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1nc2cc(C)ccn2c1C[N@H+](C)Cc1ccccc1F ZINC001139681156 1131627561 /nfs/dbraw/zinc/62/75/61/1131627561.db2.gz TWPFNRSCZPYGLK-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](F)C[C@H]2C)cc1Br ZINC001139729399 1131632444 /nfs/dbraw/zinc/63/24/44/1131632444.db2.gz ZYUVMALDMIFHOY-ZYHUDNBSSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](F)C[C@H]2C)cc1Br ZINC001139729399 1131632445 /nfs/dbraw/zinc/63/24/45/1131632445.db2.gz ZYUVMALDMIFHOY-ZYHUDNBSSA-N 1 2 286.188 3.690 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc3oc4ccccc4c3c2)CCC1=O ZINC001139750866 1131635051 /nfs/dbraw/zinc/63/50/51/1131635051.db2.gz AENYQFUOWMRHDR-CYBMUJFWSA-N 1 2 293.366 3.997 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc3oc4ccccc4c3c2)CCC1=O ZINC001139750866 1131635055 /nfs/dbraw/zinc/63/50/55/1131635055.db2.gz AENYQFUOWMRHDR-CYBMUJFWSA-N 1 2 293.366 3.997 20 0 CHADLO CC[N@H+](Cc1ccnc(F)c1)Cc1cccc(F)c1F ZINC001139835583 1131640114 /nfs/dbraw/zinc/64/01/14/1131640114.db2.gz DOFFHPYQOICGTO-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1ccnc(F)c1)Cc1cccc(F)c1F ZINC001139835583 1131640117 /nfs/dbraw/zinc/64/01/17/1131640117.db2.gz DOFFHPYQOICGTO-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC(C)CCCCCOC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845601851 1131647856 /nfs/dbraw/zinc/64/78/56/1131647856.db2.gz PTHFRLXREJODJV-CQSZACIVSA-N 1 2 266.385 3.594 20 0 CHADLO Cc1cc(NCC[C@]2(C)C[C@]2(F)Cl)nc(C2CC2)[nH+]1 ZINC000845648530 1131649531 /nfs/dbraw/zinc/64/95/31/1131649531.db2.gz BVPSPCOMNUSXAA-ZIAGYGMSSA-N 1 2 283.778 3.779 20 0 CHADLO CCc1cccc(F)c1CNc1cc2cc[nH]c2c[nH+]1 ZINC001202987169 1131652897 /nfs/dbraw/zinc/65/28/97/1131652897.db2.gz VFNFWOOHHVVFKA-UHFFFAOYSA-N 1 2 269.323 3.877 20 0 CHADLO CC1CC[NH+](Cc2sc(Cl)nc2Cl)CC1 ZINC001139953806 1131653125 /nfs/dbraw/zinc/65/31/25/1131653125.db2.gz UNUIUWGFOYOQPO-UHFFFAOYSA-N 1 2 265.209 3.682 20 0 CHADLO Clc1ncc(C[N@@H+]2CCC[C@H]2c2cccs2)cn1 ZINC001139990757 1131655024 /nfs/dbraw/zinc/65/50/24/1131655024.db2.gz VJRTXGHJRHOIIU-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ncc(C[N@H+]2CCC[C@H]2c2cccs2)cn1 ZINC001139990757 1131655027 /nfs/dbraw/zinc/65/50/27/1131655027.db2.gz VJRTXGHJRHOIIU-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO CCCC1CC[NH+](Cc2c(F)cc(O)cc2F)CC1 ZINC001144554844 1131655678 /nfs/dbraw/zinc/65/56/78/1131655678.db2.gz JLZANEKFTPYMMN-UHFFFAOYSA-N 1 2 269.335 3.683 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cc2ccccc2cn1 ZINC001140001737 1131656238 /nfs/dbraw/zinc/65/62/38/1131656238.db2.gz ZBTIUBWVPFLXOK-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cc2ccccc2cn1 ZINC001140001737 1131656241 /nfs/dbraw/zinc/65/62/41/1131656241.db2.gz ZBTIUBWVPFLXOK-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO COc1cc(C[N@@H+]2Cc3ccc(F)cc3C2)ccc1C ZINC001140159633 1131669482 /nfs/dbraw/zinc/66/94/82/1131669482.db2.gz PEJZCXXRZOPBFO-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cc(C[N@H+]2Cc3ccc(F)cc3C2)ccc1C ZINC001140159633 1131669484 /nfs/dbraw/zinc/66/94/84/1131669484.db2.gz PEJZCXXRZOPBFO-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cc1)Cc1cc(F)c(O)cc1F ZINC001144666172 1131676686 /nfs/dbraw/zinc/67/66/86/1131676686.db2.gz IBCVLJFJGKEWKV-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cc1)Cc1cc(F)c(O)cc1F ZINC001144666172 1131676689 /nfs/dbraw/zinc/67/66/89/1131676689.db2.gz IBCVLJFJGKEWKV-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO COCc1cc[nH+]c(NCCc2ccc(F)cc2Cl)c1 ZINC000846117967 1131688302 /nfs/dbraw/zinc/68/83/02/1131688302.db2.gz RZEBEPAJNLLJBX-UHFFFAOYSA-N 1 2 294.757 3.675 20 0 CHADLO CC[C@H](OCC[NH2+][C@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000846181712 1131697174 /nfs/dbraw/zinc/69/71/74/1131697174.db2.gz HMVZTRGLKASJIQ-WMZOPIPTSA-N 1 2 299.418 3.545 20 0 CHADLO C[C@H]([NH2+][C@H]1CC(C)(C)Oc2ccc(F)cc21)c1ncc[nH]1 ZINC000846200377 1131699372 /nfs/dbraw/zinc/69/93/72/1131699372.db2.gz GEXYFCBPJFUDQH-GWCFXTLKSA-N 1 2 289.354 3.502 20 0 CHADLO COc1c(F)ccc(F)c1C[N@H+](C)Cc1cccc(C)c1 ZINC001144744646 1131699745 /nfs/dbraw/zinc/69/97/45/1131699745.db2.gz COXLJINIBWRGTL-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1c(F)ccc(F)c1C[N@@H+](C)Cc1cccc(C)c1 ZINC001144744646 1131699750 /nfs/dbraw/zinc/69/97/50/1131699750.db2.gz COXLJINIBWRGTL-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CC[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccncc1 ZINC000834741727 1131700617 /nfs/dbraw/zinc/70/06/17/1131700617.db2.gz RKBHWAJNHSZRDQ-MRXNPFEDSA-N 1 2 255.365 3.711 20 0 CHADLO COc1c(F)ccc(F)c1C[N@H+](C)Cc1ccc(C)cc1 ZINC001144754908 1131702997 /nfs/dbraw/zinc/70/29/97/1131702997.db2.gz QJSJLGCIEUGVJS-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1c(F)ccc(F)c1C[N@@H+](C)Cc1ccc(C)cc1 ZINC001144754908 1131703001 /nfs/dbraw/zinc/70/30/01/1131703001.db2.gz QJSJLGCIEUGVJS-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1ccc3n[nH]cc3c1)C2 ZINC001140388058 1131711879 /nfs/dbraw/zinc/71/18/79/1131711879.db2.gz HLJRCKUEXVASRF-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1ccc3n[nH]cc3c1)C2 ZINC001140388058 1131711884 /nfs/dbraw/zinc/71/18/84/1131711884.db2.gz HLJRCKUEXVASRF-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(Cl)c(F)c2)co1 ZINC000834897361 1131722511 /nfs/dbraw/zinc/72/25/11/1131722511.db2.gz FSOUPZBJWKNNKM-SECBINFHSA-N 1 2 282.746 3.880 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCc3c2cccc3Cl)co1 ZINC000834899048 1131723845 /nfs/dbraw/zinc/72/38/45/1131723845.db2.gz FDLCLMAHEGIYJZ-AWEZNQCLSA-N 1 2 276.767 3.668 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(OC(F)F)cc2)co1 ZINC000834901406 1131725300 /nfs/dbraw/zinc/72/53/00/1131725300.db2.gz USCCFEBJQIVELE-SNVBAGLBSA-N 1 2 296.317 3.689 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2cc3ccccc3o2)co1 ZINC000834901187 1131725433 /nfs/dbraw/zinc/72/54/33/1131725433.db2.gz QSSJZIIDMQRFIT-LLVKDONJSA-N 1 2 270.332 3.834 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c[nH]c3cccc(Cl)c23)CCC1=O ZINC001140433383 1131735325 /nfs/dbraw/zinc/73/53/25/1131735325.db2.gz YIBAVOAUBGARFS-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c[nH]c3cccc(Cl)c23)CCC1=O ZINC001140433383 1131735329 /nfs/dbraw/zinc/73/53/29/1131735329.db2.gz YIBAVOAUBGARFS-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO COc1ccc(C(C)C)cc1C[N@@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000846842887 1131749045 /nfs/dbraw/zinc/74/90/45/1131749045.db2.gz XNGVGIHWAAJNAH-MRXNPFEDSA-N 1 2 299.418 3.879 20 0 CHADLO COc1ccc(C(C)C)cc1C[N@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000846842887 1131749050 /nfs/dbraw/zinc/74/90/50/1131749050.db2.gz XNGVGIHWAAJNAH-MRXNPFEDSA-N 1 2 299.418 3.879 20 0 CHADLO c1nsnc1C[NH2+][C@@H](CC1CCCC1)c1ccccc1 ZINC000846847174 1131750438 /nfs/dbraw/zinc/75/04/38/1131750438.db2.gz KZDUELSYOHIONW-INIZCTEOSA-N 1 2 287.432 3.949 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cnc(Cl)c(F)c1 ZINC000846884047 1131754806 /nfs/dbraw/zinc/75/48/06/1131754806.db2.gz FQMFWRYONXIIFM-CBAPKCEASA-N 1 2 296.695 3.647 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1cnc(Cl)c(F)c1 ZINC000846884047 1131754809 /nfs/dbraw/zinc/75/48/09/1131754809.db2.gz FQMFWRYONXIIFM-CBAPKCEASA-N 1 2 296.695 3.647 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@H](C(F)F)C2)c(F)c1 ZINC001140532884 1131781975 /nfs/dbraw/zinc/78/19/75/1131781975.db2.gz PZMGKOGUHXRMTE-QMMMGPOBSA-N 1 2 279.252 3.581 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@H](C(F)F)C2)c(F)c1 ZINC001140532884 1131781978 /nfs/dbraw/zinc/78/19/78/1131781978.db2.gz PZMGKOGUHXRMTE-QMMMGPOBSA-N 1 2 279.252 3.581 20 0 CHADLO c1ccc2c(c1)COC[C@H]2Nc1ccc(C2CC2)c[nH+]1 ZINC001171293114 1131804440 /nfs/dbraw/zinc/80/44/40/1131804440.db2.gz ADZTUXPRXLHPQM-MRXNPFEDSA-N 1 2 266.344 3.642 20 0 CHADLO Cc1ccc([N@@H+](CC[C@H]2CCOC2)CC(C)C)cc1 ZINC001171299410 1131813743 /nfs/dbraw/zinc/81/37/43/1131813743.db2.gz YBAAXZJMALGGSZ-INIZCTEOSA-N 1 2 261.409 3.884 20 0 CHADLO Cc1ccc([N@H+](CC[C@H]2CCOC2)CC(C)C)cc1 ZINC001171299410 1131813749 /nfs/dbraw/zinc/81/37/49/1131813749.db2.gz YBAAXZJMALGGSZ-INIZCTEOSA-N 1 2 261.409 3.884 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701159 1131883046 /nfs/dbraw/zinc/88/30/46/1131883046.db2.gz ACWYNUDBIAQPDW-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701159 1131883053 /nfs/dbraw/zinc/88/30/53/1131883053.db2.gz ACWYNUDBIAQPDW-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Clc1ncc2ccccc2c1C[N@@H+]1CCn2cccc2C1 ZINC001140721832 1131894350 /nfs/dbraw/zinc/89/43/50/1131894350.db2.gz AVEUKVBAWSXEOZ-UHFFFAOYSA-N 1 2 297.789 3.706 20 0 CHADLO Clc1ncc2ccccc2c1C[N@H+]1CCn2cccc2C1 ZINC001140721832 1131894361 /nfs/dbraw/zinc/89/43/61/1131894361.db2.gz AVEUKVBAWSXEOZ-UHFFFAOYSA-N 1 2 297.789 3.706 20 0 CHADLO COc1cccc(Cl)c1C[NH+]1CCC(F)(F)CC1 ZINC001140725784 1131898361 /nfs/dbraw/zinc/89/83/61/1131898361.db2.gz OUYGTWZKWCBDKT-UHFFFAOYSA-N 1 2 275.726 3.580 20 0 CHADLO COC(=O)Cc1ccc(Nc2cccc(C3CCC3)[nH+]2)cc1 ZINC001212618422 1131910874 /nfs/dbraw/zinc/91/08/74/1131910874.db2.gz WTCARHRVDQCFRP-UHFFFAOYSA-N 1 2 296.370 3.808 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cccnc3C2)c(Cl)c1 ZINC001140800882 1131924498 /nfs/dbraw/zinc/92/44/98/1131924498.db2.gz YQHMTPJMCAJBIY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cccnc3C2)c(Cl)c1 ZINC001140800882 1131924503 /nfs/dbraw/zinc/92/45/03/1131924503.db2.gz YQHMTPJMCAJBIY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cccnc2C)c(Cl)c1 ZINC001140823212 1131937989 /nfs/dbraw/zinc/93/79/89/1131937989.db2.gz ZEBHTZGBIKVXBP-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cccnc2C)c(Cl)c1 ZINC001140823212 1131937995 /nfs/dbraw/zinc/93/79/95/1131937995.db2.gz ZEBHTZGBIKVXBP-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cc(C[NH+]2CCC(c3ccc(Cl)cc3)CC2)n[nH]1 ZINC001203070580 1131944055 /nfs/dbraw/zinc/94/40/55/1131944055.db2.gz ZOTNCNYSXPPJEQ-UHFFFAOYSA-N 1 2 289.810 3.751 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(F)n1)c1nc2ccccc2s1 ZINC000773095019 1131944794 /nfs/dbraw/zinc/94/47/94/1131944794.db2.gz JSCKRNFIYDYZKF-SNVBAGLBSA-N 1 2 287.363 3.681 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CCc3c4ccccc4oc3C2)c1C ZINC001140861659 1131950801 /nfs/dbraw/zinc/95/08/01/1131950801.db2.gz LWNLRWAXKXYBNV-UHFFFAOYSA-N 1 2 280.371 3.936 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CCc3c4ccccc4oc3C2)c1C ZINC001140861659 1131950807 /nfs/dbraw/zinc/95/08/07/1131950807.db2.gz LWNLRWAXKXYBNV-UHFFFAOYSA-N 1 2 280.371 3.936 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cn(C)nn1)c1ccc(Cl)cc1Cl ZINC000715866619 1131995025 /nfs/dbraw/zinc/99/50/25/1131995025.db2.gz DOLJIUBWWRBRSF-BDAKNGLRSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1ncoc1C[N@H+](Cc1ccccc1)Cc1cccnc1 ZINC001141054696 1131998347 /nfs/dbraw/zinc/99/83/47/1131998347.db2.gz RBGIFDIRALGYDM-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1ncoc1C[N@@H+](Cc1ccccc1)Cc1cccnc1 ZINC001141054696 1131998357 /nfs/dbraw/zinc/99/83/57/1131998357.db2.gz RBGIFDIRALGYDM-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCC[C@@H]1c1c(F)cccc1F ZINC001141055165 1131999548 /nfs/dbraw/zinc/99/95/48/1131999548.db2.gz QSZDODURWRVIAJ-CYBMUJFWSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncoc1C[N@H+]1CCC[C@@H]1c1c(F)cccc1F ZINC001141055165 1131999558 /nfs/dbraw/zinc/99/95/58/1131999558.db2.gz QSZDODURWRVIAJ-CYBMUJFWSA-N 1 2 278.302 3.598 20 0 CHADLO CCCCc1ncc(C[N@H+](C)Cc2cccc(C)c2)[nH]1 ZINC001141067215 1132000339 /nfs/dbraw/zinc/00/03/39/1132000339.db2.gz WNQDYYPFQMBCIX-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1ncc(C[N@@H+](C)Cc2cccc(C)c2)[nH]1 ZINC001141067215 1132000342 /nfs/dbraw/zinc/00/03/42/1132000342.db2.gz WNQDYYPFQMBCIX-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1nc(C[N@H+](C)Cc2cccc(C)c2)c[nH]1 ZINC001141067215 1132000349 /nfs/dbraw/zinc/00/03/49/1132000349.db2.gz WNQDYYPFQMBCIX-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1nc(C[N@@H+](C)Cc2cccc(C)c2)c[nH]1 ZINC001141067215 1132000356 /nfs/dbraw/zinc/00/03/56/1132000356.db2.gz WNQDYYPFQMBCIX-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1[nH]c(CN2CCC[C@@H]2c2nccs2)c[nH+]1 ZINC001141072023 1132003609 /nfs/dbraw/zinc/00/36/09/1132003609.db2.gz LUQDGKPQQRMULI-CYBMUJFWSA-N 1 2 290.436 3.546 20 0 CHADLO CCCCc1[nH]cc(CN2CCC[C@@H]2c2nccs2)[nH+]1 ZINC001141072023 1132003612 /nfs/dbraw/zinc/00/36/12/1132003612.db2.gz LUQDGKPQQRMULI-CYBMUJFWSA-N 1 2 290.436 3.546 20 0 CHADLO CCC1(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)CCCCC1 ZINC001141177095 1132034678 /nfs/dbraw/zinc/03/46/78/1132034678.db2.gz UTUKQARMEJBTDG-OAHLLOKOSA-N 1 2 291.439 3.699 20 0 CHADLO C/C(=C\c1ccc(C)cc1)C(=O)NCc1c[nH+]c(C)cc1C ZINC000837103302 1132035990 /nfs/dbraw/zinc/03/59/90/1132035990.db2.gz CPJDYMICNPEATL-XNTDXEJSSA-N 1 2 294.398 3.727 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+](C)CC(=O)c1ccccc1 ZINC001141232568 1132055597 /nfs/dbraw/zinc/05/55/97/1132055597.db2.gz IXVPERIOFKNNDL-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+](C)CC(=O)c1ccccc1 ZINC001141232568 1132055605 /nfs/dbraw/zinc/05/56/05/1132055605.db2.gz IXVPERIOFKNNDL-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO C[C@]1(C2CC2)C[N@H+](Cc2ccccc2C(F)(F)F)CCO1 ZINC001171920971 1132108767 /nfs/dbraw/zinc/10/87/67/1132108767.db2.gz PLCMONGAVMTEFV-OAHLLOKOSA-N 1 2 299.336 3.706 20 0 CHADLO C[C@]1(C2CC2)C[N@@H+](Cc2ccccc2C(F)(F)F)CCO1 ZINC001171920971 1132108771 /nfs/dbraw/zinc/10/87/71/1132108771.db2.gz PLCMONGAVMTEFV-OAHLLOKOSA-N 1 2 299.336 3.706 20 0 CHADLO Oc1cc(C(F)(F)F)ccc1Nc1cccn2cc[nH+]c12 ZINC001212630872 1132116083 /nfs/dbraw/zinc/11/60/83/1132116083.db2.gz RINRKRSTRRZIHZ-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO C[C@H]1CCCC[C@H]1Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229952099 1132135214 /nfs/dbraw/zinc/13/52/14/1132135214.db2.gz XXWKSEUEQJGJQZ-XJKSGUPXSA-N 1 2 256.349 3.830 20 0 CHADLO CC1(C)[C@@H]2C[C@@H](Oc3ccc(-n4cc[nH+]c4)cc3)C[C@@H]21 ZINC001229954278 1132136625 /nfs/dbraw/zinc/13/66/25/1132136625.db2.gz MDNIAJPJRJURQX-PHZGNYQRSA-N 1 2 268.360 3.686 20 0 CHADLO F[C@H]1CCCC[C@@H]1Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229954691 1132136975 /nfs/dbraw/zinc/13/69/75/1132136975.db2.gz URGCWVDDFGYUPY-GJZGRUSLSA-N 1 2 260.312 3.532 20 0 CHADLO Cc1ccc2cc(C[N@@H+]3CCC(F)(F)[C@@H](F)C3)ccc2n1 ZINC001141472882 1132138470 /nfs/dbraw/zinc/13/84/70/1132138470.db2.gz NYHCBNYBBVKKDR-HNNXBMFYSA-N 1 2 294.320 3.722 20 0 CHADLO Cc1ccc2cc(C[N@H+]3CCC(F)(F)[C@@H](F)C3)ccc2n1 ZINC001141472882 1132138474 /nfs/dbraw/zinc/13/84/74/1132138474.db2.gz NYHCBNYBBVKKDR-HNNXBMFYSA-N 1 2 294.320 3.722 20 0 CHADLO CC(=O)c1cc2ccccc2cc1OC1C[NH+](C(C)(C)C)C1 ZINC001230065583 1132152041 /nfs/dbraw/zinc/15/20/41/1132152041.db2.gz HRWBSZXPLOKFOL-UHFFFAOYSA-N 1 2 297.398 3.904 20 0 CHADLO Cc1ccc(C[NH2+]C(C)(C)c2nccs2)c(Cl)n1 ZINC000838895587 1132156590 /nfs/dbraw/zinc/15/65/90/1132156590.db2.gz RRQUWIIMCXECSW-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3ccc4c(n3)CCC4)ccc21 ZINC000838989063 1132164093 /nfs/dbraw/zinc/16/40/93/1132164093.db2.gz DTBAVBPIWORCHS-UHFFFAOYSA-N 1 2 293.414 3.565 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3ccc4c(n3)CCC4)ccc21 ZINC000838989063 1132164097 /nfs/dbraw/zinc/16/40/97/1132164097.db2.gz DTBAVBPIWORCHS-UHFFFAOYSA-N 1 2 293.414 3.565 20 0 CHADLO c1c[nH]c(C[N@@H+]2CC3(CCCCC3)[C@H]2c2ccccc2)n1 ZINC000839182477 1132188966 /nfs/dbraw/zinc/18/89/66/1132188966.db2.gz KGMSPHUGCKRURK-QGZVFWFLSA-N 1 2 281.403 3.917 20 0 CHADLO c1c[nH]c(C[N@H+]2CC3(CCCCC3)[C@H]2c2ccccc2)n1 ZINC000839182477 1132188969 /nfs/dbraw/zinc/18/89/69/1132188969.db2.gz KGMSPHUGCKRURK-QGZVFWFLSA-N 1 2 281.403 3.917 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccc2cc(F)ccc2n1 ZINC001141651147 1132225182 /nfs/dbraw/zinc/22/51/82/1132225182.db2.gz YAQGSECMAFXYCK-CYBMUJFWSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccc2cc(F)ccc2n1 ZINC001141651147 1132225187 /nfs/dbraw/zinc/22/51/87/1132225187.db2.gz YAQGSECMAFXYCK-CYBMUJFWSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@@H](Oc1cc2c(cc1O)C=[NH+]CC2)c1cccs1 ZINC001230915636 1132227243 /nfs/dbraw/zinc/22/72/43/1132227243.db2.gz SNRJRYGFDUERPX-SNVBAGLBSA-N 1 2 273.357 3.569 20 0 CHADLO C[C@H](Cc1ccco1)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231082360 1132252645 /nfs/dbraw/zinc/25/26/45/1132252645.db2.gz VGFZYUWJGCMCJI-GFCCVEGCSA-N 1 2 268.316 3.680 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@@H]2CCC(F)(F)C2)cc1 ZINC001231083720 1132252978 /nfs/dbraw/zinc/25/29/78/1132252978.db2.gz OFNOJCRFSHNSIA-CYBMUJFWSA-N 1 2 278.302 3.654 20 0 CHADLO COC(C)(C)C[C@H](C)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231083470 1132253051 /nfs/dbraw/zinc/25/30/51/1132253051.db2.gz BQZVTCDYIWIMMK-LBPRGKRZSA-N 1 2 274.364 3.659 20 0 CHADLO CC1(C)[C@@H]2C[C@@H](Oc3ccc(-c4[nH]cc[nH+]4)cc3)C[C@@H]21 ZINC001231081918 1132253260 /nfs/dbraw/zinc/25/32/60/1132253260.db2.gz PWQXYNXVLHVIBI-QKDCVEJESA-N 1 2 268.360 3.890 20 0 CHADLO C[C@H](COc1ccc(-c2[nH]cc[nH+]2)cc1)Oc1ccccc1 ZINC001231081519 1132253290 /nfs/dbraw/zinc/25/32/90/1132253290.db2.gz MDUUBNOTNIBISE-CQSZACIVSA-N 1 2 294.354 3.923 20 0 CHADLO c1c[nH+]c(-c2ccc(O[C@H]3CCc4cccnc43)cc2)[nH]1 ZINC001231081532 1132253481 /nfs/dbraw/zinc/25/34/81/1132253481.db2.gz MTYNHEOEBPVQHL-HNNXBMFYSA-N 1 2 277.327 3.538 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1coc2ccc(F)cc12 ZINC001141857030 1132257935 /nfs/dbraw/zinc/25/79/35/1132257935.db2.gz ZURHUDVRBGKRGH-HNNXBMFYSA-N 1 2 275.323 3.515 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1coc2ccc(F)cc12 ZINC001141857030 1132257941 /nfs/dbraw/zinc/25/79/41/1132257941.db2.gz ZURHUDVRBGKRGH-HNNXBMFYSA-N 1 2 275.323 3.515 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1csc(C(F)(F)F)n1 ZINC001141878734 1132260669 /nfs/dbraw/zinc/26/06/69/1132260669.db2.gz JNMOKPCUSHLGMX-SECBINFHSA-N 1 2 278.343 3.926 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1csc(C(F)(F)F)n1 ZINC001141878734 1132260674 /nfs/dbraw/zinc/26/06/74/1132260674.db2.gz JNMOKPCUSHLGMX-SECBINFHSA-N 1 2 278.343 3.926 20 0 CHADLO FC1(F)CC[N@@H+]([C@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001172557203 1132266473 /nfs/dbraw/zinc/26/64/73/1132266473.db2.gz PBYVKZJSOGMLFN-KGLIPLIRSA-N 1 2 251.320 3.664 20 0 CHADLO FC1(F)CC[N@H+]([C@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001172557203 1132266477 /nfs/dbraw/zinc/26/64/77/1132266477.db2.gz PBYVKZJSOGMLFN-KGLIPLIRSA-N 1 2 251.320 3.664 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(C(C)(F)F)cc1 ZINC001212673825 1132285036 /nfs/dbraw/zinc/28/50/36/1132285036.db2.gz VUOBWZJGSZAQQC-UHFFFAOYSA-N 1 2 264.275 3.951 20 0 CHADLO C[C@@H]1C[C@@H](O)C[N@H+]1Cc1c(Cl)ccc(Cl)c1Cl ZINC001231480674 1132298187 /nfs/dbraw/zinc/29/81/87/1132298187.db2.gz VKCCQLZFQBJQPC-HTQZYQBOSA-N 1 2 294.609 3.602 20 0 CHADLO C[C@@H]1C[C@@H](O)C[N@@H+]1Cc1c(Cl)ccc(Cl)c1Cl ZINC001231480674 1132298183 /nfs/dbraw/zinc/29/81/83/1132298183.db2.gz VKCCQLZFQBJQPC-HTQZYQBOSA-N 1 2 294.609 3.602 20 0 CHADLO Cc1[nH+]cc2n1CCN(Cc1c(C)c(C)c(C)c(C)c1C)C2 ZINC001231492141 1132302453 /nfs/dbraw/zinc/30/24/53/1132302453.db2.gz HSYHEXZMQXIQFQ-UHFFFAOYSA-N 1 2 297.446 3.749 20 0 CHADLO CSc1ccc(C[N@@H+]2CCc3ncc(C)cc3C2)s1 ZINC001142031671 1132302668 /nfs/dbraw/zinc/30/26/68/1132302668.db2.gz MKWSIGWMTZMFOR-UHFFFAOYSA-N 1 2 290.457 3.732 20 0 CHADLO CSc1ccc(C[N@H+]2CCc3ncc(C)cc3C2)s1 ZINC001142031671 1132302674 /nfs/dbraw/zinc/30/26/74/1132302674.db2.gz MKWSIGWMTZMFOR-UHFFFAOYSA-N 1 2 290.457 3.732 20 0 CHADLO C(=C/c1ccc(C[N@@H+]2CCO[C@H]3C[C@H]32)cc1)\c1ccccc1 ZINC001231488419 1132304244 /nfs/dbraw/zinc/30/42/44/1132304244.db2.gz JZFYWQOXPGJIHG-HEVSSNLLSA-N 1 2 291.394 3.830 20 0 CHADLO C(=C/c1ccc(C[N@H+]2CCO[C@H]3C[C@H]32)cc1)\c1ccccc1 ZINC001231488419 1132304251 /nfs/dbraw/zinc/30/42/51/1132304251.db2.gz JZFYWQOXPGJIHG-HEVSSNLLSA-N 1 2 291.394 3.830 20 0 CHADLO COc1cc(F)ccc1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001231602654 1132326756 /nfs/dbraw/zinc/32/67/56/1132326756.db2.gz RJYMHFVDVFQXJW-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cc(F)ccc1C[N@H+]1Cc2cccc(C)c2C1 ZINC001231602654 1132326763 /nfs/dbraw/zinc/32/67/63/1132326763.db2.gz RJYMHFVDVFQXJW-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ccc(C)nc1Cl)C2 ZINC001142147561 1132332516 /nfs/dbraw/zinc/33/25/16/1132332516.db2.gz ZCLUNSATUVAMOV-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ccc(C)nc1Cl)C2 ZINC001142147561 1132332524 /nfs/dbraw/zinc/33/25/24/1132332524.db2.gz ZCLUNSATUVAMOV-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCOCC1(C)C ZINC001172853223 1132340379 /nfs/dbraw/zinc/34/03/79/1132340379.db2.gz KFZZRHSOVJWMFY-NSHDSACASA-N 1 2 285.790 3.521 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCOCC1(C)C ZINC001172853223 1132340385 /nfs/dbraw/zinc/34/03/85/1132340385.db2.gz KFZZRHSOVJWMFY-NSHDSACASA-N 1 2 285.790 3.521 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1Cl)[NH+]1CC2(C1)CCCCO2 ZINC001172862353 1132346091 /nfs/dbraw/zinc/34/60/91/1132346091.db2.gz BEAHJRYXYBCHQL-LBPRGKRZSA-N 1 2 297.801 3.665 20 0 CHADLO c1cn(Cc2ccc(Nc3cc(C4CCC4)no3)cc2)c[nH+]1 ZINC001212693326 1132362650 /nfs/dbraw/zinc/36/26/50/1132362650.db2.gz PISAHNXNUFFLPQ-UHFFFAOYSA-N 1 2 294.358 3.931 20 0 CHADLO COC(=O)[C@H](C)[N@H+](C)Cc1ccc(-c2ccc(C)cc2)cc1 ZINC001142306108 1132364507 /nfs/dbraw/zinc/36/45/07/1132364507.db2.gz YQOFDSWFEAFKNB-HNNXBMFYSA-N 1 2 297.398 3.655 20 0 CHADLO COC(=O)[C@H](C)[N@@H+](C)Cc1ccc(-c2ccc(C)cc2)cc1 ZINC001142306108 1132364516 /nfs/dbraw/zinc/36/45/16/1132364516.db2.gz YQOFDSWFEAFKNB-HNNXBMFYSA-N 1 2 297.398 3.655 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCC(F)(F)[C@H](F)C2)cc1 ZINC001142354629 1132373559 /nfs/dbraw/zinc/37/35/59/1132373559.db2.gz VSEXTDJTTONVLF-CQSZACIVSA-N 1 2 271.326 3.818 20 0 CHADLO CCCc1ccc(C[N@H+]2CCC(F)(F)[C@H](F)C2)cc1 ZINC001142354629 1132373567 /nfs/dbraw/zinc/37/35/67/1132373567.db2.gz VSEXTDJTTONVLF-CQSZACIVSA-N 1 2 271.326 3.818 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(N2CCCCC2)c1 ZINC000841849349 1132399126 /nfs/dbraw/zinc/39/91/26/1132399126.db2.gz MYBADTXAHLMDPQ-UHFFFAOYSA-N 1 2 284.407 3.505 20 0 CHADLO FC(F)(F)c1cnc(Cl)cc1C[N@@H+]1CCCC12CC2 ZINC001231929067 1132401928 /nfs/dbraw/zinc/40/19/28/1132401928.db2.gz WKTOIQFSKQFWBY-UHFFFAOYSA-N 1 2 290.716 3.882 20 0 CHADLO FC(F)(F)c1cnc(Cl)cc1C[N@H+]1CCCC12CC2 ZINC001231929067 1132401930 /nfs/dbraw/zinc/40/19/30/1132401930.db2.gz WKTOIQFSKQFWBY-UHFFFAOYSA-N 1 2 290.716 3.882 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1NC(C)(C)C ZINC000842206604 1132414854 /nfs/dbraw/zinc/41/48/54/1132414854.db2.gz GKVSWJWBUXTGDS-UHFFFAOYSA-N 1 2 272.396 3.726 20 0 CHADLO Cc1cc(Br)cnc1C[NH+]1CC2(C1)CCCC2 ZINC001232046193 1132415747 /nfs/dbraw/zinc/41/57/47/1132415747.db2.gz ADLFNGARFOHHQW-UHFFFAOYSA-N 1 2 295.224 3.529 20 0 CHADLO Cc1scnc1C[N@@H+]1CCCC[C@H]1c1cccnc1 ZINC001232203738 1132433408 /nfs/dbraw/zinc/43/34/08/1132433408.db2.gz INCZXHKRAPXCCN-HNNXBMFYSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1scnc1C[N@H+]1CCCC[C@H]1c1cccnc1 ZINC001232203738 1132433409 /nfs/dbraw/zinc/43/34/09/1132433409.db2.gz INCZXHKRAPXCCN-HNNXBMFYSA-N 1 2 273.405 3.574 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ncsc1C ZINC001232213090 1132436016 /nfs/dbraw/zinc/43/60/16/1132436016.db2.gz VZTMSZARWAIODX-UHFFFAOYSA-N 1 2 252.408 3.535 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ncsc1C ZINC001232213090 1132436021 /nfs/dbraw/zinc/43/60/21/1132436021.db2.gz VZTMSZARWAIODX-UHFFFAOYSA-N 1 2 252.408 3.535 20 0 CHADLO NC(=[NH+]OCCC[C@H]1CCOC1)c1cccc2ccccc21 ZINC000842647769 1132447134 /nfs/dbraw/zinc/44/71/34/1132447134.db2.gz FJQSBPNEOOGGIM-AWEZNQCLSA-N 1 2 298.386 3.503 20 0 CHADLO Cc1cccc(C[N@@H+]2CCn3cccc3C2)c1OC(C)C ZINC001232392719 1132464580 /nfs/dbraw/zinc/46/45/80/1132464580.db2.gz YPRZZABVNREFRK-UHFFFAOYSA-N 1 2 284.403 3.600 20 0 CHADLO Cc1cccc(C[N@H+]2CCn3cccc3C2)c1OC(C)C ZINC001232392719 1132464583 /nfs/dbraw/zinc/46/45/83/1132464583.db2.gz YPRZZABVNREFRK-UHFFFAOYSA-N 1 2 284.403 3.600 20 0 CHADLO CCc1csc(C[N@@H+]2CCSc3ccccc3C2)n1 ZINC001232474267 1132474878 /nfs/dbraw/zinc/47/48/78/1132474878.db2.gz UOPDYIINRVEXBC-UHFFFAOYSA-N 1 2 290.457 3.813 20 0 CHADLO CCc1csc(C[N@H+]2CCSc3ccccc3C2)n1 ZINC001232474267 1132474882 /nfs/dbraw/zinc/47/48/82/1132474882.db2.gz UOPDYIINRVEXBC-UHFFFAOYSA-N 1 2 290.457 3.813 20 0 CHADLO CCSC[C@H](C)[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001173314274 1132475620 /nfs/dbraw/zinc/47/56/20/1132475620.db2.gz GWWUTFNXXWTVSC-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CCSC[C@H](C)[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001173314274 1132475622 /nfs/dbraw/zinc/47/56/22/1132475622.db2.gz GWWUTFNXXWTVSC-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CCc1csc(C[N@@H+](CC)Cc2cccc(OC)c2)n1 ZINC001232473647 1132476391 /nfs/dbraw/zinc/47/63/91/1132476391.db2.gz AJOWZZWUBIQODI-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCc1csc(C[N@H+](CC)Cc2cccc(OC)c2)n1 ZINC001232473647 1132476395 /nfs/dbraw/zinc/47/63/95/1132476395.db2.gz AJOWZZWUBIQODI-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO Fc1ccnc(C[N@@H+]2CCSC[C@@H]2c2ccccc2)c1 ZINC001232496748 1132480053 /nfs/dbraw/zinc/48/00/53/1132480053.db2.gz WDAVZJFFDIGDFK-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ccnc(C[N@H+]2CCSC[C@@H]2c2ccccc2)c1 ZINC001232496748 1132480055 /nfs/dbraw/zinc/48/00/55/1132480055.db2.gz WDAVZJFFDIGDFK-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO Nc1ccc(F)cc1C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC001232512225 1132482318 /nfs/dbraw/zinc/48/23/18/1132482318.db2.gz YZFAPBSBZMUVBG-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(F)cc1C[N@H+]1CCc2cccc(Cl)c2C1 ZINC001232512225 1132482322 /nfs/dbraw/zinc/48/23/22/1132482322.db2.gz YZFAPBSBZMUVBG-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO CC[NH2+]Cc1noc(C2(c3cccc(C)c3)CCCCC2)n1 ZINC000843141890 1132496095 /nfs/dbraw/zinc/49/60/95/1132496095.db2.gz VWKJRQZVHXRSEM-UHFFFAOYSA-N 1 2 299.418 3.738 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccc2ncsc2c1 ZINC001232742624 1132514272 /nfs/dbraw/zinc/51/42/72/1132514272.db2.gz CBOQNOTWRUBSDZ-LBPRGKRZSA-N 1 2 283.400 3.675 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccc2ncsc2c1 ZINC001232742624 1132514277 /nfs/dbraw/zinc/51/42/77/1132514277.db2.gz CBOQNOTWRUBSDZ-LBPRGKRZSA-N 1 2 283.400 3.675 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc3ncsc3c1)C2 ZINC001232742937 1132514491 /nfs/dbraw/zinc/51/44/91/1132514491.db2.gz HVKQLADPIIMZKT-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc3ncsc3c1)C2 ZINC001232742937 1132514497 /nfs/dbraw/zinc/51/44/97/1132514497.db2.gz HVKQLADPIIMZKT-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1cccc(O)c1Cl)C2 ZINC001232784137 1132518452 /nfs/dbraw/zinc/51/84/52/1132518452.db2.gz HGIBWRIXFWUPBH-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1cccc(O)c1Cl)C2 ZINC001232784137 1132518456 /nfs/dbraw/zinc/51/84/56/1132518456.db2.gz HGIBWRIXFWUPBH-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO Fc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001173799051 1132532557 /nfs/dbraw/zinc/53/25/57/1132532557.db2.gz GBUAPUKRFRIWSB-UHFFFAOYSA-N 1 2 253.280 3.755 20 0 CHADLO CCOc1ccccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001173847791 1132550203 /nfs/dbraw/zinc/55/02/03/1132550203.db2.gz IZIKXEFLRRQLSP-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Fc1ccccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001173848167 1132551541 /nfs/dbraw/zinc/55/15/41/1132551541.db2.gz NRXHYOPFQSNMQD-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO CC[N@H+](Cc1ccc(F)cc1)Cc1cc(O)c(F)c(F)c1 ZINC001232976416 1132554877 /nfs/dbraw/zinc/55/48/77/1132554877.db2.gz XNYAXCLHQPIBEQ-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cc1)Cc1cc(O)c(F)c(F)c1 ZINC001232976416 1132554884 /nfs/dbraw/zinc/55/48/84/1132554884.db2.gz XNYAXCLHQPIBEQ-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO Oc1cc(C[NH+]2CCC3(CC[C@H](F)C3)CC2)cc(F)c1F ZINC001232974876 1132556391 /nfs/dbraw/zinc/55/63/91/1132556391.db2.gz CNSLOUPRWDRLLM-LBPRGKRZSA-N 1 2 299.336 3.775 20 0 CHADLO Cc1ncc(F)cc1C[N@H+](C)Cc1ccccc1Cl ZINC001232989908 1132558085 /nfs/dbraw/zinc/55/80/85/1132558085.db2.gz YVVZRTDKKWQMKZ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ncc(F)cc1C[N@@H+](C)Cc1ccccc1Cl ZINC001232989908 1132558092 /nfs/dbraw/zinc/55/80/92/1132558092.db2.gz YVVZRTDKKWQMKZ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2N2CCCC2)cc1F ZINC001173862691 1132565276 /nfs/dbraw/zinc/56/52/76/1132565276.db2.gz CIOAETYJAHUBFC-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO CCOc1cc(Nc2ccc(OC)c(F)c2)cc(C)[nH+]1 ZINC001173862887 1132565410 /nfs/dbraw/zinc/56/54/10/1132565410.db2.gz NHXPMELYJZHQIE-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CCOc1c(Cl)cc(C[NH+]2CC(C)C2)cc1Cl ZINC001233108412 1132571721 /nfs/dbraw/zinc/57/17/21/1132571721.db2.gz VABZWBMDYLQOQW-UHFFFAOYSA-N 1 2 274.191 3.844 20 0 CHADLO Fc1ccc2c(c1)[nH]nc2C[N@@H+]1CCC2(C1)CCCCC2 ZINC001233124462 1132572005 /nfs/dbraw/zinc/57/20/05/1132572005.db2.gz KZYILPZXHXXQIU-UHFFFAOYSA-N 1 2 287.382 3.858 20 0 CHADLO Fc1ccc2c(c1)[nH]nc2C[N@H+]1CCC2(C1)CCCCC2 ZINC001233124462 1132572012 /nfs/dbraw/zinc/57/20/12/1132572012.db2.gz KZYILPZXHXXQIU-UHFFFAOYSA-N 1 2 287.382 3.858 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3c(Cl)cccc3C2)cnc1C ZINC001233162147 1132575328 /nfs/dbraw/zinc/57/53/28/1132575328.db2.gz TWROBHKGHOOGHF-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C[N@H+]2CCc3c(Cl)cccc3C2)cnc1C ZINC001233162147 1132575337 /nfs/dbraw/zinc/57/53/37/1132575337.db2.gz TWROBHKGHOOGHF-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC[C@@H]1C1CCCCC1 ZINC001151971705 1132576874 /nfs/dbraw/zinc/57/68/74/1132576874.db2.gz NJWQPGWUAWJVLV-QGZVFWFLSA-N 1 2 297.402 3.748 20 0 CHADLO Cc1ccc(Nc2cc(O)c(Cl)cc2F)c(C)[nH+]1 ZINC001203375414 1132577707 /nfs/dbraw/zinc/57/77/07/1132577707.db2.gz YVZCCDOVILHJDG-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)CC(C)(C)C1 ZINC001151975916 1132577970 /nfs/dbraw/zinc/57/79/70/1132577970.db2.gz KKJWJBSBJGAICA-WCQYABFASA-N 1 2 285.391 3.508 20 0 CHADLO COc1ccc2cc(Nc3ccc(C)[nH+]c3C)ccc2n1 ZINC001203375421 1132577999 /nfs/dbraw/zinc/57/79/99/1132577999.db2.gz ZFOQPGFYFZXXQH-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(Cl)c(F)c2F)cn1 ZINC001233213130 1132583431 /nfs/dbraw/zinc/58/34/31/1132583431.db2.gz IWJIJKNPYHFTIT-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(Cl)c(F)c2F)cn1 ZINC001233213130 1132583438 /nfs/dbraw/zinc/58/34/38/1132583438.db2.gz IWJIJKNPYHFTIT-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cccc(-c2ccc(C[NH+]3CC4(CCO4)C3)cc2)c1 ZINC001233225438 1132585046 /nfs/dbraw/zinc/58/50/46/1132585046.db2.gz PLDDAPMPLBKROE-UHFFFAOYSA-N 1 2 279.383 3.637 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(NC(C)=O)c1 ZINC001173832855 1132587632 /nfs/dbraw/zinc/58/76/32/1132587632.db2.gz DZNKJTXHAKAVIX-UHFFFAOYSA-N 1 2 269.348 3.654 20 0 CHADLO COc1cc[nH+]c(Nc2ccccc2C(F)(F)F)c1 ZINC001173841995 1132596190 /nfs/dbraw/zinc/59/61/90/1132596190.db2.gz RNMRVICZLLVAJG-UHFFFAOYSA-N 1 2 268.238 3.853 20 0 CHADLO Cc1cnsc1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC001233321281 1132599221 /nfs/dbraw/zinc/59/92/21/1132599221.db2.gz HNYFXOPPHRFNDD-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnsc1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC001233321281 1132599226 /nfs/dbraw/zinc/59/92/26/1132599226.db2.gz HNYFXOPPHRFNDD-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC(=O)c1cccc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001173899294 1132602365 /nfs/dbraw/zinc/60/23/65/1132602365.db2.gz GQEUKBYMVTWWAG-UHFFFAOYSA-N 1 2 281.359 3.628 20 0 CHADLO CSc1cccc(Cl)c1C[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC001233350470 1132608644 /nfs/dbraw/zinc/60/86/44/1132608644.db2.gz IPOHMCGORQYUPX-GHMZBOCLSA-N 1 2 285.840 3.671 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001233350821 1132608825 /nfs/dbraw/zinc/60/88/25/1132608825.db2.gz VIELLKIMHKKNTG-SKDRFNHKSA-N 1 2 273.804 3.852 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001233350821 1132608827 /nfs/dbraw/zinc/60/88/27/1132608827.db2.gz VIELLKIMHKKNTG-SKDRFNHKSA-N 1 2 273.804 3.852 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(F)cc(F)c3)ccc21 ZINC001173914148 1132609115 /nfs/dbraw/zinc/60/91/15/1132609115.db2.gz HKIUFILKJFKYJK-UHFFFAOYSA-N 1 2 259.259 3.595 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cn3ccc(Cl)cc3n2)C1 ZINC001233388843 1132614329 /nfs/dbraw/zinc/61/43/29/1132614329.db2.gz OOGUPNPEVHUKGU-OAHLLOKOSA-N 1 2 295.789 3.702 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cn3ccc(Cl)cc3n2)C1 ZINC001233388843 1132614332 /nfs/dbraw/zinc/61/43/32/1132614332.db2.gz OOGUPNPEVHUKGU-OAHLLOKOSA-N 1 2 295.789 3.702 20 0 CHADLO C[N@@H+](Cc1c(Cl)ncnc1Cl)CC1CCCCC1 ZINC001233410843 1132618434 /nfs/dbraw/zinc/61/84/34/1132618434.db2.gz DJKMGCJDPWLOQF-UHFFFAOYSA-N 1 2 288.222 3.796 20 0 CHADLO C[N@H+](Cc1c(Cl)ncnc1Cl)CC1CCCCC1 ZINC001233410843 1132618438 /nfs/dbraw/zinc/61/84/38/1132618438.db2.gz DJKMGCJDPWLOQF-UHFFFAOYSA-N 1 2 288.222 3.796 20 0 CHADLO Nc1cc(Cl)[nH+]c(N[C@@H](c2ccccc2)C2CC2)c1 ZINC001154642095 1132619467 /nfs/dbraw/zinc/61/94/67/1132619467.db2.gz LUVCAQPOVSZGJL-HNNXBMFYSA-N 1 2 273.767 3.880 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)OCCO3)c1 ZINC001173877150 1132620863 /nfs/dbraw/zinc/62/08/63/1132620863.db2.gz KVCUAYRGOVPFOY-UHFFFAOYSA-N 1 2 270.332 3.549 20 0 CHADLO CCc1coc(CNc2[nH+]c3ccc(OC)cc3cc2C)n1 ZINC001154773026 1132624077 /nfs/dbraw/zinc/62/40/77/1132624077.db2.gz HCCPVMIXAHSFPU-UHFFFAOYSA-N 1 2 297.358 3.714 20 0 CHADLO Clc1cncc(C[N@@H+]2CCC[C@@H]2c2cccs2)n1 ZINC001233449125 1132625142 /nfs/dbraw/zinc/62/51/42/1132625142.db2.gz RDPMHAZCADPIIS-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1cncc(C[N@H+]2CCC[C@@H]2c2cccs2)n1 ZINC001233449125 1132625148 /nfs/dbraw/zinc/62/51/48/1132625148.db2.gz RDPMHAZCADPIIS-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO COc1ccc(Nc2ccccc2-n2cc[nH+]c2)c(OC)c1 ZINC001173890698 1132629164 /nfs/dbraw/zinc/62/91/64/1132629164.db2.gz DDSPEIQFLWOIGA-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO CSc1cc[nH+]c(NCc2cnccc2C(F)(F)F)c1 ZINC001154903609 1132631403 /nfs/dbraw/zinc/63/14/03/1132631403.db2.gz YXOGWVBRGOXBLL-UHFFFAOYSA-N 1 2 299.321 3.829 20 0 CHADLO CCSc1cc[nH+]c(N[C@H]2c3cc(C)ccc3NC2=O)c1 ZINC001155109855 1132641959 /nfs/dbraw/zinc/64/19/59/1132641959.db2.gz CFSSGXKYKTUHKL-HNNXBMFYSA-N 1 2 299.399 3.607 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1[nH+]ccc3ccccc31)C(=O)N2 ZINC001155108213 1132642342 /nfs/dbraw/zinc/64/23/42/1132642342.db2.gz PNWZCFXXCXVIHV-INIZCTEOSA-N 1 2 289.338 3.649 20 0 CHADLO CSc1cc[nH+]c(NC[C@@H]2CCC(F)(F)C2)c1 ZINC001155175016 1132644852 /nfs/dbraw/zinc/64/48/52/1132644852.db2.gz XUYLDEGDJXYUFS-SECBINFHSA-N 1 2 258.337 3.651 20 0 CHADLO CCC(CC)[C@H](C)C(=O)N1CCC[C@@H]1c1[nH]c(C)c(C)[nH+]1 ZINC001155174195 1132645169 /nfs/dbraw/zinc/64/51/69/1132645169.db2.gz LOVBDMNWIXLSHS-XHDPSFHLSA-N 1 2 291.439 3.762 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2C(=O)C2CCCCCC2)[nH+]c1C ZINC001155174298 1132645301 /nfs/dbraw/zinc/64/53/01/1132645301.db2.gz LYHWFFBHKTZEHF-HNNXBMFYSA-N 1 2 289.423 3.660 20 0 CHADLO Cc1nc(NCc2cccn2C)cc(C2CCCCC2)[nH+]1 ZINC001155212650 1132649335 /nfs/dbraw/zinc/64/93/35/1132649335.db2.gz AMLIHYDFLIZSRQ-UHFFFAOYSA-N 1 2 284.407 3.783 20 0 CHADLO CCSc1cc[nH+]c(NCc2cnc3cc(C)ccn23)c1 ZINC001155281576 1132652161 /nfs/dbraw/zinc/65/21/61/1132652161.db2.gz OBZZQQRIILFOKT-UHFFFAOYSA-N 1 2 298.415 3.762 20 0 CHADLO COc1ccc(C)cc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001173988144 1132666595 /nfs/dbraw/zinc/66/65/95/1132666595.db2.gz RNENUEYMHZDFTP-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO COC1C[C@H]2CC[C@@H](C1)N2c1[nH+]ccc2c(C)cccc21 ZINC001155803910 1132666968 /nfs/dbraw/zinc/66/69/68/1132666968.db2.gz OZOBVEKQFJOUJV-YIONKMFJSA-N 1 2 282.387 3.689 20 0 CHADLO CCOc1cccc(CCNc2[nH+]cccc2OCC)c1 ZINC001155875116 1132667535 /nfs/dbraw/zinc/66/75/35/1132667535.db2.gz SWFQDEGXAOQKAL-UHFFFAOYSA-N 1 2 286.375 3.534 20 0 CHADLO Cc1nc(NC(C)(C)Cc2ccc(F)cc2)c2c([nH+]1)CCC2 ZINC001155968518 1132673287 /nfs/dbraw/zinc/67/32/87/1132673287.db2.gz GKZRVEHSDRALAH-UHFFFAOYSA-N 1 2 299.393 3.846 20 0 CHADLO Cc1nc(NCCOc2ccccc2F)cc(C(C)C)[nH+]1 ZINC001156019613 1132677207 /nfs/dbraw/zinc/67/72/07/1132677207.db2.gz WZNRDFYMOZFCOK-UHFFFAOYSA-N 1 2 289.354 3.538 20 0 CHADLO c1cn(-c2ccccc2Nc2ccc3c(c2)CCO3)c[nH+]1 ZINC001174010493 1132677344 /nfs/dbraw/zinc/67/73/44/1132677344.db2.gz LPXBMNWJKHLELZ-UHFFFAOYSA-N 1 2 277.327 3.551 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1cc2ccccc2n1C ZINC001156015676 1132677381 /nfs/dbraw/zinc/67/73/81/1132677381.db2.gz CVRARYXMWZSBOI-UHFFFAOYSA-N 1 2 281.359 3.502 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3c(c2)CCO3)cc1 ZINC001174013270 1132679669 /nfs/dbraw/zinc/67/96/69/1132679669.db2.gz DRFUMKRAKSNQNF-UHFFFAOYSA-N 1 2 268.360 3.821 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3c(c2)CCO3)cc1 ZINC001174013270 1132679675 /nfs/dbraw/zinc/67/96/75/1132679675.db2.gz DRFUMKRAKSNQNF-UHFFFAOYSA-N 1 2 268.360 3.821 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(NC(C)=O)cc1 ZINC001173977850 1132686059 /nfs/dbraw/zinc/68/60/59/1132686059.db2.gz NRGLZAAMCSIYLP-UHFFFAOYSA-N 1 2 269.348 3.654 20 0 CHADLO COc1ccc(CCCNc2[nH+]ccc(F)c2C)cc1 ZINC001156168669 1132686788 /nfs/dbraw/zinc/68/67/88/1132686788.db2.gz JALCYRGWGOKNTK-UHFFFAOYSA-N 1 2 274.339 3.582 20 0 CHADLO COC(=O)c1c(F)ccc(Nc2ccc(C)[nH+]c2C)c1F ZINC001203377018 1132691178 /nfs/dbraw/zinc/69/11/78/1132691178.db2.gz YWTAWWRRBDKTPR-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO COc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c(C)c1 ZINC001174024867 1132699395 /nfs/dbraw/zinc/69/93/95/1132699395.db2.gz SSFPKAZDMZLJTR-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO F[C@H]1C[N@H+](Cc2ccccc2Cl)CCCC1(F)F ZINC001203377829 1132700952 /nfs/dbraw/zinc/70/09/52/1132700952.db2.gz UHDXBRDYUOLMKI-LBPRGKRZSA-N 1 2 277.717 3.909 20 0 CHADLO F[C@H]1C[N@@H+](Cc2ccccc2Cl)CCCC1(F)F ZINC001203377829 1132700959 /nfs/dbraw/zinc/70/09/59/1132700959.db2.gz UHDXBRDYUOLMKI-LBPRGKRZSA-N 1 2 277.717 3.909 20 0 CHADLO CSc1nc(NCc2ccccc2C2CC2)cc(C)[nH+]1 ZINC001156537467 1132703671 /nfs/dbraw/zinc/70/36/71/1132703671.db2.gz PYXASJJXTPGLBF-UHFFFAOYSA-N 1 2 285.416 3.996 20 0 CHADLO COCc1cccc(Nc2[nH+]cccc2N2CCCCC2)c1 ZINC001174094305 1132711097 /nfs/dbraw/zinc/71/10/97/1132711097.db2.gz MBMIQVAZLROCTQ-UHFFFAOYSA-N 1 2 297.402 3.962 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CC3CC(C3)C2)c1 ZINC001156887817 1132714184 /nfs/dbraw/zinc/71/41/84/1132714184.db2.gz OFRIGRHNNGNBOO-UHFFFAOYSA-N 1 2 258.752 3.734 20 0 CHADLO COCc1ccccc1Nc1cccc2[nH+]c(C)cn21 ZINC001174103325 1132720113 /nfs/dbraw/zinc/72/01/13/1132720113.db2.gz GOIWRRXZOCPFPA-UHFFFAOYSA-N 1 2 267.332 3.533 20 0 CHADLO Cc1cc(C)c(Nc2ccc(C(=O)NCC(C)C)cc2)c[nH+]1 ZINC001174077981 1132732833 /nfs/dbraw/zinc/73/28/33/1132732833.db2.gz KRHWBTFRBPSDPW-UHFFFAOYSA-N 1 2 297.402 3.828 20 0 CHADLO CCc1cccc(Nc2ccc(C(=O)NCC(C)C)cc2)[nH+]1 ZINC001174080462 1132734305 /nfs/dbraw/zinc/73/43/05/1132734305.db2.gz YZIAHXTYJCRRPU-UHFFFAOYSA-N 1 2 297.402 3.773 20 0 CHADLO c1ccc(-c2cc[nH+]c(NCCOc3cccnc3)c2)cc1 ZINC001157811282 1132744277 /nfs/dbraw/zinc/74/42/77/1132744277.db2.gz YLCLFWDQWQRKGP-UHFFFAOYSA-N 1 2 291.354 3.635 20 0 CHADLO CC(C)OCCCNc1cc(-c2ccccc2)cc[nH+]1 ZINC001157867625 1132749951 /nfs/dbraw/zinc/74/99/51/1132749951.db2.gz PCUKEABPAJLRGV-UHFFFAOYSA-N 1 2 270.376 3.976 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)CN(C2CC2)C3=O)c(C)[nH+]1 ZINC001203380120 1132750329 /nfs/dbraw/zinc/75/03/29/1132750329.db2.gz IBWDZAMSVJZDNB-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO CCc1cccc(Nc2cccc(C(=O)N(CC)CC)c2)[nH+]1 ZINC001174164069 1132751713 /nfs/dbraw/zinc/75/17/13/1132751713.db2.gz AQXIHXVIDYUAIG-UHFFFAOYSA-N 1 2 297.402 3.870 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1cc(N2CCCCC2)nc[nH+]1 ZINC001157885963 1132752129 /nfs/dbraw/zinc/75/21/29/1132752129.db2.gz FZUGDGSXMDAVJK-KBPBESRZSA-N 1 2 276.428 3.704 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1cc(N2CCCCC2)[nH+]cn1 ZINC001157885963 1132752134 /nfs/dbraw/zinc/75/21/34/1132752134.db2.gz FZUGDGSXMDAVJK-KBPBESRZSA-N 1 2 276.428 3.704 20 0 CHADLO Cc1ccc(Nc2cccc(C(=O)NCC(C)C)c2)c(C)[nH+]1 ZINC001174191330 1132756028 /nfs/dbraw/zinc/75/60/28/1132756028.db2.gz URALKEQXZAVKPX-UHFFFAOYSA-N 1 2 297.402 3.828 20 0 CHADLO COc1ccc(F)c(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001174210344 1132757946 /nfs/dbraw/zinc/75/79/46/1132757946.db2.gz XOUFLDUTTSOHDK-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H](C1CCC1)C(F)(F)F ZINC001158399227 1132774115 /nfs/dbraw/zinc/77/41/15/1132774115.db2.gz DAYOLINHYYMQCS-JTQLQIEISA-N 1 2 262.250 3.672 20 0 CHADLO Cc1ccnc(CCNc2cc3ccccc3c[nH+]2)c1 ZINC001158427589 1132775864 /nfs/dbraw/zinc/77/58/64/1132775864.db2.gz WVIAYNHHZCJYHL-UHFFFAOYSA-N 1 2 263.344 3.593 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2C[C@H](C)c3ccccc32)[nH+]1 ZINC001158468722 1132778246 /nfs/dbraw/zinc/77/82/46/1132778246.db2.gz OMDGZXLKHBAPCV-BONVTDFDSA-N 1 2 278.359 3.927 20 0 CHADLO c1cnn(-c2cccc(Nc3cccc(C4CC4)[nH+]3)c2)c1 ZINC001174298897 1132778282 /nfs/dbraw/zinc/77/82/82/1132778282.db2.gz PQAJRRWQBPYGCB-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cccc(N2CCCCC2)c1 ZINC001174300997 1132780171 /nfs/dbraw/zinc/78/01/71/1132780171.db2.gz JEIGSBKZHMWGHU-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1cc(N[C@@H](C)c2cnccn2)[nH+]c2ccccc12 ZINC001158595912 1132783264 /nfs/dbraw/zinc/78/32/64/1132783264.db2.gz CUZVAOPWLDMEPS-LBPRGKRZSA-N 1 2 264.332 3.506 20 0 CHADLO Nc1[nH+]c(NCCc2coc3ccccc23)ccc1Cl ZINC001158662187 1132787097 /nfs/dbraw/zinc/78/70/97/1132787097.db2.gz RNLUHFBATRMYJC-UHFFFAOYSA-N 1 2 287.750 3.718 20 0 CHADLO Cc1ccc(Nc2cc3snnc3c(C)c2)c(C)[nH+]1 ZINC001203381005 1132788793 /nfs/dbraw/zinc/78/87/93/1132788793.db2.gz OKQQLWAOXACOBC-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cn1cc(Nc2cc[nH+]c3cc(C(F)(F)F)ccc23)cn1 ZINC001174327791 1132793887 /nfs/dbraw/zinc/79/38/87/1132793887.db2.gz BFPIRLDQHDRNKL-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO Cn1cnc2ccc(Nc3cccc4cc[nH+]cc43)cc21 ZINC001174348617 1132807271 /nfs/dbraw/zinc/80/72/71/1132807271.db2.gz HYRXZZRBJODUPE-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO CNc1ccc(Nc2ccccc2OCC(C)C)c[nH+]1 ZINC001159253781 1132820521 /nfs/dbraw/zinc/82/05/21/1132820521.db2.gz JEAHQPSPPQCMNI-UHFFFAOYSA-N 1 2 271.364 3.902 20 0 CHADLO CN(C)c1ccc(Nc2ccc3ncsc3c2)c[nH+]1 ZINC001174363950 1132821712 /nfs/dbraw/zinc/82/17/12/1132821712.db2.gz YCPBVBRLVIWROZ-UHFFFAOYSA-N 1 2 270.361 3.501 20 0 CHADLO Nc1ccc(Nc2cc3c(cccc3Cl)[nH]2)c[nH+]1 ZINC001159282166 1132822670 /nfs/dbraw/zinc/82/26/70/1132822670.db2.gz PXPLJVQMOCZCCC-UHFFFAOYSA-N 1 2 258.712 3.542 20 0 CHADLO CCCOc1c(F)cc(F)c(Nc2ccc(N)[nH+]c2)c1F ZINC001159282150 1132823063 /nfs/dbraw/zinc/82/30/63/1132823063.db2.gz PGSCBOYKCQOKOF-UHFFFAOYSA-N 1 2 297.280 3.614 20 0 CHADLO CCc1cccc(Nc2ccc(-c3cnn(C)c3)cc2)[nH+]1 ZINC001174378014 1132827680 /nfs/dbraw/zinc/82/76/80/1132827680.db2.gz MFYNHJGISCNYPX-UHFFFAOYSA-N 1 2 278.359 3.788 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3c4c(nn3C)CCC4)ccc21 ZINC001174381332 1132829151 /nfs/dbraw/zinc/82/91/51/1132829151.db2.gz WJCWNTUSDHIJPE-UHFFFAOYSA-N 1 2 295.390 3.583 20 0 CHADLO Cc1nn(C)c(C)c1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174380815 1132829199 /nfs/dbraw/zinc/82/91/99/1132829199.db2.gz LFSGCTXEYHCBJE-UHFFFAOYSA-N 1 2 283.379 3.711 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ncc(F)s3)ccc21 ZINC001174380650 1132829219 /nfs/dbraw/zinc/82/92/19/1132829219.db2.gz HPGFSOXYDJCZIA-UHFFFAOYSA-N 1 2 276.340 3.956 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cc(CO)ccc3F)ccc21 ZINC001174381568 1132829293 /nfs/dbraw/zinc/82/92/93/1132829293.db2.gz NJODBACPONTRTD-UHFFFAOYSA-N 1 2 299.349 3.992 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)sc2C)c[nH+]1 ZINC001174419612 1132836122 /nfs/dbraw/zinc/83/61/22/1132836122.db2.gz QHQYKIUJZYZSMK-UHFFFAOYSA-N 1 2 261.394 3.960 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2n[nH]cc2c1 ZINC001159451261 1132846755 /nfs/dbraw/zinc/84/67/55/1132846755.db2.gz YXALXGJHTSFLNY-UHFFFAOYSA-N 1 2 264.332 3.887 20 0 CHADLO Nc1cc(Cl)cc2ccn(-c3cc[nH+]c4[nH]ccc43)c21 ZINC001174412449 1132850983 /nfs/dbraw/zinc/85/09/83/1132850983.db2.gz LSGVGTLGENWQCB-UHFFFAOYSA-N 1 2 282.734 3.694 20 0 CHADLO CCOC(=O)c1ccc(C)c(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174411875 1132851327 /nfs/dbraw/zinc/85/13/27/1132851327.db2.gz KHRGTFSZWNPSKQ-UHFFFAOYSA-N 1 2 295.342 3.743 20 0 CHADLO Cc1cc(=O)c2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2o1 ZINC001174414336 1132853581 /nfs/dbraw/zinc/85/35/81/1132853581.db2.gz ACLJMNDHDNJZIE-UHFFFAOYSA-N 1 2 291.310 3.673 20 0 CHADLO Nc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)c(F)c1 ZINC001174480178 1132884170 /nfs/dbraw/zinc/88/41/70/1132884170.db2.gz UVVYMDUDPRSGIE-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO Nc1ccc2ccn(-c3ccc([NH+]4CCCC4)cc3)c2c1 ZINC001174526518 1132886750 /nfs/dbraw/zinc/88/67/50/1132886750.db2.gz SDBVSJBLPSYHKU-UHFFFAOYSA-N 1 2 277.371 3.813 20 0 CHADLO COc1ccc(C)[nH+]c1Nc1ccc(N2CCCC2)cc1 ZINC001174526670 1132888676 /nfs/dbraw/zinc/88/86/76/1132888676.db2.gz URJAIZALNBSMDR-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO Nc1cc(F)cc2c1ccn2-c1ccc([NH+]2CCCC2)cc1 ZINC001174529149 1132891389 /nfs/dbraw/zinc/89/13/89/1132891389.db2.gz FPSWBHQMPGAZAV-UHFFFAOYSA-N 1 2 295.361 3.952 20 0 CHADLO CC(=O)Oc1ccc(Nc2ccc([NH+]3CCCC3)cc2)cc1 ZINC001174529616 1132891691 /nfs/dbraw/zinc/89/16/91/1132891691.db2.gz PDHUJDWHJZPORG-UHFFFAOYSA-N 1 2 296.370 3.956 20 0 CHADLO Cc1cc(F)cc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001174530213 1132891966 /nfs/dbraw/zinc/89/19/66/1132891966.db2.gz VUSSAOWADNFDMI-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO COc1cc(Nc2c[nH+]c(C)cc2C)cc(F)c1F ZINC001174580096 1132915782 /nfs/dbraw/zinc/91/57/82/1132915782.db2.gz BOIUBHXSAKVUBP-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)c(F)c(OC)c1 ZINC001174585923 1132917844 /nfs/dbraw/zinc/91/78/44/1132917844.db2.gz GKKVGZYWSQNGSG-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cncc(C(C)(C)O)c3)ccc12 ZINC001174594233 1132919382 /nfs/dbraw/zinc/91/93/82/1132919382.db2.gz XMMIJSVPVQQRQJ-UHFFFAOYSA-N 1 2 293.370 3.909 20 0 CHADLO CC(=O)c1ccc([C@H](C)Nc2[nH+]c(C)nc3[nH]ccc32)cc1 ZINC001159906832 1132922160 /nfs/dbraw/zinc/92/21/60/1132922160.db2.gz KTHHLGRRODFJMU-JTQLQIEISA-N 1 2 294.358 3.642 20 0 CHADLO COc1ccc(Nc2ccc3c(c2)[nH+]cn3C(C)C)c(N)c1 ZINC001159912932 1132924271 /nfs/dbraw/zinc/92/42/71/1132924271.db2.gz WLDVKZUDHCVMCZ-UHFFFAOYSA-N 1 2 296.374 3.952 20 0 CHADLO CCSc1ccccc1C[N@@H+]1CCC(F)(F)[C@@H](F)C1 ZINC001249437823 1132944159 /nfs/dbraw/zinc/94/41/59/1132944159.db2.gz FTKOYXOCVQKSCP-ZDUSSCGKSA-N 1 2 289.366 3.978 20 0 CHADLO CCSc1ccccc1C[N@H+]1CCC(F)(F)[C@@H](F)C1 ZINC001249437823 1132944165 /nfs/dbraw/zinc/94/41/65/1132944165.db2.gz FTKOYXOCVQKSCP-ZDUSSCGKSA-N 1 2 289.366 3.978 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnc(C2CC2)nc1 ZINC001174613537 1132943430 /nfs/dbraw/zinc/94/34/30/1132943430.db2.gz ZCFDNXYEURPSGV-UHFFFAOYSA-N 1 2 268.364 3.924 20 0 CHADLO Cc1cccc(F)c1C[NH2+]Cc1ccn(-c2ccccc2)n1 ZINC000773394412 1132950263 /nfs/dbraw/zinc/95/02/63/1132950263.db2.gz AUQFDKBNQVNUFU-UHFFFAOYSA-N 1 2 295.361 3.610 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)ccc(F)c1OC ZINC001174659585 1132952330 /nfs/dbraw/zinc/95/23/30/1132952330.db2.gz IFXJWRBSDPJMTN-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CSc1cc[nH+]c(NCC2(c3ccccc3)CC2)c1 ZINC001160262645 1132953132 /nfs/dbraw/zinc/95/31/32/1132953132.db2.gz LHXXDGCYIOHWGV-UHFFFAOYSA-N 1 2 270.401 3.947 20 0 CHADLO CSCc1cc[nH+]c(NCCOC2CCCCC2)c1 ZINC001160281892 1132957119 /nfs/dbraw/zinc/95/71/19/1132957119.db2.gz YVDCBSOJSRSHHX-UHFFFAOYSA-N 1 2 280.437 3.706 20 0 CHADLO Cc1nc(NC(C)(C)c2ccncc2)cc(C(C)C)[nH+]1 ZINC001160306210 1132959643 /nfs/dbraw/zinc/95/96/43/1132959643.db2.gz ARAOLOFIEWJADW-UHFFFAOYSA-N 1 2 270.380 3.651 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@H](c1ccccc1)C1(O)CCC1 ZINC001160541889 1132974779 /nfs/dbraw/zinc/97/47/79/1132974779.db2.gz VGCMBDMCIPGZQP-OAHLLOKOSA-N 1 2 286.350 3.597 20 0 CHADLO C=Cc1ccc(NCCC2CCC(OC)CC2)[nH+]c1 ZINC001160641563 1132976756 /nfs/dbraw/zinc/97/67/56/1132976756.db2.gz BPQWMURQCLSXRD-UHFFFAOYSA-N 1 2 260.381 3.732 20 0 CHADLO Cc1cnc(N[C@@H](C)c2c[nH+]c3c(C)cccn23)c(F)c1 ZINC001160684110 1132978520 /nfs/dbraw/zinc/97/85/20/1132978520.db2.gz ZXJHXIDOQMVFGM-LBPRGKRZSA-N 1 2 284.338 3.658 20 0 CHADLO c1cc2ncc(Nc3cccc4[nH+]c[nH]c43)cc2s1 ZINC001213029026 1132991709 /nfs/dbraw/zinc/99/17/09/1132991709.db2.gz FBIUTGRYZRUJHI-UHFFFAOYSA-N 1 2 266.329 3.916 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)n(C2CCC2)n1 ZINC001213028962 1132992043 /nfs/dbraw/zinc/99/20/43/1132992043.db2.gz ASZPOKSOFFAUGP-UHFFFAOYSA-N 1 2 267.336 3.536 20 0 CHADLO CC(C)c1cncc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213030578 1132994239 /nfs/dbraw/zinc/99/42/39/1132994239.db2.gz GDDORFYXZPATFC-UHFFFAOYSA-N 1 2 252.321 3.825 20 0 CHADLO CCOC(=O)c1ccc(Nc2cccc3[nH+]c[nH]c32)c(C)c1 ZINC001213030565 1132994757 /nfs/dbraw/zinc/99/47/57/1132994757.db2.gz FNWBJKZTVIIHBE-UHFFFAOYSA-N 1 2 295.342 3.792 20 0 CHADLO c1[nH]c2c(cccc2Nc2cccc3cccnc32)[nH+]1 ZINC001213030551 1132994883 /nfs/dbraw/zinc/99/48/83/1132994883.db2.gz FBSWTXVRALPIMX-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO CCSc1cc[nH+]c(NCc2ccc(COC)cc2)c1 ZINC001161334538 1132997793 /nfs/dbraw/zinc/99/77/93/1132997793.db2.gz OUFJTZWMNKPXDA-UHFFFAOYSA-N 1 2 288.416 3.952 20 0 CHADLO O=c1ccc2c(Nc3cccc4[nH+]c[nH]c43)cccc2[nH]1 ZINC001213032544 1132999744 /nfs/dbraw/zinc/99/97/44/1132999744.db2.gz KZNXMSDLOIFYON-UHFFFAOYSA-N 1 2 276.299 3.560 20 0 CHADLO CC(=O)c1cc(F)ccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213032651 1133000184 /nfs/dbraw/zinc/00/01/84/1133000184.db2.gz QTMGQPCBKBDUDK-UHFFFAOYSA-N 1 2 269.279 3.648 20 0 CHADLO Cc1c(F)cc(Nc2cccc3[nH+]c[nH]c32)cc1F ZINC001213032505 1133000973 /nfs/dbraw/zinc/00/09/73/1133000973.db2.gz JFLNNUZIJLEAQK-UHFFFAOYSA-N 1 2 259.259 3.893 20 0 CHADLO CC(C)(C)c1ccc(N[C@@H]2CCCc3nccnc32)[nH+]c1 ZINC001161411615 1133001082 /nfs/dbraw/zinc/00/10/82/1133001082.db2.gz ZCPVKVHWSCMDCN-CQSZACIVSA-N 1 2 282.391 3.659 20 0 CHADLO Cc1nc(NCc2c[nH+]cn2CC2CCC2)ccc1Cl ZINC001161532035 1133005706 /nfs/dbraw/zinc/00/57/06/1133005706.db2.gz ZKBVGUWYZANSKC-UHFFFAOYSA-N 1 2 290.798 3.652 20 0 CHADLO CCOC(=O)c1c(C)cc(NC2(CC)CCC2)[nH+]c1C ZINC001161562855 1133005889 /nfs/dbraw/zinc/00/58/89/1133005889.db2.gz UDUYCXPRBQYXNN-UHFFFAOYSA-N 1 2 276.380 3.620 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2ccccc2n1 ZINC001161563284 1133006006 /nfs/dbraw/zinc/00/60/06/1133006006.db2.gz XJFYXYRAYBQVRM-UHFFFAOYSA-N 1 2 266.348 3.624 20 0 CHADLO Cc1cc2c(ccnc2NCc2c[nH+]cn2C(C)C)o1 ZINC001161568832 1133006931 /nfs/dbraw/zinc/00/69/31/1133006931.db2.gz DYAGNUPSSZLZEZ-UHFFFAOYSA-N 1 2 270.336 3.526 20 0 CHADLO C[C@H](CCc1ccccc1)Nc1ccc2c([nH+]1)CCOC2 ZINC001161611907 1133007736 /nfs/dbraw/zinc/00/77/36/1133007736.db2.gz QTAZMUIWZBAUBP-CQSZACIVSA-N 1 2 282.387 3.588 20 0 CHADLO CCC(=O)c1ccccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213034599 1133015748 /nfs/dbraw/zinc/01/57/48/1133015748.db2.gz SSNRVLUVZGUZPS-UHFFFAOYSA-N 1 2 265.316 3.899 20 0 CHADLO COC(=O)c1c(C)ccc(Nc2cccc3[nH+]c[nH]c32)c1F ZINC001213034601 1133015825 /nfs/dbraw/zinc/01/58/25/1133015825.db2.gz STWZRQBTAYQDGV-UHFFFAOYSA-N 1 2 299.305 3.541 20 0 CHADLO COc1ccc2[nH+]c(N[C@H]3CCO[C@@H](C)C3)c(C)cc2c1 ZINC001161687040 1133016105 /nfs/dbraw/zinc/01/61/05/1133016105.db2.gz NIAHZJLCQLISQF-JSGCOSHPSA-N 1 2 286.375 3.531 20 0 CHADLO COc1cc(F)c(C(C)C)cc1NCCCn1cc[nH+]c1 ZINC001161684837 1133016568 /nfs/dbraw/zinc/01/65/68/1133016568.db2.gz SFAPQNLCVIPZER-UHFFFAOYSA-N 1 2 291.370 3.656 20 0 CHADLO COc1ccc2ccc(Nc3cccc4[nH+]c[nH]c43)cc2n1 ZINC001213034687 1133016821 /nfs/dbraw/zinc/01/68/21/1133016821.db2.gz XSCJMISCSIZLAU-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO Cc1ccc2ccc(NCCCn3cc[nH+]c3)cc2c1 ZINC001161688680 1133016939 /nfs/dbraw/zinc/01/69/39/1133016939.db2.gz ZRYLLKNGKXMBGK-UHFFFAOYSA-N 1 2 265.360 3.847 20 0 CHADLO c1cn(CCCNc2ccnc(Oc3ccccc3)c2)c[nH+]1 ZINC001161684677 1133017005 /nfs/dbraw/zinc/01/70/05/1133017005.db2.gz IZCIVBDGNQNRHY-UHFFFAOYSA-N 1 2 294.358 3.573 20 0 CHADLO COc1cc2ccc(N[C@H]3CC=CCC3)[nH+]c2cc1OC ZINC001161751692 1133022852 /nfs/dbraw/zinc/02/28/52/1133022852.db2.gz GUJKDZBOXLEDCB-ZDUSSCGKSA-N 1 2 284.359 3.773 20 0 CHADLO COc1cccc2[nH+]c(NCc3ccc(C)o3)ccc21 ZINC001161764725 1133025539 /nfs/dbraw/zinc/02/55/39/1133025539.db2.gz CQKHKULKVAERNI-UHFFFAOYSA-N 1 2 268.316 3.757 20 0 CHADLO CC(C)OCCNc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001161789016 1133028797 /nfs/dbraw/zinc/02/87/97/1133028797.db2.gz CDNGEHHVOBKPFN-UHFFFAOYSA-N 1 2 288.313 3.512 20 0 CHADLO FC(F)CNc1ccc2c(c1)[nH+]cn2-c1ccccc1 ZINC001161813517 1133031203 /nfs/dbraw/zinc/03/12/03/1133031203.db2.gz KLNNAWTUXMNYNC-UHFFFAOYSA-N 1 2 273.286 3.703 20 0 CHADLO CC(C)Oc1cc(NCc2nc3ccccc3o2)cc[nH+]1 ZINC001161969309 1133045154 /nfs/dbraw/zinc/04/51/54/1133045154.db2.gz RKXRVCLJIHBELO-UHFFFAOYSA-N 1 2 283.331 3.622 20 0 CHADLO Cc1cc2sc(NCCNc3cccc[nH+]3)nc2c(C)c1 ZINC001162004476 1133047699 /nfs/dbraw/zinc/04/76/99/1133047699.db2.gz PXIGJVCMYJFDTO-UHFFFAOYSA-N 1 2 298.415 3.832 20 0 CHADLO Oc1ccc([NH2+]CCCc2cncc(C(F)(F)F)c2)cc1 ZINC001162045235 1133050209 /nfs/dbraw/zinc/05/02/09/1133050209.db2.gz ZEJYHWXTTRVWCI-UHFFFAOYSA-N 1 2 296.292 3.851 20 0 CHADLO FC(F)(F)c1cncc(CCCNc2cccc[nH+]2)c1 ZINC001162039837 1133050525 /nfs/dbraw/zinc/05/05/25/1133050525.db2.gz WETPCSHXSKPGLN-UHFFFAOYSA-N 1 2 281.281 3.540 20 0 CHADLO C[C@@H](Cc1ccco1)Nc1nc2ccccc2n2c[nH+]cc12 ZINC001162121160 1133051715 /nfs/dbraw/zinc/05/17/15/1133051715.db2.gz YQMZTNSDNNSLOO-LBPRGKRZSA-N 1 2 292.342 3.519 20 0 CHADLO C/C(=C/C(=O)NCc1cc(C)[nH+]c(C)c1)c1ccccc1C ZINC001162227699 1133062346 /nfs/dbraw/zinc/06/23/46/1133062346.db2.gz CAPWAAHXAQYBPX-ZROIWOOFSA-N 1 2 294.398 3.727 20 0 CHADLO CCc1cc(C)nc(Nc2ccc(NC3CCCC3)[nH+]c2)n1 ZINC001162360872 1133072473 /nfs/dbraw/zinc/07/24/73/1133072473.db2.gz GGLJFFRKUYCYQT-UHFFFAOYSA-N 1 2 297.406 3.841 20 0 CHADLO CCc1cc(NC[C@@H]2CCc3ccccc32)nc(CC)[nH+]1 ZINC001162510183 1133082859 /nfs/dbraw/zinc/08/28/59/1133082859.db2.gz MFFKZGYBGIZBMZ-AWEZNQCLSA-N 1 2 281.403 3.743 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@H]3CCCC[C@H]3C)nn2)c1C ZINC001162527945 1133088525 /nfs/dbraw/zinc/08/85/25/1133088525.db2.gz ZMQJGPUSYOGMMF-IUODEOHRSA-N 1 2 299.422 3.517 20 0 CHADLO CCSc1cc[nH+]c(NCc2cccc3c2cnn3C)c1 ZINC001162593453 1133093757 /nfs/dbraw/zinc/09/37/57/1133093757.db2.gz WJJKYDJHGOACQN-UHFFFAOYSA-N 1 2 298.415 3.692 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NC[C@H]1CCC(F)(F)C1 ZINC001162655112 1133101144 /nfs/dbraw/zinc/10/11/44/1133101144.db2.gz JEGRBCCDOIJZKD-NSHDSACASA-N 1 2 270.323 3.716 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1nc(Cl)nc2ccccc21 ZINC001162656837 1133101866 /nfs/dbraw/zinc/10/18/66/1133101866.db2.gz YIFFFKCMERMGOI-UHFFFAOYSA-N 1 2 262.744 3.718 20 0 CHADLO Cc1cc(N[C@H]2CCc3sccc32)nc(C2CC2)[nH+]1 ZINC001162765892 1133110645 /nfs/dbraw/zinc/11/06/45/1133110645.db2.gz PDTABNNWFBWPGM-LBPRGKRZSA-N 1 2 271.389 3.823 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1cc(N)cc(Cl)[nH+]1)CC2 ZINC001162823855 1133114918 /nfs/dbraw/zinc/11/49/18/1133114918.db2.gz BSNQQTPSCQEHAG-ZDUSSCGKSA-N 1 2 273.767 3.725 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1nc(C)[nH+]c3c1CCC3)CC2 ZINC001162824503 1133115609 /nfs/dbraw/zinc/11/56/09/1133115609.db2.gz YOGMTBDEQRAUGU-KRWDZBQOSA-N 1 2 279.387 3.682 20 0 CHADLO c1cn(-c2ccc(Nc3ccc4c(c3)OCC4)cc2)c[nH+]1 ZINC001206678710 1133115773 /nfs/dbraw/zinc/11/57/73/1133115773.db2.gz OYLFFUYERSXLPR-UHFFFAOYSA-N 1 2 277.327 3.551 20 0 CHADLO Cc1ccc(CNc2cc(C)c(C(F)(F)F)c[nH+]2)cn1 ZINC001162865203 1133116977 /nfs/dbraw/zinc/11/69/77/1133116977.db2.gz CALLXEROZOCUIP-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO Cc1ccc([C@@H](CO)Nc2cc3ccccc3c[nH+]2)cc1 ZINC001162944356 1133123862 /nfs/dbraw/zinc/12/38/62/1133123862.db2.gz BKYDTMGGFYNFQZ-QGZVFWFLSA-N 1 2 278.355 3.689 20 0 CHADLO CC(=O)Nc1c(C)cc[nH+]c1N[C@H](c1cccnc1)C(C)C ZINC001163060829 1133128824 /nfs/dbraw/zinc/12/88/24/1133128824.db2.gz RCZSMBOZXXWDLD-HNNXBMFYSA-N 1 2 298.390 3.553 20 0 CHADLO CSc1cc[nH+]c(N[C@H]2CCCc3ccncc32)c1 ZINC001163172396 1133133035 /nfs/dbraw/zinc/13/30/35/1133133035.db2.gz LURAPVLRWKGPQR-AWEZNQCLSA-N 1 2 271.389 3.688 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3ccncc32)nc(C2CC2)[nH+]1 ZINC001163174039 1133134047 /nfs/dbraw/zinc/13/40/47/1133134047.db2.gz VPDJRYNRGUGSDY-OAHLLOKOSA-N 1 2 280.375 3.547 20 0 CHADLO COc1ccc2[nH+]c(N[C@@H](C)[C@@H]3CCCO3)c(C)cc2c1 ZINC001163474874 1133152018 /nfs/dbraw/zinc/15/20/18/1133152018.db2.gz VLCMCCWURFKBNP-LRDDRELGSA-N 1 2 286.375 3.531 20 0 CHADLO c1nc(N2CCCCC2)cc(N[C@H]2CCCC23CCC3)[nH+]1 ZINC001163520482 1133155489 /nfs/dbraw/zinc/15/54/89/1133155489.db2.gz DZBBNOVITBKHKP-AWEZNQCLSA-N 1 2 286.423 3.602 20 0 CHADLO c1nc(N[C@H]2CCCC23CCC3)cc(N2CCCCC2)[nH+]1 ZINC001163520482 1133155492 /nfs/dbraw/zinc/15/54/92/1133155492.db2.gz DZBBNOVITBKHKP-AWEZNQCLSA-N 1 2 286.423 3.602 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1N[C@@H]1CCCC12CCC2 ZINC001163519356 1133155514 /nfs/dbraw/zinc/15/55/14/1133155514.db2.gz ZHMHXMDUKPNRHM-CQSZACIVSA-N 1 2 290.407 3.816 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1N[C@H]1CCCC12CCC2 ZINC001163519355 1133155780 /nfs/dbraw/zinc/15/57/80/1133155780.db2.gz ZHMHXMDUKPNRHM-AWEZNQCLSA-N 1 2 290.407 3.816 20 0 CHADLO FC(F)(F)c1ccc2c(NC3=CCOC3)cc[nH+]c2c1 ZINC001203381244 1133156443 /nfs/dbraw/zinc/15/64/43/1133156443.db2.gz ZFFHRCAVPBZLAI-UHFFFAOYSA-N 1 2 280.249 3.580 20 0 CHADLO Cc1nc(NC[C@@H]2CCC[C@@H]3C[C@@H]32)c2c([nH+]1)CCCC2 ZINC001163739378 1133170428 /nfs/dbraw/zinc/17/04/28/1133170428.db2.gz GFLQUQYIYXKNMZ-IPYPFGDCSA-N 1 2 271.408 3.512 20 0 CHADLO CC(C)(C)c1ccc(N2CC3(C2)CC(F)(F)C3)[nH+]c1 ZINC001163748580 1133171236 /nfs/dbraw/zinc/17/12/36/1133171236.db2.gz RULLYSRSIWEYEN-UHFFFAOYSA-N 1 2 266.335 3.615 20 0 CHADLO F[C@H]1CCN(c2cc3ccccc3c[nH+]2)CCC1(F)F ZINC001163773619 1133173916 /nfs/dbraw/zinc/17/39/16/1133173916.db2.gz VLMCJWFTFOZWAZ-ZDUSSCGKSA-N 1 2 280.293 3.808 20 0 CHADLO CSCc1cc[nH+]c(N2CC[C@H](F)C(F)(F)CC2)c1 ZINC001163784403 1133174452 /nfs/dbraw/zinc/17/44/52/1133174452.db2.gz HPUSZBKPMMQIOH-NSHDSACASA-N 1 2 290.354 3.518 20 0 CHADLO CCc1cc(N2Cc3ccccc3[C@H]2C)nc(CC)[nH+]1 ZINC001163797948 1133176172 /nfs/dbraw/zinc/17/61/72/1133176172.db2.gz VRGQGDWXOYWXLR-GFCCVEGCSA-N 1 2 267.376 3.683 20 0 CHADLO CC(C)c1cc(NCc2ccccc2N)nc(C(C)C)[nH+]1 ZINC001163885151 1133183123 /nfs/dbraw/zinc/18/31/23/1133183123.db2.gz WQEGNENEYBFZFZ-UHFFFAOYSA-N 1 2 284.407 3.918 20 0 CHADLO CCC(CC)(CNc1cc[nH+]c(C)n1)C(F)(F)F ZINC001163952266 1133186824 /nfs/dbraw/zinc/18/68/24/1133186824.db2.gz AXUGQQZLHRXJDK-UHFFFAOYSA-N 1 2 261.291 3.566 20 0 CHADLO COc1cc(C)c[nH+]c1N1CCc2ccccc2[C@@H]1C ZINC001163956954 1133188845 /nfs/dbraw/zinc/18/88/45/1133188845.db2.gz WYNJREOZNBPDMV-ZDUSSCGKSA-N 1 2 268.360 3.522 20 0 CHADLO CCc1cc(NCc2ccc(C)nc2Cl)nc(CC)[nH+]1 ZINC001164015971 1133193163 /nfs/dbraw/zinc/19/31/63/1133193163.db2.gz ODWKHDNKBJIHFR-UHFFFAOYSA-N 1 2 290.798 3.570 20 0 CHADLO CC(C)c1ccc(N2CCC[C@H](F)C(F)(F)C2)[nH+]c1 ZINC001164062523 1133195938 /nfs/dbraw/zinc/19/59/38/1133195938.db2.gz AMRQNKVLPDGWFS-LBPRGKRZSA-N 1 2 272.314 3.779 20 0 CHADLO CNc1ccc(CNc2ccc(-n3cccc3)c[nH+]2)cc1 ZINC001164151426 1133201111 /nfs/dbraw/zinc/20/11/11/1133201111.db2.gz VLPOFKHBCKNHSR-UHFFFAOYSA-N 1 2 278.359 3.526 20 0 CHADLO CSc1cc[nH+]c(N2CC[C@](CF)(C(F)(F)F)C2)c1 ZINC001164267405 1133210837 /nfs/dbraw/zinc/21/08/37/1133210837.db2.gz AXBRBVCXLSRVCY-LLVKDONJSA-N 1 2 294.317 3.532 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC[C@@](C)(F)[C@@H](F)C2)[nH+]1 ZINC001164333421 1133213664 /nfs/dbraw/zinc/21/36/64/1133213664.db2.gz MLIXSVHYYAPGSK-WCQYABFASA-N 1 2 274.742 3.628 20 0 CHADLO CCc1ccc(C[N@H+](CC(=O)c2ccccc2)C(C)C)o1 ZINC001204394683 1133227845 /nfs/dbraw/zinc/22/78/45/1133227845.db2.gz IJOGXTNLSCJKMV-UHFFFAOYSA-N 1 2 285.387 3.935 20 0 CHADLO CCc1ccc(C[N@@H+](CC(=O)c2ccccc2)C(C)C)o1 ZINC001204394683 1133227848 /nfs/dbraw/zinc/22/78/48/1133227848.db2.gz IJOGXTNLSCJKMV-UHFFFAOYSA-N 1 2 285.387 3.935 20 0 CHADLO c1ccc2cc(N3CC[C@@H](c4ccncc4)C3)[nH+]cc2c1 ZINC001166569905 1133271523 /nfs/dbraw/zinc/27/15/23/1133271523.db2.gz SLZVBAURVXGLFW-QGZVFWFLSA-N 1 2 275.355 3.624 20 0 CHADLO COc1ccc(Cl)c(Cn2c[nH+]c(C(C)(C)C)c2)c1 ZINC001166618802 1133278128 /nfs/dbraw/zinc/27/81/28/1133278128.db2.gz KQDSRFGIIJKNHH-UHFFFAOYSA-N 1 2 278.783 3.891 20 0 CHADLO CSCc1cc[nH+]c(N2CCC(c3ccccn3)CC2)c1 ZINC001166672434 1133280455 /nfs/dbraw/zinc/28/04/55/1133280455.db2.gz KDMMTHCXAHBCBE-UHFFFAOYSA-N 1 2 299.443 3.724 20 0 CHADLO Cc1c(F)cc[nH+]c1N(C)Cc1cccc2cccnc21 ZINC001166688532 1133282797 /nfs/dbraw/zinc/28/27/97/1133282797.db2.gz CBIKLTBEDPIOCI-UHFFFAOYSA-N 1 2 281.334 3.714 20 0 CHADLO Cc1cccc(CCN(C)c2[nH+]ccc(F)c2C)c1 ZINC001166822747 1133290032 /nfs/dbraw/zinc/29/00/32/1133290032.db2.gz JGBKKDXRCHNERN-UHFFFAOYSA-N 1 2 258.340 3.516 20 0 CHADLO Cc1cc(N2CCC(=O)C(C)(C)C2)[nH+]c2c(C)cccc12 ZINC001166976299 1133296232 /nfs/dbraw/zinc/29/62/32/1133296232.db2.gz RZWNBGOTVATZPN-UHFFFAOYSA-N 1 2 282.387 3.657 20 0 CHADLO CNc1ccc(Nc2ccc3c(C)cc[nH+]c3c2)c(F)n1 ZINC001203361737 1133317203 /nfs/dbraw/zinc/31/72/03/1133317203.db2.gz IGOQKZSVLFXYQZ-UHFFFAOYSA-N 1 2 282.322 3.863 20 0 CHADLO Cn1cc(Nc2cccn3cc[nH+]c23)c2ccccc21 ZINC001203416127 1133325445 /nfs/dbraw/zinc/32/54/45/1133325445.db2.gz WHYYLECGTVWEOR-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO CNc1ccc(Nc2cc(Cl)ccc2C(C)=O)c[nH+]1 ZINC001203459628 1133330842 /nfs/dbraw/zinc/33/08/42/1133330842.db2.gz MJEHDLXYRRXFLA-UHFFFAOYSA-N 1 2 275.739 3.723 20 0 CHADLO CNc1ccc(Nc2ccccc2C(=O)C(F)(F)F)c[nH+]1 ZINC001203462507 1133331604 /nfs/dbraw/zinc/33/16/04/1133331604.db2.gz VOGMANQEXNCPJK-UHFFFAOYSA-N 1 2 295.264 3.612 20 0 CHADLO Cc1ccc2[nH+]c(NCc3ccc(Cl)nc3)[nH]c2c1 ZINC001203478805 1133332017 /nfs/dbraw/zinc/33/20/17/1133332017.db2.gz JCIAOQAFEOWDMR-UHFFFAOYSA-N 1 2 272.739 3.532 20 0 CHADLO COc1ccc2c(Nc3cnc4ccccn34)cc[nH+]c2c1 ZINC001203524393 1133335578 /nfs/dbraw/zinc/33/55/78/1133335578.db2.gz ZFNIXLCRVPSAHM-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO COC(Cc1ccccc1Nc1cc(C)c[nH+]c1C)OC ZINC001203662997 1133348761 /nfs/dbraw/zinc/34/87/61/1133348761.db2.gz COBKXFJIALYTQP-UHFFFAOYSA-N 1 2 286.375 3.603 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(OC(C)C)nc2)c1 ZINC001203657585 1133349297 /nfs/dbraw/zinc/34/92/97/1133349297.db2.gz QGPWRYYWEBAVHF-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO CC(=O)c1oc2cccnc2c1Nc1cc(C)c[nH+]c1C ZINC001203656961 1133349741 /nfs/dbraw/zinc/34/97/41/1133349741.db2.gz FUNHJKZEGLIYOE-UHFFFAOYSA-N 1 2 281.315 3.786 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(C(=O)N3CCCC3)cc2)c1 ZINC001203661351 1133350288 /nfs/dbraw/zinc/35/02/88/1133350288.db2.gz VEMWFCJKDRMXRQ-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1c[nH+]c(C)c(-n2ccc3c2cc(N)cc3C)c1 ZINC001203663460 1133351170 /nfs/dbraw/zinc/35/11/70/1133351170.db2.gz KSFBNVXBLOAOJO-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(OC(=O)N(C)C)c2)c1 ZINC001203666701 1133351570 /nfs/dbraw/zinc/35/15/70/1133351570.db2.gz BVDDUOVXFDKROO-UHFFFAOYSA-N 1 2 285.347 3.502 20 0 CHADLO CCOc1c(F)cccc1Nc1cc(C)c[nH+]c1C ZINC001203666685 1133351662 /nfs/dbraw/zinc/35/16/62/1133351662.db2.gz AVTLDTWUBRZCGS-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO COc1ccc2cccnc2c1Nc1cc(C)c[nH+]c1C ZINC001203667535 1133352355 /nfs/dbraw/zinc/35/23/55/1133352355.db2.gz NLBFZBUXAXVVQS-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Clc1cc(Nc2ccc([NH+]3CCCCC3)cc2)cnn1 ZINC001203712461 1133356933 /nfs/dbraw/zinc/35/69/33/1133356933.db2.gz HLJDGURSMPMKAI-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO Cc1ncc(Nc2ccc([NH+]3CCCCC3)cc2)nc1C ZINC001203717363 1133358483 /nfs/dbraw/zinc/35/84/83/1133358483.db2.gz AISAYLHDBOMICP-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Fc1ccncc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203719387 1133358882 /nfs/dbraw/zinc/35/88/82/1133358882.db2.gz UWUARSRYTVLQQB-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO C[C@H](O)c1ccnc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001203718909 1133359333 /nfs/dbraw/zinc/35/93/33/1133359333.db2.gz MUYZVOUHLQFIHL-AWEZNQCLSA-N 1 2 297.402 3.869 20 0 CHADLO Cc1ccnnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203718650 1133359354 /nfs/dbraw/zinc/35/93/54/1133359354.db2.gz JHALOXNUTHIGAI-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO COC(=O)c1cccc2c1C[N@H+](Cc1ccc(C)cc1C)C2 ZINC001203736836 1133362705 /nfs/dbraw/zinc/36/27/05/1133362705.db2.gz YFSHIPLSRDXBMU-UHFFFAOYSA-N 1 2 295.382 3.606 20 0 CHADLO COC(=O)c1cccc2c1C[N@@H+](Cc1ccc(C)cc1C)C2 ZINC001203736836 1133362706 /nfs/dbraw/zinc/36/27/06/1133362706.db2.gz YFSHIPLSRDXBMU-UHFFFAOYSA-N 1 2 295.382 3.606 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1ccc(F)cc1)CC2 ZINC001203915397 1133384348 /nfs/dbraw/zinc/38/43/48/1133384348.db2.gz SKVWYNBUPHUVDP-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1ccc(F)cc1)CC2 ZINC001203915397 1133384351 /nfs/dbraw/zinc/38/43/51/1133384351.db2.gz SKVWYNBUPHUVDP-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1c[nH]c2ccccc12 ZINC001204048214 1133403227 /nfs/dbraw/zinc/40/32/27/1133403227.db2.gz MJSOOZPCMSMHNJ-ZDUSSCGKSA-N 1 2 265.360 3.546 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1c[nH]c2ccccc12 ZINC001204048214 1133403232 /nfs/dbraw/zinc/40/32/32/1133403232.db2.gz MJSOOZPCMSMHNJ-ZDUSSCGKSA-N 1 2 265.360 3.546 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1ccccn1 ZINC001204060166 1133405055 /nfs/dbraw/zinc/40/50/55/1133405055.db2.gz VXUGEFACDDQWMV-IRXDYDNUSA-N 1 2 276.424 3.845 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1ccccn1 ZINC001204060166 1133405057 /nfs/dbraw/zinc/40/50/57/1133405057.db2.gz VXUGEFACDDQWMV-IRXDYDNUSA-N 1 2 276.424 3.845 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cc(F)ccc2F)C1 ZINC001204214204 1133419288 /nfs/dbraw/zinc/41/92/88/1133419288.db2.gz MXWXNFXONPXMMI-CQSZACIVSA-N 1 2 257.299 3.679 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cc(F)ccc2F)C1 ZINC001204214204 1133419290 /nfs/dbraw/zinc/41/92/90/1133419290.db2.gz MXWXNFXONPXMMI-CQSZACIVSA-N 1 2 257.299 3.679 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2cnccn2)cc1Cl ZINC001204284712 1133429129 /nfs/dbraw/zinc/42/91/29/1133429129.db2.gz QQAYEIAPYGYSER-OAHLLOKOSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2cnccn2)cc1Cl ZINC001204284712 1133429131 /nfs/dbraw/zinc/42/91/31/1133429131.db2.gz QQAYEIAPYGYSER-OAHLLOKOSA-N 1 2 291.757 3.606 20 0 CHADLO CCCCCOc1ccc(C[N@@H+]2CCC(=O)C[C@@H]2C)cc1 ZINC001204310995 1133435359 /nfs/dbraw/zinc/43/53/59/1133435359.db2.gz QBCAEZZNDVFKFJ-HNNXBMFYSA-N 1 2 289.419 3.809 20 0 CHADLO CCCCCOc1ccc(C[N@H+]2CCC(=O)C[C@@H]2C)cc1 ZINC001204310995 1133435361 /nfs/dbraw/zinc/43/53/61/1133435361.db2.gz QBCAEZZNDVFKFJ-HNNXBMFYSA-N 1 2 289.419 3.809 20 0 CHADLO CCCCCCOc1ccc(C[N@H+]2C[C@H]3C[C@@H](C2)O3)cc1 ZINC001204366188 1133441829 /nfs/dbraw/zinc/44/18/29/1133441829.db2.gz HPTIELJLENRKAA-HDICACEKSA-N 1 2 289.419 3.619 20 0 CHADLO CCCCCCOc1ccc(C[N@@H+]2C[C@H]3C[C@@H](C2)O3)cc1 ZINC001204366188 1133441832 /nfs/dbraw/zinc/44/18/32/1133441832.db2.gz HPTIELJLENRKAA-HDICACEKSA-N 1 2 289.419 3.619 20 0 CHADLO F[C@H]1CCCC[N@H+](Cc2ccccc2OC(F)(F)F)C1 ZINC001204423558 1133445419 /nfs/dbraw/zinc/44/54/19/1133445419.db2.gz IAORRLMDNPOEOQ-LBPRGKRZSA-N 1 2 291.288 3.909 20 0 CHADLO F[C@H]1CCCC[N@@H+](Cc2ccccc2OC(F)(F)F)C1 ZINC001204423558 1133445427 /nfs/dbraw/zinc/44/54/27/1133445427.db2.gz IAORRLMDNPOEOQ-LBPRGKRZSA-N 1 2 291.288 3.909 20 0 CHADLO Fc1ccc(C[NH+]2CC3(C2)C[C@H](F)CS3)c(Cl)c1 ZINC001204439092 1133447000 /nfs/dbraw/zinc/44/70/00/1133447000.db2.gz PBXHPDROSIWMOA-NSHDSACASA-N 1 2 289.778 3.509 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cc(C)c(C)o2)no1 ZINC001204532253 1133459293 /nfs/dbraw/zinc/45/92/93/1133459293.db2.gz SXVLLUWWVACIRX-OAHLLOKOSA-N 1 2 260.337 3.530 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cc(C)c(C)o2)no1 ZINC001204532253 1133459299 /nfs/dbraw/zinc/45/92/99/1133459299.db2.gz SXVLLUWWVACIRX-OAHLLOKOSA-N 1 2 260.337 3.530 20 0 CHADLO COc1cccc([C@@H]2CCCC[N@@H+]2Cc2nccs2)c1 ZINC001204547364 1133460798 /nfs/dbraw/zinc/46/07/98/1133460798.db2.gz CJBGNWUYCOYHPZ-HNNXBMFYSA-N 1 2 288.416 3.879 20 0 CHADLO COc1cccc([C@@H]2CCCC[N@H+]2Cc2nccs2)c1 ZINC001204547364 1133460799 /nfs/dbraw/zinc/46/07/99/1133460799.db2.gz CJBGNWUYCOYHPZ-HNNXBMFYSA-N 1 2 288.416 3.879 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@H+]1Cc1cccn1C(F)F ZINC001204720831 1133478769 /nfs/dbraw/zinc/47/87/69/1133478769.db2.gz CXJOLUWGWDKFII-GFCCVEGCSA-N 1 2 292.329 3.839 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@@H+]1Cc1cccn1C(F)F ZINC001204720831 1133478772 /nfs/dbraw/zinc/47/87/72/1133478772.db2.gz CXJOLUWGWDKFII-GFCCVEGCSA-N 1 2 292.329 3.839 20 0 CHADLO Cc1cc(-c2ccc(OCc3ccccc3)nc2)c[nH+]c1N ZINC001204750323 1133481941 /nfs/dbraw/zinc/48/19/41/1133481941.db2.gz GFPBNCLTNDGLLZ-UHFFFAOYSA-N 1 2 291.354 3.613 20 0 CHADLO Cc1cc2cc(Nc3c[nH+]c(C)cc3C)cnc2[nH]1 ZINC001204904130 1133498458 /nfs/dbraw/zinc/49/84/58/1133498458.db2.gz SKWKLYLXUKTCOK-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO c1cn2ccc(Nc3cc(C4CCCC4)no3)cc2[nH+]1 ZINC001204950905 1133505619 /nfs/dbraw/zinc/50/56/19/1133505619.db2.gz GPWTYVLSBYOGNR-UHFFFAOYSA-N 1 2 268.320 3.724 20 0 CHADLO c1cn2ccc(Nc3csc4ncccc34)cc2[nH+]1 ZINC001204950956 1133506329 /nfs/dbraw/zinc/50/63/29/1133506329.db2.gz JMWFZWHJAZHSKO-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO CC(C)c1cncc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204972095 1133509955 /nfs/dbraw/zinc/50/99/55/1133509955.db2.gz XATNKPIJGOTNFA-UHFFFAOYSA-N 1 2 252.321 3.596 20 0 CHADLO Cc1nc2c(cccc2Nc2ccn3cc[nH+]c3c2)o1 ZINC001204977819 1133511798 /nfs/dbraw/zinc/51/17/98/1133511798.db2.gz LRZNXYWQHQFRLO-UHFFFAOYSA-N 1 2 264.288 3.528 20 0 CHADLO Cc1cc(=O)[nH]c2cc(Nc3ccn4cc[nH+]c4c3)ccc12 ZINC001204992069 1133513981 /nfs/dbraw/zinc/51/39/81/1133513981.db2.gz ZOSRBEKKESTJSR-UHFFFAOYSA-N 1 2 290.326 3.640 20 0 CHADLO Cc1c(Cl)ccc(N)c1Nc1ccn2cc[nH+]c2c1 ZINC001204991753 1133514224 /nfs/dbraw/zinc/51/42/24/1133514224.db2.gz UCSIPFTYAQDORM-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO CCOC(=O)Nc1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001204991781 1133514268 /nfs/dbraw/zinc/51/42/68/1133514268.db2.gz VIIMOYWLYCBEGE-UHFFFAOYSA-N 1 2 296.330 3.646 20 0 CHADLO COc1cc(C)c(Nc2ccn3cc[nH+]c3c2)c(C)c1 ZINC001204990826 1133514362 /nfs/dbraw/zinc/51/43/62/1133514362.db2.gz HAFHUUJFWUGLHB-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1ccc(C)c(F)c1)CC2 ZINC001205243357 1133548478 /nfs/dbraw/zinc/54/84/78/1133548478.db2.gz CTIDBTGMNDAVTP-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1ccc(C)c(F)c1)CC2 ZINC001205243357 1133548487 /nfs/dbraw/zinc/54/84/87/1133548487.db2.gz CTIDBTGMNDAVTP-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO CCCC[C@@H]([NH2+][C@@H](C)[C@@H]1C[C@H]1c1cccs1)C(=O)OC ZINC001205291875 1133556247 /nfs/dbraw/zinc/55/62/47/1133556247.db2.gz RCRPCYFEKBLRQR-IGQOVBAYSA-N 1 2 295.448 3.562 20 0 CHADLO CCOc1ccccc1N(C)Cc1cc(C)[nH+]c(C)c1 ZINC001205411839 1133574474 /nfs/dbraw/zinc/57/44/74/1133574474.db2.gz PYSUHHMIEPGYFD-UHFFFAOYSA-N 1 2 270.376 3.734 20 0 CHADLO C[N@H+](Cc1ccc(C(F)(F)F)nc1)Cc1ccccc1F ZINC001205430333 1133577060 /nfs/dbraw/zinc/57/70/60/1133577060.db2.gz NMWHOCZFTHZEEX-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccc(C(F)(F)F)nc1)Cc1ccccc1F ZINC001205430333 1133577064 /nfs/dbraw/zinc/57/70/64/1133577064.db2.gz NMWHOCZFTHZEEX-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C)cc1OCOC ZINC001205447403 1133577715 /nfs/dbraw/zinc/57/77/15/1133577715.db2.gz QIVIAKJVAFMTNK-UHFFFAOYSA-N 1 2 288.347 3.515 20 0 CHADLO CC[C@@H]1[C@@H](C)CC[N@@H+]1Cc1nc(Br)cs1 ZINC001205489658 1133581743 /nfs/dbraw/zinc/58/17/43/1133581743.db2.gz WQGQFPJJIZXHEC-DTWKUNHWSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1[C@@H](C)CC[N@H+]1Cc1nc(Br)cs1 ZINC001205489658 1133581746 /nfs/dbraw/zinc/58/17/46/1133581746.db2.gz WQGQFPJJIZXHEC-DTWKUNHWSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1[C@@H](C)CC[N@@H+]1Cc1nc(Br)cs1 ZINC001205489659 1133581789 /nfs/dbraw/zinc/58/17/89/1133581789.db2.gz WQGQFPJJIZXHEC-IUCAKERBSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1[C@@H](C)CC[N@H+]1Cc1nc(Br)cs1 ZINC001205489659 1133581793 /nfs/dbraw/zinc/58/17/93/1133581793.db2.gz WQGQFPJJIZXHEC-IUCAKERBSA-N 1 2 289.242 3.526 20 0 CHADLO CCn1cc(C[N@@H+]2CCC[C@@H]2c2c(F)cccc2F)cn1 ZINC001205553074 1133587330 /nfs/dbraw/zinc/58/73/30/1133587330.db2.gz WCSVWVDLIQEOTE-OAHLLOKOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cc(C[N@H+]2CCC[C@@H]2c2c(F)cccc2F)cn1 ZINC001205553074 1133587332 /nfs/dbraw/zinc/58/73/32/1133587332.db2.gz WCSVWVDLIQEOTE-OAHLLOKOSA-N 1 2 291.345 3.518 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1F)Cc1ncccc1C ZINC001205814268 1133618306 /nfs/dbraw/zinc/61/83/06/1133618306.db2.gz YSUCAKOYMPERJR-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1F)Cc1ncccc1C ZINC001205814268 1133618305 /nfs/dbraw/zinc/61/83/05/1133618305.db2.gz YSUCAKOYMPERJR-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(F)c(F)c1OC(C)C ZINC001205855200 1133623225 /nfs/dbraw/zinc/62/32/25/1133623225.db2.gz OJBJNJSQTBLDRR-DXNUHORPSA-N 1 2 253.292 3.628 20 0 CHADLO COc1cc2c(cc1Cl)C[N@H+](Cc1cc(C)co1)CC2 ZINC001206032621 1133645630 /nfs/dbraw/zinc/64/56/30/1133645630.db2.gz WPBYNJKEDABAIU-UHFFFAOYSA-N 1 2 291.778 3.808 20 0 CHADLO COc1cc2c(cc1Cl)C[N@@H+](Cc1cc(C)co1)CC2 ZINC001206032621 1133645633 /nfs/dbraw/zinc/64/56/33/1133645633.db2.gz WPBYNJKEDABAIU-UHFFFAOYSA-N 1 2 291.778 3.808 20 0 CHADLO C[C@H]1CCCN(Cc2ccc[nH+]c2N)c2ccccc21 ZINC001206064402 1133652429 /nfs/dbraw/zinc/65/24/29/1133652429.db2.gz ZABKLPRFCSIVFZ-ZDUSSCGKSA-N 1 2 267.376 3.568 20 0 CHADLO CSc1ccc(-c2ccn3cc(N)[nH+]c3c2)c(C)c1 ZINC001206084122 1133654900 /nfs/dbraw/zinc/65/49/00/1133654900.db2.gz JYDCUVJPLOZMAZ-UHFFFAOYSA-N 1 2 269.373 3.614 20 0 CHADLO Cc1cc(-c2ccc(F)c(OC(C)C)c2)c[nH+]c1N ZINC001206183671 1133668372 /nfs/dbraw/zinc/66/83/72/1133668372.db2.gz IMKNUUYDELUUHD-UHFFFAOYSA-N 1 2 260.312 3.566 20 0 CHADLO Cc1ccc(Nc2cccc3cc[nH+]cc32)c(O)c1 ZINC001206282076 1133681732 /nfs/dbraw/zinc/68/17/32/1133681732.db2.gz GZNUQYDSZZYPPQ-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO Cc1cn2cccc(Nc3cccnc3OC(C)(C)C)c2[nH+]1 ZINC001206487571 1133708867 /nfs/dbraw/zinc/70/88/67/1133708867.db2.gz FWOFMGQSGXYWJL-UHFFFAOYSA-N 1 2 296.374 3.959 20 0 CHADLO Fc1cc(-c2ccc(-n3cc[nH+]c3)cc2)cc2n[nH]cc21 ZINC001206579553 1133720315 /nfs/dbraw/zinc/72/03/15/1133720315.db2.gz MVAFOYKRVOXKOD-UHFFFAOYSA-N 1 2 278.290 3.555 20 0 CHADLO Fc1cc(-c2ccc(Cn3cc[nH+]c3)cc2)cc2n[nH]cc21 ZINC001206586753 1133723283 /nfs/dbraw/zinc/72/32/83/1133723283.db2.gz BGNLHWSQEFLVLG-UHFFFAOYSA-N 1 2 292.317 3.614 20 0 CHADLO Cc1cccc([C@@H]2CCCN2Cc2[nH+]cc3ccccn32)c1 ZINC001207049283 1133762529 /nfs/dbraw/zinc/76/25/29/1133762529.db2.gz RHIHHKMPELWUOJ-SFHVURJKSA-N 1 2 291.398 3.980 20 0 CHADLO C[N@H+](C/C=C/c1ccccc1)Cc1ncc(F)cc1F ZINC001207099569 1133767389 /nfs/dbraw/zinc/76/73/89/1133767389.db2.gz RWKCRWCPKZGOKL-VMPITWQZSA-N 1 2 274.314 3.505 20 0 CHADLO C[N@@H+](C/C=C/c1ccccc1)Cc1ncc(F)cc1F ZINC001207099569 1133767394 /nfs/dbraw/zinc/76/73/94/1133767394.db2.gz RWKCRWCPKZGOKL-VMPITWQZSA-N 1 2 274.314 3.505 20 0 CHADLO C[N@H+](CC(F)F)C[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC001207181846 1133775557 /nfs/dbraw/zinc/77/55/57/1133775557.db2.gz AXPDKWLBVPNJTL-JQWIXIFHSA-N 1 2 259.727 3.640 20 0 CHADLO C[N@@H+](CC(F)F)C[C@@H]1C[C@H]1c1ccc(Cl)cc1 ZINC001207181846 1133775560 /nfs/dbraw/zinc/77/55/60/1133775560.db2.gz AXPDKWLBVPNJTL-JQWIXIFHSA-N 1 2 259.727 3.640 20 0 CHADLO Fc1cccnc1C[N@@H+]1CCC[C@](F)(c2ccccc2)C1 ZINC001207209298 1133779560 /nfs/dbraw/zinc/77/95/60/1133779560.db2.gz MCWHZLADDLLATA-QGZVFWFLSA-N 1 2 288.341 3.682 20 0 CHADLO Fc1cccnc1C[N@H+]1CCC[C@](F)(c2ccccc2)C1 ZINC001207209298 1133779564 /nfs/dbraw/zinc/77/95/64/1133779564.db2.gz MCWHZLADDLLATA-QGZVFWFLSA-N 1 2 288.341 3.682 20 0 CHADLO CC(F)(F)c1cc(F)ccc1NCc1ccc[nH+]c1N ZINC001207244158 1133782107 /nfs/dbraw/zinc/78/21/07/1133782107.db2.gz YMAQGRSRTMSUIO-UHFFFAOYSA-N 1 2 281.281 3.527 20 0 CHADLO Nc1[nH+]cccc1CNc1ccc([C@H](F)C(F)(F)F)cc1 ZINC001207294171 1133789250 /nfs/dbraw/zinc/78/92/50/1133789250.db2.gz NYUGFVREFFCNQG-LBPRGKRZSA-N 1 2 299.271 3.849 20 0 CHADLO Clc1cc2c(cn1)C[N@H+](CC1(c3ccccc3)CC1)C2 ZINC001207840032 1133854123 /nfs/dbraw/zinc/85/41/23/1133854123.db2.gz AEXUMBTVSSHHHO-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Clc1cc2c(cn1)C[N@@H+](CC1(c3ccccc3)CC1)C2 ZINC001207840032 1133854132 /nfs/dbraw/zinc/85/41/32/1133854132.db2.gz AEXUMBTVSSHHHO-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO C[C@@H](Cc1ccc(C(C)(C)C)cc1)C[N@@H+]1CCCC(=O)C1 ZINC001207916747 1133863375 /nfs/dbraw/zinc/86/33/75/1133863375.db2.gz OEBDDFXSQFOYLC-HNNXBMFYSA-N 1 2 287.447 3.828 20 0 CHADLO C[C@@H](Cc1ccc(C(C)(C)C)cc1)C[N@H+]1CCCC(=O)C1 ZINC001207916747 1133863383 /nfs/dbraw/zinc/86/33/83/1133863383.db2.gz OEBDDFXSQFOYLC-HNNXBMFYSA-N 1 2 287.447 3.828 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(O)cc(F)c2)cc1 ZINC001208620766 1133918707 /nfs/dbraw/zinc/91/87/07/1133918707.db2.gz GFOVPTUOFKRBHQ-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(O)cc(F)c2)cc1 ZINC001208620766 1133918713 /nfs/dbraw/zinc/91/87/13/1133918713.db2.gz GFOVPTUOFKRBHQ-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO C[C@H]1CC[N@H+](CCCc2ccc(F)cc2)CC1(F)F ZINC001208769333 1133941807 /nfs/dbraw/zinc/94/18/07/1133941807.db2.gz VEDXPLWFDKYJJZ-LBPRGKRZSA-N 1 2 271.326 3.735 20 0 CHADLO C[C@H]1CC[N@@H+](CCCc2ccc(F)cc2)CC1(F)F ZINC001208769333 1133941810 /nfs/dbraw/zinc/94/18/10/1133941810.db2.gz VEDXPLWFDKYJJZ-LBPRGKRZSA-N 1 2 271.326 3.735 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](CCc1ccc(F)c(F)c1)C2 ZINC001209243233 1133996353 /nfs/dbraw/zinc/99/63/53/1133996353.db2.gz BTEPOSLYEJJZNT-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](CCc1ccc(F)c(F)c1)C2 ZINC001209243233 1133996356 /nfs/dbraw/zinc/99/63/56/1133996356.db2.gz BTEPOSLYEJJZNT-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Clc1ncc(C[NH+]2CC3(C2)CCCCC3)s1 ZINC001209473315 1134026854 /nfs/dbraw/zinc/02/68/54/1134026854.db2.gz IOGPPDIRDVHGED-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO Clc1cccc([C@H]2CC[N@H+](Cc3ccon3)C2)c1Cl ZINC001209564025 1134037122 /nfs/dbraw/zinc/03/71/22/1134037122.db2.gz BUARZIQPRBWZJU-JTQLQIEISA-N 1 2 297.185 3.971 20 0 CHADLO Clc1cccc([C@H]2CC[N@@H+](Cc3ccon3)C2)c1Cl ZINC001209564025 1134037127 /nfs/dbraw/zinc/03/71/27/1134037127.db2.gz BUARZIQPRBWZJU-JTQLQIEISA-N 1 2 297.185 3.971 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccncc2)c(F)cc1Cl ZINC001209663414 1134047901 /nfs/dbraw/zinc/04/79/01/1134047901.db2.gz YWJVJIFBQKEJSN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccncc2)c(F)cc1Cl ZINC001209663414 1134047906 /nfs/dbraw/zinc/04/79/06/1134047906.db2.gz YWJVJIFBQKEJSN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)NCCO3)c(C2CC2)c1 ZINC001209794627 1134065497 /nfs/dbraw/zinc/06/54/97/1134065497.db2.gz CPFZPLUHJGWXAH-UHFFFAOYSA-N 1 2 267.332 3.507 20 0 CHADLO c1[nH]c2ccc(Nc3ncccc3C3CC3)cc2[nH+]1 ZINC001209848414 1134083391 /nfs/dbraw/zinc/08/33/91/1134083391.db2.gz NHOROPNPYREKNK-UHFFFAOYSA-N 1 2 250.305 3.579 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCCC2)ccc1O ZINC001209974247 1134113421 /nfs/dbraw/zinc/11/34/21/1134113421.db2.gz CWVNENWFCWOYML-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc(O)c(C(F)(F)F)c1 ZINC001209984186 1134116268 /nfs/dbraw/zinc/11/62/68/1134116268.db2.gz GIIACSKFPHLNTJ-UHFFFAOYSA-N 1 2 297.280 3.616 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(c1)OCCCO2 ZINC001210003316 1134119925 /nfs/dbraw/zinc/11/99/25/1134119925.db2.gz GZBQDQFUAPBPTP-UHFFFAOYSA-N 1 2 284.359 3.857 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(OCC3CC3)nc2)[nH+]1 ZINC001210009741 1134122155 /nfs/dbraw/zinc/12/21/55/1134122155.db2.gz GGBOTDUYCARGDV-UHFFFAOYSA-N 1 2 294.358 3.570 20 0 CHADLO Cc1cn2cccc(Nc3ccc(OCC4CC4)nc3)c2[nH+]1 ZINC001210010674 1134122367 /nfs/dbraw/zinc/12/23/67/1134122367.db2.gz XWYZTURGUTYOIP-UHFFFAOYSA-N 1 2 294.358 3.570 20 0 CHADLO COc1ccc(Nc2ccc([NH+](C)C)c(C)c2)c(C)n1 ZINC001210039820 1134130023 /nfs/dbraw/zinc/13/00/23/1134130023.db2.gz AYUIZYXHZZZKDW-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO Cc1cc(Nc2cccc(-n3cccn3)c2)ccc1[NH+](C)C ZINC001210041608 1134131224 /nfs/dbraw/zinc/13/12/24/1134131224.db2.gz POZAVUDPHVVZRM-UHFFFAOYSA-N 1 2 292.386 3.990 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[C@H](O)CC3)ccc1[NH+](C)C ZINC001210044904 1134132817 /nfs/dbraw/zinc/13/28/17/1134132817.db2.gz QQBNRIFUDPENHG-GOSISDBHSA-N 1 2 282.387 3.784 20 0 CHADLO Cc1cc(Nc2ccc3nnsc3c2)ccc1[NH+](C)C ZINC001210045457 1134133377 /nfs/dbraw/zinc/13/33/77/1134133377.db2.gz UASOAMHVTHOUAF-UHFFFAOYSA-N 1 2 284.388 3.809 20 0 CHADLO CC(C)(C)c1nc(Nc2ccc3c[nH+]ccc3c2)no1 ZINC001210043953 1134133557 /nfs/dbraw/zinc/13/35/57/1134133557.db2.gz LWUHZXMFMTZMLP-UHFFFAOYSA-N 1 2 268.320 3.659 20 0 CHADLO Cc1cc(F)nc(Nc2ccc3c[nH+]ccc3c2)c1 ZINC001210046967 1134133985 /nfs/dbraw/zinc/13/39/85/1134133985.db2.gz BZSAOUMHANECON-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc2c(c1)CCNC2=O ZINC001210162672 1134158285 /nfs/dbraw/zinc/15/82/85/1134158285.db2.gz CPCCSRINKDPACM-UHFFFAOYSA-N 1 2 295.386 3.543 20 0 CHADLO Cc1cccc(Nc2ccc[nH+]c2N2CCCCC2)c1O ZINC001210188093 1134162171 /nfs/dbraw/zinc/16/21/71/1134162171.db2.gz RKSGGQMLSCMEFN-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc3cc[nH]c32)[nH+]1 ZINC001210209091 1134166782 /nfs/dbraw/zinc/16/67/82/1134166782.db2.gz PSZRWURWIKFIJY-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO Cn1nc(C2CCC2)cc1Nc1cccc2cc[nH+]cc21 ZINC001210226481 1134172056 /nfs/dbraw/zinc/17/20/56/1134172056.db2.gz YANTXZCLYNFKBF-UHFFFAOYSA-N 1 2 278.359 3.979 20 0 CHADLO COc1ccc(N)cc1Nc1cccc2cc[nH+]cc21 ZINC001210229931 1134172201 /nfs/dbraw/zinc/17/22/01/1134172201.db2.gz CSGWLALBAOGTCO-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO Clc1ccn2ncc(Nc3cccc4cc[nH+]cc43)c2n1 ZINC001210224473 1134172532 /nfs/dbraw/zinc/17/25/32/1134172532.db2.gz OIOKXZGOJYSSDY-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO Fc1cccn2ncc(Nc3cccc4cc[nH+]cc43)c12 ZINC001210225579 1134172556 /nfs/dbraw/zinc/17/25/56/1134172556.db2.gz JXSHWWRFSSHDKJ-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO c1c(Nc2cccc3cc[nH+]cc32)nc2ccccn12 ZINC001210226005 1134172714 /nfs/dbraw/zinc/17/27/14/1134172714.db2.gz PDTMJPRXLKKUHB-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1cn2cccc(Nc3cccnc3C(F)(F)F)c2[nH+]1 ZINC001210231092 1134174169 /nfs/dbraw/zinc/17/41/69/1134174169.db2.gz BTJZFSBCCBGLFU-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO Cc1[nH+]cc(Nc2cnc3cc(Cl)ccc3c2)cc1N ZINC001210287056 1134185177 /nfs/dbraw/zinc/18/51/77/1134185177.db2.gz JNIQAYKLVWAPRY-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(-c3ccccc3)nc2)cc1N ZINC001210283689 1134185554 /nfs/dbraw/zinc/18/55/54/1134185554.db2.gz AIVFDFCRTUJZAN-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Oc3ccncc3)cc2)cc1N ZINC001210288009 1134185724 /nfs/dbraw/zinc/18/57/24/1134185724.db2.gz GXHYVVPWEPNBBC-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO Cc1[nH+]cc(Nc2cnc3cc(F)c(F)cc3c2)cc1N ZINC001210288725 1134186074 /nfs/dbraw/zinc/18/60/74/1134186074.db2.gz NPLWCELGDPUUOL-UHFFFAOYSA-N 1 2 286.285 3.542 20 0 CHADLO Cc1nc2cc(C)c(Nc3c[nH+]c(C)c(N)c3)cc2s1 ZINC001210289640 1134186637 /nfs/dbraw/zinc/18/66/37/1134186637.db2.gz WCJOFDQPCOCDHG-UHFFFAOYSA-N 1 2 284.388 3.942 20 0 CHADLO COc1cc(Nc2c[nH+]c(C)c(N)c2)c(Cl)cc1C ZINC001210294059 1134187595 /nfs/dbraw/zinc/18/75/95/1134187595.db2.gz QXTDEWVIBJXCBC-UHFFFAOYSA-N 1 2 277.755 3.686 20 0 CHADLO COCOc1ccc(Nc2cccc3[nH+]c(C)cn32)c(C)c1 ZINC001210490788 1134227937 /nfs/dbraw/zinc/22/79/37/1134227937.db2.gz QLJSNKYQZOJAJL-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO Fc1cccc(Nc2cccn3cc[nH+]c23)c1Cl ZINC001210540355 1134242142 /nfs/dbraw/zinc/24/21/42/1134242142.db2.gz BJJTUFORQDEFCN-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CC(C)(O)c1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC001210617453 1134261565 /nfs/dbraw/zinc/26/15/65/1134261565.db2.gz OXKKSZMLZWZGNB-UHFFFAOYSA-N 1 2 297.402 3.653 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc([C@H](C)O)cc2)c1 ZINC001210647149 1134267236 /nfs/dbraw/zinc/26/72/36/1134267236.db2.gz ZODBSVOIJVYUMY-LBPRGKRZSA-N 1 2 256.349 3.831 20 0 CHADLO C[C@H](O)c1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1 ZINC001210647848 1134268005 /nfs/dbraw/zinc/26/80/05/1134268005.db2.gz ADFKEIAXYMJFOP-AWEZNQCLSA-N 1 2 293.370 3.728 20 0 CHADLO CCc1cc(OC)ccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001210710863 1134277996 /nfs/dbraw/zinc/27/79/96/1134277996.db2.gz FWTHMCFMHKUBCW-UHFFFAOYSA-N 1 2 297.402 3.996 20 0 CHADLO COc1cc(Nc2ccc(C(F)(F)F)cc2O)cc(C)[nH+]1 ZINC001210781521 1134294830 /nfs/dbraw/zinc/29/48/30/1134294830.db2.gz UURGSLMLCZMYEL-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO c1cn2ccc(Nc3cccc(OC4CC4)c3)cc2[nH+]1 ZINC001210861065 1134316793 /nfs/dbraw/zinc/31/67/93/1134316793.db2.gz OTBMCDILGXOHBO-UHFFFAOYSA-N 1 2 265.316 3.619 20 0 CHADLO CCCn1nccc1Nc1c[nH+]c(CC(C)C)cc1C ZINC001210915725 1134326926 /nfs/dbraw/zinc/32/69/26/1134326926.db2.gz JNAAQVFXUASUAE-UHFFFAOYSA-N 1 2 272.396 3.939 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4c(c3)C(=O)CCC4)ccc21 ZINC001210934615 1134331590 /nfs/dbraw/zinc/33/15/90/1134331590.db2.gz SVQFSFOFUZDUML-UHFFFAOYSA-N 1 2 291.354 3.836 20 0 CHADLO Cc1ccc(Nc2c(N)cc(C)cc2Br)[nH+]c1 ZINC001211011803 1134349039 /nfs/dbraw/zinc/34/90/39/1134349039.db2.gz BYSRTACSIQAPCT-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Oc1cc(Nc2ccc[nH+]c2N2CCCCC2)ccc1F ZINC001211215774 1134394167 /nfs/dbraw/zinc/39/41/67/1134394167.db2.gz MJRRQEVJAZKHAZ-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Cc1cc(F)ncc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213085648 1134424083 /nfs/dbraw/zinc/42/40/83/1134424083.db2.gz PJEQWNWGLCNWMT-UHFFFAOYSA-N 1 2 268.295 3.663 20 0 CHADLO O=c1[nH]ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1Cl ZINC001213088157 1134424238 /nfs/dbraw/zinc/42/42/38/1134424238.db2.gz SLFJZUWBRYSEPZ-UHFFFAOYSA-N 1 2 286.722 3.574 20 0 CHADLO Cn1cc2cccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)c2n1 ZINC001213088867 1134424960 /nfs/dbraw/zinc/42/49/60/1134424960.db2.gz IBCDPMVMIWXHLR-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO Oc1ccccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090201 1134425292 /nfs/dbraw/zinc/42/52/92/1134425292.db2.gz ZNMOTIAYQMULQE-UHFFFAOYSA-N 1 2 251.289 3.526 20 0 CHADLO COc1cc(F)cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213089893 1134425806 /nfs/dbraw/zinc/42/58/06/1134425806.db2.gz JPYOOMFLTMXOHS-UHFFFAOYSA-N 1 2 283.306 3.968 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1OCC(C)C ZINC001213251979 1134438186 /nfs/dbraw/zinc/43/81/86/1134438186.db2.gz QQEGYJOZDPTENY-UHFFFAOYSA-N 1 2 272.348 3.869 20 0 CHADLO FC(F)COc1ccc(Nc2cccc3[nH+]ccn32)cc1 ZINC001213298912 1134439654 /nfs/dbraw/zinc/43/96/54/1134439654.db2.gz NDLPUZSDRZAEHY-UHFFFAOYSA-N 1 2 289.285 3.722 20 0 CHADLO COC(=O)c1cc(Nc2cc(C3CC3)c[nH+]c2C)cs1 ZINC001213492116 1134453497 /nfs/dbraw/zinc/45/34/97/1134453497.db2.gz VJOXUFRRGOMEGW-UHFFFAOYSA-N 1 2 288.372 3.859 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccnn1CC1CC1 ZINC001213495168 1134453507 /nfs/dbraw/zinc/45/35/07/1134453507.db2.gz UHIGDEMEKBPANT-UHFFFAOYSA-N 1 2 268.364 3.618 20 0 CHADLO Cc1ncc(C2CC2)cc1Nc1cccc2[nH+]ccn21 ZINC001213489542 1134453693 /nfs/dbraw/zinc/45/36/93/1134453693.db2.gz QZLRZZDCGMNFOO-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnn(C(F)F)c1 ZINC001213496871 1134453896 /nfs/dbraw/zinc/45/38/96/1134453896.db2.gz BIRGGVOAFBGWOU-UHFFFAOYSA-N 1 2 264.279 3.603 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(F)cnc(F)c1F ZINC001213491277 1134454138 /nfs/dbraw/zinc/45/41/38/1134454138.db2.gz FWANBRMBPOOCOA-UHFFFAOYSA-N 1 2 279.265 3.823 20 0 CHADLO Cc1cnc(Cl)c(Nc2cc(C3CC3)c[nH+]c2C)n1 ZINC001213494919 1134454142 /nfs/dbraw/zinc/45/41/42/1134454142.db2.gz MPUYAFDRFAWYCR-UHFFFAOYSA-N 1 2 274.755 3.763 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)nc(F)c1F ZINC001213494691 1134454202 /nfs/dbraw/zinc/45/42/02/1134454202.db2.gz GELCBXRVGNFBLE-UHFFFAOYSA-N 1 2 279.265 3.823 20 0 CHADLO Cc1cc(C)c(Nc2c(N)cc(Cl)nc2Cl)c[nH+]1 ZINC001213505429 1134454400 /nfs/dbraw/zinc/45/44/00/1134454400.db2.gz FPRQDRXQUIRCAY-UHFFFAOYSA-N 1 2 283.162 3.726 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(C(=O)N(C)C)c1 ZINC001213499079 1134454792 /nfs/dbraw/zinc/45/47/92/1134454792.db2.gz BDKMPOUWSMBPPD-UHFFFAOYSA-N 1 2 295.386 3.713 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(F)c(O)c1 ZINC001213499298 1134454832 /nfs/dbraw/zinc/45/48/32/1134454832.db2.gz GOOYVSKIRPEFFA-UHFFFAOYSA-N 1 2 258.296 3.856 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(O)c(F)cc1F ZINC001213499761 1134456123 /nfs/dbraw/zinc/45/61/23/1134456123.db2.gz ZYPKWVCEQWKWJK-UHFFFAOYSA-N 1 2 276.286 3.995 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1-n1ccc2cc(N)c(F)cc21 ZINC001213503528 1134456576 /nfs/dbraw/zinc/45/65/76/1134456576.db2.gz KVNODEFABIQZBB-UHFFFAOYSA-N 1 2 281.334 3.933 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2cncnc2c1 ZINC001213501204 1134456606 /nfs/dbraw/zinc/45/66/06/1134456606.db2.gz MLCRCUVOGFVDIK-UHFFFAOYSA-N 1 2 276.343 3.954 20 0 CHADLO Cc1cc(C)c(Nc2nc3ccc(F)cc3o2)c[nH+]1 ZINC001213503626 1134456708 /nfs/dbraw/zinc/45/67/08/1134456708.db2.gz PIQSSTXANYPWLM-UHFFFAOYSA-N 1 2 257.268 3.722 20 0 CHADLO CCOC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)c(F)c1 ZINC001213513326 1134458004 /nfs/dbraw/zinc/45/80/04/1134458004.db2.gz CPXJGBHQBPWLPH-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO Cc1cc(C)c(Nc2ccc3nc(C(C)C)nn3c2)c[nH+]1 ZINC001213513577 1134459410 /nfs/dbraw/zinc/45/94/10/1134459410.db2.gz WTSPCWBAQSJQHB-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO COc1c(F)cc(F)cc1Nc1c[nH+]cc(C)c1C ZINC001213532303 1134461104 /nfs/dbraw/zinc/46/11/04/1134461104.db2.gz APGITMMERQQKEV-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)OC(C)(C)O3)c1C ZINC001213531093 1134461329 /nfs/dbraw/zinc/46/13/29/1134461329.db2.gz VGKCBOJQDSJOPT-UHFFFAOYSA-N 1 2 270.332 3.949 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(-n3ccnc3)cc2)c1C ZINC001213528934 1134461388 /nfs/dbraw/zinc/46/13/88/1134461388.db2.gz SKPVTEARWFZUPY-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1c[nH+]cc(Nc2c(F)c(F)c(N)c(F)c2F)c1C ZINC001213532911 1134461468 /nfs/dbraw/zinc/46/14/68/1134461468.db2.gz WAXWLQVOFPNNSU-UHFFFAOYSA-N 1 2 285.244 3.581 20 0 CHADLO CC(=O)c1ccc(C)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213532532 1134461859 /nfs/dbraw/zinc/46/18/59/1134461859.db2.gz MVAOIWPUAUMPGG-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO Cc1c[nH+]cc(Nc2ccccc2-n2cccn2)c1C ZINC001213528931 1134461913 /nfs/dbraw/zinc/46/19/13/1134461913.db2.gz SILFANVFDPZMHA-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO COCCCOc1ccc(Nc2c[nH+]cc(C)c2C)cc1 ZINC001213530824 1134461974 /nfs/dbraw/zinc/46/19/74/1134461974.db2.gz NERMHEIVFFYIFR-UHFFFAOYSA-N 1 2 286.375 3.857 20 0 CHADLO CCOC(=O)c1ccc(Nc2c[nH+]cc(C)c2C)cc1F ZINC001213534386 1134462456 /nfs/dbraw/zinc/46/24/56/1134462456.db2.gz ZPZRQEZXVHXAFH-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(CO)ccc2Cl)c1C ZINC001213534205 1134462596 /nfs/dbraw/zinc/46/25/96/1134462596.db2.gz RFHPXGHRBYNBBM-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO COc1c(Nc2c[nH+]cc(C)c2C)ccc(F)c1F ZINC001213537238 1134462859 /nfs/dbraw/zinc/46/28/59/1134462859.db2.gz XXYMAGJZRVBBDM-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO COC(=O)c1cc(F)c(C)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213534225 1134462894 /nfs/dbraw/zinc/46/28/94/1134462894.db2.gz SDNJHCIROPNVIR-UHFFFAOYSA-N 1 2 288.322 3.676 20 0 CHADLO COc1cccc(-n2c(C)[nH+]c3ccc(N)cc32)c1C1CC1 ZINC001213805220 1134486652 /nfs/dbraw/zinc/48/66/52/1134486652.db2.gz FIBCTCRTCJIWGH-UHFFFAOYSA-N 1 2 293.370 3.802 20 0 CHADLO COc1cccc(C2CC2)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001213812119 1134487519 /nfs/dbraw/zinc/48/75/19/1134487519.db2.gz OAPSZZVYXRRJME-UHFFFAOYSA-N 1 2 283.375 3.777 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(F)(F)F)cnc1C ZINC001213826949 1134488940 /nfs/dbraw/zinc/48/89/40/1134488940.db2.gz VBCGBQCARHVYME-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO COc1cc(Nc2ccc(CC(F)(F)F)nc2)cc(C)[nH+]1 ZINC001213911244 1134496096 /nfs/dbraw/zinc/49/60/96/1134496096.db2.gz HKZYJCJUBNDYST-UHFFFAOYSA-N 1 2 297.280 3.642 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(CC(F)(F)F)nc2)c(C)c1 ZINC001213908309 1134496198 /nfs/dbraw/zinc/49/61/98/1134496198.db2.gz DVSGIUAQGOHCEK-UHFFFAOYSA-N 1 2 281.281 3.942 20 0 CHADLO Cc1cn2cccc(Nc3cccc4[nH]c(=O)ccc34)c2[nH+]1 ZINC001213967820 1134502376 /nfs/dbraw/zinc/50/23/76/1134502376.db2.gz OQDZNJHMQAJAMH-UHFFFAOYSA-N 1 2 290.326 3.640 20 0 CHADLO COc1cc(F)c(Nc2ccn3cc[nH+]c3c2)cc1Cl ZINC001214062583 1134507747 /nfs/dbraw/zinc/50/77/47/1134507747.db2.gz ADLJTFHINWZQLV-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCCC2)c(N)c1 ZINC001214177725 1134517855 /nfs/dbraw/zinc/51/78/55/1134517855.db2.gz JOFQBRAPAZPHGP-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO COCOc1ccc(C)cc1Nc1[nH+]c(C)ccc1C ZINC001214236744 1134524372 /nfs/dbraw/zinc/52/43/72/1134524372.db2.gz GAGIXOZWUOBMBJ-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cccc(F)c1CO ZINC001214611504 1134556394 /nfs/dbraw/zinc/55/63/94/1134556394.db2.gz JSCIFIADRDRIDH-UHFFFAOYSA-N 1 2 288.366 3.964 20 0 CHADLO COc1ccc(C)c(F)c1Nc1ccc(C)[nH+]c1C ZINC001214622167 1134558466 /nfs/dbraw/zinc/55/84/66/1134558466.db2.gz AMUXWHKEFGBITB-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO OCc1c(Cl)cccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001214647916 1134561687 /nfs/dbraw/zinc/56/16/87/1134561687.db2.gz HHHBGXNMWBBNKY-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO Cc1cc(CO)ccc1Nc1cccc(C(C)C)[nH+]1 ZINC001214659790 1134563015 /nfs/dbraw/zinc/56/30/15/1134563015.db2.gz WDPAVWPESMPYNC-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)ccc(OC)c1OC ZINC001214696185 1134565108 /nfs/dbraw/zinc/56/51/08/1134565108.db2.gz XGHLRTOYYLRXTQ-UHFFFAOYSA-N 1 2 290.338 3.852 20 0 CHADLO CC(=O)c1cc(Nc2cc(Cl)c3[nH+]ccn3c2)cs1 ZINC001214776903 1134571650 /nfs/dbraw/zinc/57/16/50/1134571650.db2.gz XERZVJNCUAWNKT-UHFFFAOYSA-N 1 2 291.763 3.995 20 0 CHADLO Cc1[nH+]c2ccccn2c1Nc1ccc(Cl)c(O)c1F ZINC001214794024 1134573471 /nfs/dbraw/zinc/57/34/71/1134573471.db2.gz VFZMHMAXVBYEDB-UHFFFAOYSA-N 1 2 291.713 3.884 20 0 CHADLO Cc1cc(CO)cc(C)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001214951532 1134585559 /nfs/dbraw/zinc/58/55/59/1134585559.db2.gz AXECOYXUWQEXSH-UHFFFAOYSA-N 1 2 297.402 3.535 20 0 CHADLO Cc1c(CO)ccc(Nc2ccc[nH+]c2N2CCCC2)c1C ZINC001215235939 1134608835 /nfs/dbraw/zinc/60/88/35/1134608835.db2.gz KHZXJZHJEXZTLM-UHFFFAOYSA-N 1 2 297.402 3.535 20 0 CHADLO Cc1c(CO)ccc(Nc2ccc(N3CCCC3)[nH+]c2)c1C ZINC001215240539 1134609203 /nfs/dbraw/zinc/60/92/03/1134609203.db2.gz CINRESAINBUDHN-UHFFFAOYSA-N 1 2 297.402 3.535 20 0 CHADLO CCOc1c(Nc2cc(OC)cc[nH+]2)ccc(F)c1F ZINC001215409155 1134625158 /nfs/dbraw/zinc/62/51/58/1134625158.db2.gz RRMSKMSGAJPRBB-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO Cc1cc(O)cc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001215511297 1134635032 /nfs/dbraw/zinc/63/50/32/1134635032.db2.gz CUIDQZDZHMWZMK-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO COc1cc(F)c(Nc2[nH+]c(C)ccc2C)cc1OC ZINC001215720667 1134665955 /nfs/dbraw/zinc/66/59/55/1134665955.db2.gz ZNLGQQROESOBFA-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO Cc1ccc(F)c(Nc2ccc(N3CCCC3)[nH+]c2)c1O ZINC001215738772 1134669636 /nfs/dbraw/zinc/66/96/36/1134669636.db2.gz AAPGVSXIRSPEMU-UHFFFAOYSA-N 1 2 287.338 3.579 20 0 CHADLO CSc1cccc(F)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001215822348 1134694346 /nfs/dbraw/zinc/69/43/46/1134694346.db2.gz WWIKVANLQYUJNZ-UHFFFAOYSA-N 1 2 277.368 3.752 20 0 CHADLO COc1cc(Nc2cc(Cl)cnc2SC)cc(C)[nH+]1 ZINC001215917796 1134720041 /nfs/dbraw/zinc/72/00/41/1134720041.db2.gz MSKUYZPNYXPNJP-UHFFFAOYSA-N 1 2 295.795 3.913 20 0 CHADLO COc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1SC ZINC001215931232 1134723736 /nfs/dbraw/zinc/72/37/36/1134723736.db2.gz VRFHKWAJNCDKPL-UHFFFAOYSA-N 1 2 289.404 3.622 20 0 CHADLO Cc1ccc(Nc2cc(C3CC3)cnc2F)c(C)[nH+]1 ZINC001215953428 1134730291 /nfs/dbraw/zinc/73/02/91/1134730291.db2.gz QWZBYNSTXCTLEA-UHFFFAOYSA-N 1 2 257.312 3.854 20 0 CHADLO COc1c(C)cc(C)cc1Nc1cccc2[nH+]ccn21 ZINC001215995607 1134744615 /nfs/dbraw/zinc/74/46/15/1134744615.db2.gz OQHGBXZFEIZUCD-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(C)C)ccc1N ZINC001216019723 1134751376 /nfs/dbraw/zinc/75/13/76/1134751376.db2.gz XZXPNLLORIVAEP-UHFFFAOYSA-N 1 2 257.337 3.539 20 0 CHADLO CCc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)ccc1N ZINC001216037878 1134755757 /nfs/dbraw/zinc/75/57/57/1134755757.db2.gz VQRDLXMJULPEES-UHFFFAOYSA-N 1 2 278.359 3.761 20 0 CHADLO Cc1cc(C[C@H](C)[NH2+]c2ccc(N)c(C(C)C)c2)on1 ZINC001216042767 1134758519 /nfs/dbraw/zinc/75/85/19/1134758519.db2.gz GTTBVULFLOUSDJ-NSHDSACASA-N 1 2 273.380 3.732 20 0 CHADLO Cc1cc(Nc2ccccc2-n2cc[nH+]c2)cc(O)c1F ZINC001216078451 1134769690 /nfs/dbraw/zinc/76/96/90/1134769690.db2.gz IVGUVMJDIROWQD-UHFFFAOYSA-N 1 2 283.306 3.769 20 0 CHADLO CCc1cc(Nc2[nH+]cccc2C2CC2)ccc1CO ZINC001216107277 1134780391 /nfs/dbraw/zinc/78/03/91/1134780391.db2.gz QHNUHMMXPHFLGD-UHFFFAOYSA-N 1 2 268.360 3.757 20 0 CHADLO Nc1ccc[nH+]c1Nc1cc(F)cc(N2CCCCC2)c1 ZINC001216177731 1134796588 /nfs/dbraw/zinc/79/65/88/1134796588.db2.gz DJQYRNCHYBINCU-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO CCOc1ccc(C)c(Nc2[nH+]cccc2N(C)C)c1 ZINC001216236322 1134818457 /nfs/dbraw/zinc/81/84/57/1134818457.db2.gz NSWRRENJFRTDSP-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO COc1cc(Nc2[nH+]cc(O)cc2C)ccc1OC(C)C ZINC001216244149 1134824103 /nfs/dbraw/zinc/82/41/03/1134824103.db2.gz BUMPEJCIWSQFSO-UHFFFAOYSA-N 1 2 288.347 3.635 20 0 CHADLO Clc1ccnc(Cl)c1Nc1cccn2cc[nH+]c12 ZINC001216300037 1134842060 /nfs/dbraw/zinc/84/20/60/1134842060.db2.gz BXJHEQCJVYSATR-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(Cl)ccnc1Cl ZINC001216300354 1134842140 /nfs/dbraw/zinc/84/21/40/1134842140.db2.gz KVTWDFXKSGGLFM-UHFFFAOYSA-N 1 2 284.146 3.926 20 0 CHADLO COc1cc(C)c(C)cc1Nc1cccc2[nH+]ccn21 ZINC001216372777 1134872095 /nfs/dbraw/zinc/87/20/95/1134872095.db2.gz ODXKKTASVGEITR-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CC(=O)c1cccc(Nc2c[nH+]c(C)cc2C)c1F ZINC001216497274 1134907763 /nfs/dbraw/zinc/90/77/63/1134907763.db2.gz HGEJTXGOVWAIAY-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CCOC(=O)c1ccc(Nc2c(C)cc[nH+]c2C(C)C)cn1 ZINC001216613011 1134932391 /nfs/dbraw/zinc/93/23/91/1134932391.db2.gz PODREIHFBUYNGD-UHFFFAOYSA-N 1 2 299.374 3.829 20 0 CHADLO Cc1ccc(O[C@H]2CC[NH2+]CC2(F)F)c(Cl)c1Cl ZINC001218011414 1135042057 /nfs/dbraw/zinc/04/20/57/1135042057.db2.gz CLCLLISSIWLKNZ-VIFPVBQESA-N 1 2 296.144 3.678 20 0 CHADLO Cc1cc2ccccc2cc1O[C@@H]1CC[NH2+]CC1(F)F ZINC001218012463 1135043400 /nfs/dbraw/zinc/04/34/00/1135043400.db2.gz QCVFXKXYIMZPRH-OAHLLOKOSA-N 1 2 277.314 3.524 20 0 CHADLO COc1ccc2cc([C@H](C)Oc3ccc[nH+]c3N)ccc2c1 ZINC001218316675 1135086283 /nfs/dbraw/zinc/08/62/83/1135086283.db2.gz CMISBQCVJVNGHV-LBPRGKRZSA-N 1 2 294.354 3.966 20 0 CHADLO COc1ccc2cc([C@@H](C)Oc3cc(N)cc[nH+]3)ccc2c1 ZINC001218316890 1135087833 /nfs/dbraw/zinc/08/78/33/1135087833.db2.gz HQACHKZVODRUDG-GFCCVEGCSA-N 1 2 294.354 3.966 20 0 CHADLO C[C@H](Oc1ccc[nH+]c1N)c1cccc(Br)c1 ZINC001218335802 1135090201 /nfs/dbraw/zinc/09/02/01/1135090201.db2.gz BSXQVCKQBROBPU-VIFPVBQESA-N 1 2 293.164 3.566 20 0 CHADLO C[C@@H](Oc1ccc[nH+]c1N)c1cccc(OC(F)(F)F)c1 ZINC001218436744 1135104513 /nfs/dbraw/zinc/10/45/13/1135104513.db2.gz RSOYZNHRJWZIOQ-SECBINFHSA-N 1 2 298.264 3.702 20 0 CHADLO CCCC[C@@H](OCCn1cc[nH+]c1)c1ccccc1 ZINC001222135355 1135198427 /nfs/dbraw/zinc/19/84/27/1135198427.db2.gz YFXLIAGJTQYMDP-MRXNPFEDSA-N 1 2 258.365 3.831 20 0 CHADLO CCCC[C@H](CC)C[C@@H](C)OCCn1cc[nH+]c1 ZINC001222139438 1135198713 /nfs/dbraw/zinc/19/87/13/1135198713.db2.gz RUVNHCZUAFPHGJ-CABCVRRESA-N 1 2 252.402 3.895 20 0 CHADLO Cc1cc(-c2cn(C)nc2-c2ccccc2)cn2cc[nH+]c12 ZINC001222540393 1135250323 /nfs/dbraw/zinc/25/03/23/1135250323.db2.gz HWPCADFIBOWCMD-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO C[C@@H](OCc1c[nH+]cn1C)c1ccc2ccccc2c1 ZINC001222585887 1135253298 /nfs/dbraw/zinc/25/32/98/1135253298.db2.gz KUKPBEJNNKPNHW-CYBMUJFWSA-N 1 2 266.344 3.851 20 0 CHADLO Cc1cccc(C)c1COC1C[NH+](Cc2ccccc2)C1 ZINC001222826516 1135275125 /nfs/dbraw/zinc/27/51/25/1135275125.db2.gz ZSMFAOLHBDXNDC-UHFFFAOYSA-N 1 2 281.399 3.704 20 0 CHADLO Cc1cc(COC2CC(C)(C)OC(C)(C)C2)cc(C)[nH+]1 ZINC001223425453 1135344334 /nfs/dbraw/zinc/34/43/34/1135344334.db2.gz RZFWXOYFTUTFQZ-UHFFFAOYSA-N 1 2 277.408 3.951 20 0 CHADLO Cc1cc(CO[C@H]2CCCN(c3ccccc3)C2)cc(C)[nH+]1 ZINC001223420172 1135342225 /nfs/dbraw/zinc/34/22/25/1135342225.db2.gz IYMCJCXALWXCCN-IBGZPJMESA-N 1 2 296.414 3.884 20 0 CHADLO Cc1cc(COC2CC(C)(C)N(O)C(C)(C)C2)cc(C)[nH+]1 ZINC001223420237 1135342483 /nfs/dbraw/zinc/34/24/83/1135342483.db2.gz JTAWWCVJDYAHEC-UHFFFAOYSA-N 1 2 292.423 3.626 20 0 CHADLO Cc1cc(CO[C@H]2CCOc3ccccc32)cc(C)[nH+]1 ZINC001223420130 1135342639 /nfs/dbraw/zinc/34/26/39/1135342639.db2.gz IGXFUBPWIIJWCK-KRWDZBQOSA-N 1 2 269.344 3.739 20 0 CHADLO Cc1cc(COCc2ccc(OC(C)C)nc2)cc(C)[nH+]1 ZINC001223422235 1135343614 /nfs/dbraw/zinc/34/36/14/1135343614.db2.gz VGVBMLVRBCEIGB-UHFFFAOYSA-N 1 2 286.375 3.597 20 0 CHADLO Cc1cc(CO[C@H](C)COc2ccccc2C)cc(C)[nH+]1 ZINC001223426093 1135344646 /nfs/dbraw/zinc/34/46/46/1135344646.db2.gz YJDUFFXYPYJUGA-MRXNPFEDSA-N 1 2 285.387 3.991 20 0 CHADLO C[C@H](OC1C[NH+](Cc2ccccc2)C1)c1ccc(F)cc1 ZINC001224006000 1135407938 /nfs/dbraw/zinc/40/79/38/1135407938.db2.gz HHPZBTDEEYVESB-AWEZNQCLSA-N 1 2 285.362 3.788 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](C)COc1ccc(C)cc1 ZINC001224964563 1135496967 /nfs/dbraw/zinc/49/69/67/1135496967.db2.gz ADJRCEPFZSNOGV-HNNXBMFYSA-N 1 2 293.407 3.963 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](C)COc1cccc(C)c1 ZINC001224965869 1135497166 /nfs/dbraw/zinc/49/71/66/1135497166.db2.gz MYQMBIIWGGKRLX-HNNXBMFYSA-N 1 2 293.407 3.963 20 0 CHADLO Clc1ccnc2c1CCC[C@H]2Oc1cc[nH+]cc1 ZINC001225296192 1135537138 /nfs/dbraw/zinc/53/71/38/1135537138.db2.gz QHFZLBRAEUXPHZ-CYBMUJFWSA-N 1 2 260.724 3.586 20 0 CHADLO CCOC(=O)C[C@@H](Oc1c(C)cc[nH+]c1C)c1ccccc1 ZINC001227260055 1135811236 /nfs/dbraw/zinc/81/12/36/1135811236.db2.gz NZRLFWHIAZVLBV-MRXNPFEDSA-N 1 2 299.370 3.772 20 0 CHADLO COc1cccc([C@@H](C)Oc2c(C)cc[nH+]c2C)c1 ZINC001227263037 1135812806 /nfs/dbraw/zinc/81/28/06/1135812806.db2.gz BMZSCSPXDZLODS-CYBMUJFWSA-N 1 2 257.333 3.847 20 0 CHADLO COc1cccc2c1[C@@H](Oc1c(C)cc[nH+]c1C)CCO2 ZINC001227263163 1135812989 /nfs/dbraw/zinc/81/29/89/1135812989.db2.gz COXFHBBDXCQFLY-HNNXBMFYSA-N 1 2 285.343 3.610 20 0 CHADLO Cc1nc(NC2C(C)(C)C2(C)C)c(Br)c(C)[nH+]1 ZINC000323134015 1135852589 /nfs/dbraw/zinc/85/25/89/1135852589.db2.gz ZPBWLFRDVOOJKN-UHFFFAOYSA-N 1 2 298.228 3.702 20 0 CHADLO Cc1cc(-c2cccc(C(F)(F)F)c2)c[nH+]c1N ZINC000082730072 178247717 /nfs/dbraw/zinc/24/77/17/178247717.db2.gz DPBLHBFVQHJALX-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO CC[C@]1(C)C[N@@H+]([C@H](C)c2ccc(F)cc2F)CCO1 ZINC000442891834 529407695 /nfs/dbraw/zinc/40/76/95/529407695.db2.gz NVMLIZRQYPIBDE-IAQYHMDHSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@]1(C)C[N@H+]([C@H](C)c2ccc(F)cc2F)CCO1 ZINC000442891834 529407697 /nfs/dbraw/zinc/40/76/97/529407697.db2.gz NVMLIZRQYPIBDE-IAQYHMDHSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@H]1C[C@H](C)CN1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334712558 529439082 /nfs/dbraw/zinc/43/90/82/529439082.db2.gz QAXHVQZEQOQMFG-IINYFYTJSA-N 1 2 275.396 3.659 20 0 CHADLO CCn1cccc1C[N@H+](C)Cc1ccccc1Cl ZINC000353702457 529547066 /nfs/dbraw/zinc/54/70/66/529547066.db2.gz JCZWXGXCGKQQMZ-UHFFFAOYSA-N 1 2 262.784 3.793 20 0 CHADLO CCn1cccc1C[N@@H+](C)Cc1ccccc1Cl ZINC000353702457 529547069 /nfs/dbraw/zinc/54/70/69/529547069.db2.gz JCZWXGXCGKQQMZ-UHFFFAOYSA-N 1 2 262.784 3.793 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)cn1 ZINC000353726493 529638952 /nfs/dbraw/zinc/63/89/52/529638952.db2.gz YNJADZZICUJITL-QGZVFWFLSA-N 1 2 281.403 3.622 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)cn1 ZINC000353726493 529638955 /nfs/dbraw/zinc/63/89/55/529638955.db2.gz YNJADZZICUJITL-QGZVFWFLSA-N 1 2 281.403 3.622 20 0 CHADLO CC[C@@H](NC(=O)c1cccc(-c2ccoc2)c1)c1[nH]cc[nH+]1 ZINC000347970386 529672093 /nfs/dbraw/zinc/67/20/93/529672093.db2.gz RUYRSLKQVCBNIN-OAHLLOKOSA-N 1 2 295.342 3.551 20 0 CHADLO COc1cccc2c(N[C@@H]3CC[C@H](F)C3)cc[nH+]c12 ZINC000573401229 334935479 /nfs/dbraw/zinc/93/54/79/334935479.db2.gz KRVLUGFVESOGRM-WDEREUQCSA-N 1 2 260.312 3.546 20 0 CHADLO CCc1ccc([C@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347672591 529727406 /nfs/dbraw/zinc/72/74/06/529727406.db2.gz CSDXSRJRRCUKGZ-CYBMUJFWSA-N 1 2 295.333 3.771 20 0 CHADLO CCc1ccc([C@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347672591 529727407 /nfs/dbraw/zinc/72/74/07/529727407.db2.gz CSDXSRJRRCUKGZ-CYBMUJFWSA-N 1 2 295.333 3.771 20 0 CHADLO CCc1ccc2occ(C(=O)N[C@H](CC)c3[nH]cc[nH+]3)c2c1 ZINC000354775188 529732397 /nfs/dbraw/zinc/73/23/97/529732397.db2.gz VWZFVNBKLRSTKX-CQSZACIVSA-N 1 2 297.358 3.599 20 0 CHADLO CCc1ccc2occ(C(=O)Nc3c[nH+]ccc3OC)c2c1 ZINC000351964513 529732520 /nfs/dbraw/zinc/73/25/20/529732520.db2.gz MRFQBSPNBMPQCS-UHFFFAOYSA-N 1 2 296.326 3.651 20 0 CHADLO COc1cc(C)[nH+]c(CNc2c(C)cccc2Cl)c1 ZINC000214057902 260227139 /nfs/dbraw/zinc/22/71/39/260227139.db2.gz DNICLOWEDAJDCR-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(C)s2)s1 ZINC000093807534 260524566 /nfs/dbraw/zinc/52/45/66/260524566.db2.gz CXFFGSZIHNLLSU-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(C)s2)s1 ZINC000093807534 260524568 /nfs/dbraw/zinc/52/45/68/260524568.db2.gz CXFFGSZIHNLLSU-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CCc1cc(N2C[C@H](C)C[C@H]2c2ccccc2)nc(C)[nH+]1 ZINC000574063085 334989350 /nfs/dbraw/zinc/98/93/50/334989350.db2.gz IHVKZHYSUXWEFJ-DYVFJYSZSA-N 1 2 281.403 3.935 20 0 CHADLO COc1ccsc1C[N@H+](C)Cc1cc(C)ccc1F ZINC000574307035 335008990 /nfs/dbraw/zinc/00/89/90/335008990.db2.gz PZTPKPZSEZHIPZ-UHFFFAOYSA-N 1 2 279.380 3.836 20 0 CHADLO COc1ccsc1C[N@@H+](C)Cc1cc(C)ccc1F ZINC000574307035 335008991 /nfs/dbraw/zinc/00/89/91/335008991.db2.gz PZTPKPZSEZHIPZ-UHFFFAOYSA-N 1 2 279.380 3.836 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(F)c(Cl)c1)c1cscn1 ZINC000162403746 261280588 /nfs/dbraw/zinc/28/05/88/261280588.db2.gz OFWKOSGWHOYSKQ-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CC(C)CN(C(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000574403511 335017120 /nfs/dbraw/zinc/01/71/20/335017120.db2.gz FUYXUXJSHFEFOQ-OAHLLOKOSA-N 1 2 285.391 3.523 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2cc(C(C)(C)C)on2)cc1 ZINC000574643827 335036642 /nfs/dbraw/zinc/03/66/42/335036642.db2.gz WQKDUFKGAVKNMF-GFCCVEGCSA-N 1 2 288.391 3.832 20 0 CHADLO CSc1ccccc1C(=O)Nc1c(C)cc[nH+]c1C ZINC000336085118 533033754 /nfs/dbraw/zinc/03/37/54/533033754.db2.gz VSONJMWWVMFVLO-UHFFFAOYSA-N 1 2 272.373 3.673 20 0 CHADLO COCCC(C)(C)CNc1[nH+]ccc2ccc(F)cc21 ZINC000353261511 533178953 /nfs/dbraw/zinc/17/89/53/533178953.db2.gz HGWYZMASFYNWMF-UHFFFAOYSA-N 1 2 276.355 3.849 20 0 CHADLO Cc1cc(Nc2cn(C)nc2C(C)C)nc(C(C)C)[nH+]1 ZINC000312415923 260008116 /nfs/dbraw/zinc/00/81/16/260008116.db2.gz OGRRVILVEWKAMF-UHFFFAOYSA-N 1 2 273.384 3.509 20 0 CHADLO COc1c(O)cccc1C[N@H+](C)Cc1ccccc1Cl ZINC000352735812 533443757 /nfs/dbraw/zinc/44/37/57/533443757.db2.gz AGCIQJRIJFKMRC-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1c(O)cccc1C[N@@H+](C)Cc1ccccc1Cl ZINC000352735812 533443762 /nfs/dbraw/zinc/44/37/62/533443762.db2.gz AGCIQJRIJFKMRC-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ncc(Cl)s2)cc1C ZINC000352004229 533542937 /nfs/dbraw/zinc/54/29/37/533542937.db2.gz VBCYCEBWGVLTCI-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ncc(Cl)s2)cc1C ZINC000352004229 533542940 /nfs/dbraw/zinc/54/29/40/533542940.db2.gz VBCYCEBWGVLTCI-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ncc(Cl)s2)CC12CCC2 ZINC000351973700 533646361 /nfs/dbraw/zinc/64/63/61/533646361.db2.gz FUCVQAGSNJQNLZ-JTQLQIEISA-N 1 2 270.829 3.809 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ncc(Cl)s2)CC12CCC2 ZINC000351973700 533646366 /nfs/dbraw/zinc/64/63/66/533646366.db2.gz FUCVQAGSNJQNLZ-JTQLQIEISA-N 1 2 270.829 3.809 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ncc(Cl)s2)CC1(C)C ZINC000351983502 533646610 /nfs/dbraw/zinc/64/66/10/533646610.db2.gz SXOIKVDKHLRECI-VIFPVBQESA-N 1 2 258.818 3.665 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ncc(Cl)s2)CC1(C)C ZINC000351983502 533646616 /nfs/dbraw/zinc/64/66/16/533646616.db2.gz SXOIKVDKHLRECI-VIFPVBQESA-N 1 2 258.818 3.665 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2ncc(Cl)s2)c2ccccc2O1 ZINC000336215249 533656435 /nfs/dbraw/zinc/65/64/35/533656435.db2.gz FAKWUQQFOHFFAB-MWLCHTKSSA-N 1 2 294.807 3.798 20 0 CHADLO CC[C@H](Nc1cc(N2CCCC2)nc[nH+]1)c1ccccc1 ZINC000341924447 130133820 /nfs/dbraw/zinc/13/38/20/130133820.db2.gz FFRNUQHYBALJKR-HNNXBMFYSA-N 1 2 282.391 3.640 20 0 CHADLO CC[C@H](Nc1cc(N2CCCC2)[nH+]cn1)c1ccccc1 ZINC000341924447 130133821 /nfs/dbraw/zinc/13/38/21/130133821.db2.gz FFRNUQHYBALJKR-HNNXBMFYSA-N 1 2 282.391 3.640 20 0 CHADLO Cc1nc(C[N@@H+](CC(C)C)[C@@H](C)c2ccccc2C)no1 ZINC000342042168 130214925 /nfs/dbraw/zinc/21/49/25/130214925.db2.gz FRFZEQSLFFVLQY-AWEZNQCLSA-N 1 2 287.407 3.906 20 0 CHADLO Cc1nc(C[N@H+](CC(C)C)[C@@H](C)c2ccccc2C)no1 ZINC000342042168 130214928 /nfs/dbraw/zinc/21/49/28/130214928.db2.gz FRFZEQSLFFVLQY-AWEZNQCLSA-N 1 2 287.407 3.906 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1cn(C(C)C)nn1 ZINC000342067079 130232534 /nfs/dbraw/zinc/23/25/34/130232534.db2.gz OCRLNVAFQXAUPQ-CYBMUJFWSA-N 1 2 298.390 3.764 20 0 CHADLO CN(C[C@@H]1CCCO1)c1cc[nH+]c2c(Cl)cccc12 ZINC000342121127 130276915 /nfs/dbraw/zinc/27/69/15/130276915.db2.gz RSVLDQYRPQUNCB-NSHDSACASA-N 1 2 276.767 3.503 20 0 CHADLO C[N@H+](Cc1nc2ccc(F)cc2o1)Cc1ccccc1F ZINC000342198079 130376289 /nfs/dbraw/zinc/37/62/89/130376289.db2.gz GVYHSKRAMLTJRB-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO C[N@@H+](Cc1nc2ccc(F)cc2o1)Cc1ccccc1F ZINC000342198079 130376292 /nfs/dbraw/zinc/37/62/92/130376292.db2.gz GVYHSKRAMLTJRB-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCCC[C@@H]2CC(C)C)[nH+]1 ZINC000342214655 130388094 /nfs/dbraw/zinc/38/80/94/130388094.db2.gz NCYXHZRPKJRUKI-CYBMUJFWSA-N 1 2 272.396 3.671 20 0 CHADLO Cc1ccc(NCc2cn3c(cccc3C)[nH+]2)c(C)c1 ZINC000008410633 170335428 /nfs/dbraw/zinc/33/54/28/170335428.db2.gz KLHINJWWWUKFQS-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO Nc1ccc(Nc2ccc(Cl)cc2Cl)c[nH+]1 ZINC000019952147 170939982 /nfs/dbraw/zinc/93/99/82/170939982.db2.gz LOHKONRHHFXBPE-UHFFFAOYSA-N 1 2 254.120 3.714 20 0 CHADLO Cc1cc(N)nc(SCc2cccc(C(F)(F)F)c2)[nH+]1 ZINC000022089297 171352535 /nfs/dbraw/zinc/35/25/35/171352535.db2.gz XVFIZTAMPYGKPR-UHFFFAOYSA-N 1 2 299.321 3.678 20 0 CHADLO Cc1csc(C[NH2+][C@H](C)c2cc(F)ccc2F)n1 ZINC000038090522 174103957 /nfs/dbraw/zinc/10/39/57/174103957.db2.gz JHHDVUAZQRRHGJ-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1ccc(F)c(Cl)c1 ZINC000067251057 175771774 /nfs/dbraw/zinc/77/17/74/175771774.db2.gz CXVUSCNFTKFQMR-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CC[C@@H](NC(=O)Nc1cc[nH+]cc1C)c1cccs1 ZINC000179847444 260077642 /nfs/dbraw/zinc/07/76/42/260077642.db2.gz JHIMWGYMJARYMT-LLVKDONJSA-N 1 2 275.377 3.724 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](c1cc2ccccc2o1)C(C)C ZINC000344510174 225088670 /nfs/dbraw/zinc/08/86/70/225088670.db2.gz COWPVKQPKMIASE-QGZVFWFLSA-N 1 2 283.375 3.951 20 0 CHADLO Cc1[nH+]c2ccccn2c1CSc1ncccc1C ZINC000344590393 225112992 /nfs/dbraw/zinc/11/29/92/225112992.db2.gz LIUJSLRFPMIAQL-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+]Cc2ccc(Cl)cc2)cs1 ZINC000344711774 225153994 /nfs/dbraw/zinc/15/39/94/225153994.db2.gz TWGPROCXTYKMEC-SNVBAGLBSA-N 1 2 296.823 3.794 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@@H]3CCCC[C@H]3C2)c(C)[nH+]1 ZINC000334711337 225283923 /nfs/dbraw/zinc/28/39/23/225283923.db2.gz BGEVDYYGFXZQEF-GJZGRUSLSA-N 1 2 287.407 3.661 20 0 CHADLO C[C@H]1C[C@H](NC(=O)c2cccc(C3CCC3)c2)c2[nH+]ccn21 ZINC000334767333 225313373 /nfs/dbraw/zinc/31/33/73/225313373.db2.gz GJBCDMVZHOLUOM-LRDDRELGSA-N 1 2 295.386 3.586 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2cc(F)c(F)c(F)c21)c1cscn1 ZINC000334907763 225359779 /nfs/dbraw/zinc/35/97/79/225359779.db2.gz WKLAGABOMQCANO-OIBJUYFYSA-N 1 2 298.333 3.899 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c2cc(F)c(Cl)cc12)[C@@H](C)O ZINC000450786772 262681708 /nfs/dbraw/zinc/68/17/08/262681708.db2.gz FAZAHARGIHRWLO-PRHODGIISA-N 1 2 282.746 3.599 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@@H+]1CC[C@@](C)(F)C1 ZINC000347363294 226135113 /nfs/dbraw/zinc/13/51/13/226135113.db2.gz XJEUWTFRUGYKNN-MEBBXXQBSA-N 1 2 297.324 3.926 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@H+]1CC[C@@](C)(F)C1 ZINC000347363294 226135117 /nfs/dbraw/zinc/13/51/17/226135117.db2.gz XJEUWTFRUGYKNN-MEBBXXQBSA-N 1 2 297.324 3.926 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ncc(-c3ccccc3)s2)C1 ZINC000347378832 226139528 /nfs/dbraw/zinc/13/95/28/226139528.db2.gz MOMLXQNPBCRBKC-HNNXBMFYSA-N 1 2 276.380 3.744 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ncc(-c3ccccc3)s2)C1 ZINC000347378832 226139530 /nfs/dbraw/zinc/13/95/30/226139530.db2.gz MOMLXQNPBCRBKC-HNNXBMFYSA-N 1 2 276.380 3.744 20 0 CHADLO C[C@H]1C[C@H](C(C)(C)C)CC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000347611055 226199685 /nfs/dbraw/zinc/19/96/85/226199685.db2.gz CPJSPKVLIACYPJ-WCQYABFASA-N 1 2 277.412 3.594 20 0 CHADLO C[C@H]1C[C@H](C(C)(C)C)CC[N@H+]1Cc1noc(C2CC2)n1 ZINC000347611055 226199688 /nfs/dbraw/zinc/19/96/88/226199688.db2.gz CPJSPKVLIACYPJ-WCQYABFASA-N 1 2 277.412 3.594 20 0 CHADLO Cn1c[nH+]cc1CNc1c(F)cccc1Oc1ccccc1 ZINC000347764877 226241450 /nfs/dbraw/zinc/24/14/50/226241450.db2.gz KKLUGNNQTCJSQJ-UHFFFAOYSA-N 1 2 297.333 3.964 20 0 CHADLO Clc1ccc(NCc2c[nH+]c3ccc(Cl)cn23)nc1 ZINC000347815394 226380773 /nfs/dbraw/zinc/38/07/73/226380773.db2.gz QDKQEFFFOCJUMX-UHFFFAOYSA-N 1 2 293.157 3.648 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CC[C@H](CC3CC3)C2)s1 ZINC000354526432 227023028 /nfs/dbraw/zinc/02/30/28/227023028.db2.gz ONOFCEFMABWYFG-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CC[C@H](CC3CC3)C2)s1 ZINC000354526432 227023035 /nfs/dbraw/zinc/02/30/35/227023035.db2.gz ONOFCEFMABWYFG-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO Cc1cn2cc(NC(=O)c3c(C)cccc3C)ccc2[nH+]1 ZINC000354527593 227023133 /nfs/dbraw/zinc/02/31/33/227023133.db2.gz CBUBHEYLIBUWQF-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO OC[C@H](Nc1[nH+]ccc2ccc(F)cc21)c1ccccc1 ZINC000354558642 227032346 /nfs/dbraw/zinc/03/23/46/227032346.db2.gz JYZJNJXNWOLVQY-INIZCTEOSA-N 1 2 282.318 3.519 20 0 CHADLO Cc1ccc(F)cc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000350619131 227042588 /nfs/dbraw/zinc/04/25/88/227042588.db2.gz OSIMJCZTHSICLE-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO c1ccc(COc2ccc[nH+]c2N[C@@H]2CCSC2)cc1 ZINC000354597589 227045502 /nfs/dbraw/zinc/04/55/02/227045502.db2.gz QIHJYNHDQQPJNG-CQSZACIVSA-N 1 2 286.400 3.578 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+](C)Cc2cscn2)c1 ZINC000350718737 227061012 /nfs/dbraw/zinc/06/10/12/227061012.db2.gz FYQIZODNACVKSK-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+](C)Cc2cscn2)c1 ZINC000350718737 227061014 /nfs/dbraw/zinc/06/10/14/227061014.db2.gz FYQIZODNACVKSK-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO O=C(Nc1cccc(-c2[nH+]cc3n2CCCC3)c1)C1=CCC1 ZINC000336541219 227106098 /nfs/dbraw/zinc/10/60/98/227106098.db2.gz BABQROIHTQWIOE-UHFFFAOYSA-N 1 2 293.370 3.545 20 0 CHADLO Fc1cccc2c1CC[C@@H]2[NH2+]Cc1ncc(Cl)s1 ZINC000336543835 227108632 /nfs/dbraw/zinc/10/86/32/227108632.db2.gz JSTYYMNPNXAIJQ-NSHDSACASA-N 1 2 282.771 3.713 20 0 CHADLO CCc1nc(N2CC(C)(C)[C@H]2c2cccs2)cc(C)[nH+]1 ZINC000575211269 335081486 /nfs/dbraw/zinc/08/14/86/335081486.db2.gz JDRXCRFAYBNTQY-OAHLLOKOSA-N 1 2 287.432 3.996 20 0 CHADLO Cc1cc(NC(=O)c2ccc(-c3ccccc3)[nH]2)cc[nH+]1 ZINC000171860523 335082911 /nfs/dbraw/zinc/08/29/11/335082911.db2.gz HDGFGDBISWUUOF-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO Cc1ccc(-c2ncc(C[N@@H+](C)Cc3ccoc3)s2)o1 ZINC000352651569 227140427 /nfs/dbraw/zinc/14/04/27/227140427.db2.gz BYLNJYIYWNNLSY-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1ccc(-c2ncc(C[N@H+](C)Cc3ccoc3)s2)o1 ZINC000352651569 227140431 /nfs/dbraw/zinc/14/04/31/227140431.db2.gz BYLNJYIYWNNLSY-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1cccnc1 ZINC000167828672 227297836 /nfs/dbraw/zinc/29/78/36/227297836.db2.gz XFCUKCRMWRMVMV-UHFFFAOYSA-N 1 2 286.766 3.717 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)Nc1csc2ccccc12 ZINC000352827012 227301351 /nfs/dbraw/zinc/30/13/51/227301351.db2.gz LPKHURHYHFGRTB-UHFFFAOYSA-N 1 2 283.356 3.671 20 0 CHADLO Cc1ccccc1[C@@H](CC(C)C)NC(=O)CCc1[nH]cc[nH+]1 ZINC000353447179 228103277 /nfs/dbraw/zinc/10/32/77/228103277.db2.gz ZWEKJUQKITYKKV-MRXNPFEDSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCOC[C@H]2CC(F)F)c1 ZINC000353479776 228108189 /nfs/dbraw/zinc/10/81/89/228108189.db2.gz CTEYPUFINDRSBH-CYBMUJFWSA-N 1 2 289.753 3.504 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCOC[C@H]2CC(F)F)c1 ZINC000353479776 228108194 /nfs/dbraw/zinc/10/81/94/228108194.db2.gz CTEYPUFINDRSBH-CYBMUJFWSA-N 1 2 289.753 3.504 20 0 CHADLO c1c(CNc2cc[nH+]c(C3CC3)n2)noc1-c1ccccc1 ZINC000112555009 228035439 /nfs/dbraw/zinc/03/54/39/228035439.db2.gz FJIBDNQNZWBKMN-UHFFFAOYSA-N 1 2 292.342 3.621 20 0 CHADLO FC1(F)CCC([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000330204812 228065430 /nfs/dbraw/zinc/06/54/30/228065430.db2.gz BYMNEYWYKFAKRU-ZDUSSCGKSA-N 1 2 298.381 3.594 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2C(F)F)c2ccccc2n1 ZINC000353177114 228066440 /nfs/dbraw/zinc/06/64/40/228066440.db2.gz VKSBQEBTSXDUHQ-HNNXBMFYSA-N 1 2 276.330 3.773 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2C(F)F)c2ccccc2n1 ZINC000353177114 228066442 /nfs/dbraw/zinc/06/64/42/228066442.db2.gz VKSBQEBTSXDUHQ-HNNXBMFYSA-N 1 2 276.330 3.773 20 0 CHADLO Cc1ccc([C@@H](C)NC(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC000353325104 228086258 /nfs/dbraw/zinc/08/62/58/228086258.db2.gz ZPNLVGAAVQXXTQ-CYBMUJFWSA-N 1 2 294.358 3.525 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1ccnn1-c1ccc(F)cc1 ZINC000353385761 228093988 /nfs/dbraw/zinc/09/39/88/228093988.db2.gz WOHCEWGEGCBYQK-ZDUSSCGKSA-N 1 2 299.349 3.797 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1ccnn1-c1ccc(F)cc1 ZINC000353385761 228093989 /nfs/dbraw/zinc/09/39/89/228093989.db2.gz WOHCEWGEGCBYQK-ZDUSSCGKSA-N 1 2 299.349 3.797 20 0 CHADLO Oc1cccc(C[N@@H+]2CCC[C@H]2c2cccnc2)c1Cl ZINC000335942746 228168757 /nfs/dbraw/zinc/16/87/57/228168757.db2.gz AHUIWBSLYALEAF-AWEZNQCLSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cccc(C[N@H+]2CCC[C@H]2c2cccnc2)c1Cl ZINC000335942746 228168758 /nfs/dbraw/zinc/16/87/58/228168758.db2.gz AHUIWBSLYALEAF-AWEZNQCLSA-N 1 2 288.778 3.778 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1Cc1cc(O)cc(F)c1 ZINC000351984572 228171286 /nfs/dbraw/zinc/17/12/86/228171286.db2.gz YCFWUSWCAPQIMO-NSHDSACASA-N 1 2 257.308 3.608 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1Cc1cc(O)cc(F)c1 ZINC000351984572 228171287 /nfs/dbraw/zinc/17/12/87/228171287.db2.gz YCFWUSWCAPQIMO-NSHDSACASA-N 1 2 257.308 3.608 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@]2(CC[C@H](C)C2)C1 ZINC000335964102 228171833 /nfs/dbraw/zinc/17/18/33/228171833.db2.gz PJFXSDLLESNAHO-SJCJKPOMSA-N 1 2 287.407 3.742 20 0 CHADLO Cc1ccc(-c2csc(C[NH2+][C@H](C)c3ccon3)n2)cc1 ZINC000351993436 228173006 /nfs/dbraw/zinc/17/30/06/228173006.db2.gz IZRDZCQGICJGIJ-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CCn1nc(C[N@@H+]2CCc3sccc3C2)c2ccccc21 ZINC000351998235 228173301 /nfs/dbraw/zinc/17/33/01/228173301.db2.gz YRUDLUIYBJOKQY-UHFFFAOYSA-N 1 2 297.427 3.676 20 0 CHADLO CCn1nc(C[N@H+]2CCc3sccc3C2)c2ccccc21 ZINC000351998235 228173302 /nfs/dbraw/zinc/17/33/02/228173302.db2.gz YRUDLUIYBJOKQY-UHFFFAOYSA-N 1 2 297.427 3.676 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@@H]1CSc2ccccc21 ZINC000336085092 228186213 /nfs/dbraw/zinc/18/62/13/228186213.db2.gz TZTFXIKUYIZJRH-CYBMUJFWSA-N 1 2 284.384 3.526 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@H](C)c1cccs1 ZINC000336084927 228186229 /nfs/dbraw/zinc/18/62/29/228186229.db2.gz GAHVVKVVVXIKRP-SNVBAGLBSA-N 1 2 260.362 3.502 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@H]2C2CCC2)c(C)[nH+]1 ZINC000336145381 228197449 /nfs/dbraw/zinc/19/74/49/228197449.db2.gz NGDBSNHOYBDOHR-HNNXBMFYSA-N 1 2 287.407 3.803 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2CCc3cc(F)c(F)c(F)c32)s1 ZINC000336209958 228214209 /nfs/dbraw/zinc/21/42/09/228214209.db2.gz QUNIXZAICFTXOX-JTQLQIEISA-N 1 2 298.333 3.646 20 0 CHADLO Fc1cncc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)c1 ZINC000336212047 228214609 /nfs/dbraw/zinc/21/46/09/228214609.db2.gz XKXNMTAPNJRZLC-HNNXBMFYSA-N 1 2 272.323 3.541 20 0 CHADLO Fc1cncc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)c1 ZINC000336212047 228214610 /nfs/dbraw/zinc/21/46/10/228214610.db2.gz XKXNMTAPNJRZLC-HNNXBMFYSA-N 1 2 272.323 3.541 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCc3cc(F)c(F)c(F)c32)cs1 ZINC000336212746 228214809 /nfs/dbraw/zinc/21/48/09/228214809.db2.gz JXQLUTDZZLAAGM-NSHDSACASA-N 1 2 298.333 3.646 20 0 CHADLO Cc1cc(C[N@H+](C)C/C=C/c2ccc(Cl)cc2)no1 ZINC000179525830 260052884 /nfs/dbraw/zinc/05/28/84/260052884.db2.gz BYKNOWYCSARIJD-ONEGZZNKSA-N 1 2 276.767 3.782 20 0 CHADLO Cc1cc(C[N@@H+](C)C/C=C/c2ccc(Cl)cc2)no1 ZINC000179525830 260052885 /nfs/dbraw/zinc/05/28/85/260052885.db2.gz BYKNOWYCSARIJD-ONEGZZNKSA-N 1 2 276.767 3.782 20 0 CHADLO CCN(CC)c1ccc(NCc2cccc(OC)c2)c[nH+]1 ZINC000037003272 260062515 /nfs/dbraw/zinc/06/25/15/260062515.db2.gz VZERDOJPOCVYEY-UHFFFAOYSA-N 1 2 285.391 3.549 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1ccc2c(c1)CCC2 ZINC000037200537 260074104 /nfs/dbraw/zinc/07/41/04/260074104.db2.gz RUEYYCKCTNMSCJ-UHFFFAOYSA-N 1 2 277.371 3.744 20 0 CHADLO CC(C)Oc1ccccc1CNc1ccc(N(C)C)[nH+]c1 ZINC000037283823 260079444 /nfs/dbraw/zinc/07/94/44/260079444.db2.gz XGVYATAGHCBQBJ-UHFFFAOYSA-N 1 2 285.391 3.547 20 0 CHADLO CCc1ncc(CNc2ccc(N(CC)CC)[nH+]c2)s1 ZINC000037924288 260120659 /nfs/dbraw/zinc/12/06/59/260120659.db2.gz CFHGZGIPZVNOLK-UHFFFAOYSA-N 1 2 290.436 3.559 20 0 CHADLO C[C@@H](Nc1cccc(-c2nccs2)c1)c1[nH+]ccn1C ZINC000070445093 260157315 /nfs/dbraw/zinc/15/73/15/260157315.db2.gz BOZBKYRYOGOBAA-LLVKDONJSA-N 1 2 284.388 3.717 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccccc1)c1ccccn1)c1nccs1 ZINC000080266434 260179245 /nfs/dbraw/zinc/17/92/45/260179245.db2.gz ZOQYONSGRUTAEU-CZUORRHYSA-N 1 2 295.411 3.978 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2ccsc2Cl)o1 ZINC000312624100 260185270 /nfs/dbraw/zinc/18/52/70/260185270.db2.gz YQIJOXAJWSCNMV-UHFFFAOYSA-N 1 2 270.785 3.550 20 0 CHADLO C[C@@H](Cc1nc(C2CCCCCC2)no1)n1cc[nH+]c1 ZINC000516870458 260207389 /nfs/dbraw/zinc/20/73/89/260207389.db2.gz CMASVOXGXANROV-LBPRGKRZSA-N 1 2 274.368 3.508 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCCOc2ccccc2)c1 ZINC000090803344 260262507 /nfs/dbraw/zinc/26/25/07/260262507.db2.gz KSUQVMSAUGJOKE-UHFFFAOYSA-N 1 2 296.292 3.981 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1C[C@@H]1CCC2(CCCC2)O1 ZINC000517967416 260330174 /nfs/dbraw/zinc/33/01/74/260330174.db2.gz NHYKLRFGPDDZJH-STQMWFEESA-N 1 2 273.367 3.598 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1C[C@@H]1CCC2(CCCC2)O1 ZINC000517967416 260330176 /nfs/dbraw/zinc/33/01/76/260330176.db2.gz NHYKLRFGPDDZJH-STQMWFEESA-N 1 2 273.367 3.598 20 0 CHADLO C/C=C\c1ccc(NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000518281539 260368752 /nfs/dbraw/zinc/36/87/52/260368752.db2.gz UNQVEJOBUMUJKT-CTGSTSKSSA-N 1 2 281.359 3.501 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+]Cc1csc(C)n1 ZINC000041010395 260599899 /nfs/dbraw/zinc/59/98/99/260599899.db2.gz AVZLVSUZQPWRLE-LLVKDONJSA-N 1 2 276.405 3.701 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C)C2CCCC2)c(C)[nH+]1 ZINC000408462319 260881363 /nfs/dbraw/zinc/88/13/63/260881363.db2.gz CZHQKWMAIFNJBY-LBPRGKRZSA-N 1 2 275.396 3.707 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccc(F)cn1 ZINC000147767417 260895290 /nfs/dbraw/zinc/89/52/90/260895290.db2.gz JRVUBWPRUFDPPO-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CCc3cc(F)ccc32)c(C)[nH+]1 ZINC000152379894 261063597 /nfs/dbraw/zinc/06/35/97/261063597.db2.gz WVYAPBZOQLGXSO-MRXNPFEDSA-N 1 2 299.349 3.647 20 0 CHADLO Cc1ccc(NC(=O)N2[C@H](C)C[C@H]3CCCC[C@@H]32)c(C)[nH+]1 ZINC000152399577 261063829 /nfs/dbraw/zinc/06/38/29/261063829.db2.gz BANPOBXUAIYKFF-XPKDYRNWSA-N 1 2 287.407 3.883 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ccc(CC(C)C)cc2)C2CC2)no1 ZINC000152571261 261066155 /nfs/dbraw/zinc/06/61/55/261066155.db2.gz KBZUETRHYPNQMK-GOSISDBHSA-N 1 2 299.418 3.817 20 0 CHADLO Cc1ccc(C)c(NC(=O)[C@@H](C)[NH+]2Cc3ccccc3C2)c1 ZINC000155464248 261102160 /nfs/dbraw/zinc/10/21/60/261102160.db2.gz IXTHTPBJKWXFAJ-OAHLLOKOSA-N 1 2 294.398 3.646 20 0 CHADLO Cc1cccc(NC(=O)[C@H](C)[NH+]2Cc3ccccc3C2)c1C ZINC000155530264 261103098 /nfs/dbraw/zinc/10/30/98/261103098.db2.gz LVUOJHIFZGQUMW-HNNXBMFYSA-N 1 2 294.398 3.646 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1ccccc1F)c1ccc(C)o1 ZINC000156360204 261121320 /nfs/dbraw/zinc/12/13/20/261121320.db2.gz IZFJNFKEOZZIAI-IUODEOHRSA-N 1 2 277.339 3.765 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCSC(C)(C)C2)s1 ZINC000448345095 261122166 /nfs/dbraw/zinc/12/21/66/261122166.db2.gz QPHZTRNTVROYBG-UHFFFAOYSA-N 1 2 284.494 3.768 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCSC(C)(C)C2)s1 ZINC000448345095 261122168 /nfs/dbraw/zinc/12/21/68/261122168.db2.gz QPHZTRNTVROYBG-UHFFFAOYSA-N 1 2 284.494 3.768 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cc(F)cc(F)c1)c1ccc(C)o1 ZINC000156455961 261122830 /nfs/dbraw/zinc/12/28/30/261122830.db2.gz BUEIBWCDMWGHFO-ABAIWWIYSA-N 1 2 295.329 3.905 20 0 CHADLO CCc1nc(CNc2[nH+]c3ccccc3n2CC)cs1 ZINC000158888723 261173769 /nfs/dbraw/zinc/17/37/69/261173769.db2.gz CKOICYJXCTWIJN-UHFFFAOYSA-N 1 2 286.404 3.687 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@H+](C)[C@H]2C=CCCC2)cs1 ZINC000174005989 261176131 /nfs/dbraw/zinc/17/61/31/261176131.db2.gz ILUSWUIGXVEONL-JSGCOSHPSA-N 1 2 280.437 3.781 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@@H+](C)[C@H]2C=CCCC2)cs1 ZINC000174005989 261176132 /nfs/dbraw/zinc/17/61/32/261176132.db2.gz ILUSWUIGXVEONL-JSGCOSHPSA-N 1 2 280.437 3.781 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(-c2cccs2)no1)c1ccccc1 ZINC000102676745 261270507 /nfs/dbraw/zinc/27/05/07/261270507.db2.gz DGLMLVQPOOIWKF-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO COc1ccc(OC(F)F)c(C[N@H+](C)Cc2ccco2)c1 ZINC000162157678 261271970 /nfs/dbraw/zinc/27/19/70/261271970.db2.gz AUBLOZNEAGAXHC-UHFFFAOYSA-N 1 2 297.301 3.522 20 0 CHADLO COc1ccc(OC(F)F)c(C[N@@H+](C)Cc2ccco2)c1 ZINC000162157678 261271971 /nfs/dbraw/zinc/27/19/71/261271971.db2.gz AUBLOZNEAGAXHC-UHFFFAOYSA-N 1 2 297.301 3.522 20 0 CHADLO CCc1nc(C[NH2+]C(C)(C)c2ccccc2OC)cs1 ZINC000103171880 261276348 /nfs/dbraw/zinc/27/63/48/261276348.db2.gz KPBZMVBMORXXKR-UHFFFAOYSA-N 1 2 290.432 3.739 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2cncs2)cs1 ZINC000162324708 261277628 /nfs/dbraw/zinc/27/76/28/261277628.db2.gz BHGOSKGHDMHRTG-DTWKUNHWSA-N 1 2 267.423 3.574 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2ccco2)cs1 ZINC000162374152 261279729 /nfs/dbraw/zinc/27/97/29/261279729.db2.gz ZLURSWSTEDZOBC-NXEZZACHSA-N 1 2 250.367 3.710 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2cnn(C(C)C)c2)cs1 ZINC000162406890 261280162 /nfs/dbraw/zinc/28/01/62/261280162.db2.gz OWUXDLFKVZEUGG-RYUDHWBXSA-N 1 2 292.452 3.895 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+]1CCC[C@@H]1c1ccncc1 ZINC000162753855 261293024 /nfs/dbraw/zinc/29/30/24/261293024.db2.gz TUYUXAXMWGAZIF-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+]1CCC[C@@H]1c1ccncc1 ZINC000162753855 261293021 /nfs/dbraw/zinc/29/30/21/261293021.db2.gz TUYUXAXMWGAZIF-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO CCCC(CCC)[S@@](=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000176640319 261302362 /nfs/dbraw/zinc/30/23/62/261302362.db2.gz MBHWAISASPZYPZ-FQEVSTJZSA-N 1 2 292.448 3.860 20 0 CHADLO C[C@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1cccc(O)c1 ZINC000176904339 261317913 /nfs/dbraw/zinc/31/79/13/261317913.db2.gz SMYPBVYPPGNPFO-VIFPVBQESA-N 1 2 282.265 3.979 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCCOC(C)C ZINC000301730418 261339712 /nfs/dbraw/zinc/33/97/12/261339712.db2.gz OPYKHEGFMVVTDE-UHFFFAOYSA-N 1 2 258.365 3.770 20 0 CHADLO C[C@H]([NH2+]Cc1ncccn1)c1cc(Cl)ccc1Cl ZINC000361762755 261389918 /nfs/dbraw/zinc/38/99/18/261389918.db2.gz CINCLFHZRHDHPI-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+]Cc2ccoc2)cs1 ZINC000172341745 261498161 /nfs/dbraw/zinc/49/81/61/261498161.db2.gz IUMQICLXQCYPHW-SNVBAGLBSA-N 1 2 250.367 3.710 20 0 CHADLO C[N@H+](Cc1ccccn1)Cc1ccc(F)cc1Cl ZINC000107063537 261876350 /nfs/dbraw/zinc/87/63/50/261876350.db2.gz BOLQWOGTPSMMAC-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccn1)Cc1ccc(F)cc1Cl ZINC000107063537 261876352 /nfs/dbraw/zinc/87/63/52/261876352.db2.gz BOLQWOGTPSMMAC-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cc(Cl)cc(Cl)c1 ZINC000107289971 261882893 /nfs/dbraw/zinc/88/28/93/261882893.db2.gz RJWBPCQUPVLCQP-UHFFFAOYSA-N 1 2 281.142 3.949 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@@H](C)CC(C)C)ccc2n1C ZINC000525724386 262006339 /nfs/dbraw/zinc/00/63/39/262006339.db2.gz LNGADPRDIPLNHU-LBPRGKRZSA-N 1 2 287.407 3.893 20 0 CHADLO Cc1cc(N2CC3(C2)CCCCC3)nc(-c2ccncc2)[nH+]1 ZINC000363909793 262024686 /nfs/dbraw/zinc/02/46/86/262024686.db2.gz SBPWPGSSCUSDPV-UHFFFAOYSA-N 1 2 294.402 3.618 20 0 CHADLO Cc1cc[nH+]c(NC[C@H]2CCCSC2)c1Cl ZINC000309875348 262043917 /nfs/dbraw/zinc/04/39/17/262043917.db2.gz SIZSGWCMRVTAIH-SNVBAGLBSA-N 1 2 256.802 3.599 20 0 CHADLO C[C@H]([NH2+]C/C=C/Cl)c1nc(C2CCCCC2)no1 ZINC000185269808 262048432 /nfs/dbraw/zinc/04/84/32/262048432.db2.gz JXEALDUPGPUZMW-YVFTVSHDSA-N 1 2 269.776 3.520 20 0 CHADLO Oc1cc(F)cc(C[N@@H+]2CCCC[C@@H]2c2nccs2)c1 ZINC000186205941 262084358 /nfs/dbraw/zinc/08/43/58/262084358.db2.gz FMIHSNJQTMOSMN-CQSZACIVSA-N 1 2 292.379 3.715 20 0 CHADLO Oc1cc(F)cc(C[N@H+]2CCCC[C@@H]2c2nccs2)c1 ZINC000186205941 262084359 /nfs/dbraw/zinc/08/43/59/262084359.db2.gz FMIHSNJQTMOSMN-CQSZACIVSA-N 1 2 292.379 3.715 20 0 CHADLO Cc1ccccc1C[N@@H+]1CCSC[C@@H]1c1nccs1 ZINC000186631854 262102851 /nfs/dbraw/zinc/10/28/51/262102851.db2.gz WSUDSTDEGHCGRT-CQSZACIVSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1ccccc1C[N@H+]1CCSC[C@@H]1c1nccs1 ZINC000186631854 262102853 /nfs/dbraw/zinc/10/28/53/262102853.db2.gz WSUDSTDEGHCGRT-CQSZACIVSA-N 1 2 290.457 3.742 20 0 CHADLO Fc1cc(F)cc(CNc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000119230029 262154042 /nfs/dbraw/zinc/15/40/42/262154042.db2.gz KEYKQZAWNBHBOO-UHFFFAOYSA-N 1 2 289.329 3.572 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1cccc(F)c1Br ZINC000310505799 262162629 /nfs/dbraw/zinc/16/26/29/262162629.db2.gz KKYNXFWSKDAGGW-UHFFFAOYSA-N 1 2 298.155 3.779 20 0 CHADLO COC(=O)[C@H]([NH2+]CCCCc1ccccc1)c1ccccc1 ZINC000319299684 262193870 /nfs/dbraw/zinc/19/38/70/262193870.db2.gz OMWSXTJKEATJKC-GOSISDBHSA-N 1 2 297.398 3.513 20 0 CHADLO c1nc(CNc2ccc([N@@H+]3C[C@H]4CC[C@@H]3C4)cc2)cs1 ZINC000192216144 262199884 /nfs/dbraw/zinc/19/98/84/262199884.db2.gz GAXHKPYZWAZNIP-BLLLJJGKSA-N 1 2 285.416 3.744 20 0 CHADLO c1nc(CNc2ccc([N@H+]3C[C@H]4CC[C@@H]3C4)cc2)cs1 ZINC000192216144 262199885 /nfs/dbraw/zinc/19/98/85/262199885.db2.gz GAXHKPYZWAZNIP-BLLLJJGKSA-N 1 2 285.416 3.744 20 0 CHADLO CC[C@@H]1C[C@]1([NH2+]Cc1noc(C(C)C)n1)c1cccc(C)c1 ZINC000502580757 262222272 /nfs/dbraw/zinc/22/22/72/262222272.db2.gz JCMGVIKVRWETRJ-RDTXWAMCSA-N 1 2 299.418 3.916 20 0 CHADLO C(=C/c1ccccc1)\C[N@@H+]1CCOC[C@H]1c1ccccc1 ZINC000525781306 262227101 /nfs/dbraw/zinc/22/71/01/262227101.db2.gz SANGPSDMJXXRSC-VQFGERMISA-N 1 2 279.383 3.773 20 0 CHADLO C(=C/c1ccccc1)\C[N@H+]1CCOC[C@H]1c1ccccc1 ZINC000525781306 262227102 /nfs/dbraw/zinc/22/71/02/262227102.db2.gz SANGPSDMJXXRSC-VQFGERMISA-N 1 2 279.383 3.773 20 0 CHADLO Cc1cc(F)ccc1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000120734930 262228897 /nfs/dbraw/zinc/22/88/97/262228897.db2.gz RYRYUOLJRCBBEB-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO OCCC[N@H+](C[C@@H]1CCCC(F)(F)C1)c1ccccc1 ZINC000514090543 262238125 /nfs/dbraw/zinc/23/81/25/262238125.db2.gz RFKXXFBUCQLREJ-CQSZACIVSA-N 1 2 283.362 3.701 20 0 CHADLO OCCC[N@@H+](C[C@@H]1CCCC(F)(F)C1)c1ccccc1 ZINC000514090543 262238127 /nfs/dbraw/zinc/23/81/27/262238127.db2.gz RFKXXFBUCQLREJ-CQSZACIVSA-N 1 2 283.362 3.701 20 0 CHADLO CN(Cc1c(F)cccc1Cl)c1[nH]c2ccccc2[nH+]1 ZINC000497964920 262241238 /nfs/dbraw/zinc/24/12/38/262241238.db2.gz LCMDLVHNFHGFDY-UHFFFAOYSA-N 1 2 289.741 3.992 20 0 CHADLO COc1ccc(-c2[nH]c3cc(C)c(OC)cc3[nH+]2)cc1 ZINC000446159567 262288269 /nfs/dbraw/zinc/28/82/69/262288269.db2.gz ZKBGWXWMAFBVOE-UHFFFAOYSA-N 1 2 268.316 3.556 20 0 CHADLO C[C@@H]1C[C@H]1C[N@@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000488587789 262378803 /nfs/dbraw/zinc/37/88/03/262378803.db2.gz ZXRYPPKPEUXYEJ-ZETOZRRWSA-N 1 2 283.774 3.508 20 0 CHADLO C[C@@H]1C[C@H]1C[N@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000488587789 262378804 /nfs/dbraw/zinc/37/88/04/262378804.db2.gz ZXRYPPKPEUXYEJ-ZETOZRRWSA-N 1 2 283.774 3.508 20 0 CHADLO Fc1cccc(F)c1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414852904 263070192 /nfs/dbraw/zinc/07/01/92/263070192.db2.gz QWWOFVPPJNXHDI-UHFFFAOYSA-N 1 2 274.314 3.935 20 0 CHADLO COc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)cc1OC ZINC000414855184 263071711 /nfs/dbraw/zinc/07/17/11/263071711.db2.gz VXUVWXWOPBOHRW-UHFFFAOYSA-N 1 2 298.386 3.674 20 0 CHADLO CCc1ncc(CNc2ccc3c(c2)CCC[NH2+]3)s1 ZINC000414855653 263071937 /nfs/dbraw/zinc/07/19/37/263071937.db2.gz XBMDXNANBTVFJW-UHFFFAOYSA-N 1 2 273.405 3.676 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cc(F)c(Cl)cc2F)no1 ZINC000285146128 263090459 /nfs/dbraw/zinc/09/04/59/263090459.db2.gz LZOLIFXTJUHTMO-QMMMGPOBSA-N 1 2 286.709 3.765 20 0 CHADLO CC(C)n1ncnc1C[N@H+](C(C)C)[C@@H](C)c1ccccc1 ZINC000292300587 263130829 /nfs/dbraw/zinc/13/08/29/263130829.db2.gz UVDFQEJAWGOBHJ-HNNXBMFYSA-N 1 2 286.423 3.831 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](C(C)C)[C@@H](C)c1ccccc1 ZINC000292300587 263130830 /nfs/dbraw/zinc/13/08/30/263130830.db2.gz UVDFQEJAWGOBHJ-HNNXBMFYSA-N 1 2 286.423 3.831 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccccc2OC(F)F)c1 ZINC000213622716 263136703 /nfs/dbraw/zinc/13/67/03/263136703.db2.gz QZAOATXITKXEIV-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1cccc([C@H](C)OC)c1 ZINC000453189472 263199894 /nfs/dbraw/zinc/19/98/94/263199894.db2.gz RVHLQBUUYPZNOK-OLZOCXBDSA-N 1 2 273.380 3.784 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCSc2ccc(F)cc21)C(C)(F)F ZINC000453277538 263216054 /nfs/dbraw/zinc/21/60/54/263216054.db2.gz DJTJQUYYKHQBEJ-KCJUWKMLSA-N 1 2 275.339 3.996 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nnc3n2CCCCC3)cc1 ZINC000299567245 263247204 /nfs/dbraw/zinc/24/72/04/263247204.db2.gz GLQQBXYGCLCENP-OAHLLOKOSA-N 1 2 298.434 3.506 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nnc3n2CCCCC3)cc1 ZINC000299567245 263247205 /nfs/dbraw/zinc/24/72/05/263247205.db2.gz GLQQBXYGCLCENP-OAHLLOKOSA-N 1 2 298.434 3.506 20 0 CHADLO Cc1nc(C2([NH2+]Cc3ccc(C)cc3)CCCCC2)no1 ZINC000299569044 263247669 /nfs/dbraw/zinc/24/76/69/263247669.db2.gz GMEXRHOAHWCWKP-UHFFFAOYSA-N 1 2 285.391 3.636 20 0 CHADLO C[C@H]1CCN(C(=O)c2ccccc2Oc2cc[nH+]cc2)[C@H]1C ZINC000334177926 263499122 /nfs/dbraw/zinc/49/91/22/263499122.db2.gz CCEVVCJXZNYDAH-KBPBESRZSA-N 1 2 296.370 3.744 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2ccc(C(C)(C)C)cc2)no1 ZINC000330703120 264017584 /nfs/dbraw/zinc/01/75/84/264017584.db2.gz KNVHJWRWOVLVKR-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)CCC=C(C)C)c1ccccc1 ZINC000428077547 264036511 /nfs/dbraw/zinc/03/65/11/264036511.db2.gz PHLDNTIPUBEWGF-GOEBONIOSA-N 1 2 275.392 3.625 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)CCC=C(C)C)c1ccccc1 ZINC000428077546 264036854 /nfs/dbraw/zinc/03/68/54/264036854.db2.gz PHLDNTIPUBEWGF-GDBMZVCRSA-N 1 2 275.392 3.625 20 0 CHADLO CC(C)n1c[nH+]cc1CN1C[C@@H](C)c2ccccc21 ZINC000425358835 264140155 /nfs/dbraw/zinc/14/01/55/264140155.db2.gz RDUYLVTWIZRZSO-CYBMUJFWSA-N 1 2 255.365 3.588 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCCc2ccc(F)cc21 ZINC000425362136 264148766 /nfs/dbraw/zinc/14/87/66/264148766.db2.gz URRYXXWPCKRPLD-UHFFFAOYSA-N 1 2 273.355 3.556 20 0 CHADLO CC(C)[C@@H](NC(=O)[C@H]1C[C@@H](C)CC(C)(C)C1)c1[nH]cc[nH+]1 ZINC000331204156 264160538 /nfs/dbraw/zinc/16/05/38/264160538.db2.gz YEPKNKBEBCNLRH-HZSPNIEDSA-N 1 2 291.439 3.685 20 0 CHADLO CC(C)[C@@H](NC(=O)[C@@H]1C[C@@H](C)CC(C)(C)C1)c1[nH]cc[nH+]1 ZINC000331204158 264160924 /nfs/dbraw/zinc/16/09/24/264160924.db2.gz YEPKNKBEBCNLRH-MGPQQGTHSA-N 1 2 291.439 3.685 20 0 CHADLO CC(C)n1c[nH+]cc1CN1C[C@@H](C)CN(C)c2ccccc21 ZINC000425376463 264215863 /nfs/dbraw/zinc/21/58/63/264215863.db2.gz FGJZOVJUDVAKJK-HNNXBMFYSA-N 1 2 298.434 3.557 20 0 CHADLO Cc1ccc(N[C@@H](C)c2[nH+]ccn2C)cc1Br ZINC000070445524 264236378 /nfs/dbraw/zinc/23/63/78/264236378.db2.gz DJXDSYFKLWDXHF-JTQLQIEISA-N 1 2 294.196 3.664 20 0 CHADLO CCc1[nH+]c2ccccc2n1C[C@@H](O)CC1CCCC1 ZINC000188645932 264238325 /nfs/dbraw/zinc/23/83/25/264238325.db2.gz XZQYJNGLYUGTBX-AWEZNQCLSA-N 1 2 272.392 3.540 20 0 CHADLO CC[N@H+](Cc1nc(C(F)F)no1)[C@@H](C)c1ccc(F)cc1 ZINC000425399406 264276891 /nfs/dbraw/zinc/27/68/91/264276891.db2.gz BDQYUUQUMZQIDA-VIFPVBQESA-N 1 2 299.296 3.729 20 0 CHADLO CC[N@@H+](Cc1nc(C(F)F)no1)[C@@H](C)c1ccc(F)cc1 ZINC000425399406 264276893 /nfs/dbraw/zinc/27/68/93/264276893.db2.gz BDQYUUQUMZQIDA-VIFPVBQESA-N 1 2 299.296 3.729 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccc(Cl)cc1F)c1ccc(C)o1 ZINC000189185683 264281334 /nfs/dbraw/zinc/28/13/34/264281334.db2.gz ZPRPXEUDGVNUSU-CQSZACIVSA-N 1 2 297.757 3.858 20 0 CHADLO Cc1cc(C(=O)Nc2ccc3[nH]c(C4CCC4)[nH+]c3c2)co1 ZINC000189266405 264289523 /nfs/dbraw/zinc/28/95/23/264289523.db2.gz ZITDCIGDMVQJFN-UHFFFAOYSA-N 1 2 295.342 3.984 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc(Cl)cc2F)sc1C ZINC000189368371 264293832 /nfs/dbraw/zinc/29/38/32/264293832.db2.gz GRGKOENFTVJRNV-UHFFFAOYSA-N 1 2 284.787 3.842 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCCC[C@H]2C)c(C)[nH+]1 ZINC000425419329 264296603 /nfs/dbraw/zinc/29/66/03/264296603.db2.gz PVZBOLWFRWDBJK-QMTHXVAHSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1cccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000073637443 264297358 /nfs/dbraw/zinc/29/73/58/264297358.db2.gz ZWTJJSHUJIPDDU-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO COc1ccccc1[C@H]1CC[C@@H](C)CN1c1cc(C)[nH+]cn1 ZINC000343275298 264311668 /nfs/dbraw/zinc/31/16/68/264311668.db2.gz SXMRHPYDMNQMAY-CZUORRHYSA-N 1 2 297.402 3.771 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(F)F)no1)c1ccc(Cl)cc1 ZINC000425426095 264314479 /nfs/dbraw/zinc/31/44/79/264314479.db2.gz QECNJSAIZHRQBQ-ZETCQYMHSA-N 1 2 287.697 3.511 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)c(F)c1)c1cscn1 ZINC000162298629 264317972 /nfs/dbraw/zinc/31/79/72/264317972.db2.gz XLLSGHBJENNOCD-IUCAKERBSA-N 1 2 268.332 3.833 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]Cc2nc(C(F)F)no2)cc1 ZINC000425441555 264323273 /nfs/dbraw/zinc/32/32/73/264323273.db2.gz GSZJLBVQSAROQE-QMMMGPOBSA-N 1 2 299.346 3.580 20 0 CHADLO C[C@H]([NH2+]Cc1csnn1)c1cc(Cl)ccc1Cl ZINC000190043916 264345345 /nfs/dbraw/zinc/34/53/45/264345345.db2.gz PLZNPWBTKYQBML-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H](C)c3ccccc3F)ccc2[nH+]1 ZINC000343288257 264368246 /nfs/dbraw/zinc/36/82/46/264368246.db2.gz IDMPGXWYZRWKIE-GFCCVEGCSA-N 1 2 297.333 3.524 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(Cl)c(Cl)c1 ZINC000093326673 264547757 /nfs/dbraw/zinc/54/77/57/264547757.db2.gz XPHYMTPUZJEEIT-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO CCCC[C@H](CC)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000345965115 265103890 /nfs/dbraw/zinc/10/38/90/265103890.db2.gz RCDWHLVCGBVVGU-HRCADAONSA-N 1 2 291.439 3.509 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccn(C(F)F)n2)[C@@H](c2ccco2)C1 ZINC000347707785 265136540 /nfs/dbraw/zinc/13/65/40/265136540.db2.gz RLCPDWRAESRDPC-WCQYABFASA-N 1 2 295.333 3.844 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccn(C(F)F)n2)[C@@H](c2ccco2)C1 ZINC000347707785 265136545 /nfs/dbraw/zinc/13/65/45/265136545.db2.gz RLCPDWRAESRDPC-WCQYABFASA-N 1 2 295.333 3.844 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1nccc(C)n1 ZINC000347990954 265141392 /nfs/dbraw/zinc/14/13/92/265141392.db2.gz BAEMOGPMZFNOEG-ZDUSSCGKSA-N 1 2 281.359 3.690 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CCc3cccc(Cl)c3C2)o1 ZINC000335587317 265160092 /nfs/dbraw/zinc/16/00/92/265160092.db2.gz RGSBEXOAWOPWDE-LLVKDONJSA-N 1 2 276.767 3.756 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CCc3cccc(Cl)c3C2)o1 ZINC000335587317 265160098 /nfs/dbraw/zinc/16/00/98/265160098.db2.gz RGSBEXOAWOPWDE-LLVKDONJSA-N 1 2 276.767 3.756 20 0 CHADLO Cc1ccc(Cc2noc(-c3coc4ccccc34)n2)c[nH+]1 ZINC000356168663 266061098 /nfs/dbraw/zinc/06/10/98/266061098.db2.gz KEFHKYWOYGKRIG-UHFFFAOYSA-N 1 2 291.310 3.777 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+][C@@H](C)c2ncc(C)o2)c1 ZINC000356293881 266090192 /nfs/dbraw/zinc/09/01/92/266090192.db2.gz XLACMIXTAXOPLL-OLZOCXBDSA-N 1 2 274.364 3.794 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N[C@@H]3CCCCC3(C)C)cc2n1C ZINC000356383236 266105046 /nfs/dbraw/zinc/10/50/46/266105046.db2.gz SUUJIJXKXFGFAA-MRXNPFEDSA-N 1 2 299.418 3.580 20 0 CHADLO c1cn(-c2cccc(-c3noc([C@H]4CC=CCC4)n3)c2)c[nH+]1 ZINC000356637504 266152027 /nfs/dbraw/zinc/15/20/27/266152027.db2.gz DXQUVYKFSOLNBA-ZDUSSCGKSA-N 1 2 292.342 3.746 20 0 CHADLO O=C(C/C=C/c1ccc(F)cc1)Nc1cccc2[nH+]ccn21 ZINC000356692721 266160887 /nfs/dbraw/zinc/16/08/87/266160887.db2.gz HTOCTAMHOTWYIG-HNQUOIGGSA-N 1 2 295.317 3.515 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(-c3ccccc3)s2)n1 ZINC000356891116 266207514 /nfs/dbraw/zinc/20/75/14/266207514.db2.gz HQIVZCCHTRVMHV-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ncccc2CC)cs1 ZINC000356905075 266209931 /nfs/dbraw/zinc/20/99/31/266209931.db2.gz UIVJBKNVJIUTLF-LLVKDONJSA-N 1 2 275.421 3.514 20 0 CHADLO CC(C)[C@H]1C[C@H](Nc2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000357204018 266265238 /nfs/dbraw/zinc/26/52/38/266265238.db2.gz OOWMWPIOLFLNCB-GDBMZVCRSA-N 1 2 288.366 3.989 20 0 CHADLO CC(C)[C@@H]1C[C@@H](Nc2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000357204020 266265448 /nfs/dbraw/zinc/26/54/48/266265448.db2.gz OOWMWPIOLFLNCB-HOCLYGCPSA-N 1 2 288.366 3.989 20 0 CHADLO FC1=CCC[N@H+](Cc2c[nH]nc2-c2ccc(Cl)cc2)C1 ZINC000357212110 266266494 /nfs/dbraw/zinc/26/64/94/266266494.db2.gz JFBWSDKFMHNREA-UHFFFAOYSA-N 1 2 291.757 3.789 20 0 CHADLO FC1=CCC[N@@H+](Cc2c[nH]nc2-c2ccc(Cl)cc2)C1 ZINC000357212110 266266495 /nfs/dbraw/zinc/26/64/95/266266495.db2.gz JFBWSDKFMHNREA-UHFFFAOYSA-N 1 2 291.757 3.789 20 0 CHADLO CCN(Cc1cn2c(cccc2C)[nH+]1)c1cccc(OC)c1 ZINC000357937193 266387017 /nfs/dbraw/zinc/38/70/17/266387017.db2.gz SLHVNSDAYKLZOW-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1scc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c1C ZINC000358545477 266474615 /nfs/dbraw/zinc/47/46/15/266474615.db2.gz NSMGGBLWNJBPDP-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO C[C@H]([NH2+][C@H]1CCOc2c(F)ccc(F)c21)c1ccccn1 ZINC000361186879 266798344 /nfs/dbraw/zinc/79/83/44/266798344.db2.gz HKXJBHJXDKJKIF-HZMBPMFUSA-N 1 2 290.313 3.534 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1cnn3ccccc13)C2 ZINC000361724994 266875231 /nfs/dbraw/zinc/87/52/31/266875231.db2.gz DWRFFWHRVSFERV-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1cnn3ccccc13)C2 ZINC000361724994 266875232 /nfs/dbraw/zinc/87/52/32/266875232.db2.gz DWRFFWHRVSFERV-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Fc1ccc2cc[nH+]c(NCc3cn4ccsc4n3)c2c1 ZINC000362570664 267008457 /nfs/dbraw/zinc/00/84/57/267008457.db2.gz SFQFMECZXKGWQC-UHFFFAOYSA-N 1 2 298.346 3.695 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc3ncsc3c2)oc1C ZINC000362576355 267009654 /nfs/dbraw/zinc/00/96/54/267009654.db2.gz NBJZUFSHOAJQAG-SNVBAGLBSA-N 1 2 287.388 3.752 20 0 CHADLO CCn1cc[nH+]c1CN1CC[C@H]1c1ccc(Cl)cc1 ZINC000362880268 267056703 /nfs/dbraw/zinc/05/67/03/267056703.db2.gz YKWQMRGPZMRDJA-AWEZNQCLSA-N 1 2 275.783 3.503 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)c3ccoc3)c2)[nH+]c1C ZINC000363115934 267075260 /nfs/dbraw/zinc/07/52/60/267075260.db2.gz YKKZFRIDIQOCNC-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367587934 267102295 /nfs/dbraw/zinc/10/22/95/267102295.db2.gz KTZMXCXLWWHYPI-OAHLLOKOSA-N 1 2 281.359 3.632 20 0 CHADLO CC1(C)C[C@@H]([NH2+][C@@H]2CCCc3[nH]ncc32)c2ccccc21 ZINC000367612621 267104661 /nfs/dbraw/zinc/10/46/61/267104661.db2.gz DPCSANHLMZHPLQ-NVXWUHKLSA-N 1 2 281.403 3.799 20 0 CHADLO Cc1cc(NC(=O)C[C@H](C)c2ccccc2C)cc[nH+]1 ZINC000116199437 267127775 /nfs/dbraw/zinc/12/77/75/267127775.db2.gz APVGSMMYMXHKDO-ZDUSSCGKSA-N 1 2 268.360 3.831 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2c3ccc(F)cc3O[C@H]2C)cs1 ZINC000368584718 267189122 /nfs/dbraw/zinc/18/91/22/267189122.db2.gz NWFJWKXQTIBJSH-UTEYVOQOSA-N 1 2 292.379 3.763 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2nccs2)c2ccccn2)cc1 ZINC000369289968 267232462 /nfs/dbraw/zinc/23/24/62/267232462.db2.gz HCWBWQAZCXJKFG-KRWDZBQOSA-N 1 2 295.411 3.726 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1cccnc1 ZINC000119090618 267295826 /nfs/dbraw/zinc/29/58/26/267295826.db2.gz LHBDMPZWAXUMEZ-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1cccnc1 ZINC000119089449 267296208 /nfs/dbraw/zinc/29/62/08/267296208.db2.gz DEOVRGPQVYYGHD-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO CSc1cccc(NC(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000119229687 267312565 /nfs/dbraw/zinc/31/25/65/267312565.db2.gz KCTXWAGVBLHNHQ-UHFFFAOYSA-N 1 2 273.361 3.756 20 0 CHADLO O=C(Nc1cccc2ccccc21)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000370483167 267321678 /nfs/dbraw/zinc/32/16/78/267321678.db2.gz IIKQDECWRAGMBH-AWEZNQCLSA-N 1 2 291.354 3.622 20 0 CHADLO CCC[C@H]1[C@@H](C)CCCN1C(=O)Nc1cc[nH+]c(C)c1 ZINC000119483151 267338822 /nfs/dbraw/zinc/33/88/22/267338822.db2.gz CUZYKNQEYGRKEJ-WFASDCNBSA-N 1 2 275.396 3.823 20 0 CHADLO COc1ccncc1C[N@@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000376856778 268024559 /nfs/dbraw/zinc/02/45/59/268024559.db2.gz HEDRVTXNXBBZEU-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO COc1ccncc1C[N@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000376856778 268024560 /nfs/dbraw/zinc/02/45/60/268024560.db2.gz HEDRVTXNXBBZEU-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO CO[C@@H]1CCCN(c2cc(C)[nH+]c3c(F)cccc23)CC1 ZINC000376868768 268026527 /nfs/dbraw/zinc/02/65/27/268026527.db2.gz GZZIJQLCKXOMEX-CYBMUJFWSA-N 1 2 288.366 3.688 20 0 CHADLO CC1CCC(N(C)C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000172037958 335090927 /nfs/dbraw/zinc/09/09/27/335090927.db2.gz FUTHFVYTHURBSQ-UHFFFAOYSA-N 1 2 297.402 3.523 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)NC(C)(C)c1[nH]cc(C)[nH+]1 ZINC000570854430 327627093 /nfs/dbraw/zinc/62/70/93/327627093.db2.gz OIJKRJFIBIKWGJ-CQSZACIVSA-N 1 2 291.439 3.676 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21 ZINC000580077630 327693502 /nfs/dbraw/zinc/69/35/02/327693502.db2.gz ILXLGKHZLIZTAT-KKUMJFAQSA-N 1 2 289.423 3.778 20 0 CHADLO Cc1ccc(C[S@](=O)c2ccc(C)c(C)c2)c(C)[nH+]1 ZINC000187060959 327707623 /nfs/dbraw/zinc/70/76/23/327707623.db2.gz RWQNAIJVCXQWQX-IBGZPJMESA-N 1 2 273.401 3.623 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccc(Br)c(F)c1 ZINC000378806228 327758712 /nfs/dbraw/zinc/75/87/12/327758712.db2.gz YERCUKLBPYNHPP-SSDOTTSWSA-N 1 2 296.130 3.894 20 0 CHADLO Cc1ccc(NC(=O)N(C)[C@@H]2CCc3ccccc32)c(C)[nH+]1 ZINC000176780008 327786200 /nfs/dbraw/zinc/78/62/00/327786200.db2.gz UBMOUXYMUPTOFS-QGZVFWFLSA-N 1 2 295.386 3.850 20 0 CHADLO FC(F)(F)[C@H](CNc1cccc[nH+]1)Cc1ccccc1 ZINC000582035392 327812704 /nfs/dbraw/zinc/81/27/04/327812704.db2.gz BGUBWPSZMLQARK-ZDUSSCGKSA-N 1 2 280.293 3.915 20 0 CHADLO O=C(C[NH+]1C2CCC1CC2)Nc1cccc(Cl)c1Cl ZINC000531342226 327900552 /nfs/dbraw/zinc/90/05/52/327900552.db2.gz SFSOJIRJOZRLQR-UHFFFAOYSA-N 1 2 299.201 3.559 20 0 CHADLO COc1ccccc1SCCc1cccc(C)[nH+]1 ZINC000564570030 327914092 /nfs/dbraw/zinc/91/40/92/327914092.db2.gz PUBCEZKVTFNUDR-UHFFFAOYSA-N 1 2 259.374 3.733 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1nnc(C)s1 ZINC000274241876 327977364 /nfs/dbraw/zinc/97/73/64/327977364.db2.gz QYAHSXFHOWPCAP-MNOVXSKESA-N 1 2 291.420 3.657 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@H](C)[C@H]3CCCC[C@H]32)[nH+]1 ZINC000531531361 328015064 /nfs/dbraw/zinc/01/50/64/328015064.db2.gz DTCAHSIOCWWFLL-NJZAAPMLSA-N 1 2 284.407 3.671 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)[C@@H]1CC12CCCCC2 ZINC000531653286 328021772 /nfs/dbraw/zinc/02/17/72/328021772.db2.gz DQSHLODOPWWYAD-HNNXBMFYSA-N 1 2 295.386 3.986 20 0 CHADLO Cc1ccc(NC(=O)NCc2ccc([C@@H]3C[C@@H]3C)o2)c(C)[nH+]1 ZINC000531776850 328034026 /nfs/dbraw/zinc/03/40/26/328034026.db2.gz WVWQSIJOYCFSLA-IINYFYTJSA-N 1 2 299.374 3.737 20 0 CHADLO Clc1cccnc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000531778168 328034228 /nfs/dbraw/zinc/03/42/28/328034228.db2.gz DAOUYVMZEJGLLC-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO CCCC[C@H]([NH2+][C@@H]1CCc2c1cccc2Cl)C(=O)OC ZINC000534243047 328041751 /nfs/dbraw/zinc/04/17/51/328041751.db2.gz JWNGFSVMQFHGLM-CABCVRRESA-N 1 2 295.810 3.649 20 0 CHADLO Cc1cc(NC(=O)c2cc(Cl)ccc2Cl)c(C)c[nH+]1 ZINC000534299832 328043989 /nfs/dbraw/zinc/04/39/89/328043989.db2.gz FLXHDFWRMYLLDV-UHFFFAOYSA-N 1 2 295.169 3.679 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](c2ccccc2)C(C)C)c(C)c[nH+]1 ZINC000534325997 328045803 /nfs/dbraw/zinc/04/58/03/328045803.db2.gz QZVJWBHWJXLOGF-QGZVFWFLSA-N 1 2 297.402 3.639 20 0 CHADLO Cc1[nH]c(CNc2cc(C(F)(F)F)ccc2C)[nH+]c1C ZINC000563441944 328066394 /nfs/dbraw/zinc/06/63/94/328066394.db2.gz UYTYCSCECGVEHM-UHFFFAOYSA-N 1 2 283.297 3.966 20 0 CHADLO c1csc([C@H]2CCC[N@H+]2Cc2ccsc2)n1 ZINC000378339700 329046377 /nfs/dbraw/zinc/04/63/77/329046377.db2.gz YAQAHVKIPYIUCY-LLVKDONJSA-N 1 2 250.392 3.542 20 0 CHADLO c1csc([C@H]2CCC[N@@H+]2Cc2ccsc2)n1 ZINC000378339700 329046379 /nfs/dbraw/zinc/04/63/79/329046379.db2.gz YAQAHVKIPYIUCY-LLVKDONJSA-N 1 2 250.392 3.542 20 0 CHADLO Cc1ccc(NC(=O)N(C)Cc2ccccc2C)c(C)[nH+]1 ZINC000152238287 328082294 /nfs/dbraw/zinc/08/22/94/328082294.db2.gz RYMWEZMBSFTDSC-UHFFFAOYSA-N 1 2 283.375 3.671 20 0 CHADLO COc1cc(CNc2[nH+]ccc(C)c2Cl)ccc1C ZINC000571270805 328096618 /nfs/dbraw/zinc/09/66/18/328096618.db2.gz GATHYPHRKUUAFP-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO CC[C@H](NC(=O)NCc1c[nH+]c(C)cc1C)c1ccccc1 ZINC000413607871 328150204 /nfs/dbraw/zinc/15/02/04/328150204.db2.gz RVRGVDQHNVHKSJ-KRWDZBQOSA-N 1 2 297.402 3.649 20 0 CHADLO CCc1ncc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000414367770 328156171 /nfs/dbraw/zinc/15/61/71/328156171.db2.gz FJXUEYZKNGOKNH-NSHDSACASA-N 1 2 291.345 3.510 20 0 CHADLO CCc1ncc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000414367770 328156172 /nfs/dbraw/zinc/15/61/72/328156172.db2.gz FJXUEYZKNGOKNH-NSHDSACASA-N 1 2 291.345 3.510 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@@H](C)c1nccs1 ZINC000287500386 328251522 /nfs/dbraw/zinc/25/15/22/328251522.db2.gz NRPSVZIGKMRHNW-VIFPVBQESA-N 1 2 282.409 3.855 20 0 CHADLO C[N@H+](Cc1csc(Cl)n1)[C@H]1CCc2ccccc21 ZINC000290106586 328258190 /nfs/dbraw/zinc/25/81/90/328258190.db2.gz SLHJLBCRMQDTHX-ZDUSSCGKSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1csc(Cl)n1)[C@H]1CCc2ccccc21 ZINC000290106586 328258191 /nfs/dbraw/zinc/25/81/91/328258191.db2.gz SLHJLBCRMQDTHX-ZDUSSCGKSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1ccc(F)c(C[N@H+](Cc2ccccn2)C2CC2)c1 ZINC000290595499 328259869 /nfs/dbraw/zinc/25/98/69/328259869.db2.gz VOVPNCZBEISWEO-UHFFFAOYSA-N 1 2 270.351 3.694 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](Cc2ccccn2)C2CC2)c1 ZINC000290595499 328259870 /nfs/dbraw/zinc/25/98/70/328259870.db2.gz VOVPNCZBEISWEO-UHFFFAOYSA-N 1 2 270.351 3.694 20 0 CHADLO c1cc([C@H]2CCC[N@H+]2Cc2cscn2)cs1 ZINC000298697154 328297222 /nfs/dbraw/zinc/29/72/22/328297222.db2.gz GFKONNGFTIDNJQ-GFCCVEGCSA-N 1 2 250.392 3.542 20 0 CHADLO c1cc([C@H]2CCC[N@@H+]2Cc2cscn2)cs1 ZINC000298697154 328297223 /nfs/dbraw/zinc/29/72/23/328297223.db2.gz GFKONNGFTIDNJQ-GFCCVEGCSA-N 1 2 250.392 3.542 20 0 CHADLO CCC1CC[NH+](CC(=O)Nc2ccc(F)cc2Cl)CC1 ZINC000048584351 328317493 /nfs/dbraw/zinc/31/74/93/328317493.db2.gz ZOEMKCKWOVEYCH-UHFFFAOYSA-N 1 2 298.789 3.540 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nccn1C)c1ccccc1)c1ccccc1 ZINC000531942708 328325696 /nfs/dbraw/zinc/32/56/96/328325696.db2.gz FHZOBTDYFQVAMO-MAUKXSAKSA-N 1 2 291.398 3.860 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)Nc1cc(C)[nH+]cc1C ZINC000535035299 328379139 /nfs/dbraw/zinc/37/91/39/328379139.db2.gz WSYLBCBGZQMHKY-OAHLLOKOSA-N 1 2 274.408 3.665 20 0 CHADLO Cc1cc(NC(=O)c2cc3sccc3s2)c(C)c[nH+]1 ZINC000535036175 328379277 /nfs/dbraw/zinc/37/92/77/328379277.db2.gz JSHFEJGEKBYIRL-UHFFFAOYSA-N 1 2 288.397 3.649 20 0 CHADLO CCC[C@]1(C)CCCN(C(=O)Nc2cc(C)[nH+]cc2C)C1 ZINC000535060386 328381769 /nfs/dbraw/zinc/38/17/69/328381769.db2.gz NGUTWUYMPAPUJC-QGZVFWFLSA-N 1 2 289.423 3.554 20 0 CHADLO CCCOc1ccc(C[N@H+](C)Cc2cscn2)cc1 ZINC000535085233 328386154 /nfs/dbraw/zinc/38/61/54/328386154.db2.gz CJDZHJOETPCGQV-UHFFFAOYSA-N 1 2 276.405 3.564 20 0 CHADLO CCCOc1ccc(C[N@@H+](C)Cc2cscn2)cc1 ZINC000535085233 328386156 /nfs/dbraw/zinc/38/61/56/328386156.db2.gz CJDZHJOETPCGQV-UHFFFAOYSA-N 1 2 276.405 3.564 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3cccc(F)c3[C@H]2C)n1 ZINC000532036807 328398221 /nfs/dbraw/zinc/39/82/21/328398221.db2.gz ATFWOVUCRYKMSS-LLVKDONJSA-N 1 2 276.380 3.710 20 0 CHADLO Cc1csc(C[N@H+]2CCc3cccc(F)c3[C@H]2C)n1 ZINC000532036807 328398223 /nfs/dbraw/zinc/39/82/23/328398223.db2.gz ATFWOVUCRYKMSS-LLVKDONJSA-N 1 2 276.380 3.710 20 0 CHADLO COc1ccc(Br)cc1C[N@H+]1CC=C(C)CC1 ZINC000535205559 328395956 /nfs/dbraw/zinc/39/59/56/328395956.db2.gz JIEXGPYPTOXICE-UHFFFAOYSA-N 1 2 296.208 3.610 20 0 CHADLO COc1ccc(Br)cc1C[N@@H+]1CC=C(C)CC1 ZINC000535205559 328395957 /nfs/dbraw/zinc/39/59/57/328395957.db2.gz JIEXGPYPTOXICE-UHFFFAOYSA-N 1 2 296.208 3.610 20 0 CHADLO FC(F)CC1C[NH+](Cc2cscc2Br)C1 ZINC000425364130 328477547 /nfs/dbraw/zinc/47/75/47/328477547.db2.gz FMBVWGJFALEKCJ-UHFFFAOYSA-N 1 2 296.180 3.598 20 0 CHADLO C[C@@H]1C[C@H]1CC(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000353781824 328488531 /nfs/dbraw/zinc/48/85/31/328488531.db2.gz YGINXIKGYKAOJV-MNOVXSKESA-N 1 2 289.766 3.510 20 0 CHADLO Cc1noc(C)c1[C@H](C)CNc1cc[nH+]c(C(C)(C)C)n1 ZINC000129548769 328483695 /nfs/dbraw/zinc/48/36/95/328483695.db2.gz TZLLFGAVJQHUIZ-SNVBAGLBSA-N 1 2 288.395 3.595 20 0 CHADLO C[C@H](CCc1ccc(C(F)(F)F)cc1)Nc1c[nH]c[nH+]1 ZINC000563792740 328518386 /nfs/dbraw/zinc/51/83/86/328518386.db2.gz CIHOBVKZMCFAFL-SNVBAGLBSA-N 1 2 283.297 3.862 20 0 CHADLO C[C@H]1CC[C@H](C(=O)Nc2ccc3[nH+]c(N(C)C)ccc3c2)C1 ZINC000345337626 328564873 /nfs/dbraw/zinc/56/48/73/328564873.db2.gz WKYOZZWKJGPGLN-JSGCOSHPSA-N 1 2 297.402 3.676 20 0 CHADLO COC(=O)c1cc(NCCCc2c[nH+]ccc2C)ccc1C ZINC000348129046 328577176 /nfs/dbraw/zinc/57/71/76/328577176.db2.gz DHYBIOCHTORGRR-UHFFFAOYSA-N 1 2 298.386 3.530 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CC2(CCC2)[C@H]2COC[C@@H]21 ZINC000583932183 326800405 /nfs/dbraw/zinc/80/04/05/326800405.db2.gz YNPXRYZWPCTUIG-IRXDYDNUSA-N 1 2 294.398 3.549 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncccc1F)c1ccccc1F ZINC000530471568 326814298 /nfs/dbraw/zinc/81/42/98/326814298.db2.gz JSQXPRAFNWQNMA-CQSZACIVSA-N 1 2 262.303 3.601 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)c(Cl)c1)c1csnn1 ZINC000398246070 326876146 /nfs/dbraw/zinc/87/61/46/326876146.db2.gz SGJNZDQKKAICAI-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO CCCOc1ccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398255773 326876554 /nfs/dbraw/zinc/87/65/54/326876554.db2.gz CKHIJFPJEPACEY-NEPJUHHUSA-N 1 2 291.420 3.739 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2ncccc2Cl)C2CC2)s1 ZINC000528438500 326925487 /nfs/dbraw/zinc/92/54/87/326925487.db2.gz DSPWQSDKAWSCMM-CYBMUJFWSA-N 1 2 293.823 3.741 20 0 CHADLO Cc1cc(N[C@@H]2CSC[C@@H]2C)c2cccc(F)c2[nH+]1 ZINC000557737041 327023852 /nfs/dbraw/zinc/02/38/52/327023852.db2.gz OBKJEDDNZYPMAH-LKFCYVNXSA-N 1 2 276.380 3.846 20 0 CHADLO CCc1nc(N2C[C@H](C)C[C@H]2c2ccccc2)cc(C)[nH+]1 ZINC000557763170 327025654 /nfs/dbraw/zinc/02/56/54/327025654.db2.gz YNSCGBLMLCHGDV-CJNGLKHVSA-N 1 2 281.403 3.935 20 0 CHADLO CC(C)c1cnc(NCc2cccc(-c3[nH]cc[nH+]3)c2)s1 ZINC000558020895 327043465 /nfs/dbraw/zinc/04/34/65/327043465.db2.gz HKKJMFWADYOAEZ-UHFFFAOYSA-N 1 2 298.415 3.691 20 0 CHADLO CCc1cccc(F)c1C[NH2+][C@H](C)c1cscn1 ZINC000584183516 327062326 /nfs/dbraw/zinc/06/23/26/327062326.db2.gz LOTGJPBUGONEEK-SNVBAGLBSA-N 1 2 264.369 3.695 20 0 CHADLO CC1(CCNC(=O)c2ccccc2Oc2cc[nH+]cc2)CC1 ZINC000558696395 327114548 /nfs/dbraw/zinc/11/45/48/327114548.db2.gz YNPUASSMPFMCLR-UHFFFAOYSA-N 1 2 296.370 3.794 20 0 CHADLO Cc1cnc(C[N@H+]2CCS[C@@H](C)[C@@H]2c2ccccc2)o1 ZINC000075749121 327127532 /nfs/dbraw/zinc/12/75/32/327127532.db2.gz AWVGRFFMCFSDHI-XJKSGUPXSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cnc(C[N@@H+]2CCS[C@@H](C)[C@@H]2c2ccccc2)o1 ZINC000075749121 327127535 /nfs/dbraw/zinc/12/75/35/327127535.db2.gz AWVGRFFMCFSDHI-XJKSGUPXSA-N 1 2 288.416 3.662 20 0 CHADLO COc1cccc2c(N[C@@H]3CCC[C@@H]3F)cc[nH+]c12 ZINC000559009110 327137774 /nfs/dbraw/zinc/13/77/74/327137774.db2.gz KXSGVKUNQVQQGL-WCQYABFASA-N 1 2 260.312 3.546 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cccc(C3CC3)c2)c[nH+]1 ZINC000564465220 327149787 /nfs/dbraw/zinc/14/97/87/327149787.db2.gz HPWXHVVKFIPEBZ-UHFFFAOYSA-N 1 2 280.371 3.506 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2Cl)[C@@H]1[C@@H]1CCCO1 ZINC000559248505 327149800 /nfs/dbraw/zinc/14/98/00/327149800.db2.gz OYIOPCJCRVGKHK-DZGCQCFKSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2Cl)[C@@H]1[C@@H]1CCCO1 ZINC000559248505 327149801 /nfs/dbraw/zinc/14/98/01/327149801.db2.gz OYIOPCJCRVGKHK-DZGCQCFKSA-N 1 2 297.801 3.869 20 0 CHADLO Cc1nc(C[N@@H+]2CCCCC[C@@H]2/C=C/c2ccccc2)no1 ZINC000084868263 327151039 /nfs/dbraw/zinc/15/10/39/327151039.db2.gz HRQZRICTAOYTOF-FMQWLBJXSA-N 1 2 297.402 3.836 20 0 CHADLO Cc1nc(C[N@H+]2CCCCC[C@@H]2/C=C/c2ccccc2)no1 ZINC000084868263 327151040 /nfs/dbraw/zinc/15/10/40/327151040.db2.gz HRQZRICTAOYTOF-FMQWLBJXSA-N 1 2 297.402 3.836 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C3=CCCC3)cc2)c(C)c[nH+]1 ZINC000569415749 327173674 /nfs/dbraw/zinc/17/36/74/327173674.db2.gz ZUAQIJHFGNJASP-UHFFFAOYSA-N 1 2 292.382 3.940 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cnccn1)c1cc(F)ccc1F ZINC000090078034 327177022 /nfs/dbraw/zinc/17/70/22/327177022.db2.gz OBGXDMJTMHOXBC-YGRLFVJLSA-N 1 2 277.318 3.557 20 0 CHADLO CC[C@H](c1nc([C@@H]2C[C@H]2C)no1)[N@H+](C)Cc1ccccc1 ZINC000569472464 327183210 /nfs/dbraw/zinc/18/32/10/327183210.db2.gz PIBAGMVEGDZGMK-BPLDGKMQSA-N 1 2 285.391 3.776 20 0 CHADLO CC[C@H](c1nc([C@@H]2C[C@H]2C)no1)[N@@H+](C)Cc1ccccc1 ZINC000569472464 327183211 /nfs/dbraw/zinc/18/32/11/327183211.db2.gz PIBAGMVEGDZGMK-BPLDGKMQSA-N 1 2 285.391 3.776 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1cccnc1Cl ZINC000092224234 327190477 /nfs/dbraw/zinc/19/04/77/327190477.db2.gz RETDADCKOXGLTP-CQSZACIVSA-N 1 2 275.783 3.713 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1cccnc1Cl ZINC000092224234 327190478 /nfs/dbraw/zinc/19/04/78/327190478.db2.gz RETDADCKOXGLTP-CQSZACIVSA-N 1 2 275.783 3.713 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000573507127 327191946 /nfs/dbraw/zinc/19/19/46/327191946.db2.gz QGEPNZZNGCPAGL-NEPJUHHUSA-N 1 2 276.405 3.872 20 0 CHADLO CC(C)c1cc(NCCCc2ccccn2)nc(C(C)C)[nH+]1 ZINC000536385745 327234670 /nfs/dbraw/zinc/23/46/70/327234670.db2.gz IGPCEVJWNMKDGU-UHFFFAOYSA-N 1 2 298.434 3.585 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC000559876613 327266949 /nfs/dbraw/zinc/26/69/49/327266949.db2.gz CLISVHKXQMMGRB-NSHDSACASA-N 1 2 294.267 3.723 20 0 CHADLO c1cn(-c2ccc(CSC[C@@H]3CCCCO3)cc2)c[nH+]1 ZINC000531111886 327280797 /nfs/dbraw/zinc/28/07/97/327280797.db2.gz DKWFUBUSNMOEEL-INIZCTEOSA-N 1 2 288.416 3.675 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)c2ccc(Cl)s2)c(C)c[nH+]1 ZINC000560377087 327303621 /nfs/dbraw/zinc/30/36/21/327303621.db2.gz PIOPXIAILIDQCV-SNVBAGLBSA-N 1 2 294.807 3.577 20 0 CHADLO CN(CCc1ccccn1)c1cc[nH+]c2c(Cl)cccc12 ZINC000561098148 327366290 /nfs/dbraw/zinc/36/62/90/327366290.db2.gz SQGNJWWWZXZBFS-UHFFFAOYSA-N 1 2 297.789 3.962 20 0 CHADLO CCCC[C@@H](C)N(CCCC)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000583450255 327373368 /nfs/dbraw/zinc/37/33/68/327373368.db2.gz JURDMKPJBWIPBK-HUUCEWRRSA-N 1 2 293.455 3.796 20 0 CHADLO CCCC[C@@H](C)N(CCCC)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000583450255 327373370 /nfs/dbraw/zinc/37/33/70/327373370.db2.gz JURDMKPJBWIPBK-HUUCEWRRSA-N 1 2 293.455 3.796 20 0 CHADLO CCCC[C@@H](C)N(CCCC)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000583450256 327373453 /nfs/dbraw/zinc/37/34/53/327373453.db2.gz JURDMKPJBWIPBK-LSDHHAIUSA-N 1 2 293.455 3.796 20 0 CHADLO CCCC[C@@H](C)N(CCCC)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000583450256 327373455 /nfs/dbraw/zinc/37/34/55/327373455.db2.gz JURDMKPJBWIPBK-LSDHHAIUSA-N 1 2 293.455 3.796 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nnc(C(C)C)[nH]2)c(C)c1 ZINC000428473207 327387278 /nfs/dbraw/zinc/38/72/78/327387278.db2.gz LQUZWPWAWKHWDM-AWEZNQCLSA-N 1 2 286.423 3.738 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nnc(C(C)C)[nH]2)c(C)c1 ZINC000428473207 327387280 /nfs/dbraw/zinc/38/72/80/327387280.db2.gz LQUZWPWAWKHWDM-AWEZNQCLSA-N 1 2 286.423 3.738 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+]CC(C)(F)F)cc1 ZINC000561488043 327398755 /nfs/dbraw/zinc/39/87/55/327398755.db2.gz GCRWOSYJKQFSIE-NSHDSACASA-N 1 2 257.324 3.780 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1N[C@H]1CC[C@@H]1C1CC1 ZINC000562056907 327444962 /nfs/dbraw/zinc/44/49/62/327444962.db2.gz MWQQAZSJVALNAL-CVEARBPZSA-N 1 2 271.408 3.672 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[NH2+]CC(C)(F)F ZINC000566870103 327484162 /nfs/dbraw/zinc/48/41/62/327484162.db2.gz ADRNECRRILYVLB-QMMMGPOBSA-N 1 2 262.369 3.881 20 0 CHADLO Cc1cccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1F ZINC000151658274 327487243 /nfs/dbraw/zinc/48/72/43/327487243.db2.gz PFQSRDOJJRCFEC-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@@H](C)c1ccco1)c1ccco1 ZINC000152613971 327563124 /nfs/dbraw/zinc/56/31/24/327563124.db2.gz MZMBTZSJGJAEPC-UONOGXRCSA-N 1 2 277.364 3.937 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H]2CCCC[C@@H]2C)n1 ZINC000570079637 327567077 /nfs/dbraw/zinc/56/70/77/327567077.db2.gz XVJRPCBFKLSEKS-JSGCOSHPSA-N 1 2 285.391 3.852 20 0 CHADLO Fc1cc(N[C@@H]2CC[C@H]2C2CC2)ccc1-n1cc[nH+]c1 ZINC000570354566 327585551 /nfs/dbraw/zinc/58/55/51/327585551.db2.gz UIFJJEWYLLHQKM-DZGCQCFKSA-N 1 2 271.339 3.612 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@]2(C)CCC[C@@H]2C)n1 ZINC000570655152 327608393 /nfs/dbraw/zinc/60/83/93/327608393.db2.gz SJFQVSIMHHXYQM-GUYCJALGSA-N 1 2 285.391 3.636 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000535689811 328612953 /nfs/dbraw/zinc/61/29/53/328612953.db2.gz MQWNMCDCBPYDSV-DGKWVBSXSA-N 1 2 281.403 3.588 20 0 CHADLO C[C@@H](O)C(C)(C)Nc1cc(C(C)(C)C)[nH+]c(C(C)(C)C)n1 ZINC000535692369 328613359 /nfs/dbraw/zinc/61/33/59/328613359.db2.gz BTFCNVVQQUBUBJ-LLVKDONJSA-N 1 2 293.455 3.643 20 0 CHADLO CC1(C)CCC[C@H](CC(=O)NCc2cn3ccccc3[nH+]2)C1 ZINC000535905526 328647041 /nfs/dbraw/zinc/64/70/41/328647041.db2.gz LUUMFLWCZYNDHM-CQSZACIVSA-N 1 2 299.418 3.557 20 0 CHADLO Cc1cc(NC(=O)N(Cc2ccccc2)C(C)C)c(C)c[nH+]1 ZINC000536618400 328670507 /nfs/dbraw/zinc/67/05/07/328670507.db2.gz BZHSYGAPZTVVEX-UHFFFAOYSA-N 1 2 297.402 3.563 20 0 CHADLO Cc1ccsc1CN(C)c1[nH+]c2ccccc2n1C ZINC000519628953 328692232 /nfs/dbraw/zinc/69/22/32/328692232.db2.gz IHELUEWIDFBERK-UHFFFAOYSA-N 1 2 271.389 3.580 20 0 CHADLO CC[C@@H](C)[C@H]([NH2+]Cc1csc2ccccc12)C(=O)OC ZINC000054463404 328693426 /nfs/dbraw/zinc/69/34/26/328693426.db2.gz BUEVBMRQTHXAAM-ABAIWWIYSA-N 1 2 291.416 3.579 20 0 CHADLO Cc1ccc(C)c(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000102677194 328711022 /nfs/dbraw/zinc/71/10/22/328711022.db2.gz QFOYLNYXIRIZDG-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO Cc1ccc(NCc2cn3cc(C)ccc3[nH+]2)cc1 ZINC000102678298 328713717 /nfs/dbraw/zinc/71/37/17/328713717.db2.gz NQRHTAKPPIDXMA-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1ccc2[nH+]c(CNc3ccc(F)cc3C)cn2c1 ZINC000102682918 328714162 /nfs/dbraw/zinc/71/41/62/328714162.db2.gz PBKRRWRTACMEQQ-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO CC(C)Oc1cccc(C[NH2+][C@@H](C)C(C)(F)F)c1 ZINC000389474209 328848624 /nfs/dbraw/zinc/84/86/24/328848624.db2.gz WJXVPAGNRZRDIW-NSHDSACASA-N 1 2 257.324 3.607 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1CC[C@@H](C)C1 ZINC000357904976 328879123 /nfs/dbraw/zinc/87/91/23/328879123.db2.gz SBEOVLRMPLLKGN-RISCZKNCSA-N 1 2 283.375 3.760 20 0 CHADLO CC[C@@H](CSC)N(C)c1[nH+]ccc2ccc(F)cc21 ZINC000358473040 328885306 /nfs/dbraw/zinc/88/53/06/328885306.db2.gz UUPSFABXGOGIRY-ZDUSSCGKSA-N 1 2 278.396 3.952 20 0 CHADLO CC(=O)c1ccc(OCc2ccc(C)[nH+]c2C)c(C)c1 ZINC000358679022 328891517 /nfs/dbraw/zinc/89/15/17/328891517.db2.gz ICYVEROJMYUUOR-UHFFFAOYSA-N 1 2 269.344 3.788 20 0 CHADLO CC(C)CCN(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CC1 ZINC000117596533 328941780 /nfs/dbraw/zinc/94/17/80/328941780.db2.gz HZMIUPLMVOOVRL-UHFFFAOYSA-N 1 2 297.402 3.523 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1cccc(C(C)(C)C)c1 ZINC000582428526 328987836 /nfs/dbraw/zinc/98/78/36/328987836.db2.gz RFNVBACJAPUWDT-UHFFFAOYSA-N 1 2 284.359 3.640 20 0 CHADLO Cc1cccc2[nH+]cc(CNc3cccc4c3OCCC4)n21 ZINC000374574314 328993862 /nfs/dbraw/zinc/99/38/62/328993862.db2.gz GMIGFRJSFPXOBF-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO C[C@@H](c1cnccn1)[N@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000375098825 329000522 /nfs/dbraw/zinc/00/05/22/329000522.db2.gz ZLCSEFWEDCKJAO-ZDUSSCGKSA-N 1 2 299.805 3.980 20 0 CHADLO C[C@@H](c1cnccn1)[N@@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000375098825 329000524 /nfs/dbraw/zinc/00/05/24/329000524.db2.gz ZLCSEFWEDCKJAO-ZDUSSCGKSA-N 1 2 299.805 3.980 20 0 CHADLO c1nc([C@H]2CCC[N@H+]2Cc2ccc3ccccc3c2)no1 ZINC000377493558 329016826 /nfs/dbraw/zinc/01/68/26/329016826.db2.gz GUBBFCNRDDQWBH-MRXNPFEDSA-N 1 2 279.343 3.560 20 0 CHADLO c1nc([C@H]2CCC[N@@H+]2Cc2ccc3ccccc3c2)no1 ZINC000377493558 329016828 /nfs/dbraw/zinc/01/68/28/329016828.db2.gz GUBBFCNRDDQWBH-MRXNPFEDSA-N 1 2 279.343 3.560 20 0 CHADLO CCCCCC[C@](C)(CC)C(=O)N1CCn2c[nH+]cc2C1 ZINC000378075997 329039015 /nfs/dbraw/zinc/03/90/15/329039015.db2.gz WTGBPBCJOPBNNF-KRWDZBQOSA-N 1 2 291.439 3.612 20 0 CHADLO C[C@H](c1ccccc1Cl)N1CC[NH+](CC2(F)CC2)CC1 ZINC000525977173 329066246 /nfs/dbraw/zinc/06/62/46/329066246.db2.gz BHUWZEPRWNGHAW-CYBMUJFWSA-N 1 2 296.817 3.521 20 0 CHADLO C[C@H](c1ccccc1Cl)[NH+]1CCN(CC2(F)CC2)CC1 ZINC000525977173 329066247 /nfs/dbraw/zinc/06/62/47/329066247.db2.gz BHUWZEPRWNGHAW-CYBMUJFWSA-N 1 2 296.817 3.521 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@H]1CCn2cc[nH+]c2C1 ZINC000170250296 329067287 /nfs/dbraw/zinc/06/72/87/329067287.db2.gz OZMMRBXGGHAGBP-AWEZNQCLSA-N 1 2 297.402 3.516 20 0 CHADLO CC(C)C(=O)Nc1cccc(N[C@H]2C[C@H](C)n3cc[nH+]c32)c1 ZINC000393332317 329085534 /nfs/dbraw/zinc/08/55/34/329085534.db2.gz STMOREZPXHPJAW-WFASDCNBSA-N 1 2 298.390 3.596 20 0 CHADLO FC(F)c1ccc(C[NH+]2CC(C(F)(F)F)C2)cc1 ZINC000582646855 329124253 /nfs/dbraw/zinc/12/42/53/329124253.db2.gz KONNCEHAMYFIRL-UHFFFAOYSA-N 1 2 265.225 3.618 20 0 CHADLO CCSCC[C@H](C)Nc1cc[nH+]c(C(C)C)n1 ZINC000172812890 329139131 /nfs/dbraw/zinc/13/91/31/329139131.db2.gz AJRJQFYOOZBCII-NSHDSACASA-N 1 2 253.415 3.544 20 0 CHADLO CC[C@@H](C(=O)Nc1c(C)cc[nH+]c1C)c1ccc(F)cc1 ZINC000425597015 329143115 /nfs/dbraw/zinc/14/31/15/329143115.db2.gz QYCJUZHAEZBIEK-OAHLLOKOSA-N 1 2 286.350 3.970 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1c[nH]cc1-c1ccc(F)cc1 ZINC000173603885 329149711 /nfs/dbraw/zinc/14/97/11/329149711.db2.gz BGDUFTDKOUGIOE-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CC(C)c1nc(N2C[C@H](c3ccccc3)OC[C@H]2C)cc[nH+]1 ZINC000174922663 329161767 /nfs/dbraw/zinc/16/17/67/329161767.db2.gz ZRYMDPCSXZRHIE-GDBMZVCRSA-N 1 2 297.402 3.566 20 0 CHADLO CSc1ccc(C[N@@H+]2CCO[C@H](c3ccco3)C2)cc1 ZINC000177689255 329189233 /nfs/dbraw/zinc/18/92/33/329189233.db2.gz JOQSDXKLNQSMLK-INIZCTEOSA-N 1 2 289.400 3.575 20 0 CHADLO CSc1ccc(C[N@H+]2CCO[C@H](c3ccco3)C2)cc1 ZINC000177689255 329189234 /nfs/dbraw/zinc/18/92/34/329189234.db2.gz JOQSDXKLNQSMLK-INIZCTEOSA-N 1 2 289.400 3.575 20 0 CHADLO C[C@@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1ccccn1 ZINC000179614505 329210629 /nfs/dbraw/zinc/21/06/29/329210629.db2.gz KHKWBIDITPTNDY-GFCCVEGCSA-N 1 2 282.322 3.580 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)ccc1Cl ZINC000180471762 329217652 /nfs/dbraw/zinc/21/76/52/329217652.db2.gz KNQCAXIGCFJEAE-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](c3ccco3)C2)ccc1Cl ZINC000180471762 329217653 /nfs/dbraw/zinc/21/76/53/329217653.db2.gz KNQCAXIGCFJEAE-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1[nH]ncc1C[N@H+]1CC=C(c2cc(C)cc(C)c2)CC1 ZINC000180781539 329222963 /nfs/dbraw/zinc/22/29/63/329222963.db2.gz FDOGLZWXQFKYNF-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO Cc1[nH]ncc1C[N@@H+]1CC=C(c2cc(C)cc(C)c2)CC1 ZINC000180781539 329222964 /nfs/dbraw/zinc/22/29/64/329222964.db2.gz FDOGLZWXQFKYNF-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(-c2cccc(F)c2)o1)c1cccnc1 ZINC000181658850 329235388 /nfs/dbraw/zinc/23/53/88/329235388.db2.gz DILMWTFOILBGPM-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2cccs2)on1)c1ccccn1 ZINC000182990964 329246352 /nfs/dbraw/zinc/24/63/52/329246352.db2.gz ZZPPOBUSKNOOSW-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@@H](C)[C@@H]3CCCC[C@@H]32)c(C)[nH+]1 ZINC000183208250 329248623 /nfs/dbraw/zinc/24/86/23/329248623.db2.gz DYJJBTVINUSCML-WPGHFRTFSA-N 1 2 287.407 3.741 20 0 CHADLO CCOC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(C(F)F)cc1 ZINC000183923784 329254411 /nfs/dbraw/zinc/25/44/11/329254411.db2.gz PPGIYIATJCXKBX-CQSZACIVSA-N 1 2 297.345 3.542 20 0 CHADLO CCOC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(C(F)F)cc1 ZINC000183923784 329254412 /nfs/dbraw/zinc/25/44/12/329254412.db2.gz PPGIYIATJCXKBX-CQSZACIVSA-N 1 2 297.345 3.542 20 0 CHADLO Cc1ccc(COc2ccc(NC(=O)C3CC3)cc2)c(C)[nH+]1 ZINC000185338766 329270899 /nfs/dbraw/zinc/27/08/99/329270899.db2.gz YOKWXNRDJWQUGJ-UHFFFAOYSA-N 1 2 296.370 3.626 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1noc2ccccc12 ZINC000582855920 329281098 /nfs/dbraw/zinc/28/10/98/329281098.db2.gz GISJKIKHKHUMGP-GFCCVEGCSA-N 1 2 281.359 3.636 20 0 CHADLO CC(C)[C@]1(C)C[C@@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000185917079 329332532 /nfs/dbraw/zinc/33/25/32/329332532.db2.gz FNAAXRLSBKJUHM-PBHICJAKSA-N 1 2 283.375 3.697 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(Cl)c(Cl)c2)[nH]1 ZINC000392448698 329340714 /nfs/dbraw/zinc/34/07/14/329340714.db2.gz GFSTUHBQJXADDN-SFYZADRCSA-N 1 2 299.205 3.832 20 0 CHADLO Cc1occc1SCc1cn2cc(C)ccc2[nH+]1 ZINC000186671335 329347402 /nfs/dbraw/zinc/34/74/02/329347402.db2.gz PXBSYMFRAPTJQD-UHFFFAOYSA-N 1 2 258.346 3.836 20 0 CHADLO Cc1cc(C[S@](=O)Cc2ccc(C)[nH+]c2C)c(C)s1 ZINC000187148269 329353531 /nfs/dbraw/zinc/35/35/31/329353531.db2.gz GBZBIAGWNCBWLR-LJQANCHMSA-N 1 2 293.457 3.826 20 0 CHADLO Cc1ccc(C[S@@](=O)C/C=C/c2ccccc2)c(C)[nH+]1 ZINC000187131134 329353642 /nfs/dbraw/zinc/35/36/42/329353642.db2.gz OBQNIYYNAHVEGJ-ZGBFETHSSA-N 1 2 285.412 3.661 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+][C@H](c1ccccc1C)C1CC1 ZINC000509008224 332855654 /nfs/dbraw/zinc/85/56/54/332855654.db2.gz XFYUSPJHPZZEAQ-IRXDYDNUSA-N 1 2 289.419 3.623 20 0 CHADLO Cc1cc(N[C@@H](C)CC(F)(F)F)nc(C(C)C)[nH+]1 ZINC000231749849 329378787 /nfs/dbraw/zinc/37/87/87/329378787.db2.gz YFWWGZNGSMANRR-VIFPVBQESA-N 1 2 261.291 3.661 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccc(OC(F)(F)F)cc2)cc[nH+]1 ZINC000189694931 329386403 /nfs/dbraw/zinc/38/64/03/329386403.db2.gz QRFFXBORFCKOSX-VIFPVBQESA-N 1 2 297.280 3.857 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2noc(C3CC3)n2)cc1C ZINC000063626317 329391426 /nfs/dbraw/zinc/39/14/26/329391426.db2.gz ZEQZDSDLWGGOCH-INIZCTEOSA-N 1 2 297.402 3.901 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2noc(C3CC3)n2)cc1C ZINC000063626317 329391427 /nfs/dbraw/zinc/39/14/27/329391427.db2.gz ZEQZDSDLWGGOCH-INIZCTEOSA-N 1 2 297.402 3.901 20 0 CHADLO Fc1ccc(Cl)cc1CNc1cc[nH+]cc1F ZINC000192150348 329429583 /nfs/dbraw/zinc/42/95/83/329429583.db2.gz KJPAEUYBKFUACE-UHFFFAOYSA-N 1 2 254.667 3.625 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cc(F)ccc2Cl)c1 ZINC000219839528 329505320 /nfs/dbraw/zinc/50/53/20/329505320.db2.gz QAWHQLXAOPDKIL-UHFFFAOYSA-N 1 2 280.730 3.803 20 0 CHADLO CCOC(=O)[C@@H](C)[NH2+][C@H](Cc1ccccc1)c1ccccc1 ZINC000314813566 329541396 /nfs/dbraw/zinc/54/13/96/329541396.db2.gz PHENQSBIZWCKAE-CRAIPNDOSA-N 1 2 297.398 3.512 20 0 CHADLO Clc1ccc(C[NH2+]Cc2nccs2)c(Cl)c1 ZINC000040444003 329556249 /nfs/dbraw/zinc/55/62/49/329556249.db2.gz SKERGMQZKDZVAK-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO Cc1c[nH+]cc(NC2CCC(C(F)(F)F)CC2)c1 ZINC000123842856 329563511 /nfs/dbraw/zinc/56/35/11/329563511.db2.gz CAGWLJJYAZUAPU-UHFFFAOYSA-N 1 2 258.287 3.923 20 0 CHADLO CC1(CC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)CCCCC1 ZINC000126072911 329589604 /nfs/dbraw/zinc/58/96/04/329589604.db2.gz HGSFTIGPUBCVEB-CQSZACIVSA-N 1 2 289.423 3.824 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)c1ccccc1 ZINC000127109160 329597260 /nfs/dbraw/zinc/59/72/60/329597260.db2.gz AQLHFJCNSOBZTF-CQSZACIVSA-N 1 2 291.354 3.615 20 0 CHADLO CCS[C@H](C)c1noc(C[NH+]2[C@@H](C)CCC[C@@H]2C)n1 ZINC000130710741 329627470 /nfs/dbraw/zinc/62/74/70/329627470.db2.gz DQRRUGIJPRPRCP-SDDRHHMPSA-N 1 2 283.441 3.647 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(F)cccc2Cl)o1 ZINC000050198557 329645693 /nfs/dbraw/zinc/64/56/93/329645693.db2.gz YIHOSZKTDGGOIN-UHFFFAOYSA-N 1 2 253.704 3.670 20 0 CHADLO Clc1cccc(N[C@@H](c2[nH]cc[nH+]2)c2cccnc2)c1 ZINC000195095986 329690444 /nfs/dbraw/zinc/69/04/44/329690444.db2.gz WRLBVBYNTPJABC-CQSZACIVSA-N 1 2 284.750 3.660 20 0 CHADLO Cc1ccc(N[C@@H](c2[nH]cc[nH+]2)c2cccnc2)c(Cl)c1 ZINC000195111756 329690468 /nfs/dbraw/zinc/69/04/68/329690468.db2.gz GAQVGDPAQDAGIX-OAHLLOKOSA-N 1 2 298.777 3.968 20 0 CHADLO CCc1nc(C(C)C)ccc1COc1cc[nH+]cc1 ZINC000418954316 329701841 /nfs/dbraw/zinc/70/18/41/329701841.db2.gz NPNXKLMQIWNFSH-UHFFFAOYSA-N 1 2 256.349 3.741 20 0 CHADLO CCc1[nH+]c(C(C)C)ccc1Cn1nc(C)nc1C(F)F ZINC000418971860 329702801 /nfs/dbraw/zinc/70/28/01/329702801.db2.gz BJKHXVXRVSYARX-UHFFFAOYSA-N 1 2 294.349 3.653 20 0 CHADLO CSc1ccccc1OCc1ccc(C)[nH+]c1C ZINC000419083626 329706021 /nfs/dbraw/zinc/70/60/21/329706021.db2.gz XASAWKMLOMJXKQ-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO Cn1c[nH+]cc1COc1ccc(C2CC2)cc1Cl ZINC000419041480 329706202 /nfs/dbraw/zinc/70/62/02/329706202.db2.gz KGEBDHOTGLCOEN-UHFFFAOYSA-N 1 2 262.740 3.530 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H](C)C(C)(C)C)n1 ZINC000420510264 329772555 /nfs/dbraw/zinc/77/25/55/329772555.db2.gz HXTSSBJNRJVNDD-SNVBAGLBSA-N 1 2 259.353 3.513 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H]2CC[C@@H](C)[C@@H](C)C2)n1 ZINC000420512545 329773236 /nfs/dbraw/zinc/77/32/36/329773236.db2.gz RYGAWCWWUCOGBU-TYNCELHUSA-N 1 2 285.391 3.904 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(CCCc2cccs2)n1 ZINC000420513452 329773480 /nfs/dbraw/zinc/77/34/80/329773480.db2.gz WAAALBZRIJEECM-UHFFFAOYSA-N 1 2 299.399 3.601 20 0 CHADLO Clc1ccc([C@@H]([NH2+]Cc2ncc[nH]2)c2ccccc2)cc1 ZINC000067666893 329851228 /nfs/dbraw/zinc/85/12/28/329851228.db2.gz GAUUHHTWFKRYLE-KRWDZBQOSA-N 1 2 297.789 3.942 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccc(F)c(F)c1 ZINC000074830022 329865351 /nfs/dbraw/zinc/86/53/51/329865351.db2.gz OKOMVTUZGBQBRF-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2cscn2)c(OC)c1 ZINC000162359504 330003636 /nfs/dbraw/zinc/00/36/36/330003636.db2.gz VUZSWOZUPOWWQV-WDEREUQCSA-N 1 2 292.404 3.572 20 0 CHADLO CCCC[C@H](COC)Nc1cc(CSCC)cc[nH+]1 ZINC000548194420 330005511 /nfs/dbraw/zinc/00/55/11/330005511.db2.gz XJCHDSPWMOUFPD-CQSZACIVSA-N 1 2 282.453 3.952 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(Cl)s2)[C@@H]1c1ccccc1 ZINC000079327001 330022274 /nfs/dbraw/zinc/02/22/74/330022274.db2.gz BIGMOXJAPZCDPD-GWCFXTLKSA-N 1 2 278.808 3.990 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(Cl)s2)[C@@H]1c1ccccc1 ZINC000079327001 330022275 /nfs/dbraw/zinc/02/22/75/330022275.db2.gz BIGMOXJAPZCDPD-GWCFXTLKSA-N 1 2 278.808 3.990 20 0 CHADLO CC(C)c1nc(C[NH2+][C@H](C)c2cccnc2)cs1 ZINC000079914755 330071625 /nfs/dbraw/zinc/07/16/25/330071625.db2.gz XKLJLRGPDOHVFH-LLVKDONJSA-N 1 2 261.394 3.512 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)c(C)s1 ZINC000393334347 330073856 /nfs/dbraw/zinc/07/38/56/330073856.db2.gz RRDOVSLXNXBIRC-SECBINFHSA-N 1 2 283.828 3.603 20 0 CHADLO CCSc1nnc(SCCc2cc[nH+]cc2C)s1 ZINC000421820059 330086559 /nfs/dbraw/zinc/08/65/59/330086559.db2.gz KLJGQSFOJAOAIB-UHFFFAOYSA-N 1 2 297.474 3.688 20 0 CHADLO C[C@H]([NH2+]CCN1[C@@H](C)CCC[C@@H]1C)c1ccncc1Cl ZINC000421835547 330091989 /nfs/dbraw/zinc/09/19/89/330091989.db2.gz PXBKXZMSRQIWKS-IHRRRGAJSA-N 1 2 295.858 3.649 20 0 CHADLO Cc1ccccc1N[C@H]1CCc2ccc[nH+]c2CC1 ZINC000421837961 330092306 /nfs/dbraw/zinc/09/23/06/330092306.db2.gz SUILSGFPARKDPH-HNNXBMFYSA-N 1 2 252.361 3.750 20 0 CHADLO CC(=O)Nc1ccc(SCCc2cc[nH+]cc2C)cc1 ZINC000421839533 330092764 /nfs/dbraw/zinc/09/27/64/330092764.db2.gz XJZFEKXGXFODAM-UHFFFAOYSA-N 1 2 286.400 3.683 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+][C@H](C)c1ccon1 ZINC000421842984 330094285 /nfs/dbraw/zinc/09/42/85/330094285.db2.gz PIARXATVIYKAJL-NXEZZACHSA-N 1 2 280.755 3.748 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2ccc(Cl)cc2OC)o1 ZINC000421848222 330095454 /nfs/dbraw/zinc/09/54/54/330095454.db2.gz AEGPGPZKVXPGTG-JTQLQIEISA-N 1 2 294.782 3.750 20 0 CHADLO COc1cc(Cl)ccc1[C@H](C)[NH2+][C@H](C)c1csnn1 ZINC000421863185 330098441 /nfs/dbraw/zinc/09/84/41/330098441.db2.gz XBCJRJMSQDBPET-DTWKUNHWSA-N 1 2 297.811 3.612 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc3c(c2)OCCO3)CCCCC1 ZINC000510146184 332894545 /nfs/dbraw/zinc/89/45/45/332894545.db2.gz VZNOCWNCEAZFDJ-UHFFFAOYSA-N 1 2 297.345 3.515 20 0 CHADLO CC[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)C[C@H](C)O1 ZINC000516987268 330110093 /nfs/dbraw/zinc/11/00/93/330110093.db2.gz MOICUJISSRAKCM-RYUDHWBXSA-N 1 2 290.794 3.892 20 0 CHADLO CCc1ccc(C[NH2+][C@H](CC)c2nccs2)o1 ZINC000080262144 330115610 /nfs/dbraw/zinc/11/56/10/330115610.db2.gz NXKWKDJTMSMWFM-GFCCVEGCSA-N 1 2 250.367 3.539 20 0 CHADLO Fc1ccc(OCC[NH2+][C@@H](c2ccccc2)C(F)F)cc1 ZINC000433046540 330136245 /nfs/dbraw/zinc/13/62/45/330136245.db2.gz UNQQRCUXAOFQOF-HNNXBMFYSA-N 1 2 295.304 3.801 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccccc1N(C)C ZINC000423214650 330178237 /nfs/dbraw/zinc/17/82/37/330178237.db2.gz LPNYPDAXXWVPPO-ZDUSSCGKSA-N 1 2 281.403 3.894 20 0 CHADLO CO[C@@H](c1ccccc1)[C@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423215856 330178633 /nfs/dbraw/zinc/17/86/33/330178633.db2.gz NRAAEIJFXXLWIG-SCLBCKFNSA-N 1 2 282.387 3.843 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc2c(c1)CCO2 ZINC000423221180 330179308 /nfs/dbraw/zinc/17/93/08/330179308.db2.gz WRLBOOKIRPIREY-GFCCVEGCSA-N 1 2 280.371 3.763 20 0 CHADLO Cc1cc(C)c(CNc2ncccc2C(F)(F)F)c[nH+]1 ZINC000397025912 330236800 /nfs/dbraw/zinc/23/68/00/330236800.db2.gz UTESFANRCIWBDE-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(C(F)F)cc1 ZINC000527232658 330239283 /nfs/dbraw/zinc/23/92/83/330239283.db2.gz UIYPQEWQLHGCPV-UHFFFAOYSA-N 1 2 264.275 3.640 20 0 CHADLO COc1sc(C[NH+]2[C@@H](C)C[C@@H]2C)cc1Br ZINC000527238592 330240498 /nfs/dbraw/zinc/24/04/98/330240498.db2.gz IDADEKXMHAVRAS-YUMQZZPRSA-N 1 2 290.226 3.502 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)[C@@H]1CCCC12CC2 ZINC000527719845 330277046 /nfs/dbraw/zinc/27/70/46/330277046.db2.gz VIEWVKDSJJWWCN-AWEZNQCLSA-N 1 2 281.359 3.596 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1ncc(-c2ccccc2)o1 ZINC000528771039 330279273 /nfs/dbraw/zinc/27/92/73/330279273.db2.gz VNAYCSMBKHXGCM-GFCCVEGCSA-N 1 2 292.329 3.961 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1ncc(-c2ccccc2)o1 ZINC000528771039 330279274 /nfs/dbraw/zinc/27/92/74/330279274.db2.gz VNAYCSMBKHXGCM-GFCCVEGCSA-N 1 2 292.329 3.961 20 0 CHADLO Cc1nocc1C[NH2+]C(C)(C)c1nc2ccccc2s1 ZINC000527744742 330280168 /nfs/dbraw/zinc/28/01/68/330280168.db2.gz NGABEFZMMMLOGR-UHFFFAOYSA-N 1 2 287.388 3.618 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCc2cc(F)c(Cl)cc21 ZINC000527905110 330289793 /nfs/dbraw/zinc/28/97/93/330289793.db2.gz ZHXAAQAWIKVTMG-NSHDSACASA-N 1 2 263.690 3.711 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@@H](OCC2CC2)C1 ZINC000527965301 330301055 /nfs/dbraw/zinc/30/10/55/330301055.db2.gz FUSYXZAASKRWFF-MRXNPFEDSA-N 1 2 282.387 3.630 20 0 CHADLO Cc1ccn(-c2ccccc2NCc2c[nH+]cn2C(C)C)n1 ZINC000424154510 330301968 /nfs/dbraw/zinc/30/19/68/330301968.db2.gz JRTLQJCQXCYNLS-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2c1c(F)ccc2F)c1ncccc1F ZINC000528034961 330302576 /nfs/dbraw/zinc/30/25/76/330302576.db2.gz CJEPNIHUVYIIEB-XPTSAGLGSA-N 1 2 292.304 3.837 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ncccc1F)c1cc(F)cc(F)c1 ZINC000528037020 330303125 /nfs/dbraw/zinc/30/31/25/330303125.db2.gz ZXVVRTJMTMFSEZ-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2ncccc2F)c(C)o1 ZINC000528036838 330303169 /nfs/dbraw/zinc/30/31/69/330303169.db2.gz YQZMQEKEGDOYBR-MNOVXSKESA-N 1 2 262.328 3.842 20 0 CHADLO C[C@]12CCN(c3[nH+]ccc4ccccc43)C[C@H]1C2(F)F ZINC000528031807 330305382 /nfs/dbraw/zinc/30/53/82/330305382.db2.gz WHFWIMITOHFAMD-HIFRSBDPSA-N 1 2 274.314 3.716 20 0 CHADLO FCC[C@H]1CCCN(c2[nH+]ccc3ccccc32)C1 ZINC000528054956 330306379 /nfs/dbraw/zinc/30/63/79/330306379.db2.gz SGSMXFIUZIUNTJ-CYBMUJFWSA-N 1 2 258.340 3.811 20 0 CHADLO Cc1nn(-c2ccccc2)cc1NCc1c[nH+]cn1C(C)C ZINC000424170540 330310834 /nfs/dbraw/zinc/31/08/34/330310834.db2.gz KFLFXTAMVKMQGV-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO Cc1cc(N2CC[C@H](c3ccc(F)cc3)C2(C)C)nc[nH+]1 ZINC000528199918 330312629 /nfs/dbraw/zinc/31/26/29/330312629.db2.gz YYCDNDKUZMPIKW-OAHLLOKOSA-N 1 2 285.366 3.697 20 0 CHADLO CC[C@@H](Nc1[nH+]cnc2c1cnn2C)c1cc(C)ccc1C ZINC000528223340 330315795 /nfs/dbraw/zinc/31/57/95/330315795.db2.gz OHSHFQPWUXETNA-OAHLLOKOSA-N 1 2 295.390 3.543 20 0 CHADLO Cc1ccsc1C[N@@H+](C)Cc1ncccc1Cl ZINC000528335829 330319277 /nfs/dbraw/zinc/31/92/77/330319277.db2.gz DUXORLUWTUTBIP-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccsc1C[N@H+](C)Cc1ncccc1Cl ZINC000528335829 330319278 /nfs/dbraw/zinc/31/92/78/330319278.db2.gz DUXORLUWTUTBIP-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC(C)n1cncc1CNc1ccc(C2CCC2)c[nH+]1 ZINC000424181383 330319348 /nfs/dbraw/zinc/31/93/48/330319348.db2.gz RARJBEZMRRJZNV-UHFFFAOYSA-N 1 2 270.380 3.739 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@@H+]1Cc1nc2cc(C)ccc2s1 ZINC000528350367 330321475 /nfs/dbraw/zinc/32/14/75/330321475.db2.gz KEMSNHDZXXJAOU-OLZOCXBDSA-N 1 2 290.432 3.604 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@H+]1Cc1nc2cc(C)ccc2s1 ZINC000528350367 330321476 /nfs/dbraw/zinc/32/14/76/330321476.db2.gz KEMSNHDZXXJAOU-OLZOCXBDSA-N 1 2 290.432 3.604 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1C[C@@H](c2ccccc2)O[C@@H](C)C1 ZINC000528361253 330322459 /nfs/dbraw/zinc/32/24/59/330322459.db2.gz ZDJKBDGKVFOIRN-RKIJOGDYSA-N 1 2 265.784 3.591 20 0 CHADLO C/C(Cl)=C\C[N@H+]1C[C@@H](c2ccccc2)O[C@@H](C)C1 ZINC000528361253 330322460 /nfs/dbraw/zinc/32/24/60/330322460.db2.gz ZDJKBDGKVFOIRN-RKIJOGDYSA-N 1 2 265.784 3.591 20 0 CHADLO CC(C)c1nc(C[NH2+][C@H](C)c2cccc(O)c2)cs1 ZINC000105461230 330326456 /nfs/dbraw/zinc/32/64/56/330326456.db2.gz LFSLWJWLUKYRDA-LLVKDONJSA-N 1 2 276.405 3.823 20 0 CHADLO CCCCc1ccc([C@H](C)[NH2+]Cc2nccc(OC)n2)cc1 ZINC000424307492 330338254 /nfs/dbraw/zinc/33/82/54/330338254.db2.gz LOTRHRTZQNSCTF-AWEZNQCLSA-N 1 2 299.418 3.679 20 0 CHADLO Cc1ccc(NC(=O)CCOc2c(C)cccc2C)c(C)[nH+]1 ZINC000119070932 330369669 /nfs/dbraw/zinc/36/96/69/330369669.db2.gz DQSCUTFLJHBNRK-UHFFFAOYSA-N 1 2 298.386 3.723 20 0 CHADLO Cc1ccc(NC(=O)Cc2cccc(Cl)c2)c(C)[nH+]1 ZINC000119092747 330370186 /nfs/dbraw/zinc/37/01/86/330370186.db2.gz SRHHOLPBRSYZEB-UHFFFAOYSA-N 1 2 274.751 3.533 20 0 CHADLO Cc1cccc([C@H](C)C(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000119128972 330371071 /nfs/dbraw/zinc/37/10/71/330371071.db2.gz GWSAQZHFVDHVLR-ZDUSSCGKSA-N 1 2 268.360 3.749 20 0 CHADLO Cc1ccsc1CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000088546340 330377311 /nfs/dbraw/zinc/37/73/11/330377311.db2.gz OYZQBVAMWCSARC-UHFFFAOYSA-N 1 2 261.394 3.890 20 0 CHADLO CC(C)(C)c1[nH]c2ccc(NC(=O)[C@@]3(C)C[C@@H]3F)cc2[nH+]1 ZINC000424567114 330379301 /nfs/dbraw/zinc/37/93/01/330379301.db2.gz QLIMKHIKZIBALY-LRDDRELGSA-N 1 2 289.354 3.547 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc(Br)c1 ZINC000090207549 330391399 /nfs/dbraw/zinc/39/13/99/330391399.db2.gz XDYZEEUIVZMSAU-UHFFFAOYSA-N 1 2 294.196 3.839 20 0 CHADLO Fc1c(Cl)cccc1CN1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000092729859 330412563 /nfs/dbraw/zinc/41/25/63/330412563.db2.gz MWFNWXJPTZUPBB-CYBMUJFWSA-N 1 2 293.773 3.929 20 0 CHADLO Cc1cccn2cc(C[S@@](=O)[C@@H](C)c3ccccc3)[nH+]c12 ZINC000083953223 330483011 /nfs/dbraw/zinc/48/30/11/330483011.db2.gz OHMPBFHBBWSZTL-QKKBWIMNSA-N 1 2 298.411 3.653 20 0 CHADLO COc1ccc(CC[C@H](C)Nc2ccc[nH+]c2C)cc1O ZINC000426368492 330505780 /nfs/dbraw/zinc/50/57/80/330505780.db2.gz YWDCFDWIZVWPSI-LBPRGKRZSA-N 1 2 286.375 3.537 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(F)c(Cl)c1Cl)c1ccon1 ZINC000432257835 330596395 /nfs/dbraw/zinc/59/63/95/330596395.db2.gz LEQTXJRJMVZWJS-SSDOTTSWSA-N 1 2 289.137 3.971 20 0 CHADLO Fc1ccc2nc(C[NH+]3Cc4ccccc4C3)sc2c1 ZINC000432743528 330605529 /nfs/dbraw/zinc/60/55/29/330605529.db2.gz ZVJMDCKXOGSGRZ-UHFFFAOYSA-N 1 2 284.359 3.951 20 0 CHADLO Cc1ccc(NC(=O)NCC(C)(C)C(C)(C)C)c(C)[nH+]1 ZINC000433019176 330612363 /nfs/dbraw/zinc/61/23/63/330612363.db2.gz INQAKDOYDIREJF-UHFFFAOYSA-N 1 2 277.412 3.892 20 0 CHADLO O[C@@H](C[NH2+][C@H](c1ccccc1)C(F)F)CC1CCCC1 ZINC000433047136 330614321 /nfs/dbraw/zinc/61/43/21/330614321.db2.gz JSIOZVZXIANWCQ-HUUCEWRRSA-N 1 2 283.362 3.524 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)c(Cl)cc1F)c1ccccn1 ZINC000433099761 330617384 /nfs/dbraw/zinc/61/73/84/330617384.db2.gz KHQBMMDIOIHMPJ-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO CC1(C)CC(Nc2ccc(N3CCCCC3)c[nH+]2)C1 ZINC000433214367 330620052 /nfs/dbraw/zinc/62/00/52/330620052.db2.gz JNFXETQHWATPDL-UHFFFAOYSA-N 1 2 259.397 3.672 20 0 CHADLO CC(C)c1nc(N2CC[C@@H](c3ccccc3)[C@H]2C)cc[nH+]1 ZINC000433655360 330630001 /nfs/dbraw/zinc/63/00/01/330630001.db2.gz GQXRBKQPTSCBJB-GDBMZVCRSA-N 1 2 281.403 3.982 20 0 CHADLO Cc1cc(N(C)Cc2ccc(F)c(C)c2)nc(C2CC2)[nH+]1 ZINC000436430511 330677508 /nfs/dbraw/zinc/67/75/08/330677508.db2.gz GHRWOGXMWIZAFM-UHFFFAOYSA-N 1 2 285.366 3.746 20 0 CHADLO Cc1cc(C)c(NC(=O)NCc2ccccc2C)c(C)[nH+]1 ZINC000436485619 330678555 /nfs/dbraw/zinc/67/85/55/330678555.db2.gz ASUUTZDHCYHJJQ-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO CCOc1ccccc1N(C)Cc1c[nH+]c2cccc(C)n12 ZINC000438287950 330720893 /nfs/dbraw/zinc/72/08/93/330720893.db2.gz YYVWHVKHOKUAGL-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO CSCc1cc(F)ccc1C[NH2+][C@@H](C)c1cc(C)on1 ZINC000438841215 330729780 /nfs/dbraw/zinc/72/97/80/330729780.db2.gz ZBEHTDKLRSPVHJ-NSHDSACASA-N 1 2 294.395 3.836 20 0 CHADLO CC[C@H](F)CSCc1cn2cccc(C)c2[nH+]1 ZINC000442544946 330776557 /nfs/dbraw/zinc/77/65/57/330776557.db2.gz PIBGDKSZJKBRBC-NSHDSACASA-N 1 2 252.358 3.624 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cc(F)c(F)c1F)c1cccc(O)c1 ZINC000442876040 330789704 /nfs/dbraw/zinc/78/97/04/330789704.db2.gz PCVFAIGVAMJFMD-QMMMGPOBSA-N 1 2 299.267 3.799 20 0 CHADLO Cc1ccc(NC(=O)Nc2cc(C)c(O)c(C)c2)c(C)[nH+]1 ZINC000443593393 330801931 /nfs/dbraw/zinc/80/19/31/330801931.db2.gz OXFYYPPGJZKCFS-UHFFFAOYSA-N 1 2 285.347 3.665 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H](CC(F)(F)F)C(C)C)[nH+]1 ZINC000445661853 330834179 /nfs/dbraw/zinc/83/41/79/330834179.db2.gz MALGZIAWOWTSCV-SNVBAGLBSA-N 1 2 286.301 3.655 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2C[C@H](C)C[C@H](C)C2)c(C)[nH+]1 ZINC000447195694 330862861 /nfs/dbraw/zinc/86/28/61/330862861.db2.gz WJRSTSUUTUWYKI-YABSGUDNSA-N 1 2 275.396 3.645 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc(-c2ccc(C)o2)s1)C(F)F ZINC000449361687 330918758 /nfs/dbraw/zinc/91/87/58/330918758.db2.gz LFXLZRUWJSSZRA-JTQLQIEISA-N 1 2 286.347 3.845 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(F)c(Cl)c1)C(F)F ZINC000449364968 330919022 /nfs/dbraw/zinc/91/90/22/330919022.db2.gz UQEIXJVQNAJWCZ-SNVBAGLBSA-N 1 2 251.679 3.612 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnc(C2CCCC2)s1)C(F)F ZINC000449366162 330919152 /nfs/dbraw/zinc/91/91/52/330919152.db2.gz XUFOLYGVWBZNBQ-LLVKDONJSA-N 1 2 274.380 3.934 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(-c2cccc(F)c2F)o1 ZINC000449379906 330920835 /nfs/dbraw/zinc/92/08/35/330920835.db2.gz KQIAZYGYOJCRBL-UHFFFAOYSA-N 1 2 287.256 3.622 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(OCC2CC2)cc1)C(C)(F)F ZINC000449417771 330924127 /nfs/dbraw/zinc/92/41/27/330924127.db2.gz ZLPSERSUPDWFJK-NSHDSACASA-N 1 2 269.335 3.609 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccc([C@@H]3C[C@H]3C)o2)o1 ZINC000449610837 330939626 /nfs/dbraw/zinc/93/96/26/330939626.db2.gz IAKVHSGEBJPWRU-BXUZGUMPSA-N 1 2 274.364 3.633 20 0 CHADLO COC(C)(C)C[C@H](C)Nc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000450357004 330974499 /nfs/dbraw/zinc/97/44/99/330974499.db2.gz YBAARJQXINTMFG-NSHDSACASA-N 1 2 298.390 3.727 20 0 CHADLO CC[C@@H](CC(C)C)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000450377294 330975264 /nfs/dbraw/zinc/97/52/64/330975264.db2.gz IUTBBRZNZRCTLH-HNNXBMFYSA-N 1 2 299.418 3.765 20 0 CHADLO Cc1nc(N[C@H]2CCCc3c(F)cc(F)cc32)cc[nH+]1 ZINC000450566992 330987070 /nfs/dbraw/zinc/98/70/70/330987070.db2.gz VSPIDGXXBNABFC-AWEZNQCLSA-N 1 2 275.302 3.553 20 0 CHADLO Cc1cc([C@@H]([NH2+][C@@H](C)c2csnn2)C2CC2)ccc1F ZINC000450698231 330994262 /nfs/dbraw/zinc/99/42/62/330994262.db2.gz VSPGHHWXLTXDHE-BONVTDFDSA-N 1 2 291.395 3.788 20 0 CHADLO Cc1nc(N2CC(c3ccc(Cl)cc3)C2)c(C)c(C)[nH+]1 ZINC000450828020 331001457 /nfs/dbraw/zinc/00/14/57/331001457.db2.gz LQZBFNGTKGRRDZ-UHFFFAOYSA-N 1 2 287.794 3.659 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1nnc(C(C)C)s1)C2 ZINC000450830460 331001635 /nfs/dbraw/zinc/00/16/35/331001635.db2.gz DALWMTZNPNXMLF-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1nnc(C(C)C)s1)C2 ZINC000450830460 331001636 /nfs/dbraw/zinc/00/16/36/331001636.db2.gz DALWMTZNPNXMLF-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(C2CC2)c1)c1csnn1 ZINC000451109630 331015815 /nfs/dbraw/zinc/01/58/15/331015815.db2.gz BIMRZLZSUYYUGS-WDEREUQCSA-N 1 2 273.405 3.827 20 0 CHADLO Cc1c(Cl)c(C[N@@H+]2CC[C@H](F)C2)nc2ccccc12 ZINC000451182384 331019203 /nfs/dbraw/zinc/01/92/03/331019203.db2.gz HFFGDSKZGAKORB-NSHDSACASA-N 1 2 278.758 3.740 20 0 CHADLO Cc1c(Cl)c(C[N@H+]2CC[C@H](F)C2)nc2ccccc12 ZINC000451182384 331019204 /nfs/dbraw/zinc/01/92/04/331019204.db2.gz HFFGDSKZGAKORB-NSHDSACASA-N 1 2 278.758 3.740 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451853780 331044721 /nfs/dbraw/zinc/04/47/21/331044721.db2.gz MBVVTWHWZWFTBT-INIZCTEOSA-N 1 2 285.391 3.784 20 0 CHADLO c1ccc(C[N@@H+]2CCCC[C@H]2c2nc3ccccc3[nH]2)nc1 ZINC000451880342 331046066 /nfs/dbraw/zinc/04/60/66/331046066.db2.gz VRUNOWOCZQQWBV-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO c1ccc(C[N@H+]2CCCC[C@H]2c2nc3ccccc3[nH]2)nc1 ZINC000451880342 331046067 /nfs/dbraw/zinc/04/60/67/331046067.db2.gz VRUNOWOCZQQWBV-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO C[C@@H]1CCC(C)(C)[N@@H+]1Cc1ncc(Br)s1 ZINC000452004774 331050062 /nfs/dbraw/zinc/05/00/62/331050062.db2.gz IJELTYUTSHUANP-MRVPVSSYSA-N 1 2 289.242 3.669 20 0 CHADLO C[C@@H]1CCC(C)(C)[N@H+]1Cc1ncc(Br)s1 ZINC000452004774 331050063 /nfs/dbraw/zinc/05/00/63/331050063.db2.gz IJELTYUTSHUANP-MRVPVSSYSA-N 1 2 289.242 3.669 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nnc(C3CC3)s2)cc1C ZINC000452040326 331051457 /nfs/dbraw/zinc/05/14/57/331051457.db2.gz GRVPJLXYAWRFBX-UHFFFAOYSA-N 1 2 287.432 3.664 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nnc(C3CC3)s2)cc1C ZINC000452040326 331051458 /nfs/dbraw/zinc/05/14/58/331051458.db2.gz GRVPJLXYAWRFBX-UHFFFAOYSA-N 1 2 287.432 3.664 20 0 CHADLO FC(F)(F)C[C@@H]([NH2+][C@H]1CCn2ccnc21)c1ccccc1 ZINC000453059971 331085498 /nfs/dbraw/zinc/08/54/98/331085498.db2.gz MCBDLAHXYPJXIG-QWHCGFSZSA-N 1 2 295.308 3.611 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@H]2CC[N@@H+]3CCCC[C@H]23)c2sccc21 ZINC000453070134 331086351 /nfs/dbraw/zinc/08/63/51/331086351.db2.gz ZZEMZJHAGNGXOS-SYAUCNOPSA-N 1 2 290.476 3.903 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@H]2CC[N@H+]3CCCC[C@H]23)c2sccc21 ZINC000453070134 331086352 /nfs/dbraw/zinc/08/63/52/331086352.db2.gz ZZEMZJHAGNGXOS-SYAUCNOPSA-N 1 2 290.476 3.903 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nccn1CC)c1cc(F)ccc1F ZINC000453136682 331093083 /nfs/dbraw/zinc/09/30/83/331093083.db2.gz GCTSBTDFEFVTES-IAQYHMDHSA-N 1 2 293.361 3.983 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(C(=O)C(C)C)cc2)c1 ZINC000453151121 331094540 /nfs/dbraw/zinc/09/45/40/331094540.db2.gz AXOQRKBCPXZOAM-UHFFFAOYSA-N 1 2 298.386 3.849 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1cc(F)c(F)c(F)c1 ZINC000453164199 331096124 /nfs/dbraw/zinc/09/61/24/331096124.db2.gz UDVZDDLKUFKOOC-VYUIOLGVSA-N 1 2 295.308 3.657 20 0 CHADLO FC(F)(F)Cc1ccc(N[C@H]2CCn3cc[nH+]c32)cc1 ZINC000453165472 331096239 /nfs/dbraw/zinc/09/62/39/331096239.db2.gz MGFAOFUJPRCCAU-LBPRGKRZSA-N 1 2 281.281 3.545 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1ccc(F)c(Cl)c1 ZINC000453180161 331097871 /nfs/dbraw/zinc/09/78/71/331097871.db2.gz KIADISHDSUCYPP-SECBINFHSA-N 1 2 267.735 3.869 20 0 CHADLO CCc1ccc(N[C@@H](C)c2[nH+]ccn2CC)cc1C ZINC000453186995 331098787 /nfs/dbraw/zinc/09/87/87/331098787.db2.gz UZZHQKPKHKRIEA-ZDUSSCGKSA-N 1 2 257.381 3.947 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1oc2ccccc2c1OC ZINC000453203039 331100968 /nfs/dbraw/zinc/10/09/68/331100968.db2.gz DTISDOKFLOTQBS-LBPRGKRZSA-N 1 2 299.374 3.509 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cnn(Cc2ccccc2)c1)C(F)F ZINC000453246979 331106951 /nfs/dbraw/zinc/10/69/51/331106951.db2.gz NEPSCKHDDTZQCV-WFASDCNBSA-N 1 2 293.361 3.626 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(C)ccc1OC)C(F)F ZINC000453250631 331107501 /nfs/dbraw/zinc/10/75/01/331107501.db2.gz SBGXSJPWGBEKHL-CMPLNLGQSA-N 1 2 257.324 3.698 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cccc(OC(F)F)c1)C(F)F ZINC000453253287 331107951 /nfs/dbraw/zinc/10/79/51/331107951.db2.gz WPNPNOSXIDBLTK-KCJUWKMLSA-N 1 2 279.277 3.982 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1cnn(Cc2ccccc2)c1 ZINC000453273979 331109869 /nfs/dbraw/zinc/10/98/69/331109869.db2.gz ALHJQNXHXWUXBG-OLZOCXBDSA-N 1 2 293.361 3.626 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2c1c(F)ccc2F)C(C)(F)F ZINC000453279696 331110562 /nfs/dbraw/zinc/11/05/62/331110562.db2.gz GCCSFYXPILKDNL-WRWORJQWSA-N 1 2 261.262 3.585 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1N(C)C)C(C)(F)F ZINC000453281601 331110610 /nfs/dbraw/zinc/11/06/10/331110610.db2.gz HJSLVPKEEYKMTH-VHSXEESVSA-N 1 2 274.330 3.586 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1csc(Cl)c1 ZINC000453339202 331118966 /nfs/dbraw/zinc/11/89/66/331118966.db2.gz GHHCZCGTKCRAFC-QXEWZRGKSA-N 1 2 281.812 3.955 20 0 CHADLO C[C@@H]1C[C@H](N[C@@H](c2ccccc2)C(F)(F)F)c2[nH+]ccn21 ZINC000453341899 331119306 /nfs/dbraw/zinc/11/93/06/331119306.db2.gz HKXNDLIFXRHIDK-WXHSDQCUSA-N 1 2 295.308 3.782 20 0 CHADLO COc1cccnc1C[NH2+][C@H](C)c1cc2ccc(C)cc2o1 ZINC000453376969 331125026 /nfs/dbraw/zinc/12/50/26/331125026.db2.gz VKIVJYJIRXZRKC-CYBMUJFWSA-N 1 2 296.370 3.996 20 0 CHADLO CC[C@@H](NC(=O)Nc1ccc(C)[nH+]c1C)C1CCCC1 ZINC000455035728 331159307 /nfs/dbraw/zinc/15/93/07/331159307.db2.gz AYFKETUMQGWQSY-CQSZACIVSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1ccc(NC(=O)N(C)Cc2ccc(C)c(C)c2)c(C)[nH+]1 ZINC000455047076 331159506 /nfs/dbraw/zinc/15/95/06/331159506.db2.gz PDSGMWRZETZIBU-UHFFFAOYSA-N 1 2 297.402 3.979 20 0 CHADLO CCC(C)(CC)NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455480066 331170682 /nfs/dbraw/zinc/17/06/82/331170682.db2.gz HCWVUJGQAHYGOL-UHFFFAOYSA-N 1 2 263.385 3.707 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](C)C(C)(C)C2)c(C)[nH+]1 ZINC000455479307 331170686 /nfs/dbraw/zinc/17/06/86/331170686.db2.gz GGDVPHSRBBIDLX-LBPRGKRZSA-N 1 2 289.423 3.907 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)C[C@@H](C)[C@H]2C)c(C)[nH+]1 ZINC000455528563 331172260 /nfs/dbraw/zinc/17/22/60/331172260.db2.gz QPIRDKRMWFDYCX-UEKVPHQBSA-N 1 2 289.423 3.905 20 0 CHADLO Cc1cc(C)c(CNC(=O)N(C)[C@H](C)c2ccccc2)c[nH+]1 ZINC000455566524 331173195 /nfs/dbraw/zinc/17/31/95/331173195.db2.gz YHLQXWACMIPRNC-OAHLLOKOSA-N 1 2 297.402 3.601 20 0 CHADLO Cc1ccc(NC(=O)C2=CCC(C)(C)CC2)c(C)[nH+]1 ZINC000455960013 331181509 /nfs/dbraw/zinc/18/15/09/331181509.db2.gz XJCUYDMIUWBPKU-UHFFFAOYSA-N 1 2 258.365 3.773 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C[C@H](C)c1ccccc1C ZINC000456345814 331190427 /nfs/dbraw/zinc/19/04/27/331190427.db2.gz GXGKOVGJSVNHDV-GJZGRUSLSA-N 1 2 299.418 3.582 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000456353438 331190632 /nfs/dbraw/zinc/19/06/32/331190632.db2.gz MIVJPFCFMNDWGW-ZFWWWQNUSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C[C@H](C)C1CCCCC1 ZINC000456366524 331191211 /nfs/dbraw/zinc/19/12/11/331191211.db2.gz BTVYHJKCYQGMNI-UONOGXRCSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1cccc(C2CCC2)c1 ZINC000456371815 331191515 /nfs/dbraw/zinc/19/15/15/331191515.db2.gz YXMWTOOLKVTMGC-CYBMUJFWSA-N 1 2 297.402 3.662 20 0 CHADLO C[C@H]1C[C@H](NC(=O)CCCCC(C)(C)C)c2[nH+]ccn21 ZINC000456416327 331192344 /nfs/dbraw/zinc/19/23/44/331192344.db2.gz JWBKHBXCCMRAIN-STQMWFEESA-N 1 2 277.412 3.612 20 0 CHADLO Cc1ccc(/C=C/CC(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000456833986 331206983 /nfs/dbraw/zinc/20/69/83/331206983.db2.gz HHCQFXIQAWHGCL-SNAWJCMRSA-N 1 2 294.398 3.727 20 0 CHADLO Cc1ccc(/C=C\CC(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000456833985 331206988 /nfs/dbraw/zinc/20/69/88/331206988.db2.gz HHCQFXIQAWHGCL-PLNGDYQASA-N 1 2 294.398 3.727 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@@H]2CCC[C@H](C)C2)c[nH+]1 ZINC000456840721 331207141 /nfs/dbraw/zinc/20/71/41/331207141.db2.gz FADIRAKMPRCKAM-SWLSCSKDSA-N 1 2 274.408 3.531 20 0 CHADLO C[NH+](C)Cc1csc(NC(=O)CC2(C)CCCCC2)n1 ZINC000457305698 331218549 /nfs/dbraw/zinc/21/85/49/331218549.db2.gz DMWCYMIDQCFTJG-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO C[NH+](C)Cc1csc(NC(=O)C2(C)CCCCCC2)n1 ZINC000457302982 331218564 /nfs/dbraw/zinc/21/85/64/331218564.db2.gz CFXSAGQYTTWFMG-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO CC(C)CCCCC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000457530649 331226069 /nfs/dbraw/zinc/22/60/69/331226069.db2.gz ZTTJWUCKTGMFAJ-CQSZACIVSA-N 1 2 277.412 3.680 20 0 CHADLO CC(C)CCCCC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000457691457 331231310 /nfs/dbraw/zinc/23/13/10/331231310.db2.gz KXQWPFSYJIUUKZ-UHFFFAOYSA-N 1 2 299.418 3.909 20 0 CHADLO CC(C)CCCCC(=O)N1CC(C)(C)[C@@H]1c1[nH+]ccn1C ZINC000457838541 331236212 /nfs/dbraw/zinc/23/62/12/331236212.db2.gz DRDVZCUBSRRBJQ-HNNXBMFYSA-N 1 2 291.439 3.546 20 0 CHADLO Cc1ccc(N[C@H](C)CCc2ccc3c(c2)OCO3)c[nH+]1 ZINC000164548505 331251890 /nfs/dbraw/zinc/25/18/90/331251890.db2.gz GEUZWCIZPCPWJN-CYBMUJFWSA-N 1 2 284.359 3.552 20 0 CHADLO Cc1cc(C)c(CNC(=O)N2C[C@@H](C)c3ccccc32)c[nH+]1 ZINC000459128250 331270868 /nfs/dbraw/zinc/27/08/68/331270868.db2.gz SYNMBENDUSWONK-CYBMUJFWSA-N 1 2 295.386 3.532 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@H+]1Cc1ccncc1F ZINC000459378178 331279054 /nfs/dbraw/zinc/27/90/54/331279054.db2.gz VLHDMQSUEWCVOD-NWDGAFQWSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@@H+]1Cc1ccncc1F ZINC000459378178 331279055 /nfs/dbraw/zinc/27/90/55/331279055.db2.gz VLHDMQSUEWCVOD-NWDGAFQWSA-N 1 2 288.341 3.868 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2C[C@@]2(C)C(C)C)c(C)[nH+]1 ZINC000459572323 331288616 /nfs/dbraw/zinc/28/86/16/331288616.db2.gz AFZRRGBOURCYEG-BBRMVZONSA-N 1 2 275.396 3.563 20 0 CHADLO CCC1(C)C[NH+](Cc2ncc(C(C)(C)C)s2)C1 ZINC000459635604 331291344 /nfs/dbraw/zinc/29/13/44/331291344.db2.gz OSCYRJHDEGINDM-UHFFFAOYSA-N 1 2 252.427 3.673 20 0 CHADLO Clc1ccc(C[NH+]2CC3(C2)CCCOC3)cc1Cl ZINC000459614971 331290655 /nfs/dbraw/zinc/29/06/55/331290655.db2.gz YKJMFVIURDLMFN-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO CC(C)Sc1cccc(Cl)c1C[NH+]1CC(C)(CO)C1 ZINC000459619434 331290810 /nfs/dbraw/zinc/29/08/10/331290810.db2.gz IGOFRUHZPQGDFA-UHFFFAOYSA-N 1 2 299.867 3.655 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1nc2c(s1)CCC2 ZINC000459638393 331291546 /nfs/dbraw/zinc/29/15/46/331291546.db2.gz ZVSUJMFEWRJMRN-SSDOTTSWSA-N 1 2 299.852 3.593 20 0 CHADLO COCCC1(C)C[NH+](Cc2c(Cl)cccc2Cl)C1 ZINC000459637551 331291612 /nfs/dbraw/zinc/29/16/12/331291612.db2.gz XWBIEQLTSPOSTQ-UHFFFAOYSA-N 1 2 288.218 3.852 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CCc3cccc(Cl)c3C2)o1 ZINC000459694409 331294789 /nfs/dbraw/zinc/29/47/89/331294789.db2.gz XCDSMJDZBTYCAI-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CCc3cccc(Cl)c3C2)o1 ZINC000459694409 331294790 /nfs/dbraw/zinc/29/47/90/331294790.db2.gz XCDSMJDZBTYCAI-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO COCc1ccc(C[N@@H+]2CCc3cccc(Cl)c3C2)o1 ZINC000459710615 331295285 /nfs/dbraw/zinc/29/52/85/331295285.db2.gz QKMCHLADQGYDIC-UHFFFAOYSA-N 1 2 291.778 3.638 20 0 CHADLO COCc1ccc(C[N@H+]2CCc3cccc(Cl)c3C2)o1 ZINC000459710615 331295286 /nfs/dbraw/zinc/29/52/86/331295286.db2.gz QKMCHLADQGYDIC-UHFFFAOYSA-N 1 2 291.778 3.638 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)ccc1Cl)c1ccn(C)n1 ZINC000459738450 331297201 /nfs/dbraw/zinc/29/72/01/331297201.db2.gz CXXSPBLCFWOMIC-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO COc1ccsc1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459741322 331297445 /nfs/dbraw/zinc/29/74/45/331297445.db2.gz FIJUNZLSCQJLIH-UHFFFAOYSA-N 1 2 279.792 3.926 20 0 CHADLO COc1ccsc1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459741322 331297446 /nfs/dbraw/zinc/29/74/46/331297446.db2.gz FIJUNZLSCQJLIH-UHFFFAOYSA-N 1 2 279.792 3.926 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCCCC2)cc1)[C@@H]1CCOC1 ZINC000164965860 331305428 /nfs/dbraw/zinc/30/54/28/331305428.db2.gz DHACZLPBWLRLSG-LSDHHAIUSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1cscn1 ZINC000165740954 331306429 /nfs/dbraw/zinc/30/64/29/331306429.db2.gz ZIXYMPKKLTVQTH-LBPRGKRZSA-N 1 2 288.420 3.702 20 0 CHADLO CCN(c1cc(C(C)(C)C)[nH+]c(C(C)C)n1)[C@@H]1CCOC1 ZINC000461886065 331315140 /nfs/dbraw/zinc/31/51/40/331315140.db2.gz PSFNNBKWZQMMQS-CYBMUJFWSA-N 1 2 291.439 3.513 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](C)C3(CCCCC3)C2)no1 ZINC000462473096 331322428 /nfs/dbraw/zinc/32/24/28/331322428.db2.gz HLYGABCTHZIPCR-AWEZNQCLSA-N 1 2 291.439 3.985 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](C)C3(CCCCC3)C2)no1 ZINC000462473096 331322429 /nfs/dbraw/zinc/32/24/29/331322429.db2.gz HLYGABCTHZIPCR-AWEZNQCLSA-N 1 2 291.439 3.985 20 0 CHADLO Cc1nc(N[C@H]2CCCC[C@@H]2c2ccccc2)cc[nH+]1 ZINC000462788834 331329082 /nfs/dbraw/zinc/32/90/82/331329082.db2.gz ISNQIYRROFNOTH-CVEARBPZSA-N 1 2 267.376 3.923 20 0 CHADLO CC[C@H](c1ccccc1)[C@H]1CCCN1c1cc[nH+]c(C)n1 ZINC000462801271 331329729 /nfs/dbraw/zinc/32/97/29/331329729.db2.gz BNHQPRMXOBPWHR-IAGOWNOFSA-N 1 2 281.403 3.948 20 0 CHADLO Cc1nc(N[C@@H]2CCCC[C@@H]2Cc2ccccc2)cc[nH+]1 ZINC000462825426 331331411 /nfs/dbraw/zinc/33/14/11/331331411.db2.gz JFGLRNSNAIDNKM-IAGOWNOFSA-N 1 2 281.403 3.998 20 0 CHADLO Cc1cc(NC2CCC(C)(C)CC2)nc(-c2cccnc2)[nH+]1 ZINC000462875155 331333693 /nfs/dbraw/zinc/33/36/93/331333693.db2.gz RTSCNZSUVNBVIH-UHFFFAOYSA-N 1 2 296.418 3.650 20 0 CHADLO C[C@@H]1CC[N@@H+]([C@@H]2C[C@@H]2c2ccccc2)CC1(F)F ZINC000463215714 331342529 /nfs/dbraw/zinc/34/25/29/331342529.db2.gz XYBGTGXQAPBQLL-MRVWCRGKSA-N 1 2 251.320 3.520 20 0 CHADLO C[C@@H]1CC[N@H+]([C@@H]2C[C@@H]2c2ccccc2)CC1(F)F ZINC000463215714 331342530 /nfs/dbraw/zinc/34/25/30/331342530.db2.gz XYBGTGXQAPBQLL-MRVWCRGKSA-N 1 2 251.320 3.520 20 0 CHADLO CC(C)[C@H](C)SCCc1[nH+]c2ccccc2n1C ZINC000463232726 331343250 /nfs/dbraw/zinc/34/32/50/331343250.db2.gz IFYCCKBMMXVLHJ-LBPRGKRZSA-N 1 2 262.422 3.894 20 0 CHADLO FC(F)C[N@H+](CCCC1CCOCC1)Cc1ccccc1 ZINC000463288928 331345320 /nfs/dbraw/zinc/34/53/20/331345320.db2.gz RDVQKMVVPSUVKZ-UHFFFAOYSA-N 1 2 297.389 3.961 20 0 CHADLO FC(F)C[N@@H+](CCCC1CCOCC1)Cc1ccccc1 ZINC000463288928 331345321 /nfs/dbraw/zinc/34/53/21/331345321.db2.gz RDVQKMVVPSUVKZ-UHFFFAOYSA-N 1 2 297.389 3.961 20 0 CHADLO CC[N@H+](Cc1noc(C2CCCCC2)n1)CC(C)(C)C ZINC000463372747 331346901 /nfs/dbraw/zinc/34/69/01/331346901.db2.gz ALQAUXDACYCLSE-UHFFFAOYSA-N 1 2 279.428 3.985 20 0 CHADLO CC[N@@H+](Cc1noc(C2CCCCC2)n1)CC(C)(C)C ZINC000463372747 331346902 /nfs/dbraw/zinc/34/69/02/331346902.db2.gz ALQAUXDACYCLSE-UHFFFAOYSA-N 1 2 279.428 3.985 20 0 CHADLO CC[C@H](C)CSCCc1[nH+]c2ccccc2n1C ZINC000464792125 331368402 /nfs/dbraw/zinc/36/84/02/331368402.db2.gz IFUGTMMMMOVJGG-LBPRGKRZSA-N 1 2 262.422 3.895 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@](C)(CCC)C2)no1 ZINC000464846956 331370812 /nfs/dbraw/zinc/37/08/12/331370812.db2.gz KOTWGKWURJGYKA-INIZCTEOSA-N 1 2 279.428 3.814 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@](C)(CCC)C2)no1 ZINC000464846956 331370813 /nfs/dbraw/zinc/37/08/13/331370813.db2.gz KOTWGKWURJGYKA-INIZCTEOSA-N 1 2 279.428 3.814 20 0 CHADLO CCc1cc(OCC[C@H]2CCCO2)c2ccccc2[nH+]1 ZINC000468389530 331433570 /nfs/dbraw/zinc/43/35/70/331433570.db2.gz IKKNOLJEACYAJZ-CQSZACIVSA-N 1 2 271.360 3.745 20 0 CHADLO CC[C@@H](C[NH2+][C@H](C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000470331643 331479712 /nfs/dbraw/zinc/47/97/12/331479712.db2.gz CISJLAHZZJXDGD-KGLIPLIRSA-N 1 2 277.408 3.500 20 0 CHADLO C[C@H]1CSc2ccccc2N1Cc1c[nH+]c2ccccn12 ZINC000472577189 331538616 /nfs/dbraw/zinc/53/86/16/331538616.db2.gz ALLFAPSVBXZXHX-ZDUSSCGKSA-N 1 2 295.411 3.835 20 0 CHADLO C[C@H]1CCC[C@@H]1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000086673022 332918908 /nfs/dbraw/zinc/91/89/08/332918908.db2.gz LGOWKCFXQCOGEI-ZFWWWQNUSA-N 1 2 259.397 3.672 20 0 CHADLO CC[C@H](Sc1[nH+]cc2ccccn21)C(=O)OC(C)(C)C ZINC000478528705 331707801 /nfs/dbraw/zinc/70/78/01/331707801.db2.gz NWUYVOKHEZQSNI-LBPRGKRZSA-N 1 2 292.404 3.547 20 0 CHADLO Cc1cc(N2C[C@@H](C)OC(C)(C)C2)c2cccc(F)c2[nH+]1 ZINC000481689480 331812041 /nfs/dbraw/zinc/81/20/41/331812041.db2.gz TXTPKYCHDJFZPO-GFCCVEGCSA-N 1 2 288.366 3.686 20 0 CHADLO COC(=O)[C@H]([NH2+]CCC1CCCCC1)c1cccs1 ZINC000483338243 331869892 /nfs/dbraw/zinc/86/98/92/331869892.db2.gz HYZPKQIABXIMQO-CQSZACIVSA-N 1 2 281.421 3.522 20 0 CHADLO CCCCc1nc(C[NH+]2CCC(C(F)F)CC2)cs1 ZINC000483531204 331878698 /nfs/dbraw/zinc/87/86/98/331878698.db2.gz SRIMUQUJFCPKPN-UHFFFAOYSA-N 1 2 288.407 3.963 20 0 CHADLO Cc1nc(N2C[C@H](c3ccccc3)[C@@H]3CCCC[C@H]32)cc[nH+]1 ZINC000486029216 331929412 /nfs/dbraw/zinc/92/94/12/331929412.db2.gz OEDZGGMLZKJLNT-RCCFBDPRSA-N 1 2 293.414 3.948 20 0 CHADLO Cc1ccn2cc(C[NH2+]Cc3sccc3Cl)nc2c1 ZINC000487279748 331962727 /nfs/dbraw/zinc/96/27/27/331962727.db2.gz ONGSJVZOSCDOBM-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO c1ccc(NC[C@@H]2SCCc3ccccc32)[nH+]c1 ZINC000487652952 331978284 /nfs/dbraw/zinc/97/82/84/331978284.db2.gz ATUBHBZADCILRM-AWEZNQCLSA-N 1 2 256.374 3.524 20 0 CHADLO CC(C)[C@H]1C[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)CS1 ZINC000488343945 332000933 /nfs/dbraw/zinc/00/09/33/332000933.db2.gz BLCYSJWUADGKKJ-GDBMZVCRSA-N 1 2 287.432 3.814 20 0 CHADLO Cc1ccc(N[C@@H]2CS[C@H](C(C)C)C2)c(C)[nH+]1 ZINC000488440063 332008629 /nfs/dbraw/zinc/00/86/29/332008629.db2.gz IUOSEFRPKPXLJA-JSGCOSHPSA-N 1 2 250.411 3.640 20 0 CHADLO Cc1cc(F)ccc1C1CCN(c2cccc[nH+]2)CC1 ZINC000488454509 332010835 /nfs/dbraw/zinc/01/08/35/332010835.db2.gz DHZJVTHQCSFRHK-UHFFFAOYSA-N 1 2 270.351 3.913 20 0 CHADLO Cc1cc[nH+]c(NCCc2nnc(C(C)C)s2)c1Cl ZINC000488764919 332024666 /nfs/dbraw/zinc/02/46/66/332024666.db2.gz SAHIVYROADJQKR-UHFFFAOYSA-N 1 2 296.827 3.673 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+]1CCOc2ccc(F)cc2C1 ZINC000489198288 332043222 /nfs/dbraw/zinc/04/32/22/332043222.db2.gz YTASLERUPZIIBZ-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+]1CCOc2ccc(F)cc2C1 ZINC000489198288 332043223 /nfs/dbraw/zinc/04/32/23/332043223.db2.gz YTASLERUPZIIBZ-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO CCOC[C@H](C)Nc1cc(C)[nH+]c2c(F)cccc12 ZINC000489922474 332072891 /nfs/dbraw/zinc/07/28/91/332072891.db2.gz ITCJGVSSLMJATI-NSHDSACASA-N 1 2 262.328 3.519 20 0 CHADLO CC1(C)CC[C@@H](CNc2[nH+]ccc3ccc(F)cc32)O1 ZINC000490080013 332080601 /nfs/dbraw/zinc/08/06/01/332080601.db2.gz MBQVPEOHANRERZ-ZDUSSCGKSA-N 1 2 274.339 3.743 20 0 CHADLO CCc1nc(C[NH2+]C(C)(C)c2ccccc2F)cs1 ZINC000492003064 332378728 /nfs/dbraw/zinc/37/87/28/332378728.db2.gz UYKAJLHTMLUBDY-UHFFFAOYSA-N 1 2 278.396 3.870 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)/C=C\C1CCC1 ZINC000492222494 332388094 /nfs/dbraw/zinc/38/80/94/332388094.db2.gz PNDNLLVDMOEIGJ-FPLPWBNLSA-N 1 2 274.364 3.554 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2ncc(C(C)C)s2)o1 ZINC000492227444 332388138 /nfs/dbraw/zinc/38/81/38/332388138.db2.gz RGACSXQIBMUWRE-UHFFFAOYSA-N 1 2 293.436 3.805 20 0 CHADLO Cc1ccc(CCNc2[nH+]ccc(C)c2Br)o1 ZINC000575455054 335105169 /nfs/dbraw/zinc/10/51/69/335105169.db2.gz HQBGPQOCMGDHAY-UHFFFAOYSA-N 1 2 295.180 3.709 20 0 CHADLO Cc1ccccc1[C@H](NC(=O)/C=C\c1[nH]cc[nH+]1)C(C)(C)C ZINC000493789530 332454180 /nfs/dbraw/zinc/45/41/80/332454180.db2.gz NXIQSSMSGHBWFG-IBSYWUHOSA-N 1 2 297.402 3.635 20 0 CHADLO C[C@@H](Nc1ccc(C2CCC2)cc1)c1[nH+]ccn1C ZINC000494474175 332474777 /nfs/dbraw/zinc/47/47/77/332474777.db2.gz KBYKSVFWCRDFKK-GFCCVEGCSA-N 1 2 255.365 3.861 20 0 CHADLO C[C@@H]1C[C@H]([NH2+][C@H](CC(C)(C)C)C(F)(F)F)[C@@H]1C ZINC000494933967 332492700 /nfs/dbraw/zinc/49/27/00/332492700.db2.gz UCJMRBCOIPZYFO-CHWFTXMASA-N 1 2 251.336 3.988 20 0 CHADLO Cc1cc(NC(C)(C)Cc2ccccc2Cl)nc[nH+]1 ZINC000495362972 332515753 /nfs/dbraw/zinc/51/57/53/332515753.db2.gz DRXAYYSXOMACFQ-UHFFFAOYSA-N 1 2 275.783 3.872 20 0 CHADLO Cc1cc(N[C@H]2CCCC[C@@H]2c2ccccc2)nc[nH+]1 ZINC000495479648 332520281 /nfs/dbraw/zinc/52/02/81/332520281.db2.gz KGUKZJQRENZJEV-CVEARBPZSA-N 1 2 267.376 3.923 20 0 CHADLO COC(=O)Nc1cccc(NCc2ccc(C)[nH+]c2C)c1C ZINC000496646974 332555743 /nfs/dbraw/zinc/55/57/43/332555743.db2.gz FHQGOEHFQRZBKY-UHFFFAOYSA-N 1 2 299.374 3.797 20 0 CHADLO CCc1[nH+]c(C)ccc1OCCCCC1CCOCC1 ZINC000499992180 332597378 /nfs/dbraw/zinc/59/73/78/332597378.db2.gz WHMZQGRNNXORPB-UHFFFAOYSA-N 1 2 277.408 3.928 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+]C3(C(F)F)CC3)cc2c1C ZINC000500518731 332611934 /nfs/dbraw/zinc/61/19/34/332611934.db2.gz YTMCRARQKJUPMZ-UHFFFAOYSA-N 1 2 264.319 3.672 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)C(=O)OC(C)(C)C)c1ccc(F)cc1 ZINC000502508500 332664025 /nfs/dbraw/zinc/66/40/25/332664025.db2.gz JCLMPUJBMXFKKA-IUODEOHRSA-N 1 2 295.398 3.843 20 0 CHADLO CC[C@H]1C[C@H](CNc2c[nH+]ccc2OC(C)(C)C)CCO1 ZINC000503582265 332693306 /nfs/dbraw/zinc/69/33/06/332693306.db2.gz XTBIPJUMBULDDI-KGLIPLIRSA-N 1 2 292.423 3.876 20 0 CHADLO Cc1cccc2c1[C@@H](C)C[C@H]2[NH2+]C1(C(F)F)CC1 ZINC000503914783 332699113 /nfs/dbraw/zinc/69/91/13/332699113.db2.gz YXFKUEZKCRALNL-CMPLNLGQSA-N 1 2 251.320 3.931 20 0 CHADLO CCc1cc(NCc2ccc(N(C)CC)[nH+]c2)ccc1F ZINC000512564813 332973721 /nfs/dbraw/zinc/97/37/21/332973721.db2.gz MQQYPLANMDYLLQ-UHFFFAOYSA-N 1 2 287.382 3.851 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1CCC[C@H]2CCCC[C@H]21 ZINC000512571915 332973912 /nfs/dbraw/zinc/97/39/12/332973912.db2.gz DPFBMCRTKFYSPW-BZUAXINKSA-N 1 2 286.419 3.611 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1Cc1ccc(C(F)(F)F)cc1 ZINC000513217247 333009260 /nfs/dbraw/zinc/00/92/60/333009260.db2.gz FWGUYXSVTASTPZ-LLVKDONJSA-N 1 2 279.252 3.935 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1Cc1ccc(C(F)(F)F)cc1 ZINC000513217247 333009261 /nfs/dbraw/zinc/00/92/61/333009261.db2.gz FWGUYXSVTASTPZ-LLVKDONJSA-N 1 2 279.252 3.935 20 0 CHADLO CCC[N@H+](CCOc1c(C)cccc1C)CC(F)F ZINC000514008027 333059633 /nfs/dbraw/zinc/05/96/33/333059633.db2.gz YRDIWYNSSPSWCQ-UHFFFAOYSA-N 1 2 271.351 3.659 20 0 CHADLO CCC[N@@H+](CCOc1c(C)cccc1C)CC(F)F ZINC000514008027 333059635 /nfs/dbraw/zinc/05/96/35/333059635.db2.gz YRDIWYNSSPSWCQ-UHFFFAOYSA-N 1 2 271.351 3.659 20 0 CHADLO CC(C)(C)c1cnc(SCc2cn3ccccc3[nH+]2)o1 ZINC000177813236 333063556 /nfs/dbraw/zinc/06/35/56/333063556.db2.gz GYOTZPFYDFRQRC-UHFFFAOYSA-N 1 2 287.388 3.912 20 0 CHADLO Cc1ccc(NC(=O)C2CCC(C)(C)CC2)c(C)[nH+]1 ZINC000178118140 333067924 /nfs/dbraw/zinc/06/79/24/333067924.db2.gz DGYFMWRUMQXBJJ-UHFFFAOYSA-N 1 2 260.381 3.853 20 0 CHADLO CC1(C)CCC(CC(=O)NCc2ccn3cc[nH+]c3c2)CC1 ZINC000178987621 333078776 /nfs/dbraw/zinc/07/87/76/333078776.db2.gz OSVHYALTELJHTL-UHFFFAOYSA-N 1 2 299.418 3.557 20 0 CHADLO CCN(C)c1ccc(CNc2cncc3ccccc32)c[nH+]1 ZINC000516944950 333087358 /nfs/dbraw/zinc/08/73/58/333087358.db2.gz DACPJKROKIBCNU-UHFFFAOYSA-N 1 2 292.386 3.698 20 0 CHADLO CCCc1ccc(C[N@H+](C)[C@H](C)c2nc(C)no2)cc1 ZINC000516978387 333088514 /nfs/dbraw/zinc/08/85/14/333088514.db2.gz DXEMUAHSCBLGQS-GFCCVEGCSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1ccc(C[N@@H+](C)[C@H](C)c2nc(C)no2)cc1 ZINC000516978387 333088515 /nfs/dbraw/zinc/08/85/15/333088515.db2.gz DXEMUAHSCBLGQS-GFCCVEGCSA-N 1 2 273.380 3.524 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(C)cc2Cl)c1 ZINC000214075839 333116553 /nfs/dbraw/zinc/11/65/53/333116553.db2.gz UYUGFGPKXLTQHN-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO CC(C)OCCC[N@@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000185609065 333144746 /nfs/dbraw/zinc/14/47/46/333144746.db2.gz XGSLSBZCNKODAW-INIZCTEOSA-N 1 2 297.826 3.528 20 0 CHADLO CC(C)OCCC[N@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000185609065 333144748 /nfs/dbraw/zinc/14/47/48/333144748.db2.gz XGSLSBZCNKODAW-INIZCTEOSA-N 1 2 297.826 3.528 20 0 CHADLO CCCC[C@H]([NH2+][C@@H](C)c1cc(C)sc1C)C(=O)OC ZINC000219844721 333157806 /nfs/dbraw/zinc/15/78/06/333157806.db2.gz YMUBFZBVBJFGND-FZMZJTMJSA-N 1 2 283.437 3.747 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2ccc(OC(F)F)cc2)o1 ZINC000220902066 333170566 /nfs/dbraw/zinc/17/05/66/333170566.db2.gz QVOZXRDBWVBYRJ-GHMZBOCLSA-N 1 2 296.317 3.996 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)C1CCSCC1 ZINC000187475303 333171373 /nfs/dbraw/zinc/17/13/73/333171373.db2.gz NVKCZKYQLSVCJU-ZDUSSCGKSA-N 1 2 291.464 3.625 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2cccc(F)c2F)o1 ZINC000220958739 333171439 /nfs/dbraw/zinc/17/14/39/333171439.db2.gz JCCVRJGMAYEPGX-VHSXEESVSA-N 1 2 266.291 3.673 20 0 CHADLO C[C@H]1CCC[C@@H]1CC(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000187625283 333173252 /nfs/dbraw/zinc/17/32/52/333173252.db2.gz HSLMRPIMTJWELN-QWHCGFSZSA-N 1 2 283.375 3.842 20 0 CHADLO CCc1ccc(Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000521916600 333223046 /nfs/dbraw/zinc/22/30/46/333223046.db2.gz KIQNVUNUOSTADD-UHFFFAOYSA-N 1 2 253.349 3.968 20 0 CHADLO Cc1cc(OCc2ccn(C(C)C)n2)c2ccccc2[nH+]1 ZINC000192193180 333232163 /nfs/dbraw/zinc/23/21/63/333232163.db2.gz YXYYHRMMNIOLJV-UHFFFAOYSA-N 1 2 281.359 3.900 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCCC3(CCCCC3)C2)no1 ZINC000522074495 333238556 /nfs/dbraw/zinc/23/85/56/333238556.db2.gz LZJCEZVJSDOSRZ-UHFFFAOYSA-N 1 2 291.439 3.913 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCCC3(CCCCC3)C2)no1 ZINC000522074495 333238557 /nfs/dbraw/zinc/23/85/57/333238557.db2.gz LZJCEZVJSDOSRZ-UHFFFAOYSA-N 1 2 291.439 3.913 20 0 CHADLO C[NH+](C)[C@@H](CNCc1sccc1Cl)c1ccccc1 ZINC000192980701 333240969 /nfs/dbraw/zinc/24/09/69/333240969.db2.gz BCDSRFVNZXGMKZ-AWEZNQCLSA-N 1 2 294.851 3.794 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+](C)Cc1nc2ccccc2n1C ZINC000195118070 333245707 /nfs/dbraw/zinc/24/57/07/333245707.db2.gz QANSLTSOMHBBOF-CYBMUJFWSA-N 1 2 297.377 3.905 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+](C)Cc1nc2ccccc2n1C ZINC000195118070 333245709 /nfs/dbraw/zinc/24/57/09/333245709.db2.gz QANSLTSOMHBBOF-CYBMUJFWSA-N 1 2 297.377 3.905 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1nccn1C(F)F ZINC000193425876 333249839 /nfs/dbraw/zinc/24/98/39/333249839.db2.gz GGKVOEIDPGCHFO-GFCCVEGCSA-N 1 2 279.334 3.780 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1nccn1C(F)F ZINC000193425876 333249840 /nfs/dbraw/zinc/24/98/40/333249840.db2.gz GGKVOEIDPGCHFO-GFCCVEGCSA-N 1 2 279.334 3.780 20 0 CHADLO Cc1cccn2cc(CSCCOc3ccccc3)[nH+]c12 ZINC000195539972 333254972 /nfs/dbraw/zinc/25/49/72/333254972.db2.gz USEHNJZSIPFFOZ-UHFFFAOYSA-N 1 2 298.411 3.955 20 0 CHADLO CCC[C@H](C)C(=O)Nc1ccc2[nH+]c(N(C)C)ccc2c1 ZINC000195742957 333263404 /nfs/dbraw/zinc/26/34/04/333263404.db2.gz QNBYWQZBSUTSFK-LBPRGKRZSA-N 1 2 285.391 3.676 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1sccc1Cl ZINC000194303255 333268752 /nfs/dbraw/zinc/26/87/52/333268752.db2.gz RAETYILVMVGMOE-JTQLQIEISA-N 1 2 266.797 3.780 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1sccc1Cl ZINC000194303255 333268753 /nfs/dbraw/zinc/26/87/53/333268753.db2.gz RAETYILVMVGMOE-JTQLQIEISA-N 1 2 266.797 3.780 20 0 CHADLO c1coc(-c2ncc(C[NH+]3Cc4ccccc4C3)s2)c1 ZINC000194382115 333270326 /nfs/dbraw/zinc/27/03/26/333270326.db2.gz PPYRRYQLELVIIP-UHFFFAOYSA-N 1 2 282.368 3.919 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cc(-c2ccco2)on1 ZINC000194980904 333280539 /nfs/dbraw/zinc/28/05/39/333280539.db2.gz ARVQDPGBJGLGDF-NSHDSACASA-N 1 2 272.304 3.721 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cc(-c2ccco2)on1 ZINC000194980904 333280540 /nfs/dbraw/zinc/28/05/40/333280540.db2.gz ARVQDPGBJGLGDF-NSHDSACASA-N 1 2 272.304 3.721 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccccc1)c1ccncc1 ZINC000227899251 333290385 /nfs/dbraw/zinc/29/03/85/333290385.db2.gz PQYHYCGKUWYNRQ-GFCCVEGCSA-N 1 2 262.303 3.524 20 0 CHADLO Cc1c[nH+]cc(NC2CC(c3ccc(F)cc3)C2)c1 ZINC000228829697 333297666 /nfs/dbraw/zinc/29/76/66/333297666.db2.gz TYAVKAKACOTIDF-UHFFFAOYSA-N 1 2 256.324 3.887 20 0 CHADLO CCc1cc(N(C)[C@H](C)C(C)(C)C)nc(-c2ccncc2)[nH+]1 ZINC000523658778 333335608 /nfs/dbraw/zinc/33/56/08/333335608.db2.gz JEZDVOUGIJOLNV-CYBMUJFWSA-N 1 2 298.434 3.972 20 0 CHADLO CC(C)C1CC(Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000232551949 333338612 /nfs/dbraw/zinc/33/86/12/333338612.db2.gz WGBXIOPLXSGVRB-UHFFFAOYSA-N 1 2 255.365 3.719 20 0 CHADLO Cc1cc(N[C@H](C)c2cccc(C)c2C)nc(C2CC2)[nH+]1 ZINC000523874615 333348797 /nfs/dbraw/zinc/34/87/97/333348797.db2.gz PXQVKXOPNDGYKU-CQSZACIVSA-N 1 2 281.403 3.874 20 0 CHADLO CCOC[C@@H](Nc1ccc2ccccc2[nH+]1)C(C)C ZINC000233774026 333354879 /nfs/dbraw/zinc/35/48/79/333354879.db2.gz GIEWLZJATZXVFW-OAHLLOKOSA-N 1 2 258.365 3.708 20 0 CHADLO Fc1ccc2[nH+]c(NCc3cccc(Cl)c3)[nH]c2c1 ZINC000236189042 333373187 /nfs/dbraw/zinc/37/31/87/333373187.db2.gz XKNHDZWSVQSLDT-UHFFFAOYSA-N 1 2 275.714 3.968 20 0 CHADLO c1nc(C[NH2+]Cc2ccc(OCc3ccccc3)cc2)co1 ZINC000236572023 333373604 /nfs/dbraw/zinc/37/36/04/333373604.db2.gz ANFKWQLOPUPMAV-UHFFFAOYSA-N 1 2 294.354 3.543 20 0 CHADLO CC[C@@H]1CCC[C@H](Nc2cc(C)[nH+]c(-c3cccnc3)n2)C1 ZINC000524843491 333390167 /nfs/dbraw/zinc/39/01/67/333390167.db2.gz QBSWDIJLAMOWKV-ZBFHGGJFSA-N 1 2 296.418 3.650 20 0 CHADLO O=C(CCc1ccccc1Cl)Nc1cccc2[nH+]ccn21 ZINC000525106535 333398499 /nfs/dbraw/zinc/39/84/99/333398499.db2.gz SWYFRQPBMOXVMC-UHFFFAOYSA-N 1 2 299.761 3.559 20 0 CHADLO CC(C)(C)c1cc(C[NH2+][C@H](c2ccccn2)C2CCC2)no1 ZINC000528656715 333451092 /nfs/dbraw/zinc/45/10/92/333451092.db2.gz GNYXAPMHABFFNY-KRWDZBQOSA-N 1 2 299.418 3.998 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2nc(C(C)C)no2)C(C)C)c1 ZINC000528768833 333456638 /nfs/dbraw/zinc/45/66/38/333456638.db2.gz SQXGCIYBQNSDDL-INIZCTEOSA-N 1 2 287.407 3.988 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1[C@H](C)[C@H]1C1CC1 ZINC000529225776 333478135 /nfs/dbraw/zinc/47/81/35/333478135.db2.gz MIYXRHHSKFZRGG-XFBWCDHKSA-N 1 2 295.386 3.616 20 0 CHADLO Fc1ccc(C[NH+]2CC(Cc3ccccc3Cl)C2)nc1 ZINC000529570024 333498539 /nfs/dbraw/zinc/49/85/39/333498539.db2.gz TUZOPGZFSXXRET-UHFFFAOYSA-N 1 2 290.769 3.549 20 0 CHADLO CC(C)c1cc(Cl)ccc1NC(=O)C[NH+]1CC(C)(C)C1 ZINC000529849570 333511198 /nfs/dbraw/zinc/51/11/98/333511198.db2.gz ULLGFJZXHBRSMP-UHFFFAOYSA-N 1 2 294.826 3.744 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC[C@@H]2CC=CCC2)c1 ZINC000125213022 333564813 /nfs/dbraw/zinc/56/48/13/333564813.db2.gz LBYONZANPBQSLM-CQSZACIVSA-N 1 2 267.376 3.855 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](C)c2nc(C3CC3)no2)cc1F ZINC000126691722 333602936 /nfs/dbraw/zinc/60/29/36/333602936.db2.gz BGDFIGNAIGBYSU-MNOVXSKESA-N 1 2 289.354 3.806 20 0 CHADLO CC(C)CCc1noc(C[NH2+][C@H](c2ccccc2)C2CC2)n1 ZINC000128072105 333641654 /nfs/dbraw/zinc/64/16/54/333641654.db2.gz KWGFIXUCPGVUEK-GOSISDBHSA-N 1 2 299.418 3.899 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(C)c1ccccc1)C(=O)OC(C)(C)C ZINC000539256396 333663245 /nfs/dbraw/zinc/66/32/45/333663245.db2.gz ZOHQCUXSIFMFLZ-KGLIPLIRSA-N 1 2 291.435 3.673 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1C ZINC000539289270 333664105 /nfs/dbraw/zinc/66/41/05/333664105.db2.gz KEEQDFVEPQOQFZ-KBPBESRZSA-N 1 2 277.408 3.684 20 0 CHADLO CCc1ccc(N[C@H](CC)c2[nH+]ccn2C)cc1C ZINC000539691740 333685837 /nfs/dbraw/zinc/68/58/37/333685837.db2.gz RQRVCWRERXKWSY-OAHLLOKOSA-N 1 2 257.381 3.854 20 0 CHADLO Fc1ccc([C@@H]2CCCN(c3cccc[nH+]3)CC2)cc1 ZINC000539773115 333690061 /nfs/dbraw/zinc/69/00/61/333690061.db2.gz ZJEABAQMFLXEDT-CQSZACIVSA-N 1 2 270.351 3.995 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc([C@H]3C[C@@H]3C)o2)sc1C ZINC000174435632 335155079 /nfs/dbraw/zinc/15/50/79/335155079.db2.gz GTHSSIKTUSDGKZ-ZANVPECISA-N 1 2 276.405 3.766 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCO[C@@H](C(C)C)C1 ZINC000540037990 333706502 /nfs/dbraw/zinc/70/65/02/333706502.db2.gz HTTSGZCTUAQZJS-DOTOQJQBSA-N 1 2 284.403 3.581 20 0 CHADLO Cc1cc(NC(=O)c2ccc(Cl)c(C)c2)cc[nH+]1 ZINC000128941059 333728942 /nfs/dbraw/zinc/72/89/42/333728942.db2.gz NNXKSPXFAWGNEZ-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO Cc1ccc([C@H]2CCN(c3cc[nH+]c4ccncc43)C2)cc1 ZINC000541341566 333773252 /nfs/dbraw/zinc/77/32/52/333773252.db2.gz UNJWGYSXRMFDHD-INIZCTEOSA-N 1 2 289.382 3.932 20 0 CHADLO Fc1ccc(-c2nc(C[NH2+]Cc3ccoc3)cs2)cc1 ZINC000069833115 333793663 /nfs/dbraw/zinc/79/36/63/333793663.db2.gz GUOUUBLEIOCCFM-UHFFFAOYSA-N 1 2 288.347 3.832 20 0 CHADLO CC(C)[C@@H]1C[C@@H](Nc2c[nH+]c3c(c2)CCCC3)CCO1 ZINC000544041618 333912096 /nfs/dbraw/zinc/91/20/96/333912096.db2.gz SJZXMLMYZLTKNA-YOEHRIQHSA-N 1 2 274.408 3.576 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2ccc(C(C)(C)C)cc2)o1 ZINC000544513147 333933994 /nfs/dbraw/zinc/93/39/94/333933994.db2.gz VRMOYCWTDBBCPL-LLVKDONJSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1cccn2cc(Cc3noc(C4CCCCC4)n3)[nH+]c12 ZINC000545678467 333994426 /nfs/dbraw/zinc/99/44/26/333994426.db2.gz WZLBXDAXONEJBN-UHFFFAOYSA-N 1 2 296.374 3.664 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCc4ccsc4C3)nc2c1 ZINC000132917892 334010293 /nfs/dbraw/zinc/01/02/93/334010293.db2.gz RAAFZZBFYYWMIV-UHFFFAOYSA-N 1 2 288.347 3.587 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCc4ccsc4C3)nc2c1 ZINC000132917892 334010295 /nfs/dbraw/zinc/01/02/95/334010295.db2.gz RAAFZZBFYYWMIV-UHFFFAOYSA-N 1 2 288.347 3.587 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000074687369 334011994 /nfs/dbraw/zinc/01/19/94/334011994.db2.gz BWXXHSOXDNZSMD-HNNXBMFYSA-N 1 2 299.418 3.557 20 0 CHADLO Cc1cc(OCc2ccc(CO)cc2)c2cccc(C)c2[nH+]1 ZINC000546180770 334018597 /nfs/dbraw/zinc/01/85/97/334018597.db2.gz MEYMBIIFHJATGS-UHFFFAOYSA-N 1 2 293.366 3.923 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+]Cc1nnsc1Cl ZINC000546225401 334020302 /nfs/dbraw/zinc/02/03/02/334020302.db2.gz IBYWQHXNLBQESC-JTQLQIEISA-N 1 2 281.812 3.659 20 0 CHADLO Cn1c2ccc(NC(=O)CC(C)(C)C)cc2[nH+]c1C1CC1 ZINC000074985861 334021836 /nfs/dbraw/zinc/02/18/36/334021836.db2.gz VYXDMXCEWWCMPB-UHFFFAOYSA-N 1 2 285.391 3.825 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1nc(-c2ccccc2)c[nH]1 ZINC000133614450 334047684 /nfs/dbraw/zinc/04/76/84/334047684.db2.gz IEVJOKYTLMYUDD-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1nc(-c2ccccc2)c[nH]1 ZINC000133614450 334047685 /nfs/dbraw/zinc/04/76/85/334047685.db2.gz IEVJOKYTLMYUDD-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO Cc1ccc(Cc2noc(-c3cc4c(s3)CCC4)n2)c[nH+]1 ZINC000547045645 334063673 /nfs/dbraw/zinc/06/36/73/334063673.db2.gz ADVDIJIRWUKLBB-UHFFFAOYSA-N 1 2 297.383 3.581 20 0 CHADLO C[C@H]1CCC[C@@H](c2noc(Cc3cn4ccccc4[nH+]3)n2)C1 ZINC000547056398 334064253 /nfs/dbraw/zinc/06/42/53/334064253.db2.gz IQKRYXHDBKRSIM-QWHCGFSZSA-N 1 2 296.374 3.602 20 0 CHADLO C[C@H]1CCC[C@@H]1CC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000547424490 334087243 /nfs/dbraw/zinc/08/72/43/334087243.db2.gz ZNGOEBMIIHOAOD-DZGCQCFKSA-N 1 2 297.402 3.519 20 0 CHADLO c1coc([C@H]2CCCCC[N@H+]2Cc2nnc(C3CC3)o2)c1 ZINC000077177113 334097467 /nfs/dbraw/zinc/09/74/67/334097467.db2.gz DQPYSIKWHRCIBS-CYBMUJFWSA-N 1 2 287.363 3.657 20 0 CHADLO c1coc([C@H]2CCCCC[N@@H+]2Cc2nnc(C3CC3)o2)c1 ZINC000077177113 334097468 /nfs/dbraw/zinc/09/74/68/334097468.db2.gz DQPYSIKWHRCIBS-CYBMUJFWSA-N 1 2 287.363 3.657 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](C)c2nc3c(s2)CCC3)o1 ZINC000134679315 334100381 /nfs/dbraw/zinc/10/03/81/334100381.db2.gz GMJFFMTVLLFLSU-MNOVXSKESA-N 1 2 276.405 3.945 20 0 CHADLO c1cc2cccc(NCc3ccc(N4CCCC4)[nH+]c3)c2[nH]1 ZINC000547828755 334123783 /nfs/dbraw/zinc/12/37/83/334123783.db2.gz ABNCNJROADACMV-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO CCc1cc(C)c(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)o1 ZINC000136551928 334157618 /nfs/dbraw/zinc/15/76/18/334157618.db2.gz SULCGVWSHUYKFO-UHFFFAOYSA-N 1 2 295.342 3.793 20 0 CHADLO Cc1c[nH+]cc(N[C@@H](C)CCc2ccc(O)cc2)c1 ZINC000136617268 334159468 /nfs/dbraw/zinc/15/94/68/334159468.db2.gz LJLHBBKEOQNQCV-ZDUSSCGKSA-N 1 2 256.349 3.529 20 0 CHADLO Cc1cccc2[nH+]cc(CNc3cccc4cc[nH]c43)n21 ZINC000548510706 334161601 /nfs/dbraw/zinc/16/16/01/334161601.db2.gz HZHSNKDRRROXKG-UHFFFAOYSA-N 1 2 276.343 3.736 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(C(C)C)cc2)o1 ZINC000079876759 334163649 /nfs/dbraw/zinc/16/36/49/334163649.db2.gz RTKBBEFRMAJIGT-VXGBXAGGSA-N 1 2 273.380 3.913 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)CCc2cccc(F)c2)cc[nH+]1 ZINC000080220996 334167807 /nfs/dbraw/zinc/16/78/07/334167807.db2.gz KHSZCBJZRNEWAI-LBPRGKRZSA-N 1 2 286.350 3.737 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@H]2C(F)F)s1 ZINC000548795251 334174548 /nfs/dbraw/zinc/17/45/48/334174548.db2.gz HMOJPNYNUKJFDN-LBPRGKRZSA-N 1 2 259.365 3.930 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@H]2C(F)F)s1 ZINC000548795251 334174549 /nfs/dbraw/zinc/17/45/49/334174549.db2.gz HMOJPNYNUKJFDN-LBPRGKRZSA-N 1 2 259.365 3.930 20 0 CHADLO CCC(CC)([NH2+]C/C=C\c1ccc(Cl)cc1)C(=O)OC ZINC000548935656 334180771 /nfs/dbraw/zinc/18/07/71/334180771.db2.gz RIYMPJYDLVBUJU-SREVYHEPSA-N 1 2 295.810 3.675 20 0 CHADLO Fc1ccccc1[C@]1(F)CCN(c2[nH]c3ccccc3[nH+]2)C1 ZINC000548990639 334182226 /nfs/dbraw/zinc/18/22/26/334182226.db2.gz VPSNKHLXXNLQJR-KRWDZBQOSA-N 1 2 299.324 3.777 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)c1cc(F)cc(F)c1 ZINC000549057942 334184144 /nfs/dbraw/zinc/18/41/44/334184144.db2.gz UEZCCKHQVZFZHF-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO CC(F)(F)CNc1[nH+]cccc1OCc1ccccc1 ZINC000549190963 334187382 /nfs/dbraw/zinc/18/73/82/334187382.db2.gz SPUPEONOISMSRU-UHFFFAOYSA-N 1 2 278.302 3.728 20 0 CHADLO CO[C@@H](CSCc1cn2ccccc2[nH+]1)c1ccccc1 ZINC000549559510 334198069 /nfs/dbraw/zinc/19/80/69/334198069.db2.gz WABARZUGCLWSKQ-INIZCTEOSA-N 1 2 298.411 3.955 20 0 CHADLO CC(C)c1nn(-c2ccccc2)cc1C[NH2+]CC(C)(F)F ZINC000549862866 334207167 /nfs/dbraw/zinc/20/71/67/334207167.db2.gz GBDVSDKHNUUOCG-UHFFFAOYSA-N 1 2 293.361 3.741 20 0 CHADLO Cc1cc(C[NH2+]CC(C)(F)F)ccc1Oc1cccnc1 ZINC000550256395 334217752 /nfs/dbraw/zinc/21/77/52/334217752.db2.gz ZUPRSUNRCCNFSJ-UHFFFAOYSA-N 1 2 292.329 3.927 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1CCOc1c(Cl)cccc1Cl ZINC000550409546 334221877 /nfs/dbraw/zinc/22/18/77/334221877.db2.gz MSMVEZJMNRICGU-JTQLQIEISA-N 1 2 292.181 3.806 20 0 CHADLO FC[C@@H]1CCC[N@H+]1CCOc1c(Cl)cccc1Cl ZINC000550409546 334221878 /nfs/dbraw/zinc/22/18/78/334221878.db2.gz MSMVEZJMNRICGU-JTQLQIEISA-N 1 2 292.181 3.806 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247095849 334275703 /nfs/dbraw/zinc/27/57/03/334275703.db2.gz YSRJFXLDUZLRJL-MEDUHNTESA-N 1 2 293.313 3.692 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247095849 334275705 /nfs/dbraw/zinc/27/57/05/334275705.db2.gz YSRJFXLDUZLRJL-MEDUHNTESA-N 1 2 293.313 3.692 20 0 CHADLO CCOC(=O)C[N@H+](CC)C(c1ccccc1)c1ccccc1 ZINC000551699262 334258102 /nfs/dbraw/zinc/25/81/02/334258102.db2.gz VAEMYJFRTYOJGY-UHFFFAOYSA-N 1 2 297.398 3.661 20 0 CHADLO CCOC(=O)C[N@@H+](CC)C(c1ccccc1)c1ccccc1 ZINC000551699262 334258103 /nfs/dbraw/zinc/25/81/03/334258103.db2.gz VAEMYJFRTYOJGY-UHFFFAOYSA-N 1 2 297.398 3.661 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000552315432 334316030 /nfs/dbraw/zinc/31/60/30/334316030.db2.gz RMCWOXQFPFWDQU-KRWDZBQOSA-N 1 2 281.403 3.630 20 0 CHADLO CCn1ccnc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000552315432 334316031 /nfs/dbraw/zinc/31/60/31/334316031.db2.gz RMCWOXQFPFWDQU-KRWDZBQOSA-N 1 2 281.403 3.630 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@H](C)c2cscn2)ccc1F ZINC000552579105 334337069 /nfs/dbraw/zinc/33/70/69/334337069.db2.gz SASKOMPPRUXYDQ-VHSXEESVSA-N 1 2 280.368 3.703 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ncc[nH]2)c(Oc2ccccc2)c1 ZINC000088754500 334341274 /nfs/dbraw/zinc/34/12/74/334341274.db2.gz DGXRWCKVHJPJQV-UHFFFAOYSA-N 1 2 293.370 3.800 20 0 CHADLO CCCC(=O)Nc1ccc2c(c1)[nH+]c(C(C)(C)C)n2C ZINC000089871129 334352726 /nfs/dbraw/zinc/35/27/26/334352726.db2.gz DADKKGCBKWAIBV-UHFFFAOYSA-N 1 2 273.380 3.609 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)CCCc1cc(F)ccc1F ZINC000090559756 334363579 /nfs/dbraw/zinc/36/35/79/334363579.db2.gz SJOIEQQWQMEVKY-UHFFFAOYSA-N 1 2 290.313 3.630 20 0 CHADLO CCc1cc(OCc2noc(C(C)C)n2)c2ccccc2[nH+]1 ZINC000092334008 334409616 /nfs/dbraw/zinc/40/96/16/334409616.db2.gz UJWQIOZVBNJTGB-UHFFFAOYSA-N 1 2 297.358 3.883 20 0 CHADLO CC[C@@H](C)CN(C)c1ccc(F)cc1CNc1c[nH]c[nH+]1 ZINC000555104606 334468663 /nfs/dbraw/zinc/46/86/63/334468663.db2.gz NBXABPJFYFJLBY-GFCCVEGCSA-N 1 2 290.386 3.643 20 0 CHADLO CCc1c[nH]c(SCCCc2[nH]c3ccccc3[nH+]2)n1 ZINC000565634468 334582043 /nfs/dbraw/zinc/58/20/43/334582043.db2.gz OYJVBYFHIQPCNR-UHFFFAOYSA-N 1 2 286.404 3.573 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)c2ccc(C3CCC3)cc2)[nH+]1 ZINC000565838939 334602064 /nfs/dbraw/zinc/60/20/64/334602064.db2.gz UHCNWLGZCZLBQM-UHFFFAOYSA-N 1 2 297.402 3.651 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1cncc(F)c1 ZINC000154830338 334705376 /nfs/dbraw/zinc/70/53/76/334705376.db2.gz ULFCJJKWNCRKGF-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CC[C@H]([NH2+]Cc1nnsc1Cl)c1ccc(C)cc1 ZINC000155896457 334731446 /nfs/dbraw/zinc/73/14/46/334731446.db2.gz HRQLBDQJPBOKBC-NSHDSACASA-N 1 2 281.812 3.741 20 0 CHADLO CC[C@H]([NH2+]Cc1cocn1)c1ccc(Cl)s1 ZINC000272250965 334750946 /nfs/dbraw/zinc/75/09/46/334750946.db2.gz ZPIIANLAFUGJHY-VIFPVBQESA-N 1 2 256.758 3.630 20 0 CHADLO CC(C)c1ccccc1NC(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000572832802 334882055 /nfs/dbraw/zinc/88/20/55/334882055.db2.gz UKEGNVADKUQXCI-DOMZBBRYSA-N 1 2 298.390 3.834 20 0 CHADLO Cc1nsc(C)c1C[N@@H+]1CCc2c(F)ccc(F)c2C1 ZINC000576409190 335200418 /nfs/dbraw/zinc/20/04/18/335200418.db2.gz XYXFZKGYRYOCDF-UHFFFAOYSA-N 1 2 294.370 3.596 20 0 CHADLO Cc1nsc(C)c1C[N@H+]1CCc2c(F)ccc(F)c2C1 ZINC000576409190 335200421 /nfs/dbraw/zinc/20/04/21/335200421.db2.gz XYXFZKGYRYOCDF-UHFFFAOYSA-N 1 2 294.370 3.596 20 0 CHADLO CC(F)(F)CC(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000576534350 335216678 /nfs/dbraw/zinc/21/66/78/335216678.db2.gz HFFMYQQTGFKKRV-UHFFFAOYSA-N 1 2 293.317 3.814 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+]CCCF)C(F)F)c1 ZINC000576597070 335224715 /nfs/dbraw/zinc/22/47/15/335224715.db2.gz HHVNMASSAHQOMC-CYBMUJFWSA-N 1 2 275.314 3.729 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@@H](C)c2cscn2)o1 ZINC000580572076 335285915 /nfs/dbraw/zinc/28/59/15/335285915.db2.gz MMVCBHBWALTYGS-VHSXEESVSA-N 1 2 250.367 3.710 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H](C(C)(C)C)CC2)no1 ZINC000577051439 335290033 /nfs/dbraw/zinc/29/00/33/335290033.db2.gz MEIAMISHOLTIKD-CYBMUJFWSA-N 1 2 279.428 3.841 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H](C(C)(C)C)CC2)no1 ZINC000577051439 335290035 /nfs/dbraw/zinc/29/00/35/335290035.db2.gz MEIAMISHOLTIKD-CYBMUJFWSA-N 1 2 279.428 3.841 20 0 CHADLO c1csc(-c2cccc(N[C@@H]3CCn4cc[nH+]c43)c2)n1 ZINC000345323772 335313323 /nfs/dbraw/zinc/31/33/23/335313323.db2.gz APDHLTQMNMGZMI-CYBMUJFWSA-N 1 2 282.372 3.564 20 0 CHADLO CCCC[C@@H](CCC)CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000577224340 335326105 /nfs/dbraw/zinc/32/61/05/335326105.db2.gz VQFQLYHZHZSGEJ-LSDHHAIUSA-N 1 2 279.428 3.557 20 0 CHADLO Cc1ccc(NC(=O)N(C)CCCc2ccccc2)c(C)[nH+]1 ZINC000176732423 335328232 /nfs/dbraw/zinc/32/82/32/335328232.db2.gz RCPNQWTXPVKCJT-UHFFFAOYSA-N 1 2 297.402 3.795 20 0 CHADLO CCc1cc(C[NH2+][C@H](C)c2cc(F)c(F)c(F)c2)on1 ZINC000577445208 335352698 /nfs/dbraw/zinc/35/26/98/335352698.db2.gz PWODCXMALALULK-MRVPVSSYSA-N 1 2 284.281 3.505 20 0 CHADLO CCCOc1cc(C)ccc1C[NH2+][C@H](C)c1cc(C)on1 ZINC000577446041 335353105 /nfs/dbraw/zinc/35/31/05/335353105.db2.gz NHRSYVQTLBAEGD-CQSZACIVSA-N 1 2 288.391 3.931 20 0 CHADLO O=C(Nc1cccc(Oc2cc[nH+]cc2)c1)[C@@H]1C[C@H]1C1CC1 ZINC000177353226 335370862 /nfs/dbraw/zinc/37/08/62/335370862.db2.gz YQXHLAFEPGMMSW-DLBZAZTESA-N 1 2 294.354 3.859 20 0 CHADLO O=C1CC[C@@H](Nc2ccc3c(c2)CC[NH2+]3)c2ccccc2N1 ZINC000577720004 335392028 /nfs/dbraw/zinc/39/20/28/335392028.db2.gz ICLVAYCJTMASQL-QGZVFWFLSA-N 1 2 293.370 3.540 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1nc(C)c(C)s1 ZINC000178182157 335421103 /nfs/dbraw/zinc/42/11/03/335421103.db2.gz YEGIJJIOJKIPLK-SNVBAGLBSA-N 1 2 294.395 3.758 20 0 CHADLO CC(C)COC[C@H]([NH2+][C@@H](C)c1ccoc1)c1ccco1 ZINC000178357374 335427635 /nfs/dbraw/zinc/42/76/35/335427635.db2.gz RXDXJFKASGSFSE-ZFWWWQNUSA-N 1 2 277.364 3.937 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COc1nc(C(C)(C)C)no1 ZINC000578085333 335484900 /nfs/dbraw/zinc/48/49/00/335484900.db2.gz XXXFJQWROCIGJI-UHFFFAOYSA-N 1 2 289.379 3.773 20 0 CHADLO Cc1[nH+]cccc1Cc1nc(-c2ccc(Cl)s2)no1 ZINC000350260287 335498591 /nfs/dbraw/zinc/49/85/91/335498591.db2.gz ZCMLPYJQXWGMCS-UHFFFAOYSA-N 1 2 291.763 3.746 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1ccco1 ZINC000179916952 335531934 /nfs/dbraw/zinc/53/19/34/335531934.db2.gz RJHHANZELLOEFB-CYBMUJFWSA-N 1 2 271.364 3.838 20 0 CHADLO Cc1ccc(Cc2nc(-c3ccc(Cl)s3)no2)c[nH+]1 ZINC000350873235 335542232 /nfs/dbraw/zinc/54/22/32/335542232.db2.gz MIBNCQLOEICJRS-UHFFFAOYSA-N 1 2 291.763 3.746 20 0 CHADLO CC[C@H](C)c1cc(-c2nc(Cc3ccc[nH+]c3C)no2)on1 ZINC000351229577 335584765 /nfs/dbraw/zinc/58/47/65/335584765.db2.gz HIQKQKOVQROWCO-JTQLQIEISA-N 1 2 298.346 3.532 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(Cl)cn1)c1nc(C)cs1 ZINC000578557249 335649104 /nfs/dbraw/zinc/64/91/04/335649104.db2.gz LVWPDBYGWLDVBS-GFCCVEGCSA-N 1 2 281.812 3.741 20 0 CHADLO Cc1cc(C[NH2+][C@H](c2ccc(F)cc2F)C(C)C)no1 ZINC000181651566 335776394 /nfs/dbraw/zinc/77/63/94/335776394.db2.gz MXTPTSHUSSLDNR-HNNXBMFYSA-N 1 2 280.318 3.748 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccc(C)cc1 ZINC000181703120 335779576 /nfs/dbraw/zinc/77/95/76/335779576.db2.gz MQKZKSGXZBMMBS-AWEZNQCLSA-N 1 2 287.407 3.916 20 0 CHADLO CCCc1nc(C[NH2+][C@H](C)c2nc(C)cs2)cs1 ZINC000184175849 335920002 /nfs/dbraw/zinc/92/00/02/335920002.db2.gz PDKRIMTXRHENLB-SNVBAGLBSA-N 1 2 281.450 3.711 20 0 CHADLO CC[C@H]([NH2+]Cc1ccncc1Cl)c1nc(C)cs1 ZINC000184354497 335924169 /nfs/dbraw/zinc/92/41/69/335924169.db2.gz DYWJBJRAVXHZTR-LBPRGKRZSA-N 1 2 281.812 3.741 20 0 CHADLO CC(C)c1noc(C[NH2+][C@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000193297977 335965325 /nfs/dbraw/zinc/96/53/25/335965325.db2.gz OUTGZSAKCQOKLU-CYBMUJFWSA-N 1 2 299.418 3.923 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2c(C)oc3ccccc32)n1 ZINC000581082933 336006664 /nfs/dbraw/zinc/00/66/64/336006664.db2.gz RCUARBOPRUJDLH-SNVBAGLBSA-N 1 2 285.347 3.626 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2c(C)oc3ccccc32)n1 ZINC000581082933 336006666 /nfs/dbraw/zinc/00/66/66/336006666.db2.gz RCUARBOPRUJDLH-SNVBAGLBSA-N 1 2 285.347 3.626 20 0 CHADLO CC[C@@H](CNc1cc[nH+]c2c(Cl)cccc12)OC ZINC000581481581 336086621 /nfs/dbraw/zinc/08/66/21/336086621.db2.gz ROSIHLWJVJHWJW-JTQLQIEISA-N 1 2 264.756 3.725 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCc2ccc(Br)cc21 ZINC000382382969 336086755 /nfs/dbraw/zinc/08/67/55/336086755.db2.gz JVSQBGIDWSZHMF-NSHDSACASA-N 1 2 290.151 3.681 20 0 CHADLO c1ccc2nc(CNc3ccc4ccccc4[nH+]3)ccc2c1 ZINC000581580425 336105393 /nfs/dbraw/zinc/10/53/93/336105393.db2.gz YYIZSXREUDDWRS-UHFFFAOYSA-N 1 2 285.350 3.817 20 0 CHADLO CC(C)[N@H+](Cc1nc(C2CC2)nn1C)[C@H](C)c1ccccc1 ZINC000581817853 336147048 /nfs/dbraw/zinc/14/70/48/336147048.db2.gz YIFPVLZHTDWNNN-CQSZACIVSA-N 1 2 298.434 3.664 20 0 CHADLO CC(C)[N@@H+](Cc1nc(C2CC2)nn1C)[C@H](C)c1ccccc1 ZINC000581817853 336147049 /nfs/dbraw/zinc/14/70/49/336147049.db2.gz YIFPVLZHTDWNNN-CQSZACIVSA-N 1 2 298.434 3.664 20 0 CHADLO Cc1ccc2ncc(C[N@@H+]3CCC(=O)CC34CCC4)cc2c1 ZINC000581832448 336149344 /nfs/dbraw/zinc/14/93/44/336149344.db2.gz IUMDZPFWFBFBEW-UHFFFAOYSA-N 1 2 294.398 3.631 20 0 CHADLO Cc1ccc2ncc(C[N@H+]3CCC(=O)CC34CCC4)cc2c1 ZINC000581832448 336149346 /nfs/dbraw/zinc/14/93/46/336149346.db2.gz IUMDZPFWFBFBEW-UHFFFAOYSA-N 1 2 294.398 3.631 20 0 CHADLO CC[C@@H](NC(=O)c1cccc2ccsc21)c1[nH]cc[nH+]1 ZINC000386685419 336177288 /nfs/dbraw/zinc/17/72/88/336177288.db2.gz YGTXWOPUZRURNQ-GFCCVEGCSA-N 1 2 285.372 3.506 20 0 CHADLO COc1cccc2c(N3CCc4sccc4C3)cc[nH+]c12 ZINC000302466576 533843235 /nfs/dbraw/zinc/84/32/35/533843235.db2.gz SRUOOHUNRURURS-UHFFFAOYSA-N 1 2 296.395 3.868 20 0 CHADLO COC(=O)c1cc(NCCCc2ccc(C)[nH+]c2)ccc1C ZINC000354633920 533892418 /nfs/dbraw/zinc/89/24/18/533892418.db2.gz OOOJGCXBCKTULM-UHFFFAOYSA-N 1 2 298.386 3.530 20 0 CHADLO Cc1ccc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)nc1 ZINC000336211659 533912919 /nfs/dbraw/zinc/91/29/19/533912919.db2.gz DFJGXWSBBRVRHT-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1ccc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)nc1 ZINC000336211659 533912925 /nfs/dbraw/zinc/91/29/25/533912925.db2.gz DFJGXWSBBRVRHT-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335040427 534138679 /nfs/dbraw/zinc/13/86/79/534138679.db2.gz KYVSLUHQAZRKIF-CWTRNNRKSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335040427 534138684 /nfs/dbraw/zinc/13/86/84/534138684.db2.gz KYVSLUHQAZRKIF-CWTRNNRKSA-N 1 2 299.867 3.634 20 0 CHADLO Cc1cccc2[nH+]c(CSc3ccc(O)cc3)cn21 ZINC000126452979 518190783 /nfs/dbraw/zinc/19/07/83/518190783.db2.gz GUEWGESSGLXOEF-UHFFFAOYSA-N 1 2 270.357 3.641 20 0 CHADLO CC(C)(C)C1=CCN(c2cc[nH+]c3ccncc32)CC1 ZINC000340041448 518599797 /nfs/dbraw/zinc/59/97/97/518599797.db2.gz RSMDMOOSTAQQML-UHFFFAOYSA-N 1 2 267.376 3.812 20 0 CHADLO CC(C)(C)SCCCC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000280725782 518910437 /nfs/dbraw/zinc/91/04/37/518910437.db2.gz IDFXHVYSMHBUKA-UHFFFAOYSA-N 1 2 291.420 3.585 20 0 CHADLO CC(C)(C)c1cc(C[NH2+]C2(c3ccccc3)CC2)no1 ZINC000289442018 518998650 /nfs/dbraw/zinc/99/86/50/518998650.db2.gz ZBSUIUFVVDXXQF-UHFFFAOYSA-N 1 2 270.376 3.751 20 0 CHADLO O[C@@H](CNc1[nH+]ccc2ccc(F)cc21)C1CCCCC1 ZINC000354339806 534403591 /nfs/dbraw/zinc/40/35/91/534403591.db2.gz MXHOEZBSLOZIDA-INIZCTEOSA-N 1 2 288.366 3.727 20 0 CHADLO CC(C)(C)c1noc(C[NH2+][C@H](c2ccccc2)C2CCC2)n1 ZINC000104697495 519134872 /nfs/dbraw/zinc/13/48/72/519134872.db2.gz ZKJVNMPPWGYIFO-MRXNPFEDSA-N 1 2 299.418 3.998 20 0 CHADLO CC(C)[N@H+](Cc1noc(C2CC2)n1)[C@H](C)c1ccccc1 ZINC000292323847 519664656 /nfs/dbraw/zinc/66/46/56/519664656.db2.gz VYOAUEQMRZDMRG-CYBMUJFWSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)[N@@H+](Cc1noc(C2CC2)n1)[C@H](C)c1ccccc1 ZINC000292323847 519664657 /nfs/dbraw/zinc/66/46/57/519664657.db2.gz VYOAUEQMRZDMRG-CYBMUJFWSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)Oc1cccc(C[N@H+](C)Cc2cscn2)c1 ZINC000063591875 519782777 /nfs/dbraw/zinc/78/27/77/519782777.db2.gz VXOZKMOYGPRISE-UHFFFAOYSA-N 1 2 276.405 3.562 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+](C)Cc2cscn2)c1 ZINC000063591875 519782778 /nfs/dbraw/zinc/78/27/78/519782778.db2.gz VXOZKMOYGPRISE-UHFFFAOYSA-N 1 2 276.405 3.562 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ccn[nH]1)c1ccc(C(F)(F)F)cc1 ZINC000130349185 519799135 /nfs/dbraw/zinc/79/91/35/519799135.db2.gz YGKAWNPQYOJLDU-CQSZACIVSA-N 1 2 297.324 3.915 20 0 CHADLO CC(C)[C@@H]1CCC[C@@H]([NH2+][C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000280078183 519802681 /nfs/dbraw/zinc/80/26/81/519802681.db2.gz ZRJCTYOVMGWVSR-BRWVUGGUSA-N 1 2 298.434 3.699 20 0 CHADLO CC(C)[C@H](NC(=O)CCCC1CCCC1)c1[nH]cc[nH+]1 ZINC000277830892 519818369 /nfs/dbraw/zinc/81/83/69/519818369.db2.gz ZJYSDLDHYVUTEZ-HNNXBMFYSA-N 1 2 277.412 3.584 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC(C)(C)[C@@H]2[C@@H]2CCCO2)s1 ZINC000367956527 519850402 /nfs/dbraw/zinc/85/04/02/519850402.db2.gz BYADLXXKEACCJQ-WFASDCNBSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC(C)(C)[C@@H]2[C@@H]2CCCO2)s1 ZINC000367956527 519850405 /nfs/dbraw/zinc/85/04/05/519850405.db2.gz BYADLXXKEACCJQ-WFASDCNBSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccc(F)c(Cl)c2)[nH]1 ZINC000293127862 519863565 /nfs/dbraw/zinc/86/35/65/519863565.db2.gz LBJPMBZBRIHFQP-VIFPVBQESA-N 1 2 296.777 3.571 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+]Cc2cccc(O)c2)cs1 ZINC000076900168 519866199 /nfs/dbraw/zinc/86/61/99/519866199.db2.gz FBRRKGSAPWAOBO-LLVKDONJSA-N 1 2 276.405 3.823 20 0 CHADLO CC(C)c1nc(N2Cc3ccccc3[C@@H]2C)cc[nH+]1 ZINC000340768236 519878464 /nfs/dbraw/zinc/87/84/64/519878464.db2.gz BDTOSMQMMVLVHY-LBPRGKRZSA-N 1 2 253.349 3.681 20 0 CHADLO CC(C)c1nnc(C[N@H+]([C@@H](C)c2ccccc2)C2CC2)o1 ZINC000263938237 519886053 /nfs/dbraw/zinc/88/60/53/519886053.db2.gz DJYJWYMHHVLERY-ZDUSSCGKSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)c1nnc(C[N@@H+]([C@@H](C)c2ccccc2)C2CC2)o1 ZINC000263938237 519886054 /nfs/dbraw/zinc/88/60/54/519886054.db2.gz DJYJWYMHHVLERY-ZDUSSCGKSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)c1noc(C[N@@H+]2CCCCC[C@H]2c2ccco2)n1 ZINC000076803731 519903069 /nfs/dbraw/zinc/90/30/69/519903069.db2.gz HCDHSJIEHOSLCT-ZDUSSCGKSA-N 1 2 289.379 3.903 20 0 CHADLO CC(C)c1noc(C[N@H+]2CCCCC[C@H]2c2ccco2)n1 ZINC000076803731 519903071 /nfs/dbraw/zinc/90/30/71/519903071.db2.gz HCDHSJIEHOSLCT-ZDUSSCGKSA-N 1 2 289.379 3.903 20 0 CHADLO CC(C)n1ccnc1C[N@H+](Cc1ccccc1)C1CC1 ZINC000264570165 520027986 /nfs/dbraw/zinc/02/79/86/520027986.db2.gz WYLNARLTQZYIIH-UHFFFAOYSA-N 1 2 269.392 3.629 20 0 CHADLO CC(C)n1ccnc1C[N@@H+](Cc1ccccc1)C1CC1 ZINC000264570165 520027991 /nfs/dbraw/zinc/02/79/91/520027991.db2.gz WYLNARLTQZYIIH-UHFFFAOYSA-N 1 2 269.392 3.629 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000279774281 520097493 /nfs/dbraw/zinc/09/74/93/520097493.db2.gz ALYSNIOJAYEVBU-UHFFFAOYSA-N 1 2 297.324 3.513 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000279774281 520097502 /nfs/dbraw/zinc/09/75/02/520097502.db2.gz ALYSNIOJAYEVBU-UHFFFAOYSA-N 1 2 297.324 3.513 20 0 CHADLO Fc1ccc(F)c(C[C@H]2CCC[N@@H+]2Cc2cscn2)c1 ZINC000418104125 534480275 /nfs/dbraw/zinc/48/02/75/534480275.db2.gz WWYYIAJBONBGIQ-CQSZACIVSA-N 1 2 294.370 3.628 20 0 CHADLO Fc1ccc(F)c(C[C@H]2CCC[N@H+]2Cc2cscn2)c1 ZINC000418104125 534480280 /nfs/dbraw/zinc/48/02/80/534480280.db2.gz WWYYIAJBONBGIQ-CQSZACIVSA-N 1 2 294.370 3.628 20 0 CHADLO CCCCN(C(=O)CCn1c(C)[nH+]c2ccccc21)C1CC1 ZINC000336890586 520342556 /nfs/dbraw/zinc/34/25/56/520342556.db2.gz HQCOZTLKMFTDAK-UHFFFAOYSA-N 1 2 299.418 3.526 20 0 CHADLO Fc1ccc2cc[nH+]c(NCc3cn4ccccc4n3)c2c1 ZINC000354566956 534508458 /nfs/dbraw/zinc/50/84/58/534508458.db2.gz NNMFYWYCHSQWAB-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO CC[N@H+](Cc1nc(C)c(C)o1)[C@@H](C)c1cccc(O)c1 ZINC000080933081 521448658 /nfs/dbraw/zinc/44/86/58/521448658.db2.gz RYHRGBXGNIBZIE-LBPRGKRZSA-N 1 2 274.364 3.580 20 0 CHADLO CC[N@@H+](Cc1nc(C)c(C)o1)[C@@H](C)c1cccc(O)c1 ZINC000080933081 521448665 /nfs/dbraw/zinc/44/86/65/521448665.db2.gz RYHRGBXGNIBZIE-LBPRGKRZSA-N 1 2 274.364 3.580 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000340660631 521478452 /nfs/dbraw/zinc/47/84/52/521478452.db2.gz MQBCBUBFRMZHQA-UHFFFAOYSA-N 1 2 286.375 3.583 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000340660631 521478456 /nfs/dbraw/zinc/47/84/56/521478456.db2.gz MQBCBUBFRMZHQA-UHFFFAOYSA-N 1 2 286.375 3.583 20 0 CHADLO CCCc1nc(C)c(CNc2cc(C(F)(F)F)cc[nH+]2)o1 ZINC000292376572 521498971 /nfs/dbraw/zinc/49/89/71/521498971.db2.gz SLMOJWKDRQYSRG-UHFFFAOYSA-N 1 2 299.296 3.961 20 0 CHADLO CCCc1nc(C[N@@H+]2CCCC3(CCCCC3)C2)no1 ZINC000111323368 521507814 /nfs/dbraw/zinc/50/78/14/521507814.db2.gz VFSGZGIAXCXNPR-UHFFFAOYSA-N 1 2 277.412 3.568 20 0 CHADLO CCCc1nc(C[N@H+]2CCCC3(CCCCC3)C2)no1 ZINC000111323368 521507817 /nfs/dbraw/zinc/50/78/17/521507817.db2.gz VFSGZGIAXCXNPR-UHFFFAOYSA-N 1 2 277.412 3.568 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@H]2c2ccncc2)cs1 ZINC000125456284 521510227 /nfs/dbraw/zinc/51/02/27/521510227.db2.gz KWHYTADUEXVTLM-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@H]2c2ccncc2)cs1 ZINC000125456284 521510235 /nfs/dbraw/zinc/51/02/35/521510235.db2.gz KWHYTADUEXVTLM-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@@H]2c2ccncc2)cs1 ZINC000125456050 521510455 /nfs/dbraw/zinc/51/04/55/521510455.db2.gz KWHYTADUEXVTLM-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@@H]2c2ccncc2)cs1 ZINC000125456050 521510463 /nfs/dbraw/zinc/51/04/63/521510463.db2.gz KWHYTADUEXVTLM-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCOc1ccccc1[C@H](CC(C)C)[NH2+]Cc1c[nH]cn1 ZINC000072756832 521585701 /nfs/dbraw/zinc/58/57/01/521585701.db2.gz VEMNMNHSQQRUDR-INIZCTEOSA-N 1 2 287.407 3.685 20 0 CHADLO Cc1ocnc1CNc1[nH+]cccc1OCc1ccccc1 ZINC000354572584 534594847 /nfs/dbraw/zinc/59/48/47/534594847.db2.gz QZFWAAUMNSJKOB-UHFFFAOYSA-N 1 2 295.342 3.569 20 0 CHADLO COCC[C@H](C)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000278374140 521923179 /nfs/dbraw/zinc/92/31/79/521923179.db2.gz DQNMHZQHGJWWML-AWEZNQCLSA-N 1 2 299.418 3.723 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2cccc(Cl)c2)[nH]1 ZINC000277549095 522051633 /nfs/dbraw/zinc/05/16/33/522051633.db2.gz ZYBRPQBQUAYARA-QWRGUYRKSA-N 1 2 292.814 3.822 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2ccccc2Cl)[nH]1 ZINC000277055886 522053527 /nfs/dbraw/zinc/05/35/27/522053527.db2.gz BCGMHSNGFRWRNJ-WDEREUQCSA-N 1 2 292.814 3.822 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)c2cccc(F)c2)[nH]1 ZINC000277382088 522053691 /nfs/dbraw/zinc/05/36/91/522053691.db2.gz XQJDVFDCSOVGCP-SDDRHHMPSA-N 1 2 290.386 3.869 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H]1CCCc2ccccc21 ZINC000265040565 522083531 /nfs/dbraw/zinc/08/35/31/522083531.db2.gz WHMWTFLBTSYBOS-INIZCTEOSA-N 1 2 280.371 3.703 20 0 CHADLO CCc1nc(C(C)C)ccc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000293242426 522178724 /nfs/dbraw/zinc/17/87/24/522178724.db2.gz BFYRIMOBBLUIDL-UHFFFAOYSA-N 1 2 283.375 3.723 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](C)c2csc(C(C)C)n2)o1 ZINC000292020655 522204174 /nfs/dbraw/zinc/20/41/74/522204174.db2.gz ZRRRHEIIEVDKGQ-JTQLQIEISA-N 1 2 293.436 3.976 20 0 CHADLO CCc1nc(C2([NH2+]Cc3cc(C)ccc3C)CCCC2)no1 ZINC000237026399 522242571 /nfs/dbraw/zinc/24/25/71/522242571.db2.gz MCIDDBATVHFEIC-UHFFFAOYSA-N 1 2 299.418 3.808 20 0 CHADLO CC[C@H](COC)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000278588963 522263442 /nfs/dbraw/zinc/26/34/42/522263442.db2.gz YTSXNRXGNLJIJF-OAHLLOKOSA-N 1 2 299.418 3.723 20 0 CHADLO Cc1nc(N2C[C@H](C)[C@H]2c2ccccc2)c2c([nH+]1)CCCC2 ZINC000302083410 534622792 /nfs/dbraw/zinc/62/27/92/534622792.db2.gz JZCPCFLRRGQHSF-UGSOOPFHSA-N 1 2 293.414 3.861 20 0 CHADLO CCc1nc(C[N@@H+](CC)[C@H](CC)c2ccccc2)no1 ZINC000299441244 522291633 /nfs/dbraw/zinc/29/16/33/522291633.db2.gz SWFAJUFLWCVRPT-CQSZACIVSA-N 1 2 273.380 3.605 20 0 CHADLO CCc1nc(C[N@H+](CC)[C@H](CC)c2ccccc2)no1 ZINC000299441244 522291637 /nfs/dbraw/zinc/29/16/37/522291637.db2.gz SWFAJUFLWCVRPT-CQSZACIVSA-N 1 2 273.380 3.605 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2cc3ccccc3o2)[nH]1 ZINC000277148134 522442290 /nfs/dbraw/zinc/44/22/90/522442290.db2.gz FMRXWCZKWKPMFS-NEPJUHHUSA-N 1 2 298.390 3.915 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccccc2F)cs1 ZINC000111406339 522452056 /nfs/dbraw/zinc/45/20/56/522452056.db2.gz ROQXXGGGGNGFBJ-JTQLQIEISA-N 1 2 264.369 3.695 20 0 CHADLO CCc1[nH+]c2cc(CNC(=O)C(C)(C)C)ccc2n1C1CC1 ZINC000338499577 522482706 /nfs/dbraw/zinc/48/27/06/522482706.db2.gz IQFPPUXKMCOKKM-UHFFFAOYSA-N 1 2 299.418 3.596 20 0 CHADLO Clc1cccc([C@@H]2CCC[N@@H+]2Cc2cnns2)c1 ZINC000334669348 534648489 /nfs/dbraw/zinc/64/84/89/534648489.db2.gz XVCKOKUYJLBTOL-ZDUSSCGKSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1cccc([C@@H]2CCC[N@H+]2Cc2cnns2)c1 ZINC000334669348 534648494 /nfs/dbraw/zinc/64/84/94/534648494.db2.gz XVCKOKUYJLBTOL-ZDUSSCGKSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccccc1C[N@@H+](Cc1ncccn1)CC1CC1 ZINC000352245093 534649421 /nfs/dbraw/zinc/64/94/21/534649421.db2.gz CJMNUBQJHWSVGE-UHFFFAOYSA-N 1 2 287.794 3.542 20 0 CHADLO Clc1ccccc1C[N@H+](Cc1ncccn1)CC1CC1 ZINC000352245093 534649424 /nfs/dbraw/zinc/64/94/24/534649424.db2.gz CJMNUBQJHWSVGE-UHFFFAOYSA-N 1 2 287.794 3.542 20 0 CHADLO COC[C@H]([NH2+]Cc1cc(F)cc(Cl)c1)c1ccco1 ZINC000275021166 522746998 /nfs/dbraw/zinc/74/69/98/522746998.db2.gz SWHOEKTUMQTFRG-ZDUSSCGKSA-N 1 2 283.730 3.549 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc2ccccc2n1)c1ccc(C)o1 ZINC000080238935 522751285 /nfs/dbraw/zinc/75/12/85/522751285.db2.gz CUHBCEROXVFCTE-KRWDZBQOSA-N 1 2 296.370 3.614 20 0 CHADLO COC[C@H]([NH2+]Cc1cnc(C(C)(C)C)s1)c1ccco1 ZINC000080239533 522752657 /nfs/dbraw/zinc/75/26/57/522752657.db2.gz RNYLMJJZHPONBQ-LBPRGKRZSA-N 1 2 294.420 3.511 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(SC)cc2)o1 ZINC000337225634 522788397 /nfs/dbraw/zinc/78/83/97/522788397.db2.gz QCXFIZFQURIRCE-GHMZBOCLSA-N 1 2 291.420 3.766 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2ccc(SC)cc2)n1 ZINC000280915924 522914490 /nfs/dbraw/zinc/91/44/90/522914490.db2.gz CUHUIEWBYZMZGC-QWRGUYRKSA-N 1 2 291.420 3.766 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(C2CC2)n1)c1nc(C)cs1 ZINC000265320314 522953577 /nfs/dbraw/zinc/95/35/77/522953577.db2.gz DRSJNBXZRWRJNP-GFCCVEGCSA-N 1 2 288.420 3.991 20 0 CHADLO CC[C@H]1C[N@H+](Cc2csc(-c3ccco3)n2)CCS1 ZINC000076073066 523295124 /nfs/dbraw/zinc/29/51/24/523295124.db2.gz PDRNHZKSIVPMIU-LBPRGKRZSA-N 1 2 294.445 3.731 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2csc(-c3ccco3)n2)CCS1 ZINC000076073066 523295132 /nfs/dbraw/zinc/29/51/32/523295132.db2.gz PDRNHZKSIVPMIU-LBPRGKRZSA-N 1 2 294.445 3.731 20 0 CHADLO CCn1cc([C@@H](C)[NH2+]Cc2ncc(C(C)(C)C)s2)cn1 ZINC000294929564 523459715 /nfs/dbraw/zinc/45/97/15/523459715.db2.gz UIFTZNRAHVIAMG-LLVKDONJSA-N 1 2 292.452 3.508 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(C2CCC2)cc1 ZINC000278544691 523481071 /nfs/dbraw/zinc/48/10/71/523481071.db2.gz SOFCKNMAYQNWBV-UHFFFAOYSA-N 1 2 256.349 3.574 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(C2CCC2)cc1 ZINC000278544691 523481084 /nfs/dbraw/zinc/48/10/84/523481084.db2.gz SOFCKNMAYQNWBV-UHFFFAOYSA-N 1 2 256.349 3.574 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccc(COC)o1)c1nc(C)cs1 ZINC000128778894 523489138 /nfs/dbraw/zinc/48/91/38/523489138.db2.gz CKVNODFYPLCHKD-HNNXBMFYSA-N 1 2 294.420 3.606 20 0 CHADLO C[N@H+](Cc1csc(C2CC2)n1)Cc1cccc(F)c1 ZINC000134919755 523524682 /nfs/dbraw/zinc/52/46/82/523524682.db2.gz YMDGRKJANHTOJS-UHFFFAOYSA-N 1 2 276.380 3.792 20 0 CHADLO C[N@@H+](Cc1csc(C2CC2)n1)Cc1cccc(F)c1 ZINC000134919755 523524693 /nfs/dbraw/zinc/52/46/93/523524693.db2.gz YMDGRKJANHTOJS-UHFFFAOYSA-N 1 2 276.380 3.792 20 0 CHADLO CC[C@H](C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1)c1ccccc1 ZINC000193337091 523759101 /nfs/dbraw/zinc/75/91/01/523759101.db2.gz NLADKHDKOGFCFQ-HOTGVXAUSA-N 1 2 297.402 3.657 20 0 CHADLO CCc1cc(OC)ccc1CNc1ccc(C)[nH+]c1 ZINC000337290636 523839785 /nfs/dbraw/zinc/83/97/85/523839785.db2.gz MEOJFVNXMBHQQV-UHFFFAOYSA-N 1 2 256.349 3.573 20 0 CHADLO COC(=O)[C@H](C)CSCc1ccc(C(C)C)[nH+]c1C ZINC000338554843 523873804 /nfs/dbraw/zinc/87/38/04/523873804.db2.gz YXDGNEGFWGDOSH-LLVKDONJSA-N 1 2 281.421 3.556 20 0 CHADLO CCc1ccc(CSc2[nH+]cc3ccccn32)nc1 ZINC000338526205 523949789 /nfs/dbraw/zinc/94/97/89/523949789.db2.gz NJVWJSOQAAHBJF-UHFFFAOYSA-N 1 2 269.373 3.584 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2nccn2C)o1 ZINC000076145077 524040635 /nfs/dbraw/zinc/04/06/35/524040635.db2.gz SCKPJSRLKBWUCZ-HIFRSBDPSA-N 1 2 287.407 3.549 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2nccn2C)o1 ZINC000076145077 524040638 /nfs/dbraw/zinc/04/06/38/524040638.db2.gz SCKPJSRLKBWUCZ-HIFRSBDPSA-N 1 2 287.407 3.549 20 0 CHADLO Cc1cc(C(=O)OCc2ccc(C(C)C)[nH+]c2C)ccn1 ZINC000293024566 524196343 /nfs/dbraw/zinc/19/63/43/524196343.db2.gz KTJVYRIIDWOLSH-UHFFFAOYSA-N 1 2 284.359 3.574 20 0 CHADLO C[C@@H](C(=O)Nc1cccc(-c2[nH+]ccn2C)c1)C(C)(C)C ZINC000265281691 524265904 /nfs/dbraw/zinc/26/59/04/524265904.db2.gz OSYFQTGVEMYGCF-LBPRGKRZSA-N 1 2 285.391 3.708 20 0 CHADLO Cc1cccc(CCNc2cncc(-c3cccs3)n2)[nH+]1 ZINC000338703931 524363246 /nfs/dbraw/zinc/36/32/46/524363246.db2.gz ANYGOQQQFFLSCU-UHFFFAOYSA-N 1 2 296.399 3.563 20 0 CHADLO Cc1ccc(CN2CCc3c2c(F)ccc3F)c(C)[nH+]1 ZINC000339296664 524475501 /nfs/dbraw/zinc/47/55/01/524475501.db2.gz BGLWMFYIHQPCKL-UHFFFAOYSA-N 1 2 274.314 3.539 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2nnc[nH]2)C2CCCCC2)c(C)c1 ZINC000292026510 524597189 /nfs/dbraw/zinc/59/71/89/524597189.db2.gz CXLDBZPFLDFYDP-QGZVFWFLSA-N 1 2 298.434 3.833 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(Cl)ccc2Cl)CCC1(F)F ZINC000289939293 524649300 /nfs/dbraw/zinc/64/93/00/524649300.db2.gz VGCCAFOTRYHKEF-QMMMGPOBSA-N 1 2 295.160 3.866 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(Cl)ccc2Cl)CCC1(F)F ZINC000289939293 524649307 /nfs/dbraw/zinc/64/93/07/524649307.db2.gz VGCCAFOTRYHKEF-QMMMGPOBSA-N 1 2 295.160 3.866 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)no1 ZINC000075901321 524714311 /nfs/dbraw/zinc/71/43/11/524714311.db2.gz KILACMUHPVEBGG-BDJLRTHQSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)no1 ZINC000075901321 524714318 /nfs/dbraw/zinc/71/43/18/524714318.db2.gz KILACMUHPVEBGG-BDJLRTHQSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2cccc(C(F)(F)F)c2)no1 ZINC000044296287 524766615 /nfs/dbraw/zinc/76/66/15/524766615.db2.gz KGEUDXOLBQLBNU-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO Cc1cc(CSCc2[nH+]ccn2Cc2ccccc2)on1 ZINC000072701867 524815803 /nfs/dbraw/zinc/81/58/03/524815803.db2.gz XQWDZXJSZZJGHH-UHFFFAOYSA-N 1 2 299.399 3.661 20 0 CHADLO COc1cc[nH+]cc1CSCc1nc(C)c(C)s1 ZINC000289359933 524860665 /nfs/dbraw/zinc/86/06/65/524860665.db2.gz IBKVHPZYVDIMGT-UHFFFAOYSA-N 1 2 280.418 3.597 20 0 CHADLO Cc1cccc2nc(C[NH2+]Cc3ccc([C@@H]4C[C@@H]4C)o3)cn21 ZINC000068996787 524986837 /nfs/dbraw/zinc/98/68/37/524986837.db2.gz XOQXSNBHIFSOTA-BLLLJJGKSA-N 1 2 295.386 3.649 20 0 CHADLO O=C(Nc1ccc(C2CCC2)cc1)c1ccc2[nH+]ccn2c1 ZINC000347742055 534823941 /nfs/dbraw/zinc/82/39/41/534823941.db2.gz RHJREMOXNATUAK-UHFFFAOYSA-N 1 2 291.354 3.854 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(-c3cccs3)n2)[C@H]1C ZINC000293367279 525026600 /nfs/dbraw/zinc/02/66/00/525026600.db2.gz IXRLKQRZYDGWAO-ZJUUUORDSA-N 1 2 264.419 3.712 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(-c3cccs3)n2)[C@H]1C ZINC000293367279 525026608 /nfs/dbraw/zinc/02/66/08/525026608.db2.gz IXRLKQRZYDGWAO-ZJUUUORDSA-N 1 2 264.419 3.712 20 0 CHADLO COc1sc(CNc2cccc[nH+]2)cc1Br ZINC000121060546 525096331 /nfs/dbraw/zinc/09/63/31/525096331.db2.gz OVYBMHHCZZUHLN-UHFFFAOYSA-N 1 2 299.193 3.526 20 0 CHADLO Cc1cc(NC(=O)N2CC[C@H](c3ccc(F)cc3)C2)cc[nH+]1 ZINC000271156158 525181703 /nfs/dbraw/zinc/18/17/03/525181703.db2.gz UWUBVNDZJOIWBV-AWEZNQCLSA-N 1 2 299.349 3.551 20 0 CHADLO C[C@]12CC[N@H+](Cc3cc(F)c(F)c(F)c3)C[C@H]1C2(F)F ZINC000292861349 525347889 /nfs/dbraw/zinc/34/78/89/525347889.db2.gz VJJUFIXJPICVIV-YPMHNXCESA-N 1 2 291.263 3.581 20 0 CHADLO C[C@]12CC[N@@H+](Cc3cc(F)c(F)c(F)c3)C[C@H]1C2(F)F ZINC000292861349 525347893 /nfs/dbraw/zinc/34/78/93/525347893.db2.gz VJJUFIXJPICVIV-YPMHNXCESA-N 1 2 291.263 3.581 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2CCCOc3cc(F)ccc32)no1 ZINC000290650238 525471073 /nfs/dbraw/zinc/47/10/73/525471073.db2.gz KBVHKPXOFCRWIW-BXUZGUMPSA-N 1 2 290.338 3.687 20 0 CHADLO C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000280961492 525511673 /nfs/dbraw/zinc/51/16/73/525511673.db2.gz JSDMBOPOGXXDTP-NFAWXSAZSA-N 1 2 298.390 3.519 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cc1F)c1cscn1 ZINC000275295356 525522496 /nfs/dbraw/zinc/52/24/96/525522496.db2.gz HDKJYZKQPQJEEM-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)c(Cl)c1)c1nncn1C ZINC000037017048 525527864 /nfs/dbraw/zinc/52/78/64/525527864.db2.gz QAEAOGXXTBBWHV-IUCAKERBSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc[nH]1)c1cc(F)c(Cl)cc1Cl ZINC000067666827 525560821 /nfs/dbraw/zinc/56/08/21/525560821.db2.gz DOFSBCPZDZWSMR-SSDOTTSWSA-N 1 2 288.153 3.706 20 0 CHADLO C[C@@H](NCc1[nH]cc[nH+]1)c1cc(F)c(Cl)cc1Cl ZINC000067666827 525560813 /nfs/dbraw/zinc/56/08/13/525560813.db2.gz DOFSBCPZDZWSMR-SSDOTTSWSA-N 1 2 288.153 3.706 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc(Cl)cc(Cl)c1)c1ncnn1C ZINC000276732805 525604351 /nfs/dbraw/zinc/60/43/51/525604351.db2.gz YNYNDQLCWGRUPC-DTWKUNHWSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1ccn2cc(C[NH2+]Cc3ccc([C@@H]4C[C@@H]4C)o3)nc2c1 ZINC000264360276 525617801 /nfs/dbraw/zinc/61/78/01/525617801.db2.gz IHBXYZQXDMUBQZ-XJKSGUPXSA-N 1 2 295.386 3.649 20 0 CHADLO Cc1ccn2cc(C[NH2+]Cc3ccc([C@@H]4C[C@H]4C)o3)nc2c1 ZINC000264360274 525618016 /nfs/dbraw/zinc/61/80/16/525618016.db2.gz IHBXYZQXDMUBQZ-CZUORRHYSA-N 1 2 295.386 3.649 20 0 CHADLO C[C@@H](O)CC(C)(C)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354340999 525753145 /nfs/dbraw/zinc/75/31/45/525753145.db2.gz RVEAIOVHHZSLDC-LLVKDONJSA-N 1 2 276.355 3.583 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cn2cc(Cl)ccc2n1 ZINC000292081399 525796263 /nfs/dbraw/zinc/79/62/63/525796263.db2.gz DKWMSNMRGLGARO-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cn2cc(Cl)ccc2n1 ZINC000292081399 525796270 /nfs/dbraw/zinc/79/62/70/525796270.db2.gz DKWMSNMRGLGARO-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CCC1CC1 ZINC000279935128 525833858 /nfs/dbraw/zinc/83/38/58/525833858.db2.gz HHWMQVFNYYHHJB-UHFFFAOYSA-N 1 2 269.348 3.514 20 0 CHADLO Cc1ccsc1CNc1ccc(N2CCCC2)[nH+]c1 ZINC000036982150 525862108 /nfs/dbraw/zinc/86/21/08/525862108.db2.gz GPBZFDMEWISQSO-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO CSc1ccc(C(=O)Nc2ccc3[nH+]c(C)cn3c2)cc1 ZINC000339265428 525970566 /nfs/dbraw/zinc/97/05/66/525970566.db2.gz OAYOYTMXXMMMCR-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@@H+]2Cc2cnns2)o1 ZINC000290131781 525990056 /nfs/dbraw/zinc/99/00/56/525990056.db2.gz VBYYHUWZSVEXJG-CYBMUJFWSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@H+]2Cc2cnns2)o1 ZINC000290131781 525990067 /nfs/dbraw/zinc/99/00/67/525990067.db2.gz VBYYHUWZSVEXJG-CYBMUJFWSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000290440891 526019830 /nfs/dbraw/zinc/01/98/30/526019830.db2.gz NUBZHKLXORHOSF-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000290440891 526019837 /nfs/dbraw/zinc/01/98/37/526019837.db2.gz NUBZHKLXORHOSF-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@H](C)[C@H]2c2cccc(F)c2)nc1 ZINC000340691625 526022382 /nfs/dbraw/zinc/02/23/82/526022382.db2.gz GMUWUCVSIZRJND-GUYCJALGSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@H](C)[C@H]2c2cccc(F)c2)nc1 ZINC000340691625 526022388 /nfs/dbraw/zinc/02/23/88/526022388.db2.gz GMUWUCVSIZRJND-GUYCJALGSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cn[nH]c1C[NH2+]C(C)(C)c1cccc(Cl)c1F ZINC000289622717 526028881 /nfs/dbraw/zinc/02/88/81/526028881.db2.gz WKLGYZHCUJOUAG-UHFFFAOYSA-N 1 2 281.762 3.536 20 0 CHADLO Cc1c[nH]nc1C[NH2+]C(C)(C)c1cccc(Cl)c1F ZINC000289622717 526028887 /nfs/dbraw/zinc/02/88/87/526028887.db2.gz WKLGYZHCUJOUAG-UHFFFAOYSA-N 1 2 281.762 3.536 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@@H](C)c1cccc(Cl)c1Cl ZINC000289433198 526029375 /nfs/dbraw/zinc/02/93/75/526029375.db2.gz DZYKCQPYNZGUEU-VIFPVBQESA-N 1 2 284.190 3.876 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@@H](C)c1cccc(Cl)c1Cl ZINC000289433198 526029381 /nfs/dbraw/zinc/02/93/81/526029381.db2.gz DZYKCQPYNZGUEU-VIFPVBQESA-N 1 2 284.190 3.876 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@H+](C)Cc1ccon1 ZINC000265760470 526041254 /nfs/dbraw/zinc/04/12/54/526041254.db2.gz HBNYULILDLWTEQ-SNVBAGLBSA-N 1 2 295.180 3.630 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@@H+](C)Cc1ccon1 ZINC000265760470 526041268 /nfs/dbraw/zinc/04/12/68/526041268.db2.gz HBNYULILDLWTEQ-SNVBAGLBSA-N 1 2 295.180 3.630 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000338588159 526126118 /nfs/dbraw/zinc/12/61/18/526126118.db2.gz UGBXHMBCMQVXGG-CXAGYDPISA-N 1 2 288.341 3.852 20 0 CHADLO C[C@H](c1ccccn1)[N@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000338588159 526126125 /nfs/dbraw/zinc/12/61/25/526126125.db2.gz UGBXHMBCMQVXGG-CXAGYDPISA-N 1 2 288.341 3.852 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1csc(-c2ccco2)n1 ZINC000127754534 526132703 /nfs/dbraw/zinc/13/27/03/526132703.db2.gz GZDUCZAHHVJQJQ-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1csc(-c2ccco2)n1 ZINC000127754534 526132710 /nfs/dbraw/zinc/13/27/10/526132710.db2.gz GZDUCZAHHVJQJQ-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1nc(-c2ccco2)no1 ZINC000029967081 526153268 /nfs/dbraw/zinc/15/32/68/526153268.db2.gz IIRAIOJNVWSGNA-SNVBAGLBSA-N 1 2 289.360 3.584 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1nc(-c2ccco2)no1 ZINC000029967081 526153279 /nfs/dbraw/zinc/15/32/79/526153279.db2.gz IIRAIOJNVWSGNA-SNVBAGLBSA-N 1 2 289.360 3.584 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1cccc(F)c1F ZINC000127652922 526161739 /nfs/dbraw/zinc/16/17/39/526161739.db2.gz WXOSNKUVBKCRTL-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1cccc(F)c1F ZINC000127652922 526161745 /nfs/dbraw/zinc/16/17/45/526161745.db2.gz WXOSNKUVBKCRTL-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO CSc1ccccc1[C@@H](C)Nc1cc(C)[nH+]cn1 ZINC000336935543 526188190 /nfs/dbraw/zinc/18/81/90/526188190.db2.gz TZOJMRTZHMDOGE-LLVKDONJSA-N 1 2 259.378 3.680 20 0 CHADLO Cc1c[nH+]cc(CCc2nc([C@@H]3CCCCS3)no2)c1 ZINC000291896708 526192290 /nfs/dbraw/zinc/19/22/90/526192290.db2.gz LCSSQFYDEXLIOU-ZDUSSCGKSA-N 1 2 289.404 3.516 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N(C)Cc1ccc(Cl)cc1 ZINC000121755446 526238487 /nfs/dbraw/zinc/23/84/87/526238487.db2.gz JKGBLMHEKLZOJA-UHFFFAOYSA-N 1 2 289.766 3.707 20 0 CHADLO Cc1cnccc1SCCc1[nH+]c2ccccc2n1C ZINC000338626824 526243654 /nfs/dbraw/zinc/24/36/54/526243654.db2.gz USOHKKBNTARQFH-UHFFFAOYSA-N 1 2 283.400 3.612 20 0 CHADLO Cc1ccc(C(C)(C)C)c(OCc2c[nH+]cn2C)c1F ZINC000340602838 526243836 /nfs/dbraw/zinc/24/38/36/526243836.db2.gz IHDJRRHDAGXBCW-UHFFFAOYSA-N 1 2 276.355 3.744 20 0 CHADLO C[C@H]1CCCC[C@H]1CNc1ccc(Cn2cc[nH+]c2)cn1 ZINC000353145658 526260601 /nfs/dbraw/zinc/26/06/01/526260601.db2.gz WVYPEKSYGCBCMT-HOCLYGCPSA-N 1 2 284.407 3.565 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2cc3ccccc3o2)n1 ZINC000088602983 526341584 /nfs/dbraw/zinc/34/15/84/526341584.db2.gz SMHZEPHPSBEXHO-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2cc3ccccc3o2)n1 ZINC000088602983 526341588 /nfs/dbraw/zinc/34/15/88/526341588.db2.gz SMHZEPHPSBEXHO-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Fc1ccc([C@H]2CC[N@H+](Cc3csc(Cl)n3)C2)cc1 ZINC000296685427 526366112 /nfs/dbraw/zinc/36/61/12/526366112.db2.gz BXIXJAIDCQIANY-NSHDSACASA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccc([C@H]2CC[N@@H+](Cc3csc(Cl)n3)C2)cc1 ZINC000296685427 526366116 /nfs/dbraw/zinc/36/61/16/526366116.db2.gz BXIXJAIDCQIANY-NSHDSACASA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccc2[nH]cc(CCCNc3cccc[nH+]3)c2c1 ZINC000336915857 526369630 /nfs/dbraw/zinc/36/96/30/526369630.db2.gz MQJZVSDSJIUEQG-UHFFFAOYSA-N 1 2 269.323 3.747 20 0 CHADLO Fc1cncc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000275121032 526422843 /nfs/dbraw/zinc/42/28/43/526422843.db2.gz JAUKNOQCEVTIKC-HNNXBMFYSA-N 1 2 276.742 3.821 20 0 CHADLO Fc1cncc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000275121032 526422850 /nfs/dbraw/zinc/42/28/50/526422850.db2.gz JAUKNOQCEVTIKC-HNNXBMFYSA-N 1 2 276.742 3.821 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccccc2Cl)n1 ZINC000069168510 526437314 /nfs/dbraw/zinc/43/73/14/526437314.db2.gz BWUJSTMOTIUZOD-JTQLQIEISA-N 1 2 266.797 3.956 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccc(F)cc2F)n1 ZINC000069168526 526437435 /nfs/dbraw/zinc/43/74/35/526437435.db2.gz FMCPKAHDSXYAAR-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO Cc1noc(C)c1CN(C)c1cc(C)[nH+]c2c(F)cccc12 ZINC000121125480 526499360 /nfs/dbraw/zinc/49/93/60/526499360.db2.gz GDDBVTCWVYGIFU-UHFFFAOYSA-N 1 2 299.349 3.924 20 0 CHADLO c1ccc2c(c1)SC[C@@H]2Nc1cc(NCC2CC2)[nH+]cn1 ZINC000340030813 526512308 /nfs/dbraw/zinc/51/23/08/526512308.db2.gz QHYYBIIZKBKXAU-ZDUSSCGKSA-N 1 2 298.415 3.557 20 0 CHADLO c1ccc2c(c1)SC[C@@H]2Nc1cc(NCC2CC2)nc[nH+]1 ZINC000340030813 526512312 /nfs/dbraw/zinc/51/23/12/526512312.db2.gz QHYYBIIZKBKXAU-ZDUSSCGKSA-N 1 2 298.415 3.557 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000130373651 526545834 /nfs/dbraw/zinc/54/58/34/526545834.db2.gz NWIKSRGFPLWLFS-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000130373651 526545837 /nfs/dbraw/zinc/54/58/37/526545837.db2.gz NWIKSRGFPLWLFS-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1n[nH]cc1CNc1[nH+]c2ccccc2n1C1CCCC1 ZINC000134433522 526556254 /nfs/dbraw/zinc/55/62/54/526556254.db2.gz UDWDDAPNVNOQKD-UHFFFAOYSA-N 1 2 295.390 3.795 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1ccc(=O)c2sccc21 ZINC000292615372 526668355 /nfs/dbraw/zinc/66/83/55/526668355.db2.gz JEVVQHPWFSXIFZ-UHFFFAOYSA-N 1 2 298.411 3.938 20 0 CHADLO Cc1nc(C2([NH2+]Cc3c(C)cccc3C)CCCCC2)no1 ZINC000265669131 526768664 /nfs/dbraw/zinc/76/86/64/526768664.db2.gz WSGVEGYVMCKDPR-UHFFFAOYSA-N 1 2 299.418 3.944 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2cccc(F)c2)cs1 ZINC000076721164 526862066 /nfs/dbraw/zinc/86/20/66/526862066.db2.gz CGUMKLWRVXLXID-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2cccc(F)c2)cs1 ZINC000076721164 526862072 /nfs/dbraw/zinc/86/20/72/526862072.db2.gz CGUMKLWRVXLXID-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO Clc1cc2c(s1)CC[C@H]2[NH2+]Cc1cscn1 ZINC000088117302 526933597 /nfs/dbraw/zinc/93/35/97/526933597.db2.gz YYXFFQJDNCGSCA-SECBINFHSA-N 1 2 270.810 3.635 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](c2ccc(F)cc2)C2CCC2)no1 ZINC000278743559 527032171 /nfs/dbraw/zinc/03/21/71/527032171.db2.gz WOWGDZWTGOJIRU-MEBBXXQBSA-N 1 2 289.354 3.709 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1cc(F)cc(F)c1 ZINC000161809980 527141692 /nfs/dbraw/zinc/14/16/92/527141692.db2.gz HXBZNZVSUCVSET-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO Cc1nc2ccc(NCCCc3c[nH+]ccc3C)cc2o1 ZINC000290337109 527149042 /nfs/dbraw/zinc/14/90/42/527149042.db2.gz KVXHFJJCKPHCDV-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO O=C(Nc1cccc(-c2[nH+]cc3n2CCCC3)c1)C1CCC1 ZINC000277482837 527312894 /nfs/dbraw/zinc/31/28/94/527312894.db2.gz BWZZTOZHVUPOQF-UHFFFAOYSA-N 1 2 295.386 3.625 20 0 CHADLO Cc1ncsc1C[N@@H+]1Cc2cccc(Cl)c2C1 ZINC000290546799 527502326 /nfs/dbraw/zinc/50/23/26/527502326.db2.gz RNGGGBFAOWHBSC-UHFFFAOYSA-N 1 2 264.781 3.621 20 0 CHADLO Cc1ncsc1C[N@H+]1Cc2cccc(Cl)c2C1 ZINC000290546799 527502329 /nfs/dbraw/zinc/50/23/29/527502329.db2.gz RNGGGBFAOWHBSC-UHFFFAOYSA-N 1 2 264.781 3.621 20 0 CHADLO OCC[C@H](Nc1[nH+]ccc2ccc(F)cc21)c1ccccc1 ZINC000340259459 527569100 /nfs/dbraw/zinc/56/91/00/527569100.db2.gz ZYXKPUDBVXDWKA-KRWDZBQOSA-N 1 2 296.345 3.910 20 0 CHADLO CC(C)C1CCC(C(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)CC1 ZINC000347934688 527629094 /nfs/dbraw/zinc/62/90/94/527629094.db2.gz KORCRUXFZPBPSM-NRXISQOPSA-N 1 2 289.423 3.536 20 0 CHADLO CC(C)(C)C[C@@H](CO)Nc1[nH+]ccc2ccc(F)cc21 ZINC000354879206 527693384 /nfs/dbraw/zinc/69/33/84/527693384.db2.gz NZDUWOWTQXHBIP-ZDUSSCGKSA-N 1 2 276.355 3.583 20 0 CHADLO FC(F)Oc1ccc(N[C@@H]2CCn3cc[nH+]c32)cc1Cl ZINC000293857926 527696552 /nfs/dbraw/zinc/69/65/52/527696552.db2.gz DDCAUGISCNVMBL-SNVBAGLBSA-N 1 2 299.708 3.695 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1CCSCc1ccccc1 ZINC000353194104 527698267 /nfs/dbraw/zinc/69/82/67/527698267.db2.gz NZNDEUWCMRQNMM-CYBMUJFWSA-N 1 2 271.376 3.649 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1CCSCc1ccccc1 ZINC000353194104 527698269 /nfs/dbraw/zinc/69/82/69/527698269.db2.gz NZNDEUWCMRQNMM-CYBMUJFWSA-N 1 2 271.376 3.649 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344288177 527706203 /nfs/dbraw/zinc/70/62/03/527706203.db2.gz LHAWBDPCWWRTDB-HNNXBMFYSA-N 1 2 299.418 3.817 20 0 CHADLO CC(C)CN(CC(C)C)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344231055 527932423 /nfs/dbraw/zinc/93/24/23/527932423.db2.gz XSVMJYLFWBWZTC-UHFFFAOYSA-N 1 2 299.418 3.627 20 0 CHADLO CC(C)CN(Cc1c[nH+]cn1C)c1ccc(Cl)cc1 ZINC000353571896 527950522 /nfs/dbraw/zinc/95/05/22/527950522.db2.gz JEPKZMUBQHWMBV-UHFFFAOYSA-N 1 2 277.799 3.736 20 0 CHADLO CC1(C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CCCC1 ZINC000330256586 527986970 /nfs/dbraw/zinc/98/69/70/527986970.db2.gz KUGIBTROJTUUKN-CQSZACIVSA-N 1 2 276.428 3.596 20 0 CHADLO CC1(NC(=O)c2cccc(Oc3cc[nH+]cc3)c2)CCCC1 ZINC000353114201 528031216 /nfs/dbraw/zinc/03/12/16/528031216.db2.gz OVYXUAZRAZUBTB-UHFFFAOYSA-N 1 2 296.370 3.936 20 0 CHADLO CC1CCC(CC(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)CC1 ZINC000334737417 528174202 /nfs/dbraw/zinc/17/42/02/528174202.db2.gz JAWJYQRKXXFJFC-NRXISQOPSA-N 1 2 289.423 3.680 20 0 CHADLO CC(C)c1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@](C)(F)C1 ZINC000347389305 528198700 /nfs/dbraw/zinc/19/87/00/528198700.db2.gz RDIDGQMUDSSUMT-DYVFJYSZSA-N 1 2 292.398 3.571 20 0 CHADLO CC(C)c1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@](C)(F)C1 ZINC000347389305 528198707 /nfs/dbraw/zinc/19/87/07/528198707.db2.gz RDIDGQMUDSSUMT-DYVFJYSZSA-N 1 2 292.398 3.571 20 0 CHADLO CC(C)c1ccccc1NC(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000336043986 528199195 /nfs/dbraw/zinc/19/91/95/528199195.db2.gz SSQLSRSCSJFLMG-ZDUSSCGKSA-N 1 2 283.375 3.592 20 0 CHADLO CC(C)c1nc(C[NH+]2CCC(C(F)F)CC2)cs1 ZINC000353670101 528275762 /nfs/dbraw/zinc/27/57/62/528275762.db2.gz GLIGQNGCLRMGKZ-UHFFFAOYSA-N 1 2 274.380 3.744 20 0 CHADLO CCCCN(C(=O)c1cccc(-n2cc[nH+]c2)c1)[C@H](C)CC ZINC000344218071 528313408 /nfs/dbraw/zinc/31/34/08/528313408.db2.gz JFZQCCIKIVBUFX-OAHLLOKOSA-N 1 2 299.418 3.913 20 0 CHADLO CC(C)c1nc(N2CCc3c(Cl)cccc3C2)cc[nH+]1 ZINC000352358830 528367649 /nfs/dbraw/zinc/36/76/49/528367649.db2.gz YQNADRRBWVDBLE-UHFFFAOYSA-N 1 2 287.794 3.816 20 0 CHADLO CC/C=C(\C)c1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000356014636 528768405 /nfs/dbraw/zinc/76/84/05/528768405.db2.gz RZVDRCQWROWPOJ-WLRTZDKTSA-N 1 2 294.358 3.795 20 0 CHADLO CCN(Cc1cccc(F)c1)c1cc[nH+]c(C2CC2)n1 ZINC000110126392 528812607 /nfs/dbraw/zinc/81/26/07/528812607.db2.gz MZGVSZNZKWENMZ-UHFFFAOYSA-N 1 2 271.339 3.520 20 0 CHADLO CC[N@H+](Cc1ccn(C(F)F)n1)Cc1ccc(Cl)cc1 ZINC000347674592 528856253 /nfs/dbraw/zinc/85/62/53/528856253.db2.gz FQQGIBNASKXFOT-UHFFFAOYSA-N 1 2 299.752 3.954 20 0 CHADLO CC[N@@H+](Cc1ccn(C(F)F)n1)Cc1ccc(Cl)cc1 ZINC000347674592 528856258 /nfs/dbraw/zinc/85/62/58/528856258.db2.gz FQQGIBNASKXFOT-UHFFFAOYSA-N 1 2 299.752 3.954 20 0 CHADLO CC[N@H+](Cc1ccn(C(F)F)n1)[C@H](C)c1cccc(O)c1 ZINC000347705501 528856664 /nfs/dbraw/zinc/85/66/64/528856664.db2.gz OWWPHVHOEWDQRH-LLVKDONJSA-N 1 2 295.333 3.567 20 0 CHADLO CC[N@@H+](Cc1ccn(C(F)F)n1)[C@H](C)c1cccc(O)c1 ZINC000347705501 528856668 /nfs/dbraw/zinc/85/66/68/528856668.db2.gz OWWPHVHOEWDQRH-LLVKDONJSA-N 1 2 295.333 3.567 20 0 CHADLO CCCC[C@H](CC)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000344384354 528898176 /nfs/dbraw/zinc/89/81/76/528898176.db2.gz HDECRKDUKZXXNR-KBPBESRZSA-N 1 2 277.412 3.680 20 0 CHADLO CC(C)[C@H](C)N(C)C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000352762453 528957928 /nfs/dbraw/zinc/95/79/28/528957928.db2.gz GTVGYFRJROLQSN-AWEZNQCLSA-N 1 2 298.386 3.990 20 0 CHADLO CCOCc1ccc(NCCCc2ccc(C)[nH+]c2)cc1 ZINC000353827658 529052500 /nfs/dbraw/zinc/05/25/00/529052500.db2.gz HGYNHEAQMCGWFC-UHFFFAOYSA-N 1 2 284.403 3.971 20 0 CHADLO CC[C@H]1CCN1C(=O)c1ccc(C)c(Oc2cc[nH+]cc2)c1 ZINC000347636151 529243660 /nfs/dbraw/zinc/24/36/60/529243660.db2.gz QLCCHVWXSWDKQF-HNNXBMFYSA-N 1 2 296.370 3.807 20 0 CHADLO CC[C@H]1CC[C@H](C)N1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334717650 529253556 /nfs/dbraw/zinc/25/35/56/529253556.db2.gz NOSAKOVWOHHIHM-JSGCOSHPSA-N 1 2 275.396 3.802 20 0 CHADLO CC[C@H]1c2ccccc2CCN1c1cc[nH+]c(C2CC2)n1 ZINC000114451045 529344994 /nfs/dbraw/zinc/34/49/94/529344994.db2.gz HEXAINSQHKXQEB-INIZCTEOSA-N 1 2 279.387 3.868 20 0 CHADLO CCn1c2ccccc2nc1-c1cc(-n2cc[nH+]c2)cs1 ZINC000342140155 536008094 /nfs/dbraw/zinc/00/80/94/536008094.db2.gz NZUVCAIBRBBXLJ-UHFFFAOYSA-N 1 2 294.383 3.970 20 0 CHADLO CN(Cc1cscn1)c1cc[nH+]c2c(Cl)cccc12 ZINC000341848781 536485891 /nfs/dbraw/zinc/48/58/91/536485891.db2.gz PCXKQVNRCXHJNU-UHFFFAOYSA-N 1 2 289.791 3.981 20 0 CHADLO COc1cc[nH+]cc1CSCc1cc(F)cc(F)c1 ZINC000342004365 537120623 /nfs/dbraw/zinc/12/06/23/537120623.db2.gz MQCCFSMWHCDPED-UHFFFAOYSA-N 1 2 281.327 3.802 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)cc2)no1 ZINC000044895903 1125457053 /nfs/dbraw/zinc/45/70/53/1125457053.db2.gz ZVADUDQRGOVWHS-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)cc2)no1 ZINC000044895903 1125457059 /nfs/dbraw/zinc/45/70/59/1125457059.db2.gz ZVADUDQRGOVWHS-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc(C)c(Nc2ncccc2C(F)(F)F)c[nH+]1 ZINC001213505568 1117821099 /nfs/dbraw/zinc/82/10/99/1117821099.db2.gz IWFVAJMPSXDSMI-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc(OC)cc1C ZINC000624148678 1117990345 /nfs/dbraw/zinc/99/03/45/1117990345.db2.gz KZHDVSAIRHJNIG-LLVKDONJSA-N 1 2 257.324 3.700 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000891480837 1118692097 /nfs/dbraw/zinc/69/20/97/1118692097.db2.gz WFVWBHFHGTVVIU-LLVKDONJSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000891480837 1118692100 /nfs/dbraw/zinc/69/21/00/1118692100.db2.gz WFVWBHFHGTVVIU-LLVKDONJSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cscc1Cl ZINC000684425348 1118700638 /nfs/dbraw/zinc/70/06/38/1118700638.db2.gz KQQHWLYJWAPAPE-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cscc1Cl ZINC000684425348 1118700640 /nfs/dbraw/zinc/70/06/40/1118700640.db2.gz KQQHWLYJWAPAPE-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2cccc(OC(C)C)c2)o1 ZINC000112528279 1125486339 /nfs/dbraw/zinc/48/63/39/1125486339.db2.gz SKNBLQLYDFXXGT-NWDGAFQWSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000112516300 1125486353 /nfs/dbraw/zinc/48/63/53/1125486353.db2.gz GCTSDEONDGQDMX-DTWKUNHWSA-N 1 2 299.296 3.809 20 0 CHADLO C[C@H]([NH2+]Cc1cnc[nH]1)c1cc(Cl)ccc1Cl ZINC000112344563 1125486691 /nfs/dbraw/zinc/48/66/91/1125486691.db2.gz SUNAZXXQLSQRAA-QMMMGPOBSA-N 1 2 270.163 3.567 20 0 CHADLO Cc1ccc2c(cccc2N2CCc3cccc(N)c32)[nH+]1 ZINC001212798320 1125488556 /nfs/dbraw/zinc/48/85/56/1125488556.db2.gz DWGQATQTIKKMMB-UHFFFAOYSA-N 1 2 275.355 3.820 20 0 CHADLO Cc1c[nH+]c(CN(C)[C@H](C)c2cccc(Cl)c2)n1C ZINC000342796409 1119172091 /nfs/dbraw/zinc/17/20/91/1119172091.db2.gz YLUPZLTYEZHOPV-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)n1C ZINC000342796409 1119172093 /nfs/dbraw/zinc/17/20/93/1119172093.db2.gz YLUPZLTYEZHOPV-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)n1C ZINC000342796409 1119172096 /nfs/dbraw/zinc/17/20/96/1119172096.db2.gz YLUPZLTYEZHOPV-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO CC(C)c1cc(NC[C@H](C)C[C@H](C)O)nc(C(C)C)[nH+]1 ZINC000090094618 1119545738 /nfs/dbraw/zinc/54/57/38/1119545738.db2.gz BAHWTPHZMDOICQ-OLZOCXBDSA-N 1 2 279.428 3.542 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2ccnc(Cl)c2F)s1 ZINC000823970985 1131450472 /nfs/dbraw/zinc/45/04/72/1131450472.db2.gz KFGRSHLZBODXDI-UHFFFAOYSA-N 1 2 299.802 3.664 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](C)c2cc(C)cc(C)c2)n1 ZINC000114752219 1125498995 /nfs/dbraw/zinc/49/89/95/1125498995.db2.gz YLEROTUOCHCPKZ-QWHCGFSZSA-N 1 2 273.380 3.661 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000114745986 1125499051 /nfs/dbraw/zinc/49/90/51/1125499051.db2.gz FLPONMXROSEXIP-OLZOCXBDSA-N 1 2 299.418 3.923 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+][C@@H](C)c2nc(C3CC3)no2)c1C ZINC000115603358 1125504548 /nfs/dbraw/zinc/50/45/48/1125504548.db2.gz MMFLZASKSHOEAW-OLZOCXBDSA-N 1 2 285.391 3.976 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2nc3ccccc3o2)o1 ZINC000093339110 1125506744 /nfs/dbraw/zinc/50/67/44/1125506744.db2.gz XATUPVSOCDPSEX-UHFFFAOYSA-N 1 2 270.332 3.615 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2nc3ccccc3o2)o1 ZINC000093339110 1125506752 /nfs/dbraw/zinc/50/67/52/1125506752.db2.gz XATUPVSOCDPSEX-UHFFFAOYSA-N 1 2 270.332 3.615 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[NH2+][C@H](C)c1csnn1 ZINC000414312382 1119978707 /nfs/dbraw/zinc/97/87/07/1119978707.db2.gz ZWVXDPJBDMGDAF-BDAKNGLRSA-N 1 2 296.465 3.838 20 0 CHADLO Cc1occc1C[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC000414344264 1119991127 /nfs/dbraw/zinc/99/11/27/1119991127.db2.gz ZGWWPQFDZBGSAV-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccnc(OC)c1Cl ZINC001211947919 1125507307 /nfs/dbraw/zinc/50/73/07/1125507307.db2.gz VTTVGAVBNWVGNI-UHFFFAOYSA-N 1 2 277.755 3.753 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@H+]([C@H]1CCCOC1)C2 ZINC000674300250 1121115717 /nfs/dbraw/zinc/11/57/17/1121115717.db2.gz AUFGIDGIFAHFPD-NSHDSACASA-N 1 2 286.202 3.531 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@@H+]([C@H]1CCCOC1)C2 ZINC000674300250 1121115722 /nfs/dbraw/zinc/11/57/22/1121115722.db2.gz AUFGIDGIFAHFPD-NSHDSACASA-N 1 2 286.202 3.531 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccccc2C(F)F)C[C@H]1F ZINC001139796491 1121296586 /nfs/dbraw/zinc/29/65/86/1121296586.db2.gz ZFEUKDXXRDCWBB-TZMCWYRMSA-N 1 2 275.289 3.896 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccccc2C(F)F)C[C@H]1F ZINC001139796491 1121296593 /nfs/dbraw/zinc/29/65/93/1121296593.db2.gz ZFEUKDXXRDCWBB-TZMCWYRMSA-N 1 2 275.289 3.896 20 0 CHADLO FC1(F)CCC[N@H+](Cc2csc(C3CC3)n2)CC1 ZINC000625770089 1121491396 /nfs/dbraw/zinc/49/13/96/1121491396.db2.gz FTJNUHZTTGLNRH-UHFFFAOYSA-N 1 2 272.364 3.642 20 0 CHADLO FC1(F)CCC[N@@H+](Cc2csc(C3CC3)n2)CC1 ZINC000625770089 1121491401 /nfs/dbraw/zinc/49/14/01/1121491401.db2.gz FTJNUHZTTGLNRH-UHFFFAOYSA-N 1 2 272.364 3.642 20 0 CHADLO FC(F)(F)CCCc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001250225646 1122369382 /nfs/dbraw/zinc/36/93/82/1122369382.db2.gz NHIJSVGGHKACCF-UHFFFAOYSA-N 1 2 254.255 3.962 20 0 CHADLO Cn1c[nH+]cc1COc1c(Cl)cc(Cl)cc1Cl ZINC000439549407 1122790244 /nfs/dbraw/zinc/79/02/44/1122790244.db2.gz JTSXSRGABRXIRP-UHFFFAOYSA-N 1 2 291.565 3.959 20 0 CHADLO FC1CC[NH+](C/C(Br)=C/c2ccccc2)CC1 ZINC001201451692 1123106654 /nfs/dbraw/zinc/10/66/54/1123106654.db2.gz VWHDRYDCOBHNGQ-RAXLEYEMSA-N 1 2 298.199 3.856 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]C[C@@H](O)c1cccc(Cl)c1 ZINC000853124445 1123425364 /nfs/dbraw/zinc/42/53/64/1123425364.db2.gz GDXQTPAQFLCQPU-GFCCVEGCSA-N 1 2 291.769 3.644 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccccc1N(C)C ZINC000872114773 1124687703 /nfs/dbraw/zinc/68/77/03/1124687703.db2.gz CDXXXKMZXBAJAO-JTQLQIEISA-N 1 2 295.839 3.713 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(F)c(OC)c1)C(F)F ZINC000449363674 1124712593 /nfs/dbraw/zinc/71/25/93/1124712593.db2.gz QETNSCNKZXHSEW-KWQFWETISA-N 1 2 261.287 3.529 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2cccc(Cl)c2C)n1 ZINC000877619394 1125055829 /nfs/dbraw/zinc/05/58/29/1125055829.db2.gz XWUAQVIKWLHMPJ-JTQLQIEISA-N 1 2 279.771 3.533 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cc(C(F)(F)F)co2)s1 ZINC000878422886 1125153086 /nfs/dbraw/zinc/15/30/86/1125153086.db2.gz CPBBOSNWNIKLNQ-MRVPVSSYSA-N 1 2 290.310 3.914 20 0 CHADLO Cc1cc(C)c([C@H](C)[NH2+][C@H](C)c2csnn2)c(C)c1 ZINC000398254984 1125337709 /nfs/dbraw/zinc/33/77/09/1125337709.db2.gz YTOJLCUSSGHKSC-OLZOCXBDSA-N 1 2 275.421 3.875 20 0 CHADLO Cc1cc(CNc2c[nH+]c(C)c(C)c2)cnc1Cl ZINC000883341700 1125398367 /nfs/dbraw/zinc/39/83/67/1125398367.db2.gz XUVCAAQCPKDVKB-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1ccncc1Cl ZINC000348269232 1125455494 /nfs/dbraw/zinc/45/54/94/1125455494.db2.gz CXJLIUJUIIYBOH-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO CCc1nc(C[NH2+][C@@H](CC)c2ccccc2F)co1 ZINC000825061759 1131497210 /nfs/dbraw/zinc/49/72/10/1131497210.db2.gz JOJCXHKAWKGHFX-AWEZNQCLSA-N 1 2 262.328 3.617 20 0 CHADLO COc1c(Cl)cc(C[N@@H+]2CCC[C@H]3C[C@H]32)cc1Cl ZINC001138482081 1131511544 /nfs/dbraw/zinc/51/15/44/1131511544.db2.gz ZYHASANXCNJVHJ-GXFFZTMASA-N 1 2 286.202 3.986 20 0 CHADLO COc1c(Cl)cc(C[N@H+]2CCC[C@H]3C[C@H]32)cc1Cl ZINC001138482081 1131511546 /nfs/dbraw/zinc/51/15/46/1131511546.db2.gz ZYHASANXCNJVHJ-GXFFZTMASA-N 1 2 286.202 3.986 20 0 CHADLO CCCc1ccc(C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C)s1 ZINC001138526856 1131516710 /nfs/dbraw/zinc/51/67/10/1131516710.db2.gz AQHHTSCTFNBYRE-LBPRGKRZSA-N 1 2 297.464 3.863 20 0 CHADLO CCCc1ccc(C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C)s1 ZINC001138526856 1131516715 /nfs/dbraw/zinc/51/67/15/1131516715.db2.gz AQHHTSCTFNBYRE-LBPRGKRZSA-N 1 2 297.464 3.863 20 0 CHADLO FC1(F)CCC[N@H+]([C@H]2CCc3cc(Cl)ccc3C2)C1 ZINC001171115773 1131547768 /nfs/dbraw/zinc/54/77/68/1131547768.db2.gz GGTGOFMGOPWXPI-AWEZNQCLSA-N 1 2 285.765 3.928 20 0 CHADLO CC[C@@H]([NH2+][C@H](CC)C(F)F)c1ccc2c(c1)CCO2 ZINC000834828676 1131709120 /nfs/dbraw/zinc/70/91/20/1131709120.db2.gz WUBUEHINZXRZSR-CHWSQXEVSA-N 1 2 269.335 3.706 20 0 CHADLO Clc1cc(C[N@@H+]2CC[C@@]3(CCCO3)C2)c(Cl)s1 ZINC001140584687 1131810674 /nfs/dbraw/zinc/81/06/74/1131810674.db2.gz BYBUDLSCZIXZKA-LBPRGKRZSA-N 1 2 292.231 3.810 20 0 CHADLO Clc1cc(C[N@H+]2CC[C@@]3(CCCO3)C2)c(Cl)s1 ZINC001140584687 1131810683 /nfs/dbraw/zinc/81/06/83/1131810683.db2.gz BYBUDLSCZIXZKA-LBPRGKRZSA-N 1 2 292.231 3.810 20 0 CHADLO CCOC1CC[NH+](Cc2cc(F)c(Cl)cc2F)CC1 ZINC001140701651 1131882157 /nfs/dbraw/zinc/88/21/57/1131882157.db2.gz VBVHNXGVZYTFHC-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CSCC[N@H+](C)Cc1cc(C(F)(F)F)cnc1Cl ZINC001232012587 1132411825 /nfs/dbraw/zinc/41/18/25/1132411825.db2.gz YJECPEADDDJQIM-UHFFFAOYSA-N 1 2 298.761 3.549 20 0 CHADLO CSCC[N@@H+](C)Cc1cc(C(F)(F)F)cnc1Cl ZINC001232012587 1132411827 /nfs/dbraw/zinc/41/18/27/1132411827.db2.gz YJECPEADDDJQIM-UHFFFAOYSA-N 1 2 298.761 3.549 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)cc(F)cc2Cl)CCCO1 ZINC001232102486 1132424389 /nfs/dbraw/zinc/42/43/89/1132424389.db2.gz UEQLQXFCRDGIAU-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)cc(F)cc2Cl)CCCO1 ZINC001232102486 1132424392 /nfs/dbraw/zinc/42/43/92/1132424392.db2.gz UEQLQXFCRDGIAU-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO Clc1cnc(C[N@@H+]2CC3CCC2CC3)c(Cl)c1 ZINC001232574311 1132487829 /nfs/dbraw/zinc/48/78/29/1132487829.db2.gz CKRKRYKAGSDGLV-UHFFFAOYSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1cnc(C[N@H+]2CC3CCC2CC3)c(Cl)c1 ZINC001232574311 1132487834 /nfs/dbraw/zinc/48/78/34/1132487834.db2.gz CKRKRYKAGSDGLV-UHFFFAOYSA-N 1 2 271.191 3.763 20 0 CHADLO Fc1ccc(Cl)c(Br)c1C[NH+]1CCCC1 ZINC001232690404 1132508648 /nfs/dbraw/zinc/50/86/48/1132508648.db2.gz BCMLWCDAJXOKBR-UHFFFAOYSA-N 1 2 292.579 3.837 20 0 CHADLO COc1c(F)ccc(C[N@@H+](C)Cc2ccsc2)c1F ZINC001143322248 1132536579 /nfs/dbraw/zinc/53/65/79/1132536579.db2.gz WWBRYVVBTSZHTG-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(F)cc2F)c[nH+]1 ZINC001173926739 1132624869 /nfs/dbraw/zinc/62/48/69/1132624869.db2.gz SADVNJYUNBSMIV-UHFFFAOYSA-N 1 2 263.291 3.560 20 0 CHADLO CSCc1cc[nH+]c(N[C@H](C)CCCC(C)(C)O)c1 ZINC001157896424 1132754431 /nfs/dbraw/zinc/75/44/31/1132754431.db2.gz HWKZPFXVHDUNOU-GFCCVEGCSA-N 1 2 282.453 3.686 20 0 CHADLO COCc1cc[nH+]c(NCC(C)(C)CC(F)(F)F)c1 ZINC001162249146 1133064131 /nfs/dbraw/zinc/06/41/31/1133064131.db2.gz HYXAJLUWNVSFCA-UHFFFAOYSA-N 1 2 276.302 3.619 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCCC(F)(F)CC2)[nH+]1 ZINC001163980008 1133191572 /nfs/dbraw/zinc/19/15/72/1133191572.db2.gz VPBSGQFCHQFOOD-UHFFFAOYSA-N 1 2 274.742 3.977 20 0 CHADLO CC(C)c1ccc(C[N@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001204166951 1133413849 /nfs/dbraw/zinc/41/38/49/1133413849.db2.gz CDUSCEGQEQCJJW-GJZGRUSLSA-N 1 2 253.336 3.692 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2c(F)cccc2F)C1 ZINC001204202548 1133418404 /nfs/dbraw/zinc/41/84/04/1133418404.db2.gz HKANPCZHKHJZIA-CQSZACIVSA-N 1 2 257.299 3.679 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC001204202548 1133418407 /nfs/dbraw/zinc/41/84/07/1133418407.db2.gz HKANPCZHKHJZIA-CQSZACIVSA-N 1 2 257.299 3.679 20 0 CHADLO FC(F)[C@H]([NH2+][C@@H]1CC[C@@H]1C1CC1)c1ccccc1 ZINC001207873501 1133857070 /nfs/dbraw/zinc/85/70/70/1133857070.db2.gz WTJFZPXNFXUVEZ-MGPQQGTHSA-N 1 2 251.320 3.771 20 0 CHADLO COc1cc(F)c(F)cc1Nc1[nH+]cc(C)cc1C ZINC001210545728 1134244658 /nfs/dbraw/zinc/24/46/58/1134244658.db2.gz CIRWHQSAIUEEGA-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CC(C)c1nc(C[N@H+](Cc2ccccc2)[C@@H](C)C2CC2)no1 ZINC000053608338 1125526131 /nfs/dbraw/zinc/52/61/31/1125526131.db2.gz SMXGQRNWBBYOMH-AWEZNQCLSA-N 1 2 299.418 3.994 20 0 CHADLO CC(C)c1nc(C[N@@H+](Cc2ccccc2)[C@@H](C)C2CC2)no1 ZINC000053608338 1125526135 /nfs/dbraw/zinc/52/61/35/1125526135.db2.gz SMXGQRNWBBYOMH-AWEZNQCLSA-N 1 2 299.418 3.994 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCC2CCSCC2)c1 ZINC000135816577 1125527107 /nfs/dbraw/zinc/52/71/07/1125527107.db2.gz WRUHZHJDJIHKII-UHFFFAOYSA-N 1 2 276.327 3.656 20 0 CHADLO Clc1cccc([C@@H]2CSCC[N@@H+]2Cc2ccc[nH]2)c1 ZINC001204008855 1125529576 /nfs/dbraw/zinc/52/95/76/1125529576.db2.gz KRLYNVFORADGEP-HNNXBMFYSA-N 1 2 292.835 3.958 20 0 CHADLO Clc1cccc([C@@H]2CSCC[N@H+]2Cc2ccc[nH]2)c1 ZINC001204008855 1125529583 /nfs/dbraw/zinc/52/95/83/1125529583.db2.gz KRLYNVFORADGEP-HNNXBMFYSA-N 1 2 292.835 3.958 20 0 CHADLO COC[C@@H]([NH2+]Cc1cc(Cl)ccc1F)c1ccc(C)o1 ZINC000119443944 1125533945 /nfs/dbraw/zinc/53/39/45/1125533945.db2.gz DXUUMZJJKTZUIO-CQSZACIVSA-N 1 2 297.757 3.858 20 0 CHADLO Cc1n[nH]cc1C[N@@H+](C)[C@@H](C)c1nc2ccccc2s1 ZINC000120514436 1125547487 /nfs/dbraw/zinc/54/74/87/1125547487.db2.gz PHWPKXMSNRZQQP-NSHDSACASA-N 1 2 286.404 3.521 20 0 CHADLO Cc1n[nH]cc1C[N@H+](C)[C@@H](C)c1nc2ccccc2s1 ZINC000120514436 1125547495 /nfs/dbraw/zinc/54/74/95/1125547495.db2.gz PHWPKXMSNRZQQP-NSHDSACASA-N 1 2 286.404 3.521 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc([C@H]2C[C@H]2C)o1)c1ccc(C)o1 ZINC000245423290 1125570691 /nfs/dbraw/zinc/57/06/91/1125570691.db2.gz BENCBTDJQKHMMO-UGFHNGPFSA-N 1 2 289.375 3.782 20 0 CHADLO C[C@H]1CO[C@@H](c2ccccc2Cl)C[N@@H+]1CCCCF ZINC000509674324 1125573330 /nfs/dbraw/zinc/57/33/30/1125573330.db2.gz VVGNEKLAXGDVSG-SWLSCSKDSA-N 1 2 285.790 3.852 20 0 CHADLO C[C@H]1CO[C@@H](c2ccccc2Cl)C[N@H+]1CCCCF ZINC000509674324 1125573335 /nfs/dbraw/zinc/57/33/35/1125573335.db2.gz VVGNEKLAXGDVSG-SWLSCSKDSA-N 1 2 285.790 3.852 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCC[C@@H](c3ccn[nH]3)C2)o1 ZINC000245547973 1125579162 /nfs/dbraw/zinc/57/91/62/1125579162.db2.gz XCOXPCQTGQFOEQ-UMVBOHGHSA-N 1 2 285.391 3.506 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)o1 ZINC000245547973 1125579168 /nfs/dbraw/zinc/57/91/68/1125579168.db2.gz XCOXPCQTGQFOEQ-UMVBOHGHSA-N 1 2 285.391 3.506 20 0 CHADLO CSc1ccccc1C[N@H+](C)[C@@H](C)c1ncc(C)o1 ZINC000348328526 1125579943 /nfs/dbraw/zinc/57/99/43/1125579943.db2.gz LGRXWGMVLKKGTL-LBPRGKRZSA-N 1 2 276.405 3.898 20 0 CHADLO CSc1ccccc1C[N@@H+](C)[C@@H](C)c1ncc(C)o1 ZINC000348328526 1125579947 /nfs/dbraw/zinc/57/99/47/1125579947.db2.gz LGRXWGMVLKKGTL-LBPRGKRZSA-N 1 2 276.405 3.898 20 0 CHADLO Cc1nc(N2C[C@@H]3CCC[C@]3(C(F)(F)F)C2)c(C)c(C)[nH+]1 ZINC000884657488 1125585032 /nfs/dbraw/zinc/58/50/32/1125585032.db2.gz YARVTXXORHVFDR-JSGCOSHPSA-N 1 2 299.340 3.571 20 0 CHADLO CCc1nc(C[N@H+](C)[C@@H]2CCc3ccc(F)cc32)cs1 ZINC000532925634 1125589325 /nfs/dbraw/zinc/58/93/25/1125589325.db2.gz IZGAKIVBIXTSTM-OAHLLOKOSA-N 1 2 290.407 3.964 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@@H]2CCc3ccc(F)cc32)cs1 ZINC000532925634 1125589328 /nfs/dbraw/zinc/58/93/28/1125589328.db2.gz IZGAKIVBIXTSTM-OAHLLOKOSA-N 1 2 290.407 3.964 20 0 CHADLO F[C@@H]1C[N@H+](Cc2ccc3cccc(Cl)c3n2)C[C@@H]2C[C@@H]21 ZINC001137221395 1125624965 /nfs/dbraw/zinc/62/49/65/1125624965.db2.gz OALHIBRSLPAOHC-CORIIIEPSA-N 1 2 290.769 3.678 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2ccc3cccc(Cl)c3n2)C[C@@H]2C[C@@H]21 ZINC001137221395 1125624968 /nfs/dbraw/zinc/62/49/68/1125624968.db2.gz OALHIBRSLPAOHC-CORIIIEPSA-N 1 2 290.769 3.678 20 0 CHADLO Cc1coc(C[NH2+]C(C)(C)c2nc(C)c(C)s2)c1 ZINC000885993000 1125633925 /nfs/dbraw/zinc/63/39/25/1125633925.db2.gz WHOSSEPVRFKCME-UHFFFAOYSA-N 1 2 264.394 3.686 20 0 CHADLO Cc1cc(NC(=O)c2csc3c2CCCC3)cc[nH+]1 ZINC000073053880 1125636612 /nfs/dbraw/zinc/63/66/12/1125636612.db2.gz DHDGXIFADXMFOH-UHFFFAOYSA-N 1 2 272.373 3.583 20 0 CHADLO CC[C@H]1CCCC[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000073948843 1125639335 /nfs/dbraw/zinc/63/93/35/1125639335.db2.gz NETUGMARBNJGQH-YOEHRIQHSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)o1 ZINC000247544564 1125658365 /nfs/dbraw/zinc/65/83/65/1125658365.db2.gz PKIMOAKAZKVVOW-NHYWBVRUSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)o1 ZINC000247544564 1125658368 /nfs/dbraw/zinc/65/83/68/1125658368.db2.gz PKIMOAKAZKVVOW-NHYWBVRUSA-N 1 2 274.339 3.705 20 0 CHADLO CCN(C)c1ccc(Nc2cc3ccccc3n2C)c[nH+]1 ZINC001212045036 1125661763 /nfs/dbraw/zinc/66/17/63/1125661763.db2.gz XOKGZRBAYGYZFB-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO C(c1noc(C2CC2)n1)[N@@H+]1CCCC2(C1)CCCCCC2 ZINC000075968337 1125662203 /nfs/dbraw/zinc/66/22/03/1125662203.db2.gz YMICDHHINQIWBR-UHFFFAOYSA-N 1 2 289.423 3.883 20 0 CHADLO C(c1noc(C2CC2)n1)[N@H+]1CCCC2(C1)CCCCCC2 ZINC000075968337 1125662205 /nfs/dbraw/zinc/66/22/05/1125662205.db2.gz YMICDHHINQIWBR-UHFFFAOYSA-N 1 2 289.423 3.883 20 0 CHADLO C[C@H]1C[N@H+](Cc2cn3ccsc3n2)[C@H]1c1ccccc1 ZINC000248358487 1125664678 /nfs/dbraw/zinc/66/46/78/1125664678.db2.gz QVRPSWFWRKUDNV-SWLSCSKDSA-N 1 2 283.400 3.589 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cn3ccsc3n2)[C@H]1c1ccccc1 ZINC000248358487 1125664681 /nfs/dbraw/zinc/66/46/81/1125664681.db2.gz QVRPSWFWRKUDNV-SWLSCSKDSA-N 1 2 283.400 3.589 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(C)(C)C)cc1)c1ncco1 ZINC000886275709 1125665442 /nfs/dbraw/zinc/66/54/42/1125665442.db2.gz JLHDAXBNXOXSEE-LBPRGKRZSA-N 1 2 258.365 3.823 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(C(F)(F)F)c1)c1ncco1 ZINC000886276082 1125666005 /nfs/dbraw/zinc/66/60/05/1125666005.db2.gz WGPIIPYARIKJNR-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO CC1(C)C[N@H+](CCSC(F)(F)F)[C@@H]1c1cccnc1 ZINC000886289354 1125667702 /nfs/dbraw/zinc/66/77/02/1125667702.db2.gz UFWDBZBUEOSALK-LLVKDONJSA-N 1 2 290.354 3.718 20 0 CHADLO CC1(C)C[N@@H+](CCSC(F)(F)F)[C@@H]1c1cccnc1 ZINC000886289354 1125667706 /nfs/dbraw/zinc/66/77/06/1125667706.db2.gz UFWDBZBUEOSALK-LLVKDONJSA-N 1 2 290.354 3.718 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(OC(F)F)c2)[nH+]1 ZINC001212054523 1125671967 /nfs/dbraw/zinc/67/19/67/1125671967.db2.gz YZPYKRCSJLQWES-UHFFFAOYSA-N 1 2 289.285 3.988 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2ccc(F)cc2F)cs1 ZINC000886350235 1125672804 /nfs/dbraw/zinc/67/28/04/1125672804.db2.gz NRSSMIVFCXEEQF-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO CC[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1cccc(C)c1 ZINC000393301586 1125685597 /nfs/dbraw/zinc/68/55/97/1125685597.db2.gz IKTWFRACABNYNS-CQSZACIVSA-N 1 2 277.799 3.623 20 0 CHADLO CC(C)(C)c1ccc(C(=O)/C=C/c2[nH]cc[nH+]2)cc1 ZINC000067647728 1125694650 /nfs/dbraw/zinc/69/46/50/1125694650.db2.gz JBJKGEXNWCIUSA-CMDGGOBGSA-N 1 2 254.333 3.603 20 0 CHADLO CC(=O)Oc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001212073286 1125698794 /nfs/dbraw/zinc/69/87/94/1125698794.db2.gz RYWGGTRFMSSOJA-UHFFFAOYSA-N 1 2 268.316 3.628 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@H+](C)Cc2ccns2)s1 ZINC000638112164 1129243266 /nfs/dbraw/zinc/24/32/66/1129243266.db2.gz RNGXXTHHZIWWFR-JTQLQIEISA-N 1 2 281.450 3.663 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@@H+](C)Cc2ccns2)s1 ZINC000638112164 1129243272 /nfs/dbraw/zinc/24/32/72/1129243272.db2.gz RNGXXTHHZIWWFR-JTQLQIEISA-N 1 2 281.450 3.663 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+][C@@H]2CCc3c2cccc3F)o1 ZINC000886925121 1125725605 /nfs/dbraw/zinc/72/56/05/1125725605.db2.gz GVWVTORPOUEGAM-CQSZACIVSA-N 1 2 288.366 3.888 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)SCc2ccccc2)cc[nH+]1 ZINC000078892609 1125742241 /nfs/dbraw/zinc/74/22/41/1125742241.db2.gz CLQHXEREQRNTNA-ZDUSSCGKSA-N 1 2 286.400 3.650 20 0 CHADLO Cc1ccc(-c2ncc(C[N@H+](C)Cc3ccco3)s2)o1 ZINC000162180436 1125742845 /nfs/dbraw/zinc/74/28/45/1125742845.db2.gz IDAHEKOISMQTEK-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1ccc(-c2ncc(C[N@@H+](C)Cc3ccco3)s2)o1 ZINC000162180436 1125742850 /nfs/dbraw/zinc/74/28/50/1125742850.db2.gz IDAHEKOISMQTEK-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2cnccc2Cl)cc1 ZINC001137237773 1125750391 /nfs/dbraw/zinc/75/03/91/1125750391.db2.gz IUSIGUAHESYYHW-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2cnccc2Cl)cc1 ZINC001137237773 1125750399 /nfs/dbraw/zinc/75/03/99/1125750399.db2.gz IUSIGUAHESYYHW-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1nsc2ccccc21 ZINC001118557391 1131231553 /nfs/dbraw/zinc/23/15/53/1131231553.db2.gz OIRDIZHJLFAOQN-UHFFFAOYSA-N 1 2 274.311 3.681 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1nsc2ccccc21 ZINC001118557391 1131231558 /nfs/dbraw/zinc/23/15/58/1131231558.db2.gz OIRDIZHJLFAOQN-UHFFFAOYSA-N 1 2 274.311 3.681 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccon1)c1ccc(Cl)s1 ZINC000122436615 1125786515 /nfs/dbraw/zinc/78/65/15/1125786515.db2.gz ZLUBFAOXRTXAAK-SECBINFHSA-N 1 2 256.758 3.630 20 0 CHADLO CC(C)(C)C[C@@H]([NH2+]Cc1nnc[nH]1)c1ccc(Cl)cc1 ZINC001118559541 1131231828 /nfs/dbraw/zinc/23/18/28/1131231828.db2.gz YPMAPLUKHHCPKN-CYBMUJFWSA-N 1 2 292.814 3.725 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001238695300 1131232114 /nfs/dbraw/zinc/23/21/14/1131232114.db2.gz OVJAQWCZYFDAJY-IUODEOHRSA-N 1 2 283.362 3.793 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001238695300 1131232118 /nfs/dbraw/zinc/23/21/18/1131232118.db2.gz OVJAQWCZYFDAJY-IUODEOHRSA-N 1 2 283.362 3.793 20 0 CHADLO CCOc1cncc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)c1 ZINC000621718313 1129186095 /nfs/dbraw/zinc/18/60/95/1129186095.db2.gz CZXUYAQOYXKHJE-QGZVFWFLSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1cncc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)c1 ZINC000621718313 1129186098 /nfs/dbraw/zinc/18/60/98/1129186098.db2.gz CZXUYAQOYXKHJE-QGZVFWFLSA-N 1 2 286.350 3.566 20 0 CHADLO C[C@H]([NH2+]Cc1nc(Cl)ccc1Cl)c1cccc(O)c1 ZINC000766853136 1129760292 /nfs/dbraw/zinc/76/02/92/1129760292.db2.gz PRKHGWNUISWVJO-VIFPVBQESA-N 1 2 297.185 3.945 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[NH2+][C@H](C)C(=O)OC(C)(C)C ZINC000769555739 1129917960 /nfs/dbraw/zinc/91/79/60/1129917960.db2.gz ITKRFRODUOQLDY-VXGBXAGGSA-N 1 2 297.826 3.591 20 0 CHADLO COC/C(C)=C\CSCc1[nH+]cc(C)c(OC)c1C ZINC000901780197 1129952423 /nfs/dbraw/zinc/95/24/23/1129952423.db2.gz ZQTBZRZWIVCEIR-WDZFZDKYSA-N 1 2 281.421 3.533 20 0 CHADLO CCc1ccc(F)cc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000636581819 1129205278 /nfs/dbraw/zinc/20/52/78/1129205278.db2.gz MBKZKCSJNHIXKH-UHFFFAOYSA-N 1 2 286.350 3.961 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccncc1F)c1nc2ccccc2n1C ZINC000338009167 1126709634 /nfs/dbraw/zinc/70/96/34/1126709634.db2.gz AKOQKGMPWOGKFU-RYUDHWBXSA-N 1 2 298.365 3.519 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccncc1F)c1nc2c(s1)CCC2 ZINC000338015910 1126710410 /nfs/dbraw/zinc/71/04/10/1126710410.db2.gz XVUHAJLJXUDIKX-UWVGGRQHSA-N 1 2 291.395 3.578 20 0 CHADLO c1cn(-c2ccc([NH2+]C[C@@H]3CC=CCC3)cc2)cn1 ZINC000338137191 1126720688 /nfs/dbraw/zinc/72/06/88/1126720688.db2.gz MJGKLGPCOSZNHQ-CQSZACIVSA-N 1 2 253.349 3.641 20 0 CHADLO NC(Cc1ccc(Cl)cc1)=[NH+]OCCCCCF ZINC000789589703 1130262317 /nfs/dbraw/zinc/26/23/17/1130262317.db2.gz GHUNJEDMFCNKRW-UHFFFAOYSA-N 1 2 272.751 3.521 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1c(Cl)cccc1OC ZINC000338281411 1126730703 /nfs/dbraw/zinc/73/07/03/1126730703.db2.gz KHRKENUOBVCLBH-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1c(Cl)cccc1OC ZINC000338281411 1126730706 /nfs/dbraw/zinc/73/07/06/1126730706.db2.gz KHRKENUOBVCLBH-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2csc(C(F)(F)F)c2)no1 ZINC000924774185 1126743039 /nfs/dbraw/zinc/74/30/39/1126743039.db2.gz AKFIAWDIRGDBJN-MRVPVSSYSA-N 1 2 290.310 3.914 20 0 CHADLO FC(F)[C@H]1CC[N@@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000677730394 1130325419 /nfs/dbraw/zinc/32/54/19/1130325419.db2.gz HIYDYRGQJVWSBG-NSHDSACASA-N 1 2 286.391 3.888 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccn1)c1cccnc1Cl ZINC000152349145 1126757644 /nfs/dbraw/zinc/75/76/44/1126757644.db2.gz QSZZXOLVBAXWNE-MNOVXSKESA-N 1 2 261.756 3.542 20 0 CHADLO Cc1ccc(CC[N@@H+](Cc2ccon2)Cc2ccco2)cc1 ZINC000174041562 1126765789 /nfs/dbraw/zinc/76/57/89/1126765789.db2.gz AGFRHKLXZRFMKN-UHFFFAOYSA-N 1 2 296.370 3.821 20 0 CHADLO Cc1ccc(CC[N@H+](Cc2ccon2)Cc2ccco2)cc1 ZINC000174041562 1126765791 /nfs/dbraw/zinc/76/57/91/1126765791.db2.gz AGFRHKLXZRFMKN-UHFFFAOYSA-N 1 2 296.370 3.821 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2F)[N@H+](Cc2cscn2)C1 ZINC000174043529 1126766014 /nfs/dbraw/zinc/76/60/14/1126766014.db2.gz IOPNMICKOOQINU-IAQYHMDHSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2F)[N@@H+](Cc2cscn2)C1 ZINC000174043529 1126766016 /nfs/dbraw/zinc/76/60/16/1126766016.db2.gz IOPNMICKOOQINU-IAQYHMDHSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@H+](C)Cc1cccn1C ZINC000174960411 1126775573 /nfs/dbraw/zinc/77/55/73/1126775573.db2.gz KCORUOJXCKZQJM-GFCCVEGCSA-N 1 2 285.416 3.828 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@@H+](C)Cc1cccn1C ZINC000174960411 1126775576 /nfs/dbraw/zinc/77/55/76/1126775576.db2.gz KCORUOJXCKZQJM-GFCCVEGCSA-N 1 2 285.416 3.828 20 0 CHADLO Cn1ncc(C2CC2)c1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000668225667 1126774562 /nfs/dbraw/zinc/77/45/62/1126774562.db2.gz SKXUJJYTTPXMDF-KRWDZBQOSA-N 1 2 297.402 3.618 20 0 CHADLO Cn1ncc(C2CC2)c1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000668225667 1126774566 /nfs/dbraw/zinc/77/45/66/1126774566.db2.gz SKXUJJYTTPXMDF-KRWDZBQOSA-N 1 2 297.402 3.618 20 0 CHADLO C[N@H+](Cc1nc(C(F)(F)F)cs1)[C@H]1C=CCCC1 ZINC000174962295 1126775246 /nfs/dbraw/zinc/77/52/46/1126775246.db2.gz FVIFKUPXFFUFEG-VIFPVBQESA-N 1 2 276.327 3.702 20 0 CHADLO C[N@@H+](Cc1nc(C(F)(F)F)cs1)[C@H]1C=CCCC1 ZINC000174962295 1126775250 /nfs/dbraw/zinc/77/52/50/1126775250.db2.gz FVIFKUPXFFUFEG-VIFPVBQESA-N 1 2 276.327 3.702 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1nccs1 ZINC000175107032 1126776744 /nfs/dbraw/zinc/77/67/44/1126776744.db2.gz KFZBXHBUDHRZSV-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1nccs1 ZINC000175107032 1126776747 /nfs/dbraw/zinc/77/67/47/1126776747.db2.gz KFZBXHBUDHRZSV-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2nccs2)c(C)c1 ZINC000175066075 1126777204 /nfs/dbraw/zinc/77/72/04/1126777204.db2.gz ZPQAOWASSFMTEP-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1cccc([C@H]([NH2+]Cc2csnn2)C(C)(C)C)c1 ZINC000678909095 1130471026 /nfs/dbraw/zinc/47/10/26/1130471026.db2.gz VKYXJEALJDWBLN-AWEZNQCLSA-N 1 2 275.421 3.724 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2nccs2)c(C)c1 ZINC000175066075 1126777209 /nfs/dbraw/zinc/77/72/09/1126777209.db2.gz ZPQAOWASSFMTEP-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+](C)Cc1nccs1 ZINC000175092906 1126777268 /nfs/dbraw/zinc/77/72/68/1126777268.db2.gz RAYLCABWTWQIOW-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+](C)Cc1nccs1 ZINC000175092906 1126777273 /nfs/dbraw/zinc/77/72/73/1126777273.db2.gz RAYLCABWTWQIOW-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO Cn1ncc2c1nc[nH+]c2N[C@@H](c1ccccc1)C(C)(C)C ZINC000176265550 1126788980 /nfs/dbraw/zinc/78/89/80/1126788980.db2.gz DISUQNWERORTJD-AWEZNQCLSA-N 1 2 295.390 3.563 20 0 CHADLO CC(C)([NH2+]Cc1ccc2c(c1)CCC(C)(C)O2)C(F)F ZINC000793255169 1130536665 /nfs/dbraw/zinc/53/66/65/1130536665.db2.gz RGLGTWAQGHPWKU-UHFFFAOYSA-N 1 2 283.362 3.924 20 0 CHADLO Cc1ccc(Br)c(C[N@@H+]2CCC[C@@H]2CF)c1 ZINC001137387394 1130556835 /nfs/dbraw/zinc/55/68/35/1130556835.db2.gz KAZQRGHZICKZRA-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(Br)c(C[N@H+]2CCC[C@@H]2CF)c1 ZINC001137387394 1130556840 /nfs/dbraw/zinc/55/68/40/1130556840.db2.gz KAZQRGHZICKZRA-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO CC[N@H+](Cc1cccs1)[C@@H](C)c1nc(C(C)C)no1 ZINC000179177660 1126824549 /nfs/dbraw/zinc/82/45/49/1126824549.db2.gz IVXGRCPVJDYDOB-NSHDSACASA-N 1 2 279.409 3.838 20 0 CHADLO CC[N@@H+](Cc1cccs1)[C@@H](C)c1nc(C(C)C)no1 ZINC000179177660 1126824551 /nfs/dbraw/zinc/82/45/51/1126824551.db2.gz IVXGRCPVJDYDOB-NSHDSACASA-N 1 2 279.409 3.838 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cccc(Cl)c2F)s1 ZINC000179383812 1126827003 /nfs/dbraw/zinc/82/70/03/1126827003.db2.gz UAEJHGXDVKSMOV-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cccc(Cl)c2F)s1 ZINC000179383812 1126827006 /nfs/dbraw/zinc/82/70/06/1126827006.db2.gz UAEJHGXDVKSMOV-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO CC(C)c1noc(C[NH2+]C(C)(C)c2cccc(Cl)c2)n1 ZINC000179600933 1126830591 /nfs/dbraw/zinc/83/05/91/1126830591.db2.gz LZEDNMPEVQLMEC-UHFFFAOYSA-N 1 2 293.798 3.871 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1nc2ccccc2n1C ZINC000179708163 1126832588 /nfs/dbraw/zinc/83/25/88/1126832588.db2.gz ZSONZYSBMCUQKN-MRXNPFEDSA-N 1 2 294.402 3.551 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1nc2ccccc2n1C ZINC000179708163 1126832589 /nfs/dbraw/zinc/83/25/89/1126832589.db2.gz ZSONZYSBMCUQKN-MRXNPFEDSA-N 1 2 294.402 3.551 20 0 CHADLO CC[C@H](C)[N@H+](Cc1cn[nH]c1C)Cc1ccccc1 ZINC000179695598 1126832599 /nfs/dbraw/zinc/83/25/99/1126832599.db2.gz MQRHTPIJINNIJR-ZDUSSCGKSA-N 1 2 257.381 3.519 20 0 CHADLO CC[C@H](C)[N@@H+](Cc1cn[nH]c1C)Cc1ccccc1 ZINC000179695598 1126832601 /nfs/dbraw/zinc/83/26/01/1126832601.db2.gz MQRHTPIJINNIJR-ZDUSSCGKSA-N 1 2 257.381 3.519 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+]Cc1nc(C)c(C)o1 ZINC000179750284 1126833999 /nfs/dbraw/zinc/83/39/99/1126833999.db2.gz OKQGVDIUDVASOY-OAHLLOKOSA-N 1 2 288.391 3.931 20 0 CHADLO C[C@@H](c1cc2ccccc2o1)[N@H+](C)CC(=O)OC(C)(C)C ZINC000484617001 1126834282 /nfs/dbraw/zinc/83/42/82/1126834282.db2.gz JAOFDXUWVYCQQK-LBPRGKRZSA-N 1 2 289.375 3.767 20 0 CHADLO C[C@@H](c1cc2ccccc2o1)[N@@H+](C)CC(=O)OC(C)(C)C ZINC000484617001 1126834285 /nfs/dbraw/zinc/83/42/85/1126834285.db2.gz JAOFDXUWVYCQQK-LBPRGKRZSA-N 1 2 289.375 3.767 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+]C(C3CC3)C3CC3)cc2)CCO1 ZINC000179951632 1126836982 /nfs/dbraw/zinc/83/69/82/1126836982.db2.gz CVIPDNWGLKZFAX-ZDUSSCGKSA-N 1 2 286.419 3.512 20 0 CHADLO CC[N@H+](Cc1ncc(C)s1)[C@@H](C)c1cccc(O)c1 ZINC000180533057 1126845866 /nfs/dbraw/zinc/84/58/66/1126845866.db2.gz QKDAQODPGMTFLV-LBPRGKRZSA-N 1 2 276.405 3.740 20 0 CHADLO CC[N@@H+](Cc1ncc(C)s1)[C@@H](C)c1cccc(O)c1 ZINC000180533057 1126845868 /nfs/dbraw/zinc/84/58/68/1126845868.db2.gz QKDAQODPGMTFLV-LBPRGKRZSA-N 1 2 276.405 3.740 20 0 CHADLO Fc1cccc(C[N@H+](Cc2ccccn2)C2CC2)c1F ZINC000180866801 1126849770 /nfs/dbraw/zinc/84/97/70/1126849770.db2.gz DJGYOCJGFMZZKM-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2ccccn2)C2CC2)c1F ZINC000180866801 1126849773 /nfs/dbraw/zinc/84/97/73/1126849773.db2.gz DJGYOCJGFMZZKM-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO CCCc1nc(C[NH2+][C@H](C)c2cccc(O)c2)cs1 ZINC000181486549 1126856452 /nfs/dbraw/zinc/85/64/52/1126856452.db2.gz HDWOJJOUAMHEOV-LLVKDONJSA-N 1 2 276.405 3.652 20 0 CHADLO Cc1cc(C[NH2+]C2(c3ccc(F)cc3F)CCCC2)no1 ZINC000181255318 1126854013 /nfs/dbraw/zinc/85/40/13/1126854013.db2.gz HDKNRRAGSCUSMM-UHFFFAOYSA-N 1 2 292.329 3.820 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC000181558244 1126857456 /nfs/dbraw/zinc/85/74/56/1126857456.db2.gz MBJQWPFOCNTOPD-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[NH2+][C@H](C)c1ccccn1 ZINC000181798877 1126861565 /nfs/dbraw/zinc/86/15/65/1126861565.db2.gz GVLGPONFUOWILT-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO CC(C)(C)c1cccc(-c2ccn3cc[nH+]c3c2)n1 ZINC001240512246 1126864283 /nfs/dbraw/zinc/86/42/83/1126864283.db2.gz SJZABVHZZUGYNB-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000182416507 1126872189 /nfs/dbraw/zinc/87/21/89/1126872189.db2.gz HZVNRWRNIGSNKY-VIFPVBQESA-N 1 2 299.296 3.502 20 0 CHADLO Cc1cnc([C@H](C)CNc2cc(C)[nH+]c(C3CC3)n2)s1 ZINC000182752731 1126876862 /nfs/dbraw/zinc/87/68/62/1126876862.db2.gz GDUSWWJSJFTSOE-SECBINFHSA-N 1 2 288.420 3.643 20 0 CHADLO CC[C@@H](COC)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000182884178 1126878916 /nfs/dbraw/zinc/87/89/16/1126878916.db2.gz ZLBQQPICZVTUCS-HNNXBMFYSA-N 1 2 299.418 3.723 20 0 CHADLO CCCn1c(C)nnc1C[NH2+][C@H](C)c1cc2ccccc2o1 ZINC000182627808 1126874335 /nfs/dbraw/zinc/87/43/35/1126874335.db2.gz LCBQRSUVTALRKQ-GFCCVEGCSA-N 1 2 298.390 3.594 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2ccc(Cl)cc2)C2CCC2)o1 ZINC000182588045 1126874533 /nfs/dbraw/zinc/87/45/33/1126874533.db2.gz JQRPXLMMZCLEHR-OAHLLOKOSA-N 1 2 291.782 3.662 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](C)c2cc(C)sc2C)n1 ZINC000182984272 1126880685 /nfs/dbraw/zinc/88/06/85/1126880685.db2.gz NGJKDCMRSVCFGT-JTQLQIEISA-N 1 2 279.409 3.551 20 0 CHADLO CCCc1nc(C[NH2+][C@H](c2ccccc2C)C(C)C)no1 ZINC000183209645 1126883451 /nfs/dbraw/zinc/88/34/51/1126883451.db2.gz VUZMJNSJRJWLKM-KRWDZBQOSA-N 1 2 287.407 3.817 20 0 CHADLO CC/C=C/CC[N@@H+]1Cc2ccccc2C[C@@H]1c1nnc(C)o1 ZINC000184461212 1126895150 /nfs/dbraw/zinc/89/51/50/1126895150.db2.gz HTTDJBBBWKPFTN-LAQIPUCWSA-N 1 2 297.402 3.834 20 0 CHADLO CC/C=C/CC[N@H+]1Cc2ccccc2C[C@@H]1c1nnc(C)o1 ZINC000184461212 1126895152 /nfs/dbraw/zinc/89/51/52/1126895152.db2.gz HTTDJBBBWKPFTN-LAQIPUCWSA-N 1 2 297.402 3.834 20 0 CHADLO Cn1c[nH+]cc1-c1ccc([C@@H]2CC(F)(F)C2(F)F)cc1 ZINC000905305636 1126897612 /nfs/dbraw/zinc/89/76/12/1126897612.db2.gz WSVBOJASLYEKKF-NSHDSACASA-N 1 2 284.256 3.845 20 0 CHADLO C[N@H+](C[C@H]1CC=CCC1)Cn1nc(C(C)(C)C)oc1=S ZINC000784014598 1126913671 /nfs/dbraw/zinc/91/36/71/1126913671.db2.gz MVNDZTKKFMPGAM-LBPRGKRZSA-N 1 2 295.452 3.749 20 0 CHADLO C[N@@H+](C[C@H]1CC=CCC1)Cn1nc(C(C)(C)C)oc1=S ZINC000784014598 1126913674 /nfs/dbraw/zinc/91/36/74/1126913674.db2.gz MVNDZTKKFMPGAM-LBPRGKRZSA-N 1 2 295.452 3.749 20 0 CHADLO Cc1ncn(C)c1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC001235554953 1130754117 /nfs/dbraw/zinc/75/41/17/1130754117.db2.gz GOVYYRMCNXCGFY-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ncn(C)c1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC001235554953 1130754120 /nfs/dbraw/zinc/75/41/20/1130754120.db2.gz GOVYYRMCNXCGFY-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cncc(C[N@H+](C)Cc2nc3ccccc3s2)c1 ZINC000189771605 1126935334 /nfs/dbraw/zinc/93/53/34/1126935334.db2.gz PHRBTWHQWWGGFQ-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cncc(C[N@@H+](C)Cc2nc3ccccc3s2)c1 ZINC000189771605 1126935337 /nfs/dbraw/zinc/93/53/37/1126935337.db2.gz PHRBTWHQWWGGFQ-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO CC(C)(C)c1c[nH+]c2ccc(-c3cccnc3)cn21 ZINC000906164391 1126937357 /nfs/dbraw/zinc/93/73/57/1126937357.db2.gz IUYQHCHPFFPEHY-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@H]2CCc3c2cccc3F)c(C)[nH+]1 ZINC000906392664 1126951249 /nfs/dbraw/zinc/95/12/49/1126951249.db2.gz QTTQPIIFXHQHQM-INIZCTEOSA-N 1 2 298.361 3.563 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@H](C2CC2)C1 ZINC000485798134 1126953910 /nfs/dbraw/zinc/95/39/10/1126953910.db2.gz MWLUIJYIRIMICM-HOTGVXAUSA-N 1 2 281.346 3.527 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1CCO[C@H](C2CC2)C1 ZINC000485798134 1126953913 /nfs/dbraw/zinc/95/39/13/1126953913.db2.gz MWLUIJYIRIMICM-HOTGVXAUSA-N 1 2 281.346 3.527 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@H](C2CC2)C1 ZINC000485798133 1126954028 /nfs/dbraw/zinc/95/40/28/1126954028.db2.gz MWLUIJYIRIMICM-CVEARBPZSA-N 1 2 281.346 3.527 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1CCO[C@H](C2CC2)C1 ZINC000485798133 1126954029 /nfs/dbraw/zinc/95/40/29/1126954029.db2.gz MWLUIJYIRIMICM-CVEARBPZSA-N 1 2 281.346 3.527 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2cocn2)o1 ZINC000191949324 1126956913 /nfs/dbraw/zinc/95/69/13/1126956913.db2.gz JCSVNGIEQCNUGP-SMDDNHRTSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2cocn2)o1 ZINC000191949324 1126956916 /nfs/dbraw/zinc/95/69/16/1126956916.db2.gz JCSVNGIEQCNUGP-SMDDNHRTSA-N 1 2 260.337 3.549 20 0 CHADLO CC(F)(F)CCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000294087145 1129213772 /nfs/dbraw/zinc/21/37/72/1129213772.db2.gz AUUQJDOLFWZRQX-UHFFFAOYSA-N 1 2 254.202 3.558 20 0 CHADLO Cc1ccccc1[C@@H]1C[N@H+](CCC(F)(F)F)[C@@H](C)CO1 ZINC000266564131 1126984720 /nfs/dbraw/zinc/98/47/20/1126984720.db2.gz YPSQAZODARHMFL-JSGCOSHPSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1ccccc1[C@@H]1C[N@@H+](CCC(F)(F)F)[C@@H](C)CO1 ZINC000266564131 1126984722 /nfs/dbraw/zinc/98/47/22/1126984722.db2.gz YPSQAZODARHMFL-JSGCOSHPSA-N 1 2 287.325 3.709 20 0 CHADLO CO[C@H](C)c1nc(C[N@H+](C)Cc2ccccc2C)cs1 ZINC000267073654 1126993071 /nfs/dbraw/zinc/99/30/71/1126993071.db2.gz WMDDULLZFAZQBL-CYBMUJFWSA-N 1 2 290.432 3.791 20 0 CHADLO CO[C@H](C)c1nc(C[N@@H+](C)Cc2ccccc2C)cs1 ZINC000267073654 1126993072 /nfs/dbraw/zinc/99/30/72/1126993072.db2.gz WMDDULLZFAZQBL-CYBMUJFWSA-N 1 2 290.432 3.791 20 0 CHADLO Clc1scc(C[N@@H+]2CC[C@@H]3C[C@@H]3C2)c1Cl ZINC000668677420 1127000008 /nfs/dbraw/zinc/00/00/08/1127000008.db2.gz OXTFYUDTJJRXDN-HTQZYQBOSA-N 1 2 262.205 3.897 20 0 CHADLO Clc1scc(C[N@H+]2CC[C@@H]3C[C@@H]3C2)c1Cl ZINC000668677420 1127000011 /nfs/dbraw/zinc/00/00/11/1127000011.db2.gz OXTFYUDTJJRXDN-HTQZYQBOSA-N 1 2 262.205 3.897 20 0 CHADLO Cn1c[nH+]cc1CS[C@@H]1CCCc2ccccc21 ZINC000267735748 1127005193 /nfs/dbraw/zinc/00/51/93/1127005193.db2.gz DWJXEVAZIZVNMP-OAHLLOKOSA-N 1 2 258.390 3.731 20 0 CHADLO Cn1ccnc1C[NH2+][C@H](c1ccc(F)cc1F)C(C)(C)C ZINC000268194390 1127013197 /nfs/dbraw/zinc/01/31/97/1127013197.db2.gz LGKGMZCTASNMOV-OAHLLOKOSA-N 1 2 293.361 3.575 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2cc(Cl)cs2)[nH]1 ZINC000487159760 1127020377 /nfs/dbraw/zinc/02/03/77/1127020377.db2.gz NQUAOQVUDDFALF-DTWKUNHWSA-N 1 2 298.843 3.884 20 0 CHADLO Clc1cccc(NCc2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000149766881 1127022311 /nfs/dbraw/zinc/02/23/11/1127022311.db2.gz VUWUCNZFJPENQL-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1cscn1)C1CC1 ZINC000269638520 1127043788 /nfs/dbraw/zinc/04/37/88/1127043788.db2.gz RSSRFEMKKCPPLT-GFCCVEGCSA-N 1 2 258.390 3.869 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1cscn1)C1CC1 ZINC000269638520 1127043792 /nfs/dbraw/zinc/04/37/92/1127043792.db2.gz RSSRFEMKKCPPLT-GFCCVEGCSA-N 1 2 258.390 3.869 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ccccc2OC)c1 ZINC000270095579 1127049895 /nfs/dbraw/zinc/04/98/95/1127049895.db2.gz DDANKZLGEZDGIM-UHFFFAOYSA-N 1 2 275.373 3.700 20 0 CHADLO FC(F)(F)C1=CC[N@H+](Cc2nc3ccccc3s2)CC1 ZINC000270164993 1127051003 /nfs/dbraw/zinc/05/10/03/1127051003.db2.gz IYFPKKBMBPCNQS-UHFFFAOYSA-N 1 2 298.333 3.991 20 0 CHADLO FC(F)(F)C1=CC[N@@H+](Cc2nc3ccccc3s2)CC1 ZINC000270164993 1127051013 /nfs/dbraw/zinc/05/10/13/1127051013.db2.gz IYFPKKBMBPCNQS-UHFFFAOYSA-N 1 2 298.333 3.991 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1ccn(C(C)C)n1 ZINC000488085942 1127055240 /nfs/dbraw/zinc/05/52/40/1127055240.db2.gz DKNKBPLZYHTMDS-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1ccn(C(C)C)n1 ZINC000488085942 1127055242 /nfs/dbraw/zinc/05/52/42/1127055242.db2.gz DKNKBPLZYHTMDS-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(C3CC3)o2)[C@H]1c1ccccc1 ZINC000270595578 1127058112 /nfs/dbraw/zinc/05/81/12/1127058112.db2.gz KZAXVMFBKBMJCX-SJKOYZFVSA-N 1 2 268.360 3.745 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(C3CC3)o2)[C@H]1c1ccccc1 ZINC000270595578 1127058116 /nfs/dbraw/zinc/05/81/16/1127058116.db2.gz KZAXVMFBKBMJCX-SJKOYZFVSA-N 1 2 268.360 3.745 20 0 CHADLO Fc1ccc(C2=CC[N@H+](Cc3ncc(C4CC4)o3)CC2)cc1 ZINC000270675702 1127060644 /nfs/dbraw/zinc/06/06/44/1127060644.db2.gz XZLRXYBQNBKIBB-UHFFFAOYSA-N 1 2 298.361 3.980 20 0 CHADLO Fc1ccc(C2=CC[N@@H+](Cc3ncc(C4CC4)o3)CC2)cc1 ZINC000270675702 1127060648 /nfs/dbraw/zinc/06/06/48/1127060648.db2.gz XZLRXYBQNBKIBB-UHFFFAOYSA-N 1 2 298.361 3.980 20 0 CHADLO CC1=C[C@@H](C)C[N@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000797526311 1130991060 /nfs/dbraw/zinc/99/10/60/1130991060.db2.gz LZSHILVKGXSTBY-SECBINFHSA-N 1 2 271.191 3.786 20 0 CHADLO CC1=C[C@@H](C)C[N@@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000797526311 1130991066 /nfs/dbraw/zinc/99/10/66/1130991066.db2.gz LZSHILVKGXSTBY-SECBINFHSA-N 1 2 271.191 3.786 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1ccn(C)n1 ZINC000271062418 1127067393 /nfs/dbraw/zinc/06/73/93/1127067393.db2.gz HGGAVDWPSCMYTI-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1ccn(C)n1 ZINC000271062418 1127067396 /nfs/dbraw/zinc/06/73/96/1127067396.db2.gz HGGAVDWPSCMYTI-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)[C@H]1CCCO1)c1cscn1 ZINC000271191249 1127072497 /nfs/dbraw/zinc/07/24/97/1127072497.db2.gz XSTURPNTFBDAKK-MAZHCROVSA-N 1 2 288.416 3.714 20 0 CHADLO CCc1cccc2c1OCC[C@@H]2[NH2+][C@H](C)c1cscn1 ZINC000271443370 1127081312 /nfs/dbraw/zinc/08/13/12/1127081312.db2.gz GXJIDVGZBUOXJK-RISCZKNCSA-N 1 2 288.416 3.880 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+][C@@H](CO)c2c(F)cccc2F)c1 ZINC000271525482 1127088421 /nfs/dbraw/zinc/08/84/21/1127088421.db2.gz VRHPLHGOQSQHMP-WBMJQRKESA-N 1 2 291.341 3.657 20 0 CHADLO COc1ccccc1C1([NH2+]Cc2cccc(F)c2F)CC1 ZINC000645998385 1127094298 /nfs/dbraw/zinc/09/42/98/1127094298.db2.gz PFLODNGMXGKAQI-UHFFFAOYSA-N 1 2 289.325 3.752 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+]Cc2ncc(C3CC3)o2)c1 ZINC000271622502 1127094719 /nfs/dbraw/zinc/09/47/19/1127094719.db2.gz BRTGKAUUSWEQMI-GFCCVEGCSA-N 1 2 286.375 3.802 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(F)c(C)c2)oc1C ZINC000271680377 1127096660 /nfs/dbraw/zinc/09/66/60/1127096660.db2.gz BMSZJCYJAKLDKU-LLVKDONJSA-N 1 2 262.328 3.590 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CCC[C@H]2c2cccs2)s1 ZINC000273974084 1127104374 /nfs/dbraw/zinc/10/43/74/1127104374.db2.gz GZWNBOSMGJONFP-KOLCDFICSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CCC[C@H]2c2cccs2)s1 ZINC000273974084 1127104377 /nfs/dbraw/zinc/10/43/77/1127104377.db2.gz GZWNBOSMGJONFP-KOLCDFICSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc3ccccc3n2)s1 ZINC000274265500 1127111258 /nfs/dbraw/zinc/11/12/58/1127111258.db2.gz VUOSUIQUAIARHU-QWRGUYRKSA-N 1 2 298.415 3.807 20 0 CHADLO Cc1cccc(C)c1NC(=O)C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000348526301 1127121179 /nfs/dbraw/zinc/12/11/79/1127121179.db2.gz SVELLMXOKNJCKV-KRWDZBQOSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1cccc(C)c1NC(=O)C[N@H+]1CC[C@H]1c1ccccc1 ZINC000348526301 1127121181 /nfs/dbraw/zinc/12/11/81/1127121181.db2.gz SVELLMXOKNJCKV-KRWDZBQOSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1cccc(C)c1NC(=O)C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000348526302 1127121289 /nfs/dbraw/zinc/12/12/89/1127121289.db2.gz SVELLMXOKNJCKV-QGZVFWFLSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1cccc(C)c1NC(=O)C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000348526302 1127121292 /nfs/dbraw/zinc/12/12/92/1127121292.db2.gz SVELLMXOKNJCKV-QGZVFWFLSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H](C)c2cc3ccccc3o2)[nH+]1 ZINC000348720634 1127125714 /nfs/dbraw/zinc/12/57/14/1127125714.db2.gz DSHNKZVXHSZZHJ-JTQLQIEISA-N 1 2 292.342 3.608 20 0 CHADLO C[C@H]1CC(C)(C)C[C@@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348836136 1127130674 /nfs/dbraw/zinc/13/06/74/1127130674.db2.gz CBWXOYGHIDEVCM-ZFWWWQNUSA-N 1 2 284.407 3.563 20 0 CHADLO Cc1ccccc1Nc1nc(C[N@H+]2CC=CCC2)cs1 ZINC000679322972 1127140005 /nfs/dbraw/zinc/14/00/05/1127140005.db2.gz DYXGKIWNGHCMSA-UHFFFAOYSA-N 1 2 285.416 3.957 20 0 CHADLO Cc1ccccc1Nc1nc(C[N@@H+]2CC=CCC2)cs1 ZINC000679322972 1127140010 /nfs/dbraw/zinc/14/00/10/1127140010.db2.gz DYXGKIWNGHCMSA-UHFFFAOYSA-N 1 2 285.416 3.957 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CCC[C@H]2c2ccsc2)s1 ZINC000283606257 1127146267 /nfs/dbraw/zinc/14/62/67/1127146267.db2.gz QTFMNFYIZAMJHN-SKDRFNHKSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CCC[C@H]2c2ccsc2)s1 ZINC000283606257 1127146271 /nfs/dbraw/zinc/14/62/71/1127146271.db2.gz QTFMNFYIZAMJHN-SKDRFNHKSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2cscn2)oc1C ZINC000570942236 1127149984 /nfs/dbraw/zinc/14/99/84/1127149984.db2.gz HCFVHGQGIGQYIA-VHSXEESVSA-N 1 2 250.367 3.765 20 0 CHADLO Clc1cnc(C[NH2+]Cc2nccc3ccccc32)s1 ZINC000349666066 1127157231 /nfs/dbraw/zinc/15/72/31/1127157231.db2.gz JVPDGPHYULTIIF-UHFFFAOYSA-N 1 2 289.791 3.635 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cccc(F)c1F)c1ccn(C)n1 ZINC000349784873 1127160758 /nfs/dbraw/zinc/16/07/58/1127160758.db2.gz SJPHUPCZFFUODP-MFKMUULPSA-N 1 2 279.334 3.500 20 0 CHADLO COc1ccsc1C[N@H+](C)Cc1ccc(F)cc1F ZINC000349789217 1127160872 /nfs/dbraw/zinc/16/08/72/1127160872.db2.gz BQEDTQINHHEKRG-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1ccsc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC000349789217 1127160873 /nfs/dbraw/zinc/16/08/73/1127160873.db2.gz BQEDTQINHHEKRG-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2ccc(Cl)o2)CC1 ZINC000349805842 1127161959 /nfs/dbraw/zinc/16/19/59/1127161959.db2.gz XIJQLRCTFWYJRQ-UHFFFAOYSA-N 1 2 267.678 3.707 20 0 CHADLO C[C@H]([NH2+][C@H]1CCSc2ccc(F)cc21)c1ccon1 ZINC000349835853 1127164530 /nfs/dbraw/zinc/16/45/30/1127164530.db2.gz RCRYNKBSRRWSRO-ZANVPECISA-N 1 2 278.352 3.701 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCSc2ccc(F)cc21)c1ccon1 ZINC000349835852 1127164925 /nfs/dbraw/zinc/16/49/25/1127164925.db2.gz RCRYNKBSRRWSRO-TVQRCGJNSA-N 1 2 278.352 3.701 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc(F)c(F)c(F)c1)c1ccon1 ZINC000349856883 1127165640 /nfs/dbraw/zinc/16/56/40/1127165640.db2.gz IDTYDOSUTYJGRU-HTQZYQBOSA-N 1 2 270.254 3.504 20 0 CHADLO CCO[C@@H]1CC[N@H+](Cc2c(Cl)cc(F)cc2Cl)C1 ZINC001237600448 1131118721 /nfs/dbraw/zinc/11/87/21/1131118721.db2.gz XYYBQUPOWQBSOM-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO CCO[C@@H]1CC[N@@H+](Cc2c(Cl)cc(F)cc2Cl)C1 ZINC001237600448 1131118730 /nfs/dbraw/zinc/11/87/30/1131118730.db2.gz XYYBQUPOWQBSOM-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO O=C(C=C1CCCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000056014137 1127186723 /nfs/dbraw/zinc/18/67/23/1127186723.db2.gz HMPTVWPPANCEJK-UHFFFAOYSA-N 1 2 281.359 3.701 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cc(C)cc(C)c1Cl ZINC001237701004 1131130040 /nfs/dbraw/zinc/13/00/40/1131130040.db2.gz HEWXJOPTWWUYTA-OAHLLOKOSA-N 1 2 279.811 3.900 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cc(C)cc(C)c1Cl ZINC001237701004 1131130044 /nfs/dbraw/zinc/13/00/44/1131130044.db2.gz HEWXJOPTWWUYTA-OAHLLOKOSA-N 1 2 279.811 3.900 20 0 CHADLO CC(C)c1cnc(SCCCn2cc[nH+]c2)n1C(C)C ZINC000351679838 1127203142 /nfs/dbraw/zinc/20/31/42/1127203142.db2.gz YPMGUDPBQPGZOX-UHFFFAOYSA-N 1 2 292.452 3.966 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(OC(C)(C)C)n2)o1 ZINC000351699473 1127204506 /nfs/dbraw/zinc/20/45/06/1127204506.db2.gz ACSFCCHXCYUASQ-UHFFFAOYSA-N 1 2 288.391 3.792 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(OC(C)(C)C)n2)o1 ZINC000351699473 1127204511 /nfs/dbraw/zinc/20/45/11/1127204511.db2.gz ACSFCCHXCYUASQ-UHFFFAOYSA-N 1 2 288.391 3.792 20 0 CHADLO CC[C@H]1CCC[C@@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000164960162 1127204576 /nfs/dbraw/zinc/20/45/76/1127204576.db2.gz PTRUXNYYTYGLMS-YOEHRIQHSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1ccc(Cl)cc1 ZINC001274968544 1127211032 /nfs/dbraw/zinc/21/10/32/1127211032.db2.gz DKYWWIZVQSJEAH-LLVKDONJSA-N 1 2 299.761 3.730 20 0 CHADLO CC1=CC[C@@H]([NH2+]c2ccc(N3CCC(O)CC3)cc2)CC1 ZINC000284989109 1127214478 /nfs/dbraw/zinc/21/44/78/1127214478.db2.gz GJEURGWUMSIBIF-OAHLLOKOSA-N 1 2 286.419 3.558 20 0 CHADLO CC1=CC[C@@H](Nc2ccc([NH+]3CCC(O)CC3)cc2)CC1 ZINC000284989109 1127214480 /nfs/dbraw/zinc/21/44/80/1127214480.db2.gz GJEURGWUMSIBIF-OAHLLOKOSA-N 1 2 286.419 3.558 20 0 CHADLO CCSc1cccc(C[N@H+](C)[C@@H](C)C(=O)OC(C)C)c1 ZINC000352010786 1127215904 /nfs/dbraw/zinc/21/59/04/1127215904.db2.gz JOUUAAKVFIEHKZ-ZDUSSCGKSA-N 1 2 295.448 3.571 20 0 CHADLO CCSc1cccc(C[N@@H+](C)[C@@H](C)C(=O)OC(C)C)c1 ZINC000352010786 1127215908 /nfs/dbraw/zinc/21/59/08/1127215908.db2.gz JOUUAAKVFIEHKZ-ZDUSSCGKSA-N 1 2 295.448 3.571 20 0 CHADLO Cc1cc([C@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)no1 ZINC000285276570 1127221761 /nfs/dbraw/zinc/22/17/61/1127221761.db2.gz RBDNXBVRDZMCIF-LBPRGKRZSA-N 1 2 271.364 3.539 20 0 CHADLO Cc1cc([C@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)no1 ZINC000285276570 1127221763 /nfs/dbraw/zinc/22/17/63/1127221763.db2.gz RBDNXBVRDZMCIF-LBPRGKRZSA-N 1 2 271.364 3.539 20 0 CHADLO c1ccc2c(C[NH2+][C@H]3CCCc4ccccc43)n[nH]c2c1 ZINC000589634219 1127230920 /nfs/dbraw/zinc/23/09/20/1127230920.db2.gz SAEQYDXBHLOBTA-INIZCTEOSA-N 1 2 277.371 3.730 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2occc21)c1c(F)cncc1F ZINC000285740409 1127242419 /nfs/dbraw/zinc/24/24/19/1127242419.db2.gz RHSMNYCLAURPAC-ZANVPECISA-N 1 2 278.302 3.681 20 0 CHADLO CC(C)[N@@H+](Cc1cc(F)c(O)c(Cl)c1)CC1CC1 ZINC001237987720 1131157588 /nfs/dbraw/zinc/15/75/88/1131157588.db2.gz CDKKPWPVMDPEQO-UHFFFAOYSA-N 1 2 271.763 3.805 20 0 CHADLO CC(C)[N@H+](Cc1cc(F)c(O)c(Cl)c1)CC1CC1 ZINC001237987720 1131157591 /nfs/dbraw/zinc/15/75/91/1131157591.db2.gz CDKKPWPVMDPEQO-UHFFFAOYSA-N 1 2 271.763 3.805 20 0 CHADLO CSCc1cnc(C[NH2+][C@H](C)c2cnccc2C)s1 ZINC000590186729 1127250926 /nfs/dbraw/zinc/25/09/26/1127250926.db2.gz UOJPUSUPNVZDMI-LLVKDONJSA-N 1 2 293.461 3.560 20 0 CHADLO COCc1ccc(C[NH2+]Cc2c(F)cccc2SC)o1 ZINC000352922311 1127251041 /nfs/dbraw/zinc/25/10/41/1127251041.db2.gz PNWPFACVEGVMJJ-UHFFFAOYSA-N 1 2 295.379 3.577 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+]Cc2nc(C(C)C)cs2)c1 ZINC000286109800 1127258994 /nfs/dbraw/zinc/25/89/94/1127258994.db2.gz NOXRUCYCXLFZAV-LBPRGKRZSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1sccc1C[N@@H+]1CCOc2ccc(F)cc2C1 ZINC000353225708 1127265000 /nfs/dbraw/zinc/26/50/00/1127265000.db2.gz ALVVFVMWPNROOQ-UHFFFAOYSA-N 1 2 277.364 3.590 20 0 CHADLO Cc1sccc1C[N@H+]1CCOc2ccc(F)cc2C1 ZINC000353225708 1127265003 /nfs/dbraw/zinc/26/50/03/1127265003.db2.gz ALVVFVMWPNROOQ-UHFFFAOYSA-N 1 2 277.364 3.590 20 0 CHADLO COC(=O)[C@@H](c1ccccc1C)[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000466813737 1127275958 /nfs/dbraw/zinc/27/59/58/1127275958.db2.gz FHHXHYGEWUAPSX-QZTJIDSGSA-N 1 2 295.382 3.656 20 0 CHADLO COC(=O)[C@@H](c1ccccc1C)[N@H+]1CC[C@@H]1c1ccccc1 ZINC000466813737 1127275960 /nfs/dbraw/zinc/27/59/60/1127275960.db2.gz FHHXHYGEWUAPSX-QZTJIDSGSA-N 1 2 295.382 3.656 20 0 CHADLO COC[C@H]([NH2+]Cc1ccco1)c1cccc(Cl)c1F ZINC000286969412 1127278155 /nfs/dbraw/zinc/27/81/55/1127278155.db2.gz NRGAFCXXTWHNNJ-ZDUSSCGKSA-N 1 2 283.730 3.549 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)o1 ZINC000353929931 1127279347 /nfs/dbraw/zinc/27/93/47/1127279347.db2.gz FCAGSOOPGIXZBK-ZJUUUORDSA-N 1 2 266.291 3.673 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(F)ccnc2Cl)CCC1(F)F ZINC001238224137 1131184574 /nfs/dbraw/zinc/18/45/74/1131184574.db2.gz FSSCLLIJOGJTSZ-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(F)ccnc2Cl)CCC1(F)F ZINC001238224137 1131184580 /nfs/dbraw/zinc/18/45/80/1131184580.db2.gz FSSCLLIJOGJTSZ-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO Cc1ccsc1-c1noc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000354070521 1127289812 /nfs/dbraw/zinc/28/98/12/1127289812.db2.gz WSDLEWDYHMVEPY-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccsc1-c1noc(C[N@H+](C)Cc2ccccc2)n1 ZINC000354070521 1127289815 /nfs/dbraw/zinc/28/98/15/1127289815.db2.gz WSDLEWDYHMVEPY-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccn1-c1ccccc1)c1cncs1 ZINC000287164439 1127294158 /nfs/dbraw/zinc/29/41/58/1127294158.db2.gz UFQVIEYIEWGCEZ-OLZOCXBDSA-N 1 2 298.415 3.741 20 0 CHADLO C[C@H]([NH2+][C@@H](CCO)c1ccc(Cl)cc1)c1cscn1 ZINC000287177006 1127295124 /nfs/dbraw/zinc/29/51/24/1127295124.db2.gz UYRZBFJJGADGRZ-GWCFXTLKSA-N 1 2 296.823 3.571 20 0 CHADLO Cc1ccncc1C[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000287436953 1127305793 /nfs/dbraw/zinc/30/57/93/1127305793.db2.gz RSYASDDJIBSGFN-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO Cc1ccncc1C[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000287436953 1127305797 /nfs/dbraw/zinc/30/57/97/1127305797.db2.gz RSYASDDJIBSGFN-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO Cc1nc(C)c([C@H](C)[NH2+]Cc2ncc(Cl)s2)s1 ZINC000225326890 1127313205 /nfs/dbraw/zinc/31/32/05/1127313205.db2.gz DPVJMQLZOCTXKA-LURJTMIESA-N 1 2 287.841 3.721 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)o1)c1nc2c(s1)CCC2 ZINC000355105957 1127317720 /nfs/dbraw/zinc/31/77/20/1127317720.db2.gz MYYCVPWUZNAADS-QMMMGPOBSA-N 1 2 282.796 3.729 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1ccnc(Cl)c1F ZINC001139662184 1131208496 /nfs/dbraw/zinc/20/84/96/1131208496.db2.gz SLZCWEJREPXAAR-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1ccnc(Cl)c1F ZINC001139662184 1131208501 /nfs/dbraw/zinc/20/85/01/1131208501.db2.gz SLZCWEJREPXAAR-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2COCc3ccccc32)c(C)s1 ZINC000669426437 1127326528 /nfs/dbraw/zinc/32/65/28/1127326528.db2.gz CULFQBXESGHROO-BMIGLBTASA-N 1 2 288.416 3.682 20 0 CHADLO C[C@H]1c2cc(F)cc(F)c2CC[N@H+]1Cc1cccc(O)c1 ZINC000355267424 1127329727 /nfs/dbraw/zinc/32/97/27/1127329727.db2.gz CWOCSSGFGSGCJF-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1c2cc(F)cc(F)c2CC[N@@H+]1Cc1cccc(O)c1 ZINC000355267424 1127329728 /nfs/dbraw/zinc/32/97/28/1127329728.db2.gz CWOCSSGFGSGCJF-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000355277447 1127330595 /nfs/dbraw/zinc/33/05/95/1127330595.db2.gz VUYNXCIHWFHQRE-JTQLQIEISA-N 1 2 292.329 3.689 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000355277447 1127330598 /nfs/dbraw/zinc/33/05/98/1127330598.db2.gz VUYNXCIHWFHQRE-JTQLQIEISA-N 1 2 292.329 3.689 20 0 CHADLO O=C1C[N@H+](Cc2ccc(C3CC3)cc2)Cc2ccccc2N1 ZINC000573863297 1127331928 /nfs/dbraw/zinc/33/19/28/1127331928.db2.gz NFUBIRRHQMUFIK-UHFFFAOYSA-N 1 2 292.382 3.518 20 0 CHADLO O=C1C[N@@H+](Cc2ccc(C3CC3)cc2)Cc2ccccc2N1 ZINC000573863297 1127331932 /nfs/dbraw/zinc/33/19/32/1127331932.db2.gz NFUBIRRHQMUFIK-UHFFFAOYSA-N 1 2 292.382 3.518 20 0 CHADLO Cc1ccc(-c2ncc(C[N@@H+]3CC[C@@](C)(F)C3)s2)o1 ZINC000355372213 1127336911 /nfs/dbraw/zinc/33/69/11/1127336911.db2.gz YUILKWKOINRWQT-CQSZACIVSA-N 1 2 280.368 3.645 20 0 CHADLO Cc1ccc(-c2ncc(C[N@H+]3CC[C@@](C)(F)C3)s2)o1 ZINC000355372213 1127336913 /nfs/dbraw/zinc/33/69/13/1127336913.db2.gz YUILKWKOINRWQT-CQSZACIVSA-N 1 2 280.368 3.645 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)c1cncc(F)c1 ZINC000225646188 1127340192 /nfs/dbraw/zinc/34/01/92/1127340192.db2.gz JVQQXYUBJLEUHG-LBPRGKRZSA-N 1 2 273.355 3.768 20 0 CHADLO C[NH+](C)c1ccc(NCC2=Cc3ccccc3OC2)cc1 ZINC000157696489 1127370849 /nfs/dbraw/zinc/37/08/49/1127370849.db2.gz FNXODCJTHVZQPZ-UHFFFAOYSA-N 1 2 280.371 3.641 20 0 CHADLO CC/C=C/CC[N@@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000466825506 1127384785 /nfs/dbraw/zinc/38/47/85/1127384785.db2.gz NAQGWSIAJZTVPO-QDLOVBKTSA-N 1 2 281.346 3.694 20 0 CHADLO CC/C=C/CC[N@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000466825506 1127384787 /nfs/dbraw/zinc/38/47/87/1127384787.db2.gz NAQGWSIAJZTVPO-QDLOVBKTSA-N 1 2 281.346 3.694 20 0 CHADLO COc1ccc(C)cc1CNc1ccc([NH+](C)C)cc1 ZINC000058946914 1127404621 /nfs/dbraw/zinc/40/46/21/1127404621.db2.gz SPSOEAWKEDZVFZ-UHFFFAOYSA-N 1 2 270.376 3.682 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1cncs1 ZINC000358175879 1127406578 /nfs/dbraw/zinc/40/65/78/1127406578.db2.gz ZUKXDRWIEQDFQZ-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO Cn1c[nH+]cc1CN(Cc1ccsc1)c1ccccc1 ZINC000358714495 1127432144 /nfs/dbraw/zinc/43/21/44/1127432144.db2.gz OPQZEYPQGDWJLC-UHFFFAOYSA-N 1 2 283.400 3.688 20 0 CHADLO COc1ccc2[nH]c(SCc3ccc(C)[nH+]c3C)nc2c1 ZINC000358848515 1127436550 /nfs/dbraw/zinc/43/65/50/1127436550.db2.gz BCGXWJHVZWOXDI-UHFFFAOYSA-N 1 2 299.399 3.876 20 0 CHADLO Cc1ccc2c(C(=O)Nc3cc[nH+]c(C)c3)csc2c1 ZINC000358935444 1127439909 /nfs/dbraw/zinc/43/99/09/1127439909.db2.gz OGHDORZOTYKALF-UHFFFAOYSA-N 1 2 282.368 3.587 20 0 CHADLO COCCCOc1ccc(-c2c[nH+]c(C(C)C)n2C)cc1 ZINC000630052088 1127440017 /nfs/dbraw/zinc/44/00/17/1127440017.db2.gz DVVJGSNAJTXJHW-UHFFFAOYSA-N 1 2 288.391 3.626 20 0 CHADLO CCCc1cccc(C[NH2+]Cc2nnc(C(C)C)s2)c1 ZINC000358995480 1127443120 /nfs/dbraw/zinc/44/31/20/1127443120.db2.gz ZCEBMRGHNOCWKY-UHFFFAOYSA-N 1 2 289.448 3.904 20 0 CHADLO CCn1ncnc1C[NH2+][C@@H](C)c1cc2cc(C)c(C)cc2o1 ZINC000359051283 1127446907 /nfs/dbraw/zinc/44/69/07/1127446907.db2.gz VIGVMLMFFBPXME-ZDUSSCGKSA-N 1 2 298.390 3.512 20 0 CHADLO Cc1cc(N2CC[C@@]3(CC[C@@H](C)C3)C2)nc(C2CC2)[nH+]1 ZINC000359078848 1127448454 /nfs/dbraw/zinc/44/84/54/1127448454.db2.gz UWYOULMLYZZLHO-SJKOYZFVSA-N 1 2 271.408 3.679 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@@H+](Cc1cscn1)[C@H]2C ZINC000359302296 1127457718 /nfs/dbraw/zinc/45/77/18/1127457718.db2.gz UCYGNOLASTXXRF-NEPJUHHUSA-N 1 2 288.416 3.660 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@H+](Cc1cscn1)[C@H]2C ZINC000359302296 1127457720 /nfs/dbraw/zinc/45/77/20/1127457720.db2.gz UCYGNOLASTXXRF-NEPJUHHUSA-N 1 2 288.416 3.660 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1)C(C)(C)C ZINC000359695753 1127473713 /nfs/dbraw/zinc/47/37/13/1127473713.db2.gz PLEAGCDVJFFHOQ-NSHDSACASA-N 1 2 289.354 3.632 20 0 CHADLO Cc1nc(N2Cc3ccccc3[C@H]2C)c2c([nH+]1)CCCC2 ZINC000359723034 1127474631 /nfs/dbraw/zinc/47/46/31/1127474631.db2.gz CCWVZESGXHCYBL-GFCCVEGCSA-N 1 2 279.387 3.745 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3OC[C@H]2C)sc1C ZINC000359867561 1127480823 /nfs/dbraw/zinc/48/08/23/1127480823.db2.gz CNRWPKQEGJHRFF-LLVKDONJSA-N 1 2 288.416 3.543 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3OC[C@H]2C)sc1C ZINC000359867561 1127480825 /nfs/dbraw/zinc/48/08/25/1127480825.db2.gz CNRWPKQEGJHRFF-LLVKDONJSA-N 1 2 288.416 3.543 20 0 CHADLO COc1cccc2c1CC[N@H+](Cc1ccc(F)c(F)c1)C2 ZINC000359860955 1127481022 /nfs/dbraw/zinc/48/10/22/1127481022.db2.gz MTESPBRKKLHXOX-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc2c1CC[N@@H+](Cc1ccc(F)c(F)c1)C2 ZINC000359860955 1127481024 /nfs/dbraw/zinc/48/10/24/1127481024.db2.gz MTESPBRKKLHXOX-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CSc1ccccc1CN(C)c1nc(C)[nH+]c(C)c1C ZINC000359876584 1127481785 /nfs/dbraw/zinc/48/17/85/1127481785.db2.gz MSSXGFISXKYFCQ-UHFFFAOYSA-N 1 2 287.432 3.760 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CC[C@@H](C(C)(C)C)C[C@@H]2C)c1C ZINC001308803456 1127487443 /nfs/dbraw/zinc/48/74/43/1127487443.db2.gz OQHYYRUTOIZCIE-SMDDNHRTSA-N 1 2 263.429 3.673 20 0 CHADLO Cc1cc(N2CC[C@H](c3ccc(F)cc3)C2)nc(C2CC2)[nH+]1 ZINC000360052189 1127487847 /nfs/dbraw/zinc/48/78/47/1127487847.db2.gz HJOZUNNXEHECBG-HNNXBMFYSA-N 1 2 297.377 3.795 20 0 CHADLO Fc1ccc(COc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC000360515570 1127501196 /nfs/dbraw/zinc/50/11/96/1127501196.db2.gz AROPDIUAIRAMMS-UHFFFAOYSA-N 1 2 286.281 3.730 20 0 CHADLO Cc1cc(NCCNc2cccc[nH+]2)c2cccc(F)c2n1 ZINC000360668766 1127505543 /nfs/dbraw/zinc/50/55/43/1127505543.db2.gz KPLYOGATHDHGPQ-UHFFFAOYSA-N 1 2 296.349 3.601 20 0 CHADLO Cc1ccccc1C[NH2+][C@@H](c1nnc[nH]1)C1CCCCC1 ZINC000293572694 1127506107 /nfs/dbraw/zinc/50/61/07/1127506107.db2.gz YDHJCSBNJBOXMQ-MRXNPFEDSA-N 1 2 284.407 3.524 20 0 CHADLO CC(C)OCc1nc([C@@H](C)[NH2+][C@H]2C=CCCCCC2)no1 ZINC000293737018 1127516748 /nfs/dbraw/zinc/51/67/48/1127516748.db2.gz ZUHWDMPZBMJNPH-KGLIPLIRSA-N 1 2 293.411 3.534 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@H]2C)cn1 ZINC000360966668 1127524763 /nfs/dbraw/zinc/52/47/63/1127524763.db2.gz RCVXMNOUECMKTH-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(F)cc(F)cc3[C@H]2C)cn1 ZINC000360966668 1127524768 /nfs/dbraw/zinc/52/47/68/1127524768.db2.gz RCVXMNOUECMKTH-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)cn1 ZINC000360966669 1127524833 /nfs/dbraw/zinc/52/48/33/1127524833.db2.gz RCVXMNOUECMKTH-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)cn1 ZINC000360966669 1127524836 /nfs/dbraw/zinc/52/48/36/1127524836.db2.gz RCVXMNOUECMKTH-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cccc3c1OCCCO3)C2 ZINC000361115995 1127539449 /nfs/dbraw/zinc/53/94/49/1127539449.db2.gz ZRZLBYZHRBPAHF-UHFFFAOYSA-N 1 2 295.382 3.672 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cccc3c1OCCCO3)C2 ZINC000361115995 1127539453 /nfs/dbraw/zinc/53/94/53/1127539453.db2.gz ZRZLBYZHRBPAHF-UHFFFAOYSA-N 1 2 295.382 3.672 20 0 CHADLO Cc1ccc(-c2cnc(C[NH2+][C@@H](C)c3cccnc3)o2)cc1 ZINC000361095789 1127537948 /nfs/dbraw/zinc/53/79/48/1127537948.db2.gz JVGDPVKALGZWRL-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)N1CCCC[C@@H]1C1CCC1 ZINC000646276630 1127550762 /nfs/dbraw/zinc/55/07/62/1127550762.db2.gz ACGYTDOMIVBWED-OAHLLOKOSA-N 1 2 298.390 3.521 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)N1CCCC[C@H]1C1CCC1 ZINC000646276629 1127550898 /nfs/dbraw/zinc/55/08/98/1127550898.db2.gz ACGYTDOMIVBWED-HNNXBMFYSA-N 1 2 298.390 3.521 20 0 CHADLO c1coc(-c2cc(C[N@@H+]3CC[C@@H]3c3ccccc3)no2)c1 ZINC000361399867 1127561393 /nfs/dbraw/zinc/56/13/93/1127561393.db2.gz JUSRIHUOJOGJMF-OAHLLOKOSA-N 1 2 280.327 3.882 20 0 CHADLO c1coc(-c2cc(C[N@H+]3CC[C@@H]3c3ccccc3)no2)c1 ZINC000361399867 1127561395 /nfs/dbraw/zinc/56/13/95/1127561395.db2.gz JUSRIHUOJOGJMF-OAHLLOKOSA-N 1 2 280.327 3.882 20 0 CHADLO Cc1cc(F)cc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000361494871 1127567269 /nfs/dbraw/zinc/56/72/69/1127567269.db2.gz KZTXMQKJDQQYTF-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CCc1oc2ccccc2c1C[NH2+][C@H](C)c1nc(C)no1 ZINC000361602076 1127576680 /nfs/dbraw/zinc/57/66/80/1127576680.db2.gz IDHZCBDNYPOBHU-SNVBAGLBSA-N 1 2 285.347 3.537 20 0 CHADLO Cc1ccccc1Cc1nnc(C[N@@H+]2CCC(C)=C(C)C2)o1 ZINC000361611942 1127577205 /nfs/dbraw/zinc/57/72/05/1127577205.db2.gz SKCCFUYXAZOWDV-UHFFFAOYSA-N 1 2 297.402 3.511 20 0 CHADLO Cc1ccccc1Cc1nnc(C[N@H+]2CCC(C)=C(C)C2)o1 ZINC000361611942 1127577208 /nfs/dbraw/zinc/57/72/08/1127577208.db2.gz SKCCFUYXAZOWDV-UHFFFAOYSA-N 1 2 297.402 3.511 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2ccc(C)o2)c1 ZINC000361701527 1127582466 /nfs/dbraw/zinc/58/24/66/1127582466.db2.gz IBFPWTNRQXHYIK-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2ccc(C)o2)c1 ZINC000361701527 1127582470 /nfs/dbraw/zinc/58/24/70/1127582470.db2.gz IBFPWTNRQXHYIK-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CN(C)c1ccccc1 ZINC000361703708 1127582564 /nfs/dbraw/zinc/58/25/64/1127582564.db2.gz XEJBKEBQNYRIGT-UHFFFAOYSA-N 1 2 277.371 3.817 20 0 CHADLO C[C@H]([NH2+]Cc1ncoc1-c1ccccc1)c1cncs1 ZINC000361944585 1127601487 /nfs/dbraw/zinc/60/14/87/1127601487.db2.gz IOIPGJAFRQHXSN-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ncc(C)s2)c1 ZINC000294939973 1127609308 /nfs/dbraw/zinc/60/93/08/1127609308.db2.gz COHHXXFHXKTFCE-UHFFFAOYSA-N 1 2 279.434 3.712 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2COc3ccc(C)cc32)cs1 ZINC000362293426 1127626242 /nfs/dbraw/zinc/62/62/42/1127626242.db2.gz LRTCHCUCKWSRKZ-GWCFXTLKSA-N 1 2 274.389 3.544 20 0 CHADLO Cc1nc(N[C@H]2C[C@@H]2c2cccc3ccccc32)cc[nH+]1 ZINC000362373648 1127630911 /nfs/dbraw/zinc/63/09/11/1127630911.db2.gz NRMGOSZAKZQLFS-SJORKVTESA-N 1 2 275.355 3.906 20 0 CHADLO COc1ccc(CSCCc2[nH+]cc(C)cc2C)cn1 ZINC000598733540 1127634303 /nfs/dbraw/zinc/63/43/03/1127634303.db2.gz WLPLSEGIPBSICB-UHFFFAOYSA-N 1 2 288.416 3.578 20 0 CHADLO COc1ccc(CSCc2ccc(C)[nH+]c2C)cn1 ZINC000598731080 1127634711 /nfs/dbraw/zinc/63/47/11/1127634711.db2.gz BOQGUKJAWULZJN-UHFFFAOYSA-N 1 2 274.389 3.535 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@@H](C)c2cccc(C3CC3)c2)n1 ZINC000295391597 1127640496 /nfs/dbraw/zinc/64/04/96/1127640496.db2.gz LHLFFXBHLMCZJB-ZDUSSCGKSA-N 1 2 299.418 3.996 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[N@@H+]1CCC[C@](C)(F)C1 ZINC000295391284 1127640645 /nfs/dbraw/zinc/64/06/45/1127640645.db2.gz LFMHPAPRCHSFNN-LRDDRELGSA-N 1 2 289.354 3.622 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[N@H+]1CCC[C@](C)(F)C1 ZINC000295391284 1127640647 /nfs/dbraw/zinc/64/06/47/1127640647.db2.gz LFMHPAPRCHSFNN-LRDDRELGSA-N 1 2 289.354 3.622 20 0 CHADLO Fc1cc(F)c2c(c1)[C@H]([NH2+]Cc1cscn1)CCC2 ZINC000295944807 1127658265 /nfs/dbraw/zinc/65/82/65/1127658265.db2.gz GORDVGFYRZDNLC-CQSZACIVSA-N 1 2 280.343 3.589 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@H]2c2ccccc2)s1 ZINC000296462196 1127672366 /nfs/dbraw/zinc/67/23/66/1127672366.db2.gz JKJCOGVLIGARFK-AWEZNQCLSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@H]2c2ccccc2)s1 ZINC000296462196 1127672369 /nfs/dbraw/zinc/67/23/69/1127672369.db2.gz JKJCOGVLIGARFK-AWEZNQCLSA-N 1 2 287.432 3.999 20 0 CHADLO CCC[C@H](C(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000603786535 1127672576 /nfs/dbraw/zinc/67/25/76/1127672576.db2.gz XQBYYGXGNBHPEJ-INIZCTEOSA-N 1 2 293.370 3.857 20 0 CHADLO Cc1cc(C(=O)Nc2ccc3[nH+]ccn3c2)c(C)n1C(C)C ZINC000603808534 1127673018 /nfs/dbraw/zinc/67/30/18/1127673018.db2.gz FEAMAHOSCFMWCA-UHFFFAOYSA-N 1 2 296.374 3.586 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2csc(C)n2)oc1C ZINC000669698731 1127674213 /nfs/dbraw/zinc/67/42/13/1127674213.db2.gz RFRBITBMQDSIRX-VIFPVBQESA-N 1 2 250.367 3.512 20 0 CHADLO C[C@@H](c1ccc(Cn2cc[nH+]c2)cc1)C(F)(F)F ZINC000296815575 1127683081 /nfs/dbraw/zinc/68/30/81/1127683081.db2.gz QJBWBZQYGWYAMA-JTQLQIEISA-N 1 2 254.255 3.597 20 0 CHADLO C[C@@H]1CCC[C@@H](C)[NH+]1Cc1cnc(Br)s1 ZINC000297383952 1127694908 /nfs/dbraw/zinc/69/49/08/1127694908.db2.gz DPIWOGOSFYGCHW-RKDXNWHRSA-N 1 2 289.242 3.669 20 0 CHADLO CCC[C@H]([NH2+][C@@H]1CCn2ccnc21)c1ccc(F)cc1F ZINC000297646034 1127703456 /nfs/dbraw/zinc/70/34/56/1127703456.db2.gz JYWRZTUXPAGGEB-LSDHHAIUSA-N 1 2 291.345 3.737 20 0 CHADLO Cc1cc(C[NH+]2CCC(c3c(F)cccc3F)CC2)no1 ZINC000364504019 1127703461 /nfs/dbraw/zinc/70/34/61/1127703461.db2.gz BJACGZKOSNQJLA-UHFFFAOYSA-N 1 2 292.329 3.641 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nccn1CC)c1ccc(F)cc1F ZINC000297759972 1127706711 /nfs/dbraw/zinc/70/67/11/1127706711.db2.gz ORHDQTVREVKKFT-NHYWBVRUSA-N 1 2 293.361 3.983 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCn2ccnc21)c1cccc(Cl)c1Cl ZINC000297950839 1127712379 /nfs/dbraw/zinc/71/23/79/1127712379.db2.gz YQGCXLDYDIOMFE-SKDRFNHKSA-N 1 2 296.201 3.986 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000604745972 1127713814 /nfs/dbraw/zinc/71/38/14/1127713814.db2.gz ZGKXFVPIELUXEG-CQSZACIVSA-N 1 2 293.370 3.775 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@H](C)n2cc[nH+]c2)c1 ZINC001118884723 1131240752 /nfs/dbraw/zinc/24/07/52/1131240752.db2.gz OQVMNMFWMJWBQU-OLZOCXBDSA-N 1 2 271.364 3.596 20 0 CHADLO CCCCCCC(=O)NCc1ccccc1Cn1cc[nH+]c1 ZINC000298185046 1127716438 /nfs/dbraw/zinc/71/64/38/1127716438.db2.gz IBZIHCUSMWCZEL-UHFFFAOYSA-N 1 2 299.418 3.518 20 0 CHADLO CCCCC[C@@H](C)NC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000298512914 1127725047 /nfs/dbraw/zinc/72/50/47/1127725047.db2.gz NXDPERXEYCHDIW-OAHLLOKOSA-N 1 2 299.418 3.630 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(CN(C)Cc2cn3c([nH+]2)CCCC3)o1 ZINC000365446558 1127729784 /nfs/dbraw/zinc/72/97/84/1127729784.db2.gz IJSLLNPJIHOUSB-CZUORRHYSA-N 1 2 299.418 3.568 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1CCC[C@H]1c1cccs1 ZINC000298725167 1127730140 /nfs/dbraw/zinc/73/01/40/1127730140.db2.gz PZNPGXASHBHNNJ-JQWIXIFHSA-N 1 2 289.404 3.907 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1CCC[C@H]1c1cccs1 ZINC000298725167 1127730143 /nfs/dbraw/zinc/73/01/43/1127730143.db2.gz PZNPGXASHBHNNJ-JQWIXIFHSA-N 1 2 289.404 3.907 20 0 CHADLO Clc1cccc(C2=CC[N@H+](Cc3ccon3)CC2)c1 ZINC000366487311 1127746594 /nfs/dbraw/zinc/74/65/94/1127746594.db2.gz MYLQMKTZMUZPIK-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO Clc1cccc(C2=CC[N@@H+](Cc3ccon3)CC2)c1 ZINC000366487311 1127746595 /nfs/dbraw/zinc/74/65/95/1127746595.db2.gz MYLQMKTZMUZPIK-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO FC(F)(F)c1ncc(C[N@@H+]2CCCC3(CCC3)C2)s1 ZINC000366279256 1127745130 /nfs/dbraw/zinc/74/51/30/1127745130.db2.gz KJCIICGYZRFRFS-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO FC(F)(F)c1ncc(C[N@H+]2CCCC3(CCC3)C2)s1 ZINC000366279256 1127745133 /nfs/dbraw/zinc/74/51/33/1127745133.db2.gz KJCIICGYZRFRFS-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO c1ccc(C[C@@H]2CCC[C@H]2Nc2cccc[nH+]2)cc1 ZINC000606157800 1127753840 /nfs/dbraw/zinc/75/38/40/1127753840.db2.gz LBVUIQDURZMCJX-JKSUJKDBSA-N 1 2 252.361 3.905 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000606431900 1127762154 /nfs/dbraw/zinc/76/21/54/1127762154.db2.gz CEVOIDSWLPMCSN-IAQYHMDHSA-N 1 2 283.362 3.915 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000606431900 1127762156 /nfs/dbraw/zinc/76/21/56/1127762156.db2.gz CEVOIDSWLPMCSN-IAQYHMDHSA-N 1 2 283.362 3.915 20 0 CHADLO Clc1cccc2c1OC[C@H]2[NH2+]Cc1cccnc1Cl ZINC000372674492 1127809054 /nfs/dbraw/zinc/80/90/54/1127809054.db2.gz LSPGYXMWTITJLN-GFCCVEGCSA-N 1 2 295.169 3.612 20 0 CHADLO CCC[C@@]1(C)CCC[N@H+](Cn2ncsc2=S)C1 ZINC000173536275 1127836521 /nfs/dbraw/zinc/83/65/21/1127836521.db2.gz XNPZCXJUGUOFNH-LBPRGKRZSA-N 1 2 271.455 3.534 20 0 CHADLO CCC[C@@]1(C)CCC[N@@H+](Cn2ncsc2=S)C1 ZINC000173536275 1127836523 /nfs/dbraw/zinc/83/65/23/1127836523.db2.gz XNPZCXJUGUOFNH-LBPRGKRZSA-N 1 2 271.455 3.534 20 0 CHADLO Fc1cccc(C2CC[NH+](Cc3nccs3)CC2)c1F ZINC000373488284 1127846456 /nfs/dbraw/zinc/84/64/56/1127846456.db2.gz CDFHILOFKWKJPA-UHFFFAOYSA-N 1 2 294.370 3.801 20 0 CHADLO CC[C@]1(C)C[C@@H]1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000373697419 1127857501 /nfs/dbraw/zinc/85/75/01/1127857501.db2.gz QVTLDZZREBZZMW-CXAGYDPISA-N 1 2 283.375 3.760 20 0 CHADLO CCc1noc(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccc(C)o2)n1 ZINC000608510763 1127874421 /nfs/dbraw/zinc/87/44/21/1127874421.db2.gz AXGULKPKKMFOFQ-AAEUAGOBSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1noc(C[N@H+]2CC[C@H](C)C[C@H]2c2ccc(C)o2)n1 ZINC000608510763 1127874426 /nfs/dbraw/zinc/87/44/26/1127874426.db2.gz AXGULKPKKMFOFQ-AAEUAGOBSA-N 1 2 289.379 3.507 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)CC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000374180340 1127877424 /nfs/dbraw/zinc/87/74/24/1127877424.db2.gz ZUAFSBMOHZZOGF-CJNGLKHVSA-N 1 2 297.402 3.715 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)CC[N@H+]1Cc1noc(C2CC2)n1 ZINC000374180340 1127877429 /nfs/dbraw/zinc/87/74/29/1127877429.db2.gz ZUAFSBMOHZZOGF-CJNGLKHVSA-N 1 2 297.402 3.715 20 0 CHADLO Cc1oncc1C[N@@H+]1CC[C@@](F)(c2cc(C)cc(F)c2)C1 ZINC000374294409 1127886018 /nfs/dbraw/zinc/88/60/18/1127886018.db2.gz RXCKDAJPXZOFBV-INIZCTEOSA-N 1 2 292.329 3.501 20 0 CHADLO Cc1oncc1C[N@H+]1CC[C@@](F)(c2cc(C)cc(F)c2)C1 ZINC000374294409 1127886021 /nfs/dbraw/zinc/88/60/21/1127886021.db2.gz RXCKDAJPXZOFBV-INIZCTEOSA-N 1 2 292.329 3.501 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(Cl)nc(Cl)c2)o1 ZINC000064031153 1127895863 /nfs/dbraw/zinc/89/58/63/1127895863.db2.gz XYPRSLVOAZANOW-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(Cl)nc(Cl)c2)o1 ZINC000064031153 1127895865 /nfs/dbraw/zinc/89/58/65/1127895865.db2.gz XYPRSLVOAZANOW-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Oc1cnc(Cl)cc1C[N@@H+]1CCC[C@H]2CCCC[C@H]21 ZINC001233034637 1127912182 /nfs/dbraw/zinc/91/21/82/1127912182.db2.gz OSFHPWZFXYVRMM-DGCLKSJQSA-N 1 2 280.799 3.595 20 0 CHADLO Oc1cnc(Cl)cc1C[N@H+]1CCC[C@H]2CCCC[C@H]21 ZINC001233034637 1127912185 /nfs/dbraw/zinc/91/21/85/1127912185.db2.gz OSFHPWZFXYVRMM-DGCLKSJQSA-N 1 2 280.799 3.595 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+]1CCC[C@H]1c1ccccn1 ZINC000535378187 1127914354 /nfs/dbraw/zinc/91/43/54/1127914354.db2.gz WYAUEFYSHDBWMQ-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+]1CCC[C@H]1c1ccccn1 ZINC000535378187 1127914355 /nfs/dbraw/zinc/91/43/55/1127914355.db2.gz WYAUEFYSHDBWMQ-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO CCC(CC)[C@H](C)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000761389505 1127917846 /nfs/dbraw/zinc/91/78/46/1127917846.db2.gz MVQQDECNEZDIKM-AWEZNQCLSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccsc2)c2c([nH+]1)CCCC2 ZINC000669827380 1127925730 /nfs/dbraw/zinc/92/57/30/1127925730.db2.gz OCYSJSAANKWXKP-JTQLQIEISA-N 1 2 273.405 3.898 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nc2ccccc2c(=O)[nH]1)c1ccsc1 ZINC000669828145 1127927558 /nfs/dbraw/zinc/92/75/58/1127927558.db2.gz CYGVUCXKGGYSJC-QWRGUYRKSA-N 1 2 299.399 3.809 20 0 CHADLO CCCC[N@@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000467185443 1127958339 /nfs/dbraw/zinc/95/83/39/1127958339.db2.gz FRDXBVZANWRURQ-AWEZNQCLSA-N 1 2 253.773 3.513 20 0 CHADLO CCCC[N@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000467185443 1127958340 /nfs/dbraw/zinc/95/83/40/1127958340.db2.gz FRDXBVZANWRURQ-AWEZNQCLSA-N 1 2 253.773 3.513 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cc(F)c(F)cc2F)c1 ZINC000505698931 1127960055 /nfs/dbraw/zinc/96/00/55/1127960055.db2.gz DYOXXINJZVGUTH-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cc(F)c(F)cc2F)c1 ZINC000505698931 1127960059 /nfs/dbraw/zinc/96/00/59/1127960059.db2.gz DYOXXINJZVGUTH-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@@H]4CC[C@H](C)C4)n3)[nH+]c12 ZINC000544610573 1127978023 /nfs/dbraw/zinc/97/80/23/1127978023.db2.gz YMUKETIUCZZTFQ-WCQYABFASA-N 1 2 296.374 3.520 20 0 CHADLO CNc1ccc(Nc2cc(C)nn2-c2cccc(C)c2)c[nH+]1 ZINC001203449932 1127986593 /nfs/dbraw/zinc/98/65/93/1127986593.db2.gz OTBIXYDXJUJMCG-UHFFFAOYSA-N 1 2 293.374 3.669 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@@H]2COc3ccc(F)cc32)o1 ZINC000468036480 1127988877 /nfs/dbraw/zinc/98/88/77/1127988877.db2.gz KBQVRJXRIRXIRS-QMTHXVAHSA-N 1 2 275.323 3.765 20 0 CHADLO CC[C@]1(C)CC[N@H+](CC(=O)Nc2ccc(F)cc2Cl)C1 ZINC000468135445 1127993630 /nfs/dbraw/zinc/99/36/30/1127993630.db2.gz BSOHPWWPIVRCFZ-OAHLLOKOSA-N 1 2 298.789 3.540 20 0 CHADLO CC[C@]1(C)CC[N@@H+](CC(=O)Nc2ccc(F)cc2Cl)C1 ZINC000468135445 1127993633 /nfs/dbraw/zinc/99/36/33/1127993633.db2.gz BSOHPWWPIVRCFZ-OAHLLOKOSA-N 1 2 298.789 3.540 20 0 CHADLO CCc1cc(OCCC(C)(C)OC)c2ccccc2[nH+]1 ZINC000468397577 1128005908 /nfs/dbraw/zinc/00/59/08/1128005908.db2.gz NZCTXJKSXKKKOX-UHFFFAOYSA-N 1 2 273.376 3.991 20 0 CHADLO FC(F)(F)c1ccccc1[C@H]1C[N@H+](CCC2CC2)CCO1 ZINC000541955043 1128044699 /nfs/dbraw/zinc/04/46/99/1128044699.db2.gz UFGWDIGDWAYXBO-OAHLLOKOSA-N 1 2 299.336 3.879 20 0 CHADLO FC(F)(F)c1ccccc1[C@H]1C[N@@H+](CCC2CC2)CCO1 ZINC000541955043 1128044702 /nfs/dbraw/zinc/04/47/02/1128044702.db2.gz UFGWDIGDWAYXBO-OAHLLOKOSA-N 1 2 299.336 3.879 20 0 CHADLO C[C@@H](CCC1CC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000469795108 1128054407 /nfs/dbraw/zinc/05/44/07/1128054407.db2.gz ZDZVXBDQUOROKH-ZDUSSCGKSA-N 1 2 255.365 3.863 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1ncnn1C(C)(C)C ZINC000669929977 1128064211 /nfs/dbraw/zinc/06/42/11/1128064211.db2.gz VAYKUUCJUDPZBQ-MRXNPFEDSA-N 1 2 298.434 3.543 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1ncnn1C(C)(C)C ZINC000669929977 1128064215 /nfs/dbraw/zinc/06/42/15/1128064215.db2.gz VAYKUUCJUDPZBQ-MRXNPFEDSA-N 1 2 298.434 3.543 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470909637 1128079554 /nfs/dbraw/zinc/07/95/54/1128079554.db2.gz UEJXOBFHHIOWON-GLKRBJQHSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470909637 1128079557 /nfs/dbraw/zinc/07/95/57/1128079557.db2.gz UEJXOBFHHIOWON-GLKRBJQHSA-N 1 2 281.346 3.525 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@H](C)c1ccc(F)c(F)c1 ZINC000924532776 1128101790 /nfs/dbraw/zinc/10/17/90/1128101790.db2.gz UQGMMMZUFNQJKL-OTYXRUKQSA-N 1 2 292.329 3.989 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]Cc1ccccc1Cl ZINC000924527766 1128101839 /nfs/dbraw/zinc/10/18/39/1128101839.db2.gz AZZVSGALXCOKFI-ZDUSSCGKSA-N 1 2 276.767 3.804 20 0 CHADLO Cc1[nH]c2c(cc(C)cc2C[NH2+][C@H](C)c2ncco2)c1C ZINC000924960812 1128117977 /nfs/dbraw/zinc/11/79/77/1128117977.db2.gz NNTYQWWUJSJMRM-CYBMUJFWSA-N 1 2 283.375 3.932 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(OC)no1)c1ccc(F)cc1F ZINC000925100275 1128121861 /nfs/dbraw/zinc/12/18/61/1128121861.db2.gz VGXIAJDXENXLET-TVQRCGJNSA-N 1 2 296.317 3.763 20 0 CHADLO O=C(/C=C/c1[nH]cc[nH+]1)N1CCCCC[C@@H]1c1ccccc1 ZINC000493110354 1128124560 /nfs/dbraw/zinc/12/45/60/1128124560.db2.gz ZXQVOOKLIWXZLD-SIFUEBAJSA-N 1 2 295.386 3.567 20 0 CHADLO Cc1ccc2cc(NC[C@@H]3CC(C)(C)O3)[nH+]cc2c1 ZINC001168450084 1128131271 /nfs/dbraw/zinc/13/12/71/1128131271.db2.gz GAVIQOPQXNBDNE-AWEZNQCLSA-N 1 2 256.349 3.523 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)NCC(C)(C)C3CCCC3)ccn12 ZINC001127276868 1128134544 /nfs/dbraw/zinc/13/45/44/1128134544.db2.gz OIQRHTUIZXETJJ-UHFFFAOYSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1c2ccccc2sc1-c1csc(NC(N)=[NH2+])n1 ZINC000493367344 1128139570 /nfs/dbraw/zinc/13/95/70/1128139570.db2.gz GDZGTKLJYSMOCJ-UHFFFAOYSA-N 1 2 288.401 3.639 20 0 CHADLO Cc1ccc(N[C@H](C)c2cn3c([nH+]2)CCCC3)cc1F ZINC000925722351 1128138319 /nfs/dbraw/zinc/13/83/19/1128138319.db2.gz LFEUJJPHZQSVEI-GFCCVEGCSA-N 1 2 273.355 3.840 20 0 CHADLO Cc1cc([NH2+][C@@H]2CCOC[C@H]2C(C)C)ccc1N(C)C ZINC000926052372 1128150974 /nfs/dbraw/zinc/15/09/74/1128150974.db2.gz DZYLUCRDUHUTCY-JKSUJKDBSA-N 1 2 276.424 3.534 20 0 CHADLO Cc1cc(N[C@@H]2CCOC[C@H]2C(C)C)ccc1[NH+](C)C ZINC000926052372 1128150978 /nfs/dbraw/zinc/15/09/78/1128150978.db2.gz DZYLUCRDUHUTCY-JKSUJKDBSA-N 1 2 276.424 3.534 20 0 CHADLO Cc1cc(N[C@H](C)c2ccns2)ccc1[NH+](C)C ZINC000926053026 1128151375 /nfs/dbraw/zinc/15/13/75/1128151375.db2.gz VBZKYSGERKPIGS-LLVKDONJSA-N 1 2 261.394 3.691 20 0 CHADLO CSCCC[N@@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000494060965 1128154934 /nfs/dbraw/zinc/15/49/34/1128154934.db2.gz SFWKXNXUEUDUDQ-UHFFFAOYSA-N 1 2 294.851 3.863 20 0 CHADLO CSCCC[N@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000494060965 1128154936 /nfs/dbraw/zinc/15/49/36/1128154936.db2.gz SFWKXNXUEUDUDQ-UHFFFAOYSA-N 1 2 294.851 3.863 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+](C)Cc1cccc2[nH]ccc21 ZINC000494116711 1128156083 /nfs/dbraw/zinc/15/60/83/1128156083.db2.gz NTHGXVLQQWTJBJ-NSHDSACASA-N 1 2 296.374 3.621 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+](C)Cc1cccc2[nH]ccc21 ZINC000494116711 1128156084 /nfs/dbraw/zinc/15/60/84/1128156084.db2.gz NTHGXVLQQWTJBJ-NSHDSACASA-N 1 2 296.374 3.621 20 0 CHADLO CCn1nc(C)c([C@@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)n1 ZINC000926251083 1128163319 /nfs/dbraw/zinc/16/33/19/1128163319.db2.gz OVGQTBXKKIDVJJ-NWDGAFQWSA-N 1 2 298.390 3.764 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+][C@H]1C[C@H](C)n2ncnc21 ZINC000926264811 1128163972 /nfs/dbraw/zinc/16/39/72/1128163972.db2.gz AESWHSWPVFYUNJ-SUHUHFCYSA-N 1 2 296.374 3.689 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nccn1C(C)C ZINC000494261048 1128167860 /nfs/dbraw/zinc/16/78/60/1128167860.db2.gz BMYCSVZASRFEBQ-FOWTUZBSSA-N 1 2 283.419 3.999 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nccn1C(C)C ZINC000494261048 1128167863 /nfs/dbraw/zinc/16/78/63/1128167863.db2.gz BMYCSVZASRFEBQ-FOWTUZBSSA-N 1 2 283.419 3.999 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@@H](C)c1nonc1C ZINC000926356636 1128168607 /nfs/dbraw/zinc/16/86/07/1128168607.db2.gz OBWXMHMFYZWJRU-UWVGGRQHSA-N 1 2 277.393 3.512 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1ncco1 ZINC000926359555 1128169224 /nfs/dbraw/zinc/16/92/24/1128169224.db2.gz GCYKLQHTQOMLEY-MNOVXSKESA-N 1 2 262.378 3.808 20 0 CHADLO CC(C)c1nsc(C[N@@H+]2CCS[C@H]3CCCC[C@H]32)n1 ZINC000929816789 1128156898 /nfs/dbraw/zinc/15/68/98/1128156898.db2.gz LOXNWMBSEQKYGK-NEPJUHHUSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)c1nsc(C[N@H+]2CCS[C@H]3CCCC[C@H]32)n1 ZINC000929816789 1128156901 /nfs/dbraw/zinc/15/69/01/1128156901.db2.gz LOXNWMBSEQKYGK-NEPJUHHUSA-N 1 2 297.493 3.522 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1cnn(CCF)c1 ZINC000929290870 1128268492 /nfs/dbraw/zinc/26/84/92/1128268492.db2.gz GEFGGFHWHWRYOK-LBPRGKRZSA-N 1 2 295.789 3.699 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1cnn(CCF)c1 ZINC000929290870 1128268495 /nfs/dbraw/zinc/26/84/95/1128268495.db2.gz GEFGGFHWHWRYOK-LBPRGKRZSA-N 1 2 295.789 3.699 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1c(F)ccc(Br)c1F ZINC000230341062 1128251084 /nfs/dbraw/zinc/25/10/84/1128251084.db2.gz ZEDJKDCLONIBFY-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1c(F)ccc(Br)c1F ZINC000230341062 1128251085 /nfs/dbraw/zinc/25/10/85/1128251085.db2.gz ZEDJKDCLONIBFY-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2cnn(C(C)C)c2)c(F)c1 ZINC000927092865 1128216198 /nfs/dbraw/zinc/21/61/98/1128216198.db2.gz GKHWLFHLBJOLMT-LBPRGKRZSA-N 1 2 293.361 3.901 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+]Cc1cc(C2CC2)n(C)n1 ZINC000927114321 1128219466 /nfs/dbraw/zinc/21/94/66/1128219466.db2.gz SKWPBKZELMNOQN-ZDUSSCGKSA-N 1 2 299.418 3.547 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+]1CC[C@@](C)(F)C1 ZINC000494977952 1128221004 /nfs/dbraw/zinc/22/10/04/1128221004.db2.gz IAIZQDFPRALMGE-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+]1CC[C@@](C)(F)C1 ZINC000494977952 1128221006 /nfs/dbraw/zinc/22/10/06/1128221006.db2.gz IAIZQDFPRALMGE-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO C(=C\c1ccncc1)\C[N@@H+]1CCCC[C@@H]1c1nccs1 ZINC000494996594 1128222627 /nfs/dbraw/zinc/22/26/27/1128222627.db2.gz LVXNXNMXOMNNHZ-ABCZVMIZSA-N 1 2 285.416 3.779 20 0 CHADLO C(=C\c1ccncc1)\C[N@H+]1CCCC[C@@H]1c1nccs1 ZINC000494996594 1128222630 /nfs/dbraw/zinc/22/26/30/1128222630.db2.gz LVXNXNMXOMNNHZ-ABCZVMIZSA-N 1 2 285.416 3.779 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)c(C)nn1 ZINC000929285347 1128267011 /nfs/dbraw/zinc/26/70/11/1128267011.db2.gz LFFVSNICEMNLQP-LBPRGKRZSA-N 1 2 291.345 3.565 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)c(C)nn1 ZINC000929285347 1128267013 /nfs/dbraw/zinc/26/70/13/1128267013.db2.gz LFFVSNICEMNLQP-LBPRGKRZSA-N 1 2 291.345 3.565 20 0 CHADLO CCC1(C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CCCC1 ZINC000495050402 1128225614 /nfs/dbraw/zinc/22/56/14/1128225614.db2.gz TXLASAOJSCAUEF-OAHLLOKOSA-N 1 2 290.455 3.986 20 0 CHADLO CCc1onc(C)c1C[N@H+](C)[C@H](C)c1ccccc1F ZINC000929284390 1128267240 /nfs/dbraw/zinc/26/72/40/1128267240.db2.gz AHUJAZUWYKKQTF-GFCCVEGCSA-N 1 2 276.355 3.878 20 0 CHADLO CCc1onc(C)c1C[N@@H+](C)[C@H](C)c1ccccc1F ZINC000929284390 1128267244 /nfs/dbraw/zinc/26/72/44/1128267244.db2.gz AHUJAZUWYKKQTF-GFCCVEGCSA-N 1 2 276.355 3.878 20 0 CHADLO Cc1ncsc1C[NH2+][C@@H](Cc1ccccc1)c1ncco1 ZINC000653913071 1128233178 /nfs/dbraw/zinc/23/31/78/1128233178.db2.gz OYCXVZIKGRIICW-AWEZNQCLSA-N 1 2 299.399 3.513 20 0 CHADLO CC[C@@H](Nc1ccc([NH+](C)C)c(C)c1)C1CCOCC1 ZINC000777643635 1128233519 /nfs/dbraw/zinc/23/35/19/1128233519.db2.gz SBQPYZAMFPBWPC-MRXNPFEDSA-N 1 2 276.424 3.678 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+](C)Cc1ccoc1 ZINC000495162792 1128239191 /nfs/dbraw/zinc/23/91/91/1128239191.db2.gz DBYDWVRWYDTDRN-UHFFFAOYSA-N 1 2 279.767 3.964 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+](C)Cc1ccoc1 ZINC000495162792 1128239195 /nfs/dbraw/zinc/23/91/95/1128239195.db2.gz DBYDWVRWYDTDRN-UHFFFAOYSA-N 1 2 279.767 3.964 20 0 CHADLO CCCC[N@H+](Cn1nc(C2CC2)n(CC)c1=S)C1CC1 ZINC000914783909 1128243228 /nfs/dbraw/zinc/24/32/28/1128243228.db2.gz IYXMVXHOLUTPJI-UHFFFAOYSA-N 1 2 294.468 3.533 20 0 CHADLO CCCC[N@@H+](Cn1nc(C2CC2)n(CC)c1=S)C1CC1 ZINC000914783909 1128243231 /nfs/dbraw/zinc/24/32/31/1128243231.db2.gz IYXMVXHOLUTPJI-UHFFFAOYSA-N 1 2 294.468 3.533 20 0 CHADLO C[C@@H]1CC2(CCC2)C[N@@H+]1Cn1nc(C2CC2)sc1=S ZINC000762140866 1128273528 /nfs/dbraw/zinc/27/35/28/1128273528.db2.gz VXYKBTXCKYYFOH-SNVBAGLBSA-N 1 2 295.477 3.773 20 0 CHADLO C[C@@H]1CC2(CCC2)C[N@H+]1Cn1nc(C2CC2)sc1=S ZINC000762140866 1128273531 /nfs/dbraw/zinc/27/35/31/1128273531.db2.gz VXYKBTXCKYYFOH-SNVBAGLBSA-N 1 2 295.477 3.773 20 0 CHADLO FC(F)(F)[C@H]1CCC[C@@H](C[NH+]2Cc3ccccc3C2)O1 ZINC000929585090 1128278533 /nfs/dbraw/zinc/27/85/33/1128278533.db2.gz BRCACFMPPNVMCG-UONOGXRCSA-N 1 2 285.309 3.502 20 0 CHADLO CC(C)c1nsc(C[N@@H+]2CCCc3ccccc3C2)n1 ZINC000929598782 1128278579 /nfs/dbraw/zinc/27/85/79/1128278579.db2.gz CSUXJOQIRBUYCP-UHFFFAOYSA-N 1 2 287.432 3.610 20 0 CHADLO CC(C)c1nsc(C[N@H+]2CCCc3ccccc3C2)n1 ZINC000929598782 1128278582 /nfs/dbraw/zinc/27/85/82/1128278582.db2.gz CSUXJOQIRBUYCP-UHFFFAOYSA-N 1 2 287.432 3.610 20 0 CHADLO O=C(N[C@H](c1cccs1)C1CC1)c1cc2c[nH+]ccc2[nH]1 ZINC000929701177 1128282058 /nfs/dbraw/zinc/28/20/58/1128282058.db2.gz DVEMBSYYLZTACV-HNNXBMFYSA-N 1 2 297.383 3.506 20 0 CHADLO Cc1ccc([C@H]2[C@H](C)CCC[N@@H+]2Cc2nncs2)cc1 ZINC000929688639 1128282368 /nfs/dbraw/zinc/28/23/68/1128282368.db2.gz MZPRXMOMHGIQGP-CZUORRHYSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@H]2[C@H](C)CCC[N@H+]2Cc2nncs2)cc1 ZINC000929688639 1128282370 /nfs/dbraw/zinc/28/23/70/1128282370.db2.gz MZPRXMOMHGIQGP-CZUORRHYSA-N 1 2 287.432 3.820 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@H]2c2ccncc2)o1 ZINC000762294406 1128289159 /nfs/dbraw/zinc/28/91/59/1128289159.db2.gz RRWFUPDDTAJFNM-AWEZNQCLSA-N 1 2 271.364 3.530 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@H]2c2ccncc2)o1 ZINC000762294406 1128289162 /nfs/dbraw/zinc/28/91/62/1128289162.db2.gz RRWFUPDDTAJFNM-AWEZNQCLSA-N 1 2 271.364 3.530 20 0 CHADLO CC[C@](C)([NH2+]Cc1cc(C)cc(C)n1)c1nccs1 ZINC000929990033 1128296398 /nfs/dbraw/zinc/29/63/98/1128296398.db2.gz ALOBTMQXRJUYMB-HNNXBMFYSA-N 1 2 275.421 3.570 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)OC1CCCCC1)c1ccccc1 ZINC000762432188 1128298981 /nfs/dbraw/zinc/29/89/81/1128298981.db2.gz COFRFTUWDLEFFN-INIZCTEOSA-N 1 2 275.392 3.555 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)OC1CCCCC1)c1ccccc1 ZINC000762432188 1128298984 /nfs/dbraw/zinc/29/89/84/1128298984.db2.gz COFRFTUWDLEFFN-INIZCTEOSA-N 1 2 275.392 3.555 20 0 CHADLO COc1cccc([C@H](C)[NH2+]Cc2coc(C(C)(C)C)n2)c1 ZINC000930189569 1128305558 /nfs/dbraw/zinc/30/55/58/1128305558.db2.gz JOGQRQQEYFEQSJ-LBPRGKRZSA-N 1 2 288.391 3.832 20 0 CHADLO CCCCN(CCCC)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000930231313 1128309391 /nfs/dbraw/zinc/30/93/91/1128309391.db2.gz YJUFEBOLHFRWRF-UHFFFAOYSA-N 1 2 273.380 3.605 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1Cc1cc(C)cc(C)n1 ZINC000930308851 1128313669 /nfs/dbraw/zinc/31/36/69/1128313669.db2.gz TUGGRXGXXDJPNI-QGZVFWFLSA-N 1 2 298.434 3.642 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1Cc1cc(C)cc(C)n1 ZINC000930308851 1128313671 /nfs/dbraw/zinc/31/36/71/1128313671.db2.gz TUGGRXGXXDJPNI-QGZVFWFLSA-N 1 2 298.434 3.642 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(-c3cccs3)n2)CCS1 ZINC000171699042 1128318137 /nfs/dbraw/zinc/31/81/37/1128318137.db2.gz MJWADSQFXCROIV-SNVBAGLBSA-N 1 2 296.486 3.809 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(-c3cccs3)n2)CCS1 ZINC000171699042 1128318142 /nfs/dbraw/zinc/31/81/42/1128318142.db2.gz MJWADSQFXCROIV-SNVBAGLBSA-N 1 2 296.486 3.809 20 0 CHADLO CNc1ccc(Nc2ccnn2-c2ccc(Cl)cc2)c[nH+]1 ZINC001203453185 1128328161 /nfs/dbraw/zinc/32/81/61/1128328161.db2.gz XUXWQNLFSIYKAY-UHFFFAOYSA-N 1 2 299.765 3.706 20 0 CHADLO CC(F)(F)CCC[NH+]1Cc2cc(F)c(F)cc2C1 ZINC000930888015 1128338318 /nfs/dbraw/zinc/33/83/18/1128338318.db2.gz SNNGEZBJKOXTGG-UHFFFAOYSA-N 1 2 261.262 3.716 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC[C@@H](OC3CCC3)C2)co1 ZINC000930884210 1128338824 /nfs/dbraw/zinc/33/88/24/1128338824.db2.gz CLCSYEDJCZGZHN-OAHLLOKOSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC[C@@H](OC3CCC3)C2)co1 ZINC000930884210 1128338829 /nfs/dbraw/zinc/33/88/29/1128338829.db2.gz CLCSYEDJCZGZHN-OAHLLOKOSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1c(F)cncc1F)c1ccccc1F ZINC000930968717 1128341680 /nfs/dbraw/zinc/34/16/80/1128341680.db2.gz JLXBCCADCOCSRR-MRXNPFEDSA-N 1 2 294.320 3.986 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@H+]([C@H](C)c1ncccn1)CC2 ZINC000930963421 1128341929 /nfs/dbraw/zinc/34/19/29/1128341929.db2.gz UTWBLSXGVMNSKB-CQSZACIVSA-N 1 2 281.403 3.719 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@@H+]([C@H](C)c1ncccn1)CC2 ZINC000930963421 1128341932 /nfs/dbraw/zinc/34/19/32/1128341932.db2.gz UTWBLSXGVMNSKB-CQSZACIVSA-N 1 2 281.403 3.719 20 0 CHADLO CCc1ncc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)o1 ZINC000930964517 1128342596 /nfs/dbraw/zinc/34/25/96/1128342596.db2.gz DJPUWLUQXLSFSJ-INIZCTEOSA-N 1 2 286.375 3.947 20 0 CHADLO CCc1ncc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)o1 ZINC000930964517 1128342600 /nfs/dbraw/zinc/34/26/00/1128342600.db2.gz DJPUWLUQXLSFSJ-INIZCTEOSA-N 1 2 286.375 3.947 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)C(F)=C1CCCC1 ZINC000763003714 1128343686 /nfs/dbraw/zinc/34/36/86/1128343686.db2.gz LDWGKPNJWUPJMO-UHFFFAOYSA-N 1 2 285.322 3.608 20 0 CHADLO CCC[N@H+](Cc1ccccc1F)[C@H](C)c1snnc1C ZINC000931022540 1128343904 /nfs/dbraw/zinc/34/39/04/1128343904.db2.gz XSLWCPGOKYLESY-GFCCVEGCSA-N 1 2 293.411 3.959 20 0 CHADLO CCC[N@@H+](Cc1ccccc1F)[C@H](C)c1snnc1C ZINC000931022540 1128343908 /nfs/dbraw/zinc/34/39/08/1128343908.db2.gz XSLWCPGOKYLESY-GFCCVEGCSA-N 1 2 293.411 3.959 20 0 CHADLO CC(C)[N@H+](CC(=O)OC(C)(C)C)Cc1ccc(Cl)cc1 ZINC000561811348 1128354904 /nfs/dbraw/zinc/35/49/04/1128354904.db2.gz SGEJFMKNXXSEHS-UHFFFAOYSA-N 1 2 297.826 3.892 20 0 CHADLO CC(C)[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc(Cl)cc1 ZINC000561811348 1128354905 /nfs/dbraw/zinc/35/49/05/1128354905.db2.gz SGEJFMKNXXSEHS-UHFFFAOYSA-N 1 2 297.826 3.892 20 0 CHADLO COc1c(C)c[nH+]c(CSC[C@@H]2CCCCO2)c1C ZINC000561808036 1128355111 /nfs/dbraw/zinc/35/51/11/1128355111.db2.gz PUBVMLUUEPNINN-ZDUSSCGKSA-N 1 2 281.421 3.509 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)C1CCCCC1 ZINC000054225648 1128360282 /nfs/dbraw/zinc/36/02/82/1128360282.db2.gz FDQYBUFACUJXOI-UHFFFAOYSA-N 1 2 287.338 3.530 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1nc(CC(C)C)no1 ZINC000932555712 1128414666 /nfs/dbraw/zinc/41/46/66/1128414666.db2.gz HNMFDHMSVNJMMS-GFCCVEGCSA-N 1 2 291.370 3.566 20 0 CHADLO c1ccc(CCOCCCCNc2cccc[nH+]2)cc1 ZINC000194073730 1128361764 /nfs/dbraw/zinc/36/17/64/1128361764.db2.gz YXEZCGSYCVZFFI-UHFFFAOYSA-N 1 2 270.376 3.533 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC000932565447 1128415029 /nfs/dbraw/zinc/41/50/29/1128415029.db2.gz NLLNFEGOWXYKHD-QWRGUYRKSA-N 1 2 289.354 3.806 20 0 CHADLO CCN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@H]1CCCC[C@@H]1C ZINC000931700422 1128377782 /nfs/dbraw/zinc/37/77/82/1128377782.db2.gz OHMNABJUSCIWME-LRDDRELGSA-N 1 2 285.391 3.604 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2CCc3cccc4cccc2c43)no1 ZINC000931810171 1128382825 /nfs/dbraw/zinc/38/28/25/1128382825.db2.gz XHQBDCNCHFNAJG-MEDUHNTESA-N 1 2 293.370 3.869 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CC3(CCC3)Oc3ccccc32)oc1C ZINC000932216578 1128400282 /nfs/dbraw/zinc/40/02/82/1128400282.db2.gz YLEKMNIEDITJMU-HNNXBMFYSA-N 1 2 298.386 3.828 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC2(CCC2)Oc2ccccc21)c1ncccn1 ZINC000932212946 1128400672 /nfs/dbraw/zinc/40/06/72/1128400672.db2.gz KUJTYOCRDZUDJU-HIFRSBDPSA-N 1 2 295.386 3.574 20 0 CHADLO Cn1nccc1[C@H]1CCC[N@@H+]1Cc1ccc(F)c(Cl)c1 ZINC000932259819 1128402279 /nfs/dbraw/zinc/40/22/79/1128402279.db2.gz CALVJWRYKIGOSF-OAHLLOKOSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@H]1CCC[N@H+]1Cc1ccc(F)c(Cl)c1 ZINC000932259819 1128402281 /nfs/dbraw/zinc/40/22/81/1128402281.db2.gz CALVJWRYKIGOSF-OAHLLOKOSA-N 1 2 293.773 3.550 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)C1(C)CC1 ZINC000036988617 1128403384 /nfs/dbraw/zinc/40/33/84/1128403384.db2.gz WDEBUIKZYGRLFR-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)Cc2ccc3ccccc3c2)on1 ZINC000562478701 1128404435 /nfs/dbraw/zinc/40/44/35/1128404435.db2.gz MQLUQMVIPVARIW-UHFFFAOYSA-N 1 2 294.354 3.662 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)Cc2ccc3ccccc3c2)on1 ZINC000562478701 1128404438 /nfs/dbraw/zinc/40/44/38/1128404438.db2.gz MQLUQMVIPVARIW-UHFFFAOYSA-N 1 2 294.354 3.662 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](Cc2ccccn2)CC(C)C)o1 ZINC000932449912 1128411080 /nfs/dbraw/zinc/41/10/80/1128411080.db2.gz VUDGHVVDCCOEQR-AWEZNQCLSA-N 1 2 273.380 3.597 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](Cc2ccccn2)CC(C)C)o1 ZINC000932449912 1128411081 /nfs/dbraw/zinc/41/10/81/1128411081.db2.gz VUDGHVVDCCOEQR-AWEZNQCLSA-N 1 2 273.380 3.597 20 0 CHADLO Oc1cccc(C[NH2+]Cc2ccc(F)cc2F)c1Cl ZINC000328267517 1128418918 /nfs/dbraw/zinc/41/89/18/1128418918.db2.gz WHNQRJUBLOMQQJ-UHFFFAOYSA-N 1 2 283.705 3.614 20 0 CHADLO CCCCn1c(C)c(C)nc1SCc1ccc(N)[nH+]c1 ZINC000916554502 1128424538 /nfs/dbraw/zinc/42/45/38/1128424538.db2.gz OKPHXHIHPGJWDB-UHFFFAOYSA-N 1 2 290.436 3.570 20 0 CHADLO C[C@]1([NH2+]Cc2csc(Cl)n2)CCOc2ccccc21 ZINC000933369514 1128433668 /nfs/dbraw/zinc/43/36/68/1128433668.db2.gz HPDWURCHSHCIRQ-AWEZNQCLSA-N 1 2 294.807 3.584 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1csc(C)n1 ZINC000125040177 1128447551 /nfs/dbraw/zinc/44/75/51/1128447551.db2.gz TWEDZKVTFTUZFI-UKTHLTGXSA-N 1 2 272.417 3.987 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1csc(C)n1 ZINC000125040177 1128447552 /nfs/dbraw/zinc/44/75/52/1128447552.db2.gz TWEDZKVTFTUZFI-UKTHLTGXSA-N 1 2 272.417 3.987 20 0 CHADLO FC(F)(F)c1cccnc1C[N@H+](Cc1ccoc1)C1CC1 ZINC000934004177 1128449635 /nfs/dbraw/zinc/44/96/35/1128449635.db2.gz NWGHVWGDMXKTSR-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1cccnc1C[N@@H+](Cc1ccoc1)C1CC1 ZINC000934004177 1128449638 /nfs/dbraw/zinc/44/96/38/1128449638.db2.gz NWGHVWGDMXKTSR-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO CNc1ccc(Nc2cccc(C(F)(F)F)c2)c[nH+]1 ZINC001203454491 1128448349 /nfs/dbraw/zinc/44/83/49/1128448349.db2.gz FLGLGOJRYYNQBI-UHFFFAOYSA-N 1 2 267.254 3.886 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+](Cc2ccoc2)C2CC2)nc1 ZINC000934003555 1128449402 /nfs/dbraw/zinc/44/94/02/1128449402.db2.gz IORZAGDGBFBEPF-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+](Cc2ccoc2)C2CC2)nc1 ZINC000934003555 1128449403 /nfs/dbraw/zinc/44/94/03/1128449403.db2.gz IORZAGDGBFBEPF-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO CCCOc1ccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000778229448 1128456149 /nfs/dbraw/zinc/45/61/49/1128456149.db2.gz VAPJYDNKDVLWFR-INIZCTEOSA-N 1 2 285.391 3.536 20 0 CHADLO CCCOc1ccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)cc1 ZINC000778229448 1128456152 /nfs/dbraw/zinc/45/61/52/1128456152.db2.gz VAPJYDNKDVLWFR-INIZCTEOSA-N 1 2 285.391 3.536 20 0 CHADLO COc1cc([C@H](C)[NH2+]Cc2csnn2)cc2ccccc21 ZINC000934635819 1128465187 /nfs/dbraw/zinc/46/51/87/1128465187.db2.gz NYKYYOMAMNJVRS-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO Cc1cc(C)cc(C2CC[NH+](Cc3nncs3)CC2)c1 ZINC000934798814 1128469805 /nfs/dbraw/zinc/46/98/05/1128469805.db2.gz DCPTWURDVDMNDF-UHFFFAOYSA-N 1 2 287.432 3.535 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCCC12CCCCC2 ZINC000935119655 1128479139 /nfs/dbraw/zinc/47/91/39/1128479139.db2.gz CXRNZIRNRYZRBE-UHFFFAOYSA-N 1 2 283.375 3.502 20 0 CHADLO CC(C)=CC[N@@H+]1CCO[C@@H](c2cccc(OC(F)F)c2)C1 ZINC000127569299 1128480438 /nfs/dbraw/zinc/48/04/38/1128480438.db2.gz RXVPMVCDRXSODG-OAHLLOKOSA-N 1 2 297.345 3.628 20 0 CHADLO CC(C)=CC[N@H+]1CCO[C@@H](c2cccc(OC(F)F)c2)C1 ZINC000127569299 1128480440 /nfs/dbraw/zinc/48/04/40/1128480440.db2.gz RXVPMVCDRXSODG-OAHLLOKOSA-N 1 2 297.345 3.628 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)cc(F)c1F ZINC000127403768 1128476941 /nfs/dbraw/zinc/47/69/41/1128476941.db2.gz JLXKOHISLBXSPX-CQSZACIVSA-N 1 2 280.293 3.769 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)cc(F)c1F ZINC000127403768 1128476943 /nfs/dbraw/zinc/47/69/43/1128476943.db2.gz JLXKOHISLBXSPX-CQSZACIVSA-N 1 2 280.293 3.769 20 0 CHADLO COCc1ccc(C[NH2+]C(C)(C)c2nc(C)c(C)s2)o1 ZINC000128415530 1128496415 /nfs/dbraw/zinc/49/64/15/1128496415.db2.gz DQTLKDNOEITTFR-UHFFFAOYSA-N 1 2 294.420 3.524 20 0 CHADLO Cc1ncncc1[C@H](C)[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000564225236 1128499331 /nfs/dbraw/zinc/49/93/31/1128499331.db2.gz CSMJDDSOVJJOIN-QWRGUYRKSA-N 1 2 293.773 3.989 20 0 CHADLO CCC(CC)C[N@H+](CC)Cn1nc(C)sc1=S ZINC000917454190 1128501027 /nfs/dbraw/zinc/50/10/27/1128501027.db2.gz IYRTZTMXKDZMAL-UHFFFAOYSA-N 1 2 273.471 3.698 20 0 CHADLO CCC(CC)C[N@@H+](CC)Cn1nc(C)sc1=S ZINC000917454190 1128501031 /nfs/dbraw/zinc/50/10/31/1128501031.db2.gz IYRTZTMXKDZMAL-UHFFFAOYSA-N 1 2 273.471 3.698 20 0 CHADLO O=C(C[C@H]1C=CCCC1)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000778391347 1128504757 /nfs/dbraw/zinc/50/47/57/1128504757.db2.gz IVTCCYKCDBYFGP-HNNXBMFYSA-N 1 2 296.370 3.662 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCc2sc(Cl)cc2C1 ZINC000564991054 1128518056 /nfs/dbraw/zinc/51/80/56/1128518056.db2.gz WEYXRXOMVYJXDH-UHFFFAOYSA-N 1 2 295.839 3.737 20 0 CHADLO CC(C)C[C@@H]1CCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000128839467 1128518755 /nfs/dbraw/zinc/51/87/55/1128518755.db2.gz YPOHEGLTZCQEAA-KRWDZBQOSA-N 1 2 297.402 3.523 20 0 CHADLO C[C@H](CC(=O)N[C@@H](C)c1cccc(C2CC2)c1)n1cc[nH+]c1 ZINC000565048409 1128519159 /nfs/dbraw/zinc/51/91/59/1128519159.db2.gz LWHQXQZBNNPMFO-KGLIPLIRSA-N 1 2 297.402 3.589 20 0 CHADLO C[C@H]([NH2+]Cc1cccc2c1OC(C)(C)C2)c1cscn1 ZINC000565244769 1128523312 /nfs/dbraw/zinc/52/33/12/1128523312.db2.gz IVLXIUJWLXMWMU-NSHDSACASA-N 1 2 288.416 3.707 20 0 CHADLO CC(C)N(C(=O)C[C@H](C)n1cc[nH+]c1)[C@@H](C)c1ccccc1 ZINC000566069421 1128538235 /nfs/dbraw/zinc/53/82/35/1128538235.db2.gz CKRVUXCEISXYEN-HOTGVXAUSA-N 1 2 299.418 3.832 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@@H]2C[C@H]2C)o1)c1cn2ccccc2n1 ZINC000566476270 1128545169 /nfs/dbraw/zinc/54/51/69/1128545169.db2.gz VSVXBIXRDXOQPQ-VNHYZAJKSA-N 1 2 295.386 3.902 20 0 CHADLO Cc1nc(C[NH2+][C@@H](CC(F)F)c2ccccc2)[nH]c1C ZINC000566499142 1128546022 /nfs/dbraw/zinc/54/60/22/1128546022.db2.gz NGTIDBLVNCRCKQ-ZDUSSCGKSA-N 1 2 279.334 3.513 20 0 CHADLO Cc1csc(C[NH2+][C@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000566720420 1128549641 /nfs/dbraw/zinc/54/96/41/1128549641.db2.gz DHYPNLLORJCBRT-AWEZNQCLSA-N 1 2 290.436 3.586 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+][C@@H](C)c1nccn1C ZINC000070444683 1128563837 /nfs/dbraw/zinc/56/38/37/1128563837.db2.gz FONXSSOBJVYZBZ-KBPBESRZSA-N 1 2 287.407 3.619 20 0 CHADLO ClC1(Cl)C[C@H]1Cn1c[nH+]c(Cc2ccccc2)c1 ZINC000919136775 1128591037 /nfs/dbraw/zinc/59/10/37/1128591037.db2.gz OSOFMSQEVPOSIX-LBPRGKRZSA-N 1 2 281.186 3.668 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(-c2nc([C@H](C)C3CC3)no2)c1 ZINC000568798865 1128591291 /nfs/dbraw/zinc/59/12/91/1128591291.db2.gz MXPKPQXTUDMDKI-GFCCVEGCSA-N 1 2 294.358 3.744 20 0 CHADLO CC(C)(C)SCn1c[nH+]c(Cc2ccccc2)c1 ZINC000919157107 1128592351 /nfs/dbraw/zinc/59/23/51/1128592351.db2.gz RWSANTJEEYRZGK-UHFFFAOYSA-N 1 2 260.406 3.963 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+]Cc3nnc(C4CC4)[nH]3)oc2c1 ZINC000568840851 1128593316 /nfs/dbraw/zinc/59/33/16/1128593316.db2.gz AZYVJKQCAJUBGK-NSHDSACASA-N 1 2 296.374 3.588 20 0 CHADLO Cc1ccccc1-c1noc([C@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923760583 1128593721 /nfs/dbraw/zinc/59/37/21/1128593721.db2.gz UUHYITSQESYFBI-HNNXBMFYSA-N 1 2 296.374 3.877 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cnn(C(C)(C)C)c2)c1 ZINC000569072226 1128597799 /nfs/dbraw/zinc/59/77/99/1128597799.db2.gz VWVVBBBRWOITED-UHFFFAOYSA-N 1 2 289.398 3.718 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cnn(C(C)(C)C)c2)c1 ZINC000569072226 1128597802 /nfs/dbraw/zinc/59/78/02/1128597802.db2.gz VWVVBBBRWOITED-UHFFFAOYSA-N 1 2 289.398 3.718 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCc3[nH]ncc31)CCC2 ZINC000352609430 1128603875 /nfs/dbraw/zinc/60/38/75/1128603875.db2.gz UAXAGVUCWMSRHS-IRXDYDNUSA-N 1 2 281.403 3.763 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccnc(Cl)c1Cl ZINC001212240231 1128606465 /nfs/dbraw/zinc/60/64/65/1128606465.db2.gz JHBCZCOBBWNAIX-UHFFFAOYSA-N 1 2 293.157 3.618 20 0 CHADLO CC[C@H]1CCC[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000131137827 1128607230 /nfs/dbraw/zinc/60/72/30/1128607230.db2.gz VTVAELJYHVJTIB-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000131137827 1128607231 /nfs/dbraw/zinc/60/72/31/1128607231.db2.gz VTVAELJYHVJTIB-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO CCn1c(C)cc(C(=O)C[N@H+](C)[C@@H](C)c2ccco2)c1C ZINC000131206964 1128609808 /nfs/dbraw/zinc/60/98/08/1128609808.db2.gz HANBCNDXEJUCTP-AWEZNQCLSA-N 1 2 288.391 3.594 20 0 CHADLO CCn1c(C)cc(C(=O)C[N@@H+](C)[C@@H](C)c2ccco2)c1C ZINC000131206964 1128609809 /nfs/dbraw/zinc/60/98/09/1128609809.db2.gz HANBCNDXEJUCTP-AWEZNQCLSA-N 1 2 288.391 3.594 20 0 CHADLO CCCCN(C)C[C@@H](C)[NH2+][C@H](C)c1cc(C)c(C)o1 ZINC000569798473 1128610111 /nfs/dbraw/zinc/61/01/11/1128610111.db2.gz JBASEULCJSQXND-ZIAGYGMSSA-N 1 2 266.429 3.667 20 0 CHADLO C/C(=C\c1ccc(C)cc1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000778731321 1128611898 /nfs/dbraw/zinc/61/18/98/1128611898.db2.gz COWMRPFXOUZSAK-SDNWHVSQSA-N 1 2 291.354 3.685 20 0 CHADLO CCCc1nc(COc2cccc(-n3cc[nH+]c3)c2)cs1 ZINC000072674447 1128628331 /nfs/dbraw/zinc/62/83/31/1128628331.db2.gz FUYSPTDRMLDNGO-UHFFFAOYSA-N 1 2 299.399 3.860 20 0 CHADLO CCn1cccc1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000556889360 1128636075 /nfs/dbraw/zinc/63/60/75/1128636075.db2.gz TTWQNCREDCWRKD-UHFFFAOYSA-N 1 2 280.774 3.933 20 0 CHADLO CCn1cccc1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000556889360 1128636078 /nfs/dbraw/zinc/63/60/78/1128636078.db2.gz TTWQNCREDCWRKD-UHFFFAOYSA-N 1 2 280.774 3.933 20 0 CHADLO CCn1cc([C@@H](C)Nc2ccc([NH+]3CCCC3)cc2)cn1 ZINC000044497774 1128633312 /nfs/dbraw/zinc/63/33/12/1128633312.db2.gz ZHQCJLNMTZQVFA-CQSZACIVSA-N 1 2 284.407 3.676 20 0 CHADLO Clc1ccccc1[C@H]1COCC[N@@H+]1[C@H]1CCCSC1 ZINC001257681113 1128637386 /nfs/dbraw/zinc/63/73/86/1128637386.db2.gz HLNWMKRPNSSHCC-SWLSCSKDSA-N 1 2 297.851 3.609 20 0 CHADLO Clc1ccccc1[C@H]1COCC[N@H+]1[C@H]1CCCSC1 ZINC001257681113 1128637387 /nfs/dbraw/zinc/63/73/87/1128637387.db2.gz HLNWMKRPNSSHCC-SWLSCSKDSA-N 1 2 297.851 3.609 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+](CC)Cc2ccccc2)n1 ZINC000132102622 1128644040 /nfs/dbraw/zinc/64/40/40/1128644040.db2.gz QHHBEZGFBNAIEG-AWEZNQCLSA-N 1 2 287.407 3.995 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+](CC)Cc2ccccc2)n1 ZINC000132102622 1128644042 /nfs/dbraw/zinc/64/40/42/1128644042.db2.gz QHHBEZGFBNAIEG-AWEZNQCLSA-N 1 2 287.407 3.995 20 0 CHADLO Cc1cc(N2CCc3ccsc3C2)nc(C(C)C)[nH+]1 ZINC000132414802 1128648870 /nfs/dbraw/zinc/64/88/70/1128648870.db2.gz SNZNQPRTQREOAR-UHFFFAOYSA-N 1 2 273.405 3.533 20 0 CHADLO CCCCOC(=O)C[N@H+](Cc1ccc(C)s1)C(C)C ZINC000920210737 1128670779 /nfs/dbraw/zinc/67/07/79/1128670779.db2.gz KRKYDEFAPDERLZ-UHFFFAOYSA-N 1 2 283.437 3.610 20 0 CHADLO CCCCOC(=O)C[N@@H+](Cc1ccc(C)s1)C(C)C ZINC000920210737 1128670783 /nfs/dbraw/zinc/67/07/83/1128670783.db2.gz KRKYDEFAPDERLZ-UHFFFAOYSA-N 1 2 283.437 3.610 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1nnc(C(C)(C)C)o1 ZINC000920208293 1128670829 /nfs/dbraw/zinc/67/08/29/1128670829.db2.gz IZQBMTIIWJWTIO-UHFFFAOYSA-N 1 2 293.436 3.839 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1nnc(C(C)(C)C)o1 ZINC000920208293 1128670831 /nfs/dbraw/zinc/67/08/31/1128670831.db2.gz IZQBMTIIWJWTIO-UHFFFAOYSA-N 1 2 293.436 3.839 20 0 CHADLO Cc1nn(-c2ccccc2C)c(C)c1CNc1cccc[nH+]1 ZINC000920337869 1128678153 /nfs/dbraw/zinc/67/81/53/1128678153.db2.gz UEAUFLBMGZMFGZ-UHFFFAOYSA-N 1 2 292.386 3.805 20 0 CHADLO Cc1ccc2[nH+]c(CSC[C@H](O)c3ccccc3)cn2c1 ZINC000921142292 1128701617 /nfs/dbraw/zinc/70/16/17/1128701617.db2.gz ZFUQDVZVXYRPEV-INIZCTEOSA-N 1 2 298.411 3.610 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC(F)(F)[C@@H](C)C2)n1 ZINC000577920742 1128698724 /nfs/dbraw/zinc/69/87/24/1128698724.db2.gz AVZLQQOBRFUYGI-LBPRGKRZSA-N 1 2 285.382 3.721 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC(F)(F)[C@@H](C)C2)n1 ZINC000577920742 1128698728 /nfs/dbraw/zinc/69/87/28/1128698728.db2.gz AVZLQQOBRFUYGI-LBPRGKRZSA-N 1 2 285.382 3.721 20 0 CHADLO COC(=O)C1([NH2+]Cc2c(C)cc(C)cc2C)CCCCC1 ZINC000609539543 1128717604 /nfs/dbraw/zinc/71/76/04/1128717604.db2.gz RCFOKVFKXCVDGT-UHFFFAOYSA-N 1 2 289.419 3.577 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@](F)(c3ccccc3)C2)s1 ZINC000578527960 1128720317 /nfs/dbraw/zinc/72/03/17/1128720317.db2.gz JFFDMZCEJLCVOZ-MRXNPFEDSA-N 1 2 290.407 3.776 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@](F)(c3ccccc3)C2)s1 ZINC000578527960 1128720319 /nfs/dbraw/zinc/72/03/19/1128720319.db2.gz JFFDMZCEJLCVOZ-MRXNPFEDSA-N 1 2 290.407 3.776 20 0 CHADLO C[C@H](Cc1ccoc1)[NH2+]CC(F)(F)c1ccc(F)cc1 ZINC000578643998 1128724986 /nfs/dbraw/zinc/72/49/86/1128724986.db2.gz JNINSSQDXGAJMZ-LLVKDONJSA-N 1 2 283.293 3.731 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)cc2)no1 ZINC000044590037 1117750883 /nfs/dbraw/zinc/75/08/83/1117750883.db2.gz YSBPLOSUMVQHHM-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)cc2)no1 ZINC000044590037 1117750889 /nfs/dbraw/zinc/75/08/89/1117750889.db2.gz YSBPLOSUMVQHHM-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(O)cc1F ZINC001213499652 1117755538 /nfs/dbraw/zinc/75/55/38/1117755538.db2.gz UPJFWVBFFVQZOL-UHFFFAOYSA-N 1 2 258.296 3.856 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NCC(C)(C)C)cc2)c1C ZINC000361235550 1117765036 /nfs/dbraw/zinc/76/50/36/1117765036.db2.gz CHTVRPKQXYRMMD-UHFFFAOYSA-N 1 2 257.381 3.947 20 0 CHADLO Cc1cc(C)cc(CC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000622776455 1117765529 /nfs/dbraw/zinc/76/55/29/1117765529.db2.gz HINMYQPZBCFVLO-UHFFFAOYSA-N 1 2 282.387 3.805 20 0 CHADLO CN(C[C@H]1CCCO[C@H]1c1ccccc1)c1cccc[nH+]1 ZINC000622815303 1117772543 /nfs/dbraw/zinc/77/25/43/1117772543.db2.gz FWXNIIFUHVJLMC-AEFFLSMTSA-N 1 2 282.387 3.686 20 0 CHADLO C[C@@H]1CC(=O)CC[N@@H+]1Cc1ccc(F)c2ccccc12 ZINC001139889773 1117781954 /nfs/dbraw/zinc/78/19/54/1117781954.db2.gz LRRONEMMYWJVPR-GFCCVEGCSA-N 1 2 271.335 3.532 20 0 CHADLO C[C@@H]1CC(=O)CC[N@H+]1Cc1ccc(F)c2ccccc12 ZINC001139889773 1117781956 /nfs/dbraw/zinc/78/19/56/1117781956.db2.gz LRRONEMMYWJVPR-GFCCVEGCSA-N 1 2 271.335 3.532 20 0 CHADLO CC1=C(C)C[N@H+]([C@@H](C)c2noc(-c3ccccc3)n2)CC1 ZINC000671243959 1117783313 /nfs/dbraw/zinc/78/33/13/1117783313.db2.gz VCVQHINZFZBOGP-AWEZNQCLSA-N 1 2 283.375 3.840 20 0 CHADLO CC1=C(C)C[N@@H+]([C@@H](C)c2noc(-c3ccccc3)n2)CC1 ZINC000671243959 1117783316 /nfs/dbraw/zinc/78/33/16/1117783316.db2.gz VCVQHINZFZBOGP-AWEZNQCLSA-N 1 2 283.375 3.840 20 0 CHADLO Cc1csc(CCNc2[nH+]c3ccccc3cc2C)n1 ZINC000063134400 1117817786 /nfs/dbraw/zinc/81/77/86/1117817786.db2.gz YNPRSUFYKDUNOB-UHFFFAOYSA-N 1 2 283.400 3.963 20 0 CHADLO CC(C)(C)c1ccccc1NC(=O)CCCn1cc[nH+]c1 ZINC000623239012 1117825635 /nfs/dbraw/zinc/82/56/35/1117825635.db2.gz HAPSHGLNWWPRAI-UHFFFAOYSA-N 1 2 285.391 3.600 20 0 CHADLO Cc1ccc(CC[N@@H+](C)Cc2c(F)ccnc2Cl)cc1 ZINC001238230110 1117828743 /nfs/dbraw/zinc/82/87/43/1117828743.db2.gz KPEDDWRBCHYCEL-UHFFFAOYSA-N 1 2 292.785 3.857 20 0 CHADLO Cc1ccc(CC[N@H+](C)Cc2c(F)ccnc2Cl)cc1 ZINC001238230110 1117828750 /nfs/dbraw/zinc/82/87/50/1117828750.db2.gz KPEDDWRBCHYCEL-UHFFFAOYSA-N 1 2 292.785 3.857 20 0 CHADLO CC(C)CCc1ccc(NC(=O)CCCn2cc[nH+]c2)cc1 ZINC000623290983 1117830403 /nfs/dbraw/zinc/83/04/03/1117830403.db2.gz NMTMFVOIDKLLTF-UHFFFAOYSA-N 1 2 299.418 3.891 20 0 CHADLO Cc1cc(N2CC(c3ccccc3)C2)nc(C(C)C)[nH+]1 ZINC001167065645 1117859152 /nfs/dbraw/zinc/85/91/52/1117859152.db2.gz ULIQHPFLVXVHPP-UHFFFAOYSA-N 1 2 267.376 3.512 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2csc(C(F)(F)F)c2)no1 ZINC000623515902 1117865763 /nfs/dbraw/zinc/86/57/63/1117865763.db2.gz VKSRAVBCPAJWAV-MRVPVSSYSA-N 1 2 290.310 3.914 20 0 CHADLO Cc1cc(C)c(Nc2ccc(Br)cc2O)c[nH+]1 ZINC001213513314 1117873473 /nfs/dbraw/zinc/87/34/73/1117873473.db2.gz BIQINVKXWOAPQX-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1cc(C)c(Nc2ccc3nc(C(C)(C)C)nn3c2)c[nH+]1 ZINC001213513475 1117874178 /nfs/dbraw/zinc/87/41/78/1117874178.db2.gz MZMURGHKWLUESQ-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO Cc1[nH]c(CNCc2c(Cl)oc3ccccc32)[nH+]c1C ZINC000623645277 1117885205 /nfs/dbraw/zinc/88/52/05/1117885205.db2.gz VXQXECFFCWOULS-UHFFFAOYSA-N 1 2 289.766 3.716 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2cccs2)n1)c1ccoc1 ZINC000178661474 1117894943 /nfs/dbraw/zinc/89/49/43/1117894943.db2.gz XSVKNVMZAUJZPS-SNVBAGLBSA-N 1 2 274.345 3.847 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1sccc1F ZINC000339591921 1117895434 /nfs/dbraw/zinc/89/54/34/1117895434.db2.gz BJBAQFDUWQFBSY-UHFFFAOYSA-N 1 2 287.319 3.530 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](CC(C)C)c2ccc(Cl)cc2)o1 ZINC000179721494 1117911904 /nfs/dbraw/zinc/91/19/04/1117911904.db2.gz FOKSKUWAYLPNFP-AWEZNQCLSA-N 1 2 293.798 3.908 20 0 CHADLO F[C@@H]1C[N@@H+](CCCc2ccc(C(F)(F)F)cc2)C[C@@H]1F ZINC001208686582 1117913082 /nfs/dbraw/zinc/91/30/82/1117913082.db2.gz PZXKYADKTVZTOY-BETUJISGSA-N 1 2 293.279 3.630 20 0 CHADLO F[C@@H]1C[N@H+](CCCc2ccc(C(F)(F)F)cc2)C[C@@H]1F ZINC001208686582 1117913086 /nfs/dbraw/zinc/91/30/86/1117913086.db2.gz PZXKYADKTVZTOY-BETUJISGSA-N 1 2 293.279 3.630 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1ccco1 ZINC000179916940 1117916390 /nfs/dbraw/zinc/91/63/90/1117916390.db2.gz RJHHANZELLOEFB-ZDUSSCGKSA-N 1 2 271.364 3.838 20 0 CHADLO Cc1ccnc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)n1 ZINC000339642049 1117945276 /nfs/dbraw/zinc/94/52/76/1117945276.db2.gz GLOZRGIEJQHKHT-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccnc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)n1 ZINC000339642049 1117945278 /nfs/dbraw/zinc/94/52/78/1117945278.db2.gz GLOZRGIEJQHKHT-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccnc(C[N@H+]2CC[C@@H](C)[C@@H]2c2cccc(F)c2)n1 ZINC000339657815 1117948742 /nfs/dbraw/zinc/94/87/42/1117948742.db2.gz DULCUVSHTKWKIU-SJKOYZFVSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC[C@@H](C)[C@@H]2c2cccc(F)c2)n1 ZINC000339657815 1117948746 /nfs/dbraw/zinc/94/87/46/1117948746.db2.gz DULCUVSHTKWKIU-SJKOYZFVSA-N 1 2 285.366 3.507 20 0 CHADLO c1nc2c(s1)CCC[C@@H]2[NH2+][C@H]1CSc2ccccc21 ZINC000623895478 1117949781 /nfs/dbraw/zinc/94/97/81/1117949781.db2.gz ROGPNBVWOVTGKA-RYUDHWBXSA-N 1 2 288.441 3.957 20 0 CHADLO Cc1ccnc(C[N@@H+]2C[C@@H](C)C[C@H]2c2cccc(F)c2)n1 ZINC000339661110 1117950052 /nfs/dbraw/zinc/95/00/52/1117950052.db2.gz QZKHIJZEOXFNHE-LRDDRELGSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@H+]2C[C@@H](C)C[C@H]2c2cccc(F)c2)n1 ZINC000339661110 1117950053 /nfs/dbraw/zinc/95/00/53/1117950053.db2.gz QZKHIJZEOXFNHE-LRDDRELGSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)n1 ZINC000339660127 1117950158 /nfs/dbraw/zinc/95/01/58/1117950158.db2.gz SLXCXCXKWOJULG-LRDDRELGSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)n1 ZINC000339660127 1117950162 /nfs/dbraw/zinc/95/01/62/1117950162.db2.gz SLXCXCXKWOJULG-LRDDRELGSA-N 1 2 285.366 3.507 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ncc(-c2ccccc2)s1 ZINC000339669493 1117951179 /nfs/dbraw/zinc/95/11/79/1117951179.db2.gz RHRCNCYEBPXDMD-CYBMUJFWSA-N 1 2 276.380 3.744 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ncc(-c2ccccc2)s1 ZINC000339669493 1117951182 /nfs/dbraw/zinc/95/11/82/1117951182.db2.gz RHRCNCYEBPXDMD-CYBMUJFWSA-N 1 2 276.380 3.744 20 0 CHADLO Cc1cc(N2CCC[C@H]3CCCC[C@@H]32)nc(C2CC2)[nH+]1 ZINC000339668444 1117951543 /nfs/dbraw/zinc/95/15/43/1117951543.db2.gz JBIAXMDRNKSUAK-HIFRSBDPSA-N 1 2 271.408 3.821 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1ccc(F)cc1C(F)(F)F ZINC000623937892 1117958183 /nfs/dbraw/zinc/95/81/83/1117958183.db2.gz XJSAMPUDYUSEMF-QMMMGPOBSA-N 1 2 288.244 3.683 20 0 CHADLO Fc1ccccc1C1([NH2+][C@@H]2CCCc3scnc32)CC1 ZINC000623938332 1117958444 /nfs/dbraw/zinc/95/84/44/1117958444.db2.gz MUBXKFSGTMULCZ-CYBMUJFWSA-N 1 2 288.391 3.939 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2C[C@@]2(F)c2ccccc2)n1 ZINC000624003673 1117968584 /nfs/dbraw/zinc/96/85/84/1117968584.db2.gz GFHZFMYAUAOBII-NJZAAPMLSA-N 1 2 276.380 3.739 20 0 CHADLO C[C@@H]1CCN(c2[nH+]ccc3ccccc32)CCS1 ZINC000624157545 1117993381 /nfs/dbraw/zinc/99/33/81/1117993381.db2.gz POSTVGWIJOZMDB-GFCCVEGCSA-N 1 2 258.390 3.567 20 0 CHADLO C[C@H]1C[NH+](Cc2cc(Cl)nc(Cl)c2)C[C@H](C)S1 ZINC000075891633 1117998161 /nfs/dbraw/zinc/99/81/61/1117998161.db2.gz DVYKGFRXZHRFAD-IUCAKERBSA-N 1 2 291.247 3.714 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](CC)c2ccc(C)cc2)n1 ZINC000181703547 1118004215 /nfs/dbraw/zinc/00/42/15/1118004215.db2.gz MPLGGLAOLLAYHX-JSGCOSHPSA-N 1 2 273.380 3.742 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cn3cc(Cl)ccc3n2)o1 ZINC000016377615 1118009845 /nfs/dbraw/zinc/00/98/45/1118009845.db2.gz HAWJVPYWHUWTBD-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cn3cc(Cl)ccc3n2)o1 ZINC000016377615 1118009849 /nfs/dbraw/zinc/00/98/49/1118009849.db2.gz HAWJVPYWHUWTBD-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO CO[C@@H](C)c1cccc(NCc2c[nH+]c3c(C)cccn23)c1 ZINC000128777780 1118024694 /nfs/dbraw/zinc/02/46/94/1118024694.db2.gz KVSIZPJLYLNUTR-AWEZNQCLSA-N 1 2 295.386 3.962 20 0 CHADLO Cc1cc(C)c(NC(=O)Cc2c(C)cccc2C)c(C)[nH+]1 ZINC000182650253 1118027159 /nfs/dbraw/zinc/02/71/59/1118027159.db2.gz FHQKZBVQSNHYME-UHFFFAOYSA-N 1 2 282.387 3.805 20 0 CHADLO C[C@@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1ccncc1 ZINC000182913380 1118032165 /nfs/dbraw/zinc/03/21/65/1118032165.db2.gz DKRXUFPLOPYELD-GFCCVEGCSA-N 1 2 282.322 3.580 20 0 CHADLO c1cn(CCCN(c2ccccc2)C2CCCC2)c[nH+]1 ZINC000182900541 1118032758 /nfs/dbraw/zinc/03/27/58/1118032758.db2.gz MXGZMZYTCXCXAJ-UHFFFAOYSA-N 1 2 269.392 3.722 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(CSC(F)F)o2)C[C@@H]1F ZINC000779150933 1128752235 /nfs/dbraw/zinc/75/22/35/1128752235.db2.gz TYJHFIQBZJXONN-CABZTGNLSA-N 1 2 293.354 3.915 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(CSC(F)F)o2)C[C@@H]1F ZINC000779150933 1128752238 /nfs/dbraw/zinc/75/22/38/1128752238.db2.gz TYJHFIQBZJXONN-CABZTGNLSA-N 1 2 293.354 3.915 20 0 CHADLO CC[C@H]([NH2+]Cc1ncn(-c2ccccc2)n1)c1cccs1 ZINC000184070341 1118051184 /nfs/dbraw/zinc/05/11/84/1118051184.db2.gz TWSKXSHFXSDOKA-AWEZNQCLSA-N 1 2 298.415 3.570 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2nc3ccccc3nc2C)n1 ZINC000184267628 1118052305 /nfs/dbraw/zinc/05/23/05/1118052305.db2.gz RACVWBDVMNOHSV-LBPRGKRZSA-N 1 2 298.415 3.554 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2nc3ccccc3nc2C)n1 ZINC000184267607 1118052722 /nfs/dbraw/zinc/05/27/22/1118052722.db2.gz RACVWBDVMNOHSV-GFCCVEGCSA-N 1 2 298.415 3.554 20 0 CHADLO CCS[C@@H](C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)C(C)C ZINC000340116093 1118070927 /nfs/dbraw/zinc/07/09/27/1118070927.db2.gz YEKGKPUFQJTJOY-CQSZACIVSA-N 1 2 291.420 3.588 20 0 CHADLO Cn1c(Cl)cnc1C[NH2+]C1(c2cccc(Cl)c2)CC1 ZINC000179666753 1128753873 /nfs/dbraw/zinc/75/38/73/1128753873.db2.gz YLCMYDMTOVIVNR-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO C[N@H+](Cc1ccc(F)c(F)c1)Cc1cccc(Cl)c1O ZINC000179680849 1128754472 /nfs/dbraw/zinc/75/44/72/1128754472.db2.gz VCXJNVITAXGORR-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1ccc(F)c(F)c1)Cc1cccc(Cl)c1O ZINC000179680849 1128754475 /nfs/dbraw/zinc/75/44/75/1128754475.db2.gz VCXJNVITAXGORR-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CC[C@H]3OCC[C@H]3C2)c1 ZINC001167212791 1118100096 /nfs/dbraw/zinc/10/00/96/1118100096.db2.gz GPOCMKVBVMXEJV-SWLSCSKDSA-N 1 2 288.778 3.503 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2c(Cl)cncc2Cl)CC1 ZINC001137603968 1118116607 /nfs/dbraw/zinc/11/66/07/1118116607.db2.gz JCYKKFFIULWSIN-VIFPVBQESA-N 1 2 277.170 3.712 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2c(Cl)cncc2Cl)CC1 ZINC001137603968 1118116611 /nfs/dbraw/zinc/11/66/11/1118116611.db2.gz JCYKKFFIULWSIN-VIFPVBQESA-N 1 2 277.170 3.712 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2c(Cl)cncc2Cl)CC1 ZINC001137603967 1118116908 /nfs/dbraw/zinc/11/69/08/1118116908.db2.gz JCYKKFFIULWSIN-SECBINFHSA-N 1 2 277.170 3.712 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2c(Cl)cncc2Cl)CC1 ZINC001137603967 1118116909 /nfs/dbraw/zinc/11/69/09/1118116909.db2.gz JCYKKFFIULWSIN-SECBINFHSA-N 1 2 277.170 3.712 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1csnn1)c1ccc(Cl)cc1 ZINC000398289530 1118117656 /nfs/dbraw/zinc/11/76/56/1118117656.db2.gz BMXOTOAYGSWQNA-CABZTGNLSA-N 1 2 281.812 3.993 20 0 CHADLO CCOc1ccccc1C[N@@H+]1Cc2ccc(OC)cc2C1 ZINC000653834150 1118122532 /nfs/dbraw/zinc/12/25/32/1118122532.db2.gz IQVGOYGLIPBJPN-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO CCOc1ccccc1C[N@H+]1Cc2ccc(OC)cc2C1 ZINC000653834150 1118122536 /nfs/dbraw/zinc/12/25/36/1118122536.db2.gz IQVGOYGLIPBJPN-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398308468 1118123736 /nfs/dbraw/zinc/12/37/36/1118123736.db2.gz QPIDVUMPMZAZOO-RYUDHWBXSA-N 1 2 291.420 3.737 20 0 CHADLO Cn1ccc(C[NH+](Cc2ccccc2)Cc2ccccc2)n1 ZINC000340283810 1118130311 /nfs/dbraw/zinc/13/03/11/1118130311.db2.gz NUAJJIKVEGMKRL-UHFFFAOYSA-N 1 2 291.398 3.623 20 0 CHADLO COc1cc(NC2=CCC(F)(F)CC2)c(C)c[nH+]1 ZINC001210032497 1118137389 /nfs/dbraw/zinc/13/73/89/1118137389.db2.gz MXJUIMOHZXUCPG-UHFFFAOYSA-N 1 2 254.280 3.514 20 0 CHADLO Cc1cc(-n2ccc3cc(N)ccc32)ccc1[NH+](C)C ZINC001210041365 1118141738 /nfs/dbraw/zinc/14/17/38/1118141738.db2.gz NHCJGICPDGDJNA-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO Cc1cc(C)c(Nc2cnccc2C(F)(F)F)c[nH+]1 ZINC001210093140 1118150863 /nfs/dbraw/zinc/15/08/63/1118150863.db2.gz KWYPSAYKHHZOCT-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1c(F)cc(Nc2cccc3[nH+]ccn32)cc1F ZINC001210106855 1118153985 /nfs/dbraw/zinc/15/39/85/1118153985.db2.gz UYOXGCYHRKGJMW-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO FC(F)C1(CNc2[nH+]cnc3[nH]c4ccccc4c32)CC1 ZINC000579915984 1118158700 /nfs/dbraw/zinc/15/87/00/1118158700.db2.gz UUPSXCPYUCYRBW-UHFFFAOYSA-N 1 2 288.301 3.568 20 0 CHADLO CC(C)C[C@H](C)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000765547140 1118166791 /nfs/dbraw/zinc/16/67/91/1118166791.db2.gz ZAQPHACLCCHJKX-AWEZNQCLSA-N 1 2 286.375 3.598 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)[N@@H+]1C/C=C/Cl ZINC000247222345 1118173000 /nfs/dbraw/zinc/17/30/00/1118173000.db2.gz CCXZJPBEZZCEMW-SHXLNVMOSA-N 1 2 265.784 3.589 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)[N@H+]1C/C=C/Cl ZINC000247222345 1118173003 /nfs/dbraw/zinc/17/30/03/1118173003.db2.gz CCXZJPBEZZCEMW-SHXLNVMOSA-N 1 2 265.784 3.589 20 0 CHADLO COCc1cc[nH+]c(NCc2c(F)cc(C)cc2Cl)c1 ZINC000650242972 1118190293 /nfs/dbraw/zinc/19/02/93/1118190293.db2.gz FIEZEAVIPDMIIL-UHFFFAOYSA-N 1 2 294.757 3.941 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(C(F)F)cc2)c1 ZINC000650243618 1118191846 /nfs/dbraw/zinc/19/18/46/1118191846.db2.gz IBDBZHCRLLPKMS-UHFFFAOYSA-N 1 2 278.302 3.778 20 0 CHADLO COCOc1ccc(C)c(Nc2[nH+]cccc2C2CC2)c1 ZINC001214361331 1118192529 /nfs/dbraw/zinc/19/25/29/1118192529.db2.gz CNECTHGZOJWDSF-UHFFFAOYSA-N 1 2 284.359 3.994 20 0 CHADLO CCCOc1ccc(CNc2cc(COC)cc[nH+]2)cc1 ZINC000650244785 1118194032 /nfs/dbraw/zinc/19/40/32/1118194032.db2.gz PCWOEADFHCWOJH-UHFFFAOYSA-N 1 2 286.375 3.629 20 0 CHADLO Cc1ccc(-c2ncc(C[NH2+]Cc3cocn3)s2)cc1C ZINC000655733409 1118196833 /nfs/dbraw/zinc/19/68/33/1118196833.db2.gz MZBBVPIOPZUJDZ-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO CSc1ccc(C[NH2+]Cc2nc(C(C)(C)C)co2)cc1 ZINC000921587932 1118202991 /nfs/dbraw/zinc/20/29/91/1118202991.db2.gz AFTCTVCVLNMPGL-UHFFFAOYSA-N 1 2 290.432 3.984 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@@H]2c2cccn2C)cs1 ZINC000172152904 1118212741 /nfs/dbraw/zinc/21/27/41/1118212741.db2.gz ISWHRWCTJPGMMM-OAHLLOKOSA-N 1 2 289.448 3.771 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@@H]2c2cccn2C)cs1 ZINC000172152904 1118212743 /nfs/dbraw/zinc/21/27/43/1118212743.db2.gz ISWHRWCTJPGMMM-OAHLLOKOSA-N 1 2 289.448 3.771 20 0 CHADLO CNc1ccc(Nc2c(O)ccc3ccccc32)c[nH+]1 ZINC001203457937 1118214490 /nfs/dbraw/zinc/21/44/90/1118214490.db2.gz DVMGMRFVVVVOBX-UHFFFAOYSA-N 1 2 265.316 3.726 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(N[C@@H](CO)C(C)(C)C)c1 ZINC001168562444 1118216165 /nfs/dbraw/zinc/21/61/65/1118216165.db2.gz NRSWLSRJMIUJCD-INIZCTEOSA-N 1 2 278.440 3.660 20 0 CHADLO Cc1cccc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c1C ZINC000029951535 1118216897 /nfs/dbraw/zinc/21/68/97/1118216897.db2.gz WKISGYPRQRDBFY-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CCCC[N@H+](CC)Cn1nc(C(F)(F)F)sc1=S ZINC000188635966 1128763366 /nfs/dbraw/zinc/76/33/66/1128763366.db2.gz FVIFTZYQCXMFIK-UHFFFAOYSA-N 1 2 299.387 3.772 20 0 CHADLO CCCC[N@@H+](CC)Cn1nc(C(F)(F)F)sc1=S ZINC000188635966 1128763367 /nfs/dbraw/zinc/76/33/67/1128763367.db2.gz FVIFTZYQCXMFIK-UHFFFAOYSA-N 1 2 299.387 3.772 20 0 CHADLO C[N@H+](Cc1cccc(Cl)n1)Cc1ccccc1F ZINC000075589495 1128763562 /nfs/dbraw/zinc/76/35/62/1128763562.db2.gz OQJCIHYRWUJJBV-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)n1)Cc1ccccc1F ZINC000075589495 1128763564 /nfs/dbraw/zinc/76/35/64/1128763564.db2.gz OQJCIHYRWUJJBV-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1nc(SCc2ccc(-n3cc[nH+]c3)cc2C)[nH]c1C ZINC000338580371 1118226332 /nfs/dbraw/zinc/22/63/32/1118226332.db2.gz NDKNCRUIBFBXKC-UHFFFAOYSA-N 1 2 298.415 3.813 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)c(Br)c2)cc1N ZINC001210288761 1118235466 /nfs/dbraw/zinc/23/54/66/1118235466.db2.gz UNYHFZIKANJHLR-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(-c3ccccn3)c2)cc1N ZINC001210289944 1118235782 /nfs/dbraw/zinc/23/57/82/1118235782.db2.gz BMFURHBMPJRSPK-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(C(F)(F)F)c(F)c2)cc1N ZINC001210290447 1118236924 /nfs/dbraw/zinc/23/69/24/1118236924.db2.gz LOQKPABGBHYOJQ-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO CCCn1cc(CNc2ccc([NH+](C)C)cc2C)c(C)n1 ZINC001648851270 1118258223 /nfs/dbraw/zinc/25/82/23/1118258223.db2.gz BEAQLVMIEYRUHY-UHFFFAOYSA-N 1 2 286.423 3.588 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2csc3ccccc23)no1 ZINC000046089674 1118260333 /nfs/dbraw/zinc/26/03/33/1118260333.db2.gz WLPMCELNANILFV-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2csc3ccccc23)no1 ZINC000046089674 1118260336 /nfs/dbraw/zinc/26/03/36/1118260336.db2.gz WLPMCELNANILFV-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1Oc1cccnc1)c1ccon1 ZINC000349246965 1118262072 /nfs/dbraw/zinc/26/20/72/1118262072.db2.gz TZHZXGWCHKLCIG-ZDUSSCGKSA-N 1 2 295.342 3.713 20 0 CHADLO Fc1ccccc1C[NH2+]Cc1cc(-c2ccccc2)on1 ZINC000151178676 1118281273 /nfs/dbraw/zinc/28/12/73/1118281273.db2.gz OHYDQRMDIGVJRL-UHFFFAOYSA-N 1 2 282.318 3.771 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(CO)c(F)c2)cc1 ZINC001210489534 1118296840 /nfs/dbraw/zinc/29/68/40/1118296840.db2.gz CGJDTPXWQUJFIO-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(CO)c(F)c2)cc1 ZINC001210489534 1118296843 /nfs/dbraw/zinc/29/68/43/1118296843.db2.gz CGJDTPXWQUJFIO-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)C(C)(C)C ZINC000179946121 1128768668 /nfs/dbraw/zinc/76/86/68/1128768668.db2.gz FOXQYZNBCKBKJC-KBPBESRZSA-N 1 2 276.424 3.758 20 0 CHADLO O=C(CCc1ccsc1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000047514542 1118316441 /nfs/dbraw/zinc/31/64/41/1118316441.db2.gz HFBDZLVGBGOOJG-UHFFFAOYSA-N 1 2 297.383 3.505 20 0 CHADLO COc1cc(F)c(F)cc1Nc1[nH+]c(C)ccc1C ZINC001210546084 1118322259 /nfs/dbraw/zinc/32/22/59/1118322259.db2.gz ISCSEVFSFCSANP-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCc1ccc(C[N@H+](Cc2cc(C)on2)C2CC2)cc1 ZINC000042146665 1118330747 /nfs/dbraw/zinc/33/07/47/1118330747.db2.gz GQKGNGYZWAXRAZ-UHFFFAOYSA-N 1 2 270.376 3.710 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2cc(C)on2)C2CC2)cc1 ZINC000042146665 1118330750 /nfs/dbraw/zinc/33/07/50/1118330750.db2.gz GQKGNGYZWAXRAZ-UHFFFAOYSA-N 1 2 270.376 3.710 20 0 CHADLO CCOC(=O)[C@@H](C)[N@H+](C)Cc1ccc(-c2ccccc2)cc1 ZINC000065534275 1118334593 /nfs/dbraw/zinc/33/45/93/1118334593.db2.gz JKICDYQWXNFTPH-OAHLLOKOSA-N 1 2 297.398 3.737 20 0 CHADLO CCOC(=O)[C@@H](C)[N@@H+](C)Cc1ccc(-c2ccccc2)cc1 ZINC000065534275 1118334599 /nfs/dbraw/zinc/33/45/99/1118334599.db2.gz JKICDYQWXNFTPH-OAHLLOKOSA-N 1 2 297.398 3.737 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccccc1Cl ZINC000042977847 1118343248 /nfs/dbraw/zinc/34/32/48/1118343248.db2.gz JDPVSKMMBQMNIG-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccccc1Cl ZINC000042977847 1118343251 /nfs/dbraw/zinc/34/32/51/1118343251.db2.gz JDPVSKMMBQMNIG-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ccc(SC(F)F)cc2)CCO1 ZINC000064556401 1118356264 /nfs/dbraw/zinc/35/62/64/1118356264.db2.gz ZSONHOYBBPZUEE-LBPRGKRZSA-N 1 2 287.375 3.612 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ccc(SC(F)F)cc2)CCO1 ZINC000064556401 1118356270 /nfs/dbraw/zinc/35/62/70/1118356270.db2.gz ZSONHOYBBPZUEE-LBPRGKRZSA-N 1 2 287.375 3.612 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc([C@H](C)O)cc2)cc1 ZINC001210650100 1118359884 /nfs/dbraw/zinc/35/98/84/1118359884.db2.gz CJCYRTOLFXKAMJ-ZDUSSCGKSA-N 1 2 270.376 3.940 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc([C@H](C)O)cc2)cc1 ZINC001210650100 1118359886 /nfs/dbraw/zinc/35/98/86/1118359886.db2.gz CJCYRTOLFXKAMJ-ZDUSSCGKSA-N 1 2 270.376 3.940 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1ccc(C2CCC2)cc1 ZINC000683791024 1118371691 /nfs/dbraw/zinc/37/16/91/1118371691.db2.gz YHOQOCXIHVPZGG-UHFFFAOYSA-N 1 2 283.375 3.570 20 0 CHADLO Fc1cccc2c1SCC[C@H]2Nc1cccc[nH+]1 ZINC000073803049 1118384360 /nfs/dbraw/zinc/38/43/60/1118384360.db2.gz OWZJPBPXUUIRES-GFCCVEGCSA-N 1 2 260.337 3.870 20 0 CHADLO COc1cc(Nc2ccc3c(c2)[C@@H](O)CCC3)cc(C)[nH+]1 ZINC001210781047 1118385062 /nfs/dbraw/zinc/38/50/62/1118385062.db2.gz VXWIPCGFIIWYRG-INIZCTEOSA-N 1 2 284.359 3.512 20 0 CHADLO COc1cnc2c(cccc2Nc2cc(C)[nH+]c(OC)c2)c1 ZINC001210781116 1118386018 /nfs/dbraw/zinc/38/60/18/1118386018.db2.gz XQJAEBKAPFRFRI-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO C/C=C/C[C@@H]1CCCN(C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC000626548356 1128775006 /nfs/dbraw/zinc/77/50/06/1128775006.db2.gz DXDXSGKJHBOWAI-BAABZTOOSA-N 1 2 298.390 3.544 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2CCC(C3CC3)CC2)c[nH+]1 ZINC000616116724 1128776242 /nfs/dbraw/zinc/77/62/42/1128776242.db2.gz ULFYPXUXYHQXIA-UHFFFAOYSA-N 1 2 286.419 3.531 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)Cc2ccccc2Cl)o1 ZINC000683896971 1118432026 /nfs/dbraw/zinc/43/20/26/1118432026.db2.gz GMCIQYPSGKDDHC-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)Cc2ccccc2Cl)o1 ZINC000683896971 1118432025 /nfs/dbraw/zinc/43/20/25/1118432025.db2.gz GMCIQYPSGKDDHC-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[N@H+](C)Cc1cn(C)cn1 ZINC000683899986 1118433995 /nfs/dbraw/zinc/43/39/95/1118433995.db2.gz NSBZTHXTSPNPRO-GFCCVEGCSA-N 1 2 292.452 3.501 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[N@@H+](C)Cc1cn(C)cn1 ZINC000683899986 1118433997 /nfs/dbraw/zinc/43/39/97/1118433997.db2.gz NSBZTHXTSPNPRO-GFCCVEGCSA-N 1 2 292.452 3.501 20 0 CHADLO Cc1cn(-c2ccc(Nc3ccc(C)c[nH+]3)cc2F)cn1 ZINC001211012612 1118439378 /nfs/dbraw/zinc/43/93/78/1118439378.db2.gz LKLDVPWLHKRBPE-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO COc1ccc2oc(NCCc3[nH+]cc(C)cc3C)nc2c1 ZINC000656210651 1118446304 /nfs/dbraw/zinc/44/63/04/1118446304.db2.gz CRTSGHPMKCGHGZ-UHFFFAOYSA-N 1 2 297.358 3.503 20 0 CHADLO c1cn(-c2cccc(NCc3cc4ccccc4[nH]3)c2)c[nH+]1 ZINC000683928854 1118447717 /nfs/dbraw/zinc/44/77/17/1118447717.db2.gz XNBNHSBDTOZOCZ-UHFFFAOYSA-N 1 2 288.354 3.966 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H](C)C(C)(C)C)c(C)[nH+]1 ZINC001670648530 1118449411 /nfs/dbraw/zinc/44/94/11/1118449411.db2.gz JTGKESNUEYZBBN-LLVKDONJSA-N 1 2 277.412 3.811 20 0 CHADLO C[N@H+](Cc1csc(C2CCCCC2)n1)C1CC1 ZINC000047914913 1118457790 /nfs/dbraw/zinc/45/77/90/1118457790.db2.gz GVKLGTXYYQBSJY-UHFFFAOYSA-N 1 2 250.411 3.785 20 0 CHADLO C[N@@H+](Cc1csc(C2CCCCC2)n1)C1CC1 ZINC000047914913 1118457792 /nfs/dbraw/zinc/45/77/92/1118457792.db2.gz GVKLGTXYYQBSJY-UHFFFAOYSA-N 1 2 250.411 3.785 20 0 CHADLO CCOc1ncc(Cl)cc1-c1cn2cc[nH+]c2cc1C ZINC001236497399 1128775335 /nfs/dbraw/zinc/77/53/35/1128775335.db2.gz ICDHHQFCIUWAAA-UHFFFAOYSA-N 1 2 287.750 3.757 20 0 CHADLO CCCc1noc(C[N@H+](C)[C@H](C)c2ccc(C)cc2)n1 ZINC000047960009 1118481635 /nfs/dbraw/zinc/48/16/35/1118481635.db2.gz QFWXOXQTHUUHNQ-CYBMUJFWSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1noc(C[N@@H+](C)[C@H](C)c2ccc(C)cc2)n1 ZINC000047960009 1118481640 /nfs/dbraw/zinc/48/16/40/1118481640.db2.gz QFWXOXQTHUUHNQ-CYBMUJFWSA-N 1 2 273.380 3.524 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1c(F)cncc1F ZINC001167420957 1118482539 /nfs/dbraw/zinc/48/25/39/1118482539.db2.gz MYTRCIOGTBKJNB-QGZVFWFLSA-N 1 2 288.341 3.869 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1c(F)cncc1F ZINC001167420957 1118482544 /nfs/dbraw/zinc/48/25/44/1118482544.db2.gz MYTRCIOGTBKJNB-QGZVFWFLSA-N 1 2 288.341 3.869 20 0 CHADLO Cc1cc(C)c(NC(=O)CCCOC(C)(C)C)c(C)[nH+]1 ZINC001125473604 1118504102 /nfs/dbraw/zinc/50/41/02/1118504102.db2.gz JYVQFNARSXWGIQ-UHFFFAOYSA-N 1 2 278.396 3.541 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)on1 ZINC000048055495 1118506106 /nfs/dbraw/zinc/50/61/06/1118506106.db2.gz PTUJLSUPHBFUDJ-MEDUHNTESA-N 1 2 286.375 3.864 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)on1 ZINC000048055495 1118506107 /nfs/dbraw/zinc/50/61/07/1118506107.db2.gz PTUJLSUPHBFUDJ-MEDUHNTESA-N 1 2 286.375 3.864 20 0 CHADLO Cc1c[nH+]cc(Nc2ncccc2N2CCCCC2)c1C ZINC001213528639 1118541974 /nfs/dbraw/zinc/54/19/74/1118541974.db2.gz JGFIFGGTZLVNMF-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3[nH]c(=O)ccc23)c1C ZINC001213532555 1118550260 /nfs/dbraw/zinc/55/02/60/1118550260.db2.gz NXJWIZFPSZBBFN-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+]1Cc1ncc(Br)s1 ZINC000340906811 1118551477 /nfs/dbraw/zinc/55/14/77/1118551477.db2.gz FXFXEJWVYQQQFA-SECBINFHSA-N 1 2 289.242 3.526 20 0 CHADLO CC(C)[C@H]1CCC[N@H+]1Cc1ncc(Br)s1 ZINC000340906811 1118551479 /nfs/dbraw/zinc/55/14/79/1118551479.db2.gz FXFXEJWVYQQQFA-SECBINFHSA-N 1 2 289.242 3.526 20 0 CHADLO CCC[C@@H](C)CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000340976795 1118566724 /nfs/dbraw/zinc/56/67/24/1118566724.db2.gz RUWQRVHSONWKRZ-SNVBAGLBSA-N 1 2 259.353 3.636 20 0 CHADLO CCCCS[C@H](C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000341062482 1118598529 /nfs/dbraw/zinc/59/85/29/1118598529.db2.gz ALLBLWLZDYWMCY-CYBMUJFWSA-N 1 2 280.437 3.867 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cccc(C(F)(F)F)c2)nc1 ZINC000341065536 1118599321 /nfs/dbraw/zinc/59/93/21/1118599321.db2.gz IBOAFYOWWHZWNR-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1c(F)cccc1OC(F)F ZINC000072926989 1118609051 /nfs/dbraw/zinc/60/90/51/1118609051.db2.gz HPYICIGWMQKZMS-UHFFFAOYSA-N 1 2 285.265 3.618 20 0 CHADLO CS[C@H]1CCCC[N@H+](Cc2csc(Cl)n2)C1 ZINC000687923773 1128789776 /nfs/dbraw/zinc/78/97/76/1128789776.db2.gz JKAXSSQCSONAOZ-JTQLQIEISA-N 1 2 276.858 3.514 20 0 CHADLO CS[C@H]1CCCC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000687923773 1128789779 /nfs/dbraw/zinc/78/97/79/1128789779.db2.gz JKAXSSQCSONAOZ-JTQLQIEISA-N 1 2 276.858 3.514 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000341227170 1118628132 /nfs/dbraw/zinc/62/81/32/1118628132.db2.gz IIWFTKWMDRIFJJ-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO Cc1ccc(C[NH+]2CC3(CC(F)C3)C2)cc1Br ZINC000656695927 1118639897 /nfs/dbraw/zinc/63/98/97/1118639897.db2.gz XUHKIPMJNAMABB-UHFFFAOYSA-N 1 2 298.199 3.691 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccsc1 ZINC000157859529 1118654741 /nfs/dbraw/zinc/65/47/41/1118654741.db2.gz DOBKGAZAWWCRDX-LLVKDONJSA-N 1 2 297.383 3.880 20 0 CHADLO Cc1cccn2c(CNc3cncc4c3CCCC4)c[nH+]c12 ZINC000656777053 1118655137 /nfs/dbraw/zinc/65/51/37/1118655137.db2.gz YXFYHMHQXSVPOB-UHFFFAOYSA-N 1 2 292.386 3.529 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1c[nH+]cc2c1CCCC2 ZINC000656776825 1118655844 /nfs/dbraw/zinc/65/58/44/1118655844.db2.gz UAFHPISVBDFJLX-UHFFFAOYSA-N 1 2 284.407 3.658 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cnc2c(F)cccc2c1 ZINC000656779650 1118656001 /nfs/dbraw/zinc/65/60/01/1118656001.db2.gz SYYKREMBSIMRQP-UHFFFAOYSA-N 1 2 284.338 3.763 20 0 CHADLO Cc1coc(-c2cc(NCc3[nH+]cc(C)n3C)ccc2C)n1 ZINC000341289588 1118656732 /nfs/dbraw/zinc/65/67/32/1118656732.db2.gz TUISWHVPQJDULG-UHFFFAOYSA-N 1 2 296.374 3.612 20 0 CHADLO C[C@H]([NH2+]Cc1ccncc1Cl)c1c(F)cccc1F ZINC000341288025 1118657687 /nfs/dbraw/zinc/65/76/87/1118657687.db2.gz NPOHINLODBMRCK-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1c(Cl)cccc1OC(F)F ZINC000684412097 1118686600 /nfs/dbraw/zinc/68/66/00/1118686600.db2.gz QQMPNAVCHITJTP-RKDXNWHRSA-N 1 2 275.726 3.924 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1c(Cl)cccc1OC(F)F ZINC000684412094 1118686767 /nfs/dbraw/zinc/68/67/67/1118686767.db2.gz QQMPNAVCHITJTP-IUCAKERBSA-N 1 2 275.726 3.924 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1nc(C(C)(C)C)co1 ZINC000891473369 1118691623 /nfs/dbraw/zinc/69/16/23/1118691623.db2.gz ZPUDIWUEHYWXEV-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1nc(C(C)(C)C)co1 ZINC000891473369 1118691626 /nfs/dbraw/zinc/69/16/26/1118691626.db2.gz ZPUDIWUEHYWXEV-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2cncc(F)c2)c(C)s1 ZINC000656900614 1118693036 /nfs/dbraw/zinc/69/30/36/1118693036.db2.gz ISOPFADNFGIFEU-DTWKUNHWSA-N 1 2 279.384 3.706 20 0 CHADLO Cc1nn(C)c(C[N@H+](C)[C@@H]2CCc3ccccc32)c1Cl ZINC000891558247 1118710272 /nfs/dbraw/zinc/71/02/72/1118710272.db2.gz CARSCTDMFDRKAL-CQSZACIVSA-N 1 2 289.810 3.501 20 0 CHADLO Cc1nn(C)c(C[N@@H+](C)[C@@H]2CCc3ccccc32)c1Cl ZINC000891558247 1118710275 /nfs/dbraw/zinc/71/02/75/1118710275.db2.gz CARSCTDMFDRKAL-CQSZACIVSA-N 1 2 289.810 3.501 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1c(Cl)n[nH]c1C1CC1 ZINC000891586238 1118714124 /nfs/dbraw/zinc/71/41/24/1118714124.db2.gz AAXOAFGDSQXGNX-UHFFFAOYSA-N 1 2 293.798 3.944 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1c(Cl)n[nH]c1C1CC1 ZINC000891586238 1118714127 /nfs/dbraw/zinc/71/41/27/1118714127.db2.gz AAXOAFGDSQXGNX-UHFFFAOYSA-N 1 2 293.798 3.944 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+][C@@H](C)c2cnc(C)s2)o1 ZINC000090417991 1118734072 /nfs/dbraw/zinc/73/40/72/1118734072.db2.gz BRPKLCPBLZJQPM-GWCFXTLKSA-N 1 2 294.420 3.645 20 0 CHADLO C[C@@H](CC(C)(C)C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000449322135 1118764380 /nfs/dbraw/zinc/76/43/80/1118764380.db2.gz WDNMBWGBORXONP-ZDUSSCGKSA-N 1 2 272.396 3.563 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+]C2(c3ccccc3)CCC2)o1 ZINC000068802934 1128799363 /nfs/dbraw/zinc/79/93/63/1128799363.db2.gz QDYWEICPMQUFCT-UHFFFAOYSA-N 1 2 285.391 3.536 20 0 CHADLO CC1(C)C[N@H+](Cc2nccn2C2CC2)[C@H]1c1ccccc1 ZINC000891794278 1118777238 /nfs/dbraw/zinc/77/72/38/1118777238.db2.gz SCWISWMGNJSPHT-KRWDZBQOSA-N 1 2 281.403 3.801 20 0 CHADLO CC1(C)C[N@@H+](Cc2nccn2C2CC2)[C@H]1c1ccccc1 ZINC000891794278 1118777242 /nfs/dbraw/zinc/77/72/42/1118777242.db2.gz SCWISWMGNJSPHT-KRWDZBQOSA-N 1 2 281.403 3.801 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H](C)c1ccco1 ZINC000684519428 1118782301 /nfs/dbraw/zinc/78/23/01/1118782301.db2.gz STUMXTXBGYALSV-LBPRGKRZSA-N 1 2 295.342 3.720 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H](C)c1ccco1 ZINC000684519425 1118782828 /nfs/dbraw/zinc/78/28/28/1118782828.db2.gz STUMXTXBGYALSV-GFCCVEGCSA-N 1 2 295.342 3.720 20 0 CHADLO C[N@H+](CC1CCCC1)Cn1nc(C2CC2)sc1=S ZINC000074719674 1118804742 /nfs/dbraw/zinc/80/47/42/1118804742.db2.gz ACCXQILKEZREAK-UHFFFAOYSA-N 1 2 283.466 3.631 20 0 CHADLO C[N@@H+](CC1CCCC1)Cn1nc(C2CC2)sc1=S ZINC000074719674 1118804747 /nfs/dbraw/zinc/80/47/47/1118804747.db2.gz ACCXQILKEZREAK-UHFFFAOYSA-N 1 2 283.466 3.631 20 0 CHADLO C[C@H]1COC(C)(C)C[N@@H+]1Cc1ccccc1C(F)(F)F ZINC000510764593 1118810136 /nfs/dbraw/zinc/81/01/36/1118810136.db2.gz PFAJBAQBBFCLQQ-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO C[C@H]1COC(C)(C)C[N@H+]1Cc1ccccc1C(F)(F)F ZINC000510764593 1118810141 /nfs/dbraw/zinc/81/01/41/1118810141.db2.gz PFAJBAQBBFCLQQ-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO COCc1ccc(N[C@H](C)c2cn3c([nH+]2)CCCC3)cc1 ZINC000926293824 1118852613 /nfs/dbraw/zinc/85/26/13/1118852613.db2.gz CNDDPCPHBKTDQS-CYBMUJFWSA-N 1 2 285.391 3.539 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](c3cccs3)C2)ccc1F ZINC000092594025 1118857363 /nfs/dbraw/zinc/85/73/63/1118857363.db2.gz CGBSDWILEBULOA-OAHLLOKOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](c3cccs3)C2)ccc1F ZINC000092594025 1118857368 /nfs/dbraw/zinc/85/73/68/1118857368.db2.gz CGBSDWILEBULOA-OAHLLOKOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1cc(C)cc([C@H](C)NC(=O)Nc2cc(C)[nH+]cc2C)c1 ZINC000535774574 1118882743 /nfs/dbraw/zinc/88/27/43/1118882743.db2.gz RTUPKKNAODJIPN-HNNXBMFYSA-N 1 2 297.402 3.620 20 0 CHADLO Fc1c(Br)cccc1C[N@@H+]1CC[C@H]1C1CC1 ZINC000449423259 1118885730 /nfs/dbraw/zinc/88/57/30/1118885730.db2.gz AELPXRVBBKNRQI-LBPRGKRZSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1c(Br)cccc1C[N@H+]1CC[C@H]1C1CC1 ZINC000449423259 1118885734 /nfs/dbraw/zinc/88/57/34/1118885734.db2.gz AELPXRVBBKNRQI-LBPRGKRZSA-N 1 2 284.172 3.573 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](C)[C@H](C)c2cccc(O)c2)c1 ZINC000892010486 1118936517 /nfs/dbraw/zinc/93/65/17/1118936517.db2.gz VDARMPBJBNINHN-GFCCVEGCSA-N 1 2 290.794 3.942 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](C)[C@H](C)c2cccc(O)c2)c1 ZINC000892010486 1118936520 /nfs/dbraw/zinc/93/65/20/1118936520.db2.gz VDARMPBJBNINHN-GFCCVEGCSA-N 1 2 290.794 3.942 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1cc(Cl)cs1 ZINC000308876316 1118942093 /nfs/dbraw/zinc/94/20/93/1118942093.db2.gz NMRNONWURCZTIB-SECBINFHSA-N 1 2 251.729 3.679 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2ccn(C3CCCC3)n2)s1 ZINC000090572890 1118946370 /nfs/dbraw/zinc/94/63/70/1118946370.db2.gz COOQSUGHENMSHH-LLVKDONJSA-N 1 2 290.436 3.614 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCCC(F)(F)CC2)c(F)c1 ZINC001140530026 1118953606 /nfs/dbraw/zinc/95/36/06/1118953606.db2.gz MUGHISRQLZFYRA-UHFFFAOYSA-N 1 2 279.252 3.725 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCCC(F)(F)CC2)c(F)c1 ZINC001140530026 1118953607 /nfs/dbraw/zinc/95/36/07/1118953607.db2.gz MUGHISRQLZFYRA-UHFFFAOYSA-N 1 2 279.252 3.725 20 0 CHADLO COc1cc(Cl)ccc1[C@H](C)[NH2+]Cc1csc(C)n1 ZINC000643344806 1118964695 /nfs/dbraw/zinc/96/46/95/1118964695.db2.gz WCPFJFGMIYALQV-VIFPVBQESA-N 1 2 296.823 3.964 20 0 CHADLO CC1(C)CCCC[C@@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000342314189 1118974785 /nfs/dbraw/zinc/97/47/85/1118974785.db2.gz NDVLWWLRRYRSLM-INIZCTEOSA-N 1 2 297.402 3.571 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@H]2CCc3ccccc32)n1 ZINC000724377427 1118981691 /nfs/dbraw/zinc/98/16/91/1118981691.db2.gz VYUZNRUAJHRFRM-AWEZNQCLSA-N 1 2 270.376 3.749 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]C(C)(C)c2ccccc2)n1 ZINC000724380487 1118983866 /nfs/dbraw/zinc/98/38/66/1118983866.db2.gz DEDJGSSPFYPCSJ-UHFFFAOYSA-N 1 2 272.392 3.997 20 0 CHADLO C[C@H](CCc1cccc(F)c1)[N@@H+](CC(F)F)C1CC1 ZINC000544022249 1118987614 /nfs/dbraw/zinc/98/76/14/1118987614.db2.gz LLRUUJQEFDBUTK-LLVKDONJSA-N 1 2 271.326 3.876 20 0 CHADLO C[C@H](CCc1cccc(F)c1)[N@H+](CC(F)F)C1CC1 ZINC000544022249 1118987612 /nfs/dbraw/zinc/98/76/12/1118987612.db2.gz LLRUUJQEFDBUTK-LLVKDONJSA-N 1 2 271.326 3.876 20 0 CHADLO Cc1[nH]c(CNc2ccc(C(F)(F)F)c(C)c2)[nH+]c1C ZINC000628118733 1128814075 /nfs/dbraw/zinc/81/40/75/1128814075.db2.gz HLBKCRSAPZYBSW-UHFFFAOYSA-N 1 2 283.297 3.966 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)o1 ZINC000628130615 1128814803 /nfs/dbraw/zinc/81/48/03/1128814803.db2.gz FVGPOIWDOIVHFB-MEDUHNTESA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)o1 ZINC000628130615 1128814807 /nfs/dbraw/zinc/81/48/07/1128814807.db2.gz FVGPOIWDOIVHFB-MEDUHNTESA-N 1 2 286.375 3.864 20 0 CHADLO CCc1cnccc1[C@@H](C)[NH2+]Cc1cc(C(C)(C)C)on1 ZINC000582091512 1119005859 /nfs/dbraw/zinc/00/58/59/1119005859.db2.gz WDVFFHZKPVZKOA-GFCCVEGCSA-N 1 2 287.407 3.780 20 0 CHADLO CCC[C@@H](C)CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000354371021 1119013060 /nfs/dbraw/zinc/01/30/60/1119013060.db2.gz DNDRXTICNAFPPN-CYBMUJFWSA-N 1 2 271.364 3.637 20 0 CHADLO CC[N@H+](Cc1nc(C)c(C)[nH]1)Cc1ccc(Cl)cc1 ZINC000628136178 1128816459 /nfs/dbraw/zinc/81/64/59/1128816459.db2.gz TUWAJGWYBTULEV-UHFFFAOYSA-N 1 2 277.799 3.702 20 0 CHADLO CC[N@@H+](Cc1nc(C)c(C)[nH]1)Cc1ccc(Cl)cc1 ZINC000628136178 1128816463 /nfs/dbraw/zinc/81/64/63/1128816463.db2.gz TUWAJGWYBTULEV-UHFFFAOYSA-N 1 2 277.799 3.702 20 0 CHADLO CCN(Cc1[nH]c(C)c(C)[nH+]1)Cc1ccc(Cl)cc1 ZINC000628136178 1128816467 /nfs/dbraw/zinc/81/64/67/1128816467.db2.gz TUWAJGWYBTULEV-UHFFFAOYSA-N 1 2 277.799 3.702 20 0 CHADLO c1ccc(N2CCC[C@H](c3nc4c(s3)CCCC4)C2)[nH+]c1 ZINC000152310778 1119034878 /nfs/dbraw/zinc/03/48/78/1119034878.db2.gz APIKRWLFMMTNEO-ZDUSSCGKSA-N 1 2 299.443 3.801 20 0 CHADLO Cc1cccc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c1F ZINC000119702164 1119039408 /nfs/dbraw/zinc/03/94/08/1119039408.db2.gz ZSYIHXKGXCAYOQ-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628149622 1128817863 /nfs/dbraw/zinc/81/78/63/1128817863.db2.gz GYQRAWLOQGLVTP-GFCCVEGCSA-N 1 2 284.359 3.508 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628149622 1128817868 /nfs/dbraw/zinc/81/78/68/1128817868.db2.gz GYQRAWLOQGLVTP-GFCCVEGCSA-N 1 2 284.359 3.508 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccccc2C(F)(F)F)no1 ZINC000044692276 1119058342 /nfs/dbraw/zinc/05/83/42/1119058342.db2.gz NQFMTKFGLYQHDO-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO Cc1ccc(O[C@H](C)C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000097040133 1119097990 /nfs/dbraw/zinc/09/79/90/1119097990.db2.gz LAFPOSNXFCSLFH-OAHLLOKOSA-N 1 2 298.386 3.721 20 0 CHADLO Cc1cc(C)c(NC(=O)COc2ccc(C)c(C)c2)c(C)[nH+]1 ZINC000097040024 1119098183 /nfs/dbraw/zinc/09/81/83/1119098183.db2.gz XGBFZDMPZSJBAP-UHFFFAOYSA-N 1 2 298.386 3.641 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1cccnc1C(F)(F)F ZINC000625981131 1119100333 /nfs/dbraw/zinc/10/03/33/1119100333.db2.gz GPYHNGWWWDISQP-SECBINFHSA-N 1 2 294.267 3.720 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1cccnc1C(F)(F)F ZINC000625981131 1119100334 /nfs/dbraw/zinc/10/03/34/1119100334.db2.gz GPYHNGWWWDISQP-SECBINFHSA-N 1 2 294.267 3.720 20 0 CHADLO Cc1nc[nH]c1C[N@@H+]1CCC[C@H]1c1ccc(Cl)s1 ZINC001204590526 1119113420 /nfs/dbraw/zinc/11/34/20/1119113420.db2.gz RQTMSKHBCWAEFC-NSHDSACASA-N 1 2 281.812 3.770 20 0 CHADLO Cc1nc[nH]c1C[N@H+]1CCC[C@H]1c1ccc(Cl)s1 ZINC001204590526 1119113422 /nfs/dbraw/zinc/11/34/22/1119113422.db2.gz RQTMSKHBCWAEFC-NSHDSACASA-N 1 2 281.812 3.770 20 0 CHADLO c1cn(-c2ccccc2)nc1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000342675555 1119121146 /nfs/dbraw/zinc/12/11/46/1119121146.db2.gz LDFUPSCUCJZYED-LJQANCHMSA-N 1 2 289.382 3.819 20 0 CHADLO c1cn(-c2ccccc2)nc1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000342675555 1119121147 /nfs/dbraw/zinc/12/11/47/1119121147.db2.gz LDFUPSCUCJZYED-LJQANCHMSA-N 1 2 289.382 3.819 20 0 CHADLO CC(C)c1ncc(C[NH+]2Cc3ccccc3C2)s1 ZINC000119945337 1119122010 /nfs/dbraw/zinc/12/20/10/1119122010.db2.gz WVEGWYGYYGCIFI-UHFFFAOYSA-N 1 2 258.390 3.782 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2nc(C3CC3)cs2)C1 ZINC000342686511 1119126224 /nfs/dbraw/zinc/12/62/24/1119126224.db2.gz ANMVWFHLZAXEMD-SNVBAGLBSA-N 1 2 290.354 3.795 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2nc(C3CC3)cs2)C1 ZINC000342686511 1119126226 /nfs/dbraw/zinc/12/62/26/1119126226.db2.gz ANMVWFHLZAXEMD-SNVBAGLBSA-N 1 2 290.354 3.795 20 0 CHADLO CC(C)C[C@@H]1CCCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934582176 1119150735 /nfs/dbraw/zinc/15/07/35/1119150735.db2.gz KOWYVTSYRPKRKN-AWEZNQCLSA-N 1 2 285.391 3.604 20 0 CHADLO Clc1cc(Cl)cc(OCCOc2cc[nH+]cc2)c1 ZINC000431479783 1119171778 /nfs/dbraw/zinc/17/17/78/1119171778.db2.gz XREUXZMXQZEAAH-UHFFFAOYSA-N 1 2 284.142 3.846 20 0 CHADLO CC(C)c1ccc(C(=O)N2CCC[C@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000075555206 1119177159 /nfs/dbraw/zinc/17/71/59/1119177159.db2.gz NXDHYNRRCJJUOW-INIZCTEOSA-N 1 2 297.402 3.553 20 0 CHADLO CCC1(O)CC[NH+](Cc2csc(C3CCCC3)n2)CC1 ZINC000075859747 1119180088 /nfs/dbraw/zinc/18/00/88/1119180088.db2.gz LXZWYXPMLOFBLN-UHFFFAOYSA-N 1 2 294.464 3.538 20 0 CHADLO CC(C)(C)c1cnc(C[NH+]2CCC(C(F)(F)F)CC2)o1 ZINC000075996542 1119181397 /nfs/dbraw/zinc/18/13/97/1119181397.db2.gz DQAIGJCJEBTGLF-UHFFFAOYSA-N 1 2 290.329 3.746 20 0 CHADLO COCC[N@H+](C)Cc1csc(-c2ccc(Cl)cc2)n1 ZINC000077408628 1119194728 /nfs/dbraw/zinc/19/47/28/1119194728.db2.gz XFIIVGJRJJNEPO-UHFFFAOYSA-N 1 2 296.823 3.542 20 0 CHADLO COCC[N@@H+](C)Cc1csc(-c2ccc(Cl)cc2)n1 ZINC000077408628 1119194731 /nfs/dbraw/zinc/19/47/31/1119194731.db2.gz XFIIVGJRJJNEPO-UHFFFAOYSA-N 1 2 296.823 3.542 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2ccc(C(C)(C)C)cc2)o1 ZINC000077675995 1119196257 /nfs/dbraw/zinc/19/62/57/1119196257.db2.gz WDASVTLGMKTMNW-LBPRGKRZSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)o1 ZINC000077675995 1119196259 /nfs/dbraw/zinc/19/62/59/1119196259.db2.gz WDASVTLGMKTMNW-LBPRGKRZSA-N 1 2 287.407 3.869 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(C3CC3)o2)C[C@@H](c2ccccc2)O1 ZINC000628155182 1119208347 /nfs/dbraw/zinc/20/83/47/1119208347.db2.gz SUICMOGYWJMWMC-GUYCJALGSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(C3CC3)o2)C[C@@H](c2ccccc2)O1 ZINC000628155182 1119208350 /nfs/dbraw/zinc/20/83/50/1119208350.db2.gz SUICMOGYWJMWMC-GUYCJALGSA-N 1 2 298.386 3.514 20 0 CHADLO CSc1ccc(C)c(NCc2cccc3[nH+]ccn32)c1 ZINC000342859817 1119212799 /nfs/dbraw/zinc/21/27/99/1119212799.db2.gz HEKGJVWOGDRSKV-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@@](C)(CC)c1nc(C)cs1 ZINC000119508522 1119215285 /nfs/dbraw/zinc/21/52/85/1119215285.db2.gz OBHDIRLQSWPWRQ-HNNXBMFYSA-N 1 2 293.436 3.725 20 0 CHADLO Clc1ccc2cccnc2c1COc1cc[nH+]cc1 ZINC000431497636 1119235497 /nfs/dbraw/zinc/23/54/97/1119235497.db2.gz YELISMASIHKNSS-UHFFFAOYSA-N 1 2 270.719 3.862 20 0 CHADLO C[C@H]([NH2+][C@@H](CC(F)(F)F)c1ccccc1)c1ncc[nH]1 ZINC000138989379 1119254071 /nfs/dbraw/zinc/25/40/71/1119254071.db2.gz MOVZHXXDQVEOSY-JQWIXIFHSA-N 1 2 283.297 3.754 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628178428 1119255225 /nfs/dbraw/zinc/25/52/25/1119255225.db2.gz PTPIJOSDBNLMRB-NSHDSACASA-N 1 2 254.333 3.629 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628178428 1119255229 /nfs/dbraw/zinc/25/52/29/1119255229.db2.gz PTPIJOSDBNLMRB-NSHDSACASA-N 1 2 254.333 3.629 20 0 CHADLO C[C@]1(CNc2ccc(-n3cc[nH+]c3)cc2)CCCS1 ZINC000628192363 1119272734 /nfs/dbraw/zinc/27/27/34/1119272734.db2.gz VDCHPGIKZOLBQN-OAHLLOKOSA-N 1 2 273.405 3.570 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@@H]2c2c(C)cccc2C)no1 ZINC000661133690 1119276336 /nfs/dbraw/zinc/27/63/36/1119276336.db2.gz JGSFBBYYQNIPKT-OAHLLOKOSA-N 1 2 299.418 3.976 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@@H]2c2c(C)cccc2C)no1 ZINC000661133690 1119276338 /nfs/dbraw/zinc/27/63/38/1119276338.db2.gz JGSFBBYYQNIPKT-OAHLLOKOSA-N 1 2 299.418 3.976 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](C)c2cccc3ccccc32)n1 ZINC000079287766 1119276862 /nfs/dbraw/zinc/27/68/62/1119276862.db2.gz ZNGRESWOCDBVKC-NWDGAFQWSA-N 1 2 281.359 3.943 20 0 CHADLO Cc1noc(C[NH2+][C@@H](CC(C)C)c2ccccc2C)n1 ZINC000122317816 1119278866 /nfs/dbraw/zinc/27/88/66/1119278866.db2.gz CJFMOMKJKDBJKB-HNNXBMFYSA-N 1 2 273.380 3.563 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000153739738 1119283553 /nfs/dbraw/zinc/28/35/53/1119283553.db2.gz CZKCFMWOXJUQLK-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)cn1 ZINC000153739738 1119283556 /nfs/dbraw/zinc/28/35/56/1119283556.db2.gz CZKCFMWOXJUQLK-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCSc2ccccc21)c1ccon1 ZINC000349837370 1119301966 /nfs/dbraw/zinc/30/19/66/1119301966.db2.gz QZEKHEWFVCHLAM-GXFFZTMASA-N 1 2 260.362 3.562 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccsc2C(F)(F)F)no1 ZINC000628263961 1128838464 /nfs/dbraw/zinc/83/84/64/1128838464.db2.gz VKAYMKKAKIBXGH-MRVPVSSYSA-N 1 2 290.310 3.914 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4c(c3)OCO4)cc2)[nH]1 ZINC001213087943 1119343119 /nfs/dbraw/zinc/34/31/19/1119343119.db2.gz IDSMXNKEZWSYIK-UHFFFAOYSA-N 1 2 279.299 3.549 20 0 CHADLO Cn1ncc2cc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)ccc21 ZINC001213087982 1119343926 /nfs/dbraw/zinc/34/39/26/1119343926.db2.gz JRIGPMAQCVPZEY-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO COc1ccc(SCc2cc(OC)cc(C)[nH+]2)cc1 ZINC000536010937 1119351815 /nfs/dbraw/zinc/35/18/15/1119351815.db2.gz JBPSZOJKCOBMQK-UHFFFAOYSA-N 1 2 275.373 3.700 20 0 CHADLO Cc1cc(C[NH+]2C[C@H](C)O[C@@H](C)C2)cc(C(F)(F)F)c1 ZINC001143449126 1119357707 /nfs/dbraw/zinc/35/77/07/1119357707.db2.gz WTRPLSCVKJSGRF-RYUDHWBXSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccc(F)cc2F)c(C)s1 ZINC000051016681 1119360615 /nfs/dbraw/zinc/36/06/15/1119360615.db2.gz OAFFARJPOQSXCU-MRVPVSSYSA-N 1 2 282.359 3.889 20 0 CHADLO Cc1nc(N2CCC=C(c3ccco3)C2)c2c([nH+]1)CCCC2 ZINC000343244215 1119364101 /nfs/dbraw/zinc/36/41/01/1119364101.db2.gz CDSSBKSHJCGFHU-UHFFFAOYSA-N 1 2 295.386 3.551 20 0 CHADLO CN(C)c1ccccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088767 1119372195 /nfs/dbraw/zinc/37/21/95/1119372195.db2.gz CHTFOXWMAILXBX-UHFFFAOYSA-N 1 2 278.359 3.886 20 0 CHADLO Cc1ccc(O)cc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001214338843 1128841421 /nfs/dbraw/zinc/84/14/21/1128841421.db2.gz CHTWCGWBAUHFOY-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO CC[C@@H](c1ccccc1OC)N(C)Cc1[nH]c(C)c(C)[nH+]1 ZINC000628282036 1128842183 /nfs/dbraw/zinc/84/21/83/1128842183.db2.gz LEBTYSXWOMCMFN-HNNXBMFYSA-N 1 2 287.407 3.618 20 0 CHADLO O=C1CCc2cc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)ccc21 ZINC001213089779 1119391157 /nfs/dbraw/zinc/39/11/57/1119391157.db2.gz DJIASXOAADKMMC-UHFFFAOYSA-N 1 2 289.338 3.949 20 0 CHADLO CCOc1cccc(C[N@H+](C)[C@@H](C)c2ccccc2F)n1 ZINC000349921377 1119401190 /nfs/dbraw/zinc/40/11/90/1119401190.db2.gz QSYMBPLFSSAWSI-ZDUSSCGKSA-N 1 2 288.366 3.812 20 0 CHADLO CCOc1cccc(C[N@@H+](C)[C@@H](C)c2ccccc2F)n1 ZINC000349921377 1119401192 /nfs/dbraw/zinc/40/11/92/1119401192.db2.gz QSYMBPLFSSAWSI-ZDUSSCGKSA-N 1 2 288.366 3.812 20 0 CHADLO CCc1cccc2cc(C[NH2+][C@H](C)c3nccn3C)oc21 ZINC000657794630 1119413529 /nfs/dbraw/zinc/41/35/29/1119413529.db2.gz YVWBNGOECZMKJE-GFCCVEGCSA-N 1 2 283.375 3.580 20 0 CHADLO c1coc([C@H]2CCC[N@H+]2Cc2nc(C3CC3)cs2)c1 ZINC000343519015 1119440139 /nfs/dbraw/zinc/44/01/39/1119440139.db2.gz CKZVMUWEFBHKGS-CYBMUJFWSA-N 1 2 274.389 3.951 20 0 CHADLO c1coc([C@H]2CCC[N@@H+]2Cc2nc(C3CC3)cs2)c1 ZINC000343519015 1119440142 /nfs/dbraw/zinc/44/01/42/1119440142.db2.gz CKZVMUWEFBHKGS-CYBMUJFWSA-N 1 2 274.389 3.951 20 0 CHADLO COC(C)(C)CCSCCc1[nH+]cc(C)cc1C ZINC000566797924 1119447944 /nfs/dbraw/zinc/44/79/44/1119447944.db2.gz QUXSXGYBWPMGIA-UHFFFAOYSA-N 1 2 267.438 3.789 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1ccn(C)c1 ZINC000167827468 1119470368 /nfs/dbraw/zinc/47/03/68/1119470368.db2.gz WWJSQNZMUQEMHD-UHFFFAOYSA-N 1 2 288.782 3.660 20 0 CHADLO C[C@@H](Nc1c[nH+]cc2c1CCCC2)C1CC(F)(F)C1 ZINC000628336929 1128848625 /nfs/dbraw/zinc/84/86/25/1128848625.db2.gz SQSUCJFCWDUAQK-SNVBAGLBSA-N 1 2 266.335 3.806 20 0 CHADLO CCCCOc1ccc(N(C)c2[nH+]cccc2N)cc1F ZINC001211610361 1119479985 /nfs/dbraw/zinc/47/99/85/1119479985.db2.gz ROCZDEPUNSKXAN-UHFFFAOYSA-N 1 2 289.354 3.750 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000080764929 1119505035 /nfs/dbraw/zinc/50/50/35/1119505035.db2.gz GAIQZSLYBQQBKH-LLVKDONJSA-N 1 2 296.374 3.756 20 0 CHADLO C[N@H+](CCOc1ccc2ccccc2c1)Cc1nccs1 ZINC000472319480 1119509607 /nfs/dbraw/zinc/50/96/07/1119509607.db2.gz PLRHWGWTMDKHBA-UHFFFAOYSA-N 1 2 298.411 3.807 20 0 CHADLO C[N@@H+](CCOc1ccc2ccccc2c1)Cc1nccs1 ZINC000472319480 1119509611 /nfs/dbraw/zinc/50/96/11/1119509611.db2.gz PLRHWGWTMDKHBA-UHFFFAOYSA-N 1 2 298.411 3.807 20 0 CHADLO C[N@H+](CC1=CCSC1)Cc1c(F)ccc(F)c1Cl ZINC000628352717 1128850810 /nfs/dbraw/zinc/85/08/10/1128850810.db2.gz RCGMYWIGTHOQIM-UHFFFAOYSA-N 1 2 289.778 3.723 20 0 CHADLO C[N@@H+](CC1=CCSC1)Cc1c(F)ccc(F)c1Cl ZINC000628352717 1128850814 /nfs/dbraw/zinc/85/08/14/1128850814.db2.gz RCGMYWIGTHOQIM-UHFFFAOYSA-N 1 2 289.778 3.723 20 0 CHADLO CC[C@H]1CCC[N@H+](Cc2noc(Cc3ccccc3C)n2)C1 ZINC000083168535 1119524599 /nfs/dbraw/zinc/52/45/99/1119524599.db2.gz LMKLIETZQSFDAF-HNNXBMFYSA-N 1 2 299.418 3.591 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cc2noc(Cc3ccccc3C)n2)C1 ZINC000083168535 1119524601 /nfs/dbraw/zinc/52/46/01/1119524601.db2.gz LMKLIETZQSFDAF-HNNXBMFYSA-N 1 2 299.418 3.591 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CCC[C@@H](C)CC2)no1 ZINC000083169571 1119524649 /nfs/dbraw/zinc/52/46/49/1119524649.db2.gz OTIQRCGDGJZIDQ-CQSZACIVSA-N 1 2 299.418 3.591 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CCC[C@@H](C)CC2)no1 ZINC000083169571 1119524651 /nfs/dbraw/zinc/52/46/51/1119524651.db2.gz OTIQRCGDGJZIDQ-CQSZACIVSA-N 1 2 299.418 3.591 20 0 CHADLO CC[C@@H]1CCCC[N@@H+]1Cc1noc(Cc2ccccc2C)n1 ZINC000083126941 1119524946 /nfs/dbraw/zinc/52/49/46/1119524946.db2.gz GLOZTDNWLPYFSB-MRXNPFEDSA-N 1 2 299.418 3.733 20 0 CHADLO CC[C@@H]1CCCC[N@H+]1Cc1noc(Cc2ccccc2C)n1 ZINC000083126941 1119524949 /nfs/dbraw/zinc/52/49/49/1119524949.db2.gz GLOZTDNWLPYFSB-MRXNPFEDSA-N 1 2 299.418 3.733 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H](F)C2)cc(C(F)(F)F)c1 ZINC001143455426 1119543196 /nfs/dbraw/zinc/54/31/96/1119543196.db2.gz SGILHKZGFSKDDL-ZDUSSCGKSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H](F)C2)cc(C(F)(F)F)c1 ZINC001143455426 1119543200 /nfs/dbraw/zinc/54/32/00/1119543200.db2.gz SGILHKZGFSKDDL-ZDUSSCGKSA-N 1 2 275.289 3.948 20 0 CHADLO CC(C)c1cc(NC[C@H]2CCC[C@@H](O)C2)nc(C(C)C)[nH+]1 ZINC000090094931 1119545809 /nfs/dbraw/zinc/54/58/09/1119545809.db2.gz BYGNTFADCKAXJE-UONOGXRCSA-N 1 2 291.439 3.686 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(C)sc2C)o1 ZINC000090888586 1119546848 /nfs/dbraw/zinc/54/68/48/1119546848.db2.gz FJQZZIRFANECTR-SECBINFHSA-N 1 2 250.367 3.512 20 0 CHADLO COc1cccc(Cl)c1C[N@H+](C)CCC(F)(F)F ZINC000092478282 1119563300 /nfs/dbraw/zinc/56/33/00/1119563300.db2.gz YVCBYDIMWSBCNA-UHFFFAOYSA-N 1 2 281.705 3.733 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+](C)CCC(F)(F)F ZINC000092478282 1119563303 /nfs/dbraw/zinc/56/33/03/1119563303.db2.gz YVCBYDIMWSBCNA-UHFFFAOYSA-N 1 2 281.705 3.733 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cc3nnc(C4CC4)o3)CC2)c1 ZINC000092821981 1119567576 /nfs/dbraw/zinc/56/75/76/1119567576.db2.gz IPVWDPPLBISYKU-UHFFFAOYSA-N 1 2 295.386 3.545 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cc3nnc(C4CC4)o3)CC2)c1 ZINC000092821981 1119567578 /nfs/dbraw/zinc/56/75/78/1119567578.db2.gz IPVWDPPLBISYKU-UHFFFAOYSA-N 1 2 295.386 3.545 20 0 CHADLO FC(F)C[N@@H+](C[C@H]1C[C@H]1c1ccccc1)C1CC1 ZINC000093276598 1119570899 /nfs/dbraw/zinc/57/08/99/1119570899.db2.gz VOMAAKLPTMRMCN-OCCSQVGLSA-N 1 2 251.320 3.520 20 0 CHADLO FC(F)C[N@H+](C[C@H]1C[C@H]1c1ccccc1)C1CC1 ZINC000093276598 1119570896 /nfs/dbraw/zinc/57/08/96/1119570896.db2.gz VOMAAKLPTMRMCN-OCCSQVGLSA-N 1 2 251.320 3.520 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)cc1 ZINC000197690755 1119586675 /nfs/dbraw/zinc/58/66/75/1119586675.db2.gz XNIYZWQHACNKBH-UHFFFAOYSA-N 1 2 280.346 3.865 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3[nH]c4ccccc4c3C2)cc1 ZINC000197690755 1119586678 /nfs/dbraw/zinc/58/66/78/1119586678.db2.gz XNIYZWQHACNKBH-UHFFFAOYSA-N 1 2 280.346 3.865 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(C(F)F)nc2)cs1 ZINC000657877669 1119590644 /nfs/dbraw/zinc/59/06/44/1119590644.db2.gz DRJDJCNMFMPDMQ-VIFPVBQESA-N 1 2 297.374 3.889 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)CCc2c[nH+]cn2C)c1 ZINC000628431699 1128860352 /nfs/dbraw/zinc/86/03/52/1128860352.db2.gz DNDBSMSCLFEQKQ-CYBMUJFWSA-N 1 2 285.391 3.505 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000628432052 1128860704 /nfs/dbraw/zinc/86/07/04/1128860704.db2.gz ONUDBAKHIJXDEB-DZGCQCFKSA-N 1 2 297.402 3.598 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@@H](C)c2ccccc2Cl)on1 ZINC000924525081 1119661319 /nfs/dbraw/zinc/66/13/19/1119661319.db2.gz MEMUZWZHFUKORF-UWVGGRQHSA-N 1 2 280.755 3.748 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cc3cnccc3o2)c1 ZINC000658046294 1119670935 /nfs/dbraw/zinc/67/09/35/1119670935.db2.gz YCXWZGMXVDSCOM-LLVKDONJSA-N 1 2 283.331 3.542 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc3c(c2)CCCO3)c1 ZINC000658043079 1119670975 /nfs/dbraw/zinc/67/09/75/1119670975.db2.gz GIVJQKKUUUCBQN-CYBMUJFWSA-N 1 2 298.386 3.726 20 0 CHADLO Cc1[nH]c(CN2CC3(CCC3)c3c2cccc3F)[nH+]c1C ZINC000628236009 1119671953 /nfs/dbraw/zinc/67/19/53/1119671953.db2.gz MFEXGCINDHRKSR-UHFFFAOYSA-N 1 2 285.366 3.608 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+][C@H]1C[C@@H](C)n2ncnc21 ZINC000658095825 1119682015 /nfs/dbraw/zinc/68/20/15/1119682015.db2.gz AESWHSWPVFYUNJ-OSMZGAPFSA-N 1 2 296.374 3.689 20 0 CHADLO CC(C)[C@@H]1C[C@H]([NH2+]Cc2csnn2)c2ccccc21 ZINC000628452943 1128863052 /nfs/dbraw/zinc/86/30/52/1128863052.db2.gz PPYNKFYFVPBKFD-GJZGRUSLSA-N 1 2 273.405 3.512 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@H]1C[C@]1(F)c1ccccc1 ZINC000926615110 1119716396 /nfs/dbraw/zinc/71/63/96/1119716396.db2.gz MZJAIYWKIWJNRD-FSBGKCOPSA-N 1 2 274.339 3.579 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@@H]1C[C@]1(F)c1ccccc1 ZINC000926615118 1119716734 /nfs/dbraw/zinc/71/67/34/1119716734.db2.gz MZJAIYWKIWJNRD-JJMVLAAESA-N 1 2 274.339 3.579 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCCSCC2)c1Cl ZINC000628234841 1119756788 /nfs/dbraw/zinc/75/67/88/1119756788.db2.gz OSCPUZQVOJSLHO-UHFFFAOYSA-N 1 2 277.767 3.557 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCCSCC2)c1Cl ZINC000628234841 1119756792 /nfs/dbraw/zinc/75/67/92/1119756792.db2.gz OSCPUZQVOJSLHO-UHFFFAOYSA-N 1 2 277.767 3.557 20 0 CHADLO Fc1cccc(C[NH2+]Cc2csc(C(F)(F)F)n2)c1 ZINC000892479742 1119763698 /nfs/dbraw/zinc/76/36/98/1119763698.db2.gz MWJSUOYHYKVUIX-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO CCCCCC(C)(C)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000375864195 1119773227 /nfs/dbraw/zinc/77/32/27/1119773227.db2.gz ZRJHHSCJZZGRMK-UHFFFAOYSA-N 1 2 291.439 3.722 20 0 CHADLO CCCCCC(C)(C)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000375864195 1119773230 /nfs/dbraw/zinc/77/32/30/1119773230.db2.gz ZRJHHSCJZZGRMK-UHFFFAOYSA-N 1 2 291.439 3.722 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1ccc(Cl)nc1 ZINC000780386070 1119795005 /nfs/dbraw/zinc/79/50/05/1119795005.db2.gz UFELFEYQJSEDCX-GXSJLCMTSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1ccc(Cl)nc1 ZINC000780386070 1119795007 /nfs/dbraw/zinc/79/50/07/1119795007.db2.gz UFELFEYQJSEDCX-GXSJLCMTSA-N 1 2 292.732 3.898 20 0 CHADLO Clc1ccc(OCCC[N@@H+]2Cc3cccnc3C2)cc1 ZINC000570156825 1119805429 /nfs/dbraw/zinc/80/54/29/1119805429.db2.gz QFPNDDYCIYFLLN-UHFFFAOYSA-N 1 2 288.778 3.520 20 0 CHADLO Clc1ccc(OCCC[N@H+]2Cc3cccnc3C2)cc1 ZINC000570156825 1119805433 /nfs/dbraw/zinc/80/54/33/1119805433.db2.gz QFPNDDYCIYFLLN-UHFFFAOYSA-N 1 2 288.778 3.520 20 0 CHADLO CC(F)(F)CCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000294970747 1119827143 /nfs/dbraw/zinc/82/71/43/1119827143.db2.gz OGZNKYKTJVWDMD-UHFFFAOYSA-N 1 2 268.229 3.948 20 0 CHADLO CCCC[N@H+](Cc1[nH]ncc1C)[C@H](C)c1ccc(C)o1 ZINC000685834144 1119852970 /nfs/dbraw/zinc/85/29/70/1119852970.db2.gz WIRFXYUGPVURER-CQSZACIVSA-N 1 2 275.396 3.983 20 0 CHADLO CCCC[N@@H+](Cc1[nH]ncc1C)[C@H](C)c1ccc(C)o1 ZINC000685834144 1119852975 /nfs/dbraw/zinc/85/29/75/1119852975.db2.gz WIRFXYUGPVURER-CQSZACIVSA-N 1 2 275.396 3.983 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2[nH]ncc2C)o1 ZINC000685839568 1119859212 /nfs/dbraw/zinc/85/92/12/1119859212.db2.gz KPSTXDOMYJTLCD-LRDDRELGSA-N 1 2 287.407 3.847 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2[nH]ncc2C)o1 ZINC000685839568 1119859216 /nfs/dbraw/zinc/85/92/16/1119859216.db2.gz KPSTXDOMYJTLCD-LRDDRELGSA-N 1 2 287.407 3.847 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)c1 ZINC000636253450 1119863223 /nfs/dbraw/zinc/86/32/23/1119863223.db2.gz RCBKFRVCYFMIQO-NILFDRSVSA-N 1 2 283.375 3.665 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)c1 ZINC000636253450 1119863227 /nfs/dbraw/zinc/86/32/27/1119863227.db2.gz RCBKFRVCYFMIQO-NILFDRSVSA-N 1 2 283.375 3.665 20 0 CHADLO CC1(C)CC(CC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000431803220 1119904819 /nfs/dbraw/zinc/90/48/19/1119904819.db2.gz XEEJTOJYMLAKOA-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000613407706 1119918336 /nfs/dbraw/zinc/91/83/36/1119918336.db2.gz IVEOQABJPPVGLC-RDTXWAMCSA-N 1 2 285.362 3.960 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000613407706 1119918337 /nfs/dbraw/zinc/91/83/37/1119918337.db2.gz IVEOQABJPPVGLC-RDTXWAMCSA-N 1 2 285.362 3.960 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnc(C)o1)c1cc(F)ccc1F ZINC000414190555 1119933129 /nfs/dbraw/zinc/93/31/29/1119933129.db2.gz RIHZVBOKSCAGHH-CQSZACIVSA-N 1 2 266.291 3.502 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2nccc(C(F)(F)F)n2)c1 ZINC000414240488 1119952674 /nfs/dbraw/zinc/95/26/74/1119952674.db2.gz LXSDTYAWXDGNPC-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO COc1ccc(C[N@@H+]2CCCC[C@H](F)C2)c(Cl)c1 ZINC001140820513 1119964905 /nfs/dbraw/zinc/96/49/05/1119964905.db2.gz WIJOXXRAHKVXCD-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(C[N@H+]2CCCC[C@H](F)C2)c(Cl)c1 ZINC001140820513 1119964909 /nfs/dbraw/zinc/96/49/09/1119964909.db2.gz WIJOXXRAHKVXCD-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2c(s1)CCC2)c1csnn1 ZINC000414290621 1119968509 /nfs/dbraw/zinc/96/85/09/1119968509.db2.gz AFGIGDNCZFUDEJ-BDAKNGLRSA-N 1 2 279.434 3.500 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@@H]2[NH2+][C@@H](C)c1csnn1 ZINC000414290658 1119969165 /nfs/dbraw/zinc/96/91/65/1119969165.db2.gz AHKWVCBLVIJSHS-MDZLAQPJSA-N 1 2 273.405 3.746 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2cc(C)cc(C)c2)no1 ZINC000613529989 1119975835 /nfs/dbraw/zinc/97/58/35/1119975835.db2.gz SJNKXJAOJOOTOU-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2cc(C)cc(C)c2)no1 ZINC000613529989 1119975840 /nfs/dbraw/zinc/97/58/40/1119975840.db2.gz SJNKXJAOJOOTOU-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[NH2+][C@H](C)c1csnn1 ZINC000414312385 1119978606 /nfs/dbraw/zinc/97/86/06/1119978606.db2.gz ZWVXDPJBDMGDAF-RKDXNWHRSA-N 1 2 296.465 3.838 20 0 CHADLO COc1ccc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)c(C(F)(F)F)c1 ZINC001143472928 1119996602 /nfs/dbraw/zinc/99/66/02/1119996602.db2.gz IPBNFBPJWBRVBS-RNCFNFMXSA-N 1 2 291.288 3.504 20 0 CHADLO COc1ccc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)c(C(F)(F)F)c1 ZINC001143472928 1119996605 /nfs/dbraw/zinc/99/66/05/1119996605.db2.gz IPBNFBPJWBRVBS-RNCFNFMXSA-N 1 2 291.288 3.504 20 0 CHADLO Fc1ccc([C@H]2C[N@H+](Cc3ccccc3)CCO2)c(F)c1 ZINC000187252653 1120014864 /nfs/dbraw/zinc/01/48/64/1120014864.db2.gz YJXQGSQKCFQZMR-QGZVFWFLSA-N 1 2 289.325 3.538 20 0 CHADLO Fc1ccc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)c(F)c1 ZINC000187252653 1120014871 /nfs/dbraw/zinc/01/48/71/1120014871.db2.gz YJXQGSQKCFQZMR-QGZVFWFLSA-N 1 2 289.325 3.538 20 0 CHADLO Cc1occc1C[N@H+]1C[C@H](C)O[C@@H](c2ccsc2)C1 ZINC000414394162 1120017154 /nfs/dbraw/zinc/01/71/54/1120017154.db2.gz FEFGUPWZEKZLGH-XHDPSFHLSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1occc1C[N@@H+]1C[C@H](C)O[C@@H](c2ccsc2)C1 ZINC000414394162 1120017158 /nfs/dbraw/zinc/01/71/58/1120017158.db2.gz FEFGUPWZEKZLGH-XHDPSFHLSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1nc(N[C@H]2CC[C@H](OCCC(C)C)CC2)cc[nH+]1 ZINC000624559751 1120028260 /nfs/dbraw/zinc/02/82/60/1120028260.db2.gz XHOJGPTVOXTBLU-SHTZXODSSA-N 1 2 277.412 3.571 20 0 CHADLO CCCC(C)(C)CC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000782935245 1120082923 /nfs/dbraw/zinc/08/29/23/1120082923.db2.gz XUZOARLCYCZRFI-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO FC(F)(F)c1ccncc1C[N@@H+]1CCc2ccsc2C1 ZINC001140918373 1120091394 /nfs/dbraw/zinc/09/13/94/1120091394.db2.gz FXCQWBDAOOOVET-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1ccncc1C[N@H+]1CCc2ccsc2C1 ZINC001140918373 1120091397 /nfs/dbraw/zinc/09/13/97/1120091397.db2.gz FXCQWBDAOOOVET-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO C[C@@H](CN(C)C(=O)C[C@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000628981387 1128890017 /nfs/dbraw/zinc/89/00/17/1128890017.db2.gz NUYKUGJMLRSSQK-GJZGRUSLSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccccc2N2CCCC2)c(C)o1 ZINC000659809720 1120115925 /nfs/dbraw/zinc/11/59/25/1120115925.db2.gz HJKSWNHSGBUGTQ-UHFFFAOYSA-N 1 2 299.418 3.524 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccccc2N2CCCC2)c(C)o1 ZINC000659809720 1120115927 /nfs/dbraw/zinc/11/59/27/1120115927.db2.gz HJKSWNHSGBUGTQ-UHFFFAOYSA-N 1 2 299.418 3.524 20 0 CHADLO CCOc1ccc(F)c(F)c1C[NH+]1CCC(C)(F)CC1 ZINC001143486133 1120132585 /nfs/dbraw/zinc/13/25/85/1120132585.db2.gz YJOAOGJZYPFHBK-UHFFFAOYSA-N 1 2 287.325 3.688 20 0 CHADLO ClC(Cl)=CC[N@@H+]1CCC[C@@H]1c1ccncc1 ZINC000851871727 1120133937 /nfs/dbraw/zinc/13/39/37/1120133937.db2.gz TZFUHGWIIKZYHW-LLVKDONJSA-N 1 2 257.164 3.538 20 0 CHADLO ClC(Cl)=CC[N@H+]1CCC[C@@H]1c1ccncc1 ZINC000851871727 1120133939 /nfs/dbraw/zinc/13/39/39/1120133939.db2.gz TZFUHGWIIKZYHW-LLVKDONJSA-N 1 2 257.164 3.538 20 0 CHADLO Cc1cc(NCc2ccc(C)c(C)n2)nc(C(C)C)[nH+]1 ZINC000313796279 1120150678 /nfs/dbraw/zinc/15/06/78/1120150678.db2.gz FCLMCPQJRRGJEZ-UHFFFAOYSA-N 1 2 270.380 3.532 20 0 CHADLO CC(C)Oc1cc(N[C@@H]2CCNc3ccccc32)cc[nH+]1 ZINC001168646506 1120154579 /nfs/dbraw/zinc/15/45/79/1120154579.db2.gz RQWDGETUPGSVMS-MRXNPFEDSA-N 1 2 283.375 3.838 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cnc3ccsc3c2)cs1 ZINC000085433723 1120158581 /nfs/dbraw/zinc/15/85/81/1120158581.db2.gz IIWMGNYFLFPFNX-VIFPVBQESA-N 1 2 289.429 3.912 20 0 CHADLO Cc1cc(N[C@H](C)c2ncc[nH]2)c[nH+]c1N1CCCC[C@@H]1C ZINC000353686054 1120159689 /nfs/dbraw/zinc/15/96/89/1120159689.db2.gz JKUJBDTXPOVNET-UONOGXRCSA-N 1 2 299.422 3.665 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@H](C)c1ccc(Cl)s1 ZINC000924610653 1120163755 /nfs/dbraw/zinc/16/37/55/1120163755.db2.gz SHHOJQZNKHXFTO-RQJHMYQMSA-N 1 2 271.773 3.505 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](C)c2nc3ccccc3s2)c1 ZINC000328242975 1120169191 /nfs/dbraw/zinc/16/91/91/1120169191.db2.gz HQMKWQOLQNQAQV-GFCCVEGCSA-N 1 2 283.400 3.851 20 0 CHADLO COc1ccnc([C@H](C)[NH2+][C@H](C)c2cc(F)ccc2F)c1 ZINC000924612689 1120171487 /nfs/dbraw/zinc/17/14/87/1120171487.db2.gz JHNSCZLZGGVSLG-MNOVXSKESA-N 1 2 292.329 3.780 20 0 CHADLO CCOC(=O)CCCCCSc1[nH+]cc2ccccn21 ZINC000303718353 1120181134 /nfs/dbraw/zinc/18/11/34/1120181134.db2.gz VSPZNEJLMLPTQF-UHFFFAOYSA-N 1 2 292.404 3.550 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@@H+]2CC(=O)OC(C)(C)C)o1 ZINC000303801586 1120183918 /nfs/dbraw/zinc/18/39/18/1120183918.db2.gz WYTILQWFEWRSGW-AWEZNQCLSA-N 1 2 293.407 3.847 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@H+]2CC(=O)OC(C)(C)C)o1 ZINC000303801586 1120183920 /nfs/dbraw/zinc/18/39/20/1120183920.db2.gz WYTILQWFEWRSGW-AWEZNQCLSA-N 1 2 293.407 3.847 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C)o1)c1ccc(Cl)cc1 ZINC000175528432 1120184166 /nfs/dbraw/zinc/18/41/66/1120184166.db2.gz XHDUEZRPRYLBCO-ZDUSSCGKSA-N 1 2 264.756 3.877 20 0 CHADLO Nc1ccc(Cl)cc1Nc1[nH+]cccc1N1CCCC1 ZINC001212433806 1120191401 /nfs/dbraw/zinc/19/14/01/1120191401.db2.gz ILPLSKYDSYYINF-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO Cc1cc2cc(N[C@H]3CCCc4cccnc43)[nH+]cc2[nH]1 ZINC001168657287 1120191757 /nfs/dbraw/zinc/19/17/57/1120191757.db2.gz YQXJVTZRQUWPEF-AWEZNQCLSA-N 1 2 278.359 3.756 20 0 CHADLO CCc1cc(N[C@@H](CC)c2c(C)noc2C)nc(CC)[nH+]1 ZINC000892999879 1120192897 /nfs/dbraw/zinc/19/28/97/1120192897.db2.gz UFVKLBLOCCQPCR-ZDUSSCGKSA-N 1 2 288.395 3.769 20 0 CHADLO Cc1nnsc1C[N@@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000584533370 1120192951 /nfs/dbraw/zinc/19/29/51/1120192951.db2.gz XYZYISVBTYMIDI-LLVKDONJSA-N 1 2 287.388 3.786 20 0 CHADLO Cc1nnsc1C[N@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000584533370 1120192953 /nfs/dbraw/zinc/19/29/53/1120192953.db2.gz XYZYISVBTYMIDI-LLVKDONJSA-N 1 2 287.388 3.786 20 0 CHADLO CCOc1ccc(C[NH2+]C2(c3ccccc3F)CC2)o1 ZINC000892997963 1120193372 /nfs/dbraw/zinc/19/33/72/1120193372.db2.gz KRHIGRUQRHFNDC-UHFFFAOYSA-N 1 2 275.323 3.596 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(OC)c1F)c1nccs1 ZINC000165860071 1120204024 /nfs/dbraw/zinc/20/40/24/1120204024.db2.gz KEEOXPGVFVMURN-NSHDSACASA-N 1 2 280.368 3.532 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OC1CCC1)c1cscn1 ZINC000540169079 1120224769 /nfs/dbraw/zinc/22/47/69/1120224769.db2.gz JSCQTHKTBNYWBC-LBPRGKRZSA-N 1 2 288.416 3.925 20 0 CHADLO CC(C)([NH2+]Cc1cccc(Br)c1)C(F)F ZINC000308997562 1120226295 /nfs/dbraw/zinc/22/62/95/1120226295.db2.gz MZYCVNSARYCBEU-UHFFFAOYSA-N 1 2 278.140 3.582 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H]2CCCC[C@H]2C(F)(F)F)[nH]c1C ZINC000628290124 1120226315 /nfs/dbraw/zinc/22/63/15/1120226315.db2.gz VTDCIOFZOKSKNR-NEPJUHHUSA-N 1 2 289.345 3.579 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H]2CCCC[C@H]2C(F)(F)F)[nH]c1C ZINC000628290124 1120226317 /nfs/dbraw/zinc/22/63/17/1120226317.db2.gz VTDCIOFZOKSKNR-NEPJUHHUSA-N 1 2 289.345 3.579 20 0 CHADLO Cc1[nH]c(CN(C)[C@H]2CCCC[C@H]2C(F)(F)F)[nH+]c1C ZINC000628290124 1120226321 /nfs/dbraw/zinc/22/63/21/1120226321.db2.gz VTDCIOFZOKSKNR-NEPJUHHUSA-N 1 2 289.345 3.579 20 0 CHADLO CCOc1ccc(C[NH2+][C@H]2COc3ccc(Cl)cc32)o1 ZINC000893118937 1120228256 /nfs/dbraw/zinc/22/82/56/1120228256.db2.gz LEHUWFFQCLHUSM-ZDUSSCGKSA-N 1 2 293.750 3.555 20 0 CHADLO Cc1nc2[nH]ccc2c(NC2CC(C(C)(C)C)C2)[nH+]1 ZINC000338736053 1120230691 /nfs/dbraw/zinc/23/06/91/1120230691.db2.gz GKLIJUINKPIGFR-UHFFFAOYSA-N 1 2 258.369 3.503 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)C[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659875760 1120234785 /nfs/dbraw/zinc/23/47/85/1120234785.db2.gz YULQJLXUTQOFDS-ACJLOTCBSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)C[N@H+]1Cc1cc(C2CC2)no1 ZINC000659875760 1120234790 /nfs/dbraw/zinc/23/47/90/1120234790.db2.gz YULQJLXUTQOFDS-ACJLOTCBSA-N 1 2 298.386 3.514 20 0 CHADLO CC(C)c1cc(N2CC3(CC3(F)F)C2)nc(C(C)C)[nH+]1 ZINC000656204144 1120242258 /nfs/dbraw/zinc/24/22/58/1120242258.db2.gz FHQBJAAZNPCTBQ-UHFFFAOYSA-N 1 2 281.350 3.569 20 0 CHADLO C[C@H](Cc1ccsc1)Nc1cc2cc[nH]c2c[nH+]1 ZINC000672176380 1120250359 /nfs/dbraw/zinc/25/03/59/1120250359.db2.gz FFDJSERYONAOMN-SNVBAGLBSA-N 1 2 257.362 3.668 20 0 CHADLO CCO[C@H]1CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000672188560 1120251995 /nfs/dbraw/zinc/25/19/95/1120251995.db2.gz XBWPGFAWSAUDOA-LBPRGKRZSA-N 1 2 290.794 3.894 20 0 CHADLO CC[C@@H]1C[C@H](CNc2c[nH+]c3c(c2)CCCC3)CCO1 ZINC000542924742 1120254217 /nfs/dbraw/zinc/25/42/17/1120254217.db2.gz NHWOJIJCAJFGHP-CZUORRHYSA-N 1 2 274.408 3.578 20 0 CHADLO Cc1nc([C@@H]([NH2+][C@H](C)C(C)C)c2ccc(Cl)cc2)no1 ZINC000179893290 1120256858 /nfs/dbraw/zinc/25/68/58/1120256858.db2.gz DOAMEIASJHNVKB-YGRLFVJLSA-N 1 2 293.798 3.755 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1cc(C(=O)OC)cc2[nH+]c[nH]c21 ZINC001168691375 1120263082 /nfs/dbraw/zinc/26/30/82/1120263082.db2.gz UQSCXHOQFYALJY-QWRGUYRKSA-N 1 2 289.379 3.586 20 0 CHADLO CCc1cc(N2CCCC23CCCCC3)nc(C)[nH+]1 ZINC000542949065 1120276187 /nfs/dbraw/zinc/27/61/87/1120276187.db2.gz MNFZEZHPXYJTAH-UHFFFAOYSA-N 1 2 259.397 3.651 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1[nH+]cc(OC)c2cc[nH]c21 ZINC001168694943 1120277367 /nfs/dbraw/zinc/27/73/67/1120277367.db2.gz NWEBSTQZKPUMNM-WDEREUQCSA-N 1 2 261.369 3.808 20 0 CHADLO CC[N@H+](Cc1cc(OC)ccn1)Cc1ccccc1Cl ZINC000106933500 1120281596 /nfs/dbraw/zinc/28/15/96/1120281596.db2.gz NZVLVTWQXMSDJN-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1cc(OC)ccn1)Cc1ccccc1Cl ZINC000106933500 1120281598 /nfs/dbraw/zinc/28/15/98/1120281598.db2.gz NZVLVTWQXMSDJN-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cccc(F)c2Br)o1 ZINC000156746673 1120283836 /nfs/dbraw/zinc/28/38/36/1120283836.db2.gz QRXPIFMYJOWBSS-UHFFFAOYSA-N 1 2 298.155 3.779 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc(Br)cc2)o1 ZINC000181125285 1120289524 /nfs/dbraw/zinc/28/95/24/1120289524.db2.gz FROWUAHJBJSXMR-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO CC(C)Oc1ccc(-c2ccc3[nH+]ccn3c2)c(F)c1 ZINC001240218651 1120302718 /nfs/dbraw/zinc/30/27/18/1120302718.db2.gz HXQTZZJICPFOFZ-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO c1cn2cc(-c3ccccc3OC3CC3)ccc2[nH+]1 ZINC001240218592 1120303161 /nfs/dbraw/zinc/30/31/61/1120303161.db2.gz SVBCSLPIRCXIGG-UHFFFAOYSA-N 1 2 250.301 3.543 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1Cc1ccc(C)nc1C ZINC000339152740 1120304991 /nfs/dbraw/zinc/30/49/91/1120304991.db2.gz OIDFDPSIMSRCNI-KRWDZBQOSA-N 1 2 298.434 3.642 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1Cc1ccc(C)nc1C ZINC000339152740 1120304995 /nfs/dbraw/zinc/30/49/95/1120304995.db2.gz OIDFDPSIMSRCNI-KRWDZBQOSA-N 1 2 298.434 3.642 20 0 CHADLO C[C@H](CCCC(C)(C)O)Nc1ccc(-n2cccc2)c[nH+]1 ZINC001168698393 1120311953 /nfs/dbraw/zinc/31/19/53/1120311953.db2.gz KLLSEBVEYUCPFV-CQSZACIVSA-N 1 2 287.407 3.614 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C)C[C@H]2c2ccco2)c(C)o1 ZINC000659969737 1120315614 /nfs/dbraw/zinc/31/56/14/1120315614.db2.gz JOXNCWLBUBZXLV-NHYWBVRUSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccco2)c(C)o1 ZINC000659969737 1120315617 /nfs/dbraw/zinc/31/56/17/1120315617.db2.gz JOXNCWLBUBZXLV-NHYWBVRUSA-N 1 2 274.364 3.858 20 0 CHADLO CC[C@@H]1C[C@@H](C)C[N@@H+]1Cc1ncsc1Br ZINC000659975588 1120318846 /nfs/dbraw/zinc/31/88/46/1120318846.db2.gz XYRLSLRXIFCZRI-RKDXNWHRSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1C[C@@H](C)C[N@H+]1Cc1ncsc1Br ZINC000659975588 1120318853 /nfs/dbraw/zinc/31/88/53/1120318853.db2.gz XYRLSLRXIFCZRI-RKDXNWHRSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1cccnc1Cl ZINC000780387859 1120324875 /nfs/dbraw/zinc/32/48/75/1120324875.db2.gz WWHARPYAPSCDCQ-ONGXEEELSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1cccnc1Cl ZINC000780387859 1120324882 /nfs/dbraw/zinc/32/48/82/1120324882.db2.gz WWHARPYAPSCDCQ-ONGXEEELSA-N 1 2 292.732 3.898 20 0 CHADLO Fc1ccc2c(c1)CCC[C@H]2[NH2+][C@H]1CCCC1(F)F ZINC000672621971 1120335900 /nfs/dbraw/zinc/33/59/00/1120335900.db2.gz PTHDOFYSDVIIPF-KGLIPLIRSA-N 1 2 269.310 3.981 20 0 CHADLO Cc1nc(C[NH2+]C2(c3cccc(C(F)(F)F)c3)CC2)co1 ZINC000660065407 1120359553 /nfs/dbraw/zinc/35/95/53/1120359553.db2.gz WGASQVMDLAKQRS-UHFFFAOYSA-N 1 2 296.292 3.781 20 0 CHADLO Cc1ccc(CO[NH+]=C(N)Cc2cccc(F)c2)cc1C ZINC000783153314 1120372608 /nfs/dbraw/zinc/37/26/08/1120372608.db2.gz VKHYDQFCJUSUJU-UHFFFAOYSA-N 1 2 286.350 3.684 20 0 CHADLO CC[C@](C)([NH2+]Cc1cc(C2CC2)no1)c1nc(C)cs1 ZINC000660091630 1120373935 /nfs/dbraw/zinc/37/39/35/1120373935.db2.gz PPGGZOLNCGTEGK-HNNXBMFYSA-N 1 2 291.420 3.732 20 0 CHADLO CC[C@H](C)[C@H](C(=O)OCc1ccc[nH+]c1N)c1ccccc1 ZINC000922141423 1120382591 /nfs/dbraw/zinc/38/25/91/1120382591.db2.gz SPLKWLLLLUAJMA-BBRMVZONSA-N 1 2 298.386 3.537 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1nnc(C(C)C)o1 ZINC000114492999 1120385632 /nfs/dbraw/zinc/38/56/32/1120385632.db2.gz XGIHYMQCFODBMO-HNNXBMFYSA-N 1 2 285.391 3.702 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1nnc(C(C)C)o1 ZINC000114492999 1120385640 /nfs/dbraw/zinc/38/56/40/1120385640.db2.gz XGIHYMQCFODBMO-HNNXBMFYSA-N 1 2 285.391 3.702 20 0 CHADLO CC[C@H]1CN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CCCO1 ZINC000543068356 1120398892 /nfs/dbraw/zinc/39/88/92/1120398892.db2.gz XMHVBXYLNYCYGD-AWEZNQCLSA-N 1 2 291.439 3.729 20 0 CHADLO c1cc2c(s1)C[N@H+](Cc1nsc3ccccc31)CC2 ZINC000660149223 1120400317 /nfs/dbraw/zinc/40/03/17/1120400317.db2.gz GXMUGACSZNKBON-UHFFFAOYSA-N 1 2 286.425 3.916 20 0 CHADLO c1cc2c(s1)C[N@@H+](Cc1nsc3ccccc31)CC2 ZINC000660149223 1120400320 /nfs/dbraw/zinc/40/03/20/1120400320.db2.gz GXMUGACSZNKBON-UHFFFAOYSA-N 1 2 286.425 3.916 20 0 CHADLO CCc1ccc(C[NH2+]C2(c3noc(C)n3)CCCC2)s1 ZINC000066587042 1120401704 /nfs/dbraw/zinc/40/17/04/1120401704.db2.gz IRDQRXHJOQOHQC-UHFFFAOYSA-N 1 2 291.420 3.561 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@H+](Cc2cc(C(C)(C)C)on2)C1 ZINC000368594917 1120417234 /nfs/dbraw/zinc/41/72/34/1120417234.db2.gz UZTPOVNVMHUVHR-CZUORRHYSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@@H+](Cc2cc(C(C)(C)C)on2)C1 ZINC000368594917 1120417239 /nfs/dbraw/zinc/41/72/39/1120417239.db2.gz UZTPOVNVMHUVHR-CZUORRHYSA-N 1 2 299.418 3.950 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccccc2OC(C)C)co1 ZINC000660181275 1120421507 /nfs/dbraw/zinc/42/15/07/1120421507.db2.gz KARIJESMFLGPCC-LBPRGKRZSA-N 1 2 274.364 3.621 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1ccsc1Cl ZINC000682998062 1120433498 /nfs/dbraw/zinc/43/34/98/1120433498.db2.gz JUVCGGSVANXQHV-UHFFFAOYSA-N 1 2 261.818 3.648 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1ccsc1Cl ZINC000682998062 1120433501 /nfs/dbraw/zinc/43/35/01/1120433501.db2.gz JUVCGGSVANXQHV-UHFFFAOYSA-N 1 2 261.818 3.648 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cc(OC)no1)c1ccc(F)cc1F ZINC000660199997 1120435303 /nfs/dbraw/zinc/43/53/03/1120435303.db2.gz FWBMEVZWIMYEEL-CQSZACIVSA-N 1 2 296.317 3.592 20 0 CHADLO c1nc(CNc2[nH+]c3ccccc3n2C2CCCCC2)co1 ZINC000641777367 1120440167 /nfs/dbraw/zinc/44/01/67/1120440167.db2.gz ASPSHBFFMQZZCR-UHFFFAOYSA-N 1 2 296.374 3.564 20 0 CHADLO CCCCCC(C)(C)C(=O)NCc1c[nH+]c(C)cc1C ZINC000837105120 1120449369 /nfs/dbraw/zinc/44/93/69/1120449369.db2.gz QAKSFDNSGFUATM-UHFFFAOYSA-N 1 2 276.424 3.921 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1nc(C(C)(C)C)co1 ZINC000922193524 1120458459 /nfs/dbraw/zinc/45/84/59/1120458459.db2.gz DJFWUJBAPWZQTK-UHFFFAOYSA-N 1 2 298.390 3.954 20 0 CHADLO Cc1cc(NC(=O)C[C@H](C)c2ccc(F)cc2)cc[nH+]1 ZINC000080266160 1120464202 /nfs/dbraw/zinc/46/42/02/1120464202.db2.gz DSMUUPKBXDFAOI-NSHDSACASA-N 1 2 272.323 3.661 20 0 CHADLO CCCCOc1ccccc1NCc1c[nH+]cn1CC ZINC000417835243 1120485236 /nfs/dbraw/zinc/48/52/36/1120485236.db2.gz UDKFXJXOCBKGCS-UHFFFAOYSA-N 1 2 273.380 3.694 20 0 CHADLO C[C@H]1CC[N@H+](CCCCC2CCOCC2)CC1(F)F ZINC000499734798 1120495876 /nfs/dbraw/zinc/49/58/76/1120495876.db2.gz OEEUUFCWORHOOD-ZDUSSCGKSA-N 1 2 275.383 3.560 20 0 CHADLO C[C@H]1CC[N@@H+](CCCCC2CCOCC2)CC1(F)F ZINC000499734798 1120495879 /nfs/dbraw/zinc/49/58/79/1120495879.db2.gz OEEUUFCWORHOOD-ZDUSSCGKSA-N 1 2 275.383 3.560 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@@H]2c2ccccc2)cs1 ZINC000348540187 1120507172 /nfs/dbraw/zinc/50/71/72/1120507172.db2.gz FSAQIMNTJJRVAP-CQSZACIVSA-N 1 2 258.390 3.653 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@@H]2c2ccccc2)cs1 ZINC000348540187 1120507174 /nfs/dbraw/zinc/50/71/74/1120507174.db2.gz FSAQIMNTJJRVAP-CQSZACIVSA-N 1 2 258.390 3.653 20 0 CHADLO F[C@H]1CCc2ccccc2[C@H]1[NH2+]Cc1ccnn1C1CCC1 ZINC000922336565 1120520155 /nfs/dbraw/zinc/52/01/55/1120520155.db2.gz ZDOUEVFZVRBLPM-ZWKOTPCHSA-N 1 2 299.393 3.723 20 0 CHADLO CCc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1cocn1 ZINC000397870016 1120528967 /nfs/dbraw/zinc/52/89/67/1120528967.db2.gz FITFPAGCHRECBI-LLVKDONJSA-N 1 2 270.332 3.834 20 0 CHADLO COc1cncc(COc2cc(C)[nH+]c3c(C)cccc23)c1 ZINC000673546674 1120534795 /nfs/dbraw/zinc/53/47/95/1120534795.db2.gz XQFLZGWFMJYYQF-UHFFFAOYSA-N 1 2 294.354 3.834 20 0 CHADLO CC(C)CC1CC[NH+](Cc2c(F)ccc(O)c2F)CC1 ZINC001204640662 1120535855 /nfs/dbraw/zinc/53/58/55/1120535855.db2.gz QSJMUSQCICNMHY-UHFFFAOYSA-N 1 2 283.362 3.929 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000397969924 1120538340 /nfs/dbraw/zinc/53/83/40/1120538340.db2.gz ARRHEGCAIZJVGD-FZMZJTMJSA-N 1 2 269.335 3.924 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@H]1CCCC1(F)F)CC2 ZINC000397967965 1120538443 /nfs/dbraw/zinc/53/84/43/1120538443.db2.gz RYSVGEPSUJXXQF-KGLIPLIRSA-N 1 2 251.320 3.760 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ncccn2)C2CC2)c(Cl)c1 ZINC000922577179 1120554860 /nfs/dbraw/zinc/55/48/60/1120554860.db2.gz NBTVMRUFBFAUPG-OAHLLOKOSA-N 1 2 287.794 3.679 20 0 CHADLO c1sc(C2CC2)nc1C[NH2+][C@H](c1ccccn1)C1CC1 ZINC000922586888 1120558532 /nfs/dbraw/zinc/55/85/32/1120558532.db2.gz SUWKLVACBNZEGL-HNNXBMFYSA-N 1 2 285.416 3.656 20 0 CHADLO CC[C@H]([NH2+]Cc1csc(C)n1)c1cc(F)ccc1OC ZINC000922620794 1120564687 /nfs/dbraw/zinc/56/46/87/1120564687.db2.gz YDDNPSVDJDLQEQ-AWEZNQCLSA-N 1 2 294.395 3.840 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(OC)c(OC)c1 ZINC000398121896 1120571964 /nfs/dbraw/zinc/57/19/64/1120571964.db2.gz QPXCIBXTXNVSMO-SWLSCSKDSA-N 1 2 299.361 3.932 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)C2CCC(F)(F)CC2)c1 ZINC000652068231 1120577247 /nfs/dbraw/zinc/57/72/47/1120577247.db2.gz WOYYRTAZTVINHF-LLVKDONJSA-N 1 2 284.350 3.854 20 0 CHADLO Cc1ccccc1[C@@H](CC(F)(F)F)[NH2+]Cc1cn(C)cn1 ZINC000673607614 1120577853 /nfs/dbraw/zinc/57/78/53/1120577853.db2.gz KWIYPRALMWPWIB-CQSZACIVSA-N 1 2 297.324 3.512 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCCC[C@H]1c1ccc(F)cc1 ZINC000364392322 1120577981 /nfs/dbraw/zinc/57/79/81/1120577981.db2.gz RRTFBPBWRFOUFW-INIZCTEOSA-N 1 2 287.382 3.769 20 0 CHADLO CCn1ccnc1C[N@H+]1CCCC[C@H]1c1ccc(F)cc1 ZINC000364392322 1120577986 /nfs/dbraw/zinc/57/79/86/1120577986.db2.gz RRTFBPBWRFOUFW-INIZCTEOSA-N 1 2 287.382 3.769 20 0 CHADLO CCC(C)(CC)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000158720104 1120584287 /nfs/dbraw/zinc/58/42/87/1120584287.db2.gz KKVYWXMZOZDGML-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO COc1ccc2c(c1)[C@H]([NH2+][C@@H]1CCCC1(F)F)CCC2 ZINC000398197028 1120589855 /nfs/dbraw/zinc/58/98/55/1120589855.db2.gz OHKGVUFKLWGJEK-HUUCEWRRSA-N 1 2 281.346 3.850 20 0 CHADLO Cc1ccsc1-c1cccc(C[NH+]2CCOCC2)c1 ZINC000629714454 1128929856 /nfs/dbraw/zinc/92/98/56/1128929856.db2.gz HHMHAKBEECBYIT-UHFFFAOYSA-N 1 2 273.401 3.556 20 0 CHADLO Cc1oc(C)c(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1C ZINC000161773314 1120649448 /nfs/dbraw/zinc/64/94/48/1120649448.db2.gz CGCCWJYEJUECRY-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO CC(C)c1cccc(C[N@@H+]2CCOC[C@H]2CC(F)F)c1 ZINC000660545375 1120654990 /nfs/dbraw/zinc/65/49/90/1120654990.db2.gz GPXCTRXPBCBBST-OAHLLOKOSA-N 1 2 283.362 3.666 20 0 CHADLO CC(C)c1cccc(C[N@H+]2CCOC[C@H]2CC(F)F)c1 ZINC000660545375 1120654992 /nfs/dbraw/zinc/65/49/92/1120654992.db2.gz GPXCTRXPBCBBST-OAHLLOKOSA-N 1 2 283.362 3.666 20 0 CHADLO C[C@H](c1ccc2ccccc2c1)[N@@H+]1CCn2cncc2C1 ZINC000660578085 1120663764 /nfs/dbraw/zinc/66/37/64/1120663764.db2.gz JKBUKNKOKCIALK-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@H](c1ccc2ccccc2c1)[N@H+]1CCn2cncc2C1 ZINC000660578085 1120663765 /nfs/dbraw/zinc/66/37/65/1120663765.db2.gz JKBUKNKOKCIALK-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1ncccc1Cl ZINC000625314989 1120666376 /nfs/dbraw/zinc/66/63/76/1120666376.db2.gz RRPRYVLAZRRHOF-UWVGGRQHSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1ncccc1Cl ZINC000625314989 1120666379 /nfs/dbraw/zinc/66/63/79/1120666379.db2.gz RRPRYVLAZRRHOF-UWVGGRQHSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1nccs1 ZINC000086509437 1120666826 /nfs/dbraw/zinc/66/68/26/1120666826.db2.gz TXXNVQPPISZWLY-GFCCVEGCSA-N 1 2 273.405 3.916 20 0 CHADLO COCC[C@@H]([NH2+]Cc1cc(O)ccc1Cl)c1ccco1 ZINC000625319331 1120668042 /nfs/dbraw/zinc/66/80/42/1120668042.db2.gz MGWIWOPZDHFPRQ-CQSZACIVSA-N 1 2 295.766 3.506 20 0 CHADLO CC(C)([NH2+]Cc1cc(C2CC2)no1)c1ccccc1F ZINC000660613920 1120668563 /nfs/dbraw/zinc/66/85/63/1120668563.db2.gz CEPHWXDPGRLFGN-UHFFFAOYSA-N 1 2 274.339 3.716 20 0 CHADLO CNc1ccc(Nc2cnc(C(F)(F)F)cc2C)c[nH+]1 ZINC001203448657 1120685165 /nfs/dbraw/zinc/68/51/65/1120685165.db2.gz FABBHECFDHYVFF-UHFFFAOYSA-N 1 2 282.269 3.589 20 0 CHADLO C[N@H+](CC1CCCCCC1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660764393 1120686609 /nfs/dbraw/zinc/68/66/09/1120686609.db2.gz OIYDKKMTSFLFMG-QGZVFWFLSA-N 1 2 298.434 3.796 20 0 CHADLO C[N@@H+](CC1CCCCCC1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660764393 1120686611 /nfs/dbraw/zinc/68/66/11/1120686611.db2.gz OIYDKKMTSFLFMG-QGZVFWFLSA-N 1 2 298.434 3.796 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccsc2)C(C)(C)C)o1 ZINC000661135484 1120724712 /nfs/dbraw/zinc/72/47/12/1120724712.db2.gz GEFIRLNLEPZYHF-UHFFFAOYSA-N 1 2 264.394 3.845 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccsc2)C(C)(C)C)o1 ZINC000661135484 1120724718 /nfs/dbraw/zinc/72/47/18/1120724718.db2.gz GEFIRLNLEPZYHF-UHFFFAOYSA-N 1 2 264.394 3.845 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@@H+](Cc1cc3n(n1)CCC3)CC2 ZINC000661375288 1120758968 /nfs/dbraw/zinc/75/89/68/1120758968.db2.gz LFYUVCACAUEBRT-UHFFFAOYSA-N 1 2 295.430 3.511 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@H+](Cc1cc3n(n1)CCC3)CC2 ZINC000661375288 1120758972 /nfs/dbraw/zinc/75/89/72/1120758972.db2.gz LFYUVCACAUEBRT-UHFFFAOYSA-N 1 2 295.430 3.511 20 0 CHADLO Clc1cc(C[N@@H+]2CCOC3(C2)CCCCCC3)ccn1 ZINC000178414355 1120761987 /nfs/dbraw/zinc/76/19/87/1120761987.db2.gz SGLQIFFBKDMYCT-UHFFFAOYSA-N 1 2 294.826 3.660 20 0 CHADLO Clc1cc(C[N@H+]2CCOC3(C2)CCCCCC3)ccn1 ZINC000178414355 1120761989 /nfs/dbraw/zinc/76/19/89/1120761989.db2.gz SGLQIFFBKDMYCT-UHFFFAOYSA-N 1 2 294.826 3.660 20 0 CHADLO c1nc([C@@H](C2CCCCC2)[N@@H+](C2CC2)C2CCCC2)n[nH]1 ZINC000637899677 1129234990 /nfs/dbraw/zinc/23/49/90/1129234990.db2.gz KGXZXZLDKPFWRZ-MRXNPFEDSA-N 1 2 288.439 3.833 20 0 CHADLO C[C@H](c1ccccc1Cl)[NH+]1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000661552438 1120777207 /nfs/dbraw/zinc/77/72/07/1120777207.db2.gz QNLQXNXADPXEMQ-IJLUTSLNSA-N 1 2 265.784 3.558 20 0 CHADLO c1nc([C@@H](C2CCCCC2)[N@H+](C2CC2)C2CCCC2)n[nH]1 ZINC000637899677 1129234991 /nfs/dbraw/zinc/23/49/91/1129234991.db2.gz KGXZXZLDKPFWRZ-MRXNPFEDSA-N 1 2 288.439 3.833 20 0 CHADLO COc1c(F)cc(Nc2cccc3[nH+]c(C)cn32)cc1F ZINC001211672842 1120804030 /nfs/dbraw/zinc/80/40/30/1120804030.db2.gz QBKDWMVVKBMENT-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CC1(C)CO[C@@H](c2cccc(Cl)c2)C[N@@H+]1CCCF ZINC000661739849 1120805445 /nfs/dbraw/zinc/80/54/45/1120805445.db2.gz MTHMHRHBFYMWRA-CQSZACIVSA-N 1 2 285.790 3.852 20 0 CHADLO CC1(C)CO[C@@H](c2cccc(Cl)c2)C[N@H+]1CCCF ZINC000661739849 1120805446 /nfs/dbraw/zinc/80/54/46/1120805446.db2.gz MTHMHRHBFYMWRA-CQSZACIVSA-N 1 2 285.790 3.852 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cccc(F)c2F)c1 ZINC000652330755 1120828588 /nfs/dbraw/zinc/82/85/88/1120828588.db2.gz ONOVUSYPTAVMTI-JTQLQIEISA-N 1 2 278.302 3.679 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(OC)cc2F)c1 ZINC000652330845 1120828867 /nfs/dbraw/zinc/82/88/67/1120828867.db2.gz QPSPPOBGEGXNHR-NSHDSACASA-N 1 2 290.338 3.549 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cc(C)oc2C)c1 ZINC000652331145 1120829783 /nfs/dbraw/zinc/82/97/83/1120829783.db2.gz ZHSGCOXAUZIWDO-LLVKDONJSA-N 1 2 260.337 3.611 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2sccc2C)c1 ZINC000652331172 1120829967 /nfs/dbraw/zinc/82/99/67/1120829967.db2.gz ZVGHNTIHVUFWSH-NSHDSACASA-N 1 2 262.378 3.771 20 0 CHADLO CC[C@H](CC(=O)Nc1cccc2[nH+]ccn21)C(C)(C)C ZINC001126177546 1120841532 /nfs/dbraw/zinc/84/15/32/1120841532.db2.gz GNYIKXVGTIMWMQ-GFCCVEGCSA-N 1 2 273.380 3.735 20 0 CHADLO Cc1nc(NC(c2ccccc2)c2ccccc2)cc[nH+]1 ZINC000054935777 1120842991 /nfs/dbraw/zinc/84/29/91/1120842991.db2.gz PYWCDXGZFDDYCK-UHFFFAOYSA-N 1 2 275.355 3.987 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2C[C@@H](C)OC(C)(C)C2)c1 ZINC000511913232 1120844711 /nfs/dbraw/zinc/84/47/11/1120844711.db2.gz XBMKYQUVVCZVFB-GFCCVEGCSA-N 1 2 299.361 3.596 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2C[C@@H](C)OC(C)(C)C2)c1 ZINC000511913232 1120844715 /nfs/dbraw/zinc/84/47/15/1120844715.db2.gz XBMKYQUVVCZVFB-GFCCVEGCSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000248375820 1120876948 /nfs/dbraw/zinc/87/69/48/1120876948.db2.gz YDVGQHXLERMFCY-BXUZGUMPSA-N 1 2 287.325 3.877 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000248375820 1120876949 /nfs/dbraw/zinc/87/69/49/1120876949.db2.gz YDVGQHXLERMFCY-BXUZGUMPSA-N 1 2 287.325 3.877 20 0 CHADLO Fc1ccc(-c2c[nH+]c3n2CCCC3)c(Cl)c1 ZINC000630060993 1128947379 /nfs/dbraw/zinc/94/73/79/1128947379.db2.gz VHCBAKRFAFKWLQ-UHFFFAOYSA-N 1 2 250.704 3.679 20 0 CHADLO CC(C)(C)OC1CC([N@@H+]2CCc3c4ccccc4[nH]c3C2)C1 ZINC000336444193 1120910800 /nfs/dbraw/zinc/91/08/00/1120910800.db2.gz DPESIUUSJWILBH-UHFFFAOYSA-N 1 2 298.430 3.872 20 0 CHADLO CC(C)(C)OC1CC([N@H+]2CCc3c4ccccc4[nH]c3C2)C1 ZINC000336444193 1120910805 /nfs/dbraw/zinc/91/08/05/1120910805.db2.gz DPESIUUSJWILBH-UHFFFAOYSA-N 1 2 298.430 3.872 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CCCCO1 ZINC000063106046 1120926860 /nfs/dbraw/zinc/92/68/60/1120926860.db2.gz QUZNFEMCQHEPAO-CQSZACIVSA-N 1 2 256.349 3.524 20 0 CHADLO CC12CC(C1)C[N@@H+]2Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000662382714 1120932915 /nfs/dbraw/zinc/93/29/15/1120932915.db2.gz BQHLONOMASKQDZ-UHFFFAOYSA-N 1 2 296.201 3.625 20 0 CHADLO CC12CC(C1)C[N@H+]2Cc1cn2cc(Cl)cc(Cl)c2n1 ZINC000662382714 1120932919 /nfs/dbraw/zinc/93/29/19/1120932919.db2.gz BQHLONOMASKQDZ-UHFFFAOYSA-N 1 2 296.201 3.625 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@H+](Cc2nc(C(C)(C)C)co2)C1 ZINC000893571104 1120935662 /nfs/dbraw/zinc/93/56/62/1120935662.db2.gz JOOJBSRQIPAHIH-UKRRQHHQSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@@H+](Cc2nc(C(C)(C)C)co2)C1 ZINC000893571104 1120935667 /nfs/dbraw/zinc/93/56/67/1120935667.db2.gz JOOJBSRQIPAHIH-UKRRQHHQSA-N 1 2 299.418 3.950 20 0 CHADLO Fc1ccccc1-c1ccc(C[N@@H+]2CCO[C@H]3C[C@H]32)s1 ZINC000644838273 1120957616 /nfs/dbraw/zinc/95/76/16/1120957616.db2.gz ROWGEBMUUDCCHE-CABCVRRESA-N 1 2 289.375 3.527 20 0 CHADLO Fc1ccccc1-c1ccc(C[N@H+]2CCO[C@H]3C[C@H]32)s1 ZINC000644838273 1120957617 /nfs/dbraw/zinc/95/76/17/1120957617.db2.gz ROWGEBMUUDCCHE-CABCVRRESA-N 1 2 289.375 3.527 20 0 CHADLO C[C@H]([NH2+]Cc1cnc2ccccc2n1)c1c(F)cccc1F ZINC000314631770 1120968464 /nfs/dbraw/zinc/96/84/64/1120968464.db2.gz YLPPQWGCMVRQJN-NSHDSACASA-N 1 2 299.324 3.759 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1c(Cl)cccc1OC(F)F ZINC000644843660 1120972600 /nfs/dbraw/zinc/97/26/00/1120972600.db2.gz LFOGYHOPBJHJKS-SECBINFHSA-N 1 2 275.726 3.926 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1c(Cl)cccc1OC(F)F ZINC000644843660 1120972604 /nfs/dbraw/zinc/97/26/04/1120972604.db2.gz LFOGYHOPBJHJKS-SECBINFHSA-N 1 2 275.726 3.926 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC000094966273 1120982637 /nfs/dbraw/zinc/98/26/37/1120982637.db2.gz IRJIEFPDOLNYBI-VIFPVBQESA-N 1 2 293.436 3.896 20 0 CHADLO Cc1cn2c(cccc2Nc2cncc(C(F)(F)F)c2)[nH+]1 ZINC001174740640 1121019816 /nfs/dbraw/zinc/01/98/16/1121019816.db2.gz PVFRMWUATDUPNH-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO CSc1cc(Nc2ccc(OC(C)C)nc2)cc[nH+]1 ZINC001174763180 1121023430 /nfs/dbraw/zinc/02/34/30/1121023430.db2.gz ZHCPPJIMPLOEHN-UHFFFAOYSA-N 1 2 275.377 3.729 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)cc1F ZINC000674008914 1121037254 /nfs/dbraw/zinc/03/72/54/1121037254.db2.gz CSKILFJVMPQLLE-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)cc1F ZINC000674008914 1121037263 /nfs/dbraw/zinc/03/72/63/1121037263.db2.gz CSKILFJVMPQLLE-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H]1CCCN(CCCn2cc[nH+]c2)c2ccccc21 ZINC000348818715 1121064178 /nfs/dbraw/zinc/06/41/78/1121064178.db2.gz HFMWXAIYQYZEFE-HNNXBMFYSA-N 1 2 269.392 3.677 20 0 CHADLO c1cn2c(cccc2Nc2cccc3cccnc32)[nH+]1 ZINC001174855845 1121064544 /nfs/dbraw/zinc/06/45/44/1121064544.db2.gz KJBFXPQVBSFJMT-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO C[C@@H]1COC2(CCCC2)C[N@@H+]1Cc1ccsc1Cl ZINC001174854506 1121064581 /nfs/dbraw/zinc/06/45/81/1121064581.db2.gz QOSSUIUCJWFYGB-LLVKDONJSA-N 1 2 285.840 3.935 20 0 CHADLO C[C@@H]1COC2(CCCC2)C[N@H+]1Cc1ccsc1Cl ZINC001174854506 1121064588 /nfs/dbraw/zinc/06/45/88/1121064588.db2.gz QOSSUIUCJWFYGB-LLVKDONJSA-N 1 2 285.840 3.935 20 0 CHADLO C[N@@H+](Cc1csc(C2CCCC2)n1)C[C@@H]1CCCCO1 ZINC000344055407 1121068652 /nfs/dbraw/zinc/06/86/52/1121068652.db2.gz LUYGFVBHSGZMSZ-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO C[N@H+](Cc1csc(C2CCCC2)n1)C[C@@H]1CCCCO1 ZINC000344055407 1121068660 /nfs/dbraw/zinc/06/86/60/1121068660.db2.gz LUYGFVBHSGZMSZ-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H](C3CCCCC3)C2)no1 ZINC001174863549 1121071822 /nfs/dbraw/zinc/07/18/22/1121071822.db2.gz QMVNINCDQMJYSB-OAHLLOKOSA-N 1 2 291.439 3.985 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H](C3CCCCC3)C2)no1 ZINC001174863549 1121071831 /nfs/dbraw/zinc/07/18/31/1121071831.db2.gz QMVNINCDQMJYSB-OAHLLOKOSA-N 1 2 291.439 3.985 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(N(C)C)cc2)c1 ZINC001174878255 1121078187 /nfs/dbraw/zinc/07/81/87/1121078187.db2.gz HNCQPLFFHVAEMM-UHFFFAOYSA-N 1 2 255.365 3.844 20 0 CHADLO Cc1cc2[nH]c(C3C[C@@H](C)O[C@H](C)C3)[nH+]c2cc1C ZINC000724363329 1121080807 /nfs/dbraw/zinc/08/08/07/1121080807.db2.gz QJKLFSGOMIGUEE-VXGBXAGGSA-N 1 2 258.365 3.851 20 0 CHADLO C[C@H]([NH2+]Cc1ccno1)c1cccc(Cl)c1Cl ZINC000223834435 1121089245 /nfs/dbraw/zinc/08/92/45/1121089245.db2.gz UMGMLMHROUGDFN-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO CCOc1cc(Nc2ccc(COC)cc2)cc(C)[nH+]1 ZINC001174918643 1121093571 /nfs/dbraw/zinc/09/35/71/1121093571.db2.gz NYZIQGROZULCMH-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO COc1ccccc1/C=C/C[NH2+]C1(C(F)F)CCCC1 ZINC000674135398 1121093964 /nfs/dbraw/zinc/09/39/64/1121093964.db2.gz PQTDOCYETQGDCL-SOFGYWHQSA-N 1 2 281.346 3.876 20 0 CHADLO COCc1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001174919265 1121094418 /nfs/dbraw/zinc/09/44/18/1121094418.db2.gz DUMYLOSQMSABQY-UHFFFAOYSA-N 1 2 254.333 3.849 20 0 CHADLO COCc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1 ZINC001174918517 1121094673 /nfs/dbraw/zinc/09/46/73/1121094673.db2.gz HVIMYOHIUYSZOI-UHFFFAOYSA-N 1 2 287.750 3.878 20 0 CHADLO COCc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001174922283 1121095258 /nfs/dbraw/zinc/09/52/58/1121095258.db2.gz WUNGDPNBHQCEHQ-UHFFFAOYSA-N 1 2 279.343 3.762 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)c(OC)cc1F ZINC001174923937 1121096594 /nfs/dbraw/zinc/09/65/94/1121096594.db2.gz RWQLCRAKWUFIPT-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO COc1cc(Nc2ccc(C)c(N)c2)[nH+]cc1C(F)(F)F ZINC001174935443 1121103549 /nfs/dbraw/zinc/10/35/49/1121103549.db2.gz RZYWDTWVEJHZFX-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1nc(C(C)C)c(C)s1 ZINC000344155940 1121103815 /nfs/dbraw/zinc/10/38/15/1121103815.db2.gz RUQGBWQBDRCDRG-UHFFFAOYSA-N 1 2 264.394 3.766 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+]([C@@H](C)c1ccccn1)CC3 ZINC000674250092 1121106330 /nfs/dbraw/zinc/10/63/30/1121106330.db2.gz UXCKZVIUSKWWAJ-AWEZNQCLSA-N 1 2 291.398 3.991 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+]([C@@H](C)c1ccccn1)CC3 ZINC000674250092 1121106332 /nfs/dbraw/zinc/10/63/32/1121106332.db2.gz UXCKZVIUSKWWAJ-AWEZNQCLSA-N 1 2 291.398 3.991 20 0 CHADLO CC(=O)OCc1cccc(C)c1Nc1ccc(C)[nH+]c1C ZINC001212767659 1121107148 /nfs/dbraw/zinc/10/71/48/1121107148.db2.gz RSVVCXJVZWDRHW-UHFFFAOYSA-N 1 2 284.359 3.814 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1[nH+]c(C)nc3[nH]ccc31)CC2 ZINC000674336793 1121119723 /nfs/dbraw/zinc/11/97/23/1121119723.db2.gz AILBXARDURLNIM-OAHLLOKOSA-N 1 2 278.359 3.674 20 0 CHADLO COc1cc(F)cc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175009194 1121131464 /nfs/dbraw/zinc/13/14/64/1121131464.db2.gz IIQQFGOLMFTKRW-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO COc1cc(Nc2cc[nH+]c(SC)c2)ccc1C ZINC001175016371 1121135409 /nfs/dbraw/zinc/13/54/09/1121135409.db2.gz IJDMLTGSSRDRER-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ccc(F)c(F)c2)C[C@H](C)O1 ZINC000171966051 1121135584 /nfs/dbraw/zinc/13/55/84/1121135584.db2.gz DMBCVKQQVIJFPC-GMXVVIOVSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ccc(F)c(F)c2)C[C@H](C)O1 ZINC000171966051 1121135587 /nfs/dbraw/zinc/13/55/87/1121135587.db2.gz DMBCVKQQVIJFPC-GMXVVIOVSA-N 1 2 269.335 3.525 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(-c3ncco3)cc2F)C[C@H]1F ZINC000663691324 1121136254 /nfs/dbraw/zinc/13/62/54/1121136254.db2.gz JATBSWZTGVKVLK-XHDPSFHLSA-N 1 2 292.329 3.661 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(-c3ncco3)cc2F)C[C@H]1F ZINC000663691324 1121136257 /nfs/dbraw/zinc/13/62/57/1121136257.db2.gz JATBSWZTGVKVLK-XHDPSFHLSA-N 1 2 292.329 3.661 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(OC)c(F)c1F ZINC001175021265 1121138076 /nfs/dbraw/zinc/13/80/76/1121138076.db2.gz YQEVSHZOFYZPNR-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO COc1ccc(Nc2[nH+]cc(O)cc2C)cc1C(F)(F)F ZINC001175068308 1121148546 /nfs/dbraw/zinc/14/85/46/1121148546.db2.gz FLKXTOISTHOKMU-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO CCOc1cc(F)c(Nc2cc(C)[nH+]c(OC)c2)cc1F ZINC001175054719 1121163149 /nfs/dbraw/zinc/16/31/49/1121163149.db2.gz QJONLOUYPKSWGB-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO Oc1cc(F)c(Nc2cccc(-n3cc[nH+]c3)c2)c(F)c1 ZINC001175100536 1121164621 /nfs/dbraw/zinc/16/46/21/1121164621.db2.gz POEFEDUCRYIKFE-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO Oc1cc(F)c(Nc2ccc3c[nH+]ccc3c2)c(F)c1 ZINC001175100225 1121165144 /nfs/dbraw/zinc/16/51/44/1121165144.db2.gz KJAQDFVUAIQJGQ-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO CCCc1nc(C[N@@H+]2C[C@H](C)CC[C@H]2c2ccccc2)no1 ZINC000512197972 1121189044 /nfs/dbraw/zinc/18/90/44/1121189044.db2.gz RVDUOPDUAUEHHA-ZBFHGGJFSA-N 1 2 299.418 3.995 20 0 CHADLO CCCc1nc(C[N@H+]2C[C@H](C)CC[C@H]2c2ccccc2)no1 ZINC000512197972 1121189047 /nfs/dbraw/zinc/18/90/47/1121189047.db2.gz RVDUOPDUAUEHHA-ZBFHGGJFSA-N 1 2 299.418 3.995 20 0 CHADLO CCOc1ccc(C)cc1Nc1cc(OC)cc[nH+]1 ZINC001175122432 1121191807 /nfs/dbraw/zinc/19/18/07/1121191807.db2.gz ALUXKXZMSPGFCE-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO Cc1cn2cccc(Nc3c(F)cc(F)cc3F)c2[nH+]1 ZINC001175144948 1121201327 /nfs/dbraw/zinc/20/13/27/1121201327.db2.gz WOKATXPRYFCAGU-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO Fc1cc(Nc2cccn3cc[nH+]c23)ccc1Cl ZINC001175206256 1121209135 /nfs/dbraw/zinc/20/91/35/1121209135.db2.gz WLSAKCRPIORBRW-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CCOc1ccc(Nc2cccn3cc[nH+]c23)cc1C ZINC001175165846 1121211250 /nfs/dbraw/zinc/21/12/50/1121211250.db2.gz AFWDQSGYPNOIGG-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1cccc(CC2CN(c3cc(C)[nH+]c(C4CC4)n3)C2)c1 ZINC000675152720 1121222654 /nfs/dbraw/zinc/22/26/54/1121222654.db2.gz REMNBEWFPMPTJL-UHFFFAOYSA-N 1 2 293.414 3.650 20 0 CHADLO CCOc1cccc(F)c1Nc1cccc2[nH+]c(C)cn21 ZINC001175222438 1121229616 /nfs/dbraw/zinc/22/96/16/1121229616.db2.gz XZEWCXMNNZBYKF-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCOc1cccc(F)c1Nc1ccc(C)[nH+]c1C ZINC001175222141 1121229720 /nfs/dbraw/zinc/22/97/20/1121229720.db2.gz QJDDBJVKPRNQFN-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@]1(C)CCCO1 ZINC000094804810 1121230848 /nfs/dbraw/zinc/23/08/48/1121230848.db2.gz WHLJHUPNQHFSSS-MRXNPFEDSA-N 1 2 256.349 3.524 20 0 CHADLO CCOc1cccc(Nc2[nH+]c3ccccc3n2C)c1F ZINC001175234243 1121236589 /nfs/dbraw/zinc/23/65/89/1121236589.db2.gz KQRSRUPIJOGTEJ-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO C[C@H]1CCN(c2nccc3sccc32)C[C@H]1n1cc[nH+]c1 ZINC000675228907 1121236973 /nfs/dbraw/zinc/23/69/73/1121236973.db2.gz SXRHDLSSIJJTNG-GXTWGEPZSA-N 1 2 298.415 3.580 20 0 CHADLO CCOc1ccc(Nc2ccn3cc[nH+]c3c2)c(C)c1 ZINC001175243263 1121242887 /nfs/dbraw/zinc/24/28/87/1121242887.db2.gz WEOMHDASTBFIQV-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO Clc1ccc(C2([NH2+]Cc3nccs3)CC2)cc1 ZINC000112204028 1121251558 /nfs/dbraw/zinc/25/15/58/1121251558.db2.gz IEZSQFXZFVUOGA-UHFFFAOYSA-N 1 2 264.781 3.575 20 0 CHADLO CSc1cccc(Nc2cccn3cc[nH+]c23)c1 ZINC001175283704 1121252532 /nfs/dbraw/zinc/25/25/32/1121252532.db2.gz JAZMEALQMIZSMD-UHFFFAOYSA-N 1 2 255.346 3.800 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1ncc(C)s1 ZINC000122925950 1121253727 /nfs/dbraw/zinc/25/37/27/1121253727.db2.gz JZWVDZXSDNZLLU-GFCCVEGCSA-N 1 2 276.405 3.619 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](c2ccccc2C)C(C)C)no1 ZINC000112357806 1121254321 /nfs/dbraw/zinc/25/43/21/1121254321.db2.gz CBYXMFHVKWNPGM-INIZCTEOSA-N 1 2 273.380 3.516 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](c2ccccc2C)C(C)C)no1 ZINC000112357806 1121254328 /nfs/dbraw/zinc/25/43/28/1121254328.db2.gz CBYXMFHVKWNPGM-INIZCTEOSA-N 1 2 273.380 3.516 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccccc2C(F)(F)F)o1 ZINC000112534967 1121257343 /nfs/dbraw/zinc/25/73/43/1121257343.db2.gz NRUQBFTXGVXKGP-IUCAKERBSA-N 1 2 299.296 3.809 20 0 CHADLO COc1ccccc1CC(C)(C)C(=O)Nc1cc[nH+]c(C)c1 ZINC000112638942 1121258434 /nfs/dbraw/zinc/25/84/34/1121258434.db2.gz VIEGAZBOWPKMSM-UHFFFAOYSA-N 1 2 298.386 3.606 20 0 CHADLO Oc1ccc(F)c(C[NH2+]Cc2ccc(Cl)s2)c1F ZINC000509736781 1121266991 /nfs/dbraw/zinc/26/69/91/1121266991.db2.gz UCFCCRFFIREVJD-UHFFFAOYSA-N 1 2 289.734 3.675 20 0 CHADLO CCOc1cc(Nc2cc[nH+]c(SC)c2)ccc1OC ZINC001212541778 1121270044 /nfs/dbraw/zinc/27/00/44/1121270044.db2.gz WRXWMOWDXXXRTQ-UHFFFAOYSA-N 1 2 290.388 3.954 20 0 CHADLO Cc1cccc(Cl)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001175343135 1121272338 /nfs/dbraw/zinc/27/23/38/1121272338.db2.gz YAFJHIGWBSKCDG-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO CC(=O)c1cccc(Cl)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001175343279 1121272582 /nfs/dbraw/zinc/27/25/82/1121272582.db2.gz DRXHZVNZVJJDAE-UHFFFAOYSA-N 1 2 289.766 3.747 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)c[nH+]1)C1CCSCC1 ZINC000354180958 1121273930 /nfs/dbraw/zinc/27/39/30/1121273930.db2.gz KJWMNGPZAIAEEC-ZDUSSCGKSA-N 1 2 291.464 3.625 20 0 CHADLO CC(=O)c1ccc(Cl)c(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001175345596 1121274036 /nfs/dbraw/zinc/27/40/36/1121274036.db2.gz XUOGHDPFWGHCSV-UHFFFAOYSA-N 1 2 289.766 3.747 20 0 CHADLO CN(C)c1ccc(Nc2cccc3occc32)c[nH+]1 ZINC001175345836 1121274184 /nfs/dbraw/zinc/27/41/84/1121274184.db2.gz KIZFQETXVYIHFA-UHFFFAOYSA-N 1 2 253.305 3.637 20 0 CHADLO CN(C)c1ccc(Nc2cccc(C(F)(F)F)c2O)c[nH+]1 ZINC001175344003 1121274299 /nfs/dbraw/zinc/27/42/99/1121274299.db2.gz MRRFBKSMJFZHNI-UHFFFAOYSA-N 1 2 297.280 3.616 20 0 CHADLO CN(C)c1ccc(Nc2ccc(F)c3cccnc23)c[nH+]1 ZINC001175344341 1121274512 /nfs/dbraw/zinc/27/45/12/1121274512.db2.gz FKFRPTVTWHQOHI-UHFFFAOYSA-N 1 2 282.322 3.579 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2-c2cccnc2)c[nH+]1 ZINC001175344404 1121274578 /nfs/dbraw/zinc/27/45/78/1121274578.db2.gz SNHMPAYYBTXEBR-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO Cc1ccnc2c(Nc3ccc(N(C)C)[nH+]c3)cccc12 ZINC001175345587 1121274627 /nfs/dbraw/zinc/27/46/27/1121274627.db2.gz XAOFTUYHUXTYLC-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)cc(Cl)n1 ZINC001175347917 1121275944 /nfs/dbraw/zinc/27/59/44/1121275944.db2.gz XNMZZKOCWWPACS-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO CN(C)c1ccc(Nc2ccc(-c3ccccc3)nc2)c[nH+]1 ZINC001175338663 1121290726 /nfs/dbraw/zinc/29/07/26/1121290726.db2.gz JOHNTMWTVJCWFO-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CC(=O)c1ccc(C)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175350456 1121292046 /nfs/dbraw/zinc/29/20/46/1121292046.db2.gz YLLOQALJBIURND-UHFFFAOYSA-N 1 2 295.386 3.936 20 0 CHADLO Cc1cc(O)cc(C)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175351387 1121293511 /nfs/dbraw/zinc/29/35/11/1121293511.db2.gz KIHIXXKEQBBDJO-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO O=C1CCc2cc(Nc3ccc(N4CCCC4)[nH+]c3)ccc21 ZINC001175352583 1121294330 /nfs/dbraw/zinc/29/43/30/1121294330.db2.gz WVAFSCAZOVOVOA-UHFFFAOYSA-N 1 2 293.370 3.554 20 0 CHADLO c1cn(-c2ccc(Nc3cnc4cccnc4c3)cc2)c[nH+]1 ZINC001175355773 1121295566 /nfs/dbraw/zinc/29/55/66/1121295566.db2.gz AVXOBDHXMKEGKI-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO Cc1cc(C)c(Nc2ccc(-n3cccn3)cc2)c[nH+]1 ZINC001175368814 1121299379 /nfs/dbraw/zinc/29/93/79/1121299379.db2.gz KNAWYCJLRRGCOU-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1ccc2c(c1)[nH]cc2C1=CC[N@H+](Cc2cocn2)CC1 ZINC000432740538 1121306565 /nfs/dbraw/zinc/30/65/65/1121306565.db2.gz GCJSGUHXVZOSSU-UHFFFAOYSA-N 1 2 293.370 3.754 20 0 CHADLO Cc1ccc2c(c1)[nH]cc2C1=CC[N@@H+](Cc2cocn2)CC1 ZINC000432740538 1121306574 /nfs/dbraw/zinc/30/65/74/1121306574.db2.gz GCJSGUHXVZOSSU-UHFFFAOYSA-N 1 2 293.370 3.754 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)C12CCC2 ZINC000124701557 1121317140 /nfs/dbraw/zinc/31/71/40/1121317140.db2.gz UPLJJQLOUFYTID-IAGOWNOFSA-N 1 2 297.402 3.632 20 0 CHADLO Cn1ncc2c1cccc2Nc1ccccc1-n1cc[nH+]c1 ZINC001175434696 1121322884 /nfs/dbraw/zinc/32/28/84/1121322884.db2.gz GTMGGOOOALORGT-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cn1ncc2cccc(Nc3ccc(-n4cc[nH+]c4)cc3)c21 ZINC001175441974 1121325704 /nfs/dbraw/zinc/32/57/04/1121325704.db2.gz AYCBBAJGSQWDNO-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3n[nH]cc3c2C)[nH+]1 ZINC001175466556 1121331688 /nfs/dbraw/zinc/33/16/88/1121331688.db2.gz SWNHBLKKUCZPKT-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO c1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cc2c1CCN2 ZINC001213146075 1121347126 /nfs/dbraw/zinc/34/71/26/1121347126.db2.gz IRYJLUSUUJOHBT-UHFFFAOYSA-N 1 2 294.402 3.784 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2cn(C)nc21 ZINC001175458443 1121348405 /nfs/dbraw/zinc/34/84/05/1121348405.db2.gz WNTLHIXLBYPWRP-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO CN(Cc1cn2c([nH+]1)CCCC2)Cc1ccsc1Cl ZINC000432793348 1121359037 /nfs/dbraw/zinc/35/90/37/1121359037.db2.gz PPKGKRQQVLBNQK-UHFFFAOYSA-N 1 2 295.839 3.566 20 0 CHADLO COc1cc(C)cc(Nc2[nH+]cccc2N2CCCC2)c1 ZINC001211726427 1121370292 /nfs/dbraw/zinc/37/02/92/1121370292.db2.gz AETYSGNVSKJHDH-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO C[C@@H](CF)[NH2+]Cc1csc(-c2ccc(Cl)cc2)n1 ZINC000644892681 1121375406 /nfs/dbraw/zinc/37/54/06/1121375406.db2.gz BNVHFOHQWMSUMP-VIFPVBQESA-N 1 2 284.787 3.911 20 0 CHADLO CCCOc1cccc(Nc2cccn3cc[nH+]c23)c1 ZINC001175598525 1121380294 /nfs/dbraw/zinc/38/02/94/1121380294.db2.gz UBZATWYGGXXPHB-UHFFFAOYSA-N 1 2 267.332 3.867 20 0 CHADLO CC(C)(C)C=CNc1ccc(Cn2cc[nH+]c2)cc1 ZINC001175571278 1121392005 /nfs/dbraw/zinc/39/20/05/1121392005.db2.gz QFPUODJUESNBEE-CMDGGOBGSA-N 1 2 255.365 3.903 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(C(F)F)nc2)cs1 ZINC000631069908 1128982497 /nfs/dbraw/zinc/98/24/97/1128982497.db2.gz YAIWCVCUMQLNBK-SECBINFHSA-N 1 2 297.374 3.889 20 0 CHADLO COc1cc(Cl)c(NC2=CCC[N@H+](C)C2)c(Cl)c1 ZINC001175577591 1121397461 /nfs/dbraw/zinc/39/74/61/1121397461.db2.gz DGVAIDKOVCQNTO-UHFFFAOYSA-N 1 2 287.190 3.633 20 0 CHADLO COc1cc(Cl)c(NC2=CCC[N@@H+](C)C2)c(Cl)c1 ZINC001175577591 1121397472 /nfs/dbraw/zinc/39/74/72/1121397472.db2.gz DGVAIDKOVCQNTO-UHFFFAOYSA-N 1 2 287.190 3.633 20 0 CHADLO Clc1cc(Nc2cccc3c[nH]nc32)cn2cc[nH+]c12 ZINC001175636128 1121403272 /nfs/dbraw/zinc/40/32/72/1121403272.db2.gz ZYHFQNUIWPVFGL-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO Cc1cc2ccccc2nc1N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000676079169 1121405030 /nfs/dbraw/zinc/40/50/30/1121405030.db2.gz FLCLGPBFZUWOIK-MRXNPFEDSA-N 1 2 292.386 3.581 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(SC)nc1 ZINC001175653171 1121410974 /nfs/dbraw/zinc/41/09/74/1121410974.db2.gz OQUIPAZYAWWMJB-UHFFFAOYSA-N 1 2 259.378 3.813 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCOc3c(F)cccc32)sc1C ZINC000893912164 1121438748 /nfs/dbraw/zinc/43/87/48/1121438748.db2.gz ZKAGGETVTDEXLA-ZDUSSCGKSA-N 1 2 292.379 3.512 20 0 CHADLO COc1ccc(C[N@H+](Cc2nc(C(C)C)c[nH]2)C2CC2)cc1 ZINC000893911205 1121439540 /nfs/dbraw/zinc/43/95/40/1121439540.db2.gz SDHMNDXMZMNUKN-UHFFFAOYSA-N 1 2 299.418 3.706 20 0 CHADLO COc1ccc(C[N@@H+](Cc2nc(C(C)C)c[nH]2)C2CC2)cc1 ZINC000893911205 1121439542 /nfs/dbraw/zinc/43/95/42/1121439542.db2.gz SDHMNDXMZMNUKN-UHFFFAOYSA-N 1 2 299.418 3.706 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2CCC[C@@H]2c2ccccc2C)c1C ZINC000893943655 1121443287 /nfs/dbraw/zinc/44/32/87/1121443287.db2.gz AYXVGIGDKCPSMP-QGZVFWFLSA-N 1 2 269.392 3.672 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CCC[C@@H]2c2ccccc2C)c1C ZINC000893943655 1121443290 /nfs/dbraw/zinc/44/32/90/1121443290.db2.gz AYXVGIGDKCPSMP-QGZVFWFLSA-N 1 2 269.392 3.672 20 0 CHADLO CSC[C@@H]1CCCN(c2cc(C)[nH+]c(C3CCC3)n2)C1 ZINC000893964824 1121447620 /nfs/dbraw/zinc/44/76/20/1121447620.db2.gz FDKNHZKOCMAHKG-CYBMUJFWSA-N 1 2 291.464 3.632 20 0 CHADLO Cc1cscc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001175765159 1121458177 /nfs/dbraw/zinc/45/81/77/1121458177.db2.gz GKRYIVXNNLJBRR-UHFFFAOYSA-N 1 2 255.346 3.986 20 0 CHADLO F[C@@H]1CCC[N@H+](CC2(c3ccccc3)CC2)CC1(F)F ZINC001207832303 1121460212 /nfs/dbraw/zinc/46/02/12/1121460212.db2.gz ZAICSYGICPDHRR-CQSZACIVSA-N 1 2 283.337 3.787 20 0 CHADLO F[C@@H]1CCC[N@@H+](CC2(c3ccccc3)CC2)CC1(F)F ZINC001207832303 1121460214 /nfs/dbraw/zinc/46/02/14/1121460214.db2.gz ZAICSYGICPDHRR-CQSZACIVSA-N 1 2 283.337 3.787 20 0 CHADLO Cc1coc(C[N@H+]2C[C@@H](C)O[C@H](c3ccsc3)C2)c1 ZINC000894072330 1121466494 /nfs/dbraw/zinc/46/64/94/1121466494.db2.gz OPRCYGSVBGOETK-DOMZBBRYSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1coc(C[N@@H+]2C[C@@H](C)O[C@H](c3ccsc3)C2)c1 ZINC000894072330 1121466498 /nfs/dbraw/zinc/46/64/98/1121466498.db2.gz OPRCYGSVBGOETK-DOMZBBRYSA-N 1 2 277.389 3.612 20 0 CHADLO CCCn1nc(C)cc1Nc1c(C)cc[nH+]c1CC ZINC001175803235 1121473090 /nfs/dbraw/zinc/47/30/90/1121473090.db2.gz HMRGJKWBINRMBL-UHFFFAOYSA-N 1 2 258.369 3.611 20 0 CHADLO c1cn2c(cccc2Nc2cnn(C3CCCCC3)c2)[nH+]1 ZINC001175880346 1121476066 /nfs/dbraw/zinc/47/60/66/1121476066.db2.gz ZYGFAONBXZFBIG-UHFFFAOYSA-N 1 2 281.363 3.780 20 0 CHADLO CO[C@H]1C[N@H+](Cc2csc(Cl)c2Cl)CC[C@@H]1C ZINC000432917561 1121481580 /nfs/dbraw/zinc/48/15/80/1121481580.db2.gz LMRNIGGORKTJEO-WPRPVWTQSA-N 1 2 294.247 3.912 20 0 CHADLO CO[C@H]1C[N@@H+](Cc2csc(Cl)c2Cl)CC[C@@H]1C ZINC000432917561 1121481581 /nfs/dbraw/zinc/48/15/81/1121481581.db2.gz LMRNIGGORKTJEO-WPRPVWTQSA-N 1 2 294.247 3.912 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1c(F)cccc1Br ZINC000429275791 1121498933 /nfs/dbraw/zinc/49/89/33/1121498933.db2.gz QYTBZQFESXDVII-VIFPVBQESA-N 1 2 272.161 3.573 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1c(F)cccc1Br ZINC000429275791 1121498936 /nfs/dbraw/zinc/49/89/36/1121498936.db2.gz QYTBZQFESXDVII-VIFPVBQESA-N 1 2 272.161 3.573 20 0 CHADLO Cc1cc(Nc2cccc(C3CC3)[nH+]2)cc(C)c1N ZINC001175933076 1121499895 /nfs/dbraw/zinc/49/98/95/1121499895.db2.gz UEBBFHRFNUMMQV-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO CC[C@H](C[N@@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1)OC ZINC000419225616 1121505423 /nfs/dbraw/zinc/50/54/23/1121505423.db2.gz PMDGMQCVFOHSHO-GFCCVEGCSA-N 1 2 292.810 3.604 20 0 CHADLO CC[C@H](C[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1)OC ZINC000419225616 1121505432 /nfs/dbraw/zinc/50/54/32/1121505432.db2.gz PMDGMQCVFOHSHO-GFCCVEGCSA-N 1 2 292.810 3.604 20 0 CHADLO Nc1ccc(-c2cc3ccccc3n2-c2[nH]cc[nH+]2)cc1 ZINC001176085623 1121534738 /nfs/dbraw/zinc/53/47/38/1121534738.db2.gz UKLGNLFZJFXURP-UHFFFAOYSA-N 1 2 274.327 3.603 20 0 CHADLO CCC[N@H+](Cc1ccc(F)cc1)[C@@H](C)c1nnc(CC)o1 ZINC000411197639 1121539680 /nfs/dbraw/zinc/53/96/80/1121539680.db2.gz DLAYAAAVSUQSAS-LBPRGKRZSA-N 1 2 291.370 3.744 20 0 CHADLO CCC[N@@H+](Cc1ccc(F)cc1)[C@@H](C)c1nnc(CC)o1 ZINC000411197639 1121539684 /nfs/dbraw/zinc/53/96/84/1121539684.db2.gz DLAYAAAVSUQSAS-LBPRGKRZSA-N 1 2 291.370 3.744 20 0 CHADLO CC(C)c1cnc(C[NH2+]Cc2cccc(F)c2F)s1 ZINC000631205554 1128992335 /nfs/dbraw/zinc/99/23/35/1128992335.db2.gz CPZVYRZTRZVJFV-UHFFFAOYSA-N 1 2 282.359 3.835 20 0 CHADLO Fc1ccccc1CC[NH2+][C@@H](c1ccccc1)C(F)F ZINC000433059118 1121544079 /nfs/dbraw/zinc/54/40/79/1121544079.db2.gz MFISYLYATUPTIE-HNNXBMFYSA-N 1 2 279.305 3.964 20 0 CHADLO CCN(C)c1ccc(Nc2cc3c(cccc3OC)[nH]2)c[nH+]1 ZINC001176061919 1121544832 /nfs/dbraw/zinc/54/48/32/1121544832.db2.gz PFDFTKQAYACGFT-UHFFFAOYSA-N 1 2 296.374 3.771 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)cc(Cl)n1 ZINC001176116452 1121556189 /nfs/dbraw/zinc/55/61/89/1121556189.db2.gz FCDHOYLWMIHUJT-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO COc1cc[nH+]cc1Nc1nc(C)c(Cl)cc1Cl ZINC001176116740 1121556402 /nfs/dbraw/zinc/55/64/02/1121556402.db2.gz KTNVOOLFXLGQRH-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO CCCc1nc2ccc(Nc3c[nH+]ccc3OC)cc2o1 ZINC001176120406 1121556494 /nfs/dbraw/zinc/55/64/94/1121556494.db2.gz AGSXJWVNFKRRDY-UHFFFAOYSA-N 1 2 283.331 3.928 20 0 CHADLO CC[C@H](COc1cccc(-n2cc[nH+]c2)c1)CC(F)F ZINC000663979474 1121556728 /nfs/dbraw/zinc/55/67/28/1121556728.db2.gz JICSTTNCWYLIIV-LBPRGKRZSA-N 1 2 280.318 3.933 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(-c2ccccc2)n1 ZINC001176118486 1121557398 /nfs/dbraw/zinc/55/73/98/1121557398.db2.gz LRIOSXDFUCQWAZ-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1-c1cccnc1 ZINC001176124099 1121558149 /nfs/dbraw/zinc/55/81/49/1121558149.db2.gz NKFTUDYHWJFQQM-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(OC)c(Cl)cc1OC ZINC001176121753 1121558370 /nfs/dbraw/zinc/55/83/70/1121558370.db2.gz OBOMBCMCNAAKFE-UHFFFAOYSA-N 1 2 294.738 3.504 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1-n1cccc1 ZINC001176123491 1121559119 /nfs/dbraw/zinc/55/91/19/1121559119.db2.gz WFDCGZJQBXSJAU-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO Cc1nocc1COc1cc(C)[nH+]c2c(C)cccc12 ZINC000664001455 1121567459 /nfs/dbraw/zinc/56/74/59/1121567459.db2.gz MBRZLANOUHWVPV-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)c3ccoc3)cc2)[nH+]c1C ZINC001176210492 1121575776 /nfs/dbraw/zinc/57/57/76/1121575776.db2.gz HXBXVALALVGNNS-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Fc1cccc2c1N(CCCn1cc[nH+]c1)CC21CCCC1 ZINC000433156580 1121597230 /nfs/dbraw/zinc/59/72/30/1121597230.db2.gz CESQFYIHYUMBGZ-UHFFFAOYSA-N 1 2 299.393 3.744 20 0 CHADLO COc1cccc(C[NH2+][C@H](CF)c2ccc(F)cc2)c1 ZINC000631280242 1128996347 /nfs/dbraw/zinc/99/63/47/1128996347.db2.gz HVMDDRMORPAFFT-MRXNPFEDSA-N 1 2 277.314 3.635 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccs2)nc2ccccc12 ZINC000073881388 1121603140 /nfs/dbraw/zinc/60/31/40/1121603140.db2.gz IUBCXBSHMCTHGK-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccs2)nc2ccccc12 ZINC000073881388 1121603143 /nfs/dbraw/zinc/60/31/43/1121603143.db2.gz IUBCXBSHMCTHGK-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1[nH+]c2cc(OCc3csc(C4CC4)n3)ccc2n1C ZINC000664046566 1121606216 /nfs/dbraw/zinc/60/62/16/1121606216.db2.gz HBQAYYPABNIKNT-UHFFFAOYSA-N 1 2 299.399 3.795 20 0 CHADLO CC[C@H](C[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C)OC ZINC000419259975 1121608251 /nfs/dbraw/zinc/60/82/51/1121608251.db2.gz PURCOYZBPQUEHT-DAXOMENPSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C)OC ZINC000419259975 1121608252 /nfs/dbraw/zinc/60/82/52/1121608252.db2.gz PURCOYZBPQUEHT-DAXOMENPSA-N 1 2 297.826 3.527 20 0 CHADLO Cc1ccc2c(Nc3ccc4c(c3)CC(=O)N4)cccc2[nH+]1 ZINC001176430477 1121620289 /nfs/dbraw/zinc/62/02/89/1121620289.db2.gz GTSBTRLQTSFVLW-UHFFFAOYSA-N 1 2 289.338 3.781 20 0 CHADLO CCCOc1cccc2c(NCCSC)cc[nH+]c12 ZINC000619586066 1128997859 /nfs/dbraw/zinc/99/78/59/1128997859.db2.gz HBABSKQGRQYKOY-UHFFFAOYSA-N 1 2 276.405 3.799 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)[nH]1 ZINC000411812841 1121627526 /nfs/dbraw/zinc/62/75/26/1121627526.db2.gz AFLGKPGKSOHUOC-CJNGLKHVSA-N 1 2 296.418 3.791 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)[nH]1 ZINC000411812841 1121627527 /nfs/dbraw/zinc/62/75/27/1121627527.db2.gz AFLGKPGKSOHUOC-CJNGLKHVSA-N 1 2 296.418 3.791 20 0 CHADLO FC[C@H]1C[N@H+](Cc2c(Cl)cccc2Cl)CCCO1 ZINC000411845872 1121627625 /nfs/dbraw/zinc/62/76/25/1121627625.db2.gz FFIVGBJEVGTIHY-JTQLQIEISA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@H]1C[N@@H+](Cc2c(Cl)cccc2Cl)CCCO1 ZINC000411845872 1121627626 /nfs/dbraw/zinc/62/76/26/1121627626.db2.gz FFIVGBJEVGTIHY-JTQLQIEISA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@@H]1C[N@H+](Cc2cc(Cl)ccc2Cl)CCCO1 ZINC000411864514 1121628787 /nfs/dbraw/zinc/62/87/87/1121628787.db2.gz VARUYIUMBNOOJW-GFCCVEGCSA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@@H]1C[N@@H+](Cc2cc(Cl)ccc2Cl)CCCO1 ZINC000411864514 1121628790 /nfs/dbraw/zinc/62/87/90/1121628790.db2.gz VARUYIUMBNOOJW-GFCCVEGCSA-N 1 2 292.181 3.554 20 0 CHADLO C[C@@H]([NH2+]C(c1cccs1)c1cccs1)c1ncc[nH]1 ZINC000138980964 1121630160 /nfs/dbraw/zinc/63/01/60/1121630160.db2.gz XOGCOWPBEPJFEM-SNVBAGLBSA-N 1 2 289.429 3.973 20 0 CHADLO CCOc1cc(Nc2ccccc2-n2cc[nH+]c2)c(F)cn1 ZINC001176602993 1121668869 /nfs/dbraw/zinc/66/88/69/1121668869.db2.gz FXERKHKMGCOAOU-UHFFFAOYSA-N 1 2 298.321 3.549 20 0 CHADLO CCOc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)c(F)cn1 ZINC001176603892 1121669913 /nfs/dbraw/zinc/66/99/13/1121669913.db2.gz YLRYBVJQRYYXLS-UHFFFAOYSA-N 1 2 298.321 3.549 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(C2CC2)cc1)c1csnn1 ZINC000419343305 1121671432 /nfs/dbraw/zinc/67/14/32/1121671432.db2.gz QQMUVYFXTSOTKI-QWRGUYRKSA-N 1 2 273.405 3.827 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2ccsc2)nc(C)[nH+]1 ZINC000272920638 1121673607 /nfs/dbraw/zinc/67/36/07/1121673607.db2.gz SVOXNIPJAUGSMB-AWEZNQCLSA-N 1 2 273.405 3.750 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1scnc1C1CC1)CC2 ZINC000494961850 1121675499 /nfs/dbraw/zinc/67/54/99/1121675499.db2.gz SIJSAERSOABZHX-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1scnc1C1CC1)CC2 ZINC000494961850 1121675504 /nfs/dbraw/zinc/67/55/04/1121675504.db2.gz SIJSAERSOABZHX-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO CCCCc1nc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2)no1 ZINC000513265490 1121676666 /nfs/dbraw/zinc/67/66/66/1121676666.db2.gz FLYUDUXWXDOPGA-HOCLYGCPSA-N 1 2 299.418 3.995 20 0 CHADLO CCCCc1nc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2)no1 ZINC000513265490 1121676667 /nfs/dbraw/zinc/67/66/67/1121676667.db2.gz FLYUDUXWXDOPGA-HOCLYGCPSA-N 1 2 299.418 3.995 20 0 CHADLO CCCc1noc(C[N@H+](Cc2ccco2)C2CCCC2)n1 ZINC000052076723 1121677921 /nfs/dbraw/zinc/67/79/21/1121677921.db2.gz VSCGEQDYDWCQFB-UHFFFAOYSA-N 1 2 289.379 3.560 20 0 CHADLO CCCc1noc(C[N@@H+](Cc2ccco2)C2CCCC2)n1 ZINC000052076723 1121677924 /nfs/dbraw/zinc/67/79/24/1121677924.db2.gz VSCGEQDYDWCQFB-UHFFFAOYSA-N 1 2 289.379 3.560 20 0 CHADLO CC(=O)c1ccsc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176749361 1121686128 /nfs/dbraw/zinc/68/61/28/1121686128.db2.gz DVNYKKFWJLAOAV-UHFFFAOYSA-N 1 2 287.388 3.690 20 0 CHADLO Fc1c(Cl)nccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176749406 1121686967 /nfs/dbraw/zinc/68/69/67/1121686967.db2.gz BOWSSRMTUNFVBT-UHFFFAOYSA-N 1 2 292.745 3.613 20 0 CHADLO CCCCN(C(=O)CCc1c[nH]c[nH+]1)[C@H](C)c1ccccc1 ZINC000279601848 1121710203 /nfs/dbraw/zinc/71/02/03/1121710203.db2.gz DQNDTFYIWGOXEF-OAHLLOKOSA-N 1 2 299.418 3.732 20 0 CHADLO CCCCN(C(=O)CCc1c[nH+]c[nH]1)[C@H](C)c1ccccc1 ZINC000279601848 1121710209 /nfs/dbraw/zinc/71/02/09/1121710209.db2.gz DQNDTFYIWGOXEF-OAHLLOKOSA-N 1 2 299.418 3.732 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1cc(C)on1 ZINC000727433339 1121718666 /nfs/dbraw/zinc/71/86/66/1121718666.db2.gz SKFRLUPTOQCOMI-UHFFFAOYSA-N 1 2 284.363 3.593 20 0 CHADLO Brc1ccc2c(c1)[C@@H](Oc1cc[nH+]cc1)CC2 ZINC001225291286 1121722808 /nfs/dbraw/zinc/72/28/08/1121722808.db2.gz RKDJHUMHPADWMP-AWEZNQCLSA-N 1 2 290.160 3.910 20 0 CHADLO Cc1cc(CNc2cn(C)nc2C(C)C)c2c([nH+]1)CCCC2 ZINC000894193683 1121729255 /nfs/dbraw/zinc/72/92/55/1121729255.db2.gz GPOXOQGLWYRFRA-UHFFFAOYSA-N 1 2 298.434 3.738 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc(COC(C)C)cc1 ZINC001177454376 1121730880 /nfs/dbraw/zinc/73/08/80/1121730880.db2.gz QDPVYOLDZKPTNB-UHFFFAOYSA-N 1 2 287.407 3.830 20 0 CHADLO Cc1nc2ccc(NCc3cc(C)[nH+]c(C)c3)cc2o1 ZINC001178042516 1121764867 /nfs/dbraw/zinc/76/48/67/1121764867.db2.gz VYORDSWULACPQG-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO CC[C@@H](C)Oc1cc(C)ccc1C[NH2+][C@H](C)c1ncc[nH]1 ZINC000286167742 1121766047 /nfs/dbraw/zinc/76/60/47/1121766047.db2.gz QFRUGRNNFFIWCX-ZIAGYGMSSA-N 1 2 287.407 3.746 20 0 CHADLO c1cn(-c2cccc(NCc3nc4ccccc4o3)c2)c[nH+]1 ZINC001178132346 1121769728 /nfs/dbraw/zinc/76/97/28/1121769728.db2.gz YHUJTJXXNKSRIC-UHFFFAOYSA-N 1 2 290.326 3.626 20 0 CHADLO Cc1ccc(NCc2ncc(C(C)C)s2)c(C)[nH+]1 ZINC001178259279 1121778174 /nfs/dbraw/zinc/77/81/74/1121778174.db2.gz BMPNOXRWHIIIFW-UHFFFAOYSA-N 1 2 261.394 3.890 20 0 CHADLO CCS[C@@H]1CCCC[C@@H]1Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000433792010 1121810519 /nfs/dbraw/zinc/81/05/19/1121810519.db2.gz KXQSENGTJTZBAG-QWHCGFSZSA-N 1 2 290.436 3.743 20 0 CHADLO C[C@@H]1C[C@@H](Nc2cc[nH+]c3c(Cl)cccc23)c2ncnn21 ZINC000664185669 1121815887 /nfs/dbraw/zinc/81/58/87/1121815887.db2.gz KHXFSOCCCZDDOV-NOZJJQNGSA-N 1 2 299.765 3.598 20 0 CHADLO CCc1cc(OC)ccc1C[NH2+][C@@H]1CCCC1(F)F ZINC001178859973 1121828052 /nfs/dbraw/zinc/82/80/52/1121828052.db2.gz OFCGIHMNUBGAIU-CQSZACIVSA-N 1 2 269.335 3.535 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H](C)c1cc(C)ccc1OC ZINC000414132831 1121831121 /nfs/dbraw/zinc/83/11/21/1121831121.db2.gz AAIYUYYCCLRJMF-KGLIPLIRSA-N 1 2 287.407 3.632 20 0 CHADLO c1cc2cc(NCc3ccc4scnc4c3)[nH+]cc2[nH]1 ZINC001179044617 1121841118 /nfs/dbraw/zinc/84/11/18/1121841118.db2.gz LIZQHHCPXPWBMN-UHFFFAOYSA-N 1 2 280.356 3.785 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1ccccc1Cl ZINC000731029308 1121842811 /nfs/dbraw/zinc/84/28/11/1121842811.db2.gz RQDPSYALZMPBIS-UHFFFAOYSA-N 1 2 280.780 3.643 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)c2ccc3sccc3c2)[nH+]1 ZINC001179092656 1121850617 /nfs/dbraw/zinc/85/06/17/1121850617.db2.gz UUEDZGKGTKQMPN-UHFFFAOYSA-N 1 2 299.399 3.678 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)c2ccc3sccc3c2)c[nH+]1 ZINC001179092656 1121850627 /nfs/dbraw/zinc/85/06/27/1121850627.db2.gz UUEDZGKGTKQMPN-UHFFFAOYSA-N 1 2 299.399 3.678 20 0 CHADLO CSCCc1ccccc1NCc1cccc2[nH+]ccn21 ZINC001179325006 1121872106 /nfs/dbraw/zinc/87/21/06/1121872106.db2.gz IFEQAGYNAHBXMY-UHFFFAOYSA-N 1 2 297.427 3.852 20 0 CHADLO Oc1ccc(Cl)c(C[NH2+]Cc2ccc(F)cc2F)c1 ZINC001179458349 1121881612 /nfs/dbraw/zinc/88/16/12/1121881612.db2.gz ROOAPXWWTCNIEL-UHFFFAOYSA-N 1 2 283.705 3.614 20 0 CHADLO Nc1c(F)cccc1CNc1[nH]c2ccc(Cl)cc2[nH+]1 ZINC001179516223 1121883401 /nfs/dbraw/zinc/88/34/01/1121883401.db2.gz UOCNJLQYAFPEST-UHFFFAOYSA-N 1 2 290.729 3.550 20 0 CHADLO COC1(CNc2[nH]c3ccc(Cl)cc3[nH+]2)CCCC1 ZINC001179515992 1121883565 /nfs/dbraw/zinc/88/35/65/1121883565.db2.gz ODPJYZXWJJDTSY-UHFFFAOYSA-N 1 2 279.771 3.587 20 0 CHADLO COc1cccc2[nH]c(NCc3ccc4occc4c3)[nH+]c21 ZINC001179497942 1121885231 /nfs/dbraw/zinc/88/52/31/1121885231.db2.gz RJIMWGIWQCIJCM-UHFFFAOYSA-N 1 2 293.326 3.930 20 0 CHADLO C[C@H]1COC[C@H](c2ccccc2)[N@@H+]1Cc1ccccc1F ZINC000501024331 1121941989 /nfs/dbraw/zinc/94/19/89/1121941989.db2.gz MMRZRJBUNTWAAP-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1COC[C@H](c2ccccc2)[N@H+]1Cc1ccccc1F ZINC000501024331 1121941994 /nfs/dbraw/zinc/94/19/94/1121941994.db2.gz MMRZRJBUNTWAAP-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@@H](C)C(C)C)c2)[nH+]c1C ZINC000733141043 1121963643 /nfs/dbraw/zinc/96/36/43/1121963643.db2.gz TWTNJBCJBFMTAG-NSHDSACASA-N 1 2 285.391 3.924 20 0 CHADLO CC(C)c1cnc(C[N@H+](C)[C@H](C)c2ccccc2)o1 ZINC000733304514 1121971454 /nfs/dbraw/zinc/97/14/54/1121971454.db2.gz PGJRECWDPJNCKT-CYBMUJFWSA-N 1 2 258.365 3.991 20 0 CHADLO CC(C)c1cnc(C[N@@H+](C)[C@H](C)c2ccccc2)o1 ZINC000733304514 1121971459 /nfs/dbraw/zinc/97/14/59/1121971459.db2.gz PGJRECWDPJNCKT-CYBMUJFWSA-N 1 2 258.365 3.991 20 0 CHADLO Fc1ccc(CCNc2c[nH+]c3c(c2)CCCC3)cc1 ZINC001180837111 1122018230 /nfs/dbraw/zinc/01/82/30/1122018230.db2.gz QVSFZLSCBJFBRT-UHFFFAOYSA-N 1 2 270.351 3.754 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H]2CCc3c2nccc3Cl)n1 ZINC000924925456 1122022522 /nfs/dbraw/zinc/02/25/22/1122022522.db2.gz LXKYTUIJLXXODI-BXKDBHETSA-N 1 2 293.823 3.838 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@@H]1C[C@@H](OC(C)C)C1(C)C ZINC001180950543 1122025299 /nfs/dbraw/zinc/02/52/99/1122025299.db2.gz CCRKRCLLUKUIHM-HUUCEWRRSA-N 1 2 292.423 3.873 20 0 CHADLO CCCCC[C@@H]([NH2+]C[C@@H]1C[C@H]1c1ccccc1)C(=O)OC ZINC001180954745 1122025744 /nfs/dbraw/zinc/02/57/44/1122025744.db2.gz YRYBCBCTDGKUHN-YESZJQIVSA-N 1 2 289.419 3.502 20 0 CHADLO Cc1nc(N2CCC[C@@H](CC(F)(F)F)C2)c(C)c(C)[nH+]1 ZINC000420635361 1122027354 /nfs/dbraw/zinc/02/73/54/1122027354.db2.gz UJOPBQBXJYMYDK-LBPRGKRZSA-N 1 2 287.329 3.571 20 0 CHADLO CCC[C@H](NC(=O)NCc1cc(C)[nH+]c(C)c1)C(C)(C)C ZINC000420836105 1122037374 /nfs/dbraw/zinc/03/73/74/1122037374.db2.gz DNECEJDMZWYTMR-HNNXBMFYSA-N 1 2 291.439 3.712 20 0 CHADLO Cc1cc(CNC(=O)N(C)[C@@H](C)c2ccccc2)cc(C)[nH+]1 ZINC000420847988 1122037863 /nfs/dbraw/zinc/03/78/63/1122037863.db2.gz LMSPFIZFMSHTNN-HNNXBMFYSA-N 1 2 297.402 3.601 20 0 CHADLO CC[C@@H](NC(=O)NCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC000420849605 1122038392 /nfs/dbraw/zinc/03/83/92/1122038392.db2.gz OCCIUISAEQDJRO-QGZVFWFLSA-N 1 2 297.402 3.649 20 0 CHADLO C[C@@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1ccncc1 ZINC000631798515 1129029491 /nfs/dbraw/zinc/02/94/91/1129029491.db2.gz UMSIKNOOZUDOSK-IAQYHMDHSA-N 1 2 262.303 3.582 20 0 CHADLO C[C@H]1CCC[C@H](C)[NH+]1Cc1nc(C(F)(F)F)cs1 ZINC000153262196 1129030669 /nfs/dbraw/zinc/03/06/69/1129030669.db2.gz ROJVWVOATFMTNC-IUCAKERBSA-N 1 2 278.343 3.925 20 0 CHADLO CC[C@H]1C[C@]1([NH2+]Cc1ncc(C)cn1)c1cccc(C)c1 ZINC000502480703 1122091186 /nfs/dbraw/zinc/09/11/86/1122091186.db2.gz ISDXRSOLDTVSEF-MAUKXSAKSA-N 1 2 281.403 3.508 20 0 CHADLO CCC1(CC)C[C@H]1C(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001181885447 1122091323 /nfs/dbraw/zinc/09/13/23/1122091323.db2.gz ZQJVMVUGPQXRFH-INIZCTEOSA-N 1 2 297.402 3.696 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(C3CC3)c2)cs1 ZINC000421334219 1122099866 /nfs/dbraw/zinc/09/98/66/1122099866.db2.gz ZDPAXPGZALWDOP-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(C3CC3)c2)cs1 ZINC000421334219 1122099872 /nfs/dbraw/zinc/09/98/72/1122099872.db2.gz ZDPAXPGZALWDOP-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO FC(F)c1ccnc(C[NH2+]Cc2cccc(C3CC3)c2)n1 ZINC000421357296 1122105206 /nfs/dbraw/zinc/10/52/06/1122105206.db2.gz NEPFTPNMAJJXEA-UHFFFAOYSA-N 1 2 289.329 3.581 20 0 CHADLO CC[C@H](C)NC(=O)[C@@H](c1ccccc1Cl)[NH+](CC)CC ZINC001182223029 1122123236 /nfs/dbraw/zinc/12/32/36/1122123236.db2.gz INYWREPFNFMJCR-SWLSCSKDSA-N 1 2 296.842 3.638 20 0 CHADLO CCCC(CCC)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421573351 1122138642 /nfs/dbraw/zinc/13/86/42/1122138642.db2.gz AFZBSZHCVTYCEC-UHFFFAOYSA-N 1 2 262.397 3.531 20 0 CHADLO Cc1cc(CNC(=O)c2ccc(C(C)C)s2)cc(C)[nH+]1 ZINC000421573753 1122138890 /nfs/dbraw/zinc/13/88/90/1122138890.db2.gz BXJCGODHKIHMFN-UHFFFAOYSA-N 1 2 288.416 3.813 20 0 CHADLO Cc1cc(CNC(=O)[C@H]2CCCC(C)(C)C2)cc(C)[nH+]1 ZINC000421576007 1122138925 /nfs/dbraw/zinc/13/89/25/1122138925.db2.gz LFYNXLUXYVTOMC-HNNXBMFYSA-N 1 2 274.408 3.531 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+][C@H](C)c2nonc2C)cc1 ZINC000924937210 1122147829 /nfs/dbraw/zinc/14/78/29/1122147829.db2.gz BXKOXQACAWXCBJ-WDEREUQCSA-N 1 2 291.420 3.902 20 0 CHADLO CCSc1cccc(C[NH2+][C@@H](C)c2csnn2)c1 ZINC000414549328 1122150432 /nfs/dbraw/zinc/15/04/32/1122150432.db2.gz LAXOXTSSGINUFD-JTQLQIEISA-N 1 2 279.434 3.501 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(C(C)(C)C)o1)c1ccccc1 ZINC000271704858 1122171059 /nfs/dbraw/zinc/17/10/59/1122171059.db2.gz OINHUTRWBPOYBO-GFCCVEGCSA-N 1 2 258.365 3.823 20 0 CHADLO CC[C@H]([NH2+]C[C@@H](C)c1ccc(F)cc1)C(=O)OC(C)(C)C ZINC001182533333 1122173248 /nfs/dbraw/zinc/17/32/48/1122173248.db2.gz OAUUSUFDGGTIAU-DOMZBBRYSA-N 1 2 295.398 3.639 20 0 CHADLO c1ccc(N2Cc3cccc(NC4CCCC4)c3C2)[nH+]c1 ZINC000271839181 1122178638 /nfs/dbraw/zinc/17/86/38/1122178638.db2.gz QGVOPQAHXVNNBD-UHFFFAOYSA-N 1 2 279.387 3.956 20 0 CHADLO COc1cc(C)[nH+]c(CSCCC(F)(F)F)c1 ZINC000272075958 1122189050 /nfs/dbraw/zinc/18/90/50/1122189050.db2.gz RUDGRUKJMFUKAM-UHFFFAOYSA-N 1 2 265.300 3.584 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](c2ccc(F)c(C)c2)C2CC2)o1 ZINC000272114713 1122191376 /nfs/dbraw/zinc/19/13/76/1122191376.db2.gz RNUVJSJPQUPCRT-BONVTDFDSA-N 1 2 289.354 3.627 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)C(=O)CC3)c(C)[nH+]1 ZINC001203374064 1122193767 /nfs/dbraw/zinc/19/37/67/1122193767.db2.gz RZFFHMQYPSXCGT-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1ccc2c(c1)COC2 ZINC000272233507 1122194748 /nfs/dbraw/zinc/19/47/48/1122194748.db2.gz JFUPYSKDJLODCC-ZDUSSCGKSA-N 1 2 282.387 3.956 20 0 CHADLO CC1(c2ccccc2)C[NH+](Cc2cccc(O)c2Cl)C1 ZINC001183009195 1122199163 /nfs/dbraw/zinc/19/91/63/1122199163.db2.gz ABAKNOZRUVUXGN-UHFFFAOYSA-N 1 2 287.790 3.819 20 0 CHADLO COC(=O)c1ccc(C)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203374501 1122205359 /nfs/dbraw/zinc/20/53/59/1122205359.db2.gz KJULQDILKRHBGQ-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCOc2c(F)cccc21)c1cscn1 ZINC000272680428 1122209009 /nfs/dbraw/zinc/20/90/09/1122209009.db2.gz VHYMOXNPAHMYCW-ZWNOBZJWSA-N 1 2 292.379 3.847 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](c2ncccc2C)C(C)C)s1 ZINC000273188079 1122223461 /nfs/dbraw/zinc/22/34/61/1122223461.db2.gz LYRHWZSSPHRFIA-YPMHNXCESA-N 1 2 290.436 3.598 20 0 CHADLO COc1cc(CNc2c[nH+]c(C)c(C)c2)c(Cl)cc1O ZINC001183526430 1122226512 /nfs/dbraw/zinc/22/65/12/1122226512.db2.gz MOMWAXAMOVGXAU-UHFFFAOYSA-N 1 2 292.766 3.678 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nnc(C)o1)c1ccc(Cl)s1 ZINC000273468293 1122233617 /nfs/dbraw/zinc/23/36/17/1122233617.db2.gz FKDYJIALNXXPAI-CBAPKCEASA-N 1 2 285.800 3.895 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1cc(C(F)(F)F)ccc1F ZINC000273451589 1122233878 /nfs/dbraw/zinc/23/38/78/1122233878.db2.gz YZKMBEDFTJYPSH-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1cc(C(F)(F)F)ccc1F ZINC000273451589 1122233881 /nfs/dbraw/zinc/23/38/81/1122233881.db2.gz YZKMBEDFTJYPSH-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO CCC(CC)c1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000273684971 1122244876 /nfs/dbraw/zinc/24/48/76/1122244876.db2.gz DIMTZNZQIPYTCO-UHFFFAOYSA-N 1 2 296.374 3.885 20 0 CHADLO CC(C)(C)Cc1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000273759232 1122247838 /nfs/dbraw/zinc/24/78/38/1122247838.db2.gz OIXYRBYTQFHUKZ-UHFFFAOYSA-N 1 2 296.374 3.570 20 0 CHADLO CCC(CC)c1noc(-c2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000273763152 1122248640 /nfs/dbraw/zinc/24/86/40/1122248640.db2.gz DLVFCWSATYIOKO-UHFFFAOYSA-N 1 2 296.374 3.885 20 0 CHADLO CCC[C@H](C)c1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000273780624 1122249263 /nfs/dbraw/zinc/24/92/63/1122249263.db2.gz RDSFNCDGRRRKBC-ZDUSSCGKSA-N 1 2 296.374 3.885 20 0 CHADLO c1cn(-c2cccc(N[C@@H]3C[C@@H]4CC[C@@H](C4)C3)c2)c[nH+]1 ZINC000711205144 1122272091 /nfs/dbraw/zinc/27/20/91/1122272091.db2.gz WSNLVJLJCGLTJU-MIFYACCESA-N 1 2 267.376 3.863 20 0 CHADLO Fc1ccc(/C=C\C[NH+]2CC(Oc3ccccc3)C2)cc1 ZINC001184428737 1122277065 /nfs/dbraw/zinc/27/70/65/1122277065.db2.gz PYFSVBVFCVKOKK-PLNGDYQASA-N 1 2 283.346 3.602 20 0 CHADLO CO[C@@](C)(CNc1[nH+]c2ccccc2n1CC1CC1)C1CC1 ZINC001184463120 1122278228 /nfs/dbraw/zinc/27/82/28/1122278228.db2.gz WVWNNZDSSPQTEE-SFHVURJKSA-N 1 2 299.418 3.673 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)s1)Cn1cccnc1=S ZINC000192891134 1129046018 /nfs/dbraw/zinc/04/60/18/1129046018.db2.gz ZSKIYJXMJAFXQQ-UHFFFAOYSA-N 1 2 299.852 3.807 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)s1)Cn1cccnc1=S ZINC000192891134 1129046022 /nfs/dbraw/zinc/04/60/22/1129046022.db2.gz ZSKIYJXMJAFXQQ-UHFFFAOYSA-N 1 2 299.852 3.807 20 0 CHADLO C[C@@H]1CCCC[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000424624442 1122320391 /nfs/dbraw/zinc/32/03/91/1122320391.db2.gz FSNYEEBSTOWRRM-IUODEOHRSA-N 1 2 283.375 3.842 20 0 CHADLO c1ccc(Sc2ccc(C[NH+]3CCOCC3)cc2)cc1 ZINC000505259339 1122353362 /nfs/dbraw/zinc/35/33/62/1122353362.db2.gz GLHIVPFFWBKULJ-UHFFFAOYSA-N 1 2 285.412 3.670 20 0 CHADLO CC[C@H]1CCN(c2nc3ccccc3n3c[nH+]cc23)[C@@H]1C ZINC001186184066 1122377769 /nfs/dbraw/zinc/37/77/69/1122377769.db2.gz IGKWYHAYKRTRAM-OLZOCXBDSA-N 1 2 280.375 3.507 20 0 CHADLO CC(C)c1cc(N[C@@H]2C[C@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC001186274716 1122381577 /nfs/dbraw/zinc/38/15/77/1122381577.db2.gz JYNGUIWAEDCZQF-GXTWGEPZSA-N 1 2 259.397 3.934 20 0 CHADLO O=C(NCC1CCCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001186841003 1122408485 /nfs/dbraw/zinc/40/84/85/1122408485.db2.gz DWMMHFCMRNGDTC-UHFFFAOYSA-N 1 2 298.390 3.574 20 0 CHADLO COCC[N@H+](C)Cc1cc(F)cc(C(F)(F)F)c1Cl ZINC001250263519 1122413259 /nfs/dbraw/zinc/41/32/59/1122413259.db2.gz QXYVOJMZFVTTHI-UHFFFAOYSA-N 1 2 299.695 3.576 20 0 CHADLO COCC[N@@H+](C)Cc1cc(F)cc(C(F)(F)F)c1Cl ZINC001250263519 1122413262 /nfs/dbraw/zinc/41/32/62/1122413262.db2.gz QXYVOJMZFVTTHI-UHFFFAOYSA-N 1 2 299.695 3.576 20 0 CHADLO Cc1cnc(Br)c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203654075 1122419044 /nfs/dbraw/zinc/41/90/44/1122419044.db2.gz CDVOODWBMPEXST-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(C)nc3sccc32)c1 ZINC001203654047 1122419166 /nfs/dbraw/zinc/41/91/66/1122419166.db2.gz BRIIGZJVCPJZTQ-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnn2-c2ccccc2F)c1 ZINC001203656910 1122423657 /nfs/dbraw/zinc/42/36/57/1122423657.db2.gz CJNMLUKGTIQEHP-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO COc1ccc2ncc(Nc3cc(C)c[nH+]c3C)cc2c1 ZINC001203656468 1122423989 /nfs/dbraw/zinc/42/39/89/1122423989.db2.gz VATSGFMQKHLMAD-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnn2-c2ccc(F)cc2)c1 ZINC001203656079 1122424641 /nfs/dbraw/zinc/42/46/41/1122424641.db2.gz KVVPFWOZQZPASP-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(F)c(F)c(Cl)c2F)c1 ZINC001203660456 1122426622 /nfs/dbraw/zinc/42/66/22/1122426622.db2.gz KPARCLLEPAUFKJ-UHFFFAOYSA-N 1 2 287.672 3.908 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(OC(C)C)c(C)c2)c1 ZINC001203660437 1122427056 /nfs/dbraw/zinc/42/70/56/1122427056.db2.gz KAGDHGWUXNGHTR-UHFFFAOYSA-N 1 2 271.364 3.933 20 0 CHADLO CC(=O)c1c(F)cccc1Nc1cc(C)c[nH+]c1C ZINC001203663403 1122428952 /nfs/dbraw/zinc/42/89/52/1122428952.db2.gz JPIFJVHWJWFAPW-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)OC(C)(C)O3)c1 ZINC001203663606 1122429755 /nfs/dbraw/zinc/42/97/55/1122429755.db2.gz UEIODNICAZNOBZ-UHFFFAOYSA-N 1 2 270.332 3.949 20 0 CHADLO CCSc1ccccc1CCNc1cc[nH+]c(C)n1 ZINC001187558495 1122430369 /nfs/dbraw/zinc/43/03/69/1122430369.db2.gz IBJJBLFTYBYBCH-UHFFFAOYSA-N 1 2 273.405 3.552 20 0 CHADLO COc1cccc(C(C)=O)c1Nc1cc(C)c[nH+]c1C ZINC001203663836 1122431051 /nfs/dbraw/zinc/43/10/51/1122431051.db2.gz UNDUCPDNEPBGIP-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO CC(C)(C)O[C@@H]1C[C@@H](Nc2cccc[nH+]2)C12CCC2 ZINC000664467543 1122432546 /nfs/dbraw/zinc/43/25/46/1122432546.db2.gz DFZVBEPTTKUQML-CHWSQXEVSA-N 1 2 260.381 3.620 20 0 CHADLO CC(C)c1cccc(C[N@@H+]2CCC(F)(F)[C@H](F)C2)c1 ZINC001237456237 1129054517 /nfs/dbraw/zinc/05/45/17/1129054517.db2.gz FYZPEPUWWRLEOQ-CQSZACIVSA-N 1 2 271.326 3.989 20 0 CHADLO CC(C)c1cccc(C[N@H+]2CCC(F)(F)[C@H](F)C2)c1 ZINC001237456237 1129054521 /nfs/dbraw/zinc/05/45/21/1129054521.db2.gz FYZPEPUWWRLEOQ-CQSZACIVSA-N 1 2 271.326 3.989 20 0 CHADLO COCC[N@H+](Cc1ccccc1)Cc1c(F)cccc1F ZINC000505608470 1122435058 /nfs/dbraw/zinc/43/50/58/1122435058.db2.gz LUBVGJWUGQTDNX-UHFFFAOYSA-N 1 2 291.341 3.613 20 0 CHADLO COCC[N@@H+](Cc1ccccc1)Cc1c(F)cccc1F ZINC000505608470 1122435059 /nfs/dbraw/zinc/43/50/59/1122435059.db2.gz LUBVGJWUGQTDNX-UHFFFAOYSA-N 1 2 291.341 3.613 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2[C@H](C)[C@@H]2c2ccccc2)c(C)[nH+]1 ZINC000430131338 1122439602 /nfs/dbraw/zinc/43/96/02/1122439602.db2.gz LNOJWQBOGYNBPG-KBRIMQKVSA-N 1 2 294.398 3.995 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc3nsnc3cc2C)c1 ZINC001203667176 1122440158 /nfs/dbraw/zinc/44/01/58/1122440158.db2.gz KSFGFNRCNFLZFC-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO COCCOc1c(F)cccc1Nc1cc(C)c[nH+]c1C ZINC001203667140 1122440199 /nfs/dbraw/zinc/44/01/99/1122440199.db2.gz IODRWPMKXFXGRH-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO CCOC(=O)Cc1cccc(Nc2cc(C)c[nH+]c2C)c1 ZINC001203667524 1122440993 /nfs/dbraw/zinc/44/09/93/1122440993.db2.gz NEJOTMKCNGNADA-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO C[N@H+](Cc1ccc(Cl)o1)Cc1ccccc1F ZINC000505636412 1122445423 /nfs/dbraw/zinc/44/54/23/1122445423.db2.gz KUAFLVGIUQNMJY-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)o1)Cc1ccccc1F ZINC000505636412 1122445426 /nfs/dbraw/zinc/44/54/26/1122445426.db2.gz KUAFLVGIUQNMJY-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO CC(C)n1cncc1C[N@H+](C)[C@@H](C)c1ccccc1F ZINC000425324294 1122454222 /nfs/dbraw/zinc/45/42/22/1122454222.db2.gz KHALUAAXQQAWLW-ZDUSSCGKSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1cncc1C[N@@H+](C)[C@@H](C)c1ccccc1F ZINC000425324294 1122454223 /nfs/dbraw/zinc/45/42/23/1122454223.db2.gz KHALUAAXQQAWLW-ZDUSSCGKSA-N 1 2 275.371 3.796 20 0 CHADLO COc1ccccc1[C@@H]1C[C@H]1Nc1cc(C)[nH+]c(C2CC2)n1 ZINC000664478437 1122454314 /nfs/dbraw/zinc/45/43/14/1122454314.db2.gz JRBQBAGGZBECCF-LSDHHAIUSA-N 1 2 295.386 3.639 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cc2cc(F)ccc2o1 ZINC000505665629 1122458294 /nfs/dbraw/zinc/45/82/94/1122458294.db2.gz WYJHGQOLGCEZTH-GFCCVEGCSA-N 1 2 284.334 3.950 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cc2cc(F)ccc2o1 ZINC000505665629 1122458296 /nfs/dbraw/zinc/45/82/96/1122458296.db2.gz WYJHGQOLGCEZTH-GFCCVEGCSA-N 1 2 284.334 3.950 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC000628132778 1122481403 /nfs/dbraw/zinc/48/14/03/1122481403.db2.gz OGGCSKQBLBQERH-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC000628132778 1122481407 /nfs/dbraw/zinc/48/14/07/1122481407.db2.gz OGGCSKQBLBQERH-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1c(Cl)c(Cl)ccc1CCn1cc[nH+]c1 ZINC001250319382 1122493777 /nfs/dbraw/zinc/49/37/77/1122493777.db2.gz QFAWTDPQDFMGCC-UHFFFAOYSA-N 1 2 255.148 3.741 20 0 CHADLO COc1ccccc1[C@H](C)[N@H+](C)Cc1cn2ccccc2n1 ZINC000076673842 1122494130 /nfs/dbraw/zinc/49/41/30/1122494130.db2.gz AIRUAACSHDNNEK-AWEZNQCLSA-N 1 2 295.386 3.536 20 0 CHADLO COc1ccccc1[C@H](C)[N@@H+](C)Cc1cn2ccccc2n1 ZINC000076673842 1122494132 /nfs/dbraw/zinc/49/41/32/1122494132.db2.gz AIRUAACSHDNNEK-AWEZNQCLSA-N 1 2 295.386 3.536 20 0 CHADLO CSc1c(F)cc(NCc2[nH]c(C)c(C)[nH+]2)cc1F ZINC000515434269 1122499551 /nfs/dbraw/zinc/49/95/51/1122499551.db2.gz IUPJODCCRYISEN-UHFFFAOYSA-N 1 2 283.347 3.639 20 0 CHADLO CC1(C)[C@@H](CNc2cc(C(F)(F)F)cc[nH+]2)C1(F)F ZINC000664522746 1122511596 /nfs/dbraw/zinc/51/15/96/1122511596.db2.gz DQJYSQFDRIGBIX-MRVPVSSYSA-N 1 2 280.240 3.804 20 0 CHADLO CCc1cccc(C)c1NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC001189950363 1122521023 /nfs/dbraw/zinc/52/10/23/1122521023.db2.gz CXAUDFSBSGJGBJ-UHFFFAOYSA-N 1 2 294.358 3.849 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1nc2ccccc2n1C ZINC000193202789 1129060230 /nfs/dbraw/zinc/06/02/30/1129060230.db2.gz BDWUERBZTAYLEQ-CYBMUJFWSA-N 1 2 294.402 3.812 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000505809953 1122545437 /nfs/dbraw/zinc/54/54/37/1122545437.db2.gz UPEFODVBPXHNMN-SJCJKPOMSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000505809953 1122545442 /nfs/dbraw/zinc/54/54/42/1122545442.db2.gz UPEFODVBPXHNMN-SJCJKPOMSA-N 1 2 297.402 3.666 20 0 CHADLO CC[C@@H](C)CN(C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000436491157 1122555194 /nfs/dbraw/zinc/55/51/94/1122555194.db2.gz HSDNTGJCSPJEPL-SNVBAGLBSA-N 1 2 263.385 3.517 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)cc2[nH]ccc21 ZINC001203727278 1122556594 /nfs/dbraw/zinc/55/65/94/1122556594.db2.gz OTYJPVDTUHDJFJ-UHFFFAOYSA-N 1 2 271.295 3.844 20 0 CHADLO CC(C)c1noc(C[N@@H+]2CCC[C@@H]2/C=C/c2ccccc2)n1 ZINC000505844650 1122558844 /nfs/dbraw/zinc/55/88/44/1122558844.db2.gz HSKULOQTNJXNBS-SIFUEBAJSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)c1noc(C[N@H+]2CCC[C@@H]2/C=C/c2ccccc2)n1 ZINC000505844650 1122558847 /nfs/dbraw/zinc/55/88/47/1122558847.db2.gz HSKULOQTNJXNBS-SIFUEBAJSA-N 1 2 297.402 3.871 20 0 CHADLO Cc1[nH]c(CNc2cccc(C(F)F)c2)[nH+]c1C ZINC000516315703 1122588013 /nfs/dbraw/zinc/58/80/13/1122588013.db2.gz RUNZRMXVTWKNCM-UHFFFAOYSA-N 1 2 251.280 3.576 20 0 CHADLO Cc1ncoc1C[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000894322726 1122592259 /nfs/dbraw/zinc/59/22/59/1122592259.db2.gz CUMQWYSOEDMUMN-VIFPVBQESA-N 1 2 268.719 3.626 20 0 CHADLO COC(=O)[C@@H](c1cccc(Cl)c1)[N@@H+]1CC[C@H](C(C)C)C1 ZINC001191539751 1122604780 /nfs/dbraw/zinc/60/47/80/1122604780.db2.gz GKKUEBLDBXDQRP-DZGCQCFKSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@@H](c1cccc(Cl)c1)[N@H+]1CC[C@H](C(C)C)C1 ZINC001191539751 1122604785 /nfs/dbraw/zinc/60/47/85/1122604785.db2.gz GKKUEBLDBXDQRP-DZGCQCFKSA-N 1 2 295.810 3.532 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1CCOC1(C)C ZINC000664605828 1122612836 /nfs/dbraw/zinc/61/28/36/1122612836.db2.gz VQHVTUCGLCIREC-AWEZNQCLSA-N 1 2 270.376 3.770 20 0 CHADLO C[C@H]1CC[C@H](NC(=O)Nc2ccccc2-n2cc[nH+]c2)CC1 ZINC001191790820 1122615478 /nfs/dbraw/zinc/61/54/78/1122615478.db2.gz ZOOXMZQHVSRMMU-HDJSIYSDSA-N 1 2 298.390 3.573 20 0 CHADLO Cc1ccsc1C[N@@H+](C)Cc1c(F)cccc1F ZINC000506030128 1122628471 /nfs/dbraw/zinc/62/84/71/1122628471.db2.gz HEZNDCMFHPEFLX-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO Cc1ccsc1C[N@H+](C)Cc1c(F)cccc1F ZINC000506030128 1122628473 /nfs/dbraw/zinc/62/84/73/1122628473.db2.gz HEZNDCMFHPEFLX-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO C[N@H+](CC(F)F)[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437693663 1122650968 /nfs/dbraw/zinc/65/09/68/1122650968.db2.gz RSWZEOJWXRMUGL-HIFRSBDPSA-N 1 2 253.336 3.694 20 0 CHADLO C[N@@H+](CC(F)F)[C@@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437693663 1122650972 /nfs/dbraw/zinc/65/09/72/1122650972.db2.gz RSWZEOJWXRMUGL-HIFRSBDPSA-N 1 2 253.336 3.694 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC[C@H](c2ccccc2)C1)C(=O)OC(C)(C)C ZINC000437785354 1122655709 /nfs/dbraw/zinc/65/57/09/1122655709.db2.gz LMHTZSYUMDPSOA-KBMXLJTQSA-N 1 2 289.419 3.643 20 0 CHADLO COC[C@H]([NH2+]Cc1c(F)ccc(C)c1F)c1ccccc1 ZINC001192900760 1122661358 /nfs/dbraw/zinc/66/13/58/1122661358.db2.gz FFVCBQDWLJAEKM-INIZCTEOSA-N 1 2 291.341 3.751 20 0 CHADLO Cc1ccc(NCc2c[nH+]cn2Cc2ccccc2)c(F)c1 ZINC000438322191 1122690962 /nfs/dbraw/zinc/69/09/62/1122690962.db2.gz NOTKOPIUJSUTFX-UHFFFAOYSA-N 1 2 295.361 3.991 20 0 CHADLO CC(C)CCn1cccc1C[N@@H+]1CCc2ncsc2C1 ZINC000438352243 1122694681 /nfs/dbraw/zinc/69/46/81/1122694681.db2.gz AGXZSOULDMNDJZ-UHFFFAOYSA-N 1 2 289.448 3.549 20 0 CHADLO CC(C)CCn1cccc1C[N@H+]1CCc2ncsc2C1 ZINC000438352243 1122694684 /nfs/dbraw/zinc/69/46/84/1122694684.db2.gz AGXZSOULDMNDJZ-UHFFFAOYSA-N 1 2 289.448 3.549 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CCOC[C@@H]1CC(C)C ZINC000506214112 1122695029 /nfs/dbraw/zinc/69/50/29/1122695029.db2.gz HIFDJAINJSOWSB-HNNXBMFYSA-N 1 2 297.826 3.596 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CCOC[C@@H]1CC(C)C ZINC000506214112 1122695034 /nfs/dbraw/zinc/69/50/34/1122695034.db2.gz HIFDJAINJSOWSB-HNNXBMFYSA-N 1 2 297.826 3.596 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CCc4ncsc4C3)cc2c1C ZINC000438377311 1122696268 /nfs/dbraw/zinc/69/62/68/1122696268.db2.gz QSZZCGZXGUJVLH-UHFFFAOYSA-N 1 2 297.427 3.800 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CCc4ncsc4C3)cc2c1C ZINC000438377311 1122696270 /nfs/dbraw/zinc/69/62/70/1122696270.db2.gz QSZZCGZXGUJVLH-UHFFFAOYSA-N 1 2 297.427 3.800 20 0 CHADLO CCCc1nc(C[NH+]2CCC(C)(c3ccccc3)CC2)no1 ZINC000153613794 1129072615 /nfs/dbraw/zinc/07/26/15/1129072615.db2.gz FHAJVNJVSXJCAL-UHFFFAOYSA-N 1 2 299.418 3.576 20 0 CHADLO Fc1ccc(/C=C/C[N@@H+]2CCO[C@H](c3ccccc3)C2)cc1 ZINC000506340949 1122720557 /nfs/dbraw/zinc/72/05/57/1122720557.db2.gz OFFBZTUDELDKMM-PXPVJAHISA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc(/C=C/C[N@H+]2CCO[C@H](c3ccccc3)C2)cc1 ZINC000506340949 1122720560 /nfs/dbraw/zinc/72/05/60/1122720560.db2.gz OFFBZTUDELDKMM-PXPVJAHISA-N 1 2 297.373 3.912 20 0 CHADLO C[C@@H]1CSCC[N@H+](Cc2nccc(Cl)c2Cl)C1 ZINC001194082454 1122745759 /nfs/dbraw/zinc/74/57/59/1122745759.db2.gz IHDPENHSMIZVGZ-VIFPVBQESA-N 1 2 291.247 3.573 20 0 CHADLO C[C@@H]1CSCC[N@@H+](Cc2nccc(Cl)c2Cl)C1 ZINC001194082454 1122745763 /nfs/dbraw/zinc/74/57/63/1122745763.db2.gz IHDPENHSMIZVGZ-VIFPVBQESA-N 1 2 291.247 3.573 20 0 CHADLO C[C@H]1CSCC[N@H+](Cc2nccc(Cl)c2Cl)C1 ZINC001194082451 1122746230 /nfs/dbraw/zinc/74/62/30/1122746230.db2.gz IHDPENHSMIZVGZ-SECBINFHSA-N 1 2 291.247 3.573 20 0 CHADLO C[C@H]1CSCC[N@@H+](Cc2nccc(Cl)c2Cl)C1 ZINC001194082451 1122746236 /nfs/dbraw/zinc/74/62/36/1122746236.db2.gz IHDPENHSMIZVGZ-SECBINFHSA-N 1 2 291.247 3.573 20 0 CHADLO C[C@@H](CSc1[nH+]cc2ccccn21)C(F)(F)F ZINC000439050855 1122750855 /nfs/dbraw/zinc/75/08/55/1122750855.db2.gz OJSSMCBNIDJEPM-QMMMGPOBSA-N 1 2 260.284 3.625 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cccc3[nH]ccc32)CC1(F)F ZINC000426384084 1122779203 /nfs/dbraw/zinc/77/92/03/1122779203.db2.gz DWKPLTYTFCXEAY-LLVKDONJSA-N 1 2 264.319 3.645 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cccc3[nH]ccc32)CC1(F)F ZINC000426384084 1122779205 /nfs/dbraw/zinc/77/92/05/1122779205.db2.gz DWKPLTYTFCXEAY-LLVKDONJSA-N 1 2 264.319 3.645 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2csc(C3CCCC3)n2)CCCO1 ZINC000439488231 1122783356 /nfs/dbraw/zinc/78/33/56/1122783356.db2.gz WHBHUBUFYBTNKD-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2csc(C3CCCC3)n2)CCCO1 ZINC000439488231 1122783360 /nfs/dbraw/zinc/78/33/60/1122783360.db2.gz WHBHUBUFYBTNKD-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO O=C(C[C@H]1C=CCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000426439514 1122794267 /nfs/dbraw/zinc/79/42/67/1122794267.db2.gz IKHSCXZESCBHJM-AWEZNQCLSA-N 1 2 281.359 3.557 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cccc(O)c1Cl ZINC000506805601 1122833430 /nfs/dbraw/zinc/83/34/30/1122833430.db2.gz MTKJYDUNOMYFDT-UHFFFAOYSA-N 1 2 261.752 3.678 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cccc(O)c1Cl ZINC000506805601 1122833436 /nfs/dbraw/zinc/83/34/36/1122833436.db2.gz MTKJYDUNOMYFDT-UHFFFAOYSA-N 1 2 261.752 3.678 20 0 CHADLO Cc1cc(N)nc(S[C@H](C)c2ccccc2C)[nH+]1 ZINC000154030172 1129081143 /nfs/dbraw/zinc/08/11/43/1129081143.db2.gz DUQCMPXNCGRYBZ-LLVKDONJSA-N 1 2 259.378 3.529 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000073637545 1122847274 /nfs/dbraw/zinc/84/72/74/1122847274.db2.gz LNIIZDKYQGRELI-BDAKNGLRSA-N 1 2 299.296 3.809 20 0 CHADLO CC(C)c1nc(C[NH2+]Cc2cccc(F)c2)cs1 ZINC000079916061 1122867294 /nfs/dbraw/zinc/86/72/94/1122867294.db2.gz GVZZCVSNGMTBGV-UHFFFAOYSA-N 1 2 264.369 3.695 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)o1 ZINC000664829138 1122871367 /nfs/dbraw/zinc/87/13/67/1122871367.db2.gz JZHKKTCBUHEEJS-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)o1 ZINC000664829138 1122871375 /nfs/dbraw/zinc/87/13/75/1122871375.db2.gz JZHKKTCBUHEEJS-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC3(CCC3)[C@@H]2C(C)C)s1 ZINC000894584246 1122878333 /nfs/dbraw/zinc/87/83/33/1122878333.db2.gz HOKBIWXCWNRCIH-ZDUSSCGKSA-N 1 2 279.453 3.672 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC3(CCC3)[C@@H]2C(C)C)s1 ZINC000894584246 1122878340 /nfs/dbraw/zinc/87/83/40/1122878340.db2.gz HOKBIWXCWNRCIH-ZDUSSCGKSA-N 1 2 279.453 3.672 20 0 CHADLO Cc1cc(N[C@H](c2ccccc2)[C@@H](C)O)nc(C2CCC2)[nH+]1 ZINC000894644451 1122885337 /nfs/dbraw/zinc/88/53/37/1122885337.db2.gz BYNMYEKRMWJCPS-DYVFJYSZSA-N 1 2 297.402 3.587 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@@H+]1Cc1ccc(Cl)s1 ZINC001195851278 1122891034 /nfs/dbraw/zinc/89/10/34/1122891034.db2.gz ISNRKJYTHHUVON-JTQLQIEISA-N 1 2 273.829 3.791 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@H+]1Cc1ccc(Cl)s1 ZINC001195851278 1122891038 /nfs/dbraw/zinc/89/10/38/1122891038.db2.gz ISNRKJYTHHUVON-JTQLQIEISA-N 1 2 273.829 3.791 20 0 CHADLO Clc1ccc2[nH]c(-c3ccc4[nH+]ccn4c3)nc2c1 ZINC001250979104 1122907030 /nfs/dbraw/zinc/90/70/30/1122907030.db2.gz UEDNKTSTCBDOJE-UHFFFAOYSA-N 1 2 268.707 3.531 20 0 CHADLO CCn1c(C)nn(C[N@H+](C)CCC2CCCCC2)c1=S ZINC000102393048 1122914802 /nfs/dbraw/zinc/91/48/02/1122914802.db2.gz RNJRVDFNPFFUKP-UHFFFAOYSA-N 1 2 296.484 3.602 20 0 CHADLO CCn1c(C)nn(C[N@@H+](C)CCC2CCCCC2)c1=S ZINC000102393048 1122914806 /nfs/dbraw/zinc/91/48/06/1122914806.db2.gz RNJRVDFNPFFUKP-UHFFFAOYSA-N 1 2 296.484 3.602 20 0 CHADLO CC[N@H+](C)[C@@H](c1nc(C(C)(C)CC)no1)c1ccccc1 ZINC000867172116 1122914869 /nfs/dbraw/zinc/91/48/69/1122914869.db2.gz VKXGGCLZYXBAPV-CQSZACIVSA-N 1 2 287.407 3.798 20 0 CHADLO CC[N@@H+](C)[C@@H](c1nc(C(C)(C)CC)no1)c1ccccc1 ZINC000867172116 1122914870 /nfs/dbraw/zinc/91/48/70/1122914870.db2.gz VKXGGCLZYXBAPV-CQSZACIVSA-N 1 2 287.407 3.798 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+]1CCOc2cc(F)ccc2C1 ZINC001196605048 1122927624 /nfs/dbraw/zinc/92/76/24/1122927624.db2.gz KVFIIEITTWKEQR-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+]1CCOc2cc(F)ccc2C1 ZINC001196605048 1122927628 /nfs/dbraw/zinc/92/76/28/1122927628.db2.gz KVFIIEITTWKEQR-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)cc(Cl)c2)CCS1 ZINC001197193856 1122947671 /nfs/dbraw/zinc/94/76/71/1122947671.db2.gz GTKPIGKKVBNHHR-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)cc(Cl)c2)CCS1 ZINC001197193856 1122947673 /nfs/dbraw/zinc/94/76/73/1122947673.db2.gz GTKPIGKKVBNHHR-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO CCCCC[C@@H](CC)C(=O)Nc1cc(C)[nH+]cc1C ZINC000775781215 1122965755 /nfs/dbraw/zinc/96/57/55/1122965755.db2.gz CMHMATLVRMAMLY-CQSZACIVSA-N 1 2 262.397 3.665 20 0 CHADLO CCOC(=O)[C@@H](CC)[NH2+][C@H]1CCc2cccc3cccc1c32 ZINC001197989814 1122979022 /nfs/dbraw/zinc/97/90/22/1122979022.db2.gz NHLPWTMWWJNXAG-SJORKVTESA-N 1 2 297.398 3.758 20 0 CHADLO Fc1ccc(C(F)(F)C[N@@H+]2C[C@@H]3CCC[C@@H]3C2)cc1 ZINC001198604875 1123001645 /nfs/dbraw/zinc/00/16/45/1123001645.db2.gz SFXGWOVHLRKGMO-TXEJJXNPSA-N 1 2 269.310 3.649 20 0 CHADLO Fc1ccc(C(F)(F)C[N@H+]2C[C@@H]3CCC[C@@H]3C2)cc1 ZINC001198604875 1123001649 /nfs/dbraw/zinc/00/16/49/1123001649.db2.gz SFXGWOVHLRKGMO-TXEJJXNPSA-N 1 2 269.310 3.649 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccoc2C2CC2)c(C)[nH+]1 ZINC000334396957 1123006280 /nfs/dbraw/zinc/00/62/80/1123006280.db2.gz CPJISCUNJHHESD-UHFFFAOYSA-N 1 2 270.332 3.730 20 0 CHADLO Cc1nc(C[NH2+]Cc2cc3cc(Cl)cnc3o2)cs1 ZINC001199109698 1123021621 /nfs/dbraw/zinc/02/16/21/1123021621.db2.gz IPQNLKZYMGMIEN-UHFFFAOYSA-N 1 2 293.779 3.536 20 0 CHADLO F[C@@H]1CCCC[N@H+](Cc2ccccc2Br)C1 ZINC001203320301 1123027535 /nfs/dbraw/zinc/02/75/35/1123027535.db2.gz OHISVBBNMVBVEV-GFCCVEGCSA-N 1 2 286.188 3.773 20 0 CHADLO F[C@@H]1CCCC[N@@H+](Cc2ccccc2Br)C1 ZINC001203320301 1123027539 /nfs/dbraw/zinc/02/75/39/1123027539.db2.gz OHISVBBNMVBVEV-GFCCVEGCSA-N 1 2 286.188 3.773 20 0 CHADLO c1cn(-c2ccc(NCc3ccc4c(c3)CCC4)cc2)c[nH+]1 ZINC001199530783 1123031760 /nfs/dbraw/zinc/03/17/60/1123031760.db2.gz JXMDVOCGTGPNSG-UHFFFAOYSA-N 1 2 289.382 3.973 20 0 CHADLO COc1cc(F)cc(CNc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001199530841 1123032103 /nfs/dbraw/zinc/03/21/03/1123032103.db2.gz KNEVJUQAHIAMCV-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCc2cccc(Br)c2C1 ZINC000440786546 1123040327 /nfs/dbraw/zinc/04/03/27/1123040327.db2.gz SIHYWJDIKGAJEZ-NSHDSACASA-N 1 2 286.188 3.555 20 0 CHADLO CC[C@H](F)C[N@H+]1CCc2cccc(Br)c2C1 ZINC000440786546 1123040332 /nfs/dbraw/zinc/04/03/32/1123040332.db2.gz SIHYWJDIKGAJEZ-NSHDSACASA-N 1 2 286.188 3.555 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@H](C)c2ccc(F)cc2Cl)on1 ZINC000925094572 1123046620 /nfs/dbraw/zinc/04/66/20/1123046620.db2.gz PACVZVFKVCJEKJ-RKDXNWHRSA-N 1 2 298.745 3.888 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H]2CCc3ccc(Cl)cc32)o1 ZINC000334492679 1123059389 /nfs/dbraw/zinc/05/93/89/1123059389.db2.gz ZEMNGTAJYHTHKB-IINYFYTJSA-N 1 2 276.767 3.975 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000441187312 1123065048 /nfs/dbraw/zinc/06/50/48/1123065048.db2.gz GEDZIFURHGTKGV-UHFFFAOYSA-N 1 2 266.344 3.768 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000441187312 1123065051 /nfs/dbraw/zinc/06/50/51/1123065051.db2.gz GEDZIFURHGTKGV-UHFFFAOYSA-N 1 2 266.344 3.768 20 0 CHADLO Cc1c(Cl)cccc1CNc1ccc(N(C)C)c[nH+]1 ZINC001201180392 1123076954 /nfs/dbraw/zinc/07/69/54/1123076954.db2.gz VDPZLWNAZIDZGX-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO c1cn2ccc(Nc3cnccc3C3CCC3)cc2[nH+]1 ZINC001204972080 1123077923 /nfs/dbraw/zinc/07/79/23/1123077923.db2.gz WHTKISKNXZRQPU-UHFFFAOYSA-N 1 2 264.332 3.740 20 0 CHADLO Cc1cnn(-c2cccc(Cl)c2)c1Nc1ccc(N)[nH+]c1 ZINC001201280027 1123080890 /nfs/dbraw/zinc/08/08/90/1123080890.db2.gz XPTJELXENLIWRW-UHFFFAOYSA-N 1 2 299.765 3.555 20 0 CHADLO Nc1ccc(Nc2ccc(Oc3ccncc3)cc2)c[nH+]1 ZINC001201284348 1123081230 /nfs/dbraw/zinc/08/12/30/1123081230.db2.gz RIGZNOLVGJJEDS-UHFFFAOYSA-N 1 2 278.315 3.595 20 0 CHADLO Nc1ccc(Nc2ccc3nccc(Cl)c3c2)c[nH+]1 ZINC001201291657 1123082714 /nfs/dbraw/zinc/08/27/14/1123082714.db2.gz LHJPWMJUUSFWKM-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2cccc3c(Cl)ncc(F)c23)c[nH+]1 ZINC001201291093 1123083135 /nfs/dbraw/zinc/08/31/35/1123083135.db2.gz ASNBNWQSUJAGBR-UHFFFAOYSA-N 1 2 288.713 3.748 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](CC(F)F)c2ccccc2)o1 ZINC000520389480 1123083683 /nfs/dbraw/zinc/08/36/83/1123083683.db2.gz NKTFBVUOIAXZCH-ZDUSSCGKSA-N 1 2 280.318 3.723 20 0 CHADLO CC(C)(C)COc1ccc(F)cc1Nc1ccc(N)[nH+]c1 ZINC001201295260 1123085256 /nfs/dbraw/zinc/08/52/56/1123085256.db2.gz NHEZVVCUOLZLFJ-UHFFFAOYSA-N 1 2 289.354 3.971 20 0 CHADLO CC(C)(C)COc1c(F)cccc1Nc1ccc(N)[nH+]c1 ZINC001201294890 1123085449 /nfs/dbraw/zinc/08/54/49/1123085449.db2.gz JWIVXVKFYCNQHB-UHFFFAOYSA-N 1 2 289.354 3.971 20 0 CHADLO Nc1ccc(Nc2c(Cl)cc(Cl)nc2Cl)c[nH+]1 ZINC001201279273 1123085551 /nfs/dbraw/zinc/08/55/51/1123085551.db2.gz NXMTYCVMPRMRQR-UHFFFAOYSA-N 1 2 289.553 3.763 20 0 CHADLO Cc1c(Nc2c[nH+]c(C)cc2C)cnn1-c1ccccc1 ZINC001201367438 1123088193 /nfs/dbraw/zinc/08/81/93/1123088193.db2.gz PYDKCQMFKOATEZ-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO COC(=O)C[N@H+]([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000112555873 1123092562 /nfs/dbraw/zinc/09/25/62/1123092562.db2.gz LTXDLZDHIDXGNG-CYBMUJFWSA-N 1 2 283.371 3.538 20 0 CHADLO COC(=O)C[N@@H+]([C@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000112555873 1123092567 /nfs/dbraw/zinc/09/25/67/1123092567.db2.gz LTXDLZDHIDXGNG-CYBMUJFWSA-N 1 2 283.371 3.538 20 0 CHADLO COc1nc(C(C)C)ccc1Nc1cccn2cc[nH+]c12 ZINC001201437852 1123104208 /nfs/dbraw/zinc/10/42/08/1123104208.db2.gz MEVGXGMFMSPIIG-UHFFFAOYSA-N 1 2 282.347 3.605 20 0 CHADLO Cc1ccc(NCc2ccc3c(c2)OCCCCO3)c(C)[nH+]1 ZINC001201573260 1123126753 /nfs/dbraw/zinc/12/67/53/1123126753.db2.gz QOTPETIFANWVQU-UHFFFAOYSA-N 1 2 298.386 3.862 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc(C2CC2)cc1 ZINC001201675553 1123134491 /nfs/dbraw/zinc/13/44/91/1123134491.db2.gz SODIXWGKUNKAGW-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO FC[C@H]1CC[N@H+](CCCc2ccccc2)CC1(F)F ZINC001201653216 1123136892 /nfs/dbraw/zinc/13/68/92/1123136892.db2.gz ZPUZANWTZSCOJK-CQSZACIVSA-N 1 2 271.326 3.546 20 0 CHADLO FC[C@H]1CC[N@@H+](CCCc2ccccc2)CC1(F)F ZINC001201653216 1123136894 /nfs/dbraw/zinc/13/68/94/1123136894.db2.gz ZPUZANWTZSCOJK-CQSZACIVSA-N 1 2 271.326 3.546 20 0 CHADLO CSCCC[N@@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001201697630 1123137732 /nfs/dbraw/zinc/13/77/32/1123137732.db2.gz LDHGOYNEBUDFTA-CQSZACIVSA-N 1 2 285.403 3.864 20 0 CHADLO CSCCC[N@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001201697630 1123137734 /nfs/dbraw/zinc/13/77/34/1123137734.db2.gz LDHGOYNEBUDFTA-CQSZACIVSA-N 1 2 285.403 3.864 20 0 CHADLO CCCC[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001201717144 1123139812 /nfs/dbraw/zinc/13/98/12/1123139812.db2.gz BOSOKOQZXUOGPD-AWEZNQCLSA-N 1 2 253.773 3.513 20 0 CHADLO c1cn(-c2cccc(Nc3ccc(C4CC4)nc3)c2)c[nH+]1 ZINC001201712844 1123139309 /nfs/dbraw/zinc/13/93/09/1123139309.db2.gz KPAGPHCFVMUBRN-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO CCCC[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001201717144 1123139815 /nfs/dbraw/zinc/13/98/15/1123139815.db2.gz BOSOKOQZXUOGPD-AWEZNQCLSA-N 1 2 253.773 3.513 20 0 CHADLO Cc1cc(C[C@@H](C)Nc2cccc[nH+]2)n(-c2ccccc2)n1 ZINC000161823736 1123139944 /nfs/dbraw/zinc/13/99/44/1123139944.db2.gz MPCFJJVGGBRTDK-CQSZACIVSA-N 1 2 292.386 3.619 20 0 CHADLO CCCCC[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001201737474 1123143402 /nfs/dbraw/zinc/14/34/02/1123143402.db2.gz PBHRAUPHRCBUNG-HNNXBMFYSA-N 1 2 267.800 3.904 20 0 CHADLO CCCCC[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001201737474 1123143406 /nfs/dbraw/zinc/14/34/06/1123143406.db2.gz PBHRAUPHRCBUNG-HNNXBMFYSA-N 1 2 267.800 3.904 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCc3nc[nH]c3C23CCC3)o1 ZINC000895067950 1123145013 /nfs/dbraw/zinc/14/50/13/1123145013.db2.gz AYPLQIZWBINBCC-OCCSQVGLSA-N 1 2 297.402 3.564 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCc3nc[nH]c3C23CCC3)o1 ZINC000895067950 1123145015 /nfs/dbraw/zinc/14/50/15/1123145015.db2.gz AYPLQIZWBINBCC-OCCSQVGLSA-N 1 2 297.402 3.564 20 0 CHADLO Cc1cn2c(cccc2Nc2cc3ccccc3[nH]c2=O)[nH+]1 ZINC001201750477 1123145036 /nfs/dbraw/zinc/14/50/36/1123145036.db2.gz KUUNZAVWOVXNJO-UHFFFAOYSA-N 1 2 290.326 3.640 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(COC)on1)c1ccc(C)c(F)c1 ZINC001201760148 1123147771 /nfs/dbraw/zinc/14/77/71/1123147771.db2.gz CMFXJKFUFHEJLM-INIZCTEOSA-N 1 2 292.354 3.509 20 0 CHADLO C[NH+](C)c1ccc(NC/C=C\c2ccccc2)cc1 ZINC000212471942 1123154444 /nfs/dbraw/zinc/15/44/44/1123154444.db2.gz KRUVEIJRCVFQGX-TWGQIWQCSA-N 1 2 252.361 3.878 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@@H+]1CCCC(=O)[C@@H](F)C1 ZINC001201977534 1123159537 /nfs/dbraw/zinc/15/95/37/1123159537.db2.gz RYHKQYYRWBYXLC-CABCVRRESA-N 1 2 269.404 3.762 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@H+]1CCCC(=O)[C@@H](F)C1 ZINC001201977534 1123159539 /nfs/dbraw/zinc/15/95/39/1123159539.db2.gz RYHKQYYRWBYXLC-CABCVRRESA-N 1 2 269.404 3.762 20 0 CHADLO Cc1cc(C[NH2+][C@H]2COc3cc(F)cc(F)c32)ccc1F ZINC001201963491 1123164362 /nfs/dbraw/zinc/16/43/62/1123164362.db2.gz SESRYCWZMAYFET-AWEZNQCLSA-N 1 2 293.288 3.636 20 0 CHADLO Cc1cc(CNc2cccc3cc[nH]c32)cc(C)[nH+]1 ZINC001202101288 1123175384 /nfs/dbraw/zinc/17/53/84/1123175384.db2.gz GUVNOBGSHUYQCK-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+](C)C[C@@H]1CCC[NH+](C)C1 ZINC000152362676 1123183221 /nfs/dbraw/zinc/18/32/21/1123183221.db2.gz GRATUCOPKOSWEC-DYVFJYSZSA-N 1 2 296.405 3.690 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)c1ccsc1 ZINC000155841283 1129106659 /nfs/dbraw/zinc/10/66/59/1129106659.db2.gz ZUNSEEHDNYWQFL-GFCCVEGCSA-N 1 2 297.383 3.676 20 0 CHADLO CCc1nnc(C[N@@H+]2CCCCC[C@H]2c2ccco2)s1 ZINC000680053556 1123221829 /nfs/dbraw/zinc/22/18/29/1123221829.db2.gz RVYLSCSCMPMLHN-LBPRGKRZSA-N 1 2 291.420 3.811 20 0 CHADLO CCc1nnc(C[N@H+]2CCCCC[C@H]2c2ccco2)s1 ZINC000680053556 1123221831 /nfs/dbraw/zinc/22/18/31/1123221831.db2.gz RVYLSCSCMPMLHN-LBPRGKRZSA-N 1 2 291.420 3.811 20 0 CHADLO c1cn(Cc2ccc(-c3nc(C4CCCC4)no3)cc2)c[nH+]1 ZINC000074122705 1123231999 /nfs/dbraw/zinc/23/19/99/1123231999.db2.gz JXGGSEYIKSZTCZ-UHFFFAOYSA-N 1 2 294.358 3.639 20 0 CHADLO CCC(C)(C)c1ccc(C[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC000507823817 1123234746 /nfs/dbraw/zinc/23/47/46/1123234746.db2.gz HCPBNCAPBJQRIS-GFCCVEGCSA-N 1 2 287.407 3.916 20 0 CHADLO CCCOc1cc(F)ccc1NCc1[nH]c(C)c(C)[nH+]1 ZINC000850187287 1123245147 /nfs/dbraw/zinc/24/51/47/1123245147.db2.gz KUGHHFWHYHZDFH-UHFFFAOYSA-N 1 2 277.343 3.567 20 0 CHADLO Cc1[nH]c(CNc2ccc3c(c2)OC2(CCCC2)O3)[nH+]c1C ZINC000850201097 1123246087 /nfs/dbraw/zinc/24/60/87/1123246087.db2.gz NBIIFNIFEIOQOT-UHFFFAOYSA-N 1 2 299.374 3.680 20 0 CHADLO Cc1[nH]c(CNc2ccc(F)cc2OC(C)(C)C)[nH+]c1C ZINC000850232634 1123249100 /nfs/dbraw/zinc/24/91/00/1123249100.db2.gz KGHIVGKPMNUHTM-UHFFFAOYSA-N 1 2 291.370 3.955 20 0 CHADLO Cc1cc([NH2+][C@@H]2CCCSCC2)ccc1N(C)C ZINC000850455892 1123270168 /nfs/dbraw/zinc/27/01/68/1123270168.db2.gz VDMIGTITBRMFLF-CYBMUJFWSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1cc(N[C@@H]2CCCSCC2)ccc1[NH+](C)C ZINC000850455892 1123270171 /nfs/dbraw/zinc/27/01/71/1123270171.db2.gz VDMIGTITBRMFLF-CYBMUJFWSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H](C)[C@@H]3CC3(F)F)cc2)c1C ZINC000639198064 1123289712 /nfs/dbraw/zinc/28/97/12/1123289712.db2.gz NGVLDVWRSUXICR-ABAIWWIYSA-N 1 2 291.345 3.945 20 0 CHADLO Cc1csc(C(=O)NCc2c[nH+]c(C)cc2C)c1Cl ZINC000335058483 1123290621 /nfs/dbraw/zinc/29/06/21/1123290621.db2.gz OUNNOAVQTHLPOW-UHFFFAOYSA-N 1 2 294.807 3.652 20 0 CHADLO Cc1nc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)cs1 ZINC000335056001 1123290652 /nfs/dbraw/zinc/29/06/52/1123290652.db2.gz UQJBUKOTATWQOL-VIFPVBQESA-N 1 2 259.378 3.593 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CC[C@@H]3c3ccccc3)oc2c1 ZINC000466968100 1123291288 /nfs/dbraw/zinc/29/12/88/1123291288.db2.gz WFCMJRFJRAMBLO-OAHLLOKOSA-N 1 2 282.318 3.914 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CC[C@@H]3c3ccccc3)oc2c1 ZINC000466968100 1123291289 /nfs/dbraw/zinc/29/12/89/1123291289.db2.gz WFCMJRFJRAMBLO-OAHLLOKOSA-N 1 2 282.318 3.914 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@H]1CCC[C@@H](F)C1 ZINC000335060532 1123292919 /nfs/dbraw/zinc/29/29/19/1123292919.db2.gz CKCRMUGJBWRFDB-QWHCGFSZSA-N 1 2 287.338 3.544 20 0 CHADLO Cc1ccc(N[C@H]2Cc3[nH]c4ccccc4c3C2)c(C)[nH+]1 ZINC000442841579 1123343006 /nfs/dbraw/zinc/34/30/06/1123343006.db2.gz GMNZGHYIRONFBH-CYBMUJFWSA-N 1 2 277.371 3.759 20 0 CHADLO Brc1scnc1C[NH+]1CCCCCCC1 ZINC000851713434 1123374513 /nfs/dbraw/zinc/37/45/13/1123374513.db2.gz DXQRRXSYZRYELU-UHFFFAOYSA-N 1 2 289.242 3.672 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncsc2Br)C(C)(C)C1 ZINC000851799172 1123380324 /nfs/dbraw/zinc/38/03/24/1123380324.db2.gz RCXNVIAUJWNMCJ-MRVPVSSYSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncsc2Br)C(C)(C)C1 ZINC000851799172 1123380331 /nfs/dbraw/zinc/38/03/31/1123380331.db2.gz RCXNVIAUJWNMCJ-MRVPVSSYSA-N 1 2 289.242 3.526 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC3(CCC3)[C@H]2C2CC2)c(C)[nH+]1 ZINC000335590501 1123380781 /nfs/dbraw/zinc/38/07/81/1123380781.db2.gz OYUABTXLAMHYSF-MRXNPFEDSA-N 1 2 299.418 3.803 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC(F)(F)[C@@H](C)C2)c(C)[nH+]1 ZINC000335590546 1123380975 /nfs/dbraw/zinc/38/09/75/1123380975.db2.gz QSHGRJPOZXTGIU-JTQLQIEISA-N 1 2 297.349 3.516 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C2CC2)no1)c1ccccc1F ZINC000851819922 1123383581 /nfs/dbraw/zinc/38/35/81/1123383581.db2.gz MKZAKIRFFXXHAX-JTQLQIEISA-N 1 2 260.312 3.542 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3cccc(F)n3)CCC2)c(F)c1 ZINC000851901687 1123387859 /nfs/dbraw/zinc/38/78/59/1123387859.db2.gz FFTDGYOWTSPEIA-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]CC=C(Cl)Cl)cs1 ZINC000851930898 1123390057 /nfs/dbraw/zinc/39/00/57/1123390057.db2.gz GJEAACVRSSLYPC-SSDOTTSWSA-N 1 2 265.209 3.675 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OC1CC1)c1cscn1 ZINC000852417634 1123404555 /nfs/dbraw/zinc/40/45/55/1123404555.db2.gz VQAZDVHBLNHABZ-NSHDSACASA-N 1 2 274.389 3.535 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1csnn1)c1ccc(C)cc1 ZINC000398448815 1123405625 /nfs/dbraw/zinc/40/56/25/1123405625.db2.gz CVBRGTHVZVOFLT-AAEUAGOBSA-N 1 2 261.394 3.648 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[NH2+][C@H](C)c2csnn2)c1 ZINC000398444552 1123405922 /nfs/dbraw/zinc/40/59/22/1123405922.db2.gz ALYMCFOTFDXFJH-VXGBXAGGSA-N 1 2 261.394 3.567 20 0 CHADLO CC[C@@H]1CCC[C@H](C)[N@@H+]1Cc1csc(Cl)n1 ZINC000852712084 1123413009 /nfs/dbraw/zinc/41/30/09/1123413009.db2.gz LJMZFCSDROROPB-GXSJLCMTSA-N 1 2 258.818 3.950 20 0 CHADLO CC[C@@H]1CCC[C@H](C)[N@H+]1Cc1csc(Cl)n1 ZINC000852712084 1123413011 /nfs/dbraw/zinc/41/30/11/1123413011.db2.gz LJMZFCSDROROPB-GXSJLCMTSA-N 1 2 258.818 3.950 20 0 CHADLO CC(C)Cn1nccc1C[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000648009390 1123425828 /nfs/dbraw/zinc/42/58/28/1123425828.db2.gz FMTAAQPFVGTLIF-AWEZNQCLSA-N 1 2 269.392 3.616 20 0 CHADLO CC(C)Cn1nccc1C[N@H+]1Cc2ccccc2[C@@H]1C ZINC000648009390 1123425830 /nfs/dbraw/zinc/42/58/30/1123425830.db2.gz FMTAAQPFVGTLIF-AWEZNQCLSA-N 1 2 269.392 3.616 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@@H+](Cn1cccnc1=S)CC2 ZINC000853534485 1123442730 /nfs/dbraw/zinc/44/27/30/1123442730.db2.gz SELPRFUONLAHED-UHFFFAOYSA-N 1 2 299.443 3.752 20 0 CHADLO CC(C)c1ccc2c(c1)C[N@H+](Cn1cccnc1=S)CC2 ZINC000853534485 1123442733 /nfs/dbraw/zinc/44/27/33/1123442733.db2.gz SELPRFUONLAHED-UHFFFAOYSA-N 1 2 299.443 3.752 20 0 CHADLO CCC[N@H+](CCCSCC(C)C)CC(F)F ZINC000443625005 1123449663 /nfs/dbraw/zinc/44/96/63/1123449663.db2.gz FWTVXSZBVIUTOG-UHFFFAOYSA-N 1 2 253.402 3.743 20 0 CHADLO CCC[N@@H+](CCCSCC(C)C)CC(F)F ZINC000443625005 1123449664 /nfs/dbraw/zinc/44/96/64/1123449664.db2.gz FWTVXSZBVIUTOG-UHFFFAOYSA-N 1 2 253.402 3.743 20 0 CHADLO CC(C)(C)c1coc(C[NH+]2CCC(c3ccco3)CC2)n1 ZINC000895320048 1123454452 /nfs/dbraw/zinc/45/44/52/1123454452.db2.gz MCYVQRLWAWABAF-UHFFFAOYSA-N 1 2 288.391 3.945 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCc3ccccc3C2)c(C)[nH+]1 ZINC000335897848 1123460940 /nfs/dbraw/zinc/46/09/40/1123460940.db2.gz XEMXCMLOHFEFPG-UHFFFAOYSA-N 1 2 295.386 3.597 20 0 CHADLO CC(C)Cc1ccc(C[NH2+][C@@H](C)c2cscn2)cn1 ZINC000895338528 1123461297 /nfs/dbraw/zinc/46/12/97/1123461297.db2.gz RQJVGQHYFFGPFF-LBPRGKRZSA-N 1 2 275.421 3.587 20 0 CHADLO Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2)c(C)c[nH+]1 ZINC000535748254 1123464217 /nfs/dbraw/zinc/46/42/17/1123464217.db2.gz AFCHMMHPHPTSDL-UHFFFAOYSA-N 1 2 295.169 3.679 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2c(F)cccc2F)cc1 ZINC000535775876 1123470208 /nfs/dbraw/zinc/47/02/08/1123470208.db2.gz FPTYYEWBUDSENR-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2c(F)cccc2F)cc1 ZINC000535775876 1123470212 /nfs/dbraw/zinc/47/02/12/1123470212.db2.gz FPTYYEWBUDSENR-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccc(F)cc1)[C@@H]1CC1(F)F ZINC000639327900 1123470890 /nfs/dbraw/zinc/47/08/90/1123470890.db2.gz DOZLOJHPLTVSNA-KWQFWETISA-N 1 2 279.252 3.551 20 0 CHADLO C[C@H]1CC([NH2+]C2=CC=C[CH]2)C[C@H](C)[NH+]1Cc1ccccc1 ZINC001168030703 1123490445 /nfs/dbraw/zinc/49/04/45/1123490445.db2.gz WQURUOJPLCZAPE-HOTGVXAUSA-N 1 2 281.423 3.676 20 0 CHADLO FC1CC[NH+](Cc2csc(C(F)(F)F)c2)CC1 ZINC000895546094 1123491494 /nfs/dbraw/zinc/49/14/94/1123491494.db2.gz KBNZFDSWKINJEZ-UHFFFAOYSA-N 1 2 267.291 3.701 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+]1CCc2cc(F)ccc2C1 ZINC000428294736 1123506634 /nfs/dbraw/zinc/50/66/34/1123506634.db2.gz NRMOZXHVDYRAJX-UHFFFAOYSA-N 1 2 288.366 3.804 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+]1CCc2cc(F)ccc2C1 ZINC000428294736 1123506636 /nfs/dbraw/zinc/50/66/36/1123506636.db2.gz NRMOZXHVDYRAJX-UHFFFAOYSA-N 1 2 288.366 3.804 20 0 CHADLO COc1cccc(/C=C/C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000097039971 1123530131 /nfs/dbraw/zinc/53/01/31/1123530131.db2.gz XULNZYJNAFQHDE-CMDGGOBGSA-N 1 2 296.370 3.667 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccc(O)c(F)c1 ZINC000896029790 1123543945 /nfs/dbraw/zinc/54/39/45/1123543945.db2.gz HJGQPRNUQWPBTH-UHFFFAOYSA-N 1 2 292.379 3.792 20 0 CHADLO Cc1cc(N2C[C@@H](C)OC(C)(C)C2)[nH+]c2ccccc12 ZINC000049177195 1123552426 /nfs/dbraw/zinc/55/24/26/1123552426.db2.gz DGNLBXJSYCXXOR-CYBMUJFWSA-N 1 2 270.376 3.547 20 0 CHADLO C[C@H](Nc1cccc(-n2cc[nH+]c2)c1)C1CCCC1 ZINC000054078665 1123552767 /nfs/dbraw/zinc/55/27/67/1123552767.db2.gz DEEMKGDOLIRDHS-ZDUSSCGKSA-N 1 2 255.365 3.863 20 0 CHADLO CCCCCC[C@H](CC)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC000856300170 1123556645 /nfs/dbraw/zinc/55/66/45/1123556645.db2.gz JKBDIFBWTQPOGA-HNNXBMFYSA-N 1 2 293.455 3.716 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1cncc(Cl)c1)C2 ZINC000856724283 1123566030 /nfs/dbraw/zinc/56/60/30/1123566030.db2.gz ARYWHLCJOVCUQJ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1cncc(Cl)c1)C2 ZINC000856724283 1123566034 /nfs/dbraw/zinc/56/60/34/1123566034.db2.gz ARYWHLCJOVCUQJ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(N[C@H]2CCCc3cccnc32)nc(C2CCC2)[nH+]1 ZINC000896416056 1123581680 /nfs/dbraw/zinc/58/16/80/1123581680.db2.gz WGODTZHMFVLJPW-HNNXBMFYSA-N 1 2 294.402 3.937 20 0 CHADLO CCCC[C@@H](CC)CCCN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC000621111723 1129131427 /nfs/dbraw/zinc/13/14/27/1129131427.db2.gz RGGFZOHCXGWKGI-OAHLLOKOSA-N 1 2 293.455 3.716 20 0 CHADLO Clc1cccc(SCC[N@@H+]2Cc3ccncc3C2)c1 ZINC000857881404 1123623926 /nfs/dbraw/zinc/62/39/26/1123623926.db2.gz GASKEVSUZVFLGS-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1cccc(SCC[N@H+]2Cc3ccncc3C2)c1 ZINC000857881404 1123623928 /nfs/dbraw/zinc/62/39/28/1123623928.db2.gz GASKEVSUZVFLGS-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO C[C@@H](c1ccc2c(c1)CCC2)[N@@H+]1Cc2ccncc2C1 ZINC000857882567 1123624414 /nfs/dbraw/zinc/62/44/14/1123624414.db2.gz UELTYPPMWLFOPG-ZDUSSCGKSA-N 1 2 264.372 3.647 20 0 CHADLO C[C@@H](c1ccc2c(c1)CCC2)[N@H+]1Cc2ccncc2C1 ZINC000857882567 1123624415 /nfs/dbraw/zinc/62/44/15/1123624415.db2.gz UELTYPPMWLFOPG-ZDUSSCGKSA-N 1 2 264.372 3.647 20 0 CHADLO C[N@H+](Cc1cocn1)Cc1csc2ccccc12 ZINC000191925563 1123630543 /nfs/dbraw/zinc/63/05/43/1123630543.db2.gz KBOONPFDYODMRA-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@@H+](Cc1cocn1)Cc1csc2ccccc12 ZINC000191925563 1123630545 /nfs/dbraw/zinc/63/05/45/1123630545.db2.gz KBOONPFDYODMRA-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+][C@H]2CCCc3scnc32)c1 ZINC000858415681 1123652291 /nfs/dbraw/zinc/65/22/91/1123652291.db2.gz VXLWOEGCAVIHGH-NSHDSACASA-N 1 2 293.823 3.667 20 0 CHADLO Cc1ccccc1OC[C@@H](C)Oc1c(C)cc[nH+]c1C ZINC001227263803 1123660214 /nfs/dbraw/zinc/66/02/14/1123660214.db2.gz IJRHSROVTNHJIX-CQSZACIVSA-N 1 2 271.360 3.853 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H](c1cccnc1)C(F)(F)F ZINC001227263374 1123660265 /nfs/dbraw/zinc/66/02/65/1123660265.db2.gz FYWIXSMZOWLLGR-CYBMUJFWSA-N 1 2 282.265 3.776 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H](c1cccnc1)C1CC1 ZINC001227263140 1123660472 /nfs/dbraw/zinc/66/04/72/1123660472.db2.gz CHDFSWHEXDOKOI-MRXNPFEDSA-N 1 2 254.333 3.624 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)nc1 ZINC000339012736 1123669147 /nfs/dbraw/zinc/66/91/47/1123669147.db2.gz VZKPYWUKJLFOLP-DNVCBOLYSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)nc1 ZINC000339012736 1123669150 /nfs/dbraw/zinc/66/91/50/1123669150.db2.gz VZKPYWUKJLFOLP-DNVCBOLYSA-N 1 2 296.414 3.606 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1ccnc(F)c1 ZINC000859053305 1123681651 /nfs/dbraw/zinc/68/16/51/1123681651.db2.gz BCDJUSIZCCHPOT-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1ccnc(F)c1 ZINC000859053305 1123681653 /nfs/dbraw/zinc/68/16/53/1123681653.db2.gz BCDJUSIZCCHPOT-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO Cn1nc(C[N@H+](Cc2ccco2)C2CCCC2)cc1Cl ZINC000859080224 1123683693 /nfs/dbraw/zinc/68/36/93/1123683693.db2.gz YMXRGLJDSWFTDS-UHFFFAOYSA-N 1 2 293.798 3.611 20 0 CHADLO Cn1nc(C[N@@H+](Cc2ccco2)C2CCCC2)cc1Cl ZINC000859080224 1123683695 /nfs/dbraw/zinc/68/36/95/1123683695.db2.gz YMXRGLJDSWFTDS-UHFFFAOYSA-N 1 2 293.798 3.611 20 0 CHADLO C[C@@H]1C[NH+](Cc2cnc(Cl)c(F)c2)C[C@@H](C)C1(F)F ZINC000859415470 1123696725 /nfs/dbraw/zinc/69/67/25/1123696725.db2.gz UXOGUIZLHUNURQ-RKDXNWHRSA-N 1 2 292.732 3.597 20 0 CHADLO C[C@@H](CCC(F)(F)F)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000346630952 1123702857 /nfs/dbraw/zinc/70/28/57/1123702857.db2.gz GUKAOLFTVRJWQT-NSHDSACASA-N 1 2 287.329 3.825 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(Cl)c2)nc(C2CC2)[nH+]1 ZINC000346643862 1123704476 /nfs/dbraw/zinc/70/44/76/1123704476.db2.gz ABMWQYFJNYUCQZ-NSHDSACASA-N 1 2 287.794 3.911 20 0 CHADLO C/C=C\C[C@H]1CCC[N@H+](Cc2csc(Cl)n2)C1 ZINC000860184822 1123724356 /nfs/dbraw/zinc/72/43/56/1123724356.db2.gz KBTINDMIWCAJBT-QUCGXOGASA-N 1 2 270.829 3.975 20 0 CHADLO C/C=C\C[C@H]1CCC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000860184822 1123724360 /nfs/dbraw/zinc/72/43/60/1123724360.db2.gz KBTINDMIWCAJBT-QUCGXOGASA-N 1 2 270.829 3.975 20 0 CHADLO C[C@H](Nc1ccc(-n2cc[nH+]c2)c(Cl)c1)[C@@H]1CCCO1 ZINC000346834228 1123724897 /nfs/dbraw/zinc/72/48/97/1123724897.db2.gz NXVZDKSJYBGPPI-NHYWBVRUSA-N 1 2 291.782 3.505 20 0 CHADLO CCCn1cc[nH+]c1CCCN(C)C(=O)CC(C)(C)CC ZINC000346962230 1123735102 /nfs/dbraw/zinc/73/51/02/1123735102.db2.gz ATNVDYGSBLHSJK-UHFFFAOYSA-N 1 2 293.455 3.510 20 0 CHADLO C[C@H]1CCC[C@H]1CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000346948996 1123736183 /nfs/dbraw/zinc/73/61/83/1123736183.db2.gz LGWAQFAZMLZUGH-KBPBESRZSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cc(NC[C@H]2CCC(F)(F)C2)nc(C2CC2)[nH+]1 ZINC000281946434 1123755710 /nfs/dbraw/zinc/75/57/10/1123755710.db2.gz JHHKGZYGVLCJTC-JTQLQIEISA-N 1 2 267.323 3.510 20 0 CHADLO Cc1cccc2c1OCC[C@H]2[NH2+][C@H](C)c1cscn1 ZINC000336566074 1123775459 /nfs/dbraw/zinc/77/54/59/1123775459.db2.gz ZPVXBGZRNRYEGF-DGCLKSJQSA-N 1 2 274.389 3.626 20 0 CHADLO CCC[N@H+](CC[C@H](C)OCc1ccccc1)CC(F)F ZINC000475064465 1123777685 /nfs/dbraw/zinc/77/76/85/1123777685.db2.gz OVLOVTVIORIPOL-AWEZNQCLSA-N 1 2 285.378 3.959 20 0 CHADLO CCC[N@@H+](CC[C@H](C)OCc1ccccc1)CC(F)F ZINC000475064465 1123777688 /nfs/dbraw/zinc/77/76/88/1123777688.db2.gz OVLOVTVIORIPOL-AWEZNQCLSA-N 1 2 285.378 3.959 20 0 CHADLO CC[N@H+](Cc1nc(C)c[nH]1)Cc1ccc(C(F)(F)F)cc1 ZINC000862223622 1123793150 /nfs/dbraw/zinc/79/31/50/1123793150.db2.gz RLKYPNMEOZNPTP-UHFFFAOYSA-N 1 2 297.324 3.759 20 0 CHADLO CC[N@@H+](Cc1nc(C)c[nH]1)Cc1ccc(C(F)(F)F)cc1 ZINC000862223622 1123793155 /nfs/dbraw/zinc/79/31/55/1123793155.db2.gz RLKYPNMEOZNPTP-UHFFFAOYSA-N 1 2 297.324 3.759 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2ccc3cc[nH]c3c2)n1 ZINC000862242643 1123794664 /nfs/dbraw/zinc/79/46/64/1123794664.db2.gz LZWSLGIORINBAG-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2ccc3cc[nH]c3c2)n1 ZINC000862242643 1123794666 /nfs/dbraw/zinc/79/46/66/1123794666.db2.gz LZWSLGIORINBAG-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)C1CC(F)(F)C1 ZINC000862297469 1123799143 /nfs/dbraw/zinc/79/91/43/1123799143.db2.gz WNGRYYGUEPYFBG-LLVKDONJSA-N 1 2 280.362 3.915 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)C1CC(F)(F)C1 ZINC000862297469 1123799147 /nfs/dbraw/zinc/79/91/47/1123799147.db2.gz WNGRYYGUEPYFBG-LLVKDONJSA-N 1 2 280.362 3.915 20 0 CHADLO C[C@@H]([NH2+]c1ccc2c(c1)CCCN2C)C1CC(F)(F)C1 ZINC000862297469 1123799150 /nfs/dbraw/zinc/79/91/50/1123799150.db2.gz WNGRYYGUEPYFBG-LLVKDONJSA-N 1 2 280.362 3.915 20 0 CHADLO CCN(Cc1[nH]c(C)c(C)[nH+]1)c1ccc2[nH]ccc2c1 ZINC000862339437 1123799607 /nfs/dbraw/zinc/79/96/07/1123799607.db2.gz UPAZNCXCUMTQLB-UHFFFAOYSA-N 1 2 268.364 3.534 20 0 CHADLO Cc1[nH]c(CN2CCCSc3ccc(F)cc32)[nH+]c1C ZINC000862372166 1123804229 /nfs/dbraw/zinc/80/42/29/1123804229.db2.gz SDIBODSKDFZFBY-UHFFFAOYSA-N 1 2 291.395 3.668 20 0 CHADLO CCC(C)(C)OC1C[NH+](Cc2cncc3ccccc32)C1 ZINC000862470183 1123812708 /nfs/dbraw/zinc/81/27/08/1123812708.db2.gz KACAJPGAOTWKJH-UHFFFAOYSA-N 1 2 284.403 3.624 20 0 CHADLO Cc1cc(C)c(CNC(=S)Nc2c(C)cccc2C)c[nH+]1 ZINC000840825839 1123828713 /nfs/dbraw/zinc/82/87/13/1123828713.db2.gz ATNVRGQJLXTLBW-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000475276857 1123830854 /nfs/dbraw/zinc/83/08/54/1123830854.db2.gz CBRDSUTVQILOCF-VXNVDRBHSA-N 1 2 297.242 3.877 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000475276857 1123830861 /nfs/dbraw/zinc/83/08/61/1123830861.db2.gz CBRDSUTVQILOCF-VXNVDRBHSA-N 1 2 297.242 3.877 20 0 CHADLO Cc1cccn2c(CN(C)c3ccc4cc[nH]c4c3)c[nH+]c12 ZINC000475355403 1123848608 /nfs/dbraw/zinc/84/86/08/1123848608.db2.gz VYNZDYHXPHODMU-UHFFFAOYSA-N 1 2 290.370 3.760 20 0 CHADLO CC(C)[C@H](Nc1cccc[nH+]1)c1ccc2c(c1)OCCO2 ZINC000301058692 1123870712 /nfs/dbraw/zinc/87/07/12/1123870712.db2.gz DBUFMLVUCPTARK-KRWDZBQOSA-N 1 2 284.359 3.662 20 0 CHADLO CCN(Cc1cccs1)c1cc(C)[nH+]c(C(C)C)n1 ZINC000301071300 1123871685 /nfs/dbraw/zinc/87/16/85/1123871685.db2.gz UWXUTAWBMILFHN-UHFFFAOYSA-N 1 2 275.421 3.996 20 0 CHADLO CO[C@@H](CNc1cc(C)[nH+]c(C(C)C)n1)c1ccccc1 ZINC000301094539 1123874110 /nfs/dbraw/zinc/87/41/10/1123874110.db2.gz JROMZUMKDXFCCK-HNNXBMFYSA-N 1 2 285.391 3.708 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1cccc2c1OCO2 ZINC000315880786 1129152663 /nfs/dbraw/zinc/15/26/63/1129152663.db2.gz APAYFAPHDJSMCQ-UHFFFAOYSA-N 1 2 292.338 3.884 20 0 CHADLO CC/C(C)=C(/C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000779857597 1129157678 /nfs/dbraw/zinc/15/76/78/1129157678.db2.gz VXYVYAFJLGTPEH-QXMHVHEDSA-N 1 2 287.338 3.696 20 0 CHADLO Cc1cc(N(C)[C@@H](C)c2cccnc2)nc(C(C)C)[nH+]1 ZINC000301623334 1123918898 /nfs/dbraw/zinc/91/88/98/1123918898.db2.gz GEOQWEMIVPSQFK-ZDUSSCGKSA-N 1 2 270.380 3.501 20 0 CHADLO CCN(c1cc(C)[nH+]c(C(C)C)n1)[C@H](C)c1cccnc1 ZINC000301637784 1123919417 /nfs/dbraw/zinc/91/94/17/1123919417.db2.gz FPZDJWFPFNROAW-CQSZACIVSA-N 1 2 284.407 3.891 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H](O)Cc1ccccc1 ZINC000301714325 1123926267 /nfs/dbraw/zinc/92/62/67/1123926267.db2.gz JEAKPUUHRLIJHT-KRWDZBQOSA-N 1 2 292.382 3.559 20 0 CHADLO CC[N@H+](CC1CCC1)Cn1nc(C2CC2)sc1=S ZINC000794682544 1123926942 /nfs/dbraw/zinc/92/69/42/1123926942.db2.gz HUYMOWHXHQPCNZ-UHFFFAOYSA-N 1 2 283.466 3.631 20 0 CHADLO CC[N@@H+](CC1CCC1)Cn1nc(C2CC2)sc1=S ZINC000794682544 1123926944 /nfs/dbraw/zinc/92/69/44/1123926944.db2.gz HUYMOWHXHQPCNZ-UHFFFAOYSA-N 1 2 283.466 3.631 20 0 CHADLO CC(C)c1cc(N2CCCSCC2)nc(C(C)C)[nH+]1 ZINC000301826033 1123936729 /nfs/dbraw/zinc/93/67/29/1123936729.db2.gz OSEXEEJQUFVKCU-UHFFFAOYSA-N 1 2 279.453 3.667 20 0 CHADLO CC(C)c1cc(NC[C@]2(C)CCCO2)nc(C(C)C)[nH+]1 ZINC000301847381 1123937400 /nfs/dbraw/zinc/93/74/00/1123937400.db2.gz BOQXYFXIUOXFDD-INIZCTEOSA-N 1 2 277.412 3.704 20 0 CHADLO CC(C)c1cc(NCC[C@H](O)C(C)C)nc(C(C)C)[nH+]1 ZINC000301874250 1123939796 /nfs/dbraw/zinc/93/97/96/1123939796.db2.gz REEUGFFQRQRNOY-AWEZNQCLSA-N 1 2 279.428 3.542 20 0 CHADLO Cc1ccc(C[C@@H](C)Nc2nc(N)c3ccccc3[nH+]2)s1 ZINC000301926193 1123944177 /nfs/dbraw/zinc/94/41/77/1123944177.db2.gz YYIPURIIJJTCDZ-SNVBAGLBSA-N 1 2 298.415 3.625 20 0 CHADLO Cc1cc(C)cc(C2=CCN(c3cccc[nH+]3)CC2)c1 ZINC000301924167 1123944394 /nfs/dbraw/zinc/94/43/94/1123944394.db2.gz GFPOKCYFYZIZFD-UHFFFAOYSA-N 1 2 264.372 3.992 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCc1ccc(CO)cc1 ZINC000301982424 1123948011 /nfs/dbraw/zinc/94/80/11/1123948011.db2.gz BKMKPNBYZBJPFE-UHFFFAOYSA-N 1 2 292.382 3.690 20 0 CHADLO Cc1csc([C@H](C)Nc2nc(C)[nH+]c3c2CCCC3)n1 ZINC000302071668 1123953504 /nfs/dbraw/zinc/95/35/04/1123953504.db2.gz YUCYWGMTFIYJGM-JTQLQIEISA-N 1 2 288.420 3.602 20 0 CHADLO CCc1cc(N2C[C@H](C)[C@@H]2c2ccccc2)nc(C)[nH+]1 ZINC000302092092 1123954970 /nfs/dbraw/zinc/95/49/70/1123954970.db2.gz MMIRYEFDEJOWMB-YVEFUNNKSA-N 1 2 267.376 3.545 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@]12C[C@H]1CCCC2 ZINC000865072491 1123956192 /nfs/dbraw/zinc/95/61/92/1123956192.db2.gz UHWXAVYCKPMWRI-CXAGYDPISA-N 1 2 281.359 3.596 20 0 CHADLO Cc1cnc([C@@H](C)CNc2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000302132673 1123957078 /nfs/dbraw/zinc/95/70/78/1123957078.db2.gz KXLWQMSBKGJOAR-JTQLQIEISA-N 1 2 290.436 3.889 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2oc(C(C)C)nc2C)o1 ZINC000428347162 1123962578 /nfs/dbraw/zinc/96/25/78/1123962578.db2.gz QANPAIHPTDKWDM-NSHDSACASA-N 1 2 262.353 3.859 20 0 CHADLO Cc1nc(N[C@H]2CC[C@H](Cc3ccccc3)C2)cc[nH+]1 ZINC000302519593 1123971334 /nfs/dbraw/zinc/97/13/34/1123971334.db2.gz WWTFOPHENPODPR-CVEARBPZSA-N 1 2 267.376 3.608 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc([NH+](C)C)cc2C)C1(C)C ZINC000085381639 1129159139 /nfs/dbraw/zinc/15/91/39/1129159139.db2.gz LDCBYBHPEVRLSQ-HZPDHXFCSA-N 1 2 276.424 3.677 20 0 CHADLO Cc1c(Cl)cccc1C[NH2+]Cc1nccc(C(F)F)n1 ZINC000865569168 1123995740 /nfs/dbraw/zinc/99/57/40/1123995740.db2.gz JJANGZLFGMULTQ-UHFFFAOYSA-N 1 2 297.736 3.666 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+]Cc1cn(C2CC2)cn1 ZINC000865575858 1123996270 /nfs/dbraw/zinc/99/62/70/1123996270.db2.gz UDWLTSLKLLYMHF-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nn(C)cc2C(F)F)c(Cl)c1 ZINC000865807781 1124015266 /nfs/dbraw/zinc/01/52/66/1124015266.db2.gz PUMMRFNJCDSPAS-UHFFFAOYSA-N 1 2 299.752 3.609 20 0 CHADLO Cc1csc(C[NH2+]Cc2ccc(C(C)(C)C)o2)n1 ZINC000865814906 1124017161 /nfs/dbraw/zinc/01/71/61/1124017161.db2.gz QPHZOHQLWHPFQJ-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(OC(F)F)c1)C(C)(F)F ZINC000389458895 1124027715 /nfs/dbraw/zinc/02/77/15/1124027715.db2.gz DBWMYXYAYAVZLU-DTWKUNHWSA-N 1 2 279.277 3.982 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc(C(F)(F)F)cs2)c(C)o1 ZINC000120884417 1124031973 /nfs/dbraw/zinc/03/19/73/1124031973.db2.gz GXSGCILNLMAGPY-UHFFFAOYSA-N 1 2 290.310 3.662 20 0 CHADLO CC(C)C[C@H](C(=O)N(C)[C@H]1CCC(C)(C)C1)n1cc[nH+]c1 ZINC001626773257 1124034594 /nfs/dbraw/zinc/03/45/94/1124034594.db2.gz QMABVCUJAAFBHC-LSDHHAIUSA-N 1 2 291.439 3.507 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@@H]1F)c1cccc(F)c1 ZINC000866213349 1124037632 /nfs/dbraw/zinc/03/76/32/1124037632.db2.gz PLAQRLXYJQDYEJ-XNJJOIOASA-N 1 2 289.325 3.948 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2OC[C@@H]1F)c1ccccc1 ZINC000866214042 1124037967 /nfs/dbraw/zinc/03/79/67/1124037967.db2.gz GUAMEWCSTCJSNL-NUTKFTJISA-N 1 2 271.335 3.809 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2OC[C@@H]1F)c1cccs1 ZINC000866217452 1124038175 /nfs/dbraw/zinc/03/81/75/1124038175.db2.gz NNEATGCETBIHMY-WBIUFABUSA-N 1 2 277.364 3.871 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)c(C)s1 ZINC000866271606 1124045838 /nfs/dbraw/zinc/04/58/38/1124045838.db2.gz BCAIAIMOOBVXRT-GDBMZVCRSA-N 1 2 291.391 3.926 20 0 CHADLO C[C@H](CCc1cccnc1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000866317424 1124052168 /nfs/dbraw/zinc/05/21/68/1124052168.db2.gz ZMOYUJMWDKWZOE-OAHLLOKOSA-N 1 2 296.418 3.510 20 0 CHADLO CCc1noc(C)c1C[N@H+](C)Cc1cc(C)ccc1F ZINC000444728908 1124058903 /nfs/dbraw/zinc/05/89/03/1124058903.db2.gz CPGWDAFXCNAVLM-UHFFFAOYSA-N 1 2 276.355 3.625 20 0 CHADLO CCc1noc(C)c1C[N@@H+](C)Cc1cc(C)ccc1F ZINC000444728908 1124058906 /nfs/dbraw/zinc/05/89/06/1124058906.db2.gz CPGWDAFXCNAVLM-UHFFFAOYSA-N 1 2 276.355 3.625 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000444753108 1124059814 /nfs/dbraw/zinc/05/98/14/1124059814.db2.gz XTPXSRHIWRNSJR-MRXNPFEDSA-N 1 2 299.418 3.528 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000444753108 1124059817 /nfs/dbraw/zinc/05/98/17/1124059817.db2.gz XTPXSRHIWRNSJR-MRXNPFEDSA-N 1 2 299.418 3.528 20 0 CHADLO C[C@H]([NH2+]Cc1ncccn1)c1ccc(C2CCC2)cc1 ZINC000866393327 1124062142 /nfs/dbraw/zinc/06/21/42/1124062142.db2.gz MYTBLEDLVVCPCM-ZDUSSCGKSA-N 1 2 267.376 3.595 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2nc3cc(F)ccc3o2)s1 ZINC000634988003 1129168382 /nfs/dbraw/zinc/16/83/82/1129168382.db2.gz KGLNHMVFKHVOMT-MRVPVSSYSA-N 1 2 291.351 3.583 20 0 CHADLO CCc1nc(C)c(C[NH2+]C(C)(C)c2nc(C)c(C)s2)o1 ZINC000428384256 1124071126 /nfs/dbraw/zinc/07/11/26/1124071126.db2.gz XAHCCUWYVQNHIM-UHFFFAOYSA-N 1 2 293.436 3.644 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(C)(C)C)o1)c1nccs1 ZINC000866572670 1124084464 /nfs/dbraw/zinc/08/44/64/1124084464.db2.gz AHPQGVSVNUGUOZ-JTQLQIEISA-N 1 2 264.394 3.884 20 0 CHADLO CC(C)[C@H](C)[N@H+](C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000029541754 1124091298 /nfs/dbraw/zinc/09/12/98/1124091298.db2.gz LSUXXWMXPMVTLH-LBPRGKRZSA-N 1 2 290.436 3.541 20 0 CHADLO CC(C)[C@H](C)[N@@H+](C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000029541754 1124091303 /nfs/dbraw/zinc/09/13/03/1124091303.db2.gz LSUXXWMXPMVTLH-LBPRGKRZSA-N 1 2 290.436 3.541 20 0 CHADLO Cc1cc(OC(F)F)c(C(=O)Nc2cc[nH+]c(C)c2)s1 ZINC000114351794 1124096308 /nfs/dbraw/zinc/09/63/08/1124096308.db2.gz WKAYWKMQDIBHBW-UHFFFAOYSA-N 1 2 298.314 3.614 20 0 CHADLO CCc1nc(C)c(C[N@H+](Cc2ccccc2F)C2CC2)o1 ZINC000428455417 1124125160 /nfs/dbraw/zinc/12/51/60/1124125160.db2.gz CONPIPOYAIAPJZ-UHFFFAOYSA-N 1 2 288.366 3.849 20 0 CHADLO CCc1nc(C)c(C[N@@H+](Cc2ccccc2F)C2CC2)o1 ZINC000428455417 1124125161 /nfs/dbraw/zinc/12/51/61/1124125161.db2.gz CONPIPOYAIAPJZ-UHFFFAOYSA-N 1 2 288.366 3.849 20 0 CHADLO CCCCCOc1cc(C)ccc1C[NH2+]Cc1ccon1 ZINC000634968575 1129167587 /nfs/dbraw/zinc/16/75/87/1129167587.db2.gz RNPFSZGEDUMIDV-UHFFFAOYSA-N 1 2 288.391 3.842 20 0 CHADLO COCc1cnc(C[N@H+](C)Cc2cc(C)cc(C)c2)s1 ZINC000446976354 1124129894 /nfs/dbraw/zinc/12/98/94/1124129894.db2.gz VZPHOAAXNMLWQL-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO COCc1cnc(C[N@@H+](C)Cc2cc(C)cc(C)c2)s1 ZINC000446976354 1124129895 /nfs/dbraw/zinc/12/98/95/1124129895.db2.gz VZPHOAAXNMLWQL-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO COCC[N@H+](Cc1ccco1)Cc1ccc(C)cc1Cl ZINC000447125156 1124134329 /nfs/dbraw/zinc/13/43/29/1124134329.db2.gz JLQNUQNZJUYRKN-UHFFFAOYSA-N 1 2 293.794 3.890 20 0 CHADLO COCC[N@@H+](Cc1ccco1)Cc1ccc(C)cc1Cl ZINC000447125156 1124134330 /nfs/dbraw/zinc/13/43/30/1124134330.db2.gz JLQNUQNZJUYRKN-UHFFFAOYSA-N 1 2 293.794 3.890 20 0 CHADLO Cc1ccc(C)c(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)c1 ZINC000635052405 1129171910 /nfs/dbraw/zinc/17/19/10/1129171910.db2.gz BHDJOHPVTAKGDQ-MRXNPFEDSA-N 1 2 285.391 3.726 20 0 CHADLO Cc1ncccc1C[NH2+][C@H](C(F)F)C1CCCCC1 ZINC000621400702 1129172980 /nfs/dbraw/zinc/17/29/80/1129172980.db2.gz PHAIKFQBYMKYIL-AWEZNQCLSA-N 1 2 268.351 3.694 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1Cc1cc(C2CC2)no1 ZINC000621400519 1129173004 /nfs/dbraw/zinc/17/30/04/1129173004.db2.gz KETRMMOGBQWLPX-NSHDSACASA-N 1 2 254.333 3.629 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1Cc1cc(C2CC2)no1 ZINC000621400519 1129173008 /nfs/dbraw/zinc/17/30/08/1129173008.db2.gz KETRMMOGBQWLPX-NSHDSACASA-N 1 2 254.333 3.629 20 0 CHADLO Cc1ccc(F)c(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)c1 ZINC000635148110 1129174006 /nfs/dbraw/zinc/17/40/06/1129174006.db2.gz OTRNSVLDCUJWSA-OAHLLOKOSA-N 1 2 289.354 3.557 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001238781308 1131246294 /nfs/dbraw/zinc/24/62/94/1131246294.db2.gz QOVXAAKEBFLKHH-NURSFMCSSA-N 1 2 271.738 3.577 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001238781308 1131246298 /nfs/dbraw/zinc/24/62/98/1131246298.db2.gz QOVXAAKEBFLKHH-NURSFMCSSA-N 1 2 271.738 3.577 20 0 CHADLO Fc1ccc(-c2ccc(C3CC3)nc2)cc1-n1cc[nH+]c1 ZINC001238854557 1131257590 /nfs/dbraw/zinc/25/75/90/1131257590.db2.gz FWHQKIHXCQLMKI-UHFFFAOYSA-N 1 2 279.318 3.951 20 0 CHADLO c1cn(Cc2ccccc2-c2ccc(C3CC3)nc2)c[nH+]1 ZINC001238854609 1131257833 /nfs/dbraw/zinc/25/78/33/1131257833.db2.gz JDOPFRLNDQWHHH-UHFFFAOYSA-N 1 2 275.355 3.871 20 0 CHADLO CCCc1nc(C)c(C[N@H+](C)Cc2ccc(F)c(F)c2)o1 ZINC000428474451 1124456577 /nfs/dbraw/zinc/45/65/77/1124456577.db2.gz SCEIUTFKSNQWDF-UHFFFAOYSA-N 1 2 294.345 3.846 20 0 CHADLO CCCc1nc(C)c(C[N@@H+](C)Cc2ccc(F)c(F)c2)o1 ZINC000428474451 1124456580 /nfs/dbraw/zinc/45/65/80/1124456580.db2.gz SCEIUTFKSNQWDF-UHFFFAOYSA-N 1 2 294.345 3.846 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc(C3CC3)cs2)c(C)o1 ZINC000122075805 1124464490 /nfs/dbraw/zinc/46/44/90/1124464490.db2.gz GEZDSHGUFCCAGI-UHFFFAOYSA-N 1 2 262.378 3.520 20 0 CHADLO COC(=O)c1sccc1C[N@H+](Cc1ccco1)C(C)C ZINC000447377127 1124468499 /nfs/dbraw/zinc/46/84/99/1124468499.db2.gz WJBAIPJCABGHNO-UHFFFAOYSA-N 1 2 293.388 3.538 20 0 CHADLO COC(=O)c1sccc1C[N@@H+](Cc1ccco1)C(C)C ZINC000447377127 1124468503 /nfs/dbraw/zinc/46/85/03/1124468503.db2.gz WJBAIPJCABGHNO-UHFFFAOYSA-N 1 2 293.388 3.538 20 0 CHADLO CCc1ccccc1C[N@@H+]1CCOC[C@H]1c1ccc(C)o1 ZINC000525834037 1124469399 /nfs/dbraw/zinc/46/93/99/1124469399.db2.gz LHEWCQMHODVZPR-KRWDZBQOSA-N 1 2 285.387 3.724 20 0 CHADLO CCc1ccccc1C[N@H+]1CCOC[C@H]1c1ccc(C)o1 ZINC000525834037 1124469403 /nfs/dbraw/zinc/46/94/03/1124469403.db2.gz LHEWCQMHODVZPR-KRWDZBQOSA-N 1 2 285.387 3.724 20 0 CHADLO CC[C@@H]1c2ccccc2CN1c1cc(N2CCCC2)[nH+]cn1 ZINC000897354028 1124469949 /nfs/dbraw/zinc/46/99/49/1124469949.db2.gz IBBGMKXZBOOVKG-MRXNPFEDSA-N 1 2 294.402 3.548 20 0 CHADLO CC[C@@H]1c2ccccc2CN1c1cc(N2CCCC2)nc[nH+]1 ZINC000897354028 1124469958 /nfs/dbraw/zinc/46/99/58/1124469958.db2.gz IBBGMKXZBOOVKG-MRXNPFEDSA-N 1 2 294.402 3.548 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)N1CC[NH+](CC2(F)CC2)CC1 ZINC000525978239 1124488669 /nfs/dbraw/zinc/48/86/69/1124488669.db2.gz SLEXWJOTDXASJB-CYBMUJFWSA-N 1 2 296.817 3.521 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc3ccc(C)cc3[nH]2)c(C)o1 ZINC000447564074 1124492289 /nfs/dbraw/zinc/49/22/89/1124492289.db2.gz UQVQEWWFOBKHCK-LBPRGKRZSA-N 1 2 283.375 3.932 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1cnc(C(F)(F)F)s1 ZINC000447735495 1124516127 /nfs/dbraw/zinc/51/61/27/1124516127.db2.gz FCHYYUOSQFSPEN-UHFFFAOYSA-N 1 2 292.248 3.546 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1cnc(C(F)(F)F)s1 ZINC000447735495 1124516134 /nfs/dbraw/zinc/51/61/34/1124516134.db2.gz FCHYYUOSQFSPEN-UHFFFAOYSA-N 1 2 292.248 3.546 20 0 CHADLO C[C@@H]1C[N@H+](CCCc2c(F)cccc2Cl)[C@H](C)CO1 ZINC000447733797 1124517458 /nfs/dbraw/zinc/51/74/58/1124517458.db2.gz QZQREHDCCHZNES-VXGBXAGGSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@@H]1C[N@@H+](CCCc2c(F)cccc2Cl)[C@H](C)CO1 ZINC000447733797 1124517464 /nfs/dbraw/zinc/51/74/64/1124517464.db2.gz QZQREHDCCHZNES-VXGBXAGGSA-N 1 2 285.790 3.521 20 0 CHADLO CC(C)(C)[C@@H]1C[N@H+](Cc2nc3ccccc3s2)CCO1 ZINC000447835770 1124533663 /nfs/dbraw/zinc/53/36/63/1124533663.db2.gz ZWEUMUHMSFDNTR-AWEZNQCLSA-N 1 2 290.432 3.543 20 0 CHADLO CC(C)(C)[C@@H]1C[N@@H+](Cc2nc3ccccc3s2)CCO1 ZINC000447835770 1124533668 /nfs/dbraw/zinc/53/36/68/1124533668.db2.gz ZWEUMUHMSFDNTR-AWEZNQCLSA-N 1 2 290.432 3.543 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000447833939 1124534847 /nfs/dbraw/zinc/53/48/47/1124534847.db2.gz JRUNUTJILPRELO-NHYWBVRUSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000447833939 1124534855 /nfs/dbraw/zinc/53/48/55/1124534855.db2.gz JRUNUTJILPRELO-NHYWBVRUSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@@H]1CCC[C@]1(C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000448646595 1124601360 /nfs/dbraw/zinc/60/13/60/1124601360.db2.gz IHAYDXFVCMHZFX-DYVFJYSZSA-N 1 2 283.375 3.637 20 0 CHADLO CC(C)(C)c1cn(Cc2cc(C(F)(F)F)co2)c[nH+]1 ZINC000871681013 1124626259 /nfs/dbraw/zinc/62/62/59/1124626259.db2.gz QYIGNDRYKLIXSF-UHFFFAOYSA-N 1 2 272.270 3.841 20 0 CHADLO Fc1cccc2c1CC[N@H+](Cc1ccnn1C1CCCC1)C2 ZINC000449028372 1124634488 /nfs/dbraw/zinc/63/44/88/1124634488.db2.gz NWDQQODVDGPPRS-UHFFFAOYSA-N 1 2 299.393 3.696 20 0 CHADLO Fc1cccc2c1CC[N@@H+](Cc1ccnn1C1CCCC1)C2 ZINC000449028372 1124634491 /nfs/dbraw/zinc/63/44/91/1124634491.db2.gz NWDQQODVDGPPRS-UHFFFAOYSA-N 1 2 299.393 3.696 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]Cc1ncccc1Cl ZINC000528434461 1124665370 /nfs/dbraw/zinc/66/53/70/1124665370.db2.gz NWLLKIPYBLVSFC-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CCC[C@@H]1c1csc(C)n1 ZINC000528457280 1124668455 /nfs/dbraw/zinc/66/84/55/1124668455.db2.gz PKQPEQSCDDXHGR-BIPYJSMBSA-N 1 2 256.802 3.731 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CCC[C@@H]1c1csc(C)n1 ZINC000528457280 1124668459 /nfs/dbraw/zinc/66/84/59/1124668459.db2.gz PKQPEQSCDDXHGR-BIPYJSMBSA-N 1 2 256.802 3.731 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CCC[C@H]1c1nc2c(n1C)CCCC2 ZINC000528458608 1124669678 /nfs/dbraw/zinc/66/96/78/1124669678.db2.gz GMWDRMYLMHYLDO-LMRWQKIVSA-N 1 2 293.842 3.578 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CCC[C@H]1c1nc2c(n1C)CCCC2 ZINC000528458608 1124669681 /nfs/dbraw/zinc/66/96/81/1124669681.db2.gz GMWDRMYLMHYLDO-LMRWQKIVSA-N 1 2 293.842 3.578 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N(C)C)c(C)c1)[C@@H]1CCCOC1 ZINC000872059573 1124670071 /nfs/dbraw/zinc/67/00/71/1124670071.db2.gz INMANZCOGRNXSR-ZBFHGGJFSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@H](Nc1ccc([NH+](C)C)c(C)c1)[C@@H]1CCCOC1 ZINC000872059573 1124670080 /nfs/dbraw/zinc/67/00/80/1124670080.db2.gz INMANZCOGRNXSR-ZBFHGGJFSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+][C@H](C)c2cccc(O)c2F)c1 ZINC000872068462 1124673338 /nfs/dbraw/zinc/67/33/38/1124673338.db2.gz GKWRKKUCEGZAJR-SNVBAGLBSA-N 1 2 294.757 3.739 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2ncccc2Cl)C1 ZINC000528486280 1124679412 /nfs/dbraw/zinc/67/94/12/1124679412.db2.gz FOQYJEGERNJREA-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2ncccc2Cl)C1 ZINC000528486280 1124679416 /nfs/dbraw/zinc/67/94/16/1124679416.db2.gz FOQYJEGERNJREA-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(-c2ccccc2)s1 ZINC000449372585 1124703532 /nfs/dbraw/zinc/70/35/32/1124703532.db2.gz JLMUBVSZDJMQJB-UHFFFAOYSA-N 1 2 267.344 3.812 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cc3c(cccc3F)[nH]2)C1 ZINC000449353078 1124707971 /nfs/dbraw/zinc/70/79/71/1124707971.db2.gz XURMVEFMQGBPHK-HNNXBMFYSA-N 1 2 264.319 3.631 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cc3c(cccc3F)[nH]2)C1 ZINC000449353078 1124707978 /nfs/dbraw/zinc/70/79/78/1124707978.db2.gz XURMVEFMQGBPHK-HNNXBMFYSA-N 1 2 264.319 3.631 20 0 CHADLO CCOC1CC2(C[C@H]2Nc2[nH+]c3ccccc3cc2C)C1 ZINC000872777333 1124729292 /nfs/dbraw/zinc/72/92/92/1124729292.db2.gz WYWNWFCHHWFXRC-BFHRDPOASA-N 1 2 282.387 3.913 20 0 CHADLO CCCCOc1ncc(-c2c[nH+]c(N)c(C)c2)cc1C ZINC001238967129 1131281946 /nfs/dbraw/zinc/28/19/46/1131281946.db2.gz ZBRFYOHOQYYKLJ-UHFFFAOYSA-N 1 2 271.364 3.522 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1cscn1)c1ccc(F)cc1F ZINC000150880226 1124763568 /nfs/dbraw/zinc/76/35/68/1124763568.db2.gz WFYXRNCSGUHVBB-AWEZNQCLSA-N 1 2 282.359 3.908 20 0 CHADLO COc1cccc(-c2ccccc2Cn2cc[nH+]c2)c1C ZINC001238982267 1131285706 /nfs/dbraw/zinc/28/57/06/1131285706.db2.gz DSOOAGRCXICJAS-UHFFFAOYSA-N 1 2 278.355 3.915 20 0 CHADLO Cc1nnc(-c2ccc(-c3c(C)cc[nH+]c3C)cc2)o1 ZINC001239020207 1131291025 /nfs/dbraw/zinc/29/10/25/1131291025.db2.gz QHYUODLHDPFNDL-UHFFFAOYSA-N 1 2 265.316 3.724 20 0 CHADLO Cc1ccsc1C[N@@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC000449590624 1124813149 /nfs/dbraw/zinc/81/31/49/1124813149.db2.gz LLNBBCDNGXVQEG-HNNXBMFYSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccsc1C[N@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC000449590624 1124813158 /nfs/dbraw/zinc/81/31/58/1124813158.db2.gz LLNBBCDNGXVQEG-HNNXBMFYSA-N 1 2 291.391 3.769 20 0 CHADLO CCc1ncc(C[NH2+]Cc2ccc(Cl)cc2Cl)o1 ZINC000449609753 1124814839 /nfs/dbraw/zinc/81/48/39/1124814839.db2.gz OFVCDPJAPGVRBU-UHFFFAOYSA-N 1 2 285.174 3.834 20 0 CHADLO COc1ccc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)cc1O ZINC000449627625 1124825822 /nfs/dbraw/zinc/82/58/22/1124825822.db2.gz ANDPYMKMZFWVQF-QGZVFWFLSA-N 1 2 299.370 3.721 20 0 CHADLO COc1ccc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)cc1O ZINC000449627625 1124825833 /nfs/dbraw/zinc/82/58/33/1124825833.db2.gz ANDPYMKMZFWVQF-QGZVFWFLSA-N 1 2 299.370 3.721 20 0 CHADLO CCC1(CC)CCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000874626676 1124845212 /nfs/dbraw/zinc/84/52/12/1124845212.db2.gz CZRVILWYTYQBJH-UHFFFAOYSA-N 1 2 297.402 3.667 20 0 CHADLO Nc1ccc(/C=C/c2nc3cc(Cl)ccc3o2)c[nH+]1 ZINC000821031113 1131315963 /nfs/dbraw/zinc/31/59/63/1131315963.db2.gz GFLBAIOQTBDMTN-QHHAFSJGSA-N 1 2 271.707 3.629 20 0 CHADLO CC[C@H](CC(C)C)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000449876905 1124889228 /nfs/dbraw/zinc/88/92/28/1124889228.db2.gz NENYEVYOGINAFW-MRXNPFEDSA-N 1 2 299.418 3.561 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(OCC2CCCCC2)cc1 ZINC000125866164 1124937235 /nfs/dbraw/zinc/93/72/35/1124937235.db2.gz YWPPEIFILLHZBC-UHFFFAOYSA-N 1 2 299.418 3.991 20 0 CHADLO FC(F)(C[NH2+]C[C@@H]1CC1(Cl)Cl)c1ccccc1 ZINC000877469740 1125030828 /nfs/dbraw/zinc/03/08/28/1125030828.db2.gz YOJHZRCNQYBNSE-JTQLQIEISA-N 1 2 280.145 3.562 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(Cl)cncc2Cl)CCS1 ZINC000877475452 1125031526 /nfs/dbraw/zinc/03/15/26/1125031526.db2.gz HVYBHMUJSBIJKX-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(Cl)cncc2Cl)CCS1 ZINC000877475452 1125031533 /nfs/dbraw/zinc/03/15/33/1125031533.db2.gz HVYBHMUJSBIJKX-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO CC1(C)CC[N@H+](Cc2nc(Cl)cs2)CCS1 ZINC000877485243 1125032069 /nfs/dbraw/zinc/03/20/69/1125032069.db2.gz NMBLYQNENHVYQZ-UHFFFAOYSA-N 1 2 276.858 3.514 20 0 CHADLO CC1(C)CC[N@@H+](Cc2nc(Cl)cs2)CCS1 ZINC000877485243 1125032077 /nfs/dbraw/zinc/03/20/77/1125032077.db2.gz NMBLYQNENHVYQZ-UHFFFAOYSA-N 1 2 276.858 3.514 20 0 CHADLO CCCN(C(=O)Cc1[nH]c[nH+]c1C)[C@H](CC)c1ccccc1 ZINC001136438789 1131327240 /nfs/dbraw/zinc/32/72/40/1131327240.db2.gz DYEQFBWIZLCZRJ-QGZVFWFLSA-N 1 2 299.418 3.651 20 0 CHADLO Clc1csc(C[N@@H+]2CCC[C@@]3(CCSC3)C2)n1 ZINC000877575523 1125048662 /nfs/dbraw/zinc/04/86/62/1125048662.db2.gz XDHXHFVZRDMEIF-GFCCVEGCSA-N 1 2 288.869 3.516 20 0 CHADLO Clc1csc(C[N@H+]2CCC[C@@]3(CCSC3)C2)n1 ZINC000877575523 1125048668 /nfs/dbraw/zinc/04/86/68/1125048668.db2.gz XDHXHFVZRDMEIF-GFCCVEGCSA-N 1 2 288.869 3.516 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2cccc(Cl)c2C)n1 ZINC000877619394 1125055823 /nfs/dbraw/zinc/05/58/23/1125055823.db2.gz XWUAQVIKWLHMPJ-JTQLQIEISA-N 1 2 279.771 3.533 20 0 CHADLO Fc1cc2[nH+]ccc(N3CC[C@@H](F)C3)c2cc1Cl ZINC000450605967 1125064887 /nfs/dbraw/zinc/06/48/87/1125064887.db2.gz RTPCURZVNJWQPZ-MRVPVSSYSA-N 1 2 268.694 3.576 20 0 CHADLO COc1ccnc(C[NH2+][C@H](C)c2ccc(C(C)(C)C)cc2)n1 ZINC000450663987 1125081422 /nfs/dbraw/zinc/08/14/22/1125081422.db2.gz CQLQFHJQJVUJAS-CYBMUJFWSA-N 1 2 299.418 3.634 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nnc(C3CC3)s2)ccc1F ZINC000450683382 1125085400 /nfs/dbraw/zinc/08/54/00/1125085400.db2.gz PVEIHKQWJVIGTB-JTQLQIEISA-N 1 2 291.395 3.714 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2Cc3ccccc3OC[C@H]2C)c1 ZINC000450721823 1125090652 /nfs/dbraw/zinc/09/06/52/1125090652.db2.gz YEBKSWBMMLMQEY-CQSZACIVSA-N 1 2 295.382 3.672 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2Cc3ccccc3OC[C@H]2C)c1 ZINC000450721823 1125090662 /nfs/dbraw/zinc/09/06/62/1125090662.db2.gz YEBKSWBMMLMQEY-CQSZACIVSA-N 1 2 295.382 3.672 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)cs2)n1 ZINC000450730227 1125095323 /nfs/dbraw/zinc/09/53/23/1125095323.db2.gz SNEFUYXFGCUYHS-LBPRGKRZSA-N 1 2 289.448 3.995 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1scnc1Cl ZINC000877904222 1125098551 /nfs/dbraw/zinc/09/85/51/1125098551.db2.gz GYDGEAYUHGXHLA-UHFFFAOYSA-N 1 2 272.826 3.880 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1scnc1Cl ZINC000877904222 1125098559 /nfs/dbraw/zinc/09/85/59/1125098559.db2.gz GYDGEAYUHGXHLA-UHFFFAOYSA-N 1 2 272.826 3.880 20 0 CHADLO CCC1(CC)C[N@H+](Cc2nnc(C(C)C)s2)CCS1 ZINC000450773793 1125105515 /nfs/dbraw/zinc/10/55/15/1125105515.db2.gz NCGGWWYJYGHHCL-UHFFFAOYSA-N 1 2 299.509 3.769 20 0 CHADLO CCC1(CC)C[N@@H+](Cc2nnc(C(C)C)s2)CCS1 ZINC000450773793 1125105518 /nfs/dbraw/zinc/10/55/18/1125105518.db2.gz NCGGWWYJYGHHCL-UHFFFAOYSA-N 1 2 299.509 3.769 20 0 CHADLO C=C/C=C\CC[N@@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000877981506 1125110255 /nfs/dbraw/zinc/11/02/55/1125110255.db2.gz WLQGCSPHBLCDBP-NQHOJNORSA-N 1 2 273.380 3.606 20 0 CHADLO C=C/C=C\CC[N@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000877981506 1125110258 /nfs/dbraw/zinc/11/02/58/1125110258.db2.gz WLQGCSPHBLCDBP-NQHOJNORSA-N 1 2 273.380 3.606 20 0 CHADLO CCC[N@H+](Cc1nocc1C)[C@@H](C)c1ccccc1OC ZINC000878006941 1125112552 /nfs/dbraw/zinc/11/25/52/1125112552.db2.gz FMLKJMJMPUVVLF-AWEZNQCLSA-N 1 2 288.391 3.965 20 0 CHADLO CCC[N@@H+](Cc1nocc1C)[C@@H](C)c1ccccc1OC ZINC000878006941 1125112553 /nfs/dbraw/zinc/11/25/53/1125112553.db2.gz FMLKJMJMPUVVLF-AWEZNQCLSA-N 1 2 288.391 3.965 20 0 CHADLO Cc1conc1C[N@@H+]1CC[C@@H](C)C[C@H]1c1ccco1 ZINC000878153932 1125125056 /nfs/dbraw/zinc/12/50/56/1125125056.db2.gz ZBHOSDAQJGRVHB-RISCZKNCSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1conc1C[N@H+]1CC[C@@H](C)C[C@H]1c1ccco1 ZINC000878153932 1125125051 /nfs/dbraw/zinc/12/50/51/1125125051.db2.gz ZBHOSDAQJGRVHB-RISCZKNCSA-N 1 2 260.337 3.549 20 0 CHADLO CCC(F)(F)C[N@@H+]1CCC[C@@H]1C[C@H](O)c1cccs1 ZINC000878174918 1125127510 /nfs/dbraw/zinc/12/75/10/1125127510.db2.gz VKRZGAYSSSIFNL-NEPJUHHUSA-N 1 2 289.391 3.681 20 0 CHADLO CCC(F)(F)C[N@H+]1CCC[C@@H]1C[C@H](O)c1cccs1 ZINC000878174918 1125127515 /nfs/dbraw/zinc/12/75/15/1125127515.db2.gz VKRZGAYSSSIFNL-NEPJUHHUSA-N 1 2 289.391 3.681 20 0 CHADLO C[C@H]1C[N@H+](Cc2scnc2Cl)[C@H]1c1ccccc1 ZINC000878165755 1125128016 /nfs/dbraw/zinc/12/80/16/1125128016.db2.gz DOFAUNMEFATJTL-GXFFZTMASA-N 1 2 278.808 3.990 20 0 CHADLO C[C@H]1C[N@@H+](Cc2scnc2Cl)[C@H]1c1ccccc1 ZINC000878165755 1125128020 /nfs/dbraw/zinc/12/80/20/1125128020.db2.gz DOFAUNMEFATJTL-GXFFZTMASA-N 1 2 278.808 3.990 20 0 CHADLO CC(C)([NH2+]Cc1nc(C2CCC2)no1)c1ccc(F)cc1 ZINC000878236399 1125133860 /nfs/dbraw/zinc/13/38/60/1125133860.db2.gz DJUYUHYXWKPNHZ-UHFFFAOYSA-N 1 2 289.354 3.501 20 0 CHADLO CC[C@@H]([NH2+]Cc1scnc1Cl)c1nc(C)cs1 ZINC000878407992 1125150808 /nfs/dbraw/zinc/15/08/08/1125150808.db2.gz GPRDZWUPSKOZSF-MRVPVSSYSA-N 1 2 287.841 3.802 20 0 CHADLO Cc1[nH]c(CN[C@@H](C)c2ncc(-c3ccccc3)o2)[nH+]c1C ZINC000878422271 1125153342 /nfs/dbraw/zinc/15/33/42/1125153342.db2.gz IXSFRUPOUVYZEL-ZDUSSCGKSA-N 1 2 296.374 3.532 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@H+](Cc2nc3c(o2)CCCC3)C1 ZINC000878428507 1125153561 /nfs/dbraw/zinc/15/35/61/1125153561.db2.gz OUBLTEQAASTWPC-CZUORRHYSA-N 1 2 297.402 3.532 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@@H+](Cc2nc3c(o2)CCCC3)C1 ZINC000878428507 1125153564 /nfs/dbraw/zinc/15/35/64/1125153564.db2.gz OUBLTEQAASTWPC-CZUORRHYSA-N 1 2 297.402 3.532 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@H+](C)Cc1nocc1C ZINC000878619208 1125165546 /nfs/dbraw/zinc/16/55/46/1125165546.db2.gz BKFWXLGGDQKKBW-HNNXBMFYSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@@H+](C)Cc1nocc1C ZINC000878619208 1125165549 /nfs/dbraw/zinc/16/55/49/1125165549.db2.gz BKFWXLGGDQKKBW-HNNXBMFYSA-N 1 2 274.364 3.575 20 0 CHADLO Fc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1 ZINC001239477989 1131336092 /nfs/dbraw/zinc/33/60/92/1131336092.db2.gz RKZIQMCRHYYUAD-UHFFFAOYSA-N 1 2 256.255 3.818 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc3c(o2)CCCC3)c1C ZINC000878639306 1125169010 /nfs/dbraw/zinc/16/90/10/1125169010.db2.gz HKFLKQXKZWLCID-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc3c(o2)CCCC3)c1C ZINC000878639306 1125169014 /nfs/dbraw/zinc/16/90/14/1125169014.db2.gz HKFLKQXKZWLCID-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO CCC(CC)CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000122011887 1125169311 /nfs/dbraw/zinc/16/93/11/1125169311.db2.gz VQEJZSARHWOEFK-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(C(F)(F)F)co2)nc1 ZINC000878668810 1125171283 /nfs/dbraw/zinc/17/12/83/1125171283.db2.gz JBJSIKPYMLDTKC-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(C(F)(F)F)co2)nc1 ZINC000878668810 1125171287 /nfs/dbraw/zinc/17/12/87/1125171287.db2.gz JBJSIKPYMLDTKC-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@@H]1C[C@H]3CCC[C@@H]3O1)C2 ZINC000878703357 1125173519 /nfs/dbraw/zinc/17/35/19/1125173519.db2.gz GKZWBYBGDYVWQJ-RLCCDNCMSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@@H]1C[C@H]3CCC[C@@H]3O1)C2 ZINC000878703357 1125173533 /nfs/dbraw/zinc/17/35/33/1125173533.db2.gz GKZWBYBGDYVWQJ-RLCCDNCMSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1nc(C[N@@H+]2CCC[C@H](c3cccnc3)C2)cs1 ZINC000878753667 1125176293 /nfs/dbraw/zinc/17/62/93/1125176293.db2.gz NIWSCEPQFBQIPS-LBPRGKRZSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1nc(C[N@H+]2CCC[C@H](c3cccnc3)C2)cs1 ZINC000878753667 1125176299 /nfs/dbraw/zinc/17/62/99/1125176299.db2.gz NIWSCEPQFBQIPS-LBPRGKRZSA-N 1 2 293.823 3.571 20 0 CHADLO CC(C)(CC(=O)Nc1ccc2[nH+]ccn2c1)CC(F)(F)F ZINC000878823105 1125180489 /nfs/dbraw/zinc/18/04/89/1125180489.db2.gz LEVSHMKRSRTZQC-UHFFFAOYSA-N 1 2 299.296 3.642 20 0 CHADLO CC[NH+]1CC[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ccc2ccccc2c1 ZINC000531201856 1125200197 /nfs/dbraw/zinc/20/01/97/1125200197.db2.gz QDQLFLMDCGPRLK-UXHICEINSA-N 1 2 294.442 3.898 20 0 CHADLO CC[NH+]1CC[C@H]2CC[C@@H](C1)[N@H+]2Cc1ccc2ccccc2c1 ZINC000531201856 1125200203 /nfs/dbraw/zinc/20/02/03/1125200203.db2.gz QDQLFLMDCGPRLK-UXHICEINSA-N 1 2 294.442 3.898 20 0 CHADLO Cc1nc(C)c(COc2ccccc2-n2cc[nH+]c2)s1 ZINC000531350204 1125211937 /nfs/dbraw/zinc/21/19/37/1125211937.db2.gz WXARSDNJFGWGGH-UHFFFAOYSA-N 1 2 285.372 3.525 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)cn2)c1 ZINC000879749553 1125229796 /nfs/dbraw/zinc/22/97/96/1125229796.db2.gz KCSSWAHOCZWOCM-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)cn2)c1 ZINC000879749553 1125229798 /nfs/dbraw/zinc/22/97/98/1125229798.db2.gz KCSSWAHOCZWOCM-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2cncc(Cl)c2)c1 ZINC000879752549 1125231115 /nfs/dbraw/zinc/23/11/15/1125231115.db2.gz RHJGSOHDADBCFE-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2cncc(Cl)c2)c1 ZINC000879752549 1125231118 /nfs/dbraw/zinc/23/11/18/1125231118.db2.gz RHJGSOHDADBCFE-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2ccn(C(C)C)n2)c1 ZINC000879750702 1125231425 /nfs/dbraw/zinc/23/14/25/1125231425.db2.gz VDRPOWCBIQSYSE-QGZVFWFLSA-N 1 2 284.407 3.505 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2ccn(C(C)C)n2)c1 ZINC000879750702 1125231430 /nfs/dbraw/zinc/23/14/30/1125231430.db2.gz VDRPOWCBIQSYSE-QGZVFWFLSA-N 1 2 284.407 3.505 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CC[C@](C)(C(F)(F)F)C2)n1 ZINC000880001634 1125243691 /nfs/dbraw/zinc/24/36/91/1125243691.db2.gz XMSWCNLTLVOKDJ-CABZTGNLSA-N 1 2 291.317 3.528 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CC[C@](C)(C(F)(F)F)C2)n1 ZINC000880001634 1125243696 /nfs/dbraw/zinc/24/36/96/1125243696.db2.gz XMSWCNLTLVOKDJ-CABZTGNLSA-N 1 2 291.317 3.528 20 0 CHADLO CC[C@@H]1CCC[C@]1(C)C(=O)NCc1c[nH+]c(C)cc1C ZINC000880240712 1125259911 /nfs/dbraw/zinc/25/99/11/1125259911.db2.gz JKOGLWPVEXSXSZ-WBVHZDCISA-N 1 2 274.408 3.531 20 0 CHADLO FC[C@H]1CC[N@H+](Cc2ccc(Cl)nc2C(F)(F)F)C1 ZINC000880298601 1125262855 /nfs/dbraw/zinc/26/28/55/1125262855.db2.gz FYUYIRXZAZRKDC-MRVPVSSYSA-N 1 2 296.695 3.545 20 0 CHADLO FC[C@H]1CC[N@@H+](Cc2ccc(Cl)nc2C(F)(F)F)C1 ZINC000880298601 1125262859 /nfs/dbraw/zinc/26/28/59/1125262859.db2.gz FYUYIRXZAZRKDC-MRVPVSSYSA-N 1 2 296.695 3.545 20 0 CHADLO COCCCC[NH2+][C@@H](c1cccc(Cl)c1)C(F)F ZINC000880533274 1125277772 /nfs/dbraw/zinc/27/77/72/1125277772.db2.gz BYXRHFRKRFFQTA-LBPRGKRZSA-N 1 2 277.742 3.662 20 0 CHADLO c1oc(C2CC2)nc1C[N@@H+]1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000880539414 1125278873 /nfs/dbraw/zinc/27/88/73/1125278873.db2.gz XXDZTVUFLWGAPC-GUYCJALGSA-N 1 2 280.371 3.986 20 0 CHADLO c1oc(C2CC2)nc1C[N@H+]1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000880539414 1125278876 /nfs/dbraw/zinc/27/88/76/1125278876.db2.gz XXDZTVUFLWGAPC-GUYCJALGSA-N 1 2 280.371 3.986 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H]1C[C@H]1c1c(F)cccc1Cl ZINC000666160966 1125303201 /nfs/dbraw/zinc/30/32/01/1125303201.db2.gz BZFPCMPVZFFVSW-PJCOJXEDSA-N 1 2 293.773 3.717 20 0 CHADLO Cc1ccc(C[S@](=O)CCCC2CCCC2)c(C)[nH+]1 ZINC000190853835 1125319065 /nfs/dbraw/zinc/31/90/65/1125319065.db2.gz NIIJXQRITHHOAD-LJQANCHMSA-N 1 2 279.449 3.918 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C(F)(F)F)C(C)(C)C2)cs1 ZINC000191659182 1125326040 /nfs/dbraw/zinc/32/60/40/1125326040.db2.gz VSYFJRSPECFEPM-NSHDSACASA-N 1 2 292.370 3.862 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C(F)(F)F)C(C)(C)C2)cs1 ZINC000191659182 1125326044 /nfs/dbraw/zinc/32/60/44/1125326044.db2.gz VSYFJRSPECFEPM-NSHDSACASA-N 1 2 292.370 3.862 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2ncccn2)o1 ZINC000192050452 1125330426 /nfs/dbraw/zinc/33/04/26/1125330426.db2.gz WODDNWCWXQXIES-HIFRSBDPSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2ncccn2)o1 ZINC000192050452 1125330428 /nfs/dbraw/zinc/33/04/28/1125330428.db2.gz WODDNWCWXQXIES-HIFRSBDPSA-N 1 2 285.391 3.605 20 0 CHADLO CC(C)Sc1ccc([C@@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000192156089 1125331437 /nfs/dbraw/zinc/33/14/37/1125331437.db2.gz CCCVNRDGMUFBKH-CYBMUJFWSA-N 1 2 287.432 3.828 20 0 CHADLO COc1ccc(Cl)c(C[NH2+][C@@H](C)c2nc(C)cs2)c1 ZINC000192181557 1125331868 /nfs/dbraw/zinc/33/18/68/1125331868.db2.gz VVCMPTWMOQQXNB-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO COc1ccc(Cl)c(C[NH2+][C@H](C)c2nc(C)cs2)c1 ZINC000192181553 1125332315 /nfs/dbraw/zinc/33/23/15/1125332315.db2.gz VVCMPTWMOQQXNB-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](c2ccc(Cl)cc2)C2CC2)o1 ZINC000192505629 1125334298 /nfs/dbraw/zinc/33/42/98/1125334298.db2.gz XTEOPQRBEAUNGK-OAHLLOKOSA-N 1 2 291.782 3.526 20 0 CHADLO Cc1cc(C)c(NC(=O)Cc2ccc3c(c2)CCC3)c(C)[nH+]1 ZINC000192680024 1125335378 /nfs/dbraw/zinc/33/53/78/1125335378.db2.gz BJZXMIFHNDQJEJ-UHFFFAOYSA-N 1 2 294.398 3.677 20 0 CHADLO Cc1cc(C)c([C@@H](C)[NH2+][C@H](C)c2csnn2)c(C)c1 ZINC000398254980 1125337644 /nfs/dbraw/zinc/33/76/44/1125337644.db2.gz YTOJLCUSSGHKSC-CHWSQXEVSA-N 1 2 275.421 3.875 20 0 CHADLO CC(C)c1csc(SCc2ccc[nH+]c2N)n1 ZINC000882199012 1125340959 /nfs/dbraw/zinc/34/09/59/1125340959.db2.gz HVMJJWUABMUXIX-UHFFFAOYSA-N 1 2 265.407 3.536 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C)cc(C)c1O ZINC001211913887 1125353925 /nfs/dbraw/zinc/35/39/25/1125353925.db2.gz PKILQAANSFSZPI-UHFFFAOYSA-N 1 2 267.332 3.639 20 0 CHADLO c1csc([C@@H]2CCN(c3[nH+]ccc4ccccc43)C2)n1 ZINC000882855206 1125358654 /nfs/dbraw/zinc/35/86/54/1125358654.db2.gz DFANRGHGPMFMGW-CYBMUJFWSA-N 1 2 281.384 3.685 20 0 CHADLO CC(C)CCCC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882871823 1125358825 /nfs/dbraw/zinc/35/88/25/1125358825.db2.gz PESHQWURYATYPL-QGZVFWFLSA-N 1 2 299.418 3.567 20 0 CHADLO CC[C@@H](C)CCC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882872206 1125359178 /nfs/dbraw/zinc/35/91/78/1125359178.db2.gz ZIPQSFXUCKSBKE-NVXWUHKLSA-N 1 2 299.418 3.567 20 0 CHADLO CC(C)CCCC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882871822 1125359233 /nfs/dbraw/zinc/35/92/33/1125359233.db2.gz PESHQWURYATYPL-KRWDZBQOSA-N 1 2 299.418 3.567 20 0 CHADLO CCc1csc(N[C@@H](Cn2cc[nH+]c2)c2ccccc2)n1 ZINC000883003613 1125367532 /nfs/dbraw/zinc/36/75/32/1125367532.db2.gz XEBDUKNNQJYGFO-HNNXBMFYSA-N 1 2 298.415 3.755 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2ccccc2OCC2CC2)n1 ZINC000883016092 1125368207 /nfs/dbraw/zinc/36/82/07/1125368207.db2.gz NKFVDYYWHOCALR-UHFFFAOYSA-N 1 2 299.418 3.612 20 0 CHADLO Clc1ccc([C@H]2C[N@H+](CC3CC3)CCO2)cc1Cl ZINC000532421660 1125375893 /nfs/dbraw/zinc/37/58/93/1125375893.db2.gz XEPMMISGAWCIQY-CQSZACIVSA-N 1 2 286.202 3.777 20 0 CHADLO Clc1ccc([C@H]2C[N@@H+](CC3CC3)CCO2)cc1Cl ZINC000532421660 1125375897 /nfs/dbraw/zinc/37/58/97/1125375897.db2.gz XEPMMISGAWCIQY-CQSZACIVSA-N 1 2 286.202 3.777 20 0 CHADLO CC1=CC[C@](C)(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000666596701 1125385919 /nfs/dbraw/zinc/38/59/19/1125385919.db2.gz XJRKHQDGHJVRKV-SFHVURJKSA-N 1 2 295.386 3.947 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000223615724 1125386209 /nfs/dbraw/zinc/38/62/09/1125386209.db2.gz VKJFYELNPCWDTD-CYBMUJFWSA-N 1 2 284.403 3.967 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2CCCc3scnc32)c(Cl)n1 ZINC000883302342 1125392696 /nfs/dbraw/zinc/39/26/96/1125392696.db2.gz VYHFIQYNDNSFRM-NSHDSACASA-N 1 2 293.823 3.667 20 0 CHADLO Fc1cccc2c1CCC[C@@H]2[NH2+]C1(c2ncccn2)CCC1 ZINC000883311202 1125392738 /nfs/dbraw/zinc/39/27/38/1125392738.db2.gz RSHGZBVJKPJZMW-INIZCTEOSA-N 1 2 297.377 3.662 20 0 CHADLO Fc1cccc2c1CCC[C@H]2[NH2+]C1(c2ncccn2)CCC1 ZINC000883311203 1125392790 /nfs/dbraw/zinc/39/27/90/1125392790.db2.gz RSHGZBVJKPJZMW-MRXNPFEDSA-N 1 2 297.377 3.662 20 0 CHADLO CC[C@@H]([NH2+]C1(c2ncccn2)CCC1)c1cccc(F)c1 ZINC000883312240 1125393855 /nfs/dbraw/zinc/39/38/55/1125393855.db2.gz YAZVKUGWLBNZBX-OAHLLOKOSA-N 1 2 285.366 3.736 20 0 CHADLO Cc1cc(N[C@H](C)c2ccncc2Cl)c[nH+]c1C ZINC000883342804 1125398412 /nfs/dbraw/zinc/39/84/12/1125398412.db2.gz MXNONBABNBBFIP-LLVKDONJSA-N 1 2 261.756 3.920 20 0 CHADLO CCCOc1ccc(NCc2c[nH+]cn2C)cc1C(C)C ZINC000883377255 1125404629 /nfs/dbraw/zinc/40/46/29/1125404629.db2.gz CKEFHNLCRMNCFU-UHFFFAOYSA-N 1 2 287.407 3.944 20 0 CHADLO CC(C)n1cncc1C[NH2+][C@@H]1c2ccccc2CC[C@H]1F ZINC000883381686 1125406070 /nfs/dbraw/zinc/40/60/70/1125406070.db2.gz RBTQAFBQARKUCE-IAGOWNOFSA-N 1 2 287.382 3.579 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+]Cc1ccncc1Cl ZINC000883381333 1125406451 /nfs/dbraw/zinc/40/64/51/1125406451.db2.gz KTKOUQBCSPQFDJ-HZPDHXFCSA-N 1 2 290.769 3.850 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H]1c2ccccc2CC[C@H]1F ZINC000883383076 1125406803 /nfs/dbraw/zinc/40/68/03/1125406803.db2.gz SIEFGYZBLWEHRL-GDBMZVCRSA-N 1 2 259.324 3.703 20 0 CHADLO Cc1ccc2c(Nc3cnncc3Cl)cccc2[nH+]1 ZINC001212795273 1125414745 /nfs/dbraw/zinc/41/47/45/1125414745.db2.gz YMWOTHKEBPQNLL-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO Cc1ccc2c(Nc3noc4cnccc43)cccc2[nH+]1 ZINC001212795806 1125417901 /nfs/dbraw/zinc/41/79/01/1125417901.db2.gz CJMXMUDFOLRVNT-UHFFFAOYSA-N 1 2 276.299 3.823 20 0 CHADLO Cc1nc2ccc(Nc3cccc4[nH+]c(C)ccc34)cn2n1 ZINC001212795900 1125418552 /nfs/dbraw/zinc/41/85/52/1125418552.db2.gz IBIQMKUVGZBMRY-UHFFFAOYSA-N 1 2 289.342 3.638 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCC[C@@H]3c3ccco3)oc2c1 ZINC000119238399 1125426040 /nfs/dbraw/zinc/42/60/40/1125426040.db2.gz CKDYSAQKWWTTSS-CYBMUJFWSA-N 1 2 286.306 3.897 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCC[C@@H]3c3ccco3)oc2c1 ZINC000119238399 1125426043 /nfs/dbraw/zinc/42/60/43/1125426043.db2.gz CKDYSAQKWWTTSS-CYBMUJFWSA-N 1 2 286.306 3.897 20 0 CHADLO CN(C)c1cc(-c2cccc(C(F)(F)F)c2)cc[nH+]1 ZINC001239515578 1131357845 /nfs/dbraw/zinc/35/78/45/1131357845.db2.gz AFUPNQLWTYNXPM-UHFFFAOYSA-N 1 2 266.266 3.833 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000348244580 1125429056 /nfs/dbraw/zinc/42/90/56/1125429056.db2.gz NOICNXINRXAWRJ-ONGXEEELSA-N 1 2 292.370 3.868 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000348244580 1125429058 /nfs/dbraw/zinc/42/90/58/1125429058.db2.gz NOICNXINRXAWRJ-ONGXEEELSA-N 1 2 292.370 3.868 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1csc(C2CC2)n1 ZINC000348244839 1125429377 /nfs/dbraw/zinc/42/93/77/1125429377.db2.gz NUFOINXTEDHSRK-GZMMTYOYSA-N 1 2 290.354 3.793 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1csc(C2CC2)n1 ZINC000348244839 1125429378 /nfs/dbraw/zinc/42/93/78/1125429378.db2.gz NUFOINXTEDHSRK-GZMMTYOYSA-N 1 2 290.354 3.793 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+]2CCc3cccc(C)c3C2)n1 ZINC000429559140 1125442424 /nfs/dbraw/zinc/44/24/24/1125442424.db2.gz GTVYBTYMVJSCHU-CQSZACIVSA-N 1 2 299.418 3.840 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+]2CCc3cccc(C)c3C2)n1 ZINC000429559140 1125442425 /nfs/dbraw/zinc/44/24/25/1125442425.db2.gz GTVYBTYMVJSCHU-CQSZACIVSA-N 1 2 299.418 3.840 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nccn2C(C)C)cc1 ZINC000107298970 1125449074 /nfs/dbraw/zinc/44/90/74/1125449074.db2.gz KXVRUDKTDVWRAP-OAHLLOKOSA-N 1 2 271.408 3.965 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nccn2C(C)C)cc1 ZINC000107298970 1125449077 /nfs/dbraw/zinc/44/90/77/1125449077.db2.gz KXVRUDKTDVWRAP-OAHLLOKOSA-N 1 2 271.408 3.965 20 0 CHADLO CCCCN(C)C[C@@H](C)[NH2+]Cc1cc(Cl)ccc1OC ZINC001202617817 1125449646 /nfs/dbraw/zinc/44/96/46/1125449646.db2.gz BAHKFUJOEBBOKH-CYBMUJFWSA-N 1 2 298.858 3.559 20 0 CHADLO Cn1ccnc1C[N@@H+]1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000108386003 1125454032 /nfs/dbraw/zinc/45/40/32/1125454032.db2.gz DPEKONKYAPGCIU-QGZVFWFLSA-N 1 2 294.402 3.629 20 0 CHADLO Cn1ccnc1C[N@H+]1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000108386003 1125454034 /nfs/dbraw/zinc/45/40/34/1125454034.db2.gz DPEKONKYAPGCIU-QGZVFWFLSA-N 1 2 294.402 3.629 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1ccncc1Cl ZINC000348269232 1125455491 /nfs/dbraw/zinc/45/54/91/1125455491.db2.gz CXJLIUJUIIYBOH-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO CC(C)C[C@H](C(=O)N1Cc2ccccc2[C@@H]1C)n1cc[nH+]c1 ZINC000635639143 1129187915 /nfs/dbraw/zinc/18/79/15/1129187915.db2.gz QMAPVNGELYPOQU-WMLDXEAASA-N 1 2 297.402 3.574 20 0 CHADLO Cc1oc2ccc(NC(=O)[C@@H]3C[C@H]3c3c[nH]c[nH+]3)cc2c1C ZINC000635814312 1129191501 /nfs/dbraw/zinc/19/15/01/1129191501.db2.gz KZEXDHLVSPFVJD-ZIAGYGMSSA-N 1 2 295.342 3.515 20 0 CHADLO Cc1oc2ccc(NC(=O)[C@@H]3C[C@H]3c3c[nH+]c[nH]3)cc2c1C ZINC000635814312 1129191503 /nfs/dbraw/zinc/19/15/03/1129191503.db2.gz KZEXDHLVSPFVJD-ZIAGYGMSSA-N 1 2 295.342 3.515 20 0 CHADLO CO[C@@H]1CC=C(Nc2ccc[nH+]c2N2CCCCC2)CC1 ZINC001212873278 1129231589 /nfs/dbraw/zinc/23/15/89/1129231589.db2.gz HFOJCQXKUQXKQA-OAHLLOKOSA-N 1 2 287.407 3.567 20 0 CHADLO Cc1ncc([C@H](C)[N@H+](Cc2ccccc2F)C2CC2)c(C)n1 ZINC000637873773 1129233138 /nfs/dbraw/zinc/23/31/38/1129233138.db2.gz TYAOAISUUAIQDK-ZDUSSCGKSA-N 1 2 299.393 3.958 20 0 CHADLO Cc1ncc([C@H](C)[N@@H+](Cc2ccccc2F)C2CC2)c(C)n1 ZINC000637873773 1129233141 /nfs/dbraw/zinc/23/31/41/1129233141.db2.gz TYAOAISUUAIQDK-ZDUSSCGKSA-N 1 2 299.393 3.958 20 0 CHADLO Cn1c(C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)cc2ccccc21 ZINC000637990547 1129239433 /nfs/dbraw/zinc/23/94/33/1129239433.db2.gz DYVFEYGVOKBWFL-KRWDZBQOSA-N 1 2 294.402 3.629 20 0 CHADLO Cn1c(C[N@H+]2CCCC[C@H]2c2ncc[nH]2)cc2ccccc21 ZINC000637990547 1129239436 /nfs/dbraw/zinc/23/94/36/1129239436.db2.gz DYVFEYGVOKBWFL-KRWDZBQOSA-N 1 2 294.402 3.629 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nnc[nH]1)C1CCCCC1)c1ccccc1 ZINC000638291973 1129252459 /nfs/dbraw/zinc/25/24/59/1129252459.db2.gz CTNCGRPNDLUAMX-XJKSGUPXSA-N 1 2 284.407 3.777 20 0 CHADLO Cc1cc(C[NH2+][C@@H](COCC(C)C)c2ccco2)sn1 ZINC000638474305 1129260378 /nfs/dbraw/zinc/26/03/78/1129260378.db2.gz KHBGVHUWHWIDJO-AWEZNQCLSA-N 1 2 294.420 3.548 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cc(-c2ccccc2)no1 ZINC000348088760 1129280745 /nfs/dbraw/zinc/28/07/45/1129280745.db2.gz YKLVPBFWCWGBQA-UHFFFAOYSA-N 1 2 268.316 3.567 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cc(-c2ccccc2)no1 ZINC000348088760 1129280748 /nfs/dbraw/zinc/28/07/48/1129280748.db2.gz YKLVPBFWCWGBQA-UHFFFAOYSA-N 1 2 268.316 3.567 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]C/C=C/c2cc(C)c(C)cc2C)n1 ZINC000639097430 1129280992 /nfs/dbraw/zinc/28/09/92/1129280992.db2.gz KVZRGSYNASCZPZ-PSKZRQQASA-N 1 2 285.391 3.667 20 0 CHADLO CC/C(=C\C(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000733969969 1129290794 /nfs/dbraw/zinc/29/07/94/1129290794.db2.gz ZHROGEUJCCISMZ-WYMLVPIESA-N 1 2 291.354 3.766 20 0 CHADLO Cc1ncc([C@H](C)[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)c(C)n1 ZINC000639200216 1129292064 /nfs/dbraw/zinc/29/20/64/1129292064.db2.gz WULJUHGXHCEAMR-GUYCJALGSA-N 1 2 296.418 3.633 20 0 CHADLO Cc1ncc([C@H](C)[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)c(C)n1 ZINC000639200216 1129292067 /nfs/dbraw/zinc/29/20/67/1129292067.db2.gz WULJUHGXHCEAMR-GUYCJALGSA-N 1 2 296.418 3.633 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2ccc(F)c3ccccc23)n1 ZINC000639301153 1129300778 /nfs/dbraw/zinc/30/07/78/1129300778.db2.gz UVQNEJXUJBOLAX-LLVKDONJSA-N 1 2 299.349 3.863 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2ccc(F)c3ccccc23)n1 ZINC000639301153 1129300780 /nfs/dbraw/zinc/30/07/80/1129300780.db2.gz UVQNEJXUJBOLAX-LLVKDONJSA-N 1 2 299.349 3.863 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nc(C2CCC2)no1)c1ccccc1 ZINC000639439751 1129314865 /nfs/dbraw/zinc/31/48/65/1129314865.db2.gz IAZPHLLOSQSJDZ-MRXNPFEDSA-N 1 2 285.391 3.824 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2cn[nH]c2)c(Cl)c1 ZINC000639777059 1129332527 /nfs/dbraw/zinc/33/25/27/1129332527.db2.gz ICMOMGDRKQSEKX-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2cn[nH]c2)c(Cl)c1 ZINC000639777059 1129332532 /nfs/dbraw/zinc/33/25/32/1129332532.db2.gz ICMOMGDRKQSEKX-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO COc1ccc([C@@H]2CCC[N@@H+]2Cc2ccns2)cc1F ZINC000639815396 1129336025 /nfs/dbraw/zinc/33/60/25/1129336025.db2.gz WRGKIJKBODYWOB-AWEZNQCLSA-N 1 2 292.379 3.628 20 0 CHADLO COc1ccc([C@@H]2CCC[N@H+]2Cc2ccns2)cc1F ZINC000639815396 1129336030 /nfs/dbraw/zinc/33/60/30/1129336030.db2.gz WRGKIJKBODYWOB-AWEZNQCLSA-N 1 2 292.379 3.628 20 0 CHADLO CCCOc1cccc(C[N@@H+]2CCC[C@H]2c2ncccn2)c1 ZINC000639869985 1129337438 /nfs/dbraw/zinc/33/74/38/1129337438.db2.gz NYPHPUFIMBOGAP-KRWDZBQOSA-N 1 2 297.402 3.603 20 0 CHADLO CCCOc1cccc(C[N@H+]2CCC[C@H]2c2ncccn2)c1 ZINC000639869985 1129337441 /nfs/dbraw/zinc/33/74/41/1129337441.db2.gz NYPHPUFIMBOGAP-KRWDZBQOSA-N 1 2 297.402 3.603 20 0 CHADLO CCc1cnc(C[NH2+][C@@](C)(CC)c2ccc(F)cc2)o1 ZINC000639928075 1129340315 /nfs/dbraw/zinc/34/03/15/1129340315.db2.gz IRIJXNHNXKKCSC-INIZCTEOSA-N 1 2 276.355 3.791 20 0 CHADLO Cc1ncsc1C[N@@H+]1CC(C)(C)[C@H]1c1ccco1 ZINC000639929859 1129340655 /nfs/dbraw/zinc/34/06/55/1129340655.db2.gz RAYXWDRMICAAOQ-CYBMUJFWSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1ncsc1C[N@H+]1CC(C)(C)[C@H]1c1ccco1 ZINC000639929859 1129340660 /nfs/dbraw/zinc/34/06/60/1129340660.db2.gz RAYXWDRMICAAOQ-CYBMUJFWSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)[C@@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC001124929767 1131367691 /nfs/dbraw/zinc/36/76/91/1131367691.db2.gz XGZGXAYGUKDMKG-XHDPSFHLSA-N 1 2 274.408 3.658 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@H+]1Cc2ccccc2[C@H](C)C1 ZINC000735492272 1129348929 /nfs/dbraw/zinc/34/89/29/1129348929.db2.gz LWYQPADTRGBGEC-RDTXWAMCSA-N 1 2 295.382 3.520 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@@H+]1Cc2ccccc2[C@H](C)C1 ZINC000735492272 1129348931 /nfs/dbraw/zinc/34/89/31/1129348931.db2.gz LWYQPADTRGBGEC-RDTXWAMCSA-N 1 2 295.382 3.520 20 0 CHADLO CCOc1cc(Nc2ccc(CO)c(Cl)c2)cc(C)[nH+]1 ZINC001212890176 1129372638 /nfs/dbraw/zinc/37/26/38/1129372638.db2.gz MRYLMLUXZHVWBB-UHFFFAOYSA-N 1 2 292.766 3.678 20 0 CHADLO CCC[C@H](Nc1cc(CO)cc[nH+]1)c1ccccc1 ZINC000641260356 1129377467 /nfs/dbraw/zinc/37/74/67/1129377467.db2.gz FNGFJCVRBDUNEC-HNNXBMFYSA-N 1 2 256.349 3.527 20 0 CHADLO CCC[C@@H](Nc1cc(CO)cc[nH+]1)c1ccccc1 ZINC000641260357 1129377528 /nfs/dbraw/zinc/37/75/28/1129377528.db2.gz FNGFJCVRBDUNEC-OAHLLOKOSA-N 1 2 256.349 3.527 20 0 CHADLO Fc1ccc([C@H]2CCCN2c2cc[nH+]cc2F)cc1 ZINC000641276404 1129377680 /nfs/dbraw/zinc/37/76/80/1129377680.db2.gz FRWSDYOHUQGACC-CQSZACIVSA-N 1 2 260.287 3.701 20 0 CHADLO COc1ccccc1[C@@H]1CCCN1c1cc[nH+]cc1F ZINC000641340322 1129379406 /nfs/dbraw/zinc/37/94/06/1129379406.db2.gz WWANMAFSNQJZPB-AWEZNQCLSA-N 1 2 272.323 3.571 20 0 CHADLO CCCCOC(=O)C[N@@H+]1CCCCC[C@H]1c1ccco1 ZINC000736946617 1129381395 /nfs/dbraw/zinc/38/13/95/1129381395.db2.gz QXBODCLOCKSKSH-AWEZNQCLSA-N 1 2 279.380 3.540 20 0 CHADLO CCCCOC(=O)C[N@H+]1CCCCC[C@H]1c1ccco1 ZINC000736946617 1129381396 /nfs/dbraw/zinc/38/13/96/1129381396.db2.gz QXBODCLOCKSKSH-AWEZNQCLSA-N 1 2 279.380 3.540 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](C)c2nc3ccccc3n2C)o1 ZINC000641723976 1129388899 /nfs/dbraw/zinc/38/88/99/1129388899.db2.gz DNJRFQKMKLGAAD-LBPRGKRZSA-N 1 2 283.375 3.580 20 0 CHADLO CC[C@H]1CCC[N@H+](Cn2nc(C(C)(C)C)oc2=S)CC1 ZINC000738253463 1129390728 /nfs/dbraw/zinc/39/07/28/1129390728.db2.gz FZUCLVMVBWFQLO-LBPRGKRZSA-N 1 2 297.468 3.973 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cn2nc(C(C)(C)C)oc2=S)CC1 ZINC000738253463 1129390731 /nfs/dbraw/zinc/39/07/31/1129390731.db2.gz FZUCLVMVBWFQLO-LBPRGKRZSA-N 1 2 297.468 3.973 20 0 CHADLO Clc1cccc(C[N@@H+]2CCO[C@H](c3ccccc3)C2)c1 ZINC000641959468 1129398699 /nfs/dbraw/zinc/39/86/99/1129398699.db2.gz JBEPDHPORSSVMF-KRWDZBQOSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1cccc(C[N@H+]2CCO[C@H](c3ccccc3)C2)c1 ZINC000641959468 1129398703 /nfs/dbraw/zinc/39/87/03/1129398703.db2.gz JBEPDHPORSSVMF-KRWDZBQOSA-N 1 2 287.790 3.914 20 0 CHADLO CCN(C(=O)Nc1ccc2[nH+]ccn2c1)C1CCCCC1 ZINC000738951721 1129400520 /nfs/dbraw/zinc/40/05/20/1129400520.db2.gz ZTBPZGJMWHQUBE-UHFFFAOYSA-N 1 2 286.379 3.521 20 0 CHADLO COc1c(C)cc(-c2cc(C)cn3cc[nH+]c23)cc1C ZINC001241017104 1129406054 /nfs/dbraw/zinc/40/60/54/1129406054.db2.gz YPFMFTLZCAZCST-UHFFFAOYSA-N 1 2 266.344 3.935 20 0 CHADLO CCc1cc(OCCCc2nc(C)no2)c2ccccc2[nH+]1 ZINC000642251199 1129411698 /nfs/dbraw/zinc/41/16/98/1129411698.db2.gz JFEFYDHNQJGICW-UHFFFAOYSA-N 1 2 297.358 3.500 20 0 CHADLO FC(F)(F)Sc1ccc(C[NH2+]Cc2ccon2)cc1 ZINC000093305871 1129421298 /nfs/dbraw/zinc/42/12/98/1129421298.db2.gz LIMWZZFOBZZIEX-UHFFFAOYSA-N 1 2 288.294 3.576 20 0 CHADLO c1c(C[N@@H+]2CCc3ccccc3C2)noc1-c1ccccc1 ZINC000205482088 1129431506 /nfs/dbraw/zinc/43/15/06/1129431506.db2.gz WFOZFISXOYCNIN-UHFFFAOYSA-N 1 2 290.366 3.900 20 0 CHADLO c1c(C[N@H+]2CCc3ccccc3C2)noc1-c1ccccc1 ZINC000205482088 1129431510 /nfs/dbraw/zinc/43/15/10/1129431510.db2.gz WFOZFISXOYCNIN-UHFFFAOYSA-N 1 2 290.366 3.900 20 0 CHADLO Cc1cc(-c2cc(F)c(F)cc2F)c2[nH+]ccn2c1 ZINC001241111045 1129451166 /nfs/dbraw/zinc/45/11/66/1129451166.db2.gz DKNNKDSZOJZWJQ-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO CC(C)(CC(=O)OCCCn1cc[nH+]c1)C1CCCCC1 ZINC000796046096 1129464998 /nfs/dbraw/zinc/46/49/98/1129464998.db2.gz CQTXOEKGGQZZHA-UHFFFAOYSA-N 1 2 292.423 3.813 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)o1 ZINC000644671875 1129474213 /nfs/dbraw/zinc/47/42/13/1129474213.db2.gz RCZVBUAPVYYMLQ-CQSZACIVSA-N 1 2 274.339 3.632 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC[C@@H]2c2cccc(F)c2)o1 ZINC000644671875 1129474216 /nfs/dbraw/zinc/47/42/16/1129474216.db2.gz RCZVBUAPVYYMLQ-CQSZACIVSA-N 1 2 274.339 3.632 20 0 CHADLO Cc1ccc([C@H](C)C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)o1 ZINC000742504159 1129482605 /nfs/dbraw/zinc/48/26/05/1129482605.db2.gz VFWOZKNETIFCIX-JTQLQIEISA-N 1 2 283.331 3.515 20 0 CHADLO Cc1[nH]c2nc[nH+]c(SCCC(F)(F)F)c2c1C ZINC000645230746 1129492457 /nfs/dbraw/zinc/49/24/57/1129492457.db2.gz MMLKETKGAQQYAD-UHFFFAOYSA-N 1 2 275.299 3.619 20 0 CHADLO COc1cccc2c(NC[C@H](C)CC(F)F)cc[nH+]c12 ZINC000645307394 1129494490 /nfs/dbraw/zinc/49/44/90/1129494490.db2.gz PQNMRFXMLBRICZ-SNVBAGLBSA-N 1 2 280.318 3.947 20 0 CHADLO CCOc1ccc(Cl)c(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001212574821 1129505279 /nfs/dbraw/zinc/50/52/79/1129505279.db2.gz ZBMUHWUGBHGSCD-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO Cc1nc(C[N@H+](Cc2c(C)cccc2C)CC(C)C)no1 ZINC000645973379 1129515353 /nfs/dbraw/zinc/51/53/53/1129515353.db2.gz YUXZREHQMBNOBY-UHFFFAOYSA-N 1 2 287.407 3.653 20 0 CHADLO Cc1nc(C[N@@H+](Cc2c(C)cccc2C)CC(C)C)no1 ZINC000645973379 1129515356 /nfs/dbraw/zinc/51/53/56/1129515356.db2.gz YUXZREHQMBNOBY-UHFFFAOYSA-N 1 2 287.407 3.653 20 0 CHADLO COc1ccncc1C[NH2+]C1(c2ccccc2Cl)CC1 ZINC000645978330 1129515537 /nfs/dbraw/zinc/51/55/37/1129515537.db2.gz FKOUKFQYSPYBGF-UHFFFAOYSA-N 1 2 288.778 3.523 20 0 CHADLO COc1ccccc1C1([NH2+]Cc2ccncc2Cl)CC1 ZINC000646000721 1129516510 /nfs/dbraw/zinc/51/65/10/1129516510.db2.gz QRNSOSYWWVSUGZ-UHFFFAOYSA-N 1 2 288.778 3.523 20 0 CHADLO CC(C)n1ccc(C[NH2+]C2(c3ccccc3F)CCC2)n1 ZINC000646026246 1129518471 /nfs/dbraw/zinc/51/84/71/1129518471.db2.gz GDOUMRVZTPNESW-UHFFFAOYSA-N 1 2 287.382 3.772 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2nccn2C(F)F)CCC1 ZINC000646026589 1129518499 /nfs/dbraw/zinc/51/84/99/1129518499.db2.gz WEJAYWBOMJOYHU-UHFFFAOYSA-N 1 2 295.308 3.586 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]CCOc1ccccc1C(C)C ZINC000180881484 1129519383 /nfs/dbraw/zinc/51/93/83/1129519383.db2.gz SCLQWZQAHRVPRA-CYBMUJFWSA-N 1 2 287.407 3.571 20 0 CHADLO Cc1ccc(C2([NH2+]Cc3nnc(C(C)C)o3)CCC2)cc1 ZINC000646131288 1129525759 /nfs/dbraw/zinc/52/57/59/1129525759.db2.gz JCCAMZKYMLGGFD-UHFFFAOYSA-N 1 2 285.391 3.670 20 0 CHADLO CCCCOC(=O)C[N@@H+]1C[C@H](C)C[C@@H]1c1cccc(F)c1 ZINC000743854670 1129540219 /nfs/dbraw/zinc/54/02/19/1129540219.db2.gz HMENPYLYRUFHAH-CZUORRHYSA-N 1 2 293.382 3.552 20 0 CHADLO CCCCOC(=O)C[N@H+]1C[C@H](C)C[C@@H]1c1cccc(F)c1 ZINC000743854670 1129540220 /nfs/dbraw/zinc/54/02/20/1129540220.db2.gz HMENPYLYRUFHAH-CZUORRHYSA-N 1 2 293.382 3.552 20 0 CHADLO CC(C)Cn1nccc1C[NH2+]Cc1c(F)cccc1Cl ZINC000647177143 1129554665 /nfs/dbraw/zinc/55/46/65/1129554665.db2.gz NAKIFWFIFGDLPO-UHFFFAOYSA-N 1 2 295.789 3.621 20 0 CHADLO c1nc(C[N@H+](Cc2ccccn2)C2CCCCC2)cs1 ZINC001137597248 1131382323 /nfs/dbraw/zinc/38/23/23/1131382323.db2.gz VYZKMWZQCKAEMJ-UHFFFAOYSA-N 1 2 287.432 3.873 20 0 CHADLO c1nc(C[N@@H+](Cc2ccccn2)C2CCCCC2)cs1 ZINC001137597248 1131382325 /nfs/dbraw/zinc/38/23/25/1131382325.db2.gz VYZKMWZQCKAEMJ-UHFFFAOYSA-N 1 2 287.432 3.873 20 0 CHADLO C[C@H]([NH2+]C1(c2ccccc2F)CCC1)c1cc2n(n1)CCC2 ZINC000647333198 1129570544 /nfs/dbraw/zinc/57/05/44/1129570544.db2.gz NXIRJZRJMWUTCJ-ZDUSSCGKSA-N 1 2 299.393 3.698 20 0 CHADLO CCCCC[C@H](C)CC(=O)Nc1cccc2[nH+]ccn21 ZINC000744368148 1129573332 /nfs/dbraw/zinc/57/33/32/1129573332.db2.gz VGGBQRCXMWNGFC-ZDUSSCGKSA-N 1 2 273.380 3.879 20 0 CHADLO CCCOC(=O)[C@H](C)[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000303840286 1129576419 /nfs/dbraw/zinc/57/64/19/1129576419.db2.gz BMXTZBNQDRJSBZ-RYUDHWBXSA-N 1 2 283.799 3.675 20 0 CHADLO CCCOC(=O)[C@H](C)[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000303840286 1129576424 /nfs/dbraw/zinc/57/64/24/1129576424.db2.gz BMXTZBNQDRJSBZ-RYUDHWBXSA-N 1 2 283.799 3.675 20 0 CHADLO Fc1c(C[NH2+][C@H]2C[C@]2(F)c2ccccc2)ccnc1Cl ZINC000822497637 1131385317 /nfs/dbraw/zinc/38/53/17/1131385317.db2.gz IIYUCCNYLQWNJV-WFASDCNBSA-N 1 2 294.732 3.601 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)OCCC(C)(C)C)c1ccccc1 ZINC000745102514 1129594668 /nfs/dbraw/zinc/59/46/68/1129594668.db2.gz WBXPPHSKOAWACP-OAHLLOKOSA-N 1 2 277.408 3.659 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)OCCC(C)(C)C)c1ccccc1 ZINC000745102514 1129594671 /nfs/dbraw/zinc/59/46/71/1129594671.db2.gz WBXPPHSKOAWACP-OAHLLOKOSA-N 1 2 277.408 3.659 20 0 CHADLO CC(C)[N@@H+](Cc1ccccc1F)Cn1cccnc1=S ZINC000746033037 1129622902 /nfs/dbraw/zinc/62/29/02/1129622902.db2.gz KNRPNSFCLHPVMD-UHFFFAOYSA-N 1 2 291.395 3.620 20 0 CHADLO CC(C)[N@H+](Cc1ccccc1F)Cn1cccnc1=S ZINC000746033037 1129622903 /nfs/dbraw/zinc/62/29/03/1129622903.db2.gz KNRPNSFCLHPVMD-UHFFFAOYSA-N 1 2 291.395 3.620 20 0 CHADLO C[N@H+](Cc1cscc1Cl)[C@H](CO)c1ccccc1 ZINC000648714277 1129623138 /nfs/dbraw/zinc/62/31/38/1129623138.db2.gz HCBNETDGXMFZIB-CQSZACIVSA-N 1 2 281.808 3.567 20 0 CHADLO C[N@@H+](Cc1cscc1Cl)[C@H](CO)c1ccccc1 ZINC000648714277 1129623140 /nfs/dbraw/zinc/62/31/40/1129623140.db2.gz HCBNETDGXMFZIB-CQSZACIVSA-N 1 2 281.808 3.567 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)c1 ZINC000649338709 1129641380 /nfs/dbraw/zinc/64/13/80/1129641380.db2.gz IDRGVOYRKPTGGU-SFHVURJKSA-N 1 2 283.346 3.975 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CC[C@H]2c2cccc(F)c2)c1 ZINC000649338709 1129641382 /nfs/dbraw/zinc/64/13/82/1129641382.db2.gz IDRGVOYRKPTGGU-SFHVURJKSA-N 1 2 283.346 3.975 20 0 CHADLO COc1cc(C[NH+]2CC3(C2)CC(F)(F)C3)ccc1Cl ZINC000649401566 1129642954 /nfs/dbraw/zinc/64/29/54/1129642954.db2.gz CXXBQYXXOOELEE-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO COC(=O)CCCc1ccc(-c2cc(C)[nH+]c(C)c2)cc1 ZINC001241995059 1129643225 /nfs/dbraw/zinc/64/32/25/1129643225.db2.gz NREFYIHKLMDOGP-UHFFFAOYSA-N 1 2 283.371 3.861 20 0 CHADLO CCOc1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1Cl ZINC001212576936 1129648258 /nfs/dbraw/zinc/64/82/58/1129648258.db2.gz DVSRTTLHDDDQMQ-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO C[N@H+](Cc1cc2n(n1)CCCC2)Cc1cccc(Cl)c1 ZINC000649482851 1129652797 /nfs/dbraw/zinc/65/27/97/1129652797.db2.gz WUXFITRJFCPITR-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO C[N@@H+](Cc1cc2n(n1)CCCC2)Cc1cccc(Cl)c1 ZINC000649482851 1129652800 /nfs/dbraw/zinc/65/28/00/1129652800.db2.gz WUXFITRJFCPITR-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2COc3c2cccc3Cl)sc1C ZINC000766353362 1129658275 /nfs/dbraw/zinc/65/82/75/1129658275.db2.gz NPDNCVYTZLROTQ-GFCCVEGCSA-N 1 2 294.807 3.637 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCOc3ccc(F)cc32)sc1C ZINC000766354612 1129658469 /nfs/dbraw/zinc/65/84/69/1129658469.db2.gz YLNIBYFGMKGHCX-ZDUSSCGKSA-N 1 2 292.379 3.512 20 0 CHADLO CC(C)c1cccc2c1CC[N@H+](Cc1cc3n(n1)CCC3)C2 ZINC000649592865 1129661496 /nfs/dbraw/zinc/66/14/96/1129661496.db2.gz ZNYIYQXWBTXPRM-UHFFFAOYSA-N 1 2 295.430 3.511 20 0 CHADLO CC(C)c1cccc2c1CC[N@@H+](Cc1cc3n(n1)CCC3)C2 ZINC000649592865 1129661498 /nfs/dbraw/zinc/66/14/98/1129661498.db2.gz ZNYIYQXWBTXPRM-UHFFFAOYSA-N 1 2 295.430 3.511 20 0 CHADLO C[C@@H](CNc1[nH+]cnc2c1cnn2C(C)(C)C)C(C)(C)C ZINC000766406760 1129662821 /nfs/dbraw/zinc/66/28/21/1129662821.db2.gz FQBFPWZGNGZVQZ-NSHDSACASA-N 1 2 289.427 3.675 20 0 CHADLO Cc1cc2cc([C@H](C)[NH2+]Cc3ccon3)oc2cc1C ZINC000766419123 1129664721 /nfs/dbraw/zinc/66/47/21/1129664721.db2.gz CSTXHYIZZONOAR-LBPRGKRZSA-N 1 2 270.332 3.888 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[NH+]1CC(C)(C)OC(C)(C)C1 ZINC001459954975 1129664825 /nfs/dbraw/zinc/66/48/25/1129664825.db2.gz ABECRVQFDCGSAI-NSHDSACASA-N 1 2 283.362 3.915 20 0 CHADLO CCSc1ccccc1[C@H](C)[NH2+]Cc1ccon1 ZINC000766420311 1129665291 /nfs/dbraw/zinc/66/52/91/1129665291.db2.gz OZSZMPYFIULQOF-NSHDSACASA-N 1 2 262.378 3.637 20 0 CHADLO CC(C)(C(=O)NCc1ccn2cc[nH+]c2c1)C1CCCCC1 ZINC000766475404 1129668834 /nfs/dbraw/zinc/66/88/34/1129668834.db2.gz PDVNOIJHZWBXDN-UHFFFAOYSA-N 1 2 299.418 3.557 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2cccc(C3CC3)c2)o1 ZINC000649687165 1129670547 /nfs/dbraw/zinc/67/05/47/1129670547.db2.gz CXXIDJQGNWMHDQ-NWDGAFQWSA-N 1 2 285.391 3.921 20 0 CHADLO CC(C)COc1cc(NCCCCc2ccccn2)cc[nH+]1 ZINC001168802926 1129673283 /nfs/dbraw/zinc/67/32/83/1129673283.db2.gz OINUXELBPWDQGT-UHFFFAOYSA-N 1 2 299.418 3.946 20 0 CHADLO CNc1ccc(NC(C)(C)c2ccc(C)cc2)c[nH+]1 ZINC001168807664 1129673746 /nfs/dbraw/zinc/67/37/46/1129673746.db2.gz NVYNQIMUCKYLCC-UHFFFAOYSA-N 1 2 255.365 3.779 20 0 CHADLO Cc1c(C[N@H+](C)Cc2cnns2)oc2c1cccc2C ZINC000649819723 1129680183 /nfs/dbraw/zinc/68/01/83/1129680183.db2.gz CGRGOQCBTFUMSG-UHFFFAOYSA-N 1 2 287.388 3.533 20 0 CHADLO Cc1c(C[N@@H+](C)Cc2cnns2)oc2c1cccc2C ZINC000649819723 1129680186 /nfs/dbraw/zinc/68/01/86/1129680186.db2.gz CGRGOQCBTFUMSG-UHFFFAOYSA-N 1 2 287.388 3.533 20 0 CHADLO Fc1cc2[nH]ccc2c(NCCc2cn3ccccc3[nH+]2)c1 ZINC001169005146 1129689164 /nfs/dbraw/zinc/68/91/64/1129689164.db2.gz YPYKUSYGLBPJEJ-UHFFFAOYSA-N 1 2 294.333 3.609 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2ncc(Br)s2)c1 ZINC000650242666 1129702411 /nfs/dbraw/zinc/70/24/11/1129702411.db2.gz UPUFEGPXDLKUON-UHFFFAOYSA-N 1 2 298.209 3.530 20 0 CHADLO COCc1cc[nH+]c(NCc2ccccc2C2CC2)c1 ZINC000650244005 1129702594 /nfs/dbraw/zinc/70/25/94/1129702594.db2.gz YXYFQRXJBFPPJJ-UHFFFAOYSA-N 1 2 268.360 3.718 20 0 CHADLO Cc1cc2cc(N[C@@H]3CCCC(F)(F)C3)[nH+]cc2[nH]1 ZINC001169215267 1129708570 /nfs/dbraw/zinc/70/85/70/1129708570.db2.gz XBSPZAGEZSCUGY-LLVKDONJSA-N 1 2 265.307 3.861 20 0 CHADLO Cc1cc(N[C@H]2CCCC(F)(F)C2)[nH+]c2cc[nH]c21 ZINC001169217919 1129709118 /nfs/dbraw/zinc/70/91/18/1129709118.db2.gz HUENTUVGBKIZHO-JTQLQIEISA-N 1 2 265.307 3.861 20 0 CHADLO CC1(C)CO[C@H](C[N@H+](Cc2c(F)cccc2F)C2CC2)C1 ZINC001169225559 1129710495 /nfs/dbraw/zinc/71/04/95/1129710495.db2.gz MRSRBOCFOWWMLO-ZDUSSCGKSA-N 1 2 295.373 3.744 20 0 CHADLO CC1(C)CO[C@H](C[N@@H+](Cc2c(F)cccc2F)C2CC2)C1 ZINC001169225559 1129710498 /nfs/dbraw/zinc/71/04/98/1129710498.db2.gz MRSRBOCFOWWMLO-ZDUSSCGKSA-N 1 2 295.373 3.744 20 0 CHADLO Cc1ccnc(CCNc2cc3ccc(C)cc3c[nH+]2)c1 ZINC001169315863 1129716887 /nfs/dbraw/zinc/71/68/87/1129716887.db2.gz NTBSWDVCFGXEAQ-UHFFFAOYSA-N 1 2 277.371 3.901 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc3c([nH+]2)CCOC3)c2ccccc21 ZINC001169363799 1129720362 /nfs/dbraw/zinc/72/03/62/1129720362.db2.gz JNYDXCROFWYKSV-PXAZEXFGSA-N 1 2 280.371 3.815 20 0 CHADLO Cc1cn2cc(-c3ccc(N(C)C)c(C)c3)ccc2[nH+]1 ZINC001242241389 1129723220 /nfs/dbraw/zinc/72/32/20/1129723220.db2.gz HTVHMVZNQIOHTA-UHFFFAOYSA-N 1 2 265.360 3.684 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@H]1C[C@H]1C1CCCC1 ZINC000651082693 1129728668 /nfs/dbraw/zinc/72/86/68/1129728668.db2.gz YHIXRSXKPPBTRK-GJZGRUSLSA-N 1 2 295.386 3.637 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NC[C@H](C)c1ccc(O)cc1 ZINC001169543077 1129735224 /nfs/dbraw/zinc/73/52/24/1129735224.db2.gz OZISHHYRHZTCDR-ZDUSSCGKSA-N 1 2 286.375 3.790 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC[C@@H](C)C[C@@H]1C)c1ccccc1C ZINC001169554921 1129736600 /nfs/dbraw/zinc/73/66/00/1129736600.db2.gz NHOIVAUGZMBGFK-JWZBEHFJSA-N 1 2 289.419 3.623 20 0 CHADLO Nc1ccc(Nc2cc(C(F)(F)F)ccc2F)c[nH+]1 ZINC000062656035 1129739776 /nfs/dbraw/zinc/73/97/76/1129739776.db2.gz BGUJJWUZCUIBOX-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO CC[C@H](Cc1cccs1)Nc1cc(COC)cc[nH+]1 ZINC000652068193 1129757292 /nfs/dbraw/zinc/75/72/92/1129757292.db2.gz VSRDFYZKUYNMIH-CYBMUJFWSA-N 1 2 276.405 3.723 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2C[C@@H](OC(C)(C)C)C2(C)C)c1 ZINC000652331790 1129764076 /nfs/dbraw/zinc/76/40/76/1129764076.db2.gz UJHYKRNZVQMPSE-UONOGXRCSA-N 1 2 292.423 3.622 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cc3c(s2)CCC3)c1 ZINC000652330562 1129764369 /nfs/dbraw/zinc/76/43/69/1129764369.db2.gz JHRCGWKAQZJNRK-NSHDSACASA-N 1 2 288.416 3.951 20 0 CHADLO COc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)cc1F ZINC001239578879 1131396348 /nfs/dbraw/zinc/39/63/48/1131396348.db2.gz FRNRSSTXNMFUDV-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[NH+]1CCC(F)(F)CC1 ZINC000796549966 1129777978 /nfs/dbraw/zinc/77/79/78/1129777978.db2.gz JHSXBFXOOWMVNM-UHFFFAOYSA-N 1 2 292.329 3.881 20 0 CHADLO Cc1cc(C)c(NC(=O)NC(C(C)C)C(C)C)c(C)[nH+]1 ZINC000653183017 1129778436 /nfs/dbraw/zinc/77/84/36/1129778436.db2.gz CJNDOJJRPRDYJY-UHFFFAOYSA-N 1 2 277.412 3.809 20 0 CHADLO c1cc2cc(NCc3cnc(C4CCCC4)s3)[nH+]cc2[nH]1 ZINC000653500384 1129781918 /nfs/dbraw/zinc/78/19/18/1129781918.db2.gz QQZIABVUSRRIGD-UHFFFAOYSA-N 1 2 298.415 3.711 20 0 CHADLO FC(F)C[N@H+](CCc1noc2ccccc21)CC1CCC1 ZINC000653594143 1129787420 /nfs/dbraw/zinc/78/74/20/1129787420.db2.gz ALOBPRUETBEDQA-UHFFFAOYSA-N 1 2 294.345 3.738 20 0 CHADLO FC(F)C[N@@H+](CCc1noc2ccccc21)CC1CCC1 ZINC000653594143 1129787423 /nfs/dbraw/zinc/78/74/23/1129787423.db2.gz ALOBPRUETBEDQA-UHFFFAOYSA-N 1 2 294.345 3.738 20 0 CHADLO Cc1[nH]c2ccc(Cn3nc(Cl)c4ccccc43)cc2[nH+]1 ZINC000767405184 1129790828 /nfs/dbraw/zinc/79/08/28/1129790828.db2.gz YDBQUTGSRXVQKD-UHFFFAOYSA-N 1 2 296.761 3.923 20 0 CHADLO COc1cc(C)c(NCc2c[nH+]c3ccc(C)cn23)cc1C ZINC000767738672 1129811283 /nfs/dbraw/zinc/81/12/83/1129811283.db2.gz MJNCXBBXVSJGKD-UHFFFAOYSA-N 1 2 295.386 3.880 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)cnc1Cl ZINC000768168454 1129838328 /nfs/dbraw/zinc/83/83/28/1129838328.db2.gz IJOBQCSJUOXVMR-LLVKDONJSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)cnc1Cl ZINC000768168454 1129838333 /nfs/dbraw/zinc/83/83/33/1129838333.db2.gz IJOBQCSJUOXVMR-LLVKDONJSA-N 1 2 292.732 3.818 20 0 CHADLO CC[N@H+](Cc1scnc1Cl)Cc1cccc(OC)c1 ZINC001137824037 1131419678 /nfs/dbraw/zinc/41/96/78/1131419678.db2.gz XMZGACJYSULZBA-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO c1cc([C@H]2CCC[N@H+]2Cc2coc(C3CC3)n2)cs1 ZINC000768330203 1129851552 /nfs/dbraw/zinc/85/15/52/1129851552.db2.gz PUXYFDUEDNOWDV-CQSZACIVSA-N 1 2 274.389 3.951 20 0 CHADLO c1cc([C@H]2CCC[N@@H+]2Cc2coc(C3CC3)n2)cs1 ZINC000768330203 1129851558 /nfs/dbraw/zinc/85/15/58/1129851558.db2.gz PUXYFDUEDNOWDV-CQSZACIVSA-N 1 2 274.389 3.951 20 0 CHADLO CC[N@@H+](Cc1scnc1Cl)Cc1cccc(OC)c1 ZINC001137824037 1131419680 /nfs/dbraw/zinc/41/96/80/1131419680.db2.gz XMZGACJYSULZBA-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2N[C@@H]1CCC(C)(C)C1 ZINC000768526365 1129860166 /nfs/dbraw/zinc/86/01/66/1129860166.db2.gz FKLWVSRHDVXYDA-LLVKDONJSA-N 1 2 287.411 3.572 20 0 CHADLO CCc1ccc(-c2cc(C[NH+]3CCOCC3)ccc2F)cc1 ZINC001239588838 1131402535 /nfs/dbraw/zinc/40/25/35/1131402535.db2.gz YAXCJVIOGVVQSZ-UHFFFAOYSA-N 1 2 299.389 3.887 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2csc(Cl)n2)CC1(C)C ZINC000828873262 1131403749 /nfs/dbraw/zinc/40/37/49/1131403749.db2.gz MLPUPWHXMJVILZ-SECBINFHSA-N 1 2 258.818 3.665 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2csc(Cl)n2)CC1(C)C ZINC000828873262 1131403753 /nfs/dbraw/zinc/40/37/53/1131403753.db2.gz MLPUPWHXMJVILZ-SECBINFHSA-N 1 2 258.818 3.665 20 0 CHADLO COCC[C@H]1CCC[C@@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000800483237 1129877660 /nfs/dbraw/zinc/87/76/60/1129877660.db2.gz DGCVXCXMHFZDPI-QAPCUYQASA-N 1 2 288.435 3.904 20 0 CHADLO COCC[C@H]1CCC[C@@H]1[NH2+]c1ccc(N2CCCC2)cc1 ZINC000800483237 1129877664 /nfs/dbraw/zinc/87/76/64/1129877664.db2.gz DGCVXCXMHFZDPI-QAPCUYQASA-N 1 2 288.435 3.904 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCC[N@@H+]1Cc1ccoc1 ZINC000768919873 1129881576 /nfs/dbraw/zinc/88/15/76/1129881576.db2.gz YLFLTQJGRVWDKU-INIZCTEOSA-N 1 2 281.359 3.503 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCC[N@H+]1Cc1ccoc1 ZINC000768919873 1129881582 /nfs/dbraw/zinc/88/15/82/1129881582.db2.gz YLFLTQJGRVWDKU-INIZCTEOSA-N 1 2 281.359 3.503 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@H+]2Cc2cnc3ccccc3c2)n1 ZINC000769209258 1129896569 /nfs/dbraw/zinc/89/65/69/1129896569.db2.gz GRIDTKPAGXKQRJ-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@@H+]2Cc2cnc3ccccc3c2)n1 ZINC000769209258 1129896572 /nfs/dbraw/zinc/89/65/72/1129896572.db2.gz GRIDTKPAGXKQRJ-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO C[C@H]1C[N@H+](Cc2coc(C3CC3)n2)[C@H]1c1ccccc1 ZINC000769539553 1129916210 /nfs/dbraw/zinc/91/62/10/1129916210.db2.gz LYGKBASKBMGRMV-BLLLJJGKSA-N 1 2 268.360 3.745 20 0 CHADLO C[C@H]1C[N@@H+](Cc2coc(C3CC3)n2)[C@H]1c1ccccc1 ZINC000769539553 1129916213 /nfs/dbraw/zinc/91/62/13/1129916213.db2.gz LYGKBASKBMGRMV-BLLLJJGKSA-N 1 2 268.360 3.745 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3c(C)cccc3C)nn2)c1C ZINC001239596599 1131407474 /nfs/dbraw/zinc/40/74/74/1131407474.db2.gz LNLGZNFCWSKRGE-UHFFFAOYSA-N 1 2 278.359 3.563 20 0 CHADLO Cc1sc(/C=C\c2c[nH+]cn2C(C)C)cc1C=O ZINC000901485929 1129929500 /nfs/dbraw/zinc/92/95/00/1129929500.db2.gz VFKVVTKMFCMSEZ-PLNGDYQASA-N 1 2 260.362 3.817 20 0 CHADLO CC(C)c1cccc2c1OCCC[C@@H]2[NH2+]Cc1ccon1 ZINC000769871179 1129930662 /nfs/dbraw/zinc/93/06/62/1129930662.db2.gz CRZKPSHPAARLPJ-INIZCTEOSA-N 1 2 286.375 3.802 20 0 CHADLO Cc1cc(Cl)cc2c1OCCC[C@@H]2[NH2+]Cc1ccon1 ZINC000769875697 1129931277 /nfs/dbraw/zinc/93/12/77/1129931277.db2.gz PDBJYJXXJUNXRU-AWEZNQCLSA-N 1 2 292.766 3.640 20 0 CHADLO O=C(Nc1ccc2ccccc2c1)c1cccc2[nH+]ccn21 ZINC000770040587 1129937113 /nfs/dbraw/zinc/93/71/13/1129937113.db2.gz WTHYPFLYIZBRGY-UHFFFAOYSA-N 1 2 287.322 3.740 20 0 CHADLO CN(C)c1ccc([NH2+]C[C@@H]2C[C@@H]2c2ccccc2)cc1 ZINC000770409341 1129946401 /nfs/dbraw/zinc/94/64/01/1129946401.db2.gz ANPRFQMPKDQOHK-MAUKXSAKSA-N 1 2 266.388 3.968 20 0 CHADLO C[NH+](C)c1ccc(NC[C@@H]2C[C@@H]2c2ccccc2)cc1 ZINC000770409341 1129946402 /nfs/dbraw/zinc/94/64/02/1129946402.db2.gz ANPRFQMPKDQOHK-MAUKXSAKSA-N 1 2 266.388 3.968 20 0 CHADLO Cc1cc2cc(NCCC(=O)c3ccccc3)[nH+]cc2[nH]1 ZINC001170015488 1129952486 /nfs/dbraw/zinc/95/24/86/1129952486.db2.gz PTVVYGGGUNKAIT-UHFFFAOYSA-N 1 2 279.343 3.556 20 0 CHADLO CC(C)n1c2ccccc2[nH+]c1NCc1nccn1C1CC1 ZINC000902132581 1129983068 /nfs/dbraw/zinc/98/30/68/1129983068.db2.gz RFLOPTAZIDGBNI-UHFFFAOYSA-N 1 2 295.390 3.761 20 0 CHADLO COc1ccc(CNc2[nH+]c3ccccc3n2C(C)C)o1 ZINC000902133369 1129983308 /nfs/dbraw/zinc/98/33/08/1129983308.db2.gz ZMSYVSUWBLZAPH-UHFFFAOYSA-N 1 2 285.347 3.831 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccccc3C2)cnc1Cl ZINC000771926171 1129995246 /nfs/dbraw/zinc/99/52/46/1129995246.db2.gz CBVHLOJEYROTBZ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccccc3C2)cnc1Cl ZINC000771926171 1129995248 /nfs/dbraw/zinc/99/52/48/1129995248.db2.gz CBVHLOJEYROTBZ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C/C(=C\C(C)(C)C)C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000772109864 1130005151 /nfs/dbraw/zinc/00/51/51/1130005151.db2.gz ODISVVYFHZGPEY-ACCUITESSA-N 1 2 283.375 3.803 20 0 CHADLO CC(C)(C)c1ccc(-c2ccn3cc(N)[nH+]c3c2)cc1 ZINC001239606455 1130009301 /nfs/dbraw/zinc/00/93/01/1130009301.db2.gz QJHSIIGICCNAHU-UHFFFAOYSA-N 1 2 265.360 3.881 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2ccc(OC)cc2OC)cc1 ZINC001239624602 1130018647 /nfs/dbraw/zinc/01/86/47/1130018647.db2.gz UNEILXFWYCTBAZ-UHFFFAOYSA-N 1 2 285.343 3.733 20 0 CHADLO COc1ccc([NH2+]C[C@H](C)C(C)(C)C)c(OC)c1OC ZINC000802640418 1130024325 /nfs/dbraw/zinc/02/43/25/1130024325.db2.gz MBLKTKSNNGXYBH-NSHDSACASA-N 1 2 281.396 3.807 20 0 CHADLO c1cc(CNc2[nH+]c3ccccc3n2C2CCCC2)no1 ZINC000902640924 1130032659 /nfs/dbraw/zinc/03/26/59/1130032659.db2.gz POZKLPMRMCCOHO-UHFFFAOYSA-N 1 2 282.347 3.752 20 0 CHADLO Fc1cc(F)cc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001239657075 1130035775 /nfs/dbraw/zinc/03/57/75/1130035775.db2.gz WWQPIPUUPFIPFO-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO Fc1cc(F)cc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001239658762 1130037370 /nfs/dbraw/zinc/03/73/70/1130037370.db2.gz XYHLITYXJVXLRZ-UHFFFAOYSA-N 1 2 274.245 3.957 20 0 CHADLO C[C@@H](c1nc(C2CCCCCCC2)no1)[NH+](C)C ZINC000785589048 1130040319 /nfs/dbraw/zinc/04/03/19/1130040319.db2.gz YSRKZGOAPVTQMG-NSHDSACASA-N 1 2 251.374 3.520 20 0 CHADLO c1cc2cc(-c3cc[nH+]c(N4CCCC4)c3)ccc2[nH]1 ZINC001239696158 1130059259 /nfs/dbraw/zinc/05/92/59/1130059259.db2.gz XPRIKAPYJBGNCV-UHFFFAOYSA-N 1 2 263.344 3.830 20 0 CHADLO Cc1cccc(-c2cc(C[NH+]3CCOCC3)ccc2F)c1C ZINC001239717202 1130071091 /nfs/dbraw/zinc/07/10/91/1130071091.db2.gz GMUJDGFPRXQATH-UHFFFAOYSA-N 1 2 299.389 3.942 20 0 CHADLO COc1ccc(F)cc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239721245 1130074839 /nfs/dbraw/zinc/07/48/39/1130074839.db2.gz LFWCLYXBTYUTJD-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO COC(=O)c1cccc(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001239732585 1130079123 /nfs/dbraw/zinc/07/91/23/1130079123.db2.gz BHPNSCCPNLKUDO-UHFFFAOYSA-N 1 2 292.338 3.541 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cccc(OC)c2F)c1 ZINC001239749986 1130090335 /nfs/dbraw/zinc/09/03/35/1130090335.db2.gz NPRKNGARVPOCJZ-UHFFFAOYSA-N 1 2 273.307 3.863 20 0 CHADLO Nc1cc(-c2cncc(Oc3ccccc3)c2)cc[nH+]1 ZINC001239752492 1130091316 /nfs/dbraw/zinc/09/13/16/1130091316.db2.gz SASRSAIOAOGOEU-UHFFFAOYSA-N 1 2 263.300 3.518 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2ccccc2C(N)=O)c1 ZINC001239765270 1130097937 /nfs/dbraw/zinc/09/79/37/1130097937.db2.gz MRIDKLXKURRZLB-UHFFFAOYSA-N 1 2 282.387 3.607 20 0 CHADLO Clc1cc(C[N@H+]2CC[C@H]3C[C@H]32)ccc1Br ZINC000787272672 1130143733 /nfs/dbraw/zinc/14/37/33/1130143733.db2.gz VFKIVCAGTVXURY-JOYOIKCWSA-N 1 2 286.600 3.697 20 0 CHADLO COc1ccc(C)cc1-c1cn2cc[nH+]c2cc1C ZINC001239769958 1130101056 /nfs/dbraw/zinc/10/10/56/1130101056.db2.gz WDCWADNDRMGYNO-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCc1nc(OC)ccc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239778114 1130103879 /nfs/dbraw/zinc/10/38/79/1130103879.db2.gz VUNYNSJGAXXHSW-UHFFFAOYSA-N 1 2 293.370 3.564 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1cc(Cl)ccc1F ZINC000786742690 1130114252 /nfs/dbraw/zinc/11/42/52/1130114252.db2.gz ZJVGVULFLOWUOC-UHFFFAOYSA-N 1 2 298.770 3.782 20 0 CHADLO CC(=O)OCc1cccc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000903171056 1130123481 /nfs/dbraw/zinc/12/34/81/1130123481.db2.gz MHSWVTMTQFCMHV-IAQYHMDHSA-N 1 2 297.345 3.588 20 0 CHADLO COc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)c(C)c1 ZINC001239827378 1130127842 /nfs/dbraw/zinc/12/78/42/1130127842.db2.gz RSRGBBMZZXWITG-UHFFFAOYSA-N 1 2 278.355 3.915 20 0 CHADLO Clc1cc(C[N@@H+]2CC[C@H]3C[C@H]32)ccc1Br ZINC000787272672 1130143731 /nfs/dbraw/zinc/14/37/31/1130143731.db2.gz VFKIVCAGTVXURY-JOYOIKCWSA-N 1 2 286.600 3.697 20 0 CHADLO CCOc1ccc(F)c(-c2cn3cc[nH+]c3cc2C)c1 ZINC001242936295 1130146859 /nfs/dbraw/zinc/14/68/59/1130146859.db2.gz SGMUIOMGSCLVPH-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO C[C@H]([NH2+][C@H](c1ncccn1)C1CC1)c1csc(Cl)c1 ZINC000903327682 1130155963 /nfs/dbraw/zinc/15/59/63/1130155963.db2.gz XFHWCAVOLNYSRA-ZANVPECISA-N 1 2 293.823 3.993 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@H](c1ncccn1)C1CC1 ZINC000903329868 1130157145 /nfs/dbraw/zinc/15/71/45/1130157145.db2.gz FOORTGKQKPSDEU-GUYCJALGSA-N 1 2 297.402 3.677 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@H+]1Cc1ccccc1 ZINC000787650120 1130157507 /nfs/dbraw/zinc/15/75/07/1130157507.db2.gz HOLFAVCSKLHFBL-GFCCVEGCSA-N 1 2 260.768 3.718 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1ccccc1 ZINC000787650120 1130157509 /nfs/dbraw/zinc/15/75/09/1130157509.db2.gz HOLFAVCSKLHFBL-GFCCVEGCSA-N 1 2 260.768 3.718 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@H](c1ncccn1)C1CC1 ZINC000903330312 1130157862 /nfs/dbraw/zinc/15/78/62/1130157862.db2.gz HNFICEFFBIFQGA-DYVFJYSZSA-N 1 2 297.402 3.596 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2coc3ccccc23)s1 ZINC000746731991 1130176911 /nfs/dbraw/zinc/17/69/11/1130176911.db2.gz VVEWQPNQXATUTL-UHFFFAOYSA-N 1 2 287.388 3.698 20 0 CHADLO Cc1cc2c[nH+]c(-c3ccc(N4CCCCC4)cc3)nc2[nH]1 ZINC001240000915 1130187864 /nfs/dbraw/zinc/18/78/64/1130187864.db2.gz YBLKFWHOOAMYGT-UHFFFAOYSA-N 1 2 292.386 3.875 20 0 CHADLO COc1cc(F)ccc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001240001059 1130188370 /nfs/dbraw/zinc/18/83/70/1130188370.db2.gz ZAWQFLVFESYYSY-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(Cl)cn1)c1ccccc1Cl ZINC000788488695 1130189794 /nfs/dbraw/zinc/18/97/94/1130189794.db2.gz RRFQRYVORGNXAN-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO COC(=[NH2+])c1cccc(-c2cc(F)cc3[nH]ccc32)c1 ZINC001240036312 1130201744 /nfs/dbraw/zinc/20/17/44/1130201744.db2.gz KPTZAAOFFVFQOG-UHFFFAOYSA-N 1 2 268.291 3.946 20 0 CHADLO Cc1cc(-c2cccc(C(=O)NCC(C)C)c2)cc(C)[nH+]1 ZINC001240091205 1130212040 /nfs/dbraw/zinc/21/20/40/1130212040.db2.gz YPPFVIZJJMGQJO-UHFFFAOYSA-N 1 2 282.387 3.751 20 0 CHADLO COc1ccc(F)c(-c2cc(F)c(C[NH+](C)C)c(F)c2)c1 ZINC001240120476 1130217067 /nfs/dbraw/zinc/21/70/67/1130217067.db2.gz RQSZJQSMAAMLKW-UHFFFAOYSA-N 1 2 295.304 3.841 20 0 CHADLO Fc1ccc2[nH]ccc2c1-c1[nH+]ccc2[nH]ccc21 ZINC001240199847 1130228118 /nfs/dbraw/zinc/22/81/18/1130228118.db2.gz ILIUYJWYCVUHDP-UHFFFAOYSA-N 1 2 251.264 3.850 20 0 CHADLO Fc1ccc2c(ccnc2-c2ccc3[nH+]ccn3c2)c1 ZINC001240213301 1130232714 /nfs/dbraw/zinc/23/27/14/1130232714.db2.gz BJJZBKQUZPNIFM-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO c1cn2cc(-c3nccc4c5c([nH]c43)CCCC5)ccc2[nH+]1 ZINC001240217073 1130235369 /nfs/dbraw/zinc/23/53/69/1130235369.db2.gz AAHAWKGUUNRXBB-UHFFFAOYSA-N 1 2 288.354 3.756 20 0 CHADLO CCSc1ccnc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240217145 1130236422 /nfs/dbraw/zinc/23/64/22/1130236422.db2.gz DANQFCITRYKRSD-UHFFFAOYSA-N 1 2 255.346 3.508 20 0 CHADLO CSc1cc(F)cc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218483 1130236787 /nfs/dbraw/zinc/23/67/87/1130236787.db2.gz FCMJIOWIEOKQPM-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO Fc1ccc2cccnc2c1-c1ccc2[nH+]ccn2c1 ZINC001240218713 1130237267 /nfs/dbraw/zinc/23/72/67/1130237267.db2.gz UZYDEVLERMFMDU-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Fc1cnc2cc(-c3ccc4[nH+]ccn4c3)ccc2c1 ZINC001240218675 1130237657 /nfs/dbraw/zinc/23/76/57/1130237657.db2.gz JOANYPBKESSAOS-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CSc1ncc2cc(-c3ccc4[nH+]ccn4c3)ccc2n1 ZINC001240219401 1130237739 /nfs/dbraw/zinc/23/77/39/1130237739.db2.gz KQYCSUJSRPHOBP-UHFFFAOYSA-N 1 2 292.367 3.666 20 0 CHADLO Cc1cncc2cccc(-c3ccc4[nH+]ccn4c3)c12 ZINC001240219708 1130238274 /nfs/dbraw/zinc/23/82/74/1130238274.db2.gz RZCUNBRTDSGREM-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO c1cn2cc(-c3cnc(Oc4ccccc4)nc3)ccc2[nH+]1 ZINC001240219845 1130238813 /nfs/dbraw/zinc/23/88/13/1130238813.db2.gz XEFMDSKREKXNRM-UHFFFAOYSA-N 1 2 288.310 3.584 20 0 CHADLO Cc1c(-c2cccnc2)cncc1-c1ccc2[nH+]ccn2c1 ZINC001240221135 1130238936 /nfs/dbraw/zinc/23/89/36/1130238936.db2.gz GONUWDUHYOYBGJ-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO COCc1cc(C)c(-c2ccc3[nH+]ccn3c2)c(C)c1 ZINC001240221541 1130239252 /nfs/dbraw/zinc/23/92/52/1130239252.db2.gz VABQBHWLNKIDBN-UHFFFAOYSA-N 1 2 266.344 3.765 20 0 CHADLO Fc1cc2cnccc2cc1-c1ccc2[nH+]ccn2c1 ZINC001240222004 1130239665 /nfs/dbraw/zinc/23/96/65/1130239665.db2.gz SVSIZWMFXUAOFW-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CSc1c(F)cccc1-c1ccc2[nH+]ccn2c1 ZINC001240221292 1130240081 /nfs/dbraw/zinc/24/00/81/1130240081.db2.gz KQDVZFKXDZUWKV-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO CCC[C@@H](C)CC(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000748714865 1130243103 /nfs/dbraw/zinc/24/31/03/1130243103.db2.gz NGZRWTYFPAAMFY-GFCCVEGCSA-N 1 2 297.468 3.750 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2ccnc(OC)c2)c1 ZINC001240258041 1130250492 /nfs/dbraw/zinc/25/04/92/1130250492.db2.gz CSCCQCKTZZAZFN-UHFFFAOYSA-N 1 2 270.376 3.912 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2cccc3n[nH]cc32)cc1F ZINC001240317932 1130264943 /nfs/dbraw/zinc/26/49/43/1130264943.db2.gz YNXPOWSCCNUHKB-UHFFFAOYSA-N 1 2 287.313 3.570 20 0 CHADLO Cc1cn2cc(-c3ccc4ncsc4c3)ccc2[nH+]1 ZINC001240344522 1130272187 /nfs/dbraw/zinc/27/21/87/1130272187.db2.gz IFRWBOCLUFPROJ-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO c1cn(Cc2ccc(-c3ccc4ncsc4c3)nc2)c[nH+]1 ZINC001240343462 1130272258 /nfs/dbraw/zinc/27/22/58/1130272258.db2.gz KVBRJKWPWYGZPH-UHFFFAOYSA-N 1 2 292.367 3.603 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2ccc3ncnn3c2)c1 ZINC001240359123 1130277456 /nfs/dbraw/zinc/27/74/56/1130277456.db2.gz JAFMUWDWRZWXAC-UHFFFAOYSA-N 1 2 280.375 3.551 20 0 CHADLO Fc1c(Cl)nccc1C[NH+]1CCC(c2ccco2)CC1 ZINC000826877754 1130303472 /nfs/dbraw/zinc/30/34/72/1130303472.db2.gz LNCDWKQVSVPUDV-UHFFFAOYSA-N 1 2 294.757 3.847 20 0 CHADLO COc1ccc(F)c(F)c1-c1cc(C)cn2cc[nH+]c12 ZINC001240436184 1130311301 /nfs/dbraw/zinc/31/13/01/1130311301.db2.gz XHUTYSZSEIBISZ-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO Cc1cn2c(cccc2-c2ccc3c(c2)c(C)nn3C)[nH+]1 ZINC001240457433 1130318912 /nfs/dbraw/zinc/31/89/12/1130318912.db2.gz VQNCYFCVYXWFNM-UHFFFAOYSA-N 1 2 276.343 3.505 20 0 CHADLO FC(F)[C@H]1CC[N@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000677730394 1130325413 /nfs/dbraw/zinc/32/54/13/1130325413.db2.gz HIYDYRGQJVWSBG-NSHDSACASA-N 1 2 286.391 3.888 20 0 CHADLO c1cn2ccc(-c3cncc(Oc4ccccc4)n3)cc2[nH+]1 ZINC001240497212 1130329400 /nfs/dbraw/zinc/32/94/00/1130329400.db2.gz NLKMPYJLJTUAGE-UHFFFAOYSA-N 1 2 288.310 3.584 20 0 CHADLO CC(=O)n1ccc2cc(-c3ccn4cc[nH+]c4c3)ccc21 ZINC001240508617 1130333976 /nfs/dbraw/zinc/33/39/76/1130333976.db2.gz FTYTUXKYKHFQAN-UHFFFAOYSA-N 1 2 275.311 3.616 20 0 CHADLO Cc1c(F)c(F)cc(-c2ccn3cc[nH+]c3c2)c1F ZINC001240510287 1130335696 /nfs/dbraw/zinc/33/56/96/1130335696.db2.gz GSCGMJLVVKHTOM-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO Fc1cnc2ccc(-c3ccn4cc[nH+]c4c3)cc2c1 ZINC001240511603 1130336484 /nfs/dbraw/zinc/33/64/84/1130336484.db2.gz JCHLVODZMPFPFQ-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1cc(F)c2[nH]ccc2c1-c1ccn2cc[nH+]c2c1 ZINC001240512735 1130337226 /nfs/dbraw/zinc/33/72/26/1130337226.db2.gz YVMVLMKHVFQVBS-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO CC(C)(C)c1cc(-c2ccn3cc[nH+]c3c2)ccn1 ZINC001240513602 1130337446 /nfs/dbraw/zinc/33/74/46/1130337446.db2.gz VPIPZUOTZWOPGP-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO CSc1cc(-c2ccn3cc[nH+]c3c2)ccc1F ZINC001240513647 1130337558 /nfs/dbraw/zinc/33/75/58/1130337558.db2.gz XXFBDZFTIUIOGQ-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO COCc1cc(C)c(-c2ccn3cc[nH+]c3c2)c(C)c1 ZINC001240518700 1130340809 /nfs/dbraw/zinc/34/08/09/1130340809.db2.gz SSFGJRDZBZWBCB-UHFFFAOYSA-N 1 2 266.344 3.765 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1[nH]nc2ccccc21 ZINC000678125260 1130353901 /nfs/dbraw/zinc/35/39/01/1130353901.db2.gz SEVCJIHDXUIKRC-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1[nH]nc2ccccc21 ZINC000678125260 1130353906 /nfs/dbraw/zinc/35/39/06/1130353906.db2.gz SEVCJIHDXUIKRC-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1n[nH]c2ccccc21 ZINC000678125260 1130353911 /nfs/dbraw/zinc/35/39/11/1130353911.db2.gz SEVCJIHDXUIKRC-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1n[nH]c2ccccc21 ZINC000678125260 1130353915 /nfs/dbraw/zinc/35/39/15/1130353915.db2.gz SEVCJIHDXUIKRC-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1ccco1)CC3 ZINC000678171851 1130366136 /nfs/dbraw/zinc/36/61/36/1130366136.db2.gz MFLYXVJFCHBCDI-UHFFFAOYSA-N 1 2 266.344 3.628 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1ccco1)CC3 ZINC000678171851 1130366145 /nfs/dbraw/zinc/36/61/45/1130366145.db2.gz MFLYXVJFCHBCDI-UHFFFAOYSA-N 1 2 266.344 3.628 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(N3CCCCC3)nc2)[nH+]1 ZINC001240584021 1130371912 /nfs/dbraw/zinc/37/19/12/1130371912.db2.gz LHDNXWGYVJQKKW-UHFFFAOYSA-N 1 2 292.386 3.695 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1nn(C)c2ccccc12)C1CC1 ZINC000678213411 1130372748 /nfs/dbraw/zinc/37/27/48/1130372748.db2.gz ULJPHKISNCOFDA-ZDUSSCGKSA-N 1 2 295.386 3.892 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1nn(C)c2ccccc12)C1CC1 ZINC000678213411 1130372755 /nfs/dbraw/zinc/37/27/55/1130372755.db2.gz ULJPHKISNCOFDA-ZDUSSCGKSA-N 1 2 295.386 3.892 20 0 CHADLO Oc1ccc(-c2ccc(-c3nc4[nH]ccc4c[nH+]3)cc2)cc1 ZINC001240595491 1130379728 /nfs/dbraw/zinc/37/97/28/1130379728.db2.gz FKBRNFVAOPGBRS-UHFFFAOYSA-N 1 2 287.322 3.949 20 0 CHADLO C[C@H](O)CC[N@H+](C)Cc1c(Cl)ccc(Cl)c1Cl ZINC000678270588 1130383616 /nfs/dbraw/zinc/38/36/16/1130383616.db2.gz QZSIWBVBDXCXAV-QMMMGPOBSA-N 1 2 296.625 3.850 20 0 CHADLO C[C@H](O)CC[N@@H+](C)Cc1c(Cl)ccc(Cl)c1Cl ZINC000678270588 1130383621 /nfs/dbraw/zinc/38/36/21/1130383621.db2.gz QZSIWBVBDXCXAV-QMMMGPOBSA-N 1 2 296.625 3.850 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1C/C(Cl)=C/Cl ZINC000791585710 1130387011 /nfs/dbraw/zinc/38/70/11/1130387011.db2.gz UCAWSGLOMHUGGS-GLVSWVFDSA-N 1 2 262.102 3.578 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1C/C(Cl)=C/Cl ZINC000791585710 1130387017 /nfs/dbraw/zinc/38/70/17/1130387017.db2.gz UCAWSGLOMHUGGS-GLVSWVFDSA-N 1 2 262.102 3.578 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C2CC2)C[C@@H](C(F)(F)F)O1 ZINC000678281686 1130391589 /nfs/dbraw/zinc/39/15/89/1130391589.db2.gz SRNAWGCGBTWJDU-ABAIWWIYSA-N 1 2 299.336 3.716 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C2CC2)C[C@@H](C(F)(F)F)O1 ZINC000678281686 1130391595 /nfs/dbraw/zinc/39/15/95/1130391595.db2.gz SRNAWGCGBTWJDU-ABAIWWIYSA-N 1 2 299.336 3.716 20 0 CHADLO CC(C)C[C@H](C(=O)OCc1cc[nH+]c(N)c1)c1ccccc1 ZINC000791940596 1130420339 /nfs/dbraw/zinc/42/03/39/1130420339.db2.gz WLUNAHAZYPBZFS-INIZCTEOSA-N 1 2 298.386 3.537 20 0 CHADLO CC[C@H](CC(=O)OCc1cc[nH+]c(N)c1)c1ccc(C)cc1 ZINC000791932043 1130420826 /nfs/dbraw/zinc/42/08/26/1130420826.db2.gz VVCLAZOFXDKNFM-OAHLLOKOSA-N 1 2 298.386 3.599 20 0 CHADLO CC[C@@H](C)c1ccc(C(=O)OCc2cc[nH+]c(N)c2)cc1 ZINC000791993848 1130424082 /nfs/dbraw/zinc/42/40/82/1130424082.db2.gz DOMFKLHKEMHUSR-GFCCVEGCSA-N 1 2 284.359 3.534 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1nccs1)c1ccccn1 ZINC000678544573 1130426637 /nfs/dbraw/zinc/42/66/37/1130426637.db2.gz QZTCKAOQFXNAMS-DGCLKSJQSA-N 1 2 261.394 3.730 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2c1cccc2Cl)c1ccc(F)cn1 ZINC000678597893 1130436460 /nfs/dbraw/zinc/43/64/60/1130436460.db2.gz JJENIHCIOBCQSB-XPTSAGLGSA-N 1 2 292.741 3.658 20 0 CHADLO COc1ccccc1[C@H](C)[N@H+](C)Cc1n[nH]c2ccccc21 ZINC001137349770 1130451915 /nfs/dbraw/zinc/45/19/15/1130451915.db2.gz JXGAYRIZKDRBBC-ZDUSSCGKSA-N 1 2 295.386 3.765 20 0 CHADLO COc1ccccc1[C@H](C)[N@@H+](C)Cc1n[nH]c2ccccc21 ZINC001137349770 1130451919 /nfs/dbraw/zinc/45/19/19/1130451919.db2.gz JXGAYRIZKDRBBC-ZDUSSCGKSA-N 1 2 295.386 3.765 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](CC2CC2)c2ccccc2)o1 ZINC000678776417 1130453561 /nfs/dbraw/zinc/45/35/61/1130453561.db2.gz LTLDQUOIQQDRDA-HNNXBMFYSA-N 1 2 285.391 3.824 20 0 CHADLO CC[C@@H](Nc1cc[nH+]cc1F)c1ccc(Cl)cc1 ZINC000678788912 1130455461 /nfs/dbraw/zinc/45/54/61/1130455461.db2.gz QQHOJYLTRDOISJ-CYBMUJFWSA-N 1 2 264.731 3.859 20 0 CHADLO CCO[C@@H](C)n1cc(-c2ccc(-c3c[nH+]cn3C)cc2)cn1 ZINC001240759821 1130458479 /nfs/dbraw/zinc/45/84/79/1130458479.db2.gz VVWHOYVJZQESBJ-ZDUSSCGKSA-N 1 2 296.374 3.506 20 0 CHADLO c1cc(-c2ccc3c(c2)CCCO3)cc(N2CCCC2)[nH+]1 ZINC001240774759 1130466519 /nfs/dbraw/zinc/46/65/19/1130466519.db2.gz HIUSKIPWNMFOKV-UHFFFAOYSA-N 1 2 280.371 3.674 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[N@@H+]1CCC(F)(F)[C@H](F)C1 ZINC001170265059 1130470203 /nfs/dbraw/zinc/47/02/03/1130470203.db2.gz DVEVWOIOTZOSAR-GXFFZTMASA-N 1 2 291.744 3.950 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[N@H+]1CCC(F)(F)[C@H](F)C1 ZINC001170265059 1130470205 /nfs/dbraw/zinc/47/02/05/1130470205.db2.gz DVEVWOIOTZOSAR-GXFFZTMASA-N 1 2 291.744 3.950 20 0 CHADLO FC(F)(F)c1cncc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001240791971 1130475168 /nfs/dbraw/zinc/47/51/68/1130475168.db2.gz IVAVIUGKDAVOIZ-UHFFFAOYSA-N 1 2 289.260 3.953 20 0 CHADLO CCSc1ccccc1[C@H](C)[NH2+]Cc1cocn1 ZINC000679048249 1130487909 /nfs/dbraw/zinc/48/79/09/1130487909.db2.gz HNDUBENEQAFGCS-NSHDSACASA-N 1 2 262.378 3.637 20 0 CHADLO COc1ccc2c(c1)C[C@@H](Nc1cc3cc[nH]c3c[nH+]1)CC2 ZINC001170405161 1130492010 /nfs/dbraw/zinc/49/20/10/1130492010.db2.gz VOFXTKFELIHQQW-HNNXBMFYSA-N 1 2 293.370 3.541 20 0 CHADLO COc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1C ZINC001240866263 1130497933 /nfs/dbraw/zinc/49/79/33/1130497933.db2.gz BRTUJZWGZPWVQT-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO CC(C)c1cc(N2CC[C@H](C)[C@H](F)C2)nc(C(C)C)[nH+]1 ZINC000679192858 1130500705 /nfs/dbraw/zinc/50/07/05/1130500705.db2.gz QJPRELIQGWPDAL-QWHCGFSZSA-N 1 2 279.403 3.908 20 0 CHADLO Fc1ccccc1-c1nc(C[N@H+]2CC=CCC2)cs1 ZINC000679323386 1130514256 /nfs/dbraw/zinc/51/42/56/1130514256.db2.gz WQHLYTSCTXMFCY-UHFFFAOYSA-N 1 2 274.364 3.711 20 0 CHADLO Fc1ccccc1-c1nc(C[N@@H+]2CC=CCC2)cs1 ZINC000679323386 1130514259 /nfs/dbraw/zinc/51/42/59/1130514259.db2.gz WQHLYTSCTXMFCY-UHFFFAOYSA-N 1 2 274.364 3.711 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]Cc1cc2c(s1)CCCC2 ZINC000679336461 1130516812 /nfs/dbraw/zinc/51/68/12/1130516812.db2.gz OVXJDXZXJKYXLD-JTQLQIEISA-N 1 2 275.421 3.509 20 0 CHADLO Cc1n[nH]cc1[C@@H](C)[NH2+]Cc1coc(-c2ccc(C)cc2)n1 ZINC000679346063 1130517678 /nfs/dbraw/zinc/51/76/78/1130517678.db2.gz SBMJILQXCLMCPE-GFCCVEGCSA-N 1 2 296.374 3.532 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(C(C)(C)C)s2)C[C@@H]1F ZINC000679358676 1130519619 /nfs/dbraw/zinc/51/96/19/1130519619.db2.gz JBMRUGBUVMRRQK-PWSUYJOCSA-N 1 2 270.417 3.621 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(C(C)(C)C)s2)C[C@@H]1F ZINC000679358676 1130519617 /nfs/dbraw/zinc/51/96/17/1130519617.db2.gz JBMRUGBUVMRRQK-PWSUYJOCSA-N 1 2 270.417 3.621 20 0 CHADLO CC(C)OC(=O)c1cccc(-c2cccc3[nH+]ccn32)c1 ZINC001240945982 1130528596 /nfs/dbraw/zinc/52/85/96/1130528596.db2.gz JVARREFKXXHHPV-UHFFFAOYSA-N 1 2 280.327 3.567 20 0 CHADLO Cc1csc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)n1 ZINC000679544917 1130537786 /nfs/dbraw/zinc/53/77/86/1130537786.db2.gz IWRBSVGYVKAAAX-VHSXEESVSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1csc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)n1 ZINC000679544917 1130537791 /nfs/dbraw/zinc/53/77/91/1130537791.db2.gz IWRBSVGYVKAAAX-VHSXEESVSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cn2c(cccc2-c2cc(F)c(F)c(F)c2)[nH+]1 ZINC001240980093 1130548893 /nfs/dbraw/zinc/54/88/93/1130548893.db2.gz PBDBLSQPYDIKTE-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO FC1(F)CC[NH+](Cc2ccc(Cl)s2)CC1 ZINC000793351704 1130549889 /nfs/dbraw/zinc/54/98/89/1130549889.db2.gz GBXNILJSXWGUTL-UHFFFAOYSA-N 1 2 251.729 3.633 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccnc(Cl)c2Cl)CCS1 ZINC000811496176 1130613310 /nfs/dbraw/zinc/61/33/10/1130613310.db2.gz RXZMVRIGIWTOMM-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO CCc1cc(N2CC(C)(C)[C@@H]2c2ccccc2)nc(C)[nH+]1 ZINC000679837435 1130557938 /nfs/dbraw/zinc/55/79/38/1130557938.db2.gz QITWPABXNMDFPP-KRWDZBQOSA-N 1 2 281.403 3.935 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@@H+]2Cc2cncnc2)s1 ZINC001137667986 1130558856 /nfs/dbraw/zinc/55/88/56/1130558856.db2.gz NGTFRKYNZAWLDX-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@H+]2Cc2cncnc2)s1 ZINC001137667986 1130558861 /nfs/dbraw/zinc/55/88/61/1130558861.db2.gz NGTFRKYNZAWLDX-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](c2ccco2)c2ccccc2)n(C)n1 ZINC000793515643 1130560503 /nfs/dbraw/zinc/56/05/03/1130560503.db2.gz IHUTVYWVJJRIPF-KDOFPFPSSA-N 1 2 295.386 3.762 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1ncc(Cl)cc1Cl ZINC000679944830 1130563990 /nfs/dbraw/zinc/56/39/90/1130563990.db2.gz QUZNNXBZYGYGBF-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO COc1cccc(F)c1-c1ccccc1Cn1cc[nH+]c1 ZINC001241012631 1130565810 /nfs/dbraw/zinc/56/58/10/1130565810.db2.gz BQFVBVQLPNYGSJ-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO CCOc1cc(F)cc(-c2ccc(Cn3cc[nH+]c3)cn2)c1 ZINC001241024307 1130570676 /nfs/dbraw/zinc/57/06/76/1130570676.db2.gz WXLPZVORDVSVSC-UHFFFAOYSA-N 1 2 297.333 3.531 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccnc(Cl)c2Cl)CCS1 ZINC000811496176 1130613308 /nfs/dbraw/zinc/61/33/08/1130613308.db2.gz RXZMVRIGIWTOMM-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)O[C@@H](C)c1ccco1)c1ccccc1 ZINC000780467834 1130680109 /nfs/dbraw/zinc/68/01/09/1130680109.db2.gz GZDGDODMBOXREZ-XJKSGUPXSA-N 1 2 287.359 3.577 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)O[C@@H](C)c1ccco1)c1ccccc1 ZINC000780467834 1130680113 /nfs/dbraw/zinc/68/01/13/1130680113.db2.gz GZDGDODMBOXREZ-XJKSGUPXSA-N 1 2 287.359 3.577 20 0 CHADLO Cc1ccc(N(C)Cc2cccc3[nH+]ccn32)c(C)c1 ZINC000780894402 1130694615 /nfs/dbraw/zinc/69/46/15/1130694615.db2.gz NEBLJXMPVBQHSO-UHFFFAOYSA-N 1 2 265.360 3.588 20 0 CHADLO COc1ccc(F)cc1CNc1ccc([NH+](C)C)c(C)c1 ZINC000812745777 1130711382 /nfs/dbraw/zinc/71/13/82/1130711382.db2.gz GSOXICSCRDUYMX-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H]3CC[C@@H]3C)cc2)c1C ZINC000812785253 1130713698 /nfs/dbraw/zinc/71/36/98/1130713698.db2.gz JSFFGNXJIJBJRW-ZBEGNZNMSA-N 1 2 255.365 3.700 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)c1cc2ccccc2s1 ZINC001133452639 1130718380 /nfs/dbraw/zinc/71/83/80/1130718380.db2.gz GJGCDRGQCLKLFC-UHFFFAOYSA-N 1 2 282.368 3.587 20 0 CHADLO Cc1cc(C)c(NC(=O)C2(F)CCCCC2)c(C)[nH+]1 ZINC000812857063 1130719587 /nfs/dbraw/zinc/71/95/87/1130719587.db2.gz GEYMPPFRTOWVKR-UHFFFAOYSA-N 1 2 264.344 3.618 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)[C@H]1CC[C@H](C2CCC2)CC1 ZINC001133456643 1130719987 /nfs/dbraw/zinc/71/99/87/1130719987.db2.gz WDMLLYNQESLWMD-WKILWMFISA-N 1 2 286.419 3.665 20 0 CHADLO FC(F)(F)c1ccc(Cl)nc1C[N@@H+]1CCC2(CC2)C1 ZINC001235221803 1130724370 /nfs/dbraw/zinc/72/43/70/1130724370.db2.gz CDYRMLYDQIXHTK-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO FC(F)(F)c1ccc(Cl)nc1C[N@H+]1CCC2(CC2)C1 ZINC001235221803 1130724375 /nfs/dbraw/zinc/72/43/75/1130724375.db2.gz CDYRMLYDQIXHTK-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO FC(F)(F)c1ccc(Cl)nc1C[NH+]1CCCCC1 ZINC001235225687 1130725034 /nfs/dbraw/zinc/72/50/34/1130725034.db2.gz BAJXYSSTZYTLPS-UHFFFAOYSA-N 1 2 278.705 3.740 20 0 CHADLO CCOC(=O)C[N@H+](C)Cc1cccc(-c2ccc(C)cc2)c1 ZINC001235313905 1130732954 /nfs/dbraw/zinc/73/29/54/1130732954.db2.gz YNGJUWICXFUCTK-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO CCOC(=O)C[N@@H+](C)Cc1cccc(-c2ccc(C)cc2)c1 ZINC001235313905 1130732957 /nfs/dbraw/zinc/73/29/57/1130732957.db2.gz YNGJUWICXFUCTK-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1ncc(Cl)cn1 ZINC001235415612 1130740140 /nfs/dbraw/zinc/74/01/40/1130740140.db2.gz YFQNXGQIBDUMMS-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1ncc(Cl)cn1 ZINC001235415612 1130740144 /nfs/dbraw/zinc/74/01/44/1130740144.db2.gz YFQNXGQIBDUMMS-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CCCSCC1 ZINC000692509773 1130741758 /nfs/dbraw/zinc/74/17/58/1130741758.db2.gz WBXIMFITESSYPA-ZDUSSCGKSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@H]1CCCSCC1 ZINC000692509773 1130741765 /nfs/dbraw/zinc/74/17/65/1130741765.db2.gz WBXIMFITESSYPA-ZDUSSCGKSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c(Br)c1 ZINC001235466773 1130747755 /nfs/dbraw/zinc/74/77/55/1130747755.db2.gz KFGXJUJBGXFDCC-YGRLFVJLSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCC[C@@H]3C[C@@H]32)c(Br)c1 ZINC001235466773 1130747758 /nfs/dbraw/zinc/74/77/58/1130747758.db2.gz KFGXJUJBGXFDCC-YGRLFVJLSA-N 1 2 298.199 3.881 20 0 CHADLO C[C@@H](C1CC1)[N@@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000782193359 1130760334 /nfs/dbraw/zinc/76/03/34/1130760334.db2.gz LYUIVKYHNCTAGU-NHYWBVRUSA-N 1 2 299.336 3.877 20 0 CHADLO C[C@@H](C1CC1)[N@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000782193359 1130760341 /nfs/dbraw/zinc/76/03/41/1130760341.db2.gz LYUIVKYHNCTAGU-NHYWBVRUSA-N 1 2 299.336 3.877 20 0 CHADLO C[C@@H](C1CC1)[N@@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000782194697 1130760608 /nfs/dbraw/zinc/76/06/08/1130760608.db2.gz UBXFTLRJBJMBGN-ZUZCIYMTSA-N 1 2 283.774 3.651 20 0 CHADLO C[C@@H](C1CC1)[N@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000782194697 1130760615 /nfs/dbraw/zinc/76/06/15/1130760615.db2.gz UBXFTLRJBJMBGN-ZUZCIYMTSA-N 1 2 283.774 3.651 20 0 CHADLO Cc1cc(Br)cc(C)c1C[N@@H+]1CC[C@@H](F)C1 ZINC001235781804 1130778752 /nfs/dbraw/zinc/77/87/52/1130778752.db2.gz RWRJVLLQUAZIBN-GFCCVEGCSA-N 1 2 286.188 3.610 20 0 CHADLO Cc1cc(Br)cc(C)c1C[N@H+]1CC[C@@H](F)C1 ZINC001235781804 1130778757 /nfs/dbraw/zinc/77/87/57/1130778757.db2.gz RWRJVLLQUAZIBN-GFCCVEGCSA-N 1 2 286.188 3.610 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OCCCc1ccsc1 ZINC000783150185 1130798962 /nfs/dbraw/zinc/79/89/62/1130798962.db2.gz VURKREBGKBIWPO-UHFFFAOYSA-N 1 2 292.379 3.561 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]O[C@@H]1CCCc2ccccc21 ZINC000783161188 1130799411 /nfs/dbraw/zinc/79/94/11/1130799411.db2.gz XMDKRKDUYGJPEY-QGZVFWFLSA-N 1 2 298.361 3.944 20 0 CHADLO CCc1ccc(CO[NH+]=C(N)Cc2cccc(F)c2)cc1 ZINC000783173797 1130800098 /nfs/dbraw/zinc/80/00/98/1130800098.db2.gz PTQWBYJDECJFTL-UHFFFAOYSA-N 1 2 286.350 3.629 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(C(=O)N(C(C)C)C(C)C)cc1 ZINC001235922901 1130804367 /nfs/dbraw/zinc/80/43/67/1130804367.db2.gz WYFRCBXJPDPOMZ-DXLNJPRNSA-N 1 2 286.419 3.821 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccn3cc(N)[nH+]c3c2)c(C)c1 ZINC001170726332 1130827612 /nfs/dbraw/zinc/82/76/12/1130827612.db2.gz MGBRVEFTYJLASO-ZDUSSCGKSA-N 1 2 280.375 3.706 20 0 CHADLO COc1ccc2cc(N[C@@H](C)c3cccc(N)c3)[nH+]cc2c1 ZINC001170728343 1130831611 /nfs/dbraw/zinc/83/16/11/1130831611.db2.gz ZNRMOUGGSCDHPM-LBPRGKRZSA-N 1 2 293.370 3.999 20 0 CHADLO NC(=[NH+]OCc1cc(F)ccc1Cl)c1ccccc1 ZINC000783550218 1130836298 /nfs/dbraw/zinc/83/62/98/1130836298.db2.gz DYBPHBGTFOHFRJ-UHFFFAOYSA-N 1 2 278.714 3.526 20 0 CHADLO CC(C)Oc1ncccc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001236108507 1130866036 /nfs/dbraw/zinc/86/60/36/1130866036.db2.gz GVIFJDBARYBBCP-UHFFFAOYSA-N 1 2 297.333 3.861 20 0 CHADLO Nc1ccc(-c2ccc(SC(F)(F)F)cc2)c[nH+]1 ZINC001236313319 1130912425 /nfs/dbraw/zinc/91/24/25/1130912425.db2.gz NMAYSCSIHOUQCI-UHFFFAOYSA-N 1 2 270.279 3.943 20 0 CHADLO Nc1[nH+]cccc1-c1ccc(NC(=O)c2ccccc2)cc1 ZINC001236334134 1130915388 /nfs/dbraw/zinc/91/53/88/1130915388.db2.gz MJLFGSYWVZACDS-UHFFFAOYSA-N 1 2 289.338 3.583 20 0 CHADLO Cc1cc(-c2ccc(F)c(OC(C)C)c2F)c[nH+]c1N ZINC001236358025 1130917899 /nfs/dbraw/zinc/91/78/99/1130917899.db2.gz HEVZITLQTXHBFP-UHFFFAOYSA-N 1 2 278.302 3.705 20 0 CHADLO CCOc1ccc(Nc2ccc(NC)[nH+]c2)cc1CC ZINC001159253593 1130928995 /nfs/dbraw/zinc/92/89/95/1130928995.db2.gz AAVQNHGANJCLFE-UHFFFAOYSA-N 1 2 271.364 3.828 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2cccc(Cl)c2)co1 ZINC001206081915 1130950475 /nfs/dbraw/zinc/95/04/75/1130950475.db2.gz VECOXPVKZZCJGI-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2cccc(Cl)c2)co1 ZINC001206081915 1130950478 /nfs/dbraw/zinc/95/04/78/1130950478.db2.gz VECOXPVKZZCJGI-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO CCCNc1cc(C)[nH+]c(-c2ccc(NC(C)C)cc2)n1 ZINC001236479010 1130951509 /nfs/dbraw/zinc/95/15/09/1130951509.db2.gz NDYFYYYZEITQGR-UHFFFAOYSA-N 1 2 284.407 3.516 20 0 CHADLO CC(C)Oc1cc(F)c(Nc2ccc(N)[nH+]c2)cc1Cl ZINC001159281877 1130957837 /nfs/dbraw/zinc/95/78/37/1130957837.db2.gz GRDDTQDANQHOFD-UHFFFAOYSA-N 1 2 295.745 3.987 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@H]2CCC=CCCC2)c(C)[nH+]1 ZINC001134952826 1130978584 /nfs/dbraw/zinc/97/85/84/1130978584.db2.gz BLQIPKRQPPBVQT-INIZCTEOSA-N 1 2 286.419 3.873 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC[C@H]2c2cccnc2)n1 ZINC001236637206 1130987648 /nfs/dbraw/zinc/98/76/48/1130987648.db2.gz WKPQLLWMGUSGHZ-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC[C@H]2c2cccnc2)n1 ZINC001236637206 1130987651 /nfs/dbraw/zinc/98/76/51/1130987651.db2.gz WKPQLLWMGUSGHZ-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO CO[C@H]1CCC[N@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236656632 1130989754 /nfs/dbraw/zinc/98/97/54/1130989754.db2.gz RHRNYMVTWYLCDZ-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@H]1CCC[N@@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236656632 1130989758 /nfs/dbraw/zinc/98/97/58/1130989758.db2.gz RHRNYMVTWYLCDZ-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO Fc1c(Br)cccc1-c1ccn2cc[nH+]c2c1 ZINC001245483333 1130990849 /nfs/dbraw/zinc/99/08/49/1130990849.db2.gz AABBCJOOGDRWJL-UHFFFAOYSA-N 1 2 291.123 3.903 20 0 CHADLO COc1ccc2sc(C[N@@H+]3Cc4ccncc4C3)cc2c1 ZINC001236662917 1130991660 /nfs/dbraw/zinc/99/16/60/1130991660.db2.gz QYEBSOBLDRLWCJ-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO COc1ccc2sc(C[N@H+]3Cc4ccncc4C3)cc2c1 ZINC001236662917 1130991662 /nfs/dbraw/zinc/99/16/62/1130991662.db2.gz QYEBSOBLDRLWCJ-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+]Cc1c(F)cc(F)cc1F ZINC000815650211 1131017607 /nfs/dbraw/zinc/01/76/07/1131017607.db2.gz LPARIBQDRIORAV-QMMMGPOBSA-N 1 2 298.308 3.814 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)c1Cl ZINC001236849841 1131020199 /nfs/dbraw/zinc/02/01/99/1131020199.db2.gz BPLPCJPVZNHOHK-GJZGRUSLSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cccc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)c1Cl ZINC001236849841 1131020205 /nfs/dbraw/zinc/02/02/05/1131020205.db2.gz BPLPCJPVZNHOHK-GJZGRUSLSA-N 1 2 291.822 3.982 20 0 CHADLO O=C(/C=C/c1ccc(Cl)cc1)Nc1ccn2cc[nH+]c2c1 ZINC000798263073 1131031955 /nfs/dbraw/zinc/03/19/55/1131031955.db2.gz SAPUWIAUFWBJIG-ZZXKWVIFSA-N 1 2 297.745 3.640 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccccc2OC(C)(C)C)CCC1=O ZINC001237023774 1131036631 /nfs/dbraw/zinc/03/66/31/1131036631.db2.gz SDEPWWCAFVGPTF-CQSZACIVSA-N 1 2 289.419 3.665 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccccc2OC(C)(C)C)CCC1=O ZINC001237023774 1131036637 /nfs/dbraw/zinc/03/66/37/1131036637.db2.gz SDEPWWCAFVGPTF-CQSZACIVSA-N 1 2 289.419 3.665 20 0 CHADLO Fc1ccc(C[NH+]2Cc3ccccc3C2)cc1N1CCCC1 ZINC001237093301 1131049284 /nfs/dbraw/zinc/04/92/84/1131049284.db2.gz RGBRXIDGUKSTIL-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1ccc2cccc(Br)c2n1 ZINC001237172029 1131065380 /nfs/dbraw/zinc/06/53/80/1131065380.db2.gz SIOXVRDYITXMCN-SNVBAGLBSA-N 1 2 291.192 3.592 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1ccc2cccc(Br)c2n1 ZINC001237172029 1131065386 /nfs/dbraw/zinc/06/53/86/1131065386.db2.gz SIOXVRDYITXMCN-SNVBAGLBSA-N 1 2 291.192 3.592 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc2cccccc1-2 ZINC001237185781 1131066443 /nfs/dbraw/zinc/06/64/43/1131066443.db2.gz FSXNRJWLGORMSS-GJZGRUSLSA-N 1 2 261.315 3.673 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc2cccccc1-2 ZINC001237185781 1131066448 /nfs/dbraw/zinc/06/64/48/1131066448.db2.gz FSXNRJWLGORMSS-GJZGRUSLSA-N 1 2 261.315 3.673 20 0 CHADLO FC(F)(F)[C@H]1C[N@H+](Cc2ccc3cccccc2-3)CCO1 ZINC001237194239 1131071174 /nfs/dbraw/zinc/07/11/74/1131071174.db2.gz YUVVYBKUSJYYND-OAHLLOKOSA-N 1 2 295.304 3.555 20 0 CHADLO FC(F)(F)[C@H]1C[N@@H+](Cc2ccc3cccccc2-3)CCO1 ZINC001237194239 1131071175 /nfs/dbraw/zinc/07/11/75/1131071175.db2.gz YUVVYBKUSJYYND-OAHLLOKOSA-N 1 2 295.304 3.555 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@H+](C)Cc1cscn1 ZINC001237326621 1131083173 /nfs/dbraw/zinc/08/31/73/1131083173.db2.gz SBHMYBVOTRUTLM-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@@H+](C)Cc1cscn1 ZINC001237326621 1131083179 /nfs/dbraw/zinc/08/31/79/1131083179.db2.gz SBHMYBVOTRUTLM-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO CC(C)[N@H+](Cc1cnn(C)c1Cl)Cc1ccc(F)cc1 ZINC000816486391 1131098966 /nfs/dbraw/zinc/09/89/66/1131098966.db2.gz BLJHCJULMJRORC-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC(C)[N@@H+](Cc1cnn(C)c1Cl)Cc1ccc(F)cc1 ZINC000816486391 1131098969 /nfs/dbraw/zinc/09/89/69/1131098969.db2.gz BLJHCJULMJRORC-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1ccn3nccc3c1)CC2 ZINC001237548773 1131115062 /nfs/dbraw/zinc/11/50/62/1131115062.db2.gz HXSPJHIKRDORED-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1ccn3nccc3c1)CC2 ZINC001237548773 1131115066 /nfs/dbraw/zinc/11/50/66/1131115066.db2.gz HXSPJHIKRDORED-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO CCOC(=O)C[N@H+](C)Cc1cccc(-c2ccccc2)c1C ZINC001237582497 1131116392 /nfs/dbraw/zinc/11/63/92/1131116392.db2.gz XNBPSZAEDKBDBT-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO CCOC(=O)C[N@@H+](C)Cc1cccc(-c2ccccc2)c1C ZINC001237582497 1131116397 /nfs/dbraw/zinc/11/63/97/1131116397.db2.gz XNBPSZAEDKBDBT-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO CCn1cncc1C[N@@H+]1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001237593743 1131117550 /nfs/dbraw/zinc/11/75/50/1131117550.db2.gz NPZNWRHENOWJCJ-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cncc1C[N@H+]1CCC[C@@H]1c1cc(F)cc(F)c1 ZINC001237593743 1131117552 /nfs/dbraw/zinc/11/75/52/1131117552.db2.gz NPZNWRHENOWJCJ-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2C[C@@H](C)[C@H]2C)cc1Cl ZINC000816646873 1131118770 /nfs/dbraw/zinc/11/87/70/1131118770.db2.gz JYSUNIHFLQYORM-RKDXNWHRSA-N 1 2 274.191 3.842 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2C[C@@H](C)[C@H]2C)cc1Cl ZINC000816646873 1131118774 /nfs/dbraw/zinc/11/87/74/1131118774.db2.gz JYSUNIHFLQYORM-RKDXNWHRSA-N 1 2 274.191 3.842 20 0 CHADLO C[C@H](C(=O)N1CCCCC[C@@H]1c1ccccc1)n1cc[nH+]c1 ZINC001115901285 1131122245 /nfs/dbraw/zinc/12/22/45/1131122245.db2.gz JNUOHPCRMGJZCP-NVXWUHKLSA-N 1 2 297.402 3.588 20 0 CHADLO C[C@H](C(=O)N1CCCCC[C@H]1c1ccccc1)n1cc[nH+]c1 ZINC001115901287 1131122672 /nfs/dbraw/zinc/12/26/72/1131122672.db2.gz JNUOHPCRMGJZCP-WBVHZDCISA-N 1 2 297.402 3.588 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001237731365 1131133727 /nfs/dbraw/zinc/13/37/27/1131133727.db2.gz PERRFESUKGQFNQ-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001237731365 1131133730 /nfs/dbraw/zinc/13/37/30/1131133730.db2.gz PERRFESUKGQFNQ-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO CCc1cc(C[N@@H+]2CCc3ncccc3C2)ccc1Cl ZINC001237739998 1131137041 /nfs/dbraw/zinc/13/70/41/1131137041.db2.gz MTGLPSUJBHOHQR-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cc(C[N@H+]2CCc3ncccc3C2)ccc1Cl ZINC001237739998 1131137045 /nfs/dbraw/zinc/13/70/45/1131137045.db2.gz MTGLPSUJBHOHQR-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO C[N@H+](Cc1cccc2c1OCC2)Cc1cccc(F)c1F ZINC001237752689 1131138747 /nfs/dbraw/zinc/13/87/47/1131138747.db2.gz IAVUPTYTYWFOSK-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[N@@H+](Cc1cccc2c1OCC2)Cc1cccc(F)c1F ZINC001237752689 1131138750 /nfs/dbraw/zinc/13/87/50/1131138750.db2.gz IAVUPTYTYWFOSK-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Clc1ccc(-c2nc(C[NH+]3CC=CC3)cs2)cc1 ZINC001237764513 1131138882 /nfs/dbraw/zinc/13/88/82/1131138882.db2.gz HUYUTDICUZQYMY-UHFFFAOYSA-N 1 2 276.792 3.835 20 0 CHADLO Cc1ccc(NCc2c[nH+]cn2C)c(Cl)c1Cl ZINC001116398689 1131142849 /nfs/dbraw/zinc/14/28/49/1131142849.db2.gz HXUOOTODLPLELJ-UHFFFAOYSA-N 1 2 270.163 3.647 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccccc2Cl)ccc1F ZINC001237818799 1131144256 /nfs/dbraw/zinc/14/42/56/1131144256.db2.gz XJDZVSJCEUSOJX-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccccc2Cl)ccc1F ZINC001237818799 1131144258 /nfs/dbraw/zinc/14/42/58/1131144258.db2.gz XJDZVSJCEUSOJX-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(F)c(Br)c2F)C1 ZINC001238078784 1131167959 /nfs/dbraw/zinc/16/79/59/1131167959.db2.gz RTULUMFPSRLBDF-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(F)c(Br)c2F)C1 ZINC001238078784 1131167960 /nfs/dbraw/zinc/16/79/60/1131167960.db2.gz RTULUMFPSRLBDF-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO Cc1sccc1C[N@@H+]1CCc2cc(F)c(F)cc2C1 ZINC001237973926 1131156911 /nfs/dbraw/zinc/15/69/11/1131156911.db2.gz CYWPLNWEXYZTCB-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1sccc1C[N@H+]1CCc2cc(F)c(F)cc2C1 ZINC001237973926 1131156912 /nfs/dbraw/zinc/15/69/12/1131156912.db2.gz CYWPLNWEXYZTCB-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO CCCC[N@H+](CC)Cc1cc(F)c(O)c(Cl)c1 ZINC001237990811 1131158315 /nfs/dbraw/zinc/15/83/15/1131158315.db2.gz UPFSLZUFZJMZIA-UHFFFAOYSA-N 1 2 259.752 3.807 20 0 CHADLO CCCC[N@@H+](CC)Cc1cc(F)c(O)c(Cl)c1 ZINC001237990811 1131158316 /nfs/dbraw/zinc/15/83/16/1131158316.db2.gz UPFSLZUFZJMZIA-UHFFFAOYSA-N 1 2 259.752 3.807 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(F)cc2Cl)CCC1(F)F ZINC001238018120 1131162820 /nfs/dbraw/zinc/16/28/20/1131162820.db2.gz RKGBTGNUFLUCOZ-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(F)cc2Cl)CCC1(F)F ZINC001238018120 1131162823 /nfs/dbraw/zinc/16/28/23/1131162823.db2.gz RKGBTGNUFLUCOZ-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO COc1ccc(C(F)(F)F)c(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)c1 ZINC001238047819 1131165644 /nfs/dbraw/zinc/16/56/44/1131165644.db2.gz JDFBUFFBOMTQJF-RNCFNFMXSA-N 1 2 291.288 3.504 20 0 CHADLO COc1ccc(C(F)(F)F)c(C[N@H+]2C[C@@H](C)[C@@H](F)C2)c1 ZINC001238047819 1131165647 /nfs/dbraw/zinc/16/56/47/1131165647.db2.gz JDFBUFFBOMTQJF-RNCFNFMXSA-N 1 2 291.288 3.504 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(Cl)c(O)cc2F)CC1 ZINC001238146712 1131174496 /nfs/dbraw/zinc/17/44/96/1131174496.db2.gz MAQFGQBXAUHUNH-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(Cl)c(O)cc2F)CC1 ZINC001238146712 1131174500 /nfs/dbraw/zinc/17/45/00/1131174500.db2.gz MAQFGQBXAUHUNH-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cc(Cl)c(O)cc2F)CC1 ZINC001238142887 1131174859 /nfs/dbraw/zinc/17/48/59/1131174859.db2.gz IZPPFOORRGDKRW-AWEZNQCLSA-N 1 2 289.753 3.899 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cc(Cl)c(O)cc2F)CC1 ZINC001238142887 1131174862 /nfs/dbraw/zinc/17/48/62/1131174862.db2.gz IZPPFOORRGDKRW-AWEZNQCLSA-N 1 2 289.753 3.899 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)ccnc2Cl)CCC1(F)F ZINC001238224136 1131184949 /nfs/dbraw/zinc/18/49/49/1131184949.db2.gz FSSCLLIJOGJTSZ-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)ccnc2Cl)CCC1(F)F ZINC001238224136 1131184950 /nfs/dbraw/zinc/18/49/50/1131184950.db2.gz FSSCLLIJOGJTSZ-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[N@H+]1C[C@H]2CC[C@@H](C1)C2=O ZINC001238266728 1131188699 /nfs/dbraw/zinc/18/86/99/1131188699.db2.gz JKAGIUSKUQUCAJ-PHIMTYICSA-N 1 2 298.213 3.713 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[N@@H+]1C[C@H]2CC[C@@H](C1)C2=O ZINC001238266728 1131188703 /nfs/dbraw/zinc/18/87/03/1131188703.db2.gz JKAGIUSKUQUCAJ-PHIMTYICSA-N 1 2 298.213 3.713 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2C[C@H](F)C[C@H]2C)c1F ZINC001238423324 1131196973 /nfs/dbraw/zinc/19/69/73/1131196973.db2.gz ITLDIAHLWMFWGI-DGCLKSJQSA-N 1 2 269.335 3.545 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2C[C@H](F)C[C@H]2C)c1F ZINC001238423324 1131196976 /nfs/dbraw/zinc/19/69/76/1131196976.db2.gz ITLDIAHLWMFWGI-DGCLKSJQSA-N 1 2 269.335 3.545 20 0 CHADLO ClC1(Cl)C[C@H]1CCOc1ccccc1-n1cc[nH+]c1 ZINC000818077309 1131198520 /nfs/dbraw/zinc/19/85/20/1131198520.db2.gz AWOUBCMKKPAUFT-LLVKDONJSA-N 1 2 297.185 3.835 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2cccnc2)ncc1Cl ZINC001238426108 1131199154 /nfs/dbraw/zinc/19/91/54/1131199154.db2.gz HPHHLKGSXKOVOL-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2cccnc2)ncc1Cl ZINC001238426108 1131199156 /nfs/dbraw/zinc/19/91/56/1131199156.db2.gz HPHHLKGSXKOVOL-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1ncoc1C(C)C ZINC001117814632 1131202109 /nfs/dbraw/zinc/20/21/09/1131202109.db2.gz LSGIRFXOUYJYOT-ZDUSSCGKSA-N 1 2 288.391 3.966 20 0 CHADLO CO[C@H]1C[C@H]2C[C@@H](Nc3ccc([NH+](C)C)cc3C)C[C@H]2C1 ZINC000818330300 1131207590 /nfs/dbraw/zinc/20/75/90/1131207590.db2.gz BJPRUQKQAJDODY-OOIBXKBGSA-N 1 2 288.435 3.677 20 0 CHADLO CO[C@H]1C[C@H]2C[C@@H]([NH2+]c3ccc(N(C)C)cc3C)C[C@H]2C1 ZINC000818330300 1131207592 /nfs/dbraw/zinc/20/75/92/1131207592.db2.gz BJPRUQKQAJDODY-OOIBXKBGSA-N 1 2 288.435 3.677 20 0 CHADLO CO[C@H]1C[C@H]2C[C@@H]([NH2+]c3ccc(N(C)C)c(C)c3)C[C@H]2C1 ZINC000818338166 1131208912 /nfs/dbraw/zinc/20/89/12/1131208912.db2.gz ULORLLDQXVTWEM-MDBPOYHNSA-N 1 2 288.435 3.677 20 0 CHADLO CO[C@H]1C[C@H]2C[C@@H](Nc3ccc([NH+](C)C)c(C)c3)C[C@H]2C1 ZINC000818338166 1131208918 /nfs/dbraw/zinc/20/89/18/1131208918.db2.gz ULORLLDQXVTWEM-MDBPOYHNSA-N 1 2 288.435 3.677 20 0 CHADLO C[NH+](C)Cc1nnc(C2(C3CCCCC3)CCCCC2)o1 ZINC001118077155 1131209123 /nfs/dbraw/zinc/20/91/23/1131209123.db2.gz OIKHKMVFJOVYDV-UHFFFAOYSA-N 1 2 291.439 3.913 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cnc(C3CC3)c(C)c2)no1 ZINC001238615357 1131223163 /nfs/dbraw/zinc/22/31/63/1131223163.db2.gz COFSYQBKSJTOOP-KRWDZBQOSA-N 1 2 297.402 3.901 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cnc(C3CC3)c(C)c2)no1 ZINC001238615357 1131223169 /nfs/dbraw/zinc/22/31/69/1131223169.db2.gz COFSYQBKSJTOOP-KRWDZBQOSA-N 1 2 297.402 3.901 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1cc(-c2ccccc2)cnc1F ZINC001238657821 1131225865 /nfs/dbraw/zinc/22/58/65/1131225865.db2.gz LJUIJNJGKJRNDR-WBMJQRKESA-N 1 2 288.341 3.820 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1cc(-c2ccccc2)cnc1F ZINC001238657821 1131225869 /nfs/dbraw/zinc/22/58/69/1131225869.db2.gz LJUIJNJGKJRNDR-WBMJQRKESA-N 1 2 288.341 3.820 20 0 CHADLO CC[N@H+](Cc1cc(C2CC2)no1)Cc1ccccc1F ZINC001118495025 1131229376 /nfs/dbraw/zinc/22/93/76/1131229376.db2.gz OSVUTANHEGXUIZ-UHFFFAOYSA-N 1 2 274.339 3.713 20 0 CHADLO CC[N@@H+](Cc1cc(C2CC2)no1)Cc1ccccc1F ZINC001118495025 1131229377 /nfs/dbraw/zinc/22/93/77/1131229377.db2.gz OSVUTANHEGXUIZ-UHFFFAOYSA-N 1 2 274.339 3.713 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001238671880 1131229629 /nfs/dbraw/zinc/22/96/29/1131229629.db2.gz NCNZQODWRHAACL-SKDRFNHKSA-N 1 2 273.804 3.852 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001238671880 1131229634 /nfs/dbraw/zinc/22/96/34/1131229634.db2.gz NCNZQODWRHAACL-SKDRFNHKSA-N 1 2 273.804 3.852 20 0 CHADLO CCc1c(Cl)nc(C)nc1NCc1c[nH+]c(C)cc1C ZINC000829463999 1131420307 /nfs/dbraw/zinc/42/03/07/1131420307.db2.gz VHPHTKGFZQJPRH-UHFFFAOYSA-N 1 2 290.798 3.625 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2sccc2C(F)F)c[nH+]1 ZINC000823446797 1131427374 /nfs/dbraw/zinc/42/73/74/1131427374.db2.gz RIEVRSRLUYPVSI-UHFFFAOYSA-N 1 2 296.342 3.628 20 0 CHADLO CC(=O)CC(C)(C)Nc1cc(C)c2ccccc2[nH+]1 ZINC001160295037 1131442735 /nfs/dbraw/zinc/44/27/35/1131442735.db2.gz UYGXHDDUISZNJP-UHFFFAOYSA-N 1 2 256.349 3.713 20 0 CHADLO Cc1ccc(C[N@@H+]2CCOC3(CCCCC3)C2)c(F)c1F ZINC001137970005 1131444137 /nfs/dbraw/zinc/44/41/37/1131444137.db2.gz RESPZAYDIFFTPH-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO Cc1ccc(C[N@H+]2CCOC3(CCCCC3)C2)c(F)c1F ZINC001137970005 1131444140 /nfs/dbraw/zinc/44/41/40/1131444140.db2.gz RESPZAYDIFFTPH-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1cc2ccccc2nc1Cl ZINC001137972365 1131445741 /nfs/dbraw/zinc/44/57/41/1131445741.db2.gz NGOLZVMCNJAARB-MFKMUULPSA-N 1 2 278.758 3.821 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1cc2ccccc2nc1Cl ZINC001137972365 1131445742 /nfs/dbraw/zinc/44/57/42/1131445742.db2.gz NGOLZVMCNJAARB-MFKMUULPSA-N 1 2 278.758 3.821 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138003956 1131448991 /nfs/dbraw/zinc/44/89/91/1131448991.db2.gz PMCWEDNUBPSLBC-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138003956 1131448995 /nfs/dbraw/zinc/44/89/95/1131448995.db2.gz PMCWEDNUBPSLBC-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H](F)C(=O)C2)c2ccccc12 ZINC001138013692 1131451229 /nfs/dbraw/zinc/45/12/29/1131451229.db2.gz SZKSKUYSMWVFDO-KRWDZBQOSA-N 1 2 285.362 3.651 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H](F)C(=O)C2)c2ccccc12 ZINC001138013692 1131451232 /nfs/dbraw/zinc/45/12/32/1131451232.db2.gz SZKSKUYSMWVFDO-KRWDZBQOSA-N 1 2 285.362 3.651 20 0 CHADLO CC(C)[C@H]1[N@H+](Cc2ccnc(Cl)c2F)CC12CCC2 ZINC000824422588 1131468783 /nfs/dbraw/zinc/46/87/83/1131468783.db2.gz CIVHVGQURLFNEP-CYBMUJFWSA-N 1 2 282.790 3.885 20 0 CHADLO CC(C)[C@H]1[N@@H+](Cc2ccnc(Cl)c2F)CC12CCC2 ZINC000824422588 1131468784 /nfs/dbraw/zinc/46/87/84/1131468784.db2.gz CIVHVGQURLFNEP-CYBMUJFWSA-N 1 2 282.790 3.885 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)nc1 ZINC001136932446 1131479999 /nfs/dbraw/zinc/47/99/99/1131479999.db2.gz KABCBNYVYUBSGC-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)nc1 ZINC001136932446 1131480001 /nfs/dbraw/zinc/48/00/01/1131480001.db2.gz KABCBNYVYUBSGC-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO C[C@H]1C[N@H+](Cc2c(F)c(F)cc(F)c2F)C2(CC2)C1 ZINC001138237070 1131480430 /nfs/dbraw/zinc/48/04/30/1131480430.db2.gz XNTGBBAEAUXTCS-MRVPVSSYSA-N 1 2 273.273 3.617 20 0 CHADLO C[C@H]1C[N@@H+](Cc2c(F)c(F)cc(F)c2F)C2(CC2)C1 ZINC001138237070 1131480433 /nfs/dbraw/zinc/48/04/33/1131480433.db2.gz XNTGBBAEAUXTCS-MRVPVSSYSA-N 1 2 273.273 3.617 20 0 CHADLO CCc1cccc2c(C[N@@H+]3Cc4ccncc4C3)c[nH]c21 ZINC001138360710 1131495259 /nfs/dbraw/zinc/49/52/59/1131495259.db2.gz AFWJSXOAIJBYRK-UHFFFAOYSA-N 1 2 277.371 3.641 20 0 CHADLO CCc1cccc2c(C[N@H+]3Cc4ccncc4C3)c[nH]c21 ZINC001138360710 1131495261 /nfs/dbraw/zinc/49/52/61/1131495261.db2.gz AFWJSXOAIJBYRK-UHFFFAOYSA-N 1 2 277.371 3.641 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccccc2C(F)(F)F)co1 ZINC000825050764 1131496066 /nfs/dbraw/zinc/49/60/66/1131496066.db2.gz RPDSQGPJWRASGU-UHFFFAOYSA-N 1 2 284.281 3.546 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(OCC(C)C)cc2)co1 ZINC000825050491 1131496115 /nfs/dbraw/zinc/49/61/15/1131496115.db2.gz OJFUGOYHELFDDC-UHFFFAOYSA-N 1 2 288.391 3.562 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000825103984 1131496222 /nfs/dbraw/zinc/49/62/22/1131496222.db2.gz JSNUBQBKPYXCTH-INIZCTEOSA-N 1 2 286.350 3.849 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000825103984 1131496225 /nfs/dbraw/zinc/49/62/25/1131496225.db2.gz JSNUBQBKPYXCTH-INIZCTEOSA-N 1 2 286.350 3.849 20 0 CHADLO Cc1c(C[N@H+](C)CC(=O)c2ccccc2)[nH]c2ccccc12 ZINC001138400304 1131499537 /nfs/dbraw/zinc/49/95/37/1131499537.db2.gz OQDFEVZGRQUBMY-UHFFFAOYSA-N 1 2 292.382 3.791 20 0 CHADLO Cc1c(C[N@@H+](C)CC(=O)c2ccccc2)[nH]c2ccccc12 ZINC001138400304 1131499540 /nfs/dbraw/zinc/49/95/40/1131499540.db2.gz OQDFEVZGRQUBMY-UHFFFAOYSA-N 1 2 292.382 3.791 20 0 CHADLO COc1c(Cl)cc(C[NH+]2CCC(F)CC2)cc1Cl ZINC001138473253 1131510748 /nfs/dbraw/zinc/51/07/48/1131510748.db2.gz RSDFEWJFZQAPTF-UHFFFAOYSA-N 1 2 292.181 3.936 20 0 CHADLO CC[C@H](CC(C)C)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000825461601 1131511959 /nfs/dbraw/zinc/51/19/59/1131511959.db2.gz ZQBSWUJRURKHCN-MRXNPFEDSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1ccc(NC(=O)NCc2c[nH+]cn2C2CCC2)cc1C ZINC001202834655 1131513740 /nfs/dbraw/zinc/51/37/40/1131513740.db2.gz JMBOAQMJNXWGHD-UHFFFAOYSA-N 1 2 298.390 3.547 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143627498 1131519513 /nfs/dbraw/zinc/51/95/13/1131519513.db2.gz PZLQSDNRSVKXRV-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143627498 1131519519 /nfs/dbraw/zinc/51/95/19/1131519519.db2.gz PZLQSDNRSVKXRV-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO COc1ccc(C[NH+]2CC(C)(C)C2)cc1C(F)(F)F ZINC001143628266 1131519718 /nfs/dbraw/zinc/51/97/18/1131519718.db2.gz FJEUCDOXCPUMJO-UHFFFAOYSA-N 1 2 273.298 3.556 20 0 CHADLO COc1ccc(-c2cccc(C[NH+]3CCC(=O)CC3)c2)cc1 ZINC001138613091 1131526904 /nfs/dbraw/zinc/52/69/04/1131526904.db2.gz CYDDQGYTJCMKNQ-UHFFFAOYSA-N 1 2 295.382 3.527 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@@H+]1CCc2sccc2C1 ZINC001143761587 1131529512 /nfs/dbraw/zinc/52/95/12/1131529512.db2.gz NUDIKMUUXKZTCP-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@H+]1CCc2sccc2C1 ZINC001143761587 1131529515 /nfs/dbraw/zinc/52/95/15/1131529515.db2.gz NUDIKMUUXKZTCP-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@@H+]1CCOC2(CCCCC2)C1 ZINC001143767629 1131530405 /nfs/dbraw/zinc/53/04/05/1131530405.db2.gz FUXUBSBVQNVSCT-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@H+]1CCOC2(CCCCC2)C1 ZINC001143767629 1131530406 /nfs/dbraw/zinc/53/04/06/1131530406.db2.gz FUXUBSBVQNVSCT-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO C[C@]1(O)CC[N@H+](Cc2c(Cl)cc(Cl)cc2Cl)C1 ZINC001143797929 1131535599 /nfs/dbraw/zinc/53/55/99/1131535599.db2.gz XLWVBRWWYABAQE-LBPRGKRZSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@]1(O)CC[N@@H+](Cc2c(Cl)cc(Cl)cc2Cl)C1 ZINC001143797929 1131535603 /nfs/dbraw/zinc/53/56/03/1131535603.db2.gz XLWVBRWWYABAQE-LBPRGKRZSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(Cl)[nH]c3ccccc32)CCC1=O ZINC001138685559 1131536984 /nfs/dbraw/zinc/53/69/84/1131536984.db2.gz FOTJVYVQYNUKCY-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(Cl)[nH]c3ccccc32)CCC1=O ZINC001138685559 1131536988 /nfs/dbraw/zinc/53/69/88/1131536988.db2.gz FOTJVYVQYNUKCY-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001138718039 1131540828 /nfs/dbraw/zinc/54/08/28/1131540828.db2.gz JRMHUISKKRFIIH-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001138718039 1131540831 /nfs/dbraw/zinc/54/08/31/1131540831.db2.gz JRMHUISKKRFIIH-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO CCCCc1ccc(C[N@@H+]2CCCC[C@H]2C(=O)OC)s1 ZINC001138753152 1131546263 /nfs/dbraw/zinc/54/62/63/1131546263.db2.gz IZFXOPAKLPBOQJ-HNNXBMFYSA-N 1 2 295.448 3.618 20 0 CHADLO CCCCc1ccc(C[N@H+]2CCCC[C@H]2C(=O)OC)s1 ZINC001138753152 1131546264 /nfs/dbraw/zinc/54/62/64/1131546264.db2.gz IZFXOPAKLPBOQJ-HNNXBMFYSA-N 1 2 295.448 3.618 20 0 CHADLO FC(F)(F)Oc1cccc(C[NH+]2CC(C(F)(F)F)C2)c1 ZINC000844309464 1131546851 /nfs/dbraw/zinc/54/68/51/1131546851.db2.gz HIRYNLBOTIEXFX-UHFFFAOYSA-N 1 2 299.214 3.579 20 0 CHADLO C[C@H](CC(=O)Oc1cccc(C(C)(C)C)c1)n1cc[nH+]c1 ZINC000844289042 1131547285 /nfs/dbraw/zinc/54/72/85/1131547285.db2.gz ZCZUHWZITUJKBK-CYBMUJFWSA-N 1 2 286.375 3.737 20 0 CHADLO FC1(F)CCC[N@@H+]([C@H]2CCc3cc(Cl)ccc3C2)C1 ZINC001171115773 1131547763 /nfs/dbraw/zinc/54/77/63/1131547763.db2.gz GGTGOFMGOPWXPI-AWEZNQCLSA-N 1 2 285.765 3.928 20 0 CHADLO CCc1cc(OC(=O)C[C@H](C)n2cc[nH+]c2)ccc1Cl ZINC000844287281 1131547866 /nfs/dbraw/zinc/54/78/66/1131547866.db2.gz KMRPBFMCQCMIFV-NSHDSACASA-N 1 2 292.766 3.656 20 0 CHADLO CC(C)c1ccc(OC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000844294454 1131548355 /nfs/dbraw/zinc/54/83/55/1131548355.db2.gz FXHZHERGZURHBC-ZDUSSCGKSA-N 1 2 272.348 3.563 20 0 CHADLO Cc1c(C[NH+]2CC(C(F)F)C2)cccc1C(F)(F)F ZINC001143929539 1131552662 /nfs/dbraw/zinc/55/26/62/1131552662.db2.gz QOSQVACFMKFCNV-UHFFFAOYSA-N 1 2 279.252 3.711 20 0 CHADLO c1cc2cc(C[NH+]3CC(OCc4ccccc4)C3)ccc2o1 ZINC001138825359 1131553761 /nfs/dbraw/zinc/55/37/61/1131553761.db2.gz WTGFDKPKLJIOJJ-UHFFFAOYSA-N 1 2 293.366 3.834 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nnc(C(C)(C)C)o2)CCC1 ZINC000844350509 1131554156 /nfs/dbraw/zinc/55/41/56/1131554156.db2.gz GWEBCFOLEBFPJA-UHFFFAOYSA-N 1 2 299.418 3.845 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1csc(C(C)(C)O)n1 ZINC000832374462 1131556952 /nfs/dbraw/zinc/55/69/52/1131556952.db2.gz SAVUXCCBLNPXGE-LBPRGKRZSA-N 1 2 290.432 3.564 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1csc(C(C)(C)O)n1 ZINC000832374462 1131556958 /nfs/dbraw/zinc/55/69/58/1131556958.db2.gz SAVUXCCBLNPXGE-LBPRGKRZSA-N 1 2 290.432 3.564 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCC3(CC3)C2)c1F ZINC001143949330 1131560684 /nfs/dbraw/zinc/56/06/84/1131560684.db2.gz MOGQRJNSTWXASV-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCC3(CC3)C2)c1F ZINC001143949330 1131560688 /nfs/dbraw/zinc/56/06/88/1131560688.db2.gz MOGQRJNSTWXASV-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO C[C@@H](CC(=O)O[C@@H](C)c1ccccc1Cl)n1cc[nH+]c1 ZINC000844431912 1131563418 /nfs/dbraw/zinc/56/34/18/1131563418.db2.gz ADAOZDRAXQKVKZ-RYUDHWBXSA-N 1 2 292.766 3.792 20 0 CHADLO CC[C@@H](OC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccc(C)cc1 ZINC000844462930 1131568177 /nfs/dbraw/zinc/56/81/77/1131568177.db2.gz RAPOHRMZBJCDGC-GDBMZVCRSA-N 1 2 286.375 3.837 20 0 CHADLO Cc1cc(-c2ccc(C(F)(F)F)c(F)c2)c[nH+]c1N ZINC000228453238 1131574244 /nfs/dbraw/zinc/57/42/44/1131574244.db2.gz DWGRWUVCHAOPBI-UHFFFAOYSA-N 1 2 270.229 3.797 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1CCC2(CC2)C1 ZINC001139048761 1131575473 /nfs/dbraw/zinc/57/54/73/1131575473.db2.gz CZPUVDONVWCNCN-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1CCC2(CC2)C1 ZINC001139048761 1131575476 /nfs/dbraw/zinc/57/54/76/1131575476.db2.gz CZPUVDONVWCNCN-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO C[N@@H+](Cc1c(F)cc(Cl)cc1F)C[C@H]1CCCCO1 ZINC001139050604 1131576310 /nfs/dbraw/zinc/57/63/10/1131576310.db2.gz ASKVQCGHVPCNMA-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO C[N@H+](Cc1c(F)cc(Cl)cc1F)C[C@H]1CCCCO1 ZINC001139050604 1131576315 /nfs/dbraw/zinc/57/63/15/1131576315.db2.gz ASKVQCGHVPCNMA-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCn1nccc1Nc1ccc(N(C)C2CCCCC2)[nH+]c1 ZINC001212613277 1131576482 /nfs/dbraw/zinc/57/64/82/1131576482.db2.gz LZTKVKMXPCGMSP-UHFFFAOYSA-N 1 2 299.422 3.811 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[N@H+](C)C1(C)COC1 ZINC001139070882 1131579914 /nfs/dbraw/zinc/57/99/14/1131579914.db2.gz YAXAIOJNYZXLTI-UHFFFAOYSA-N 1 2 297.398 3.583 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[N@@H+](C)C1(C)COC1 ZINC001139070882 1131579916 /nfs/dbraw/zinc/57/99/16/1131579916.db2.gz YAXAIOJNYZXLTI-UHFFFAOYSA-N 1 2 297.398 3.583 20 0 CHADLO CCCC(C)(C)C(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001144116259 1131585523 /nfs/dbraw/zinc/58/55/23/1131585523.db2.gz TVBLLDKWRMKRNA-UHFFFAOYSA-N 1 2 285.391 3.696 20 0 CHADLO CN(c1ccc(Nc2cccnc2)c[nH+]1)C1CCCCC1 ZINC001212613401 1131589601 /nfs/dbraw/zinc/58/96/01/1131589601.db2.gz RVHVPOIMVAFBTD-UHFFFAOYSA-N 1 2 282.391 3.989 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)CCn2cc[nH+]c2)c(C)c1 ZINC000844660811 1131590562 /nfs/dbraw/zinc/59/05/62/1131590562.db2.gz CKSVKRNBFQPSOR-UHFFFAOYSA-N 1 2 299.418 3.579 20 0 CHADLO Cc1cccc2[nH]cc(C[N@@H+]3CCn4cccc4[C@H]3C)c21 ZINC001139196679 1131595046 /nfs/dbraw/zinc/59/50/46/1131595046.db2.gz QOYDLWROBIZYPY-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1cccc2[nH]cc(C[N@H+]3CCn4cccc4[C@H]3C)c21 ZINC001139196679 1131595047 /nfs/dbraw/zinc/59/50/47/1131595047.db2.gz QOYDLWROBIZYPY-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1cccc2[nH]cc(C[N@H+](C)Cc3nccs3)c21 ZINC001139196506 1131595402 /nfs/dbraw/zinc/59/54/02/1131595402.db2.gz POSAGNNHBLYEDC-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1cccc2[nH]cc(C[N@@H+](C)Cc3nccs3)c21 ZINC001139196506 1131595404 /nfs/dbraw/zinc/59/54/04/1131595404.db2.gz POSAGNNHBLYEDC-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO CCc1ccc(NC(=O)Nc2cccc3[nH+]c(C)cn32)cc1 ZINC001202931898 1131606872 /nfs/dbraw/zinc/60/68/72/1131606872.db2.gz CWCJQVHFRSRYDF-UHFFFAOYSA-N 1 2 294.358 3.849 20 0 CHADLO CC(=O)C[N@@H+](C)Cc1ccc(-c2ccc(Cl)cc2)o1 ZINC001139415219 1131608221 /nfs/dbraw/zinc/60/82/21/1131608221.db2.gz QDQVSKHCOIIVRC-UHFFFAOYSA-N 1 2 277.751 3.621 20 0 CHADLO CC(=O)C[N@H+](C)Cc1ccc(-c2ccc(Cl)cc2)o1 ZINC001139415219 1131608225 /nfs/dbraw/zinc/60/82/25/1131608225.db2.gz QDQVSKHCOIIVRC-UHFFFAOYSA-N 1 2 277.751 3.621 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1cc(Cl)ccn1)C2 ZINC001139559452 1131616633 /nfs/dbraw/zinc/61/66/33/1131616633.db2.gz GZBXAUJGUNFRNS-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1cc(Cl)ccn1)C2 ZINC001139559452 1131616636 /nfs/dbraw/zinc/61/66/36/1131616636.db2.gz GZBXAUJGUNFRNS-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCOc1ccc(C[N@H+]2CCOC[C@H]2C(C)C)cc1Cl ZINC001139595882 1131619756 /nfs/dbraw/zinc/61/97/56/1131619756.db2.gz BGGCXAYYXCDWQF-HNNXBMFYSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCOC[C@H]2C(C)C)cc1Cl ZINC001139595882 1131619752 /nfs/dbraw/zinc/61/97/52/1131619752.db2.gz BGGCXAYYXCDWQF-HNNXBMFYSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C)cc1C[N@@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001139600276 1131620338 /nfs/dbraw/zinc/62/03/38/1131620338.db2.gz MGYXOUBWHLFGCK-JKSUJKDBSA-N 1 2 283.362 3.666 20 0 CHADLO CCOc1ccc(C)cc1C[N@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001139600276 1131620339 /nfs/dbraw/zinc/62/03/39/1131620339.db2.gz MGYXOUBWHLFGCK-JKSUJKDBSA-N 1 2 283.362 3.666 20 0 CHADLO COc1cc(C[N@H+]2CCCC[C@H](F)C2)ccc1Cl ZINC001139611660 1131621920 /nfs/dbraw/zinc/62/19/20/1131621920.db2.gz ARBBOTZVHAZOOR-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(C[N@@H+]2CCCC[C@H](F)C2)ccc1Cl ZINC001139611660 1131621918 /nfs/dbraw/zinc/62/19/18/1131621918.db2.gz ARBBOTZVHAZOOR-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO CC[C@@H](C)c1ccc(OC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000845440397 1131635112 /nfs/dbraw/zinc/63/51/12/1131635112.db2.gz IJTRQUYVYRWHQR-ZIAGYGMSSA-N 1 2 286.375 3.953 20 0 CHADLO Cc1c2ccccc2oc1COC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845470893 1131637077 /nfs/dbraw/zinc/63/70/77/1131637077.db2.gz AXHGZKMYVIAGAS-GFCCVEGCSA-N 1 2 298.342 3.632 20 0 CHADLO COc1cc(Cl)cc(C[N@@H+]2CCc3sccc3C2)c1 ZINC001144480915 1131642102 /nfs/dbraw/zinc/64/21/02/1131642102.db2.gz LXADSWBZDQMHAQ-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cc(Cl)cc(C[N@H+]2CCc3sccc3C2)c1 ZINC001144480915 1131642103 /nfs/dbraw/zinc/64/21/03/1131642103.db2.gz LXADSWBZDQMHAQ-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001139883880 1131646414 /nfs/dbraw/zinc/64/64/14/1131646414.db2.gz MEGYJIIDKKMEAL-ZWNOBZJWSA-N 1 2 275.289 3.946 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001139883880 1131646416 /nfs/dbraw/zinc/64/64/16/1131646416.db2.gz MEGYJIIDKKMEAL-ZWNOBZJWSA-N 1 2 275.289 3.946 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cncc3ccccc31)C2 ZINC001139894823 1131649254 /nfs/dbraw/zinc/64/92/54/1131649254.db2.gz HBADBCFPEGFHKE-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cncc3ccccc31)C2 ZINC001139894823 1131649257 /nfs/dbraw/zinc/64/92/57/1131649257.db2.gz HBADBCFPEGFHKE-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Clc1nc(C[N@@H+]2C[C@@H]3C[C@H](C2)c2ccccc23)cs1 ZINC001139979349 1131653562 /nfs/dbraw/zinc/65/35/62/1131653562.db2.gz FWLJNMBDCBNDNK-PHIMTYICSA-N 1 2 290.819 3.883 20 0 CHADLO Clc1nc(C[N@H+]2C[C@@H]3C[C@H](C2)c2ccccc23)cs1 ZINC001139979349 1131653567 /nfs/dbraw/zinc/65/35/67/1131653567.db2.gz FWLJNMBDCBNDNK-PHIMTYICSA-N 1 2 290.819 3.883 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2cnc(Cl)nc2)c1 ZINC001139990119 1131654392 /nfs/dbraw/zinc/65/43/92/1131654392.db2.gz IFHLAXJJACQMSD-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2cnc(Cl)nc2)c1 ZINC001139990119 1131654394 /nfs/dbraw/zinc/65/43/94/1131654394.db2.gz IFHLAXJJACQMSD-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO CC[C@H]1c2ccccc2CCN1Cc1[nH+]cn2ccccc12 ZINC001144625469 1131668313 /nfs/dbraw/zinc/66/83/13/1131668313.db2.gz NAQZUDXUCBSPGU-SFHVURJKSA-N 1 2 291.398 3.844 20 0 CHADLO Fc1cc(C[NH+]2CC3(C2)CCCC3)ccc1Br ZINC001140210915 1131676970 /nfs/dbraw/zinc/67/69/70/1131676970.db2.gz UBUPXHYKZHKDOY-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO CC(C)[C@H]([NH2+][C@H]1CCn2ccnc21)c1cc(F)ccc1F ZINC000834829988 1131708611 /nfs/dbraw/zinc/70/86/11/1131708611.db2.gz ATSFFHGPEDAWIN-GJZGRUSLSA-N 1 2 291.345 3.593 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCC[C@@H](SC)C2)c(OC)c1 ZINC000846749530 1131727578 /nfs/dbraw/zinc/72/75/78/1131727578.db2.gz FEWZKVFQSXNQPS-DGCLKSJQSA-N 1 2 281.421 3.790 20 0 CHADLO CCCCC[C@@H]([NH2+]Cc1cnsn1)c1ccccc1 ZINC000846843759 1131748881 /nfs/dbraw/zinc/74/88/81/1131748881.db2.gz GUYIETUCPITYPY-OAHLLOKOSA-N 1 2 275.421 3.949 20 0 CHADLO Fc1ccccc1[C@H]([NH2+]Cc1cnsn1)C1CCCC1 ZINC000846849485 1131750382 /nfs/dbraw/zinc/75/03/82/1131750382.db2.gz XBTHEGPCDIYDPP-OAHLLOKOSA-N 1 2 291.395 3.698 20 0 CHADLO c1coc(C[N@H+](Cc2ccnn2-c2ccccc2)C2CC2)c1 ZINC001140531356 1131780561 /nfs/dbraw/zinc/78/05/61/1131780561.db2.gz NTRYVNOLNURPLB-UHFFFAOYSA-N 1 2 293.370 3.630 20 0 CHADLO c1coc(C[N@@H+](Cc2ccnn2-c2ccccc2)C2CC2)c1 ZINC001140531356 1131780568 /nfs/dbraw/zinc/78/05/68/1131780568.db2.gz NTRYVNOLNURPLB-UHFFFAOYSA-N 1 2 293.370 3.630 20 0 CHADLO Fc1cc(Cl)cc(C[NH+]2CC3(C2)C[C@H](F)CS3)c1 ZINC001140542104 1131785569 /nfs/dbraw/zinc/78/55/69/1131785569.db2.gz BLPGJJXDAXKUQY-LBPRGKRZSA-N 1 2 289.778 3.509 20 0 CHADLO Cc1[nH]c2ccc(O[C@H]3CCc4c3nccc4Cl)cc2[nH+]1 ZINC001228326112 1131858196 /nfs/dbraw/zinc/85/81/96/1131858196.db2.gz FPZSSNIFEPIHFP-HNNXBMFYSA-N 1 2 299.761 3.986 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](C)COc3ccccc3)cc2[nH+]1 ZINC001228328073 1131858324 /nfs/dbraw/zinc/85/83/24/1131858324.db2.gz ZVYPOZLZSREXDD-LBPRGKRZSA-N 1 2 282.343 3.718 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(C)sc2C)c(C)o1 ZINC000348104583 1131881901 /nfs/dbraw/zinc/88/19/01/1131881901.db2.gz BMFHZXJLEIFMIW-SECBINFHSA-N 1 2 264.394 3.821 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701158 1131883151 /nfs/dbraw/zinc/88/31/51/1131883151.db2.gz ACWYNUDBIAQPDW-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701158 1131883159 /nfs/dbraw/zinc/88/31/59/1131883159.db2.gz ACWYNUDBIAQPDW-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc2c(c1)[C@H](O)CCCC2 ZINC001203061702 1131894142 /nfs/dbraw/zinc/89/41/42/1131894142.db2.gz ILUDXUSKLIJGSS-GOSISDBHSA-N 1 2 299.418 3.665 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCCC[C@H](F)C1 ZINC001140725646 1131897830 /nfs/dbraw/zinc/89/78/30/1131897830.db2.gz GDVMAHOZPHREKU-NSHDSACASA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@@H](C)c1cc(C)on1 ZINC000282780631 1131897459 /nfs/dbraw/zinc/89/74/59/1131897459.db2.gz POBMIBPSHSMYEJ-STQMWFEESA-N 1 2 274.364 3.712 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCCC[C@H](F)C1 ZINC001140725646 1131897838 /nfs/dbraw/zinc/89/78/38/1131897838.db2.gz GDVMAHOZPHREKU-NSHDSACASA-N 1 2 271.763 3.673 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1ccc(O)c(F)c1F)C2 ZINC001140889478 1131959067 /nfs/dbraw/zinc/95/90/67/1131959067.db2.gz HESZRMPVLJGTIA-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1ccc(O)c(F)c1F)C2 ZINC001140889478 1131959071 /nfs/dbraw/zinc/95/90/71/1131959071.db2.gz HESZRMPVLJGTIA-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO C[N@H+](Cc1ncco1)C(c1ccccc1)c1ccccc1 ZINC001141005794 1131983033 /nfs/dbraw/zinc/98/30/33/1131983033.db2.gz VDEABYZUHMCUGM-UHFFFAOYSA-N 1 2 278.355 3.896 20 0 CHADLO C[N@@H+](Cc1ncco1)C(c1ccccc1)c1ccccc1 ZINC001141005794 1131983038 /nfs/dbraw/zinc/98/30/38/1131983038.db2.gz VDEABYZUHMCUGM-UHFFFAOYSA-N 1 2 278.355 3.896 20 0 CHADLO Cc1cc(C)c(NC(=O)NCCSC(C)(C)C)c(C)[nH+]1 ZINC000836630575 1131983983 /nfs/dbraw/zinc/98/39/83/1131983983.db2.gz XOHWDOWJWRCOLG-UHFFFAOYSA-N 1 2 295.452 3.660 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(-c2nnc(C)o2)cc1 ZINC001203088079 1131989421 /nfs/dbraw/zinc/98/94/21/1131989421.db2.gz CMQSPJKSOTXRJG-UHFFFAOYSA-N 1 2 296.330 3.582 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1ccn3ccnc3c1)CC2 ZINC001141042190 1131992731 /nfs/dbraw/zinc/99/27/31/1131992731.db2.gz GINZHSALTOMMQM-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1ccn3ccnc3c1)CC2 ZINC001141042190 1131992738 /nfs/dbraw/zinc/99/27/38/1131992738.db2.gz GINZHSALTOMMQM-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO C[N@H+](Cc1ccno1)Cc1ccnc(-c2ccc(F)cc2)c1 ZINC001141120600 1132023376 /nfs/dbraw/zinc/02/33/76/1132023376.db2.gz WLZDFSZMGMQCEW-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1ccno1)Cc1ccnc(-c2ccc(F)cc2)c1 ZINC001141120600 1132023383 /nfs/dbraw/zinc/02/33/83/1132023383.db2.gz WLZDFSZMGMQCEW-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO CC(C)COc1cc(NCc2cccc3ncoc32)cc[nH+]1 ZINC001171456344 1132032915 /nfs/dbraw/zinc/03/29/15/1132032915.db2.gz BYJKJSXOUOBNPV-UHFFFAOYSA-N 1 2 297.358 3.870 20 0 CHADLO Cc1cc(NCc2cccc3ncoc32)[nH+]c2cc[nH]c21 ZINC001171457124 1132033288 /nfs/dbraw/zinc/03/32/88/1132033288.db2.gz DREAVSDVJFTTAK-UHFFFAOYSA-N 1 2 278.315 3.625 20 0 CHADLO CCCC(=CC(=O)NCc1c[nH+]c(C)cc1C)CCC ZINC000837105660 1132038379 /nfs/dbraw/zinc/03/83/79/1132038379.db2.gz VUYJBLIQFHFISA-UHFFFAOYSA-N 1 2 274.408 3.841 20 0 CHADLO CC(C)COc1cc(NC(C)(C)c2ccncc2)cc[nH+]1 ZINC001171518776 1132049114 /nfs/dbraw/zinc/04/91/14/1132049114.db2.gz FKJSGUPPKDCQOK-UHFFFAOYSA-N 1 2 285.391 3.859 20 0 CHADLO Cc1cccc2c1CC[C@@H]([NH2+]CC(F)(F)C(F)(F)F)C2 ZINC001171552251 1132053157 /nfs/dbraw/zinc/05/31/57/1132053157.db2.gz VNHQCARJHBHWPG-LLVKDONJSA-N 1 2 293.279 3.640 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3occc3C2)ccc1Cl ZINC001141236126 1132054998 /nfs/dbraw/zinc/05/49/98/1132054998.db2.gz KNZULKPRFWNTRS-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1cc(C[N@H+]2CCc3occc3C2)ccc1Cl ZINC001141236126 1132055005 /nfs/dbraw/zinc/05/50/05/1132055005.db2.gz KNZULKPRFWNTRS-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(Cl)cc1C ZINC001141232541 1132055254 /nfs/dbraw/zinc/05/52/54/1132055254.db2.gz HZZFKOOGSZZROK-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(Cl)cc1C ZINC001141232541 1132055262 /nfs/dbraw/zinc/05/52/62/1132055262.db2.gz HZZFKOOGSZZROK-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC001141367120 1132103033 /nfs/dbraw/zinc/10/30/33/1132103033.db2.gz NWKSLXHZOHNDLG-HOTGVXAUSA-N 1 2 293.455 3.801 20 0 CHADLO CC(C)[C@H](Oc1ccc(-n2cc[nH+]c2)cc1)C(F)(F)F ZINC001229951748 1132135175 /nfs/dbraw/zinc/13/51/75/1132135175.db2.gz UVXYMEBZMVQGTA-ZDUSSCGKSA-N 1 2 284.281 3.838 20 0 CHADLO C[C@H](Oc1ccc(-n2cc[nH+]c2)cc1)c1ccncc1F ZINC001229954207 1132137121 /nfs/dbraw/zinc/13/71/21/1132137121.db2.gz LJCKDYYPPVJHED-LBPRGKRZSA-N 1 2 283.306 3.546 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(C)nc2Cl)on1 ZINC000838793936 1132147839 /nfs/dbraw/zinc/14/78/39/1132147839.db2.gz FKPVPQQLUYBYEF-ZDUSSCGKSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(C)nc2Cl)on1 ZINC000838793936 1132147842 /nfs/dbraw/zinc/14/78/42/1132147842.db2.gz FKPVPQQLUYBYEF-ZDUSSCGKSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc(NCc2ccc3c(n2)CCC3)ccc1[NH+](C)C ZINC000838873157 1132154426 /nfs/dbraw/zinc/15/44/26/1132154426.db2.gz CYXBCDVNYRIRTE-UHFFFAOYSA-N 1 2 281.403 3.557 20 0 CHADLO CC(C)c1ncc(C[NH+]2CC(CC(F)(F)F)C2)s1 ZINC000839138578 1132183515 /nfs/dbraw/zinc/18/35/15/1132183515.db2.gz RGCKMUJLTZPBNN-UHFFFAOYSA-N 1 2 278.343 3.651 20 0 CHADLO Cc1cccc2c1[C@@H](Oc1cc3c(cc1O)C=[NH+]CC3)CC2 ZINC001230916379 1132227492 /nfs/dbraw/zinc/22/74/92/1132227492.db2.gz ZBMNCFDRLDHABO-KRWDZBQOSA-N 1 2 293.366 3.742 20 0 CHADLO Cc1ccc2c(c1)CC[C@@H]2Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230916453 1132227768 /nfs/dbraw/zinc/22/77/68/1132227768.db2.gz ZNGYDTZNTDSNFC-SFHVURJKSA-N 1 2 293.366 3.742 20 0 CHADLO CC(C)=CCC[C@H](C)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230919942 1132228155 /nfs/dbraw/zinc/22/81/55/1132228155.db2.gz GXPQYGUDOCJUJY-ZDUSSCGKSA-N 1 2 273.376 3.881 20 0 CHADLO CCCC(=O)[C@H](CCC)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231080773 1132252714 /nfs/dbraw/zinc/25/27/14/1132252714.db2.gz HUSSNSJUYYAYRP-INIZCTEOSA-N 1 2 286.375 3.993 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(OC2Cc3ccccc3C2)cc1 ZINC001231081754 1132253223 /nfs/dbraw/zinc/25/32/23/1132253223.db2.gz LMLALWOSFZWXHO-UHFFFAOYSA-N 1 2 290.366 3.633 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(OC(C2CC2)C2CC2)cc1 ZINC001231082938 1132253447 /nfs/dbraw/zinc/25/34/47/1132253447.db2.gz XPCJRVUCCZZZCM-UHFFFAOYSA-N 1 2 268.360 3.655 20 0 CHADLO COC1=CC[C@@H](Oc2ccc(-c3[nH]cc[nH+]3)cc2)CC1 ZINC001231085497 1132255234 /nfs/dbraw/zinc/25/52/34/1132255234.db2.gz UVXKMVHLHWKRGL-OAHLLOKOSA-N 1 2 270.332 3.538 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CC[C@@H]3CCC[C@@H]3C2)cs1 ZINC001141872021 1132259761 /nfs/dbraw/zinc/25/97/61/1132259761.db2.gz NQDMJLZWQQRKJX-VHSXEESVSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CC[C@@H]3CCC[C@@H]3C2)cs1 ZINC001141872021 1132259766 /nfs/dbraw/zinc/25/97/66/1132259766.db2.gz NQDMJLZWQQRKJX-VHSXEESVSA-N 1 2 290.354 3.784 20 0 CHADLO CC(C)[N@@H+]1CCN([C@H]2CC[C@@H](c3ccccc3)C2)C[C@@H]1C ZINC001172564240 1132271106 /nfs/dbraw/zinc/27/11/06/1132271106.db2.gz DEYOTKXVVYOFPQ-UHOSZYNNSA-N 1 2 286.463 3.737 20 0 CHADLO CC(C)[N@H+]1CCN([C@H]2CC[C@@H](c3ccccc3)C2)C[C@@H]1C ZINC001172564240 1132271111 /nfs/dbraw/zinc/27/11/11/1132271111.db2.gz DEYOTKXVVYOFPQ-UHOSZYNNSA-N 1 2 286.463 3.737 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+][C@@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172537273 1132273843 /nfs/dbraw/zinc/27/38/43/1132273843.db2.gz JXHXNFPCIGVFDT-HZPDHXFCSA-N 1 2 289.419 3.644 20 0 CHADLO CCCc1ccccc1Nc1ccc(C[NH+]2CC(O)C2)cc1 ZINC001212670311 1132274093 /nfs/dbraw/zinc/27/40/93/1132274093.db2.gz RBYMMHCSMAWBMG-UHFFFAOYSA-N 1 2 296.414 3.559 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)[C@@H]1C[C@H]1C ZINC000840742183 1132281134 /nfs/dbraw/zinc/28/11/34/1132281134.db2.gz ILFPDQXUEZPHMJ-DTDBQYNISA-N 1 2 288.435 3.758 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ncccc3C2)cc1Cl ZINC001231421481 1132291921 /nfs/dbraw/zinc/29/19/21/1132291921.db2.gz CHWZHGZUPVPBML-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ncccc3C2)cc1Cl ZINC001231421481 1132291926 /nfs/dbraw/zinc/29/19/26/1132291926.db2.gz CHWZHGZUPVPBML-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2CCc3c(O)cccc3C2)c1F ZINC001231477128 1132295244 /nfs/dbraw/zinc/29/52/44/1132295244.db2.gz ZQHQIIFQWJQDLC-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2CCc3c(O)cccc3C2)c1F ZINC001231477128 1132295249 /nfs/dbraw/zinc/29/52/49/1132295249.db2.gz ZQHQIIFQWJQDLC-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Clc1ccc2c(c1)C[C@H]([NH+]1CC(Oc3ccccc3)C1)C2 ZINC001172720768 1132295643 /nfs/dbraw/zinc/29/56/43/1132295643.db2.gz WYEGPYKGWJQXOI-MRXNPFEDSA-N 1 2 299.801 3.570 20 0 CHADLO Oc1ccc(C[N@@H+]2CCCC[C@@H](F)C2)cc1C(F)(F)F ZINC001231521005 1132312989 /nfs/dbraw/zinc/31/29/89/1132312989.db2.gz AKYWLJDBTOEALD-LLVKDONJSA-N 1 2 291.288 3.735 20 0 CHADLO Oc1ccc(C[N@H+]2CCCC[C@@H](F)C2)cc1C(F)(F)F ZINC001231521005 1132312995 /nfs/dbraw/zinc/31/29/95/1132312995.db2.gz AKYWLJDBTOEALD-LLVKDONJSA-N 1 2 291.288 3.735 20 0 CHADLO Brc1c[nH]c(C[NH+]2CC3(C2)CCCCC3)c1 ZINC001231574023 1132315713 /nfs/dbraw/zinc/31/57/13/1132315713.db2.gz HNHXWASJXSIAKR-UHFFFAOYSA-N 1 2 283.213 3.543 20 0 CHADLO Fc1ccc(Br)c(Cl)c1C[NH+]1CCCC1 ZINC001142078948 1132320481 /nfs/dbraw/zinc/32/04/81/1132320481.db2.gz ZWCOUFVNGIJIJB-UHFFFAOYSA-N 1 2 292.579 3.837 20 0 CHADLO COc1ccc([NH2+]CC[C@H](C)c2ccccc2)cc1N ZINC000841040632 1132323059 /nfs/dbraw/zinc/32/30/59/1132323059.db2.gz QJUQBNHARZLJSR-ZDUSSCGKSA-N 1 2 270.376 3.883 20 0 CHADLO COc1cc(F)ccc1C[N@@H+]1CCc2c(F)cccc2C1 ZINC001231604872 1132327014 /nfs/dbraw/zinc/32/70/14/1132327014.db2.gz HLJFAZCSQZRVPV-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(F)ccc1C[N@H+]1CCc2c(F)cccc2C1 ZINC001231604872 1132327019 /nfs/dbraw/zinc/32/70/19/1132327019.db2.gz HLJFAZCSQZRVPV-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCCC(=O)[C@H](C)C1 ZINC001172847781 1132338763 /nfs/dbraw/zinc/33/87/63/1132338763.db2.gz PNXBVSZROUQTHZ-VXGBXAGGSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCCC(=O)[C@H](C)C1 ZINC001172847781 1132338771 /nfs/dbraw/zinc/33/87/71/1132338771.db2.gz PNXBVSZROUQTHZ-VXGBXAGGSA-N 1 2 297.801 3.711 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCOCC2)c(Cl)c1Cl ZINC001231662546 1132339062 /nfs/dbraw/zinc/33/90/62/1132339062.db2.gz DQMZXDZYXCATGX-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO Cc1ccc(C[N@H+]2CCCOCC2)c(Cl)c1Cl ZINC001231662546 1132339069 /nfs/dbraw/zinc/33/90/69/1132339069.db2.gz DQMZXDZYXCATGX-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO Clc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC001212693214 1132362416 /nfs/dbraw/zinc/36/24/16/1132362416.db2.gz JCVBLICVIQJVNC-UHFFFAOYSA-N 1 2 284.750 3.723 20 0 CHADLO CC(C)Cc1ccc(C[NH+]2CC(Oc3cccnc3)C2)cc1 ZINC001231787826 1132363950 /nfs/dbraw/zinc/36/39/50/1132363950.db2.gz JRDCEQCGQLUDDC-UHFFFAOYSA-N 1 2 296.414 3.543 20 0 CHADLO CC[N@H+](CC(=O)OC)Cc1ccc(-c2ccc(C)cc2)cc1 ZINC001142309363 1132364557 /nfs/dbraw/zinc/36/45/57/1132364557.db2.gz CHYLSHPBSPKAQB-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO CC[N@@H+](CC(=O)OC)Cc1ccc(-c2ccc(C)cc2)cc1 ZINC001142309363 1132364565 /nfs/dbraw/zinc/36/45/65/1132364565.db2.gz CHYLSHPBSPKAQB-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO CCCOC1C[NH+](Cc2ccc(-c3ccccc3)o2)C1 ZINC001231812642 1132370674 /nfs/dbraw/zinc/37/06/74/1132370674.db2.gz BFEWWZAWPNBHEY-UHFFFAOYSA-N 1 2 271.360 3.557 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(-c3ccccc3)o2)n(C)n1 ZINC001231812707 1132370775 /nfs/dbraw/zinc/37/07/75/1132370775.db2.gz GOSMCKVOLQERJJ-UHFFFAOYSA-N 1 2 295.386 3.621 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(-c3ccccc3)o2)n(C)n1 ZINC001231812707 1132370784 /nfs/dbraw/zinc/37/07/84/1132370784.db2.gz GOSMCKVOLQERJJ-UHFFFAOYSA-N 1 2 295.386 3.621 20 0 CHADLO CCOC(=O)C[N@H+](C)Cc1ccccc1-c1ccccc1C ZINC001231811879 1132372357 /nfs/dbraw/zinc/37/23/57/1132372357.db2.gz FYRJXWGEZDMVIY-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO CCOC(=O)C[N@@H+](C)Cc1ccccc1-c1ccccc1C ZINC001231811879 1132372366 /nfs/dbraw/zinc/37/23/66/1132372366.db2.gz FYRJXWGEZDMVIY-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO CCCc1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001142353975 1132372921 /nfs/dbraw/zinc/37/29/21/1132372921.db2.gz GUBHMAHHCJIQKP-GJZGRUSLSA-N 1 2 253.336 3.521 20 0 CHADLO CCCc1ccc(C[N@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001142353975 1132372930 /nfs/dbraw/zinc/37/29/30/1132372930.db2.gz GUBHMAHHCJIQKP-GJZGRUSLSA-N 1 2 253.336 3.521 20 0 CHADLO Cc1cc(F)nc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212695381 1132373060 /nfs/dbraw/zinc/37/30/60/1132373060.db2.gz DHBOGUIRXHUQRI-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO c1cc2n(n1)CC[N@@H+](Cc1ccccc1-c1ccccc1)C2 ZINC001142349342 1132373729 /nfs/dbraw/zinc/37/37/29/1132373729.db2.gz WVXDRTFBXJOEKF-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO c1cc2n(n1)CC[N@H+](Cc1ccccc1-c1ccccc1)C2 ZINC001142349342 1132373737 /nfs/dbraw/zinc/37/37/37/1132373737.db2.gz WVXDRTFBXJOEKF-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO Cc1csc2sc(C[N@@H+]3CCC34COC4)c(C)c12 ZINC001231835331 1132375071 /nfs/dbraw/zinc/37/50/71/1132375071.db2.gz XQIDKMSMVANASW-UHFFFAOYSA-N 1 2 279.430 3.554 20 0 CHADLO Cc1csc2sc(C[N@H+]3CCC34COC4)c(C)c12 ZINC001231835331 1132375076 /nfs/dbraw/zinc/37/50/76/1132375076.db2.gz XQIDKMSMVANASW-UHFFFAOYSA-N 1 2 279.430 3.554 20 0 CHADLO Cc1ccc2ccccc2c1C[N@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001142372269 1132376201 /nfs/dbraw/zinc/37/62/01/1132376201.db2.gz XKPHOCBIOJXODA-IYBDPMFKSA-N 1 2 261.315 3.640 20 0 CHADLO Cc1ccc2ccccc2c1C[N@@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001142372269 1132376208 /nfs/dbraw/zinc/37/62/08/1132376208.db2.gz XKPHOCBIOJXODA-IYBDPMFKSA-N 1 2 261.315 3.640 20 0 CHADLO CCN(c1ccc(Cn2cc[nH+]c2)cc1)c1ccccc1N ZINC001212696956 1132378364 /nfs/dbraw/zinc/37/83/64/1132378364.db2.gz XCSZLOGXXPROFJ-UHFFFAOYSA-N 1 2 292.386 3.672 20 0 CHADLO C[N@H+](Cc1ccnc(C(F)F)c1)Cc1ccc(F)cc1F ZINC001231891252 1132393930 /nfs/dbraw/zinc/39/39/30/1132393930.db2.gz FDGSLXBZSHEGAX-UHFFFAOYSA-N 1 2 298.283 3.929 20 0 CHADLO C[N@@H+](Cc1ccnc(C(F)F)c1)Cc1ccc(F)cc1F ZINC001231891252 1132393938 /nfs/dbraw/zinc/39/39/38/1132393938.db2.gz FDGSLXBZSHEGAX-UHFFFAOYSA-N 1 2 298.283 3.929 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(F)c(OC(C)C)c(F)c1 ZINC000841870992 1132400341 /nfs/dbraw/zinc/40/03/41/1132400341.db2.gz POTBKUWQLFCAAA-UHFFFAOYSA-N 1 2 295.333 3.581 20 0 CHADLO Oc1cncc(C[N@@H+]2CCCC[C@H]2c2ccccc2F)c1 ZINC001232041614 1132413894 /nfs/dbraw/zinc/41/38/94/1132413894.db2.gz GDSIETWFAYNOAB-KRWDZBQOSA-N 1 2 286.350 3.654 20 0 CHADLO Oc1cncc(C[N@H+]2CCCC[C@H]2c2ccccc2F)c1 ZINC001232041614 1132413901 /nfs/dbraw/zinc/41/39/01/1132413901.db2.gz GDSIETWFAYNOAB-KRWDZBQOSA-N 1 2 286.350 3.654 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC3(CC3)C2)c(Cl)c1 ZINC001232096483 1132421644 /nfs/dbraw/zinc/42/16/44/1132421644.db2.gz CAGWYZFWXXONDE-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC3(CC3)C2)c(Cl)c1 ZINC001232096483 1132421649 /nfs/dbraw/zinc/42/16/49/1132421649.db2.gz CAGWYZFWXXONDE-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cc3ccncc3[nH]1)CC2 ZINC001232095596 1132421811 /nfs/dbraw/zinc/42/18/11/1132421811.db2.gz LHJVBPQCCYAHHF-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cc3ccncc3[nH]1)CC2 ZINC001232095596 1132421816 /nfs/dbraw/zinc/42/18/16/1132421816.db2.gz LHJVBPQCCYAHHF-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccnc3c(F)cccc23)C[C@H]1F ZINC001232209604 1132435699 /nfs/dbraw/zinc/43/56/99/1132435699.db2.gz IFVWKJYTLZLFGA-GDBMZVCRSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccnc3c(F)cccc23)C[C@H]1F ZINC001232209604 1132435701 /nfs/dbraw/zinc/43/57/01/1132435701.db2.gz IFVWKJYTLZLFGA-GDBMZVCRSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccnc2c(F)cccc12 ZINC001232211818 1132437473 /nfs/dbraw/zinc/43/74/73/1132437473.db2.gz LBYXXYMWMZNTGX-CYBMUJFWSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccnc2c(F)cccc12 ZINC001232211818 1132437475 /nfs/dbraw/zinc/43/74/75/1132437475.db2.gz LBYXXYMWMZNTGX-CYBMUJFWSA-N 1 2 295.361 3.752 20 0 CHADLO Cc1cc(NCc2ccnn2CC(C)C)ccc1[NH+](C)C ZINC000842690928 1132451647 /nfs/dbraw/zinc/45/16/47/1132451647.db2.gz GOTZIEABUDVKDV-UHFFFAOYSA-N 1 2 286.423 3.526 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2cccc(F)c2F)cn1 ZINC001232329965 1132455585 /nfs/dbraw/zinc/45/55/85/1132455585.db2.gz JPFNFYHFHPZCMP-UHFFFAOYSA-N 1 2 294.370 3.714 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2cccc(F)c2F)cn1 ZINC001232329965 1132455589 /nfs/dbraw/zinc/45/55/89/1132455589.db2.gz JPFNFYHFHPZCMP-UHFFFAOYSA-N 1 2 294.370 3.714 20 0 CHADLO CC1=C(C)C[C@@H](C(=O)OCc2cccc3[nH+]ccn32)CC1 ZINC000842738906 1132456641 /nfs/dbraw/zinc/45/66/41/1132456641.db2.gz AZJFUNDMYIHFAA-AWEZNQCLSA-N 1 2 284.359 3.514 20 0 CHADLO CCC[C@@H](CC)NC(=O)c1c[nH+]ccc1Nc1ccccc1 ZINC001142886076 1132472118 /nfs/dbraw/zinc/47/21/18/1132472118.db2.gz CWPBYYKYXVXRQN-CQSZACIVSA-N 1 2 297.402 3.556 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2ncc(Cl)cc2Cl)CC1 ZINC001232571297 1132487249 /nfs/dbraw/zinc/48/72/49/1132487249.db2.gz PRQNVBGYXBKSQI-JTQLQIEISA-N 1 2 277.170 3.712 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2ncc(Cl)cc2Cl)CC1 ZINC001232571297 1132487245 /nfs/dbraw/zinc/48/72/45/1132487245.db2.gz PRQNVBGYXBKSQI-JTQLQIEISA-N 1 2 277.170 3.712 20 0 CHADLO COc1cccc(C)c1C[N@@H+]1Cc2ccc(F)cc2C1 ZINC001232626387 1132497302 /nfs/dbraw/zinc/49/73/02/1132497302.db2.gz ZEEPEWCCPUERHR-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc(C)c1C[N@H+]1Cc2ccc(F)cc2C1 ZINC001232626387 1132497306 /nfs/dbraw/zinc/49/73/06/1132497306.db2.gz ZEEPEWCCPUERHR-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2occc2Br)C1 ZINC001143116901 1132503367 /nfs/dbraw/zinc/50/33/67/1132503367.db2.gz VRZAFVJBZFUCTM-LBPRGKRZSA-N 1 2 290.176 3.756 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2occc2Br)C1 ZINC001143116901 1132503371 /nfs/dbraw/zinc/50/33/71/1132503371.db2.gz VRZAFVJBZFUCTM-LBPRGKRZSA-N 1 2 290.176 3.756 20 0 CHADLO CC1(F)C[NH+](C2CCC3(CCc4ccccc43)CC2)C1 ZINC001173688189 1132509613 /nfs/dbraw/zinc/50/96/13/1132509613.db2.gz QEIHIJYXPGFMGN-UHFFFAOYSA-N 1 2 273.395 3.857 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(C)cc(CO)c2)c1 ZINC001212754396 1132514030 /nfs/dbraw/zinc/51/40/30/1132514030.db2.gz UGAMYSREOPJIGM-UHFFFAOYSA-N 1 2 256.349 3.578 20 0 CHADLO Cc1c(C[N@@H+]2CCc3cc(F)ccc3C2)ccnc1Cl ZINC001232757058 1132516611 /nfs/dbraw/zinc/51/66/11/1132516611.db2.gz MAOVSUZYPZDRIN-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1c(C[N@H+]2CCc3cc(F)ccc3C2)ccnc1Cl ZINC001232757058 1132516616 /nfs/dbraw/zinc/51/66/16/1132516616.db2.gz MAOVSUZYPZDRIN-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO CCCn1cnc(C[N@@H+]2CCC[C@H]2c2ccccc2F)c1 ZINC001232799185 1132523049 /nfs/dbraw/zinc/52/30/49/1132523049.db2.gz ZHYYDXRMXUEXQL-KRWDZBQOSA-N 1 2 287.382 3.769 20 0 CHADLO CCCn1cnc(C[N@H+]2CCC[C@H]2c2ccccc2F)c1 ZINC001232799185 1132523053 /nfs/dbraw/zinc/52/30/53/1132523053.db2.gz ZHYYDXRMXUEXQL-KRWDZBQOSA-N 1 2 287.382 3.769 20 0 CHADLO CCOc1ccc(C[N@H+](C)C2CC(F)(F)C2)c(F)c1F ZINC001143267689 1132525784 /nfs/dbraw/zinc/52/57/84/1132525784.db2.gz UOBCABREVVCWJZ-UHFFFAOYSA-N 1 2 291.288 3.593 20 0 CHADLO CCOc1ccc(C[N@@H+](C)C2CC(F)(F)C2)c(F)c1F ZINC001143267689 1132525788 /nfs/dbraw/zinc/52/57/88/1132525788.db2.gz UOBCABREVVCWJZ-UHFFFAOYSA-N 1 2 291.288 3.593 20 0 CHADLO CC1C[NH+](Cc2c(Cl)cccc2C(F)(F)F)C1 ZINC001143283245 1132530229 /nfs/dbraw/zinc/53/02/29/1132530229.db2.gz QPXXNFCHIJOZIA-UHFFFAOYSA-N 1 2 263.690 3.811 20 0 CHADLO Fc1ccc(C[NH+]2CC3(C2)CCCC3)c(Cl)c1F ZINC001232876434 1132536154 /nfs/dbraw/zinc/53/61/54/1132536154.db2.gz SNTLPIMVQDJWSK-UHFFFAOYSA-N 1 2 271.738 3.994 20 0 CHADLO COc1c(F)ccc(C[N@H+](C)Cc2ccsc2)c1F ZINC001143322248 1132536574 /nfs/dbraw/zinc/53/65/74/1132536574.db2.gz WWBRYVVBTSZHTG-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO Cc1cc(C)c(Nc2[nH+]cccc2N2CCOCC2)c(C)c1 ZINC001173842589 1132545669 /nfs/dbraw/zinc/54/56/69/1132545669.db2.gz CVQIWZZRKIKMMZ-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO c1csc([C@H]2CCC[N@H+]2Cc2ccc3ncoc3c2)n1 ZINC001232945517 1132547721 /nfs/dbraw/zinc/54/77/21/1132547721.db2.gz VFWMHTKOTWBQMQ-CYBMUJFWSA-N 1 2 285.372 3.621 20 0 CHADLO c1csc([C@H]2CCC[N@@H+]2Cc2ccc3ncoc3c2)n1 ZINC001232945517 1132547728 /nfs/dbraw/zinc/54/77/28/1132547728.db2.gz VFWMHTKOTWBQMQ-CYBMUJFWSA-N 1 2 285.372 3.621 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(O)c(F)c(F)c2)Cc2ccccc21 ZINC001232968458 1132554254 /nfs/dbraw/zinc/55/42/54/1132554254.db2.gz BTXDIZYUZSKNSW-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(O)c(F)c(F)c2)Cc2ccccc21 ZINC001232968458 1132554260 /nfs/dbraw/zinc/55/42/60/1132554260.db2.gz BTXDIZYUZSKNSW-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO CCCCOC1C[NH+](Cc2ccc(C3CC3)cc2)C1 ZINC001232983059 1132555522 /nfs/dbraw/zinc/55/55/22/1132555522.db2.gz INRIANXSDSTWSO-UHFFFAOYSA-N 1 2 259.393 3.565 20 0 CHADLO Fc1cccc(F)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001173860296 1132562570 /nfs/dbraw/zinc/56/25/70/1132562570.db2.gz SLTFHSVDGKNZHF-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO Fc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1F ZINC001173866637 1132568305 /nfs/dbraw/zinc/56/83/05/1132568305.db2.gz NUQBFOYYMJPLPT-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1ccsc1Cl)C2 ZINC001233057549 1132570577 /nfs/dbraw/zinc/57/05/77/1132570577.db2.gz YBGKNXRQWOUMHG-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1ccsc1Cl)C2 ZINC001233057549 1132570583 /nfs/dbraw/zinc/57/05/83/1132570583.db2.gz YBGKNXRQWOUMHG-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Cc1cc(C[N@@H+](CC(=O)c2ccccc2)C(C)C)cnc1C ZINC001233161081 1132573577 /nfs/dbraw/zinc/57/35/77/1132573577.db2.gz RRKWATPKFVYAKB-UHFFFAOYSA-N 1 2 296.414 3.792 20 0 CHADLO Cc1cc(C[N@H+](CC(=O)c2ccccc2)C(C)C)cnc1C ZINC001233161081 1132573581 /nfs/dbraw/zinc/57/35/81/1132573581.db2.gz RRKWATPKFVYAKB-UHFFFAOYSA-N 1 2 296.414 3.792 20 0 CHADLO C(=Cc1ccccc1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001173871942 1132575010 /nfs/dbraw/zinc/57/50/10/1132575010.db2.gz BNIWWBBIILWGSL-MDZDMXLPSA-N 1 2 261.328 3.955 20 0 CHADLO C[C@H]1C[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)c2ccccc21 ZINC001151976740 1132576977 /nfs/dbraw/zinc/57/69/77/1132576977.db2.gz RXGOMCBPNHLYNA-ZBEGNZNMSA-N 1 2 291.354 3.541 20 0 CHADLO C[C@H]1C[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)CC(C)(C)C1 ZINC001151975906 1132577421 /nfs/dbraw/zinc/57/74/21/1132577421.db2.gz KKJWJBSBJGAICA-AAEUAGOBSA-N 1 2 285.391 3.508 20 0 CHADLO COc1cccc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001173824429 1132579873 /nfs/dbraw/zinc/57/98/73/1132579873.db2.gz LNZHJOWYBFMBFT-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(OC)c2)cc1 ZINC001173827535 1132583535 /nfs/dbraw/zinc/58/35/35/1132583535.db2.gz ZUCMOVMITDVXNE-UHFFFAOYSA-N 1 2 256.349 3.895 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(OC)c2)cc1 ZINC001173827535 1132583539 /nfs/dbraw/zinc/58/35/39/1132583539.db2.gz ZUCMOVMITDVXNE-UHFFFAOYSA-N 1 2 256.349 3.895 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(C)cc(C)c1 ZINC001173831179 1132586720 /nfs/dbraw/zinc/58/67/20/1132586720.db2.gz YHRUXIRHKBRTTB-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO CC(=O)Nc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001173834184 1132589057 /nfs/dbraw/zinc/58/90/57/1132589057.db2.gz SHFITOUOLKYPNV-UHFFFAOYSA-N 1 2 292.342 3.574 20 0 CHADLO Fc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001173836172 1132590369 /nfs/dbraw/zinc/59/03/69/1132590369.db2.gz GKXQMJIRFXXZEL-UHFFFAOYSA-N 1 2 267.307 3.814 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(C(C)=O)cc2)c1 ZINC001173896132 1132600225 /nfs/dbraw/zinc/60/02/25/1132600225.db2.gz LVUPQPJMRZOJKH-UHFFFAOYSA-N 1 2 254.333 3.980 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCO[C@H](C(C)C)C1 ZINC001233353445 1132609944 /nfs/dbraw/zinc/60/99/44/1132609944.db2.gz VZDUZNYTGVKCNU-AWEZNQCLSA-N 1 2 299.867 3.919 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCO[C@H](C(C)C)C1 ZINC001233353445 1132609946 /nfs/dbraw/zinc/60/99/46/1132609946.db2.gz VZDUZNYTGVKCNU-AWEZNQCLSA-N 1 2 299.867 3.919 20 0 CHADLO CN(Cc1cccc(N)[nH+]1)Cc1cccc(Cl)c1Cl ZINC001233371521 1132611650 /nfs/dbraw/zinc/61/16/50/1132611650.db2.gz USYRUMUPOTUEPC-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@@H]2c2cc(F)cc(F)c2)o1 ZINC001233368571 1132612701 /nfs/dbraw/zinc/61/27/01/1132612701.db2.gz VWQVLGBRLVWTPK-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@@H]2c2cc(F)cc(F)c2)o1 ZINC001233368571 1132612704 /nfs/dbraw/zinc/61/27/04/1132612704.db2.gz VWQVLGBRLVWTPK-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(OC)ccc2OC)cc1 ZINC001173922108 1132615768 /nfs/dbraw/zinc/61/57/68/1132615768.db2.gz PGWCUAYMCDHCET-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(OC)ccc2OC)cc1 ZINC001173922108 1132615772 /nfs/dbraw/zinc/61/57/72/1132615772.db2.gz PGWCUAYMCDHCET-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO Cc1cccc(CC[N@@H+](C)Cc2c(F)ccc(O)c2F)c1 ZINC001233396057 1132616673 /nfs/dbraw/zinc/61/66/73/1132616673.db2.gz HKESFXCCDXTCDW-UHFFFAOYSA-N 1 2 291.341 3.653 20 0 CHADLO Cc1cccc(CC[N@H+](C)Cc2c(F)ccc(O)c2F)c1 ZINC001233396057 1132616676 /nfs/dbraw/zinc/61/66/76/1132616676.db2.gz HKESFXCCDXTCDW-UHFFFAOYSA-N 1 2 291.341 3.653 20 0 CHADLO CCc1ccccc1Nc1[nH+]cccc1N1CCCC1 ZINC001173969785 1132640339 /nfs/dbraw/zinc/64/03/39/1132640339.db2.gz UFNIDMRIMULAII-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO CC(=O)c1ccc2c(c1)CN(c1cccc(C3CC3)[nH+]1)CC2 ZINC001155086877 1132642508 /nfs/dbraw/zinc/64/25/08/1132642508.db2.gz KUYUFDWUGYCPCC-UHFFFAOYSA-N 1 2 292.382 3.724 20 0 CHADLO Cc1nc(NC[C@@H]2CCC(F)(F)C2)cc(C(C)C)[nH+]1 ZINC001155165967 1132643718 /nfs/dbraw/zinc/64/37/18/1132643718.db2.gz CIWYUIRRMNFZMI-LLVKDONJSA-N 1 2 269.339 3.756 20 0 CHADLO CSc1c[nH+]c(NC[C@@H]2CCC(F)(F)C2)c(C)c1 ZINC001155174025 1132644681 /nfs/dbraw/zinc/64/46/81/1132644681.db2.gz QBRKHIVIRUODLX-SNVBAGLBSA-N 1 2 272.364 3.959 20 0 CHADLO Cn1cccc1CNc1[nH+]ccc2cc(Cl)ccc21 ZINC001155210892 1132648808 /nfs/dbraw/zinc/64/88/08/1132648808.db2.gz QNVBAFQSFDGANH-UHFFFAOYSA-N 1 2 271.751 3.839 20 0 CHADLO COc1ccc2[nH+]c(NCc3ccncc3C)c(C)cc2c1 ZINC001155217421 1132649034 /nfs/dbraw/zinc/64/90/34/1132649034.db2.gz CAGIZUKCVJYKFQ-UHFFFAOYSA-N 1 2 293.370 3.867 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc(CO)c1Cl ZINC001212759694 1132650502 /nfs/dbraw/zinc/65/05/02/1132650502.db2.gz UYNZIGDUZMYSST-UHFFFAOYSA-N 1 2 276.767 3.842 20 0 CHADLO Cc1cc(NCc2cn(C)c3ccccc23)nc(C2CC2)[nH+]1 ZINC001155286326 1132652398 /nfs/dbraw/zinc/65/23/98/1132652398.db2.gz CFIBZTRWISOPKH-UHFFFAOYSA-N 1 2 292.386 3.766 20 0 CHADLO Cc1cc(C)c(NC(=[NH2+])C23CC4CC(CC(C4)C2)C3)nn1 ZINC001155956535 1132672244 /nfs/dbraw/zinc/67/22/44/1132672244.db2.gz SXJBBZOQXLFHTN-UHFFFAOYSA-N 1 2 284.407 3.699 20 0 CHADLO Cc1ccc(Nc2ccccc2C[NH+]2CCOCC2)c(C)c1 ZINC001174013091 1132679883 /nfs/dbraw/zinc/67/98/83/1132679883.db2.gz UHTGIMUOAHUHCN-UHFFFAOYSA-N 1 2 296.414 3.879 20 0 CHADLO CNc1ccc(CNc2cc(C(C)C)[nH+]c(C)n2)cc1 ZINC001156134921 1132683547 /nfs/dbraw/zinc/68/35/47/1132683547.db2.gz NBEOTHUKFDKHIU-UHFFFAOYSA-N 1 2 270.380 3.562 20 0 CHADLO CSc1cc[nH+]c(NCc2cnc3ccccc3c2)c1 ZINC001156175665 1132686133 /nfs/dbraw/zinc/68/61/33/1132686133.db2.gz CLXWEWUASJULND-UHFFFAOYSA-N 1 2 281.384 3.964 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c(C)c1 ZINC001174015367 1132690831 /nfs/dbraw/zinc/69/08/31/1132690831.db2.gz MKFOZSHLQGYTOP-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO COc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c(C)c1 ZINC001174024824 1132699217 /nfs/dbraw/zinc/69/92/17/1132699217.db2.gz RJTAXYNEBKXMJR-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO COc1c[nH+]c(NCc2ccccc2C2CC2)c(C)c1 ZINC001156542289 1132705246 /nfs/dbraw/zinc/70/52/46/1132705246.db2.gz UKNBAQCECHFVST-UHFFFAOYSA-N 1 2 268.360 3.888 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccc(F)cn2)cc(C(C)C)[nH+]1 ZINC001156676013 1132706134 /nfs/dbraw/zinc/70/61/34/1132706134.db2.gz GMJJDSPGDBQYOL-JTQLQIEISA-N 1 2 274.343 3.616 20 0 CHADLO CN(Cc1ccc(-n2cc[nH+]c2)cc1)c1cccc(Cl)n1 ZINC001156775421 1132708708 /nfs/dbraw/zinc/70/87/08/1132708708.db2.gz GWAGNVPXSCZWEZ-UHFFFAOYSA-N 1 2 298.777 3.557 20 0 CHADLO COCc1cccc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001174093147 1132710572 /nfs/dbraw/zinc/71/05/72/1132710572.db2.gz FIXRIAUNMLDOPD-UHFFFAOYSA-N 1 2 287.750 3.878 20 0 CHADLO COCc1cccc(Nc2[nH+]cccc2N2CCCC2)c1 ZINC001174094444 1132711444 /nfs/dbraw/zinc/71/14/44/1132711444.db2.gz OZOOUJHQZYRVOX-UHFFFAOYSA-N 1 2 283.375 3.572 20 0 CHADLO Cc1nc(NC2(CO)CCCC2)cc(C2CCCCC2)[nH+]1 ZINC001157009019 1132718843 /nfs/dbraw/zinc/71/88/43/1132718843.db2.gz VEDHBDJCTFMHGX-UHFFFAOYSA-N 1 2 289.423 3.550 20 0 CHADLO Cc1ccc(Nc2cccc3ccc(=O)[nH]c32)c(C)[nH+]1 ZINC001203379268 1132719950 /nfs/dbraw/zinc/71/99/50/1132719950.db2.gz AEYORLOKRQDHAD-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1cccc2cc(C(=O)NCc3c[nH]c(C(C)C)[nH+]3)oc21 ZINC001157118621 1132722004 /nfs/dbraw/zinc/72/20/04/1132722004.db2.gz DPMLEONKHBBMIB-UHFFFAOYSA-N 1 2 297.358 3.518 20 0 CHADLO Cc1cccc2cc(C(=O)NCc3c[nH+]c(C(C)C)[nH]3)oc21 ZINC001157118621 1132722006 /nfs/dbraw/zinc/72/20/06/1132722006.db2.gz DPMLEONKHBBMIB-UHFFFAOYSA-N 1 2 297.358 3.518 20 0 CHADLO CC(C)Cc1ccc(C(=O)NCc2c[nH]c(C(C)C)[nH+]2)cc1 ZINC001157120375 1132722546 /nfs/dbraw/zinc/72/25/46/1132722546.db2.gz VYVOZVCVFOMNSH-UHFFFAOYSA-N 1 2 299.418 3.662 20 0 CHADLO CC(C)Cc1ccc(C(=O)NCc2c[nH+]c(C(C)C)[nH]2)cc1 ZINC001157120375 1132722552 /nfs/dbraw/zinc/72/25/52/1132722552.db2.gz VYVOZVCVFOMNSH-UHFFFAOYSA-N 1 2 299.418 3.662 20 0 CHADLO COCc1ccccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001174105864 1132723182 /nfs/dbraw/zinc/72/31/82/1132723182.db2.gz VQKKTXGCRBAPGT-UHFFFAOYSA-N 1 2 279.343 3.762 20 0 CHADLO CC(C)Oc1cccc(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001174113608 1132728807 /nfs/dbraw/zinc/72/88/07/1132728807.db2.gz NVKFMFJUEZBZBI-UHFFFAOYSA-N 1 2 271.364 3.678 20 0 CHADLO CC(C)Oc1cccc(Nc2cccc3[nH+]ccn32)c1 ZINC001174114077 1132729829 /nfs/dbraw/zinc/72/98/29/1132729829.db2.gz XASIKEIWGHKUMG-UHFFFAOYSA-N 1 2 267.332 3.865 20 0 CHADLO COc1cc(F)ccc1Nc1cc[nH+]c(SC)c1 ZINC001174129573 1132738023 /nfs/dbraw/zinc/73/80/23/1132738023.db2.gz FJCAFMSRLGEDNL-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO COc1cc(F)ccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001174129478 1132738150 /nfs/dbraw/zinc/73/81/50/1132738150.db2.gz BMNZSBYIZMWVMR-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Cc1ccc(Nc2cnn(Cc3ccccc3)c2)c(C)[nH+]1 ZINC001174131859 1132741003 /nfs/dbraw/zinc/74/10/03/1132741003.db2.gz UBIHBKGDOGAKOV-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC)c(Cl)c1 ZINC001174152336 1132750244 /nfs/dbraw/zinc/75/02/44/1132750244.db2.gz PFHDAVVJDVPCAM-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO COc1ccc2[nH+]c(NCCCOC(C)C)c(C)cc2c1 ZINC001157867431 1132750503 /nfs/dbraw/zinc/75/05/03/1132750503.db2.gz ISRJHGICDCVGJJ-UHFFFAOYSA-N 1 2 288.391 3.779 20 0 CHADLO CCCCNC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001174122045 1132752271 /nfs/dbraw/zinc/75/22/71/1132752271.db2.gz ZBUOGHNOVQOEMC-UHFFFAOYSA-N 1 2 297.402 3.972 20 0 CHADLO CSCc1ccc(N[C@@H](C)CCCC(C)(C)O)[nH+]c1 ZINC001157898600 1132755115 /nfs/dbraw/zinc/75/51/15/1132755115.db2.gz YFMDAGZKEYUOIL-LBPRGKRZSA-N 1 2 282.453 3.686 20 0 CHADLO Cc1n[nH]c(C)c1Nc1ccc2c(C)cc[nH+]c2c1 ZINC001174216434 1132761366 /nfs/dbraw/zinc/76/13/66/1132761366.db2.gz GMWYMOISVPRZOJ-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO CCCn1cc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001174218990 1132763024 /nfs/dbraw/zinc/76/30/24/1132763024.db2.gz FTQCEIZPNCNFKK-UHFFFAOYSA-N 1 2 252.321 3.585 20 0 CHADLO CC(C)=CCC/C(C)=C/CNc1[nH+]ccc(C)c1C(N)=O ZINC001158259576 1132766801 /nfs/dbraw/zinc/76/68/01/1132766801.db2.gz QABHIBYMGWOPFV-MDWZMJQESA-N 1 2 287.407 3.594 20 0 CHADLO CSc1cc[nH+]c(N[C@H]2CCCC(F)(F)C2)c1 ZINC001158335066 1132770772 /nfs/dbraw/zinc/77/07/72/1132770772.db2.gz GBMUWQMTMGAZDQ-VIFPVBQESA-N 1 2 258.337 3.793 20 0 CHADLO Fc1cncc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001174290742 1132774875 /nfs/dbraw/zinc/77/48/75/1132774875.db2.gz INSWRNFVHSSERX-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO Cc1cc(NC[C@H](C)c2ccc(O)cc2)nc(C(C)C)[nH+]1 ZINC001158607698 1132785390 /nfs/dbraw/zinc/78/53/90/1132785390.db2.gz PEEQRDYFMLNXKV-LBPRGKRZSA-N 1 2 285.391 3.830 20 0 CHADLO Cc1cc(Cl)c(C)c(N2Cc3cnc(Cl)cc3C2)[nH+]1 ZINC001158756206 1132791445 /nfs/dbraw/zinc/79/14/45/1132791445.db2.gz YGDWNBIGPRWGJW-UHFFFAOYSA-N 1 2 294.185 3.920 20 0 CHADLO Cc1nc2c(o1)CCN(c1cc(-c3ccccc3)cc[nH+]1)C2 ZINC001158826372 1132795279 /nfs/dbraw/zinc/79/52/79/1132795279.db2.gz BGWPOUGYDYLXST-UHFFFAOYSA-N 1 2 291.354 3.608 20 0 CHADLO CSCc1ccc(N[C@@H]2C[C@H]2c2ccccc2)[nH+]c1 ZINC001158843373 1132797080 /nfs/dbraw/zinc/79/70/80/1132797080.db2.gz YEKLQSAFRQTVEQ-LSDHHAIUSA-N 1 2 270.401 3.913 20 0 CHADLO O=C(CCNc1cc2ccccc2c[nH+]1)c1ccccc1 ZINC001159021820 1132803789 /nfs/dbraw/zinc/80/37/89/1132803789.db2.gz LYROTMCRBIOBLC-UHFFFAOYSA-N 1 2 276.339 3.920 20 0 CHADLO Cc1ccc(F)c(N=C2CCC[N@H+](Cc3ccccc3)C2)n1 ZINC001174394171 1132810903 /nfs/dbraw/zinc/81/09/03/1132810903.db2.gz DNJNJSLKENFMRC-UHFFFAOYSA-N 1 2 297.377 3.731 20 0 CHADLO Cc1ccc(F)c(N=C2CCC[N@@H+](Cc3ccccc3)C2)n1 ZINC001174394171 1132810913 /nfs/dbraw/zinc/81/09/13/1132810913.db2.gz DNJNJSLKENFMRC-UHFFFAOYSA-N 1 2 297.377 3.731 20 0 CHADLO CC(C)Oc1ccc(Cl)cc1Nc1ccc(N)[nH+]c1 ZINC001159282306 1132822929 /nfs/dbraw/zinc/82/29/29/1132822929.db2.gz RCNFUGMHUWAMBH-UHFFFAOYSA-N 1 2 277.755 3.848 20 0 CHADLO CCOc1ccc2cc(Nc3ccc(N)[nH+]c3)ccc2c1 ZINC001159280734 1132823937 /nfs/dbraw/zinc/82/39/37/1132823937.db2.gz BGWYENLAEFRHMM-UHFFFAOYSA-N 1 2 279.343 3.959 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccc(CO)cc3F)ccc21 ZINC001174380923 1132828588 /nfs/dbraw/zinc/82/85/88/1132828588.db2.gz ASMTTXFJGPMRGL-UHFFFAOYSA-N 1 2 299.349 3.992 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3nccs3)ccc21 ZINC001174380410 1132828779 /nfs/dbraw/zinc/82/87/79/1132828779.db2.gz FSGUFUVFFPWOET-UHFFFAOYSA-N 1 2 258.350 3.817 20 0 CHADLO Cc1noc(C)c1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174381217 1132828862 /nfs/dbraw/zinc/82/88/62/1132828862.db2.gz BHOVURBQUKCQRM-UHFFFAOYSA-N 1 2 270.336 3.966 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccc(CO)cc3)ccc21 ZINC001174380911 1132828954 /nfs/dbraw/zinc/82/89/54/1132828954.db2.gz AEJFXDAMAGQBGX-UHFFFAOYSA-N 1 2 281.359 3.853 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(C(C)(C)O)c1 ZINC001174407281 1132844902 /nfs/dbraw/zinc/84/49/02/1132844902.db2.gz SREQMXVMMBSCGF-UHFFFAOYSA-N 1 2 270.376 3.923 20 0 CHADLO Cc1cc(Nc2cccc3c2NCC3)ccc1[NH+](C)C ZINC001159457248 1132847873 /nfs/dbraw/zinc/84/78/73/1132847873.db2.gz DSWHUYULPHOZMA-UHFFFAOYSA-N 1 2 267.376 3.773 20 0 CHADLO Cc1c(O)ccc(Nc2cc[nH+]c3[nH]ccc32)c1C ZINC001174412630 1132850395 /nfs/dbraw/zinc/85/03/95/1132850395.db2.gz BJWCSNKOZXHIPW-UHFFFAOYSA-N 1 2 253.305 3.581 20 0 CHADLO Cc1c(Cl)cc(N)cc1Nc1cc[nH+]c2[nH]ccc21 ZINC001174412810 1132850900 /nfs/dbraw/zinc/85/09/00/1132850900.db2.gz FRDCMMFDRPWYBB-UHFFFAOYSA-N 1 2 272.739 3.802 20 0 CHADLO COc1cc(C)cc(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174412331 1132850941 /nfs/dbraw/zinc/85/09/41/1132850941.db2.gz QZJSBRVANDBNQI-UHFFFAOYSA-N 1 2 253.305 3.575 20 0 CHADLO COc1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1C ZINC001174412734 1132851243 /nfs/dbraw/zinc/85/12/43/1132851243.db2.gz DIBZDPYEQLWXSM-UHFFFAOYSA-N 1 2 253.305 3.575 20 0 CHADLO COc1cc(Nc2cc[nH+]c3[nH]ccc32)ccc1C ZINC001174411862 1132851617 /nfs/dbraw/zinc/85/16/17/1132851617.db2.gz JSUYUMVVIRINFP-UHFFFAOYSA-N 1 2 253.305 3.575 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnn(CC4CC4)c3)ccc12 ZINC001174469444 1132874958 /nfs/dbraw/zinc/87/49/58/1132874958.db2.gz IDKGYXBMMYBBTD-UHFFFAOYSA-N 1 2 278.359 3.893 20 0 CHADLO OCc1cc(Nc2ccc([NH+]3CCCC3)cc2)ccc1F ZINC001174526012 1132888049 /nfs/dbraw/zinc/88/80/49/1132888049.db2.gz CCEFZQKGBWWQRX-UHFFFAOYSA-N 1 2 286.350 3.662 20 0 CHADLO Cc1cc(F)cc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001174528215 1132891161 /nfs/dbraw/zinc/89/11/61/1132891161.db2.gz AMXDLIFKPTWALQ-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO CCc1cccc(Nc2ccc(N3CCCCC3)nc2)[nH+]1 ZINC001174521960 1132911400 /nfs/dbraw/zinc/91/14/00/1132911400.db2.gz CKHWCTRBQUNUDX-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO c1cn2c(cccc2Nc2ccc(N3CCCC3)cc2)[nH+]1 ZINC001174524292 1132912637 /nfs/dbraw/zinc/91/26/37/1132912637.db2.gz DJVQKQFLEFXUDR-UHFFFAOYSA-N 1 2 278.359 3.678 20 0 CHADLO C[C@H](O)c1ccccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174522949 1132912765 /nfs/dbraw/zinc/91/27/65/1132912765.db2.gz FIJFXEUWQYHTRC-AWEZNQCLSA-N 1 2 297.402 3.869 20 0 CHADLO Cc1cc(N)ccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174524246 1132912980 /nfs/dbraw/zinc/91/29/80/1132912980.db2.gz JWEMLQZGOWNVBA-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO Cc1cc(C)c(Nc2ccc3nc(N)sc3c2)c[nH+]1 ZINC001159822514 1132913007 /nfs/dbraw/zinc/91/30/07/1132913007.db2.gz LPDLYMFXPNWJHF-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1NC1=CCC2(CC1)OCCO2 ZINC001174639377 1132936548 /nfs/dbraw/zinc/93/65/48/1132936548.db2.gz YHSXQGZFTFPERG-UHFFFAOYSA-N 1 2 288.391 3.736 20 0 CHADLO Nc1cc(Cl)ccc1Nc1cccc2[nH+]c[nH]c21 ZINC001160266680 1132954658 /nfs/dbraw/zinc/95/46/58/1132954658.db2.gz NSEJGQCFJHGAAJ-UHFFFAOYSA-N 1 2 258.712 3.542 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc(C(F)F)cc1 ZINC001174714450 1132961382 /nfs/dbraw/zinc/96/13/82/1132961382.db2.gz BERMZISPHFUVOW-UHFFFAOYSA-N 1 2 263.291 3.829 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(F)F)cc1 ZINC001174711559 1132961553 /nfs/dbraw/zinc/96/15/53/1132961553.db2.gz SLQCKGYKGJUZDM-UHFFFAOYSA-N 1 2 250.248 3.771 20 0 CHADLO Cc1cc(Cl)c(C)c(N[C@](C)(CO)c2ccccc2)[nH+]1 ZINC001160434530 1132969744 /nfs/dbraw/zinc/96/97/44/1132969744.db2.gz IQPDNZGGQJPCFA-MRXNPFEDSA-N 1 2 290.794 3.671 20 0 CHADLO C=Cc1ccc(NCCc2cc(F)cc(F)c2)[nH+]c1 ZINC001160569371 1132974567 /nfs/dbraw/zinc/97/45/67/1132974567.db2.gz GHHKANOCQXFIDY-UHFFFAOYSA-N 1 2 260.287 3.657 20 0 CHADLO CCCc1ccnc(N[C@@H](C)c2c[nH+]c3c(C)cccn23)n1 ZINC001160686434 1132978310 /nfs/dbraw/zinc/97/83/10/1132978310.db2.gz GPGGLZNFJGNMFF-ZDUSSCGKSA-N 1 2 295.390 3.558 20 0 CHADLO CCSc1cc[nH+]c(NC2(C(F)(F)F)CC2)c1 ZINC001160824433 1132983950 /nfs/dbraw/zinc/98/39/50/1132983950.db2.gz FAICMXKPRIMZBF-UHFFFAOYSA-N 1 2 262.300 3.700 20 0 CHADLO c1[nH]c2c(cccc2Nc2csc3ncccc23)[nH+]1 ZINC001213027351 1132986500 /nfs/dbraw/zinc/98/65/00/1132986500.db2.gz VKLWALYCMWUQRS-UHFFFAOYSA-N 1 2 266.329 3.916 20 0 CHADLO COc1ccc2cc(Nc3cccc4[nH+]c[nH]c43)cnc2c1 ZINC001213028001 1132988390 /nfs/dbraw/zinc/98/83/90/1132988390.db2.gz DSZHLXJTWQOIML-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1N[C@@H]1CCC(C)(C)C1 ZINC001161033947 1132990679 /nfs/dbraw/zinc/99/06/79/1132990679.db2.gz UQXRNJZFQYDBPN-GFCCVEGCSA-N 1 2 278.396 3.672 20 0 CHADLO c1nc2ccc(Nc3cccc4[nH+]c[nH]c43)cc2s1 ZINC001213030907 1132995661 /nfs/dbraw/zinc/99/56/61/1132995661.db2.gz WUCHYOVINANXOU-UHFFFAOYSA-N 1 2 266.329 3.916 20 0 CHADLO COc1cc2cccnc2c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213031539 1132996501 /nfs/dbraw/zinc/99/65/01/1132996501.db2.gz FHDJLRSVULDSRT-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO Cc1nc2c(cccc2Nc2cccc3[nH+]c[nH]c32)o1 ZINC001213031666 1132996779 /nfs/dbraw/zinc/99/67/79/1132996779.db2.gz LVWQPQISKXQWAM-UHFFFAOYSA-N 1 2 264.288 3.756 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c[nH]c32)cc1Cl ZINC001213031694 1132996883 /nfs/dbraw/zinc/99/68/83/1132996883.db2.gz MUQYJLKLAXSDNQ-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO Nc1ccc(Nc2cccc3[nH+]c[nH]c32)c(C(F)(F)F)c1 ZINC001213032011 1132998113 /nfs/dbraw/zinc/99/81/13/1132998113.db2.gz TVWKDSAPKXICRY-UHFFFAOYSA-N 1 2 292.264 3.908 20 0 CHADLO Fc1cncc2cccc(Nc3cccc4[nH+]c[nH]c43)c12 ZINC001213033514 1133004857 /nfs/dbraw/zinc/00/48/57/1133004857.db2.gz KLAVPJSKDPZKIT-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1nccc2ccsc21 ZINC001161562768 1133006560 /nfs/dbraw/zinc/00/65/60/1133006560.db2.gz DZIHNZUUQGLFMT-UHFFFAOYSA-N 1 2 272.377 3.686 20 0 CHADLO C[C@H](CCc1ccccc1)Nc1ccn2cc[nH+]c2c1 ZINC001161613353 1133009073 /nfs/dbraw/zinc/00/90/73/1133009073.db2.gz WTTFFXNFXZINMP-CQSZACIVSA-N 1 2 265.360 3.768 20 0 CHADLO Cc1ccccc1CNc1ccc(-n2cccc2)c[nH+]1 ZINC001161620758 1133010530 /nfs/dbraw/zinc/01/05/30/1133010530.db2.gz FGCBIQZUZQNWRZ-UHFFFAOYSA-N 1 2 263.344 3.793 20 0 CHADLO COC(=O)c1cc(C)c(F)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213034566 1133015399 /nfs/dbraw/zinc/01/53/99/1133015399.db2.gz QYKZPKVALHOZPD-UHFFFAOYSA-N 1 2 299.305 3.541 20 0 CHADLO COC(=O)c1ccc2cc[nH+]c(N[C@@H]3CC=CCC3)c2c1 ZINC001161752889 1133023439 /nfs/dbraw/zinc/02/34/39/1133023439.db2.gz VWFFGJYEYDGJEW-CQSZACIVSA-N 1 2 282.343 3.542 20 0 CHADLO CSc1cc[nH+]c(N[C@H](c2cncc(F)c2)C2CC2)c1 ZINC001161818628 1133030963 /nfs/dbraw/zinc/03/09/63/1133030963.db2.gz OPELIMPBIZUTNX-HNNXBMFYSA-N 1 2 289.379 3.901 20 0 CHADLO CCc1cc(NCCCC2CCCC2)nc(CC)[nH+]1 ZINC001161924096 1133039187 /nfs/dbraw/zinc/03/91/87/1133039187.db2.gz NBYAHEKCSWCVBQ-UHFFFAOYSA-N 1 2 261.413 3.984 20 0 CHADLO Cc1csc(Sc2nc3ccccc3n3c[nH+]cc23)n1 ZINC001161936205 1133040664 /nfs/dbraw/zinc/04/06/64/1133040664.db2.gz UAAHEYAEOVPJHN-UHFFFAOYSA-N 1 2 298.396 3.799 20 0 CHADLO Cc1cc(NCC2COC2)[nH+]c2c1cccc2C(C)C ZINC001161946662 1133040727 /nfs/dbraw/zinc/04/07/27/1133040727.db2.gz WQHYPTDXPSUOMY-UHFFFAOYSA-N 1 2 270.376 3.725 20 0 CHADLO Cc1nc2[nH]ccc2c(NCC(C)(C)CC2CC2)[nH+]1 ZINC001162122157 1133051574 /nfs/dbraw/zinc/05/15/74/1133051574.db2.gz LQIIBPHQACLHQV-UHFFFAOYSA-N 1 2 258.369 3.505 20 0 CHADLO Cc1ncc(CNc2cc(-c3ccncc3)cc[nH+]2)s1 ZINC001162155600 1133054805 /nfs/dbraw/zinc/05/48/05/1133054805.db2.gz XSNIWQUKMDVDAT-UHFFFAOYSA-N 1 2 282.372 3.521 20 0 CHADLO Cc1cc(NCc2ccc3c(ccn3C)c2)c[nH+]c1C ZINC001162169696 1133057157 /nfs/dbraw/zinc/05/71/57/1133057157.db2.gz ZYKCKBYECRSMHY-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO CN1CCOc2cc(Nc3[nH+]ccc4ccccc43)ccc21 ZINC001213039072 1133058061 /nfs/dbraw/zinc/05/80/61/1133058061.db2.gz IXWUFQLYUZYSSC-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO CC(F)(F)CNc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001162230240 1133061785 /nfs/dbraw/zinc/06/17/85/1133061785.db2.gz AZPGTQRPVHMPLC-UHFFFAOYSA-N 1 2 280.240 3.743 20 0 CHADLO Cc1cnc(CCNc2[nH+]cccc2-c2ccccc2)nc1 ZINC001162239443 1133062677 /nfs/dbraw/zinc/06/26/77/1133062677.db2.gz SHFLFIFXSYEGLM-UHFFFAOYSA-N 1 2 290.370 3.502 20 0 CHADLO Cc1nc(NC(C)(C)Cc2cccnc2)cc(C(C)C)[nH+]1 ZINC001162237367 1133062912 /nfs/dbraw/zinc/06/29/12/1133062912.db2.gz LTFYCBLVSOIZQQ-UHFFFAOYSA-N 1 2 284.407 3.737 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NC[C@@H](O)c2ccco2)c1 ZINC001162261917 1133064958 /nfs/dbraw/zinc/06/49/58/1133064958.db2.gz ARLVKZSYHFQSTL-MRXNPFEDSA-N 1 2 288.391 3.580 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(N[C@H]2CC[C@](C)(O)CC2)c1 ZINC001162360592 1133072485 /nfs/dbraw/zinc/07/24/85/1133072485.db2.gz ONYQWLYPYQSAGS-RZDIXWSQSA-N 1 2 290.451 3.947 20 0 CHADLO CC(C)Oc1cc(N[C@@H]2CCCC[C@H]2F)cc[nH+]1 ZINC001162508837 1133083273 /nfs/dbraw/zinc/08/32/73/1133083273.db2.gz VURIJQZIFQPXLF-CHWSQXEVSA-N 1 2 252.333 3.561 20 0 CHADLO CCc1cc(N(C)[C@@H](C)Cc2ccsc2)nc(CC)[nH+]1 ZINC001162685796 1133103911 /nfs/dbraw/zinc/10/39/11/1133103911.db2.gz CENHNJKOMBGSTK-LBPRGKRZSA-N 1 2 289.448 3.730 20 0 CHADLO Cc1cc(N[C@@H]2CCc3sccc32)nc(C2CC2)[nH+]1 ZINC001162765891 1133110649 /nfs/dbraw/zinc/11/06/49/1133110649.db2.gz PDTABNNWFBWPGM-GFCCVEGCSA-N 1 2 271.389 3.823 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1ccc(Cl)c(N)[nH+]1)CC2 ZINC001162824837 1133115620 /nfs/dbraw/zinc/11/56/20/1133115620.db2.gz HPLAACPKPBBFLQ-ZDUSSCGKSA-N 1 2 273.767 3.725 20 0 CHADLO CC(C)Oc1cc(NCc2cn(C)c3ccccc23)cc[nH+]1 ZINC001162830697 1133115833 /nfs/dbraw/zinc/11/58/33/1133115833.db2.gz FHXZIDABKZYMNO-UHFFFAOYSA-N 1 2 295.386 3.973 20 0 CHADLO C[C@@H](Nc1cc(N(C)C)nc[nH+]1)c1cccc(F)c1Cl ZINC001162893609 1133119326 /nfs/dbraw/zinc/11/93/26/1133119326.db2.gz UUXGKMFJCUGMAM-SECBINFHSA-N 1 2 294.761 3.508 20 0 CHADLO C[C@@H](Nc1cc(N(C)C)[nH+]cn1)c1cccc(F)c1Cl ZINC001162893609 1133119329 /nfs/dbraw/zinc/11/93/29/1133119329.db2.gz UUXGKMFJCUGMAM-SECBINFHSA-N 1 2 294.761 3.508 20 0 CHADLO Nc1[nH+]c(N[C@@H]2CCc3c2c(F)ccc3F)ccc1Cl ZINC001162937342 1133123546 /nfs/dbraw/zinc/12/35/46/1133123546.db2.gz AJJQDWCRCNMUSF-LLVKDONJSA-N 1 2 295.720 3.695 20 0 CHADLO Cc1nc(N[C@H](C)c2cc(Cl)ccc2F)cc[nH+]1 ZINC001162995467 1133125797 /nfs/dbraw/zinc/12/57/97/1133125797.db2.gz YNHFEDSLMCQXHM-MRVPVSSYSA-N 1 2 265.719 3.751 20 0 CHADLO CSCc1cc[nH+]c(NC2(c3ccc(N)cc3)CC2)c1 ZINC001163201662 1133135857 /nfs/dbraw/zinc/13/58/57/1133135857.db2.gz DYHWRIUNMXQFSS-UHFFFAOYSA-N 1 2 285.416 3.628 20 0 CHADLO Cc1cc(Cl)c(C)c(N[C@@H](C)c2ccno2)[nH+]1 ZINC001163213195 1133136986 /nfs/dbraw/zinc/13/69/86/1133136986.db2.gz KYIZVKFLBMMHRE-VIFPVBQESA-N 1 2 251.717 3.513 20 0 CHADLO Cc1nc(NC[C@@H]2CCCCC2(F)F)c2c([nH+]1)CCCC2 ZINC001163371806 1133145960 /nfs/dbraw/zinc/14/59/60/1133145960.db2.gz CMRYFFTWSAMWAY-LBPRGKRZSA-N 1 2 295.377 3.901 20 0 CHADLO c1ccc(CSc2cc[nH+]c(N3CCC3)c2)cc1 ZINC001163538341 1133156475 /nfs/dbraw/zinc/15/64/75/1133156475.db2.gz FYOZLDINFCZZDX-UHFFFAOYSA-N 1 2 256.374 3.584 20 0 CHADLO Cc1ccc(Nc2cccc(OC(=O)N(C)C)c2)c(C)[nH+]1 ZINC001203381190 1133156509 /nfs/dbraw/zinc/15/65/09/1133156509.db2.gz QTCZPSFMBQZLLU-UHFFFAOYSA-N 1 2 285.347 3.502 20 0 CHADLO CCSc1cc[nH+]c(N2[C@@H](C)CCC[C@@H]2C)c1 ZINC001163550851 1133158271 /nfs/dbraw/zinc/15/82/71/1133158271.db2.gz XUWBQGBTUWHEKH-RYUDHWBXSA-N 1 2 250.411 3.961 20 0 CHADLO Cc1nc(N2CCc3ccc(F)cc3[C@@H](C)C2)c(C)c(C)[nH+]1 ZINC001163629992 1133163704 /nfs/dbraw/zinc/16/37/04/1133163704.db2.gz WJGXZMQDTXORMS-NSHDSACASA-N 1 2 299.393 3.707 20 0 CHADLO CC(C)c1cc(NC[C@H](CO)CC2CC2)nc(C(C)C)[nH+]1 ZINC001163728834 1133169210 /nfs/dbraw/zinc/16/92/10/1133169210.db2.gz OIEVNGVWPKCICE-CQSZACIVSA-N 1 2 291.439 3.544 20 0 CHADLO CN(c1cc(-c2ccccc2)cc[nH+]1)C1CC(F)(F)C1 ZINC001163765454 1133173037 /nfs/dbraw/zinc/17/30/37/1133173037.db2.gz DFALTCXSSHCLRM-UHFFFAOYSA-N 1 2 274.314 3.983 20 0 CHADLO F[C@@H]1CCN(c2cc3ccccc3c[nH+]2)CCC1(F)F ZINC001163773615 1133174011 /nfs/dbraw/zinc/17/40/11/1133174011.db2.gz VLMCJWFTFOZWAZ-CYBMUJFWSA-N 1 2 280.293 3.808 20 0 CHADLO Cc1cc(N2CCC(=O)[C@@H]3CCCC[C@@H]32)[nH+]c2ccccc12 ZINC001163790428 1133175524 /nfs/dbraw/zinc/17/55/24/1133175524.db2.gz KZPIZUPJEYEWSV-WBVHZDCISA-N 1 2 294.398 3.881 20 0 CHADLO CSc1cc[nH+]c(N2CCc3ccccc3[C@H]2C)c1 ZINC001163955685 1133187810 /nfs/dbraw/zinc/18/78/10/1133187810.db2.gz BAGVRUFCBAGXRP-GFCCVEGCSA-N 1 2 270.401 3.927 20 0 CHADLO Cc1cc(NCC2(CC3CC3)CC2)nc(C2CC2)[nH+]1 ZINC001164021801 1133193105 /nfs/dbraw/zinc/19/31/05/1133193105.db2.gz LLRIUXJXHCOOHU-UHFFFAOYSA-N 1 2 257.381 3.655 20 0 CHADLO COc1ccc(O[C@H](C)CNc2c[nH+]c(C)c(C)c2)cc1 ZINC001164046266 1133194653 /nfs/dbraw/zinc/19/46/53/1133194653.db2.gz RMKQFHPHAIUKSX-CYBMUJFWSA-N 1 2 286.375 3.586 20 0 CHADLO CNc1ccc(CNc2cc(C)c3[nH]ccc3[nH+]2)cc1 ZINC001164156085 1133202315 /nfs/dbraw/zinc/20/23/15/1133202315.db2.gz SYZDSLILWCHEKY-UHFFFAOYSA-N 1 2 266.348 3.525 20 0 CHADLO FC[C@]1(C(F)(F)F)CCN(c2cc3ccccc3c[nH+]2)C1 ZINC001164266412 1133210723 /nfs/dbraw/zinc/21/07/23/1133210723.db2.gz QXJXTMAIPADDSB-CQSZACIVSA-N 1 2 298.283 3.963 20 0 CHADLO FC[C@]1(C(F)(F)F)CCN(c2cccc(C3CC3)[nH+]2)C1 ZINC001164271887 1133211693 /nfs/dbraw/zinc/21/16/93/1133211693.db2.gz NGVKQHWHHSCTMK-CYBMUJFWSA-N 1 2 288.288 3.687 20 0 CHADLO CCNc1ccc(Nc2ncnc3sc(C)c(C)c32)c[nH+]1 ZINC001164393354 1133217558 /nfs/dbraw/zinc/21/75/58/1133217558.db2.gz IBFGNMUMEGULIX-UHFFFAOYSA-N 1 2 299.403 3.879 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC(F)(F)C[C@H]2CF)[nH+]1 ZINC001164525480 1133222932 /nfs/dbraw/zinc/22/29/32/1133222932.db2.gz IKHLSIZTFJOZKV-VIFPVBQESA-N 1 2 278.705 3.535 20 0 CHADLO Cc1c(F)cc[nH+]c1N(C)Cc1ncccc1C(F)(F)F ZINC001165223956 1133230896 /nfs/dbraw/zinc/23/08/96/1133230896.db2.gz KOJLQPCAXFDTBY-UHFFFAOYSA-N 1 2 299.271 3.579 20 0 CHADLO CCCN(CCC)c1cc(N2CCC23CCCC3)nc[nH+]1 ZINC001165280003 1133234938 /nfs/dbraw/zinc/23/49/38/1133234938.db2.gz LUNBNTDTRWAHEF-UHFFFAOYSA-N 1 2 288.439 3.626 20 0 CHADLO CCCN(CCC)c1cc(N2CCC23CCCC3)[nH+]cn1 ZINC001165280003 1133234943 /nfs/dbraw/zinc/23/49/43/1133234943.db2.gz LUNBNTDTRWAHEF-UHFFFAOYSA-N 1 2 288.439 3.626 20 0 CHADLO Cc1cccc2c(C)cc(N3CC[C@@H]4CCCO[C@@H]4C3)[nH+]c12 ZINC001165331648 1133240465 /nfs/dbraw/zinc/24/04/65/1133240465.db2.gz JSMUWRCRJZIBRZ-DOTOQJQBSA-N 1 2 296.414 3.857 20 0 CHADLO Cc1ccc(CSc2ccc3n[nH]cc3c2)c(C)[nH+]1 ZINC001166339229 1133264077 /nfs/dbraw/zinc/26/40/77/1133264077.db2.gz RESNBKUENXEWAD-UHFFFAOYSA-N 1 2 269.373 3.867 20 0 CHADLO F[C@@H]1C[C@@H]2C[C@H]1CN2c1[nH+]ccc2cc(Cl)ccc21 ZINC001166532337 1133268599 /nfs/dbraw/zinc/26/85/99/1133268599.db2.gz KWSWDXXADLWXEJ-VHRBIJSZSA-N 1 2 276.742 3.825 20 0 CHADLO CCSc1cc[nH+]c(N2CC[C@@H](c3ccncc3)C2)c1 ZINC001166568105 1133271778 /nfs/dbraw/zinc/27/17/78/1133271778.db2.gz MGJJXEKXMRLLDF-CQSZACIVSA-N 1 2 285.416 3.583 20 0 CHADLO Cc1nc(N2CCC[C@@H](Cc3ccccc3)C2)c(C)c(C)[nH+]1 ZINC001166564229 1133271804 /nfs/dbraw/zinc/27/18/04/1133271804.db2.gz POAOEKTYGKESLW-SFHVURJKSA-N 1 2 295.430 3.861 20 0 CHADLO COc1cc(C)c[nH+]c1N1CCC[C@H](Cc2ccccc2)C1 ZINC001166564865 1133271857 /nfs/dbraw/zinc/27/18/57/1133271857.db2.gz ZEMWQLBQWTWQGK-QGZVFWFLSA-N 1 2 296.414 3.858 20 0 CHADLO c1ccn(-c2ccc[nH+]c2NCCc2ccsc2)c1 ZINC001166784350 1133287244 /nfs/dbraw/zinc/28/72/44/1133287244.db2.gz VUTYFTVBBNBLLH-UHFFFAOYSA-N 1 2 269.373 3.588 20 0 CHADLO c1cn(Cc2ccc(NCCc3ccsc3)cc2)c[nH+]1 ZINC001166803047 1133287686 /nfs/dbraw/zinc/28/76/86/1133287686.db2.gz LFOLOKAKQJGPIJ-UHFFFAOYSA-N 1 2 283.400 3.648 20 0 CHADLO COC(C)(C)[C@@H]1CCCN1c1ccc(C(C)C)c[nH+]1 ZINC001166796342 1133287704 /nfs/dbraw/zinc/28/77/04/1133287704.db2.gz VSGUBANEIQRYEW-AWEZNQCLSA-N 1 2 262.397 3.599 20 0 CHADLO COc1ccccc1Cc1cn([C@H]2C=CCCC2)c[nH+]1 ZINC001166964393 1133295035 /nfs/dbraw/zinc/29/50/35/1133295035.db2.gz PEEHIXKTRDDBOP-INIZCTEOSA-N 1 2 268.360 3.764 20 0 CHADLO CN(C)c1cc(CSc2nc(C(C)(C)C)co2)cc[nH+]1 ZINC001166963082 1133295636 /nfs/dbraw/zinc/29/56/36/1133295636.db2.gz BAZZUSAXHRQCCV-UHFFFAOYSA-N 1 2 291.420 3.725 20 0 CHADLO Cc1cccc2c(C)cc(N3CCOC[C@@H]3C(C)C)[nH+]c12 ZINC001166999878 1133297646 /nfs/dbraw/zinc/29/76/46/1133297646.db2.gz HBBHGDNDWJLXAE-MRXNPFEDSA-N 1 2 284.403 3.713 20 0 CHADLO Cc1nocc1C[NH2+]Cc1ccc(Oc2ccccc2)o1 ZINC001203329001 1133314829 /nfs/dbraw/zinc/31/48/29/1133314829.db2.gz ZTUQALYDRUQRDH-UHFFFAOYSA-N 1 2 284.315 3.658 20 0 CHADLO Cc1ccc(Nc2nc(Br)ccc2C)c(C)[nH+]1 ZINC001203368892 1133318293 /nfs/dbraw/zinc/31/82/93/1133318293.db2.gz GNBDLMSJSCPCFQ-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CNc1ccc(Nc2c(C)cc[nH+]c2C(C)C)c(F)n1 ZINC001203356183 1133317224 /nfs/dbraw/zinc/31/72/24/1133317224.db2.gz GWFFPOMHAFFTKA-UHFFFAOYSA-N 1 2 274.343 3.833 20 0 CHADLO CC1CC([N@H+](C)Cc2c(F)c(F)c(F)c(F)c2F)C1 ZINC001203361776 1133317644 /nfs/dbraw/zinc/31/76/44/1133317644.db2.gz SSEPQVWIWNHLTL-UHFFFAOYSA-N 1 2 279.252 3.612 20 0 CHADLO CC1CC([N@@H+](C)Cc2c(F)c(F)c(F)c(F)c2F)C1 ZINC001203361776 1133317648 /nfs/dbraw/zinc/31/76/48/1133317648.db2.gz SSEPQVWIWNHLTL-UHFFFAOYSA-N 1 2 279.252 3.612 20 0 CHADLO Cc1cnc(Br)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203366838 1133318916 /nfs/dbraw/zinc/31/89/16/1133318916.db2.gz FSANJRABPGDEJS-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCC(=O)Nc1cccc(Nc2ccc(C)[nH+]c2C)c1 ZINC001203373138 1133319439 /nfs/dbraw/zinc/31/94/39/1133319439.db2.gz IDJZDESRCDRIEC-UHFFFAOYSA-N 1 2 269.348 3.791 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c(F)cc2N)c(C)[nH+]1 ZINC001203373341 1133320235 /nfs/dbraw/zinc/32/02/35/1133320235.db2.gz KVKQEHPENNVHGJ-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO Cc1ccc(Nc2cnc(-c3ccc(O)cc3)nc2)c(C)[nH+]1 ZINC001203370302 1133320336 /nfs/dbraw/zinc/32/03/36/1133320336.db2.gz ZHDLWIXEORPVFA-UHFFFAOYSA-N 1 2 292.342 3.605 20 0 CHADLO COC(=O)c1csc(C)c1Nc1ccc(C)[nH+]c1C ZINC001203370010 1133320538 /nfs/dbraw/zinc/32/05/38/1133320538.db2.gz FNNKYJDUKJXKFO-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO CNc1ccc(Nc2ccc(C(F)(F)F)cc2)c[nH+]1 ZINC001203454300 1133329380 /nfs/dbraw/zinc/32/93/80/1133329380.db2.gz AUFNQTLWYZGDIL-UHFFFAOYSA-N 1 2 267.254 3.886 20 0 CHADLO CNc1ccc(Nc2c(F)c(F)c(F)c(F)c2F)c[nH+]1 ZINC001203456386 1133329533 /nfs/dbraw/zinc/32/95/33/1133329533.db2.gz DYLXTSHCGQPVNJ-UHFFFAOYSA-N 1 2 289.207 3.562 20 0 CHADLO Cc1ccc2[nH+]c(NCc3nc4cc(F)ccc4o3)[nH]c2c1 ZINC001203478760 1133332510 /nfs/dbraw/zinc/33/25/10/1133332510.db2.gz HWHRANMDMNPGRY-UHFFFAOYSA-N 1 2 296.305 3.764 20 0 CHADLO Cc1cc(NCc2c(Cl)nc3ccccn32)c[nH+]c1C ZINC001203492343 1133333095 /nfs/dbraw/zinc/33/30/95/1133333095.db2.gz VVDPRGWANJXHRE-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccnc2-c2ccccn2)c1 ZINC001203660093 1133349103 /nfs/dbraw/zinc/34/91/03/1133349103.db2.gz BMSWTMFKXFEBIU-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cncc(-c3ccccn3)c2)c1 ZINC001203661564 1133349116 /nfs/dbraw/zinc/34/91/16/1133349116.db2.gz WWQJBKQQIBFCBQ-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1cn(-c2ccc(Nc3cc(C)c[nH+]c3C)cc2)cn1 ZINC001203661287 1133349180 /nfs/dbraw/zinc/34/91/80/1133349180.db2.gz UDMULSPBIVJHKE-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2cc(C)c[nH+]c2C)c1C ZINC001203663133 1133349551 /nfs/dbraw/zinc/34/95/51/1133349551.db2.gz KSQYZYJURKGGFV-UHFFFAOYSA-N 1 2 284.359 3.962 20 0 CHADLO COc1ccccc1-n1nccc1Nc1cc(C)c[nH+]c1C ZINC001203658049 1133350172 /nfs/dbraw/zinc/35/01/72/1133350172.db2.gz VIUWWNJSPPOOLX-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(F)cc(F)cc2F)c1 ZINC001203663086 1133350324 /nfs/dbraw/zinc/35/03/24/1133350324.db2.gz INCFHTINFOQRIB-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(O)c2Br)c1 ZINC001203667482 1133350763 /nfs/dbraw/zinc/35/07/63/1133350763.db2.gz MORLWWCQZRJCCC-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO COC(=O)c1c(F)cc(Nc2cc(C)c[nH+]c2C)cc1F ZINC001203663473 1133350998 /nfs/dbraw/zinc/35/09/98/1133350998.db2.gz LIKNRQYNMGXUCR-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO COC(=O)c1cc(Nc2cc(C)c[nH+]c2C)c(F)cc1F ZINC001203663846 1133351374 /nfs/dbraw/zinc/35/13/74/1133351374.db2.gz WZEHAUWECAQWGR-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCCC(F)(F)C2)c(C)c1 ZINC001203729764 1133360541 /nfs/dbraw/zinc/36/05/41/1133360541.db2.gz SBIGMRCDGBGMRJ-UHFFFAOYSA-N 1 2 253.336 3.925 20 0 CHADLO Cc1ccc(C[N@H+]2CCCCC(F)(F)C2)c(C)c1 ZINC001203729764 1133360544 /nfs/dbraw/zinc/36/05/44/1133360544.db2.gz SBIGMRCDGBGMRJ-UHFFFAOYSA-N 1 2 253.336 3.925 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(F)cc4[nH]ccc43)ccc21 ZINC001203734321 1133361071 /nfs/dbraw/zinc/36/10/71/1133361071.db2.gz TYPKWOWPXSWZBM-UHFFFAOYSA-N 1 2 280.306 3.937 20 0 CHADLO FC(F)(F)c1cccc(C[N@@H+]2CCc3cccnc3C2)c1 ZINC001203887066 1133380234 /nfs/dbraw/zinc/38/02/34/1133380234.db2.gz UFFUTQFZVRJKGE-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc(C[N@H+]2CCc3cccnc3C2)c1 ZINC001203887066 1133380236 /nfs/dbraw/zinc/38/02/36/1133380236.db2.gz UFFUTQFZVRJKGE-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO F[C@H]1C[N@H+](Cc2ccc(Cl)cc2)CCCC1(F)F ZINC001203918697 1133385332 /nfs/dbraw/zinc/38/53/32/1133385332.db2.gz FAGSCIZOZAMWRK-LBPRGKRZSA-N 1 2 277.717 3.909 20 0 CHADLO F[C@H]1C[N@@H+](Cc2ccc(Cl)cc2)CCCC1(F)F ZINC001203918697 1133385335 /nfs/dbraw/zinc/38/53/35/1133385335.db2.gz FAGSCIZOZAMWRK-LBPRGKRZSA-N 1 2 277.717 3.909 20 0 CHADLO c1ccc2cc(C[N@@H+]3Cc4cccnc4C3)ccc2c1 ZINC001203997591 1133396628 /nfs/dbraw/zinc/39/66/28/1133396628.db2.gz BDACZDBCXHQHRZ-UHFFFAOYSA-N 1 2 260.340 3.751 20 0 CHADLO c1ccc2cc(C[N@H+]3Cc4cccnc4C3)ccc2c1 ZINC001203997591 1133396636 /nfs/dbraw/zinc/39/66/36/1133396636.db2.gz BDACZDBCXHQHRZ-UHFFFAOYSA-N 1 2 260.340 3.751 20 0 CHADLO Fc1cc2c(cc1F)C[N@H+](Cc1cccs1)CC2 ZINC001204017649 1133399737 /nfs/dbraw/zinc/39/97/37/1133399737.db2.gz WRUXXYSVUQFSCG-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1cc2c(cc1F)C[N@@H+](Cc1cccs1)CC2 ZINC001204017649 1133399738 /nfs/dbraw/zinc/39/97/38/1133399738.db2.gz WRUXXYSVUQFSCG-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO CC(C)c1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001204166951 1133413846 /nfs/dbraw/zinc/41/38/46/1133413846.db2.gz CDUSCEGQEQCJJW-GJZGRUSLSA-N 1 2 253.336 3.692 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ncc(Cl)cc3C2)cc1 ZINC001204175091 1133415356 /nfs/dbraw/zinc/41/53/56/1133415356.db2.gz NNDVTBBPBCHVPB-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ncc(Cl)cc3C2)cc1 ZINC001204175091 1133415360 /nfs/dbraw/zinc/41/53/60/1133415360.db2.gz NNDVTBBPBCHVPB-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO COc1cc(Nc2c3cc[nH]c3ccc2F)[nH+]cc1C ZINC001204284618 1133428250 /nfs/dbraw/zinc/42/82/50/1133428250.db2.gz SWJRVCMZMRKYPJ-UHFFFAOYSA-N 1 2 271.295 3.763 20 0 CHADLO CCCCCOc1ccc(C[NH+]2CC3(C2)CCCO3)cc1 ZINC001204310883 1133434720 /nfs/dbraw/zinc/43/47/20/1133434720.db2.gz KGNVHQLFEXTAEU-UHFFFAOYSA-N 1 2 289.419 3.620 20 0 CHADLO Fc1cc(C(F)(F)F)ccc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001204466714 1133451534 /nfs/dbraw/zinc/45/15/34/1133451534.db2.gz YHWYUYWKCUHTOS-XHVZSJERSA-N 1 2 291.263 3.634 20 0 CHADLO Fc1cc(C(F)(F)F)ccc1C[N@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001204466714 1133451535 /nfs/dbraw/zinc/45/15/35/1133451535.db2.gz YHWYUYWKCUHTOS-XHVZSJERSA-N 1 2 291.263 3.634 20 0 CHADLO Cc1c(F)cccc1C[N@H+](C)Cc1cnc(Cl)s1 ZINC001204507705 1133454403 /nfs/dbraw/zinc/45/44/03/1133454403.db2.gz ISRSHECSCAZOHD-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1c(F)cccc1C[N@@H+](C)Cc1cnc(Cl)s1 ZINC001204507705 1133454406 /nfs/dbraw/zinc/45/44/06/1133454406.db2.gz ISRSHECSCAZOHD-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1c(F)cccc1C[N@@H+]1Cc2ccc(F)cc2C1 ZINC001204512428 1133456188 /nfs/dbraw/zinc/45/61/88/1133456188.db2.gz IBQSBMQROFBAHK-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO Cc1c(F)cccc1C[N@H+]1Cc2ccc(F)cc2C1 ZINC001204512428 1133456193 /nfs/dbraw/zinc/45/61/93/1133456193.db2.gz IBQSBMQROFBAHK-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cc(F)c(F)c(F)c1)CC2 ZINC001204526772 1133457769 /nfs/dbraw/zinc/45/77/69/1133457769.db2.gz KUNZRGWYSNKXKG-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cc(F)c(F)c(F)c1)CC2 ZINC001204526772 1133457773 /nfs/dbraw/zinc/45/77/73/1133457773.db2.gz KUNZRGWYSNKXKG-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2CC[C@@](C)(CCc3ccccc3)C2)c1C ZINC001204593743 1133465180 /nfs/dbraw/zinc/46/51/80/1133465180.db2.gz ULHIFAORTCWQMX-LJQANCHMSA-N 1 2 297.446 3.871 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CC[C@@](C)(CCc3ccccc3)C2)c1C ZINC001204593743 1133465184 /nfs/dbraw/zinc/46/51/84/1133465184.db2.gz ULHIFAORTCWQMX-LJQANCHMSA-N 1 2 297.446 3.871 20 0 CHADLO Clc1cccc(-c2ccc(C[N@H+]3C[C@H]4C[C@@H](C3)O4)o2)c1 ZINC001204710503 1133477761 /nfs/dbraw/zinc/47/77/61/1133477761.db2.gz JAYLSKGGADEPSJ-GASCZTMLSA-N 1 2 289.762 3.573 20 0 CHADLO Clc1cccc(-c2ccc(C[N@@H+]3C[C@H]4C[C@@H](C3)O4)o2)c1 ZINC001204710503 1133477762 /nfs/dbraw/zinc/47/77/62/1133477762.db2.gz JAYLSKGGADEPSJ-GASCZTMLSA-N 1 2 289.762 3.573 20 0 CHADLO CCC[C@@H](C[N@@H+]1CCCC[C@H]1c1noc(C(C)C)n1)OC ZINC001204850857 1133492854 /nfs/dbraw/zinc/49/28/54/1133492854.db2.gz DKHDBGINRQNVQH-KBPBESRZSA-N 1 2 295.427 3.535 20 0 CHADLO CCC[C@@H](C[N@H+]1CCCC[C@H]1c1noc(C(C)C)n1)OC ZINC001204850857 1133492855 /nfs/dbraw/zinc/49/28/55/1133492855.db2.gz DKHDBGINRQNVQH-KBPBESRZSA-N 1 2 295.427 3.535 20 0 CHADLO Fc1cc(C[NH+]2CC(C(F)F)C2)ccc1C(F)(F)F ZINC001204899567 1133497125 /nfs/dbraw/zinc/49/71/25/1133497125.db2.gz GEYWUCQVCKBMQU-UHFFFAOYSA-N 1 2 283.215 3.541 20 0 CHADLO c1cn2ccc(Nc3cc(Cc4ccccc4)no3)cc2[nH+]1 ZINC001204951503 1133506782 /nfs/dbraw/zinc/50/67/82/1133506782.db2.gz UQVQVZKOOGNMEH-UHFFFAOYSA-N 1 2 290.326 3.657 20 0 CHADLO CC(C)(C)c1cncc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204971989 1133510148 /nfs/dbraw/zinc/51/01/48/1133510148.db2.gz SALSONASMLXPTI-UHFFFAOYSA-N 1 2 266.348 3.770 20 0 CHADLO Fc1ccc(Cl)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204977138 1133511979 /nfs/dbraw/zinc/51/19/79/1133511979.db2.gz CELJWYHJRMDQFU-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO Cc1c(C)c(-c2cccc(-c3c[nH+]cn3C)c2)ccc1CO ZINC001204983612 1133512491 /nfs/dbraw/zinc/51/24/91/1133512491.db2.gz KIYIVMSZRGLZHD-UHFFFAOYSA-N 1 2 292.382 3.863 20 0 CHADLO O=C1CCCCc2cc(Nc3ccn4cc[nH+]c4c3)ccc21 ZINC001204992071 1133514232 /nfs/dbraw/zinc/51/42/32/1133514232.db2.gz ZPZFUWCGTSSDJQ-UHFFFAOYSA-N 1 2 291.354 3.987 20 0 CHADLO COc1cnc2c(cccc2Nc2ccn3cc[nH+]c3c2)c1 ZINC001204997654 1133515620 /nfs/dbraw/zinc/51/56/20/1133515620.db2.gz MCQIBLPKBNQTAP-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO COCOc1ccc(-c2cccc3[nH+]ccn32)cc1Cl ZINC001205030041 1133518647 /nfs/dbraw/zinc/51/86/47/1133518647.db2.gz ZOYQOACVBMZXNX-UHFFFAOYSA-N 1 2 288.734 3.637 20 0 CHADLO Cc1cccc(C[NH+]2CC(Oc3ccccc3F)C2)c1C ZINC001205088344 1133526609 /nfs/dbraw/zinc/52/66/09/1133526609.db2.gz GFZZYXUPUVVGLS-UHFFFAOYSA-N 1 2 285.362 3.706 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cccc3[nH]ccc32)CC1(F)F ZINC001205255310 1133549718 /nfs/dbraw/zinc/54/97/18/1133549718.db2.gz BLOJIOMNXPYRMH-CQSZACIVSA-N 1 2 282.309 3.737 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cccc3[nH]ccc32)CC1(F)F ZINC001205255310 1133549723 /nfs/dbraw/zinc/54/97/23/1133549723.db2.gz BLOJIOMNXPYRMH-CQSZACIVSA-N 1 2 282.309 3.737 20 0 CHADLO c1nnc([C@H]([NH2+]C2CCC3(CCC3)CC2)c2ccccc2)[nH]1 ZINC001205320526 1133559896 /nfs/dbraw/zinc/55/98/96/1133559896.db2.gz IBDXADRCPITPBB-MRXNPFEDSA-N 1 2 296.418 3.597 20 0 CHADLO Cc1ccc2[nH+]cc(CN(C)c3cccc(C)c3C)n2c1 ZINC001205411880 1133574524 /nfs/dbraw/zinc/57/45/24/1133574524.db2.gz QZSMKZHDURPLER-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO Clc1cnc2c(c1)C[N@@H+](Cc1ccc3[nH]ccc3c1)CC2 ZINC001205606411 1133593553 /nfs/dbraw/zinc/59/35/53/1133593553.db2.gz VKOQYKLQXHVAKY-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cnc2c(c1)C[N@H+](Cc1ccc3[nH]ccc3c1)CC2 ZINC001205606411 1133593555 /nfs/dbraw/zinc/59/35/55/1133593555.db2.gz VKOQYKLQXHVAKY-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO CC1(C)C[NH+](Cc2cc(Cl)ccc2F)CC(C)(C)O1 ZINC001206036174 1133646441 /nfs/dbraw/zinc/64/64/41/1133646441.db2.gz VGFPQBZKYHRQND-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO CC(C)O[C@H]1C[C@@H]([NH2+]CC(F)(F)c2ccccc2)C1(C)C ZINC001206128734 1133660976 /nfs/dbraw/zinc/66/09/76/1133660976.db2.gz ZIGJYDNGYOVMMK-CABCVRRESA-N 1 2 297.389 3.960 20 0 CHADLO CCC1C[NH+](Cc2cccc(Br)c2Cl)C1 ZINC001206159995 1133665348 /nfs/dbraw/zinc/66/53/48/1133665348.db2.gz VJBHATMLVCYEPB-UHFFFAOYSA-N 1 2 288.616 3.944 20 0 CHADLO Fc1ccc(-c2nc(C[NH+]3CC4(CCC4)C3)cs2)cc1 ZINC001206187906 1133669114 /nfs/dbraw/zinc/66/91/14/1133669114.db2.gz XUMOQOIXZQQALH-UHFFFAOYSA-N 1 2 288.391 3.935 20 0 CHADLO Cc1cc(CNc2cc(F)cc(N(C)C)c2)cc(C)[nH+]1 ZINC001206485394 1133708675 /nfs/dbraw/zinc/70/86/75/1133708675.db2.gz RFHWBXDOKFCSPC-UHFFFAOYSA-N 1 2 273.355 3.516 20 0 CHADLO Fc1cc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc2n[nH]cc21 ZINC001206609550 1133728106 /nfs/dbraw/zinc/72/81/06/1133728106.db2.gz WKWAZWVCRBCRQP-UHFFFAOYSA-N 1 2 296.280 3.694 20 0 CHADLO CC1(c2ccccc2)C[NH+](Cc2nc3cc(F)ccc3o2)C1 ZINC001206740524 1133739510 /nfs/dbraw/zinc/73/95/10/1133739510.db2.gz AWIPFYRVGRZVDE-UHFFFAOYSA-N 1 2 296.345 3.740 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cc1)Cc1ncccc1F ZINC001207033089 1133762053 /nfs/dbraw/zinc/76/20/53/1133762053.db2.gz PLKIRIKPUJSMSZ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cc1)Cc1ncccc1F ZINC001207033089 1133762057 /nfs/dbraw/zinc/76/20/57/1133762057.db2.gz PLKIRIKPUJSMSZ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ncc3ccccn32)cc1 ZINC001207057291 1133763590 /nfs/dbraw/zinc/76/35/90/1133763590.db2.gz MNWQFWWVNCDEND-UHFFFAOYSA-N 1 2 279.387 3.529 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ncc3ccccn32)cc1 ZINC001207057291 1133763595 /nfs/dbraw/zinc/76/35/95/1133763595.db2.gz MNWQFWWVNCDEND-UHFFFAOYSA-N 1 2 279.387 3.529 20 0 CHADLO CC1(F)CC[NH+](Cc2c(F)cccc2OC(F)F)CC1 ZINC001207111747 1133768627 /nfs/dbraw/zinc/76/86/27/1133768627.db2.gz PAPKVJQQMIAHFO-UHFFFAOYSA-N 1 2 291.288 3.751 20 0 CHADLO O=C1CC[N@@H+](Cc2cccc(C(F)F)c2)[C@H]2CCCC[C@H]12 ZINC001207127138 1133770971 /nfs/dbraw/zinc/77/09/71/1133770971.db2.gz YKMNFCIJMNHZIG-GJZGRUSLSA-N 1 2 293.357 3.958 20 0 CHADLO O=C1CC[N@H+](Cc2cccc(C(F)F)c2)[C@H]2CCCC[C@H]12 ZINC001207127138 1133770975 /nfs/dbraw/zinc/77/09/75/1133770975.db2.gz YKMNFCIJMNHZIG-GJZGRUSLSA-N 1 2 293.357 3.958 20 0 CHADLO CC[N@H+](Cc1c(C)noc1C)Cc1cccc(F)c1F ZINC001207139521 1133771375 /nfs/dbraw/zinc/77/13/75/1133771375.db2.gz UTIVFDSVEHEHGJ-UHFFFAOYSA-N 1 2 280.318 3.592 20 0 CHADLO CC[N@@H+](Cc1c(C)noc1C)Cc1cccc(F)c1F ZINC001207139521 1133771378 /nfs/dbraw/zinc/77/13/78/1133771378.db2.gz UTIVFDSVEHEHGJ-UHFFFAOYSA-N 1 2 280.318 3.592 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1cncc(C)c1 ZINC001207160806 1133773470 /nfs/dbraw/zinc/77/34/70/1133773470.db2.gz DSZHPGTUMFHXOF-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1cncc(C)c1 ZINC001207160806 1133773472 /nfs/dbraw/zinc/77/34/72/1133773472.db2.gz DSZHPGTUMFHXOF-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CC[N@H+](Cc1cncc(C)c1)Cc1cccc(F)c1F ZINC001207161420 1133773890 /nfs/dbraw/zinc/77/38/90/1133773890.db2.gz HKPUXIWUIQOGAL-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1cncc(C)c1)Cc1cccc(F)c1F ZINC001207161420 1133773897 /nfs/dbraw/zinc/77/38/97/1133773897.db2.gz HKPUXIWUIQOGAL-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO FC1(C2CC2)C[NH+](CCCc2cccc(Cl)c2)C1 ZINC001207584484 1133822174 /nfs/dbraw/zinc/82/21/74/1133822174.db2.gz XRROQQJIYNTGBJ-UHFFFAOYSA-N 1 2 267.775 3.707 20 0 CHADLO C[C@@H]1CC[N@H+](CCCc2cccc(F)c2)CC1(F)F ZINC001207599478 1133826010 /nfs/dbraw/zinc/82/60/10/1133826010.db2.gz PXUKRMSBYNLLDL-GFCCVEGCSA-N 1 2 271.326 3.735 20 0 CHADLO C[C@@H]1CC[N@@H+](CCCc2cccc(F)c2)CC1(F)F ZINC001207599478 1133826018 /nfs/dbraw/zinc/82/60/18/1133826018.db2.gz PXUKRMSBYNLLDL-GFCCVEGCSA-N 1 2 271.326 3.735 20 0 CHADLO Fc1cccc(CCC[N@@H+]2CCCCC(F)(F)C2)c1 ZINC001207599812 1133826586 /nfs/dbraw/zinc/82/65/86/1133826586.db2.gz YRIJOKXWQASMAR-UHFFFAOYSA-N 1 2 271.326 3.880 20 0 CHADLO Fc1cccc(CCC[N@H+]2CCCCC(F)(F)C2)c1 ZINC001207599812 1133826594 /nfs/dbraw/zinc/82/65/94/1133826594.db2.gz YRIJOKXWQASMAR-UHFFFAOYSA-N 1 2 271.326 3.880 20 0 CHADLO FC[C@@H]1CC[N@H+](CCCC2CCCC2)CC1(F)F ZINC001208087477 1133875224 /nfs/dbraw/zinc/87/52/24/1133875224.db2.gz LBVBDNSFYGZNLJ-ZDUSSCGKSA-N 1 2 263.347 3.884 20 0 CHADLO FC[C@@H]1CC[N@@H+](CCCC2CCCC2)CC1(F)F ZINC001208087477 1133875232 /nfs/dbraw/zinc/87/52/32/1133875232.db2.gz LBVBDNSFYGZNLJ-ZDUSSCGKSA-N 1 2 263.347 3.884 20 0 CHADLO Cc1nc2c(s1)C[N@H+](C[C@H]1CCC(C)=C[C@H]1C)C2 ZINC001208168731 1133895724 /nfs/dbraw/zinc/89/57/24/1133895724.db2.gz LEQKITOCOYTJRR-DGCLKSJQSA-N 1 2 262.422 3.760 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](C[C@H]1CCC(C)=C[C@H]1C)C2 ZINC001208168731 1133895717 /nfs/dbraw/zinc/89/57/17/1133895717.db2.gz LEQKITOCOYTJRR-DGCLKSJQSA-N 1 2 262.422 3.760 20 0 CHADLO CC/C=C\CCCCC[N@@H+]1CCCC(=O)[C@@H](F)C1 ZINC001208192048 1133904634 /nfs/dbraw/zinc/90/46/34/1133904634.db2.gz YVBXSYHCKAJBED-NQHOJNORSA-N 1 2 255.377 3.516 20 0 CHADLO CC/C=C\CCCCC[N@H+]1CCCC(=O)[C@@H](F)C1 ZINC001208192048 1133904637 /nfs/dbraw/zinc/90/46/37/1133904637.db2.gz YVBXSYHCKAJBED-NQHOJNORSA-N 1 2 255.377 3.516 20 0 CHADLO C[C@H](C[N@H+]1C[C@@H](F)[C@@H](F)C1)c1cccc2ccccc21 ZINC001208706633 1133933598 /nfs/dbraw/zinc/93/35/98/1133933598.db2.gz QHVMHEDQUBPFQK-JLZZUVOBSA-N 1 2 275.342 3.935 20 0 CHADLO C[C@H](C[N@@H+]1C[C@@H](F)[C@@H](F)C1)c1cccc2ccccc21 ZINC001208706633 1133933602 /nfs/dbraw/zinc/93/36/02/1133933602.db2.gz QHVMHEDQUBPFQK-JLZZUVOBSA-N 1 2 275.342 3.935 20 0 CHADLO COc1cccc2c1C[N@H+](CCc1cc(F)cc(F)c1)C2 ZINC001209134105 1133980209 /nfs/dbraw/zinc/98/02/09/1133980209.db2.gz ZDVWHZURCDKYDT-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc2c1C[N@@H+](CCc1cc(F)cc(F)c1)C2 ZINC001209134105 1133980214 /nfs/dbraw/zinc/98/02/14/1133980214.db2.gz ZDVWHZURCDKYDT-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc(OCC)c(Cl)c1 ZINC000090204726 1133987927 /nfs/dbraw/zinc/98/79/27/1133987927.db2.gz PGVALMDHTLTXOC-UHFFFAOYSA-N 1 2 293.798 3.957 20 0 CHADLO Cc1cccc2c(C[N@@H+]3CCC(F)(F)[C@@H](F)C3)c[nH]c21 ZINC001209482663 1134026126 /nfs/dbraw/zinc/02/61/26/1134026126.db2.gz PGAIXXVAQSKALV-ZDUSSCGKSA-N 1 2 282.309 3.655 20 0 CHADLO Cc1cccc2c(C[N@H+]3CCC(F)(F)[C@@H](F)C3)c[nH]c21 ZINC001209482663 1134026130 /nfs/dbraw/zinc/02/61/30/1134026130.db2.gz PGAIXXVAQSKALV-ZDUSSCGKSA-N 1 2 282.309 3.655 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccc3cc[nH]c3c1)C2 ZINC001209512528 1134032200 /nfs/dbraw/zinc/03/22/00/1134032200.db2.gz KFPLKSFURHLVNP-UHFFFAOYSA-N 1 2 266.319 3.823 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccc3cc[nH]c3c1)C2 ZINC001209512528 1134032204 /nfs/dbraw/zinc/03/22/04/1134032204.db2.gz KFPLKSFURHLVNP-UHFFFAOYSA-N 1 2 266.319 3.823 20 0 CHADLO Clc1c[nH]c2cccc(C[NH+]3CC4(C3)CCCCO4)c12 ZINC001209656417 1134047172 /nfs/dbraw/zinc/04/71/72/1134047172.db2.gz GNWDWZZFHUIYMA-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO C[N@H+](Cc1n[nH]c2ccc(Cl)cc21)Cc1ccccc1 ZINC001209751664 1134058031 /nfs/dbraw/zinc/05/80/31/1134058031.db2.gz UUFVWQCSCSYDGQ-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO C[N@@H+](Cc1n[nH]c2ccc(Cl)cc21)Cc1ccccc1 ZINC001209751664 1134058038 /nfs/dbraw/zinc/05/80/38/1134058038.db2.gz UUFVWQCSCSYDGQ-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO COc1ccc(C)c(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001209840424 1134077601 /nfs/dbraw/zinc/07/76/01/1134077601.db2.gz NTTHSDIDCZGGOZ-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO Cc1cnc(Nc2ccc3[nH]c[nH+]c3c2)c(Cl)c1 ZINC001209844427 1134078840 /nfs/dbraw/zinc/07/88/40/1134078840.db2.gz MWFVIVHPYSVOIY-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO CO[C@@H](C)c1cccc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001209950228 1134108254 /nfs/dbraw/zinc/10/82/54/1134108254.db2.gz XCQAUBGIGFKWJP-LBPRGKRZSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1cc(Nc2ccccc2-n2cc[nH+]c2)ccc1O ZINC001209976812 1134114466 /nfs/dbraw/zinc/11/44/66/1134114466.db2.gz UUTXWMDWMWTKTJ-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CC(C)Oc1ccc(Nc2[nH+]cccc2N(C)C)cc1F ZINC001209995982 1134119024 /nfs/dbraw/zinc/11/90/24/1134119024.db2.gz MEVNGYOHDMXHTN-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO Oc1cc(F)ccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001210013798 1134122394 /nfs/dbraw/zinc/12/23/94/1134122394.db2.gz CKSWLOOGIWUGEB-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Cc1cccc(Nc2[nH+]c3ccccc3n2C)c1F ZINC001210034887 1134128875 /nfs/dbraw/zinc/12/88/75/1134128875.db2.gz HHXMFJSFRIVQNK-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO Cc1cc(Nc2cccc([C@H](C)O)c2)ccc1[NH+](C)C ZINC001210041147 1134131419 /nfs/dbraw/zinc/13/14/19/1134131419.db2.gz JJHXMJJKVVUDAT-ZDUSSCGKSA-N 1 2 270.376 3.858 20 0 CHADLO Cc1cc(Nc2cccc3c2CCO3)ccc1[NH+](C)C ZINC001210043383 1134132418 /nfs/dbraw/zinc/13/24/18/1134132418.db2.gz MEXMOZJTPIKFAM-UHFFFAOYSA-N 1 2 268.360 3.740 20 0 CHADLO Cc1cc(Nc2ccc3c(cc[nH]c3=O)c2)ccc1[NH+](C)C ZINC001210043670 1134132850 /nfs/dbraw/zinc/13/28/50/1134132850.db2.gz QBXBTGPADNNEQA-UHFFFAOYSA-N 1 2 293.370 3.646 20 0 CHADLO CCc1ccc(N)c(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210043876 1134133118 /nfs/dbraw/zinc/13/31/18/1134133118.db2.gz LGLNVMDMPNZDFO-UHFFFAOYSA-N 1 2 269.392 3.949 20 0 CHADLO Cc1cc(Nc2ccc3c(c2C)OCCO3)ccc1[NH+](C)C ZINC001210044971 1134133320 /nfs/dbraw/zinc/13/33/20/1134133320.db2.gz SHNAADOAIMRQQT-UHFFFAOYSA-N 1 2 298.386 3.884 20 0 CHADLO Cc1cc(Nc2cc(CO)ccc2Cl)ccc1[NH+](C)C ZINC001210045499 1134134077 /nfs/dbraw/zinc/13/40/77/1134134077.db2.gz VDECADCEOOMPMB-UHFFFAOYSA-N 1 2 290.794 3.950 20 0 CHADLO c1cnc2snc(Nc3ccc4c[nH+]ccc4c3)c2c1 ZINC001210046727 1134134092 /nfs/dbraw/zinc/13/40/92/1134134092.db2.gz PCZJAYBQTNBKLB-UHFFFAOYSA-N 1 2 278.340 3.983 20 0 CHADLO c1c(Nc2ccc3c[nH+]ccc3c2)nn2ccccc12 ZINC001210047108 1134134979 /nfs/dbraw/zinc/13/49/79/1134134979.db2.gz KVWBVIYWBUJKCU-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Nc1ccc(N(c2ccc3c[nH+]ccc3c2)C2CC2)nc1 ZINC001210047357 1134135370 /nfs/dbraw/zinc/13/53/70/1134135370.db2.gz ZRTGQVNJVMOJEP-UHFFFAOYSA-N 1 2 276.343 3.513 20 0 CHADLO Cc1c(F)cc(Nc2cccn3cc[nH+]c23)cc1F ZINC001210106935 1134149478 /nfs/dbraw/zinc/14/94/78/1134149478.db2.gz WOJUPKBHBWPWCD-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO Cn1nc(C2CC2)cc1Nc1cccc2cc[nH+]cc21 ZINC001210222495 1134170071 /nfs/dbraw/zinc/17/00/71/1134170071.db2.gz KIHXMQLQGISBAY-UHFFFAOYSA-N 1 2 264.332 3.589 20 0 CHADLO Oc1c(Cl)cccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001210220675 1134170648 /nfs/dbraw/zinc/17/06/48/1134170648.db2.gz WMSKIVNARSIUKA-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Cc1ccnc(F)c1Nc1cccc2cc[nH+]cc21 ZINC001210224465 1134172539 /nfs/dbraw/zinc/17/25/39/1134172539.db2.gz NUDIBBYRINCECX-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Nc1ccc(N(c2cccc3cc[nH+]cc32)C2CCC2)nc1 ZINC001210225064 1134172704 /nfs/dbraw/zinc/17/27/04/1134172704.db2.gz CFVDMWOKCPFFAY-UHFFFAOYSA-N 1 2 290.370 3.903 20 0 CHADLO c1cc(Nc2cccc3cc[nH+]cc32)n(C2CCC2)n1 ZINC001210226458 1134172765 /nfs/dbraw/zinc/17/27/65/1134172765.db2.gz WYRJDZARYJXJNK-UHFFFAOYSA-N 1 2 264.332 3.900 20 0 CHADLO COC(=O)c1ccc(F)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210232819 1134174973 /nfs/dbraw/zinc/17/49/73/1134174973.db2.gz VXPFOCFAZXXYCD-UHFFFAOYSA-N 1 2 296.301 3.904 20 0 CHADLO Cc1[nH+]cc(Nc2c(Cl)ncc3ccccc32)cc1N ZINC001210287367 1134185418 /nfs/dbraw/zinc/18/54/18/1134185418.db2.gz RNMNYOWSZBGVMJ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)ccc2OCC(C)C)cc1N ZINC001210290746 1134186370 /nfs/dbraw/zinc/18/63/70/1134186370.db2.gz FLQDXBUJVPWXNM-UHFFFAOYSA-N 1 2 289.354 3.890 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3cnccc3c2Cl)cc1N ZINC001210289313 1134186433 /nfs/dbraw/zinc/18/64/33/1134186433.db2.gz FWDYPEZETQUWCV-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO CCc1cc(Cl)ccc1Nc1c[nH+]c(C)c(N)c1 ZINC001210289626 1134186454 /nfs/dbraw/zinc/18/64/54/1134186454.db2.gz VNAHFCHFKLGXCG-UHFFFAOYSA-N 1 2 261.756 3.932 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2-c2ccccn2)cc1N ZINC001210288476 1134186888 /nfs/dbraw/zinc/18/68/88/1134186888.db2.gz QKBUXQULSAUJRZ-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(F)c2OC(C)C)cc1N ZINC001210291649 1134187504 /nfs/dbraw/zinc/18/75/04/1134187504.db2.gz PESIBPULPHMQQR-UHFFFAOYSA-N 1 2 275.327 3.642 20 0 CHADLO C[C@H](O)c1cccc(Nc2cc(OC(F)(F)F)cc[nH+]2)c1 ZINC001210508892 1134234981 /nfs/dbraw/zinc/23/49/81/1134234981.db2.gz QFAZIDOXYDECKF-VIFPVBQESA-N 1 2 298.264 3.777 20 0 CHADLO c1cn(Cc2cccc(Nc3ccc4ocnc4c3)c2)c[nH+]1 ZINC001210573969 1134253447 /nfs/dbraw/zinc/25/34/47/1134253447.db2.gz UTAZTKREOOFYLH-UHFFFAOYSA-N 1 2 290.326 3.816 20 0 CHADLO Cc1oncc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001210579995 1134255119 /nfs/dbraw/zinc/25/51/19/1134255119.db2.gz IAWMOYLNOSTIGR-UHFFFAOYSA-N 1 2 257.337 3.717 20 0 CHADLO Cc1cc(C)c(Nc2ccc(C(C)(C)O)cc2)c[nH+]1 ZINC001210617077 1134261537 /nfs/dbraw/zinc/26/15/37/1134261537.db2.gz OCGLIKAKUZIFCT-UHFFFAOYSA-N 1 2 256.349 3.669 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc([C@H](C)O)cc1 ZINC001210645153 1134267623 /nfs/dbraw/zinc/26/76/23/1134267623.db2.gz DXEPKBNZRYMGOO-LBPRGKRZSA-N 1 2 256.349 3.749 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccnc(OC2CC2)c1 ZINC001210747246 1134288745 /nfs/dbraw/zinc/28/87/45/1134288745.db2.gz XZNSKXSDGPXGTN-UHFFFAOYSA-N 1 2 269.348 3.632 20 0 CHADLO COc1cc(Nc2cc3ccccc3cn2)cc(C)[nH+]1 ZINC001210773786 1134293034 /nfs/dbraw/zinc/29/30/34/1134293034.db2.gz FKOREIWTMIKKAW-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1cc(Nc2ccc(Cl)s2)cc(C)[nH+]1 ZINC001210779730 1134295502 /nfs/dbraw/zinc/29/55/02/1134295502.db2.gz XVNISUHLSRPPHC-UHFFFAOYSA-N 1 2 254.742 3.857 20 0 CHADLO COc1cc(Nc2cc(C(C)(C)C)ns2)cc(C)[nH+]1 ZINC001210779245 1134295697 /nfs/dbraw/zinc/29/56/97/1134295697.db2.gz IKOZJIDSWVHUBA-UHFFFAOYSA-N 1 2 277.393 3.896 20 0 CHADLO COc1cc(Nc2cccnc2C2CCC2)cc(C)[nH+]1 ZINC001210780565 1134295968 /nfs/dbraw/zinc/29/59/68/1134295968.db2.gz UQEQKAPCLWRTKJ-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO COc1cc(Nc2cnc3cccc(OC)c3c2)cc(C)[nH+]1 ZINC001210779553 1134296069 /nfs/dbraw/zinc/29/60/69/1134296069.db2.gz RGMOIOJHZOPYGM-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO c1c[nH+]c(Nc2cccc(OC3CC3)c2)c(N2CCCC2)c1 ZINC001210860942 1134316235 /nfs/dbraw/zinc/31/62/35/1134316235.db2.gz ITSXZMGTNFUDBM-UHFFFAOYSA-N 1 2 295.386 3.967 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc2c(c1)C(=O)CCC2 ZINC001210931618 1134331386 /nfs/dbraw/zinc/33/13/86/1134331386.db2.gz UPDYXPIVTFXPLZ-UHFFFAOYSA-N 1 2 266.344 3.907 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1c[nH]c2ncccc12 ZINC001210938173 1134332489 /nfs/dbraw/zinc/33/24/89/1134332489.db2.gz DLLZEZNMYIDWAO-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1c[nH+]c(Nc2cncc(-c3ccccc3)c2)c(N)c1 ZINC001211022328 1134351478 /nfs/dbraw/zinc/35/14/78/1134351478.db2.gz SRDQNMPPEAVVTL-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO COc1ncc(Nc2cc[nH+]c(SC)c2)cc1Cl ZINC001211047717 1134355745 /nfs/dbraw/zinc/35/57/45/1134355745.db2.gz VSULPGSZWFGKIV-UHFFFAOYSA-N 1 2 281.768 3.604 20 0 CHADLO Fc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(F)c(F)n1 ZINC001213086864 1134424857 /nfs/dbraw/zinc/42/48/57/1134424857.db2.gz DMEKOJHGRIATBA-UHFFFAOYSA-N 1 2 290.248 3.633 20 0 CHADLO Oc1c(F)cccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089948 1134425630 /nfs/dbraw/zinc/42/56/30/1134425630.db2.gz MJUJGOIYHYHAQU-UHFFFAOYSA-N 1 2 269.279 3.665 20 0 CHADLO Nc1ccc(F)c(F)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089084 1134425650 /nfs/dbraw/zinc/42/56/50/1134425650.db2.gz SWVSHJLUERVAOI-UHFFFAOYSA-N 1 2 286.285 3.681 20 0 CHADLO Cc1cn2cccc(Nc3ccc4c(c3)CCCC4=O)c2[nH+]1 ZINC001213101425 1134427030 /nfs/dbraw/zinc/42/70/30/1134427030.db2.gz ZDRXQJQXIACUCH-UHFFFAOYSA-N 1 2 291.354 3.905 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(F)c(Cl)c1F ZINC001213205482 1134435074 /nfs/dbraw/zinc/43/50/74/1134435074.db2.gz ILNFMKIHCHFHLY-UHFFFAOYSA-N 1 2 293.704 3.848 20 0 CHADLO CN(C)c1ccc(Nc2ccc(OCC(F)F)cc2)c[nH+]1 ZINC001213299108 1134440138 /nfs/dbraw/zinc/44/01/38/1134440138.db2.gz RORGMOHGHAJZQF-UHFFFAOYSA-N 1 2 293.317 3.535 20 0 CHADLO Cc1c[nH+]cc(Nc2cncc(Br)c2)c1C ZINC001213522743 1134460193 /nfs/dbraw/zinc/46/01/93/1134460193.db2.gz FSJQHRNELVWXAJ-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(C3CC3)cc2F)c1 ZINC001213457112 1134450387 /nfs/dbraw/zinc/45/03/87/1134450387.db2.gz LKUKOSKYPLYTSQ-UHFFFAOYSA-N 1 2 258.296 3.850 20 0 CHADLO Cc1cc(C)c(Nc2cccc(Br)n2)c[nH+]1 ZINC001213503691 1134453022 /nfs/dbraw/zinc/45/30/22/1134453022.db2.gz SQCITVFHUDLFJW-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnn(CC(F)(F)F)c1 ZINC001213490097 1134453518 /nfs/dbraw/zinc/45/35/18/1134453518.db2.gz ZGOOZLBFNYNQBE-UHFFFAOYSA-N 1 2 296.296 3.770 20 0 CHADLO Cc1cc(Nc2cc(C3CC3)c[nH+]c2C)n(CC2CC2)n1 ZINC001213497284 1134455132 /nfs/dbraw/zinc/45/51/32/1134455132.db2.gz MADFLPFUJOEXRB-UHFFFAOYSA-N 1 2 282.391 3.926 20 0 CHADLO COC(=O)Cc1ccccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213496907 1134455139 /nfs/dbraw/zinc/45/51/39/1134455139.db2.gz DENWTWSFZIGEQA-UHFFFAOYSA-N 1 2 296.370 3.727 20 0 CHADLO Cc1cnn(CC2CC2)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213497068 1134455151 /nfs/dbraw/zinc/45/51/51/1134455151.db2.gz GSXGBFVKDRIBMR-UHFFFAOYSA-N 1 2 282.391 3.926 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnn2cccc(F)c12 ZINC001213497636 1134455360 /nfs/dbraw/zinc/45/53/60/1134455360.db2.gz ZHIKPCMPMIGQHZ-UHFFFAOYSA-N 1 2 282.322 3.798 20 0 CHADLO COc1ccc(O)c(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213499144 1134455652 /nfs/dbraw/zinc/45/56/52/1134455652.db2.gz DSSRVPOYXIAXAB-UHFFFAOYSA-N 1 2 270.332 3.725 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cn(C2CCC2)cn1 ZINC001213497474 1134455681 /nfs/dbraw/zinc/45/56/81/1134455681.db2.gz QRIXPNQNCRTCLU-UHFFFAOYSA-N 1 2 268.364 3.933 20 0 CHADLO COc1ccc(CO)c(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213500909 1134456500 /nfs/dbraw/zinc/45/65/00/1134456500.db2.gz CAOAQDBCQMKPFP-UHFFFAOYSA-N 1 2 284.359 3.512 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)cc2c1NC(=O)C2 ZINC001213501265 1134456765 /nfs/dbraw/zinc/45/67/65/1134456765.db2.gz PSIVABQFMXMOJT-UHFFFAOYSA-N 1 2 297.333 3.645 20 0 CHADLO Cc1cc(C)c(Nc2cc(Br)cnc2C)c[nH+]1 ZINC001213505989 1134457430 /nfs/dbraw/zinc/45/74/30/1134457430.db2.gz XQPBXPSLHLPTSB-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2cc(-c3ccccc3O)no2)c[nH+]1 ZINC001213511064 1134457441 /nfs/dbraw/zinc/45/74/41/1134457441.db2.gz UPDVASHXESTOKS-UHFFFAOYSA-N 1 2 281.315 3.803 20 0 CHADLO Cc1cc(C)c(Nc2cnc(C)c(Br)c2)c[nH+]1 ZINC001213505642 1134457775 /nfs/dbraw/zinc/45/77/75/1134457775.db2.gz LWXABFRUBDTPLT-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2ccnn2Cc2cccc(F)c2)c[nH+]1 ZINC001213508304 1134457868 /nfs/dbraw/zinc/45/78/68/1134457868.db2.gz PEVKPLLMDZXJPJ-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO Cc1cc(C)c(Nc2cnc(Cl)c(F)c2)c[nH+]1 ZINC001213507983 1134457996 /nfs/dbraw/zinc/45/79/96/1134457996.db2.gz ISNDWLHSSCBGTF-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1cc(C)c(Nc2ncc(C(F)(F)F)cc2F)c[nH+]1 ZINC001213505785 1134458087 /nfs/dbraw/zinc/45/80/87/1134458087.db2.gz PMIGFNILDLDWBY-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO COc1ccccc1-n1nccc1Nc1c[nH+]c(C)cc1C ZINC001213510387 1134458110 /nfs/dbraw/zinc/45/81/10/1134458110.db2.gz ALYSCTJJFCHQOQ-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO CCC(=O)Nc1cccc(Nc2c[nH+]c(C)cc2C)c1 ZINC001213513374 1134458220 /nfs/dbraw/zinc/45/82/20/1134458220.db2.gz GKOKJLDKGYCAEL-UHFFFAOYSA-N 1 2 269.348 3.791 20 0 CHADLO CC(=O)c1cc(Nc2c[nH+]c(C)cc2C)ccc1F ZINC001213515731 1134459321 /nfs/dbraw/zinc/45/93/21/1134459321.db2.gz HMMGRYTWPVIFRV-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1nc2cc(Nc3c[nH+]c(C)cc3C)ccc2o1 ZINC001213515866 1134459458 /nfs/dbraw/zinc/45/94/58/1134459458.db2.gz PXMRQFDYTMNEHX-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO Cc1cn(-c2c[nH+]c(C)cc2C)c2cccc(N)c12 ZINC001213521143 1134459938 /nfs/dbraw/zinc/45/99/38/1134459938.db2.gz WAKRJMUBTJRVBX-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO CNc1ccnc(Cl)c1Nc1c[nH+]cc(C)c1C ZINC001213524851 1134460004 /nfs/dbraw/zinc/46/00/04/1134460004.db2.gz HGJIWQAFMRWGBB-UHFFFAOYSA-N 1 2 262.744 3.532 20 0 CHADLO Cc1c[nH+]cc(Nc2snnc2-c2ccccc2)c1C ZINC001213524857 1134460086 /nfs/dbraw/zinc/46/00/86/1134460086.db2.gz HJTHOYVRGRNTNN-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO Cc1c[nH+]cc(Nc2nc(Cl)cnc2Cl)c1C ZINC001213527392 1134460216 /nfs/dbraw/zinc/46/02/16/1134460216.db2.gz IZWQHJPEQOPEFU-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnn2-c2ccccc2)c1C ZINC001213524704 1134460325 /nfs/dbraw/zinc/46/03/25/1134460325.db2.gz FBDVJGKQYKAAOT-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO COC(=O)c1cc(C)sc1Nc1c[nH+]cc(C)c1C ZINC001213522591 1134460603 /nfs/dbraw/zinc/46/06/03/1134460603.db2.gz CYWGDAQKOGLJCY-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(F)c(F)c2F)c1C ZINC001213530470 1134461349 /nfs/dbraw/zinc/46/13/49/1134461349.db2.gz CBYKIUVMLPWHIS-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO CC(=O)c1c(F)cc(F)cc1Nc1c[nH+]cc(C)c1C ZINC001213533903 1134461737 /nfs/dbraw/zinc/46/17/37/1134461737.db2.gz BKIVXNSXSAXLBZ-UHFFFAOYSA-N 1 2 276.286 3.923 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Br)ccc2O)c1C ZINC001213530876 1134461880 /nfs/dbraw/zinc/46/18/80/1134461880.db2.gz PHHBIHMIRMVLRR-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO COCCOc1c(F)cccc1Nc1c[nH+]cc(C)c1C ZINC001213534036 1134463128 /nfs/dbraw/zinc/46/31/28/1134463128.db2.gz JBSOSXIOXBUUCD-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO CCN(C)c1ccc(Nc2ccccc2N2CCCC2)c[nH+]1 ZINC001213605498 1134467407 /nfs/dbraw/zinc/46/74/07/1134467407.db2.gz AFWLAPRIQUQVID-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CSc1cc(Nc2ccc(Cl)cc2N)cc[nH+]1 ZINC001213650626 1134473601 /nfs/dbraw/zinc/47/36/01/1134473601.db2.gz LIWNIDLUQUWQRM-UHFFFAOYSA-N 1 2 265.769 3.783 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnccc1C1CC1 ZINC001213875008 1134494097 /nfs/dbraw/zinc/49/40/97/1134494097.db2.gz TXSIOPXPZDCFKE-UHFFFAOYSA-N 1 2 253.349 3.968 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2N2CCCCC2)c(N)c1 ZINC001214182230 1134518259 /nfs/dbraw/zinc/51/82/59/1134518259.db2.gz IADMXSCEKWZGAS-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO COCOc1ccc(C)cc1Nc1cccn2cc(C)[nH+]c12 ZINC001214236338 1134524712 /nfs/dbraw/zinc/52/47/12/1134524712.db2.gz VHGMNWMWXNOYHS-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO Cc1ccc(O)cc1Nc1ccccc1-n1cc[nH+]c1 ZINC001214345328 1134531614 /nfs/dbraw/zinc/53/16/14/1134531614.db2.gz JFHJUNLAVRGQOG-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(OCOC)ccc1C ZINC001214363604 1134534064 /nfs/dbraw/zinc/53/40/64/1134534064.db2.gz NQTWMJJNBQYLQY-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO Oc1ccc(Cl)c(Nc2ccn3cc[nH+]c3c2)c1F ZINC001214559656 1134551505 /nfs/dbraw/zinc/55/15/05/1134551505.db2.gz RYHUBQCCRZQLLK-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)c(C)ccc1OC ZINC001214624777 1134558817 /nfs/dbraw/zinc/55/88/17/1134558817.db2.gz GSNYHLRVUYDOAQ-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO COCOc1c(C)cccc1Nc1[nH+]cc(C)cc1C ZINC001214846606 1134576403 /nfs/dbraw/zinc/57/64/03/1134576403.db2.gz IZRWKHDCBLQZSU-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO CC(=O)c1ccc(Nc2cc(C)cc(C)[nH+]2)c(F)c1F ZINC001214976987 1134590192 /nfs/dbraw/zinc/59/01/92/1134590192.db2.gz SEWSOJRFAYTTOO-UHFFFAOYSA-N 1 2 276.286 3.923 20 0 CHADLO COCOc1cc(C)c(Nc2ccn3cc[nH+]c3c2)c(C)c1 ZINC001215163981 1134603200 /nfs/dbraw/zinc/60/32/00/1134603200.db2.gz TXWCUNOYCZGBGI-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO Cc1c(C)c(Nc2ccc(-n3cc[nH+]c3)cc2)ccc1CO ZINC001215240705 1134609251 /nfs/dbraw/zinc/60/92/51/1134609251.db2.gz LDRTZFMBAXTRHZ-UHFFFAOYSA-N 1 2 293.370 3.725 20 0 CHADLO CSc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(C)c1 ZINC001215471210 1134630870 /nfs/dbraw/zinc/63/08/70/1134630870.db2.gz KRTCIOOJFJHKNI-UHFFFAOYSA-N 1 2 273.405 3.922 20 0 CHADLO COCc1cc(C)c(Nc2ccc(C)c[nH+]2)c(C)c1 ZINC001215528464 1134637916 /nfs/dbraw/zinc/63/79/16/1134637916.db2.gz MDLNYISZDHRFRG-UHFFFAOYSA-N 1 2 256.349 3.897 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc2n[nH]cc2c(F)c1 ZINC001215555178 1134641216 /nfs/dbraw/zinc/64/12/16/1134641216.db2.gz POFXNTJYBIEVKA-UHFFFAOYSA-N 1 2 270.311 3.711 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc2[nH]ncc2c(F)c1 ZINC001215555178 1134641217 /nfs/dbraw/zinc/64/12/17/1134641217.db2.gz POFXNTJYBIEVKA-UHFFFAOYSA-N 1 2 270.311 3.711 20 0 CHADLO CCOc1ccc(Nc2cc[nH+]c(SC)c2)c(C)n1 ZINC001215578095 1134646612 /nfs/dbraw/zinc/64/66/12/1134646612.db2.gz NNQBYFDYOMCWKG-UHFFFAOYSA-N 1 2 275.377 3.649 20 0 CHADLO COc1cc(Nc2cccc3[nH+]c(C)cn32)cc(C)c1F ZINC001215700952 1134661563 /nfs/dbraw/zinc/66/15/63/1134661563.db2.gz SCOZBZYOWAFAPH-UHFFFAOYSA-N 1 2 285.322 3.842 20 0 CHADLO Cc1ccc(F)c(Nc2ccc[nH+]c2N2CCCC2)c1O ZINC001215736365 1134669833 /nfs/dbraw/zinc/66/98/33/1134669833.db2.gz YGRVOOVYPVCFBP-UHFFFAOYSA-N 1 2 287.338 3.579 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c2c[nH]nc21 ZINC001215755813 1134674457 /nfs/dbraw/zinc/67/44/57/1134674457.db2.gz CWGRJSSRDWTKME-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1cc(C)c(Nc2ccnc(OC(C)C)c2)c[nH+]1 ZINC001215766151 1134680147 /nfs/dbraw/zinc/68/01/47/1134680147.db2.gz DWHIMLQODQVWAP-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO Cc1cc(OC(C)C)ncc1Nc1cccn2cc[nH+]c12 ZINC001215770947 1134682304 /nfs/dbraw/zinc/68/23/04/1134682304.db2.gz QTEQBIGUTDXCOF-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO COc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1C ZINC001215799480 1134689914 /nfs/dbraw/zinc/68/99/14/1134689914.db2.gz BSHNDJGPWLEMFP-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO CSc1ncc(Nc2[nH+]cc(C)cc2C)cc1C ZINC001215827470 1134696384 /nfs/dbraw/zinc/69/63/84/1134696384.db2.gz XNQHNAVTIKXVON-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO Cc1ccc(Nc2cc(Cl)cc3n[nH]cc32)c(C)[nH+]1 ZINC001215857205 1134704620 /nfs/dbraw/zinc/70/46/20/1134704620.db2.gz CXWBCFJTENLDOK-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C)cc1OC(C)C ZINC001215877725 1134712120 /nfs/dbraw/zinc/71/21/20/1134712120.db2.gz OQEYSCNPJKJRRQ-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)c(SC)cc1F ZINC001215966201 1134735413 /nfs/dbraw/zinc/73/54/13/1134735413.db2.gz IUBGYELYWCPNJM-UHFFFAOYSA-N 1 2 282.315 3.834 20 0 CHADLO COc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)c(C)c1C ZINC001215974989 1134738682 /nfs/dbraw/zinc/73/86/82/1134738682.db2.gz UWOTXNWFDJIWFS-UHFFFAOYSA-N 1 2 281.359 3.542 20 0 CHADLO CC[C@H]([NH2+]CC=C(Cl)Cl)c1nccs1 ZINC000403259347 1134748562 /nfs/dbraw/zinc/74/85/62/1134748562.db2.gz XEIXDPSQZWPEQY-ZETCQYMHSA-N 1 2 251.182 3.503 20 0 CHADLO CC[C@@H]([NH2+]CC=C(Cl)Cl)c1nccs1 ZINC000403259346 1134748727 /nfs/dbraw/zinc/74/87/27/1134748727.db2.gz XEIXDPSQZWPEQY-SSDOTTSWSA-N 1 2 251.182 3.503 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc(SC)c(Cl)c1 ZINC001216026053 1134752688 /nfs/dbraw/zinc/75/26/88/1134752688.db2.gz AEGIRYMVVGTCCP-UHFFFAOYSA-N 1 2 281.768 3.604 20 0 CHADLO CCOc1cc(Nc2cc(C)c(F)c(O)c2)cc(C)[nH+]1 ZINC001216079763 1134768891 /nfs/dbraw/zinc/76/88/91/1134768891.db2.gz SQVLZAHVQRGJDF-UHFFFAOYSA-N 1 2 276.311 3.685 20 0 CHADLO CCc1cc(Nc2cccc(-n3cc[nH+]c3)c2)ccc1CO ZINC001216105132 1134779503 /nfs/dbraw/zinc/77/95/03/1134779503.db2.gz DAGDMPGIIZYQPL-UHFFFAOYSA-N 1 2 293.370 3.671 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2C2CC2)cc(C)c1CO ZINC001216123459 1134785002 /nfs/dbraw/zinc/78/50/02/1134785002.db2.gz TWPJJQHHFILEDV-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO Nc1ccc(Nc2cccc3[nH+]ccn32)c(C(F)(F)F)c1 ZINC001216128597 1134787721 /nfs/dbraw/zinc/78/77/21/1134787721.db2.gz IBXGWMJBBCTTQD-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cccc(-c2ccncc2)c1 ZINC001216143888 1134790834 /nfs/dbraw/zinc/79/08/34/1134790834.db2.gz SHUQYVBICPUODO-UHFFFAOYSA-N 1 2 277.327 3.901 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(F)cc(N(C)C)c2)c1 ZINC001216160861 1134792641 /nfs/dbraw/zinc/79/26/41/1134792641.db2.gz YEUGKVARWKPRAK-UHFFFAOYSA-N 1 2 273.355 3.983 20 0 CHADLO CSc1ncc(C)cc1Nc1cc(C)cc(C)[nH+]1 ZINC001216239864 1134820589 /nfs/dbraw/zinc/82/05/89/1134820589.db2.gz RTNLDGCWSLJIDO-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO COc1cc(Nc2[nH+]cccc2C)ccc1OC(C)C ZINC001216243456 1134823160 /nfs/dbraw/zinc/82/31/60/1134823160.db2.gz CXCLXPYHGZWTAG-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO COc1cc(Nc2[nH+]cc(O)cc2C)ccc1C1CC1 ZINC001216248624 1134825061 /nfs/dbraw/zinc/82/50/61/1134825061.db2.gz ROQVMTVAOSRQKG-UHFFFAOYSA-N 1 2 270.332 3.725 20 0 CHADLO Cc1ccc(Nc2ccc(CO)c(C)c2Cl)c(C)[nH+]1 ZINC001216326155 1134856079 /nfs/dbraw/zinc/85/60/79/1134856079.db2.gz YEAOJIOPUGPSME-UHFFFAOYSA-N 1 2 276.767 3.896 20 0 CHADLO Cc1c(CO)ccc(Nc2cccn3cc[nH+]c23)c1Cl ZINC001216326682 1134856471 /nfs/dbraw/zinc/85/64/71/1134856471.db2.gz KLJCCEPBDVPMFL-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO CN(c1cccc(C2CCC2)c1)c1[nH+]cccc1N ZINC001216339006 1134860597 /nfs/dbraw/zinc/86/05/97/1134860597.db2.gz TYRVPUJOTHAVMC-UHFFFAOYSA-N 1 2 253.349 3.699 20 0 CHADLO CCOc1cc(C)c(C)cc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001216376246 1134874354 /nfs/dbraw/zinc/87/43/54/1134874354.db2.gz LKLPAEQRXRPGNH-UHFFFAOYSA-N 1 2 295.386 3.932 20 0 CHADLO COc1cnc(F)c(Nc2c[nH+]c(CC(C)C)cc2C)c1 ZINC001216408119 1134885709 /nfs/dbraw/zinc/88/57/09/1134885709.db2.gz DMHICLZGULRWEW-UHFFFAOYSA-N 1 2 289.354 3.875 20 0 CHADLO CCOc1cc(Nc2cc(Cl)cc(CO)c2)cc(C)[nH+]1 ZINC001216435725 1134897136 /nfs/dbraw/zinc/89/71/36/1134897136.db2.gz ZJAFGLFJFBQHOZ-UHFFFAOYSA-N 1 2 292.766 3.678 20 0 CHADLO CCCOc1ccc(Nc2cccc3[nH+]c(C)cn32)cn1 ZINC001216584554 1134924455 /nfs/dbraw/zinc/92/44/55/1134924455.db2.gz XLVKZHPTJNDTSX-UHFFFAOYSA-N 1 2 282.347 3.570 20 0 CHADLO CCCOc1ccc(Nc2ccc3c(c2)[nH+]cn3C)cn1 ZINC001216586416 1134925096 /nfs/dbraw/zinc/92/50/96/1134925096.db2.gz KSUMYYNNTPVADK-UHFFFAOYSA-N 1 2 282.347 3.501 20 0 CHADLO CCn1ncc2cc(Nc3[nH+]cccc3C)ccc21 ZINC001216587764 1134925739 /nfs/dbraw/zinc/92/57/39/1134925739.db2.gz CSKCUUHVVBEUSG-UHFFFAOYSA-N 1 2 252.321 3.503 20 0 CHADLO Cc1cc[nH+]c(Nc2ccnc(OCC3CCC3)c2)c1 ZINC001216593273 1134928024 /nfs/dbraw/zinc/92/80/24/1134928024.db2.gz MBBNPMJUGNGZFU-UHFFFAOYSA-N 1 2 269.348 3.708 20 0 CHADLO Cc1ccc2c(Nc3cnc(OC(C)C)nc3)cccc2[nH+]1 ZINC001216621107 1134934071 /nfs/dbraw/zinc/93/40/71/1134934071.db2.gz KZZLNTBNJMRSHS-UHFFFAOYSA-N 1 2 294.358 3.864 20 0 CHADLO COc1cc[nH+]c(Nc2cccc(C(F)(F)F)c2OC)c1 ZINC001216634340 1134936026 /nfs/dbraw/zinc/93/60/26/1134936026.db2.gz ZCRCHXSTEPWQGA-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO COc1ccc(CNc2ccc([NH+](C)C)cc2C)c(F)c1 ZINC000404743329 1134949651 /nfs/dbraw/zinc/94/96/51/1134949651.db2.gz YEOHSBZNHHFHMP-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO CCc1ccc2cc(O[C@H]3C[NH2+]CC(F)(F)C3)ccc2c1 ZINC001218272947 1135078001 /nfs/dbraw/zinc/07/80/01/1135078001.db2.gz GEOZIONHYHOBDJ-MRXNPFEDSA-N 1 2 291.341 3.778 20 0 CHADLO Cc1ccc(O[C@H]2C[NH2+]CC(F)(F)C2)c(C(C)(C)C)c1 ZINC001218272985 1135078243 /nfs/dbraw/zinc/07/82/43/1135078243.db2.gz GQHYUDJQVHXDOG-GFCCVEGCSA-N 1 2 283.362 3.669 20 0 CHADLO CCC[C@H](Oc1cc(N)cc[nH+]1)c1ccc(OC)cc1 ZINC001218415266 1135101217 /nfs/dbraw/zinc/10/12/17/1135101217.db2.gz BXKBMKGGNSKEDH-HNNXBMFYSA-N 1 2 272.348 3.593 20 0 CHADLO Cc1cc[nH+]c(Nc2ccnc(C(F)(F)F)c2)c1 ZINC000196167388 1135175186 /nfs/dbraw/zinc/17/51/86/1135175186.db2.gz QNULWQPMPFCTSR-UHFFFAOYSA-N 1 2 253.227 3.547 20 0 CHADLO CCOc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c(C)n1 ZINC001222121770 1135195629 /nfs/dbraw/zinc/19/56/29/1135195629.db2.gz IIVQLTPJCYMQTO-UHFFFAOYSA-N 1 2 297.333 3.781 20 0 CHADLO Cc1ccc(C)c2c1CCC[C@H]2OCCn1cc[nH+]c1 ZINC001222134476 1135198490 /nfs/dbraw/zinc/19/84/90/1135198490.db2.gz DGLANJQJGYGFBK-MRXNPFEDSA-N 1 2 270.376 3.594 20 0 CHADLO CCCC[C@@H](CC)[C@H](CC)OCCn1cc[nH+]c1 ZINC001222139950 1135198497 /nfs/dbraw/zinc/19/84/97/1135198497.db2.gz ZEBLFEODJXMZOX-CABCVRRESA-N 1 2 252.402 3.895 20 0 CHADLO Cc1ccc(OC(C)C)cc1-c1ccn2cc(N)[nH+]c2c1 ZINC001222143943 1135199451 /nfs/dbraw/zinc/19/94/51/1135199451.db2.gz YZZYTIUDUXJCER-UHFFFAOYSA-N 1 2 281.359 3.679 20 0 CHADLO Cc1cc(-c2cc[nH+]c3c2CCN3)c(F)cc1Cl ZINC001222241805 1135213293 /nfs/dbraw/zinc/21/32/93/1135213293.db2.gz PKDHQJCFCYWCJL-UHFFFAOYSA-N 1 2 262.715 3.818 20 0 CHADLO COc1c(F)c(C)ccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001222305750 1135221420 /nfs/dbraw/zinc/22/14/20/1135221420.db2.gz WWRIIXURYOPKSM-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO C[C@H](OCc1c[nH+]cn1C)c1cccc2ccccc21 ZINC001222595159 1135254522 /nfs/dbraw/zinc/25/45/22/1135254522.db2.gz RGURSHUWHVSVGS-ZDUSSCGKSA-N 1 2 266.344 3.851 20 0 CHADLO CCCC[C@@H](CC)[C@H](CC)OCc1c[nH+]cn1C ZINC001222599867 1135255696 /nfs/dbraw/zinc/25/56/96/1135255696.db2.gz HNUWUEKLKGXMSV-HIFRSBDPSA-N 1 2 252.402 3.932 20 0 CHADLO Cc1ccc([C@@H](C)OCc2cc(C)[nH+]c(C)c2)cn1 ZINC001223420030 1135342558 /nfs/dbraw/zinc/34/25/58/1135342558.db2.gz GWFGFSDTVQXAGB-CQSZACIVSA-N 1 2 256.349 3.680 20 0 CHADLO Cc1cc(COC[C@H]2CCc3ccccc3O2)cc(C)[nH+]1 ZINC001223421121 1135343893 /nfs/dbraw/zinc/34/38/93/1135343893.db2.gz NOBJEGMBLYSPCI-QGZVFWFLSA-N 1 2 283.371 3.609 20 0 CHADLO Cc1cccc(OC[C@@H](C)OCc2cc(C)[nH+]c(C)c2)c1 ZINC001223424300 1135343934 /nfs/dbraw/zinc/34/39/34/1135343934.db2.gz JDSWFDNQEJGRCH-MRXNPFEDSA-N 1 2 285.387 3.991 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](C)COc1ccc(C)cc1 ZINC001224964565 1135497004 /nfs/dbraw/zinc/49/70/04/1135497004.db2.gz ADJRCEPFZSNOGV-OAHLLOKOSA-N 1 2 293.407 3.963 20 0 CHADLO CCOC(=[NH2+])CCCCOCc1cncc(OC(C)C)c1 ZINC001224965650 1135497076 /nfs/dbraw/zinc/49/70/76/1135497076.db2.gz LVZSFJGPXFBFBT-UHFFFAOYSA-N 1 2 294.395 3.569 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H]1CCc2c1nccc2Cl ZINC001224963185 1135497595 /nfs/dbraw/zinc/49/75/95/1135497595.db2.gz IJSMYQYPOUTZFL-ZDUSSCGKSA-N 1 2 296.798 3.923 20 0 CHADLO COc1cccc(OC[C@@H](C)Oc2c(C)cc[nH+]c2C)c1 ZINC001227266242 1135812109 /nfs/dbraw/zinc/81/21/09/1135812109.db2.gz ZUWFBMYIOUCHHE-CYBMUJFWSA-N 1 2 287.359 3.553 20 0 CHADLO COc1ccccc1OC[C@@H](C)Oc1c(C)cc[nH+]c1C ZINC001227263302 1135812891 /nfs/dbraw/zinc/81/28/91/1135812891.db2.gz CVBBGFRKJRORQK-CYBMUJFWSA-N 1 2 287.359 3.553 20 0 CHADLO Cc1nc(Nc2ccc3c(c2)CCC[NH2+]3)sc1C ZINC000082659666 178144872 /nfs/dbraw/zinc/14/48/72/178144872.db2.gz LDBMQCDEUQSRCU-UHFFFAOYSA-N 1 2 259.378 3.862 20 0 CHADLO Cc1ccc(NCc2cc(Br)cs2)c[nH+]1 ZINC000096033335 185068242 /nfs/dbraw/zinc/06/82/42/185068242.db2.gz FRVHZEYTTAXKMA-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@@H](C)c2cccs2)s1 ZINC000355904388 529645482 /nfs/dbraw/zinc/64/54/82/529645482.db2.gz DTRUDRGNUWQRGY-JTQLQIEISA-N 1 2 266.435 3.960 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@@H](C)c2cccs2)s1 ZINC000355904388 529645485 /nfs/dbraw/zinc/64/54/85/529645485.db2.gz DTRUDRGNUWQRGY-JTQLQIEISA-N 1 2 266.435 3.960 20 0 CHADLO CCc1cnc(C[NH2+][C@](C)(CC)c2nc(C)cs2)s1 ZINC000344232387 529653602 /nfs/dbraw/zinc/65/36/02/529653602.db2.gz RSAMDGGQJZNRCF-CQSZACIVSA-N 1 2 295.477 3.885 20 0 CHADLO CCc1ccc(C[NH2+]Cc2nnc(C(C)C)s2)cc1 ZINC000344660487 529654544 /nfs/dbraw/zinc/65/45/44/529654544.db2.gz FGXWQIWVUPKUDE-UHFFFAOYSA-N 1 2 275.421 3.514 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C)cn1)c1ccc(Cl)s1 ZINC000347616849 529637416 /nfs/dbraw/zinc/63/74/16/529637416.db2.gz NOHBNSIUIBYQET-JTQLQIEISA-N 1 2 281.812 3.741 20 0 CHADLO CCc1ccc(C[N@H+](Cc2cn(C(C)C)nn2)C2CC2)cc1 ZINC000347615896 529638190 /nfs/dbraw/zinc/63/81/90/529638190.db2.gz RKLILEYCYZORLC-UHFFFAOYSA-N 1 2 298.434 3.586 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2cn(C(C)C)nn2)C2CC2)cc1 ZINC000347615896 529638193 /nfs/dbraw/zinc/63/81/93/529638193.db2.gz RKLILEYCYZORLC-UHFFFAOYSA-N 1 2 298.434 3.586 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(F)c(C)c2)cs1 ZINC000352764983 529735262 /nfs/dbraw/zinc/73/52/62/529735262.db2.gz HRXFAVDMQXPVNI-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(F)c(C)c2)cs1 ZINC000352764983 529735263 /nfs/dbraw/zinc/73/52/63/529735263.db2.gz HRXFAVDMQXPVNI-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@@H](C)CC[C@@H]2c2ccccc2)no1 ZINC000352797035 529737284 /nfs/dbraw/zinc/73/72/84/529737284.db2.gz ADXGTGCTIGFHKO-DZGCQCFKSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1nc(C[N@H+]2C[C@@H](C)CC[C@@H]2c2ccccc2)no1 ZINC000352797035 529737285 /nfs/dbraw/zinc/73/72/85/529737285.db2.gz ADXGTGCTIGFHKO-DZGCQCFKSA-N 1 2 285.391 3.605 20 0 CHADLO C[N@H+](Cc1nc(CC2(C)CCCC2)no1)Cc1ccccc1 ZINC000347472594 530022479 /nfs/dbraw/zinc/02/24/79/530022479.db2.gz CLJCSDQAIIPCMZ-UHFFFAOYSA-N 1 2 299.418 3.824 20 0 CHADLO C[N@@H+](Cc1nc(CC2(C)CCCC2)no1)Cc1ccccc1 ZINC000347472594 530022480 /nfs/dbraw/zinc/02/24/80/530022480.db2.gz CLJCSDQAIIPCMZ-UHFFFAOYSA-N 1 2 299.418 3.824 20 0 CHADLO CCCc1noc(CSCCc2[nH+]cc(C)cc2C)n1 ZINC000574040665 334987117 /nfs/dbraw/zinc/98/71/17/334987117.db2.gz ULAORBPYHQSVPN-UHFFFAOYSA-N 1 2 291.420 3.510 20 0 CHADLO Cc1[nH]c(CN[C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)[nH+]c1C ZINC000574533087 335028024 /nfs/dbraw/zinc/02/80/24/335028024.db2.gz ZXROZMKNXQMYJK-QFYYESIMSA-N 1 2 289.810 3.705 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccc(OC(F)(F)F)cc1 ZINC000574657259 335038061 /nfs/dbraw/zinc/03/80/61/335038061.db2.gz HTMITUYPWJLIRM-MRVPVSSYSA-N 1 2 283.240 3.891 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cccc(Cl)n2)cs1 ZINC000184728545 262027694 /nfs/dbraw/zinc/02/76/94/262027694.db2.gz YYKNOYHXYJZFAV-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1cccc(C2CC2)c1 ZINC000574879176 335054748 /nfs/dbraw/zinc/05/47/48/335054748.db2.gz GRZRXBVFVDPZAM-LLVKDONJSA-N 1 2 283.375 3.675 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)c1ccncc1 ZINC000036934884 260052136 /nfs/dbraw/zinc/05/21/36/260052136.db2.gz RJEVYAYHUJKBMU-CYBMUJFWSA-N 1 2 255.365 3.629 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@@H](C)c1c(F)cncc1F ZINC000353125792 533388081 /nfs/dbraw/zinc/38/80/81/533388081.db2.gz BXCCCODBGKUGLW-NSHDSACASA-N 1 2 292.329 3.528 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccc2occc2c1 ZINC000347875811 533354901 /nfs/dbraw/zinc/35/49/01/533354901.db2.gz KKSZMTFCNQBKAX-LBPRGKRZSA-N 1 2 289.375 3.595 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccc2occc2c1 ZINC000347875811 533354909 /nfs/dbraw/zinc/35/49/09/533354909.db2.gz KKSZMTFCNQBKAX-LBPRGKRZSA-N 1 2 289.375 3.595 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCOc2ccc(F)cc21)c1nccs1 ZINC000336484052 533522166 /nfs/dbraw/zinc/52/21/66/533522166.db2.gz BRZHNVUVXLIGLM-ZWNOBZJWSA-N 1 2 292.379 3.847 20 0 CHADLO C[C@@H]([NH2+]Cc1cncc(F)c1)c1nc(C(C)(C)C)cs1 ZINC000347239000 533467532 /nfs/dbraw/zinc/46/75/32/533467532.db2.gz VGFQXCPDJIIJEC-SNVBAGLBSA-N 1 2 293.411 3.826 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cnc(C)s2)c(Cl)c1 ZINC000352303060 533544422 /nfs/dbraw/zinc/54/44/22/533544422.db2.gz PTWQEHHEEKEKKV-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cnc(C)s2)c(Cl)c1 ZINC000352303060 533544429 /nfs/dbraw/zinc/54/44/29/533544429.db2.gz PTWQEHHEEKEKKV-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2ccc(F)cc21)c1ccc(Cl)cn1 ZINC000334639947 533547470 /nfs/dbraw/zinc/54/74/70/533547470.db2.gz RFGWZUFMHBRMNS-OTYXRUKQSA-N 1 2 292.741 3.658 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2ncc(C)cn2)cc1 ZINC000341797509 130036436 /nfs/dbraw/zinc/03/64/36/130036436.db2.gz GPCRTVMTODEROD-ZDUSSCGKSA-N 1 2 287.432 3.748 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2ncc(C3CC3)o2)c1 ZINC000341856627 130074688 /nfs/dbraw/zinc/07/46/88/130074688.db2.gz XJXNNSUZWLFQBK-UHFFFAOYSA-N 1 2 270.376 3.885 20 0 CHADLO CC(C)c1noc(C[NH2+]C2(c3ccc(Cl)cc3)CC2)n1 ZINC000341871829 130098359 /nfs/dbraw/zinc/09/83/59/130098359.db2.gz DNVJQGKCFUERSN-UHFFFAOYSA-N 1 2 291.782 3.625 20 0 CHADLO Cc1cnc([C@H](C)[NH+]2CCC(CC(F)(F)F)CC2)o1 ZINC000342001523 130191740 /nfs/dbraw/zinc/19/17/40/130191740.db2.gz WYVZGUWMDWCULT-JTQLQIEISA-N 1 2 276.302 3.708 20 0 CHADLO COC(C)(C)CCSCCc1[nH+]c2ccccc2n1C ZINC000341991264 130213271 /nfs/dbraw/zinc/21/32/71/130213271.db2.gz CISAVRWZXSWHHL-UHFFFAOYSA-N 1 2 292.448 3.664 20 0 CHADLO Cc1cc[nH+]c(N[C@@H](C)C[C@@H]2CCCO2)c1Br ZINC000342117716 130272379 /nfs/dbraw/zinc/27/23/79/130272379.db2.gz OVGYXRFGUGWREK-QWRGUYRKSA-N 1 2 299.212 3.522 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cccc(F)c2F)c(C)s1 ZINC000342191634 130325499 /nfs/dbraw/zinc/32/54/99/130325499.db2.gz JLVYGRMAIYIACG-MRVPVSSYSA-N 1 2 282.359 3.889 20 0 CHADLO CCC(CC)[C@H](C)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000342244046 130368033 /nfs/dbraw/zinc/36/80/33/130368033.db2.gz YRYBTNNZBMUPNX-AWEZNQCLSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1cccn2cc(CNc3ccccc3C)[nH+]c12 ZINC000008416171 170335712 /nfs/dbraw/zinc/33/57/12/170335712.db2.gz PUOSBBBCXRPZTP-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1cccc(NCc2cn3cccc(C)c3[nH+]2)c1 ZINC000008414866 170335836 /nfs/dbraw/zinc/33/58/36/170335836.db2.gz BLSLPCYKFPOXDA-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1cc(NC(=O)Cc2cccc3ccccc32)cc[nH+]1 ZINC000010163007 170399318 /nfs/dbraw/zinc/39/93/18/170399318.db2.gz IFYBMDSKQOUICW-UHFFFAOYSA-N 1 2 276.339 3.724 20 0 CHADLO Cc1cc(NC(=O)c2ccc(OC(F)(F)F)cc2)cc[nH+]1 ZINC000010163192 170399824 /nfs/dbraw/zinc/39/98/24/170399824.db2.gz PTJAKEWSRSUQAJ-UHFFFAOYSA-N 1 2 296.248 3.541 20 0 CHADLO Cc1ccc(NCc2cn3ccccc3[nH+]2)c(Cl)c1 ZINC000020307985 171143560 /nfs/dbraw/zinc/14/35/60/171143560.db2.gz LRZRNEXGFNGCRB-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1ccco1 ZINC000035136796 172003829 /nfs/dbraw/zinc/00/38/29/172003829.db2.gz MIVIFBGUKLDUAA-SECBINFHSA-N 1 2 253.704 3.923 20 0 CHADLO COC(=O)[C@H]([NH2+]C1CCCCCC1)c1ccc(Cl)cc1 ZINC000037972630 173925448 /nfs/dbraw/zinc/92/54/48/173925448.db2.gz ILZRLTZGXZNOSY-OAHLLOKOSA-N 1 2 295.810 3.867 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1C)c1ccc(Cl)cc1Cl ZINC000071273187 176104999 /nfs/dbraw/zinc/10/49/99/176104999.db2.gz WZBLHQZAPFKKLM-CYBMUJFWSA-N 1 2 298.217 3.968 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1coc(-c2cccs2)n1 ZINC000343835231 224885410 /nfs/dbraw/zinc/88/54/10/224885410.db2.gz FUZHQUNBXOOFOK-UHFFFAOYSA-N 1 2 274.345 3.594 20 0 CHADLO Cc1ccoc1C[NH2+]C(C)(C)c1nc(C)c(C)s1 ZINC000344450954 225062239 /nfs/dbraw/zinc/06/22/39/225062239.db2.gz AQCCQRGRJXQZKT-UHFFFAOYSA-N 1 2 264.394 3.686 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000344920205 225218888 /nfs/dbraw/zinc/21/88/88/225218888.db2.gz DJIWOZQZXXQLID-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccoc1C[N@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000344920205 225218893 /nfs/dbraw/zinc/21/88/93/225218893.db2.gz DJIWOZQZXXQLID-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Oc1ccc(C2=CC[N@H+](Cc3ncc(C4CC4)o3)CC2)cc1 ZINC000366886908 225265242 /nfs/dbraw/zinc/26/52/42/225265242.db2.gz QBGIIRQHIOSJTN-UHFFFAOYSA-N 1 2 296.370 3.547 20 0 CHADLO Oc1ccc(C2=CC[N@@H+](Cc3ncc(C4CC4)o3)CC2)cc1 ZINC000366886908 225265243 /nfs/dbraw/zinc/26/52/43/225265243.db2.gz QBGIIRQHIOSJTN-UHFFFAOYSA-N 1 2 296.370 3.547 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)c2[nH+]ccn21 ZINC000334743735 225300110 /nfs/dbraw/zinc/30/01/10/225300110.db2.gz LVOJLSZKNBETRA-SWLSCSKDSA-N 1 2 297.402 3.616 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2cc(F)c(F)c(F)c21)c1nccs1 ZINC000334835287 225328282 /nfs/dbraw/zinc/32/82/82/225328282.db2.gz KZHLXJLSLVYWBK-OIBJUYFYSA-N 1 2 298.333 3.899 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCOc2ccc(F)cc21)c1cscn1 ZINC000334926103 225366366 /nfs/dbraw/zinc/36/63/66/225366366.db2.gz QBVLQKNMYKRDTK-MFKMUULPSA-N 1 2 292.379 3.847 20 0 CHADLO c1ccc([C@@H]2CCC[C@H]2Nc2cc[nH+]c(C3CC3)n2)cc1 ZINC000347182443 226071188 /nfs/dbraw/zinc/07/11/88/226071188.db2.gz KYZCEAFIIJUPDW-JKSUJKDBSA-N 1 2 279.387 3.524 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCCC[C@@H]2c2ccco2)n1 ZINC000347717071 226232151 /nfs/dbraw/zinc/23/21/51/226232151.db2.gz JBSSBDUVUZSOQC-GFCCVEGCSA-N 1 2 281.306 3.598 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCCC[C@@H]2c2ccco2)n1 ZINC000347717071 226232156 /nfs/dbraw/zinc/23/21/56/226232156.db2.gz JBSSBDUVUZSOQC-GFCCVEGCSA-N 1 2 281.306 3.598 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2ccc3occc3c2)o1 ZINC000347861460 226386233 /nfs/dbraw/zinc/38/62/33/226386233.db2.gz AIBGXAGSHYSLDT-MRXNPFEDSA-N 1 2 297.354 3.908 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2ccc3occc3c2)o1 ZINC000347861460 226386234 /nfs/dbraw/zinc/38/62/34/226386234.db2.gz AIBGXAGSHYSLDT-MRXNPFEDSA-N 1 2 297.354 3.908 20 0 CHADLO Cc1csc([C@@H]([NH2+][C@@H](C)c2ncc(C)o2)C2CC2)n1 ZINC000347795202 226375860 /nfs/dbraw/zinc/37/58/60/226375860.db2.gz GVOMKOMTRBRNDM-JQWIXIFHSA-N 1 2 277.393 3.550 20 0 CHADLO Cc1csc([C@H]([NH2+][C@@H](C)c2ncc(C)o2)C2CC2)n1 ZINC000347795193 226376261 /nfs/dbraw/zinc/37/62/61/226376261.db2.gz GVOMKOMTRBRNDM-CMPLNLGQSA-N 1 2 277.393 3.550 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)N(c2[nH+]c3ccccc3n2C)C1 ZINC000347883836 226382628 /nfs/dbraw/zinc/38/26/28/226382628.db2.gz WOPTWCCLNRXHPG-GUYCJALGSA-N 1 2 292.386 3.556 20 0 CHADLO Cc1nc2cc(C(=O)Nc3c(C)cc(C)[nH+]c3C)ccc2o1 ZINC000348020697 226396500 /nfs/dbraw/zinc/39/65/00/226396500.db2.gz UMBTYMGPSUEUOD-UHFFFAOYSA-N 1 2 295.342 3.709 20 0 CHADLO O=C(c1ccc2sccc2c1)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000347986566 226398675 /nfs/dbraw/zinc/39/86/75/226398675.db2.gz MPTGXJHHVUMFLG-ZDUSSCGKSA-N 1 2 297.383 3.602 20 0 CHADLO Cc1ccccc1[C@@H](C)CC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000348342856 226449038 /nfs/dbraw/zinc/44/90/38/226449038.db2.gz CNLZVOLLYYFGGR-AWEZNQCLSA-N 1 2 293.370 3.775 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000350396796 227006177 /nfs/dbraw/zinc/00/61/77/227006177.db2.gz QTRJFNIZOXPRHJ-FZMZJTMJSA-N 1 2 274.364 3.585 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000350396796 227006182 /nfs/dbraw/zinc/00/61/82/227006182.db2.gz QTRJFNIZOXPRHJ-FZMZJTMJSA-N 1 2 274.364 3.585 20 0 CHADLO Cc1cc([C@@H](C)Nc2cc[nH+]c3ccncc23)c(C)s1 ZINC000354729483 227083874 /nfs/dbraw/zinc/08/38/74/227083874.db2.gz MMESYFWVEGFYJD-LLVKDONJSA-N 1 2 283.400 3.903 20 0 CHADLO Oc1ccc(F)c(C[NH2+]Cc2coc3ccccc23)c1F ZINC000352561226 227066527 /nfs/dbraw/zinc/06/65/27/227066527.db2.gz GPLKYPCUWXCTMO-UHFFFAOYSA-N 1 2 289.281 3.706 20 0 CHADLO Cc1cc(NC(=O)C(C)(C)c2ccccc2C)cc[nH+]1 ZINC000171805332 335080924 /nfs/dbraw/zinc/08/09/24/335080924.db2.gz GCVZZUSHVDOVNO-UHFFFAOYSA-N 1 2 268.360 3.615 20 0 CHADLO CC(C)CCOC1CCN(c2cc[nH+]c(C(C)C)n2)CC1 ZINC000171849033 335082884 /nfs/dbraw/zinc/08/28/84/335082884.db2.gz WRNSHRVYXTUGFU-UHFFFAOYSA-N 1 2 291.439 3.632 20 0 CHADLO Cc1cccc(Nc2ccc(N3CCCCC3)nc2)[nH+]1 ZINC000354886984 227205301 /nfs/dbraw/zinc/20/53/01/227205301.db2.gz XKKJDXMXNPBOQZ-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+]Cc1nc(C(C)C)no1 ZINC000355030482 227325826 /nfs/dbraw/zinc/32/58/26/227325826.db2.gz DFSMUPMELKRLQS-CYBMUJFWSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1nocc1C[N@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000352859612 227343057 /nfs/dbraw/zinc/34/30/57/227343057.db2.gz GMNSDXONYPSUFI-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1nocc1C[N@@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000352859612 227343061 /nfs/dbraw/zinc/34/30/61/227343061.db2.gz GMNSDXONYPSUFI-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO C[C@H](c1ccc(F)cc1)N(C)c1[nH]c2ccccc2[nH+]1 ZINC000301085382 227442991 /nfs/dbraw/zinc/44/29/91/227442991.db2.gz KWZVYIYFUPFHGJ-LLVKDONJSA-N 1 2 269.323 3.899 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)NC3(C)CCC(C)CC3)cc2n1C ZINC000355461004 227806910 /nfs/dbraw/zinc/80/69/10/227806910.db2.gz DWFQUCLQUIDCHZ-UHFFFAOYSA-N 1 2 299.418 3.580 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(C)c(C)cc1Cl ZINC000418073446 228006659 /nfs/dbraw/zinc/00/66/59/228006659.db2.gz CVTMJUWNNIUONJ-UHFFFAOYSA-N 1 2 263.772 3.785 20 0 CHADLO c1cn(Cc2ccc(N[C@@H]3CCCC34CCCC4)nc2)c[nH+]1 ZINC000353499962 228111639 /nfs/dbraw/zinc/11/16/39/228111639.db2.gz FPAXJRUYCLNPKZ-MRXNPFEDSA-N 1 2 296.418 3.851 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[N@@H+]1CCC[C@@H]1c1ccco1 ZINC000116290642 228059296 /nfs/dbraw/zinc/05/92/96/228059296.db2.gz JXPQXZAWRSRXFI-VXGBXAGGSA-N 1 2 289.379 3.858 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[N@H+]1CCC[C@@H]1c1ccco1 ZINC000116290642 228059299 /nfs/dbraw/zinc/05/92/99/228059299.db2.gz JXPQXZAWRSRXFI-VXGBXAGGSA-N 1 2 289.379 3.858 20 0 CHADLO FC(F)Oc1cccc(C[N@@H+]2CCC[C@@H]2C(F)F)c1 ZINC000353177719 228066543 /nfs/dbraw/zinc/06/65/43/228066543.db2.gz WCHKQRKCVCWIFN-LLVKDONJSA-N 1 2 277.261 3.518 20 0 CHADLO FC(F)Oc1cccc(C[N@H+]2CCC[C@@H]2C(F)F)c1 ZINC000353177719 228066545 /nfs/dbraw/zinc/06/65/45/228066545.db2.gz WCHKQRKCVCWIFN-LLVKDONJSA-N 1 2 277.261 3.518 20 0 CHADLO C[C@H]1CC[C@H]([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000330261683 228083958 /nfs/dbraw/zinc/08/39/58/228083958.db2.gz PHLPDGLYWZACFP-BPLDGKMQSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1cc(C)cc(NC(=O)Nc2ccn3cc[nH+]c3c2)c1 ZINC000353333436 228087228 /nfs/dbraw/zinc/08/72/28/228087228.db2.gz WGSWPKRCNATNKO-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO c1nc(-c2ccncc2)sc1C[NH+]1Cc2ccccc2C1 ZINC000353389882 228094009 /nfs/dbraw/zinc/09/40/09/228094009.db2.gz XUBKFLBAOSKMDG-UHFFFAOYSA-N 1 2 293.395 3.721 20 0 CHADLO Cc1ccc(Cc2noc(/C=C/c3ccsc3)n2)c[nH+]1 ZINC000356120019 228128882 /nfs/dbraw/zinc/12/88/82/228128882.db2.gz DASUOMDVMOIRQS-SNAWJCMRSA-N 1 2 283.356 3.596 20 0 CHADLO Cc1ccc(Cc2noc(/C=C\c3ccsc3)n2)c[nH+]1 ZINC000356120018 228129068 /nfs/dbraw/zinc/12/90/68/228129068.db2.gz DASUOMDVMOIRQS-PLNGDYQASA-N 1 2 283.356 3.596 20 0 CHADLO Cc1ccc(Cc2noc(Cc3ccccc3Cl)n2)c[nH+]1 ZINC000356126060 228131670 /nfs/dbraw/zinc/13/16/70/228131670.db2.gz MLBRYSRMLGNVFP-UHFFFAOYSA-N 1 2 299.761 3.608 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc(N3CCCC3)c[nH+]2)c1 ZINC000353613109 228131744 /nfs/dbraw/zinc/13/17/44/228131744.db2.gz MFKIJJDGLJJXDJ-CQSZACIVSA-N 1 2 282.391 3.558 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]CC(F)(F)c2ccccc2)c(C)n1 ZINC000353651408 228139076 /nfs/dbraw/zinc/13/90/76/228139076.db2.gz UFSAOCQXSPWKFO-LLVKDONJSA-N 1 2 291.345 3.536 20 0 CHADLO Cc1ccccc1-n1nccc1C[NH+]1Cc2ccccc2C1 ZINC000353659983 228141282 /nfs/dbraw/zinc/14/12/82/228141282.db2.gz SKPIEQWWTKTWTQ-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc(-n3cc[nH+]c3)c(F)c2)c1 ZINC000353665976 228141964 /nfs/dbraw/zinc/14/19/64/228141964.db2.gz MTHWTMJOOSVAMM-CYBMUJFWSA-N 1 2 296.349 3.888 20 0 CHADLO C[C@H]1C[C@H]1CC(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000353804679 228154606 /nfs/dbraw/zinc/15/46/06/228154606.db2.gz FBMBIHKKKLSUMI-JQWIXIFHSA-N 1 2 283.375 3.815 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1Cc1nc2ccccc2[nH]1 ZINC000353877776 228161652 /nfs/dbraw/zinc/16/16/52/228161652.db2.gz CORNBXGDRXTSMO-GFCCVEGCSA-N 1 2 263.344 3.640 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1Cc1nc2ccccc2[nH]1 ZINC000353877776 228161654 /nfs/dbraw/zinc/16/16/54/228161654.db2.gz CORNBXGDRXTSMO-GFCCVEGCSA-N 1 2 263.344 3.640 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CC[C@@H](C)[C@@H](C)C1 ZINC000351934236 228167637 /nfs/dbraw/zinc/16/76/37/228167637.db2.gz BILZDBQAIYDXCY-SCDSUCTJSA-N 1 2 275.396 3.645 20 0 CHADLO C[C@H](F)CC[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000352016077 228174120 /nfs/dbraw/zinc/17/41/20/228174120.db2.gz UXBLQYSHQKBTGJ-IINYFYTJSA-N 1 2 289.753 3.601 20 0 CHADLO C[C@H](F)CC[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000352016077 228174121 /nfs/dbraw/zinc/17/41/21/228174121.db2.gz UXBLQYSHQKBTGJ-IINYFYTJSA-N 1 2 289.753 3.601 20 0 CHADLO NC(=O)[C@H]1CCC[N@@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000333091358 228189995 /nfs/dbraw/zinc/18/99/95/228189995.db2.gz OHPYQOULPYTJSE-CYBMUJFWSA-N 1 2 293.436 3.690 20 0 CHADLO NC(=O)[C@H]1CCC[N@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000333091358 228189996 /nfs/dbraw/zinc/18/99/96/228189996.db2.gz OHPYQOULPYTJSE-CYBMUJFWSA-N 1 2 293.436 3.690 20 0 CHADLO C[C@@H]1CC[C@@H](C)[NH+]1Cc1ncc(C(F)(F)F)s1 ZINC000336155986 228199113 /nfs/dbraw/zinc/19/91/13/228199113.db2.gz XUHCBMJVUSXQJD-HTQZYQBOSA-N 1 2 264.316 3.535 20 0 CHADLO Cc1cc(N[C@H]2C[C@H](C)C[C@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000352241215 228205074 /nfs/dbraw/zinc/20/50/74/228205074.db2.gz IVSMUFGPKUSUHE-VIKVFOODSA-N 1 2 296.418 3.506 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2ccco2)c[nH+]1 ZINC000037003646 260062219 /nfs/dbraw/zinc/06/22/19/260062219.db2.gz FSKHFXXCMRHXOD-LBPRGKRZSA-N 1 2 259.353 3.694 20 0 CHADLO CC[C@H](NC(=O)Nc1cc[nH+]c(C)c1)c1cccs1 ZINC000179802427 260072090 /nfs/dbraw/zinc/07/20/90/260072090.db2.gz BQUTZZGOYXBONT-LBPRGKRZSA-N 1 2 275.377 3.724 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)o1 ZINC000179848425 260077470 /nfs/dbraw/zinc/07/74/70/260077470.db2.gz GKTNODHWGDLSLW-UHFFFAOYSA-N 1 2 266.344 3.628 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3[nH]c4ccccc4c3C2)o1 ZINC000179848425 260077472 /nfs/dbraw/zinc/07/74/72/260077472.db2.gz GKTNODHWGDLSLW-UHFFFAOYSA-N 1 2 266.344 3.628 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cnc(C(F)(F)F)s1 ZINC000447798668 260135204 /nfs/dbraw/zinc/13/52/04/260135204.db2.gz ZKXCUHGBPNXYPE-QMMMGPOBSA-N 1 2 290.310 3.948 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cnc(C(F)(F)F)s1 ZINC000447798668 260135205 /nfs/dbraw/zinc/13/52/05/260135205.db2.gz ZKXCUHGBPNXYPE-QMMMGPOBSA-N 1 2 290.310 3.948 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000447852079 260143270 /nfs/dbraw/zinc/14/32/70/260143270.db2.gz XWOUKBTZSPSGRE-XHDPSFHLSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000447852079 260143271 /nfs/dbraw/zinc/14/32/71/260143271.db2.gz XWOUKBTZSPSGRE-XHDPSFHLSA-N 1 2 283.362 3.773 20 0 CHADLO Cc1cc(C[NH2+]C2(C(F)F)CC2)ccc1Br ZINC000309717940 260185803 /nfs/dbraw/zinc/18/58/03/260185803.db2.gz UUEAJKOHJMDHNZ-UHFFFAOYSA-N 1 2 290.151 3.645 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)sc1Cl)c1ccnn1C ZINC000312777591 260201369 /nfs/dbraw/zinc/20/13/69/260201369.db2.gz IMMJSIIETQAMOD-ZETCQYMHSA-N 1 2 290.219 3.639 20 0 CHADLO CC(C)Oc1ccccc1NCc1c[nH+]cn1C(C)C ZINC000090208330 260239357 /nfs/dbraw/zinc/23/93/57/260239357.db2.gz URNDRMDUCPGACB-UHFFFAOYSA-N 1 2 273.380 3.863 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc2ncccc21 ZINC000090207495 260239433 /nfs/dbraw/zinc/23/94/33/260239433.db2.gz LFNLSDIFOMRENZ-UHFFFAOYSA-N 1 2 266.348 3.624 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1ccn(C2CCCC2)n1 ZINC000090546958 260259692 /nfs/dbraw/zinc/25/96/92/260259692.db2.gz WGZUITHIKOXJQP-AWEZNQCLSA-N 1 2 299.418 3.858 20 0 CHADLO Cc1[nH+]cc(CNc2ccc(F)cc2)n1-c1ccccc1 ZINC000092128687 260268029 /nfs/dbraw/zinc/26/80/29/260268029.db2.gz CHGZRQBFLGHROG-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO COc1ccc(CC[C@H](C)Nc2ccc[nH+]c2C)cc1 ZINC000091495413 260444149 /nfs/dbraw/zinc/44/41/49/260444149.db2.gz DHACJISLJVMFRI-ZDUSSCGKSA-N 1 2 270.376 3.832 20 0 CHADLO Fc1ccccc1[C@H]([NH2+]Cc1ccon1)C1CCCC1 ZINC000091366932 260474795 /nfs/dbraw/zinc/47/47/95/260474795.db2.gz NXQYVXAZRJLSOV-MRXNPFEDSA-N 1 2 274.339 3.835 20 0 CHADLO COc1cc(C)ccc1[NH2+][C@H]1CCC[C@@H](c2ccnn2C)C1 ZINC000448021029 260567063 /nfs/dbraw/zinc/56/70/63/260567063.db2.gz GHONLCSPERBXOL-CABCVRRESA-N 1 2 299.418 3.875 20 0 CHADLO COCCC[C@@H](C)[NH2+][C@H](CC(C)(C)C)C(F)(F)F ZINC000181255780 260575744 /nfs/dbraw/zinc/57/57/44/260575744.db2.gz KBJUKZICTPFHMQ-GHMZBOCLSA-N 1 2 269.351 3.758 20 0 CHADLO Cc1cc(COc2cc(C)[nH+]c3c(C)cccc23)ncn1 ZINC000427512660 260755701 /nfs/dbraw/zinc/75/57/01/260755701.db2.gz XQGVAGLRTUCJNW-UHFFFAOYSA-N 1 2 279.343 3.529 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)cc1 ZINC000152042799 261059180 /nfs/dbraw/zinc/05/91/80/261059180.db2.gz SEVLKJOGKXDQJP-INIZCTEOSA-N 1 2 285.391 3.536 20 0 CHADLO CCOc1ccc(C[N@H+]2CCCC[C@H]2c2ncc[nH]2)cc1 ZINC000152042799 261059182 /nfs/dbraw/zinc/05/91/82/261059182.db2.gz SEVLKJOGKXDQJP-INIZCTEOSA-N 1 2 285.391 3.536 20 0 CHADLO CCOc1ccccc1C[N@@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000152282775 261062777 /nfs/dbraw/zinc/06/27/77/261062777.db2.gz ONVLHQSZNYRDQD-OAHLLOKOSA-N 1 2 285.391 3.536 20 0 CHADLO CCOc1ccccc1C[N@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000152282775 261062778 /nfs/dbraw/zinc/06/27/78/261062778.db2.gz ONVLHQSZNYRDQD-OAHLLOKOSA-N 1 2 285.391 3.536 20 0 CHADLO Cc1noc(C[NH2+][C@@H](c2ccc(CC(C)C)cc2)C2CC2)n1 ZINC000152569187 261066024 /nfs/dbraw/zinc/06/60/24/261066024.db2.gz ONGMZYINZKICEU-SFHVURJKSA-N 1 2 299.418 3.817 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccccc1F)c1nccs1 ZINC000152631095 261066574 /nfs/dbraw/zinc/06/65/74/261066574.db2.gz PQTCKHDRXWQEFL-AWEZNQCLSA-N 1 2 264.369 3.697 20 0 CHADLO COC[C@@H](C)Nc1cccc([NH+]2CCCCCC2)c1 ZINC000154222567 261089010 /nfs/dbraw/zinc/08/90/10/261089010.db2.gz SQXJXXMBDYEUGB-CQSZACIVSA-N 1 2 262.397 3.514 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)no1)c1ccc2c(c1)CCCC2 ZINC000154336719 261090695 /nfs/dbraw/zinc/09/06/95/261090695.db2.gz XUFGKPUKARZTEJ-LBPRGKRZSA-N 1 2 297.402 3.677 20 0 CHADLO FC(F)(F)CCCC[N@@H+]1CCO[C@@H](c2ccsc2)C1 ZINC000155525303 261102998 /nfs/dbraw/zinc/10/29/98/261102998.db2.gz GYEHTKPEBOLOPU-GFCCVEGCSA-N 1 2 293.354 3.854 20 0 CHADLO FC(F)(F)CCCC[N@H+]1CCO[C@@H](c2ccsc2)C1 ZINC000155525303 261102999 /nfs/dbraw/zinc/10/29/99/261102999.db2.gz GYEHTKPEBOLOPU-GFCCVEGCSA-N 1 2 293.354 3.854 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc3ccccc3n2C)c1F ZINC000449033132 261114334 /nfs/dbraw/zinc/11/43/34/261114334.db2.gz CDNWJPLNEVKSET-UHFFFAOYSA-N 1 2 297.377 3.653 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc3ccccc3n2C)c1F ZINC000449033132 261114336 /nfs/dbraw/zinc/11/43/36/261114336.db2.gz CDNWJPLNEVKSET-UHFFFAOYSA-N 1 2 297.377 3.653 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1ccc(C)o1 ZINC000156407145 261122161 /nfs/dbraw/zinc/12/21/61/261122161.db2.gz UVBUOOJBTKOZKN-NHYWBVRUSA-N 1 2 295.329 3.905 20 0 CHADLO C[N@H+](CCc1nccs1)Cc1csc(C(C)(C)C)n1 ZINC000157946137 261156275 /nfs/dbraw/zinc/15/62/75/261156275.db2.gz JXLOFNXCLWEMRK-UHFFFAOYSA-N 1 2 295.477 3.572 20 0 CHADLO C[N@@H+](CCc1nccs1)Cc1csc(C(C)(C)C)n1 ZINC000157946137 261156276 /nfs/dbraw/zinc/15/62/76/261156276.db2.gz JXLOFNXCLWEMRK-UHFFFAOYSA-N 1 2 295.477 3.572 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2ccccn2)cs1 ZINC000159106678 261178112 /nfs/dbraw/zinc/17/81/12/261178112.db2.gz LAHCWLGBZIUQTI-QWRGUYRKSA-N 1 2 261.394 3.512 20 0 CHADLO CC(C)Oc1ccc([NH2+][C@@H](C)CCn2cccn2)cc1 ZINC000159245035 261182090 /nfs/dbraw/zinc/18/20/90/261182090.db2.gz GBURCKKOXUAVOY-AWEZNQCLSA-N 1 2 273.380 3.561 20 0 CHADLO CCC1(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CCC1 ZINC000175917571 261256094 /nfs/dbraw/zinc/25/60/94/261256094.db2.gz NCKCWPFGNAYMSD-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO CCn1cc[nH+]c1CN(C)Cc1ccc(Cl)c(Cl)c1 ZINC000102767729 261269740 /nfs/dbraw/zinc/26/97/40/261269740.db2.gz CMHCYAMDRVHCFE-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCn1ccnc1C[N@H+](C)Cc1ccc(Cl)c(Cl)c1 ZINC000102767729 261269743 /nfs/dbraw/zinc/26/97/43/261269743.db2.gz CMHCYAMDRVHCFE-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCn1ccnc1C[N@@H+](C)Cc1ccc(Cl)c(Cl)c1 ZINC000102767729 261269746 /nfs/dbraw/zinc/26/97/46/261269746.db2.gz CMHCYAMDRVHCFE-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO Cc1cccn2cc(CNc3cccc(F)c3F)[nH+]c12 ZINC000102887060 261272036 /nfs/dbraw/zinc/27/20/36/261272036.db2.gz JPDVQOPGICYCBJ-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1csc(C2CC2)n1 ZINC000162426411 261281299 /nfs/dbraw/zinc/28/12/99/261281299.db2.gz IVNBUDQMIMSMKI-LLVKDONJSA-N 1 2 273.405 3.609 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1csc(C2CC2)n1 ZINC000162426411 261281301 /nfs/dbraw/zinc/28/13/01/261281301.db2.gz IVNBUDQMIMSMKI-LLVKDONJSA-N 1 2 273.405 3.609 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000162475621 261282384 /nfs/dbraw/zinc/28/23/84/261282384.db2.gz FFFIUSYYGMRJAW-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000162475621 261282386 /nfs/dbraw/zinc/28/23/86/261282386.db2.gz FFFIUSYYGMRJAW-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000162550748 261285575 /nfs/dbraw/zinc/28/55/75/261285575.db2.gz CHGUZPRIEJAIRQ-CQSZACIVSA-N 1 2 287.407 3.950 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000162550748 261285577 /nfs/dbraw/zinc/28/55/77/261285577.db2.gz CHGUZPRIEJAIRQ-CQSZACIVSA-N 1 2 287.407 3.950 20 0 CHADLO Cc1ccc(NC(=O)N[C@H](C)Cc2ccccc2C)c(C)[nH+]1 ZINC000176709539 261306476 /nfs/dbraw/zinc/30/64/76/261306476.db2.gz SNYYSZGUAXRGPC-CQSZACIVSA-N 1 2 297.402 3.760 20 0 CHADLO CC(C)c1nc(NC[C@H](c2cccnc2)C(C)C)cc[nH+]1 ZINC000176746551 261308384 /nfs/dbraw/zinc/30/83/84/261308384.db2.gz FSSFKJIYZGCFDB-HNNXBMFYSA-N 1 2 284.407 3.847 20 0 CHADLO FC(F)(F)c1csc(C[NH+]2CCC3(CCC3)CC2)n1 ZINC000367603980 261314970 /nfs/dbraw/zinc/31/49/70/261314970.db2.gz QBXNCDGKWMMMOL-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC(C)(C)C[C@H](C)O ZINC000301734576 261340139 /nfs/dbraw/zinc/34/01/39/261340139.db2.gz ULOVMVGEOOBMAG-ZDUSSCGKSA-N 1 2 272.392 3.752 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1cc(F)c(Cl)cc1Cl ZINC000182064690 261437777 /nfs/dbraw/zinc/43/77/77/261437777.db2.gz ODUBRYZVFSZNJL-ZETCQYMHSA-N 1 2 289.137 3.971 20 0 CHADLO CC[C@H]([NH2+]Cc1ccn(C(C)C)n1)c1cc(F)ccc1F ZINC000178509757 261747158 /nfs/dbraw/zinc/74/71/58/261747158.db2.gz FKJWZLNDLVUQIR-INIZCTEOSA-N 1 2 293.361 3.983 20 0 CHADLO CCOc1cc(C)ccc1NCc1cn2ccccc2[nH+]1 ZINC000088627197 261759626 /nfs/dbraw/zinc/75/96/26/261759626.db2.gz GIMJRTRYKLCROK-UHFFFAOYSA-N 1 2 281.359 3.654 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+][C@H](C)c1nnc(C)o1 ZINC000179744398 261813076 /nfs/dbraw/zinc/81/30/76/261813076.db2.gz MZEMKWTUQHCXKO-RISCZKNCSA-N 1 2 289.379 3.579 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](CC)c2cc(F)ccc2F)n1 ZINC000179798571 261816911 /nfs/dbraw/zinc/81/69/11/261816911.db2.gz DYQGEZDMJPIASW-TVQRCGJNSA-N 1 2 295.333 3.712 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2ccc(F)c(F)c2)s1 ZINC000180415131 261859229 /nfs/dbraw/zinc/85/92/29/261859229.db2.gz WEQNUHQSRVNBDJ-JTQLQIEISA-N 1 2 282.359 3.923 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2ccc(F)c(F)c2)s1 ZINC000180415131 261859232 /nfs/dbraw/zinc/85/92/32/261859232.db2.gz WEQNUHQSRVNBDJ-JTQLQIEISA-N 1 2 282.359 3.923 20 0 CHADLO CC[C@@H](C(=O)Nc1cc[nH+]c(C)c1)c1ccc(OC)cc1 ZINC000180693893 261871016 /nfs/dbraw/zinc/87/10/16/261871016.db2.gz MNUMGNWHFRTUJO-MRXNPFEDSA-N 1 2 284.359 3.531 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)c(C)s1)c1nc(C)cs1 ZINC000184355011 262017156 /nfs/dbraw/zinc/01/71/56/262017156.db2.gz HDMZEFMJIXUCEJ-LLVKDONJSA-N 1 2 281.450 3.766 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2c(F)cccc2F)cs1 ZINC000184749858 262028487 /nfs/dbraw/zinc/02/84/87/262028487.db2.gz WLJJLPUXMGZWNH-VIFPVBQESA-N 1 2 282.359 3.835 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2c(F)cccc2F)cs1 ZINC000184749841 262028698 /nfs/dbraw/zinc/02/86/98/262028698.db2.gz WLJJLPUXMGZWNH-SECBINFHSA-N 1 2 282.359 3.835 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1c2ccccc2CCC[C@@H]1C ZINC000185087700 262040762 /nfs/dbraw/zinc/04/07/62/262040762.db2.gz MSEFFKXLKZWCET-SJCJKPOMSA-N 1 2 269.392 3.521 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1c2ccccc2CCC[C@H]1C ZINC000185087754 262041387 /nfs/dbraw/zinc/04/13/87/262041387.db2.gz MSEFFKXLKZWCET-SJKOYZFVSA-N 1 2 269.392 3.521 20 0 CHADLO Cc1cc(C[NH2+]Cc2csc(Cl)c2Cl)on1 ZINC000309885884 262046557 /nfs/dbraw/zinc/04/65/57/262046557.db2.gz YHQDXDMJAXUSQH-UHFFFAOYSA-N 1 2 277.176 3.641 20 0 CHADLO COCCCCC[N@@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000185614235 262062600 /nfs/dbraw/zinc/06/26/00/262062600.db2.gz ULGYKDZXMQTZIU-INIZCTEOSA-N 1 2 297.826 3.530 20 0 CHADLO COCCCCC[N@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000185614235 262062602 /nfs/dbraw/zinc/06/26/02/262062602.db2.gz ULGYKDZXMQTZIU-INIZCTEOSA-N 1 2 297.826 3.530 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@@H+]2Cc2ncc(C)s2)o1 ZINC000186142830 262081621 /nfs/dbraw/zinc/08/16/21/262081621.db2.gz YOZSEKCFTKHXLY-ZDUSSCGKSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@H+]2Cc2ncc(C)s2)o1 ZINC000186142830 262081622 /nfs/dbraw/zinc/08/16/22/262081622.db2.gz YOZSEKCFTKHXLY-ZDUSSCGKSA-N 1 2 292.404 3.528 20 0 CHADLO CN(C)c1ccc([NH2+][C@H]2CCC[C@@H](c3ccnn3C)C2)cc1 ZINC000363996362 262083687 /nfs/dbraw/zinc/08/36/87/262083687.db2.gz AQQSRVHATJWYFA-ZBFHGGJFSA-N 1 2 298.434 3.624 20 0 CHADLO Cn1nccc1[C@@H]1CCC[C@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000363996362 262083690 /nfs/dbraw/zinc/08/36/90/262083690.db2.gz AQQSRVHATJWYFA-ZBFHGGJFSA-N 1 2 298.434 3.624 20 0 CHADLO CCc1nc(C[NH2+]Cc2c(Cl)cccc2OC)cs1 ZINC000118449515 262089523 /nfs/dbraw/zinc/08/95/23/262089523.db2.gz LSZLOBKDYYAUMB-UHFFFAOYSA-N 1 2 296.823 3.657 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+][C@H](CCO)c2ccco2)cs1 ZINC000186522748 262097478 /nfs/dbraw/zinc/09/74/78/262097478.db2.gz IEZCZXFWRDSTSN-VXGBXAGGSA-N 1 2 294.420 3.634 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[N@@H+]1CCOC(C)(C)C1 ZINC000497611208 262180993 /nfs/dbraw/zinc/18/09/93/262180993.db2.gz MFNJKOXFNNNQFW-SNVBAGLBSA-N 1 2 271.763 3.651 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[N@H+]1CCOC(C)(C)C1 ZINC000497611208 262180994 /nfs/dbraw/zinc/18/09/94/262180994.db2.gz MFNJKOXFNNNQFW-SNVBAGLBSA-N 1 2 271.763 3.651 20 0 CHADLO Cc1cc(N)nc(S[C@H](C)c2ccc(Cl)cc2)[nH+]1 ZINC000497661624 262186779 /nfs/dbraw/zinc/18/67/79/262186779.db2.gz WFARDVUYTDPTPR-SECBINFHSA-N 1 2 279.796 3.704 20 0 CHADLO Cc1cc(F)cc2c1C[N@H+](Cc1nc3ccccc3o1)CC2 ZINC000502931687 262234678 /nfs/dbraw/zinc/23/46/78/262234678.db2.gz SESFRVQTQQNXQA-UHFFFAOYSA-N 1 2 296.345 3.834 20 0 CHADLO Cc1cc(F)cc2c1C[N@@H+](Cc1nc3ccccc3o1)CC2 ZINC000502931687 262234679 /nfs/dbraw/zinc/23/46/79/262234679.db2.gz SESFRVQTQQNXQA-UHFFFAOYSA-N 1 2 296.345 3.834 20 0 CHADLO c1cc2c(o1)CCC[C@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000122126046 262343474 /nfs/dbraw/zinc/34/34/74/262343474.db2.gz GZKGYHFREJVEMF-OAHLLOKOSA-N 1 2 283.375 3.764 20 0 CHADLO Cc1cccc2c(C(=O)N[C@H](c3[nH]cc[nH+]3)C(C)C)coc21 ZINC000425284832 262672709 /nfs/dbraw/zinc/67/27/09/262672709.db2.gz RPMGLJZOHFZJJG-AWEZNQCLSA-N 1 2 297.358 3.591 20 0 CHADLO CCCC(CCC)C(=O)N1CC(C)(C)[C@@H]1c1[nH+]ccn1C ZINC000411015202 262675037 /nfs/dbraw/zinc/67/50/37/262675037.db2.gz FPVZSQIQNYGYQF-AWEZNQCLSA-N 1 2 291.439 3.546 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2C[C@H](C)[C@@H]2c2ccccc2)s1 ZINC000273196835 263013752 /nfs/dbraw/zinc/01/37/52/263013752.db2.gz PRFYMMMEHUBBIY-COPLHBTASA-N 1 2 273.405 3.601 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2C[C@H](C)[C@@H]2c2ccccc2)s1 ZINC000273196835 263013753 /nfs/dbraw/zinc/01/37/53/263013753.db2.gz PRFYMMMEHUBBIY-COPLHBTASA-N 1 2 273.405 3.601 20 0 CHADLO CCO[C@H](C(=O)Nc1c(C)cc(C)[nH+]c1C)c1ccccc1 ZINC000414782655 263046042 /nfs/dbraw/zinc/04/60/42/263046042.db2.gz ITVVYVNCRDIWGE-KRWDZBQOSA-N 1 2 298.386 3.723 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cccc3c2CCCC3)c1 ZINC000213542942 263066416 /nfs/dbraw/zinc/06/64/16/263066416.db2.gz QWFPLLKIBNTNHK-UHFFFAOYSA-N 1 2 282.387 3.890 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1cccc(C(F)(F)F)c1 ZINC000148703992 263103541 /nfs/dbraw/zinc/10/35/41/263103541.db2.gz CTRDIRFBRMMWHE-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO C[C@@H]1C[C@H]1[C@H]([NH2+]Cc1ccn[nH]1)c1ccc(Cl)cc1 ZINC000295067588 263171709 /nfs/dbraw/zinc/17/17/09/263171709.db2.gz WAHLUGDJNAKENV-VCTAVGKDSA-N 1 2 275.783 3.550 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cccc([C@@H](C)OC)c1 ZINC000453189473 263199964 /nfs/dbraw/zinc/19/99/64/263199964.db2.gz RVHLQBUUYPZNOK-QWHCGFSZSA-N 1 2 273.380 3.784 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000187711962 263267276 /nfs/dbraw/zinc/26/72/76/263267276.db2.gz QUDHNYBNAOTOMJ-KDOFPFPSSA-N 1 2 293.370 3.818 20 0 CHADLO CSc1cccc(C)c1NC(=O)c1ccc2[nH+]ccn2c1 ZINC000184618804 263305591 /nfs/dbraw/zinc/30/55/91/263305591.db2.gz WHNYRLLZAAVTGE-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO CCSCc1cc[nH+]c(NC2CC(CC)C2)c1 ZINC000342692077 263465088 /nfs/dbraw/zinc/46/50/88/263465088.db2.gz XBBPUHCHHRICSB-UHFFFAOYSA-N 1 2 250.411 3.935 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCc2cc(Cl)ccc2C1 ZINC000425336373 264013301 /nfs/dbraw/zinc/01/33/01/264013301.db2.gz KSIUBIATOOESJT-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)(C)c1ccc(NC(=O)C(C)(C)c2c[nH+]c[nH]2)cc1 ZINC000330971914 264092250 /nfs/dbraw/zinc/09/22/50/264092250.db2.gz UIGOXCBXEFIZCM-UHFFFAOYSA-N 1 2 285.391 3.624 20 0 CHADLO CC(C)(C)c1ccc(NC(=O)C(C)(C)c2c[nH]c[nH+]2)cc1 ZINC000330971914 264092252 /nfs/dbraw/zinc/09/22/52/264092252.db2.gz UIGOXCBXEFIZCM-UHFFFAOYSA-N 1 2 285.391 3.624 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CC[C@H]2SC)c1 ZINC000425359699 264141790 /nfs/dbraw/zinc/14/17/90/264141790.db2.gz SCZTVOLBSTXTQI-VXGBXAGGSA-N 1 2 268.451 3.641 20 0 CHADLO CC[C@H](NC(=O)CC1CCC(C)(C)CC1)c1[nH]cc[nH+]1 ZINC000331260442 264184083 /nfs/dbraw/zinc/18/40/83/264184083.db2.gz BGJGUJOMTNBURG-ZDUSSCGKSA-N 1 2 277.412 3.584 20 0 CHADLO Fc1ccc(Cl)cc1C[NH+]1CC(CC(F)F)C1 ZINC000425365794 264210942 /nfs/dbraw/zinc/21/09/42/264210942.db2.gz MUTRBBXBXKUODA-UHFFFAOYSA-N 1 2 263.690 3.566 20 0 CHADLO FC(F)CC1C[NH+](Cc2ccccc2OC(F)(F)F)C1 ZINC000425365845 264210965 /nfs/dbraw/zinc/21/09/65/264210965.db2.gz NIIOVZVIXRJEBM-UHFFFAOYSA-N 1 2 295.251 3.672 20 0 CHADLO CC(C)[N@H+](Cc1nc(C(F)F)no1)Cc1cccc(F)c1 ZINC000425398502 264276746 /nfs/dbraw/zinc/27/67/46/264276746.db2.gz AOPWDQBJNZOIFE-UHFFFAOYSA-N 1 2 299.296 3.557 20 0 CHADLO CC(C)[N@@H+](Cc1nc(C(F)F)no1)Cc1cccc(F)c1 ZINC000425398502 264276747 /nfs/dbraw/zinc/27/67/47/264276747.db2.gz AOPWDQBJNZOIFE-UHFFFAOYSA-N 1 2 299.296 3.557 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1nc(C(F)F)no1 ZINC000425407770 264284501 /nfs/dbraw/zinc/28/45/01/264284501.db2.gz QBXSADDNSFVMSV-JTQLQIEISA-N 1 2 281.306 3.509 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1nc(C(F)F)no1 ZINC000425407770 264284504 /nfs/dbraw/zinc/28/45/04/264284504.db2.gz QBXSADDNSFVMSV-JTQLQIEISA-N 1 2 281.306 3.509 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1Cl)c1nc(C(C)(C)C)no1 ZINC000073984352 264301065 /nfs/dbraw/zinc/30/10/65/264301065.db2.gz KXILDHVOFHQFBW-JTQLQIEISA-N 1 2 293.798 3.871 20 0 CHADLO COc1cnccc1[C@@H](C)[NH2+]Cc1ncc(C(C)C)s1 ZINC000189546245 264306585 /nfs/dbraw/zinc/30/65/85/264306585.db2.gz DSTPAKKYZYAYPB-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1ccc(Cl)cc1 ZINC000425426094 264314912 /nfs/dbraw/zinc/31/49/12/264314912.db2.gz QECNJSAIZHRQBQ-SSDOTTSWSA-N 1 2 287.697 3.511 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2nc(C(F)F)no2)cc1 ZINC000425441553 264323363 /nfs/dbraw/zinc/32/33/63/264323363.db2.gz GSZJLBVQSAROQE-MRVPVSSYSA-N 1 2 299.346 3.580 20 0 CHADLO C[C@H]1CCC[C@@H](C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000162609687 264326727 /nfs/dbraw/zinc/32/67/27/264326727.db2.gz QPZBEFIQKBKKSK-GXTWGEPZSA-N 1 2 283.375 3.842 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2cc(Cl)ccc2Cl)[nH]1 ZINC000190002913 264344714 /nfs/dbraw/zinc/34/47/14/264344714.db2.gz BKZGAFCTYDNXIG-MRVPVSSYSA-N 1 2 299.205 3.525 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000518973136 265266377 /nfs/dbraw/zinc/26/63/77/265266377.db2.gz CXVKAPNZJPQMNX-NWDGAFQWSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000518973136 265266378 /nfs/dbraw/zinc/26/63/78/265266378.db2.gz CXVKAPNZJPQMNX-NWDGAFQWSA-N 1 2 281.346 3.669 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)cc(Cl)c2)[C@H]1[C@H]1CCCO1 ZINC000519244035 265374599 /nfs/dbraw/zinc/37/45/99/265374599.db2.gz UBRUHOGCPWFFHB-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)cc(Cl)c2)[C@H]1[C@H]1CCCO1 ZINC000519244035 265374602 /nfs/dbraw/zinc/37/46/02/265374602.db2.gz UBRUHOGCPWFFHB-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CCC[C@H]([NH2+]Cc1cccc2ccccc21)C(=O)OCC ZINC000097988370 265635886 /nfs/dbraw/zinc/63/58/86/265635886.db2.gz COLNEMQZVPCZKQ-KRWDZBQOSA-N 1 2 285.387 3.661 20 0 CHADLO Brc1cccc([C@H]2C[C@H]2Nc2cccc[nH+]2)c1 ZINC000520756538 266034317 /nfs/dbraw/zinc/03/43/17/266034317.db2.gz MYOCXZRUZRGNAE-CHWSQXEVSA-N 1 2 289.176 3.812 20 0 CHADLO CC[C@@H]1CCCCCN1C(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000356170575 266062461 /nfs/dbraw/zinc/06/24/61/266062461.db2.gz OQROXIFYZXSAKF-OAHLLOKOSA-N 1 2 299.418 3.677 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2ccc(-n3cccn3)cc2)o1 ZINC000356282512 266087312 /nfs/dbraw/zinc/08/73/12/266087312.db2.gz NJIOFKJHRIZTDY-ZIAGYGMSSA-N 1 2 296.374 3.581 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCC(=O)N1[C@H](C)CCC[C@@H]1C ZINC000356294198 266090098 /nfs/dbraw/zinc/09/00/98/266090098.db2.gz BCBBHVCWLIAAHS-OKILXGFUSA-N 1 2 299.418 3.524 20 0 CHADLO Cc1ccc(NC(=O)c2coc3cc(F)ccc23)c(C)[nH+]1 ZINC000356353505 266100202 /nfs/dbraw/zinc/10/02/02/266100202.db2.gz MFBZWUCMIXKPPB-UHFFFAOYSA-N 1 2 284.290 3.836 20 0 CHADLO CC[C@@]1(C)COCC[N@@H+]1Cc1cscc1C(F)(F)F ZINC000356424713 266111007 /nfs/dbraw/zinc/11/10/07/266111007.db2.gz XABLOTHAITZFQO-LBPRGKRZSA-N 1 2 293.354 3.768 20 0 CHADLO CC[C@@]1(C)COCC[N@H+]1Cc1cscc1C(F)(F)F ZINC000356424713 266111009 /nfs/dbraw/zinc/11/10/09/266111009.db2.gz XABLOTHAITZFQO-LBPRGKRZSA-N 1 2 293.354 3.768 20 0 CHADLO c1cn(-c2cccc(-c3noc(-c4ccsc4)n3)c2)c[nH+]1 ZINC000356585101 266143181 /nfs/dbraw/zinc/14/31/81/266143181.db2.gz CYVQNOJTABIOIO-UHFFFAOYSA-N 1 2 294.339 3.651 20 0 CHADLO C[C@H]1CCCC[C@@H]1c1noc(C[N@H+](C)Cc2ccccc2)n1 ZINC000356589668 266144349 /nfs/dbraw/zinc/14/43/49/266144349.db2.gz BGSXLDUHIFPFFI-HOCLYGCPSA-N 1 2 299.418 3.995 20 0 CHADLO C[C@H]1CCCC[C@@H]1c1noc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000356589668 266144352 /nfs/dbraw/zinc/14/43/52/266144352.db2.gz BGSXLDUHIFPFFI-HOCLYGCPSA-N 1 2 299.418 3.995 20 0 CHADLO C(=C\C1CCC1)\c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000356643395 266152952 /nfs/dbraw/zinc/15/29/52/266152952.db2.gz LIRRANYZBJLVAY-FPLPWBNLSA-N 1 2 292.342 3.736 20 0 CHADLO C[C@@H](c1noc(C[N@H+](C)Cc2ccccc2)n1)C(C)(C)C ZINC000356878140 266202293 /nfs/dbraw/zinc/20/22/93/266202293.db2.gz JHXQKURZJPDUTO-ZDUSSCGKSA-N 1 2 287.407 3.851 20 0 CHADLO C[C@@H](c1noc(C[N@@H+](C)Cc2ccccc2)n1)C(C)(C)C ZINC000356878140 266202297 /nfs/dbraw/zinc/20/22/97/266202297.db2.gz JHXQKURZJPDUTO-ZDUSSCGKSA-N 1 2 287.407 3.851 20 0 CHADLO Cc1ccc(Cc2nc([C@@H](C)Oc3ccccc3)no2)c[nH+]1 ZINC000356905316 266209412 /nfs/dbraw/zinc/20/94/12/266209412.db2.gz ACDRJUZWQGEZML-CYBMUJFWSA-N 1 2 295.342 3.504 20 0 CHADLO Cc1cnc([C@H](C)[NH+]2CCC(C(=O)c3ccccc3)CC2)o1 ZINC000356958205 266218250 /nfs/dbraw/zinc/21/82/50/266218250.db2.gz UPCCTNDMJGMRGX-AWEZNQCLSA-N 1 2 298.386 3.639 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2sccc2C)o1 ZINC000356959621 266218271 /nfs/dbraw/zinc/21/82/71/266218271.db2.gz YYMBQLSGYYTUIM-LLVKDONJSA-N 1 2 250.367 3.546 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2sccc2C)o1 ZINC000356959621 266218274 /nfs/dbraw/zinc/21/82/74/266218274.db2.gz YYMBQLSGYYTUIM-LLVKDONJSA-N 1 2 250.367 3.546 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccc(C)s2)o1 ZINC000356975282 266222710 /nfs/dbraw/zinc/22/27/10/266222710.db2.gz FDKPXOQQMNHJHR-NSHDSACASA-N 1 2 250.367 3.546 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccc(C)s2)o1 ZINC000356975282 266222714 /nfs/dbraw/zinc/22/27/14/266222714.db2.gz FDKPXOQQMNHJHR-NSHDSACASA-N 1 2 250.367 3.546 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2cccc(OC(C)C)c2)o1 ZINC000356999526 266228954 /nfs/dbraw/zinc/22/89/54/266228954.db2.gz CDJGPUMNMXHWTN-AWEZNQCLSA-N 1 2 288.391 3.963 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2cccc(OC(C)C)c2)o1 ZINC000356999526 266228956 /nfs/dbraw/zinc/22/89/56/266228956.db2.gz CDJGPUMNMXHWTN-AWEZNQCLSA-N 1 2 288.391 3.963 20 0 CHADLO Cc1cn2cc(NC(=O)[C@@H]3CC34CCCCC4)ccc2[nH+]1 ZINC000357092990 266245613 /nfs/dbraw/zinc/24/56/13/266245613.db2.gz DFRKUULIPOVPGG-AWEZNQCLSA-N 1 2 283.375 3.552 20 0 CHADLO Cc1cn2cc(NC(=O)c3cc(C)cc(Cl)c3)ccc2[nH+]1 ZINC000357098460 266247499 /nfs/dbraw/zinc/24/74/99/266247499.db2.gz SPYHKZIQMLHLNO-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2cccnc2)nc(C(C)C)[nH+]1 ZINC000357469129 266303763 /nfs/dbraw/zinc/30/37/63/266303763.db2.gz GONKAGNMLGXIIQ-OAHLLOKOSA-N 1 2 282.391 3.645 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CC34CCCCC4)cc2[nH+]1 ZINC000357475718 266304926 /nfs/dbraw/zinc/30/49/26/266304926.db2.gz AVWSVRDTRGUACP-ZDUSSCGKSA-N 1 2 283.375 3.780 20 0 CHADLO CC[C@@H](c1nc(CC2CC2)no1)[N@H+](C)Cc1ccccc1 ZINC000357480798 266306003 /nfs/dbraw/zinc/30/60/03/266306003.db2.gz IWPRDDIUBRUVHI-HNNXBMFYSA-N 1 2 285.391 3.605 20 0 CHADLO CC[C@@H](c1nc(CC2CC2)no1)[N@@H+](C)Cc1ccccc1 ZINC000357480798 266306006 /nfs/dbraw/zinc/30/60/06/266306006.db2.gz IWPRDDIUBRUVHI-HNNXBMFYSA-N 1 2 285.391 3.605 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCc2ccc3cc[nH]c3n2)c1 ZINC000357562705 266325248 /nfs/dbraw/zinc/32/52/48/266325248.db2.gz ZNKLNXONTJJZGY-UHFFFAOYSA-N 1 2 292.264 3.589 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2cc3ccccc3o2)c(C)[nH+]1 ZINC000358131866 266427081 /nfs/dbraw/zinc/42/70/81/266427081.db2.gz XWUHBDOIYSMMTK-NRFANRHFSA-N 1 2 299.395 3.894 20 0 CHADLO CC/C=C(/C)c1nc(CCn2c(C)[nH+]c3ccccc32)no1 ZINC000359133225 266539585 /nfs/dbraw/zinc/53/95/85/266539585.db2.gz SQBGDXHROVESGE-GHXNOFRVSA-N 1 2 296.374 3.784 20 0 CHADLO COCCCC[C@@H](Nc1cc(C)[nH+]cn1)c1ccccc1 ZINC000359904444 266654671 /nfs/dbraw/zinc/65/46/71/266654671.db2.gz SHYIAAKPDZWWPW-MRXNPFEDSA-N 1 2 285.391 3.755 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCOc2c(F)ccc(F)c21)c1nccs1 ZINC000361185491 266797937 /nfs/dbraw/zinc/79/79/37/266797937.db2.gz BTLJHQVLSZKNBJ-GZMMTYOYSA-N 1 2 296.342 3.596 20 0 CHADLO CCc1ccc(C[NH2+][C@H]2CCOc3c(F)ccc(F)c32)o1 ZINC000361236707 266806534 /nfs/dbraw/zinc/80/65/34/266806534.db2.gz LIONXRJXORYWOD-AWEZNQCLSA-N 1 2 293.313 3.734 20 0 CHADLO Cc1ccc(CSC[C@@H]2CCO[C@@H](C)C2)c(C)[nH+]1 ZINC000362461785 266990333 /nfs/dbraw/zinc/99/03/33/266990333.db2.gz YLEPTLZBKNMOOI-GXTWGEPZSA-N 1 2 265.422 3.747 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@@H+]1CCO[C@H](C2CC2)C1 ZINC000362555672 267007320 /nfs/dbraw/zinc/00/73/20/267007320.db2.gz LTGKHZSUNUEILQ-DYVFJYSZSA-N 1 2 298.386 3.513 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@H+]1CCO[C@H](C2CC2)C1 ZINC000362555672 267007321 /nfs/dbraw/zinc/00/73/21/267007321.db2.gz LTGKHZSUNUEILQ-DYVFJYSZSA-N 1 2 298.386 3.513 20 0 CHADLO CC[C@H](C)NC(=O)c1ccc(C)c(Oc2cc[nH+]cc2)c1 ZINC000114994817 267026369 /nfs/dbraw/zinc/02/63/69/267026369.db2.gz NNGFDNYWUQSFKC-ZDUSSCGKSA-N 1 2 284.359 3.711 20 0 CHADLO CC1(CC(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CCCC1 ZINC000362746038 267041338 /nfs/dbraw/zinc/04/13/38/267041338.db2.gz RTSUHQOAYLEZNX-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO Cc1cc[nH+]cc1NCc1cc2ccc(F)cc2[nH]1 ZINC000362794733 267046660 /nfs/dbraw/zinc/04/66/60/267046660.db2.gz FIBGVYHNPSPJQE-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO FC(F)(F)COCC[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000362866008 267054979 /nfs/dbraw/zinc/05/49/79/267054979.db2.gz SQRHUILVRDOFNJ-GFCCVEGCSA-N 1 2 293.716 3.666 20 0 CHADLO FC(F)(F)COCC[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000362866008 267054981 /nfs/dbraw/zinc/05/49/81/267054981.db2.gz SQRHUILVRDOFNJ-GFCCVEGCSA-N 1 2 293.716 3.666 20 0 CHADLO CC[N@H+](CCCNC(=O)CCC1CCC1)c1ccccc1 ZINC000362985358 267070502 /nfs/dbraw/zinc/07/05/02/267070502.db2.gz CCULFYCYOIFSJU-UHFFFAOYSA-N 1 2 288.435 3.600 20 0 CHADLO CC[N@@H+](CCCNC(=O)CCC1CCC1)c1ccccc1 ZINC000362985358 267070505 /nfs/dbraw/zinc/07/05/05/267070505.db2.gz CCULFYCYOIFSJU-UHFFFAOYSA-N 1 2 288.435 3.600 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC(C)(C)[C@@H]2[C@H]2CCCO2)s1 ZINC000367956524 267130847 /nfs/dbraw/zinc/13/08/47/267130847.db2.gz BYADLXXKEACCJQ-DOMZBBRYSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC(C)(C)[C@@H]2[C@H]2CCCO2)s1 ZINC000367956524 267130850 /nfs/dbraw/zinc/13/08/50/267130850.db2.gz BYADLXXKEACCJQ-DOMZBBRYSA-N 1 2 294.464 3.656 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2cc(F)cc(F)c21)c1ccsc1 ZINC000368324074 267162426 /nfs/dbraw/zinc/16/24/26/267162426.db2.gz MKPKANOQYSFBAD-PRHODGIISA-N 1 2 281.327 3.811 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@H+](Cc2cc(C(C)(C)C)on2)C1 ZINC000368594911 267190130 /nfs/dbraw/zinc/19/01/30/267190130.db2.gz UZTPOVNVMHUVHR-BBRMVZONSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2cc(C(C)(C)C)on2)C1 ZINC000368594911 267190132 /nfs/dbraw/zinc/19/01/32/267190132.db2.gz UZTPOVNVMHUVHR-BBRMVZONSA-N 1 2 299.418 3.950 20 0 CHADLO c1cc([C@H]2CCC[N@H+]2Cc2cn3ccsc3n2)cs1 ZINC000368911309 267212984 /nfs/dbraw/zinc/21/29/84/267212984.db2.gz PLHAPIHXMKHORL-CYBMUJFWSA-N 1 2 289.429 3.794 20 0 CHADLO c1cc([C@H]2CCC[N@@H+]2Cc2cn3ccsc3n2)cs1 ZINC000368911309 267212986 /nfs/dbraw/zinc/21/29/86/267212986.db2.gz PLHAPIHXMKHORL-CYBMUJFWSA-N 1 2 289.429 3.794 20 0 CHADLO O=C(/C=C/C1CCCCC1)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000117775113 267221336 /nfs/dbraw/zinc/22/13/36/267221336.db2.gz QKCUCDGNTUVWRR-BOLDSZDNSA-N 1 2 287.407 3.600 20 0 CHADLO Cc1ccc(OCCCC(=O)Nc2cc[nH+]cc2C)c(C)c1 ZINC000119158937 267305003 /nfs/dbraw/zinc/30/50/03/267305003.db2.gz ORSDNWVOCGICLO-UHFFFAOYSA-N 1 2 298.386 3.805 20 0 CHADLO CCOCc1ccc(NC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000119349485 267324351 /nfs/dbraw/zinc/32/43/51/267324351.db2.gz XIQDNQCYMBSIKI-UHFFFAOYSA-N 1 2 285.347 3.571 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(Cl)s2)c(C)[nH+]1 ZINC000119391947 267326330 /nfs/dbraw/zinc/32/63/30/267326330.db2.gz YELSXWYXOUSALT-UHFFFAOYSA-N 1 2 266.753 3.666 20 0 CHADLO Cc1cc(NC(=O)N(C)Cc2cc3ccccc3o2)cc[nH+]1 ZINC000119599181 267346291 /nfs/dbraw/zinc/34/62/91/267346291.db2.gz NFVIGFOZLOVNAG-UHFFFAOYSA-N 1 2 295.342 3.800 20 0 CHADLO Cn1cc(Cl)cc1C[N@H+](C)Cc1cc(F)ccc1F ZINC000120028959 267353167 /nfs/dbraw/zinc/35/31/67/267353167.db2.gz WTRJUDBOUNDNFQ-UHFFFAOYSA-N 1 2 284.737 3.589 20 0 CHADLO Cn1cc(Cl)cc1C[N@@H+](C)Cc1cc(F)ccc1F ZINC000120028959 267353169 /nfs/dbraw/zinc/35/31/69/267353169.db2.gz WTRJUDBOUNDNFQ-UHFFFAOYSA-N 1 2 284.737 3.589 20 0 CHADLO C[C@@]1(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)CC=CCC1 ZINC000570770441 327617891 /nfs/dbraw/zinc/61/78/91/327617891.db2.gz GIRSNQPBNHWZIA-QGZVFWFLSA-N 1 2 281.359 3.762 20 0 CHADLO C[C@H]1C[C@@H](Nc2cccc(Br)c2)c2[nH+]ccn21 ZINC000334363571 327673246 /nfs/dbraw/zinc/67/32/46/327673246.db2.gz FGKVLGZETPRGLL-JOYOIKCWSA-N 1 2 292.180 3.764 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccccc1OC ZINC000389472253 328848213 /nfs/dbraw/zinc/84/82/13/328848213.db2.gz SPJOJKOOZVPWNW-ZYHUDNBSSA-N 1 2 257.324 3.780 20 0 CHADLO Cc1ccc(NC(=O)Nc2ccccc2Cl)c(C)[nH+]1 ZINC000128690881 327709258 /nfs/dbraw/zinc/70/92/58/327709258.db2.gz YOTWMPWHBWFXEQ-UHFFFAOYSA-N 1 2 275.739 3.996 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C)C(C)(C)C)c(C)[nH+]1 ZINC000580197949 327729599 /nfs/dbraw/zinc/72/95/99/327729599.db2.gz LGMWXALRHUPTAO-GFCCVEGCSA-N 1 2 263.385 3.563 20 0 CHADLO Cc1cc(=O)[nH]c([C@H](C)[NH2+][C@@H](C)c2ccccc2Cl)n1 ZINC000177913763 327791563 /nfs/dbraw/zinc/79/15/63/327791563.db2.gz BPBKTEPRDORTJX-QWRGUYRKSA-N 1 2 291.782 3.556 20 0 CHADLO CC(=O)c1cc(F)ccc1OCc1ccc(C)[nH+]c1C ZINC000185251528 327837623 /nfs/dbraw/zinc/83/76/23/327837623.db2.gz NOFWBESTMBTZIM-UHFFFAOYSA-N 1 2 273.307 3.619 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(N[C@H]2CC[C@H]2C2CC2)c1 ZINC000582089576 327840038 /nfs/dbraw/zinc/84/00/38/327840038.db2.gz LYXSRCUKYKAGPI-HOTGVXAUSA-N 1 2 267.376 3.688 20 0 CHADLO Fc1ccccc1-c1ncc(C[N@H+]2CCC[C@@H](F)C2)s1 ZINC000563273397 328005935 /nfs/dbraw/zinc/00/59/35/328005935.db2.gz QFEHOEPYYNYKEP-LLVKDONJSA-N 1 2 294.370 3.883 20 0 CHADLO Fc1ccccc1-c1ncc(C[N@@H+]2CCC[C@@H](F)C2)s1 ZINC000563273397 328005937 /nfs/dbraw/zinc/00/59/37/328005937.db2.gz QFEHOEPYYNYKEP-LLVKDONJSA-N 1 2 294.370 3.883 20 0 CHADLO Cc1ccc(NC(=O)c2cnc3ccsc3c2)c(C)[nH+]1 ZINC000531511736 328014038 /nfs/dbraw/zinc/01/40/38/328014038.db2.gz GUEJGOQHXDSFHS-UHFFFAOYSA-N 1 2 283.356 3.560 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](c2nccn2C)c2ccccc2)o1 ZINC000531688304 328024196 /nfs/dbraw/zinc/02/41/96/328024196.db2.gz JRAYANIHNUPONN-WMLDXEAASA-N 1 2 295.386 3.762 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(F)cc2)nc2ccccc12 ZINC000531706953 328027194 /nfs/dbraw/zinc/02/71/94/328027194.db2.gz PPHWLGXEBLLECE-LBPRGKRZSA-N 1 2 295.361 3.928 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N(C)Cc1ccco1 ZINC000531760086 328030906 /nfs/dbraw/zinc/03/09/06/328030906.db2.gz ZJJJEGCPLJWMMB-UHFFFAOYSA-N 1 2 252.317 3.773 20 0 CHADLO Cc1ccc2[nH]c(C(=O)Nc3ccc(C)[nH+]c3C)cc2c1 ZINC000531774524 328032396 /nfs/dbraw/zinc/03/23/96/328032396.db2.gz VPGKPOWJOQLORM-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2cc3ccccc3o2)c(C)c[nH+]1 ZINC000534300115 328043982 /nfs/dbraw/zinc/04/39/82/328043982.db2.gz LHZHNUBTVXWRSB-ZDUSSCGKSA-N 1 2 294.354 3.609 20 0 CHADLO Cc1cc(NC(=O)[C@H](CC(C)C)c2ccccc2)c(C)c[nH+]1 ZINC000534299775 328044070 /nfs/dbraw/zinc/04/40/70/328044070.db2.gz CUAKKRWMJIJBIT-QGZVFWFLSA-N 1 2 296.414 3.889 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)c2cc3ccccc3o2)c(C)c[nH+]1 ZINC000534300108 328044072 /nfs/dbraw/zinc/04/40/72/328044072.db2.gz LHZHNUBTVXWRSB-CYBMUJFWSA-N 1 2 294.354 3.609 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000534330012 328046483 /nfs/dbraw/zinc/04/64/83/328046483.db2.gz HZNCUJOTEPQLIB-VHSXEESVSA-N 1 2 280.368 3.703 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2ccc(C)cc2)nc(C)[nH+]1 ZINC000541079071 328048359 /nfs/dbraw/zinc/04/83/59/328048359.db2.gz XWDIOACAAQTLNV-KRWDZBQOSA-N 1 2 281.403 3.997 20 0 CHADLO CC[N@H+](Cc1cc(C(C)=O)no1)[C@H](C)c1ccc(F)cc1 ZINC000563353644 328057300 /nfs/dbraw/zinc/05/73/00/328057300.db2.gz FAHGSAPYQWLHIM-LLVKDONJSA-N 1 2 290.338 3.599 20 0 CHADLO CC[N@@H+](Cc1cc(C(C)=O)no1)[C@H](C)c1ccc(F)cc1 ZINC000563353644 328057302 /nfs/dbraw/zinc/05/73/02/328057302.db2.gz FAHGSAPYQWLHIM-LLVKDONJSA-N 1 2 290.338 3.599 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)cc1 ZINC000563391772 328060598 /nfs/dbraw/zinc/06/05/98/328060598.db2.gz AOTHLVOJAOFGHV-ZDUSSCGKSA-N 1 2 299.418 3.915 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)cc1 ZINC000563391772 328060599 /nfs/dbraw/zinc/06/05/99/328060599.db2.gz AOTHLVOJAOFGHV-ZDUSSCGKSA-N 1 2 299.418 3.915 20 0 CHADLO CC(C)[C@H](CC1CCCCC1)C(=O)N[C@H](C)c1[nH]cc[nH+]1 ZINC000563412047 328064638 /nfs/dbraw/zinc/06/46/38/328064638.db2.gz IPMKOXVRAZPQBJ-HIFRSBDPSA-N 1 2 291.439 3.830 20 0 CHADLO COc1ccnc2c(N[C@@H]3C[C@H](C)n4cc[nH+]c43)cccc12 ZINC000563510517 328070685 /nfs/dbraw/zinc/07/06/85/328070685.db2.gz JHLCOGUMJHCMFL-SMDDNHRTSA-N 1 2 294.358 3.558 20 0 CHADLO C[C@@H](CC(=O)N1CCC[C@H](C)c2ccccc21)n1cc[nH+]c1 ZINC000563625502 328078148 /nfs/dbraw/zinc/07/81/48/328078148.db2.gz NFADATWKZUTKBH-GJZGRUSLSA-N 1 2 297.402 3.765 20 0 CHADLO CCCCC[C@@H]1CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000563636511 328079628 /nfs/dbraw/zinc/07/96/28/328079628.db2.gz MBELATVIFLMNBW-MRXNPFEDSA-N 1 2 299.418 3.828 20 0 CHADLO C[C@H]1[C@@H](CO)CCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000412068101 328102700 /nfs/dbraw/zinc/10/27/00/328102700.db2.gz DXAIQYJTWTUOJU-DTWKUNHWSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1[C@@H](CO)CCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000412068101 328102701 /nfs/dbraw/zinc/10/27/01/328102701.db2.gz DXAIQYJTWTUOJU-DTWKUNHWSA-N 1 2 294.247 3.648 20 0 CHADLO CC[C@]1(C(C)C)C[C@@H]1[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000571614447 328137806 /nfs/dbraw/zinc/13/78/06/328137806.db2.gz YCKPFUCFFFCVMJ-ZMSDIMECSA-N 1 2 290.455 3.840 20 0 CHADLO Cc1occc1C[NH2+]C(C)(C)c1cc(C(F)(F)F)on1 ZINC000414449268 328176343 /nfs/dbraw/zinc/17/63/43/328176343.db2.gz BLGWDRPXDMGWEY-UHFFFAOYSA-N 1 2 288.269 3.620 20 0 CHADLO Cc1c(C[N@H+](Cc2ccco2)Cc2ccccc2)cnn1C ZINC000268967563 328187916 /nfs/dbraw/zinc/18/79/16/328187916.db2.gz ZEFJGHYOBXGOGM-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO Cc1c(C[N@@H+](Cc2ccco2)Cc2ccccc2)cnn1C ZINC000268967563 328187918 /nfs/dbraw/zinc/18/79/18/328187918.db2.gz ZEFJGHYOBXGOGM-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1nc(C)c(C)o1 ZINC000281617655 328240451 /nfs/dbraw/zinc/24/04/51/328240451.db2.gz HEVMYJVDDXFWFQ-NSHDSACASA-N 1 2 276.405 3.864 20 0 CHADLO COc1cccc([C@H](C)[NH2+]Cc2ncc(Cl)s2)c1 ZINC000223046551 329232513 /nfs/dbraw/zinc/23/25/13/329232513.db2.gz HSPKTFBBRQTQSB-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO Cc1occc1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000321070205 329250366 /nfs/dbraw/zinc/25/03/66/329250366.db2.gz NOOKSCCUOXBOJA-SECBINFHSA-N 1 2 251.276 3.717 20 0 CHADLO CCN(C(=O)Nc1c(C)cc[nH+]c1C)C1CCCCC1 ZINC000287497083 328251541 /nfs/dbraw/zinc/25/15/41/328251541.db2.gz LEUKZSKFJLMREF-UHFFFAOYSA-N 1 2 275.396 3.885 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+](C)Cc1cc(F)ncc1F ZINC000294512168 328281259 /nfs/dbraw/zinc/28/12/59/328281259.db2.gz DBDNQDCXXDRNOY-VIFPVBQESA-N 1 2 298.283 3.831 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+](C)Cc1cc(F)ncc1F ZINC000294512168 328281260 /nfs/dbraw/zinc/28/12/60/328281260.db2.gz DBDNQDCXXDRNOY-VIFPVBQESA-N 1 2 298.283 3.831 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H](C)c1c(F)cccc1F ZINC000296200237 328289448 /nfs/dbraw/zinc/28/94/48/328289448.db2.gz YYNBINAINGJARC-QWRGUYRKSA-N 1 2 279.334 3.593 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)N[C@@H](C)c1c(F)cccc1F ZINC000296200237 328289450 /nfs/dbraw/zinc/28/94/50/328289450.db2.gz YYNBINAINGJARC-QWRGUYRKSA-N 1 2 279.334 3.593 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1ccc(C)c2ncccc12 ZINC000296623018 328291428 /nfs/dbraw/zinc/29/14/28/328291428.db2.gz ZIZAWQXDCYHZQU-CYBMUJFWSA-N 1 2 280.375 3.933 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1cscn1 ZINC000298696434 328297227 /nfs/dbraw/zinc/29/72/27/328297227.db2.gz CTXOMHMQPWSDQD-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cscn1 ZINC000298696434 328297228 /nfs/dbraw/zinc/29/72/28/328297228.db2.gz CTXOMHMQPWSDQD-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO Cc1ccsc1CNc1[nH+]c2cc(Cl)ccc2n1C ZINC000534882034 328366827 /nfs/dbraw/zinc/36/68/27/328366827.db2.gz KIQCAEHVNFEDKM-UHFFFAOYSA-N 1 2 291.807 3.631 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCc2ccc(Cl)cc2C1 ZINC000534973262 328372198 /nfs/dbraw/zinc/37/21/98/328372198.db2.gz DUJOMRXOZXNDCS-UHFFFAOYSA-N 1 2 297.789 3.789 20 0 CHADLO Fc1ccc(C[C@H]2CCN(c3[nH]c4ccccc4[nH+]3)C2)cc1 ZINC000534979305 328372858 /nfs/dbraw/zinc/37/28/58/328372858.db2.gz MVYYBQKVHCQRND-CQSZACIVSA-N 1 2 295.361 3.771 20 0 CHADLO Cc1[nH+]c[nH]c1CNC(=O)C/C=C\c1ccc(C(C)C)cc1 ZINC000535015387 328375935 /nfs/dbraw/zinc/37/59/35/328375935.db2.gz JVNZRKSQAIEFIJ-PLNGDYQASA-N 1 2 297.402 3.561 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@@H]2C[C@H]2C)o1)c1cscn1 ZINC000535065300 328382364 /nfs/dbraw/zinc/38/23/64/328382364.db2.gz INKTZEIQDKZAON-JFGNBEQYSA-N 1 2 262.378 3.710 20 0 CHADLO Cc1cc(N2CCC[C@@H]2CC(C)C)nc(-c2ccncc2)[nH+]1 ZINC000112078165 328384060 /nfs/dbraw/zinc/38/40/60/328384060.db2.gz BXKXLSVBXTWYOS-MRXNPFEDSA-N 1 2 296.418 3.862 20 0 CHADLO Cc1cc(NC(=O)/C=C/c2nc3ccccc3s2)cc[nH+]1 ZINC000112298454 328396738 /nfs/dbraw/zinc/39/67/38/328396738.db2.gz TWHKZYYNFHMJNO-VOTSOKGWSA-N 1 2 295.367 3.652 20 0 CHADLO COc1cc[nH+]cc1CSC[C@H](C)C(F)(F)F ZINC000342020693 328416137 /nfs/dbraw/zinc/41/61/37/328416137.db2.gz KZMTUMZNIQZZQD-QMMMGPOBSA-N 1 2 265.300 3.522 20 0 CHADLO CC[C@H]1CCCCCN1C(=O)Nc1ccc(C)[nH+]c1C ZINC000152285269 328438414 /nfs/dbraw/zinc/43/84/14/328438414.db2.gz QHHXGDSSJOKDQE-AWEZNQCLSA-N 1 2 275.396 3.885 20 0 CHADLO C[C@H](Nc1cc[nH+]c(C2CC2)n1)c1cc2cccc(F)c2o1 ZINC000530491199 326818126 /nfs/dbraw/zinc/81/81/26/326818126.db2.gz WBYMFJJZRQPUTE-JTQLQIEISA-N 1 2 297.333 3.834 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2ccc(C)c(F)c2)o1 ZINC000220951962 326891472 /nfs/dbraw/zinc/89/14/72/326891472.db2.gz VWIJJMAQHLDYTD-VXGBXAGGSA-N 1 2 262.328 3.842 20 0 CHADLO CC(C)OC1CC[NH+](Cc2csc(C(C)C)n2)CC1 ZINC000530926739 326896815 /nfs/dbraw/zinc/89/68/15/326896815.db2.gz OGFLUKVXPMHEGR-UHFFFAOYSA-N 1 2 282.453 3.656 20 0 CHADLO C[C@H]([NH2+]C1(C(F)F)CC1)c1ccc(Cl)s1 ZINC000380159453 326902344 /nfs/dbraw/zinc/90/23/44/326902344.db2.gz BCLKJJDBNBZCDI-LURJTMIESA-N 1 2 251.729 3.850 20 0 CHADLO CC(C)c1nc2cc(N[C@@H](C)c3[nH]cc[nH+]3)ccc2o1 ZINC000556887446 326978023 /nfs/dbraw/zinc/97/80/23/326978023.db2.gz WHNIRGMYTYCYHZ-JTQLQIEISA-N 1 2 270.336 3.847 20 0 CHADLO Clc1cccc2c(NC[C@@H]3CCCCO3)cc[nH+]c12 ZINC000557527222 327011772 /nfs/dbraw/zinc/01/17/72/327011772.db2.gz TYDYLGPLPVAQNI-NSHDSACASA-N 1 2 276.767 3.869 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H](C)C1CCCCC1)c1ccc(F)cc1 ZINC000557600823 327016007 /nfs/dbraw/zinc/01/60/07/327016007.db2.gz QWKRGOYRUSCAHJ-WBMJQRKESA-N 1 2 293.382 3.598 20 0 CHADLO Clc1cccc2c(N[C@@H]3C[C@@H]4OCCC[C@H]34)cc[nH+]c12 ZINC000557659229 327019255 /nfs/dbraw/zinc/01/92/55/327019255.db2.gz FZQNROYXFXORIO-KMUNFCNLSA-N 1 2 288.778 3.868 20 0 CHADLO C[C@@H](Nc1ccc2ccccc2[nH+]1)c1ccc2n[nH]cc2c1 ZINC000557762217 327025792 /nfs/dbraw/zinc/02/57/92/327025792.db2.gz MKFPFRMRQHVLQI-GFCCVEGCSA-N 1 2 288.354 3.706 20 0 CHADLO CC1(C)CN(c2[nH]c3ccccc3[nH+]2)Cc2ccccc2O1 ZINC000558048932 327050668 /nfs/dbraw/zinc/05/06/68/327050668.db2.gz WAUABVNINUKHGZ-UHFFFAOYSA-N 1 2 293.370 3.741 20 0 CHADLO Cc1ncc(-c2ccc(NCc3[nH]c(C)c(C)[nH+]3)cc2)o1 ZINC000565904443 327086960 /nfs/dbraw/zinc/08/69/60/327086960.db2.gz QFETZSUZFDPNPS-UHFFFAOYSA-N 1 2 282.347 3.602 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+](C)Cc1cc(C)cc(C)c1 ZINC000558343527 327088374 /nfs/dbraw/zinc/08/83/74/327088374.db2.gz XSKBVDKKWSHOFT-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+](C)Cc1cc(C)cc(C)c1 ZINC000558343527 327088376 /nfs/dbraw/zinc/08/83/76/327088376.db2.gz XSKBVDKKWSHOFT-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cn1)Cc1ccccc1F ZINC000558408635 327091811 /nfs/dbraw/zinc/09/18/11/327091811.db2.gz OTMBIAZTNXAZSV-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cn1)Cc1ccccc1F ZINC000558408635 327091812 /nfs/dbraw/zinc/09/18/12/327091812.db2.gz OTMBIAZTNXAZSV-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000558457355 327095714 /nfs/dbraw/zinc/09/57/14/327095714.db2.gz KYCXOHOAIYXSPY-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000558457355 327095716 /nfs/dbraw/zinc/09/57/16/327095716.db2.gz KYCXOHOAIYXSPY-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc2c(c1)CC(C)(C)O2 ZINC000091495573 327102588 /nfs/dbraw/zinc/10/25/88/327102588.db2.gz SGCTWNGGXFLQSP-UHFFFAOYSA-N 1 2 268.360 3.716 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(OC(F)F)cc1 ZINC000091495338 327102646 /nfs/dbraw/zinc/10/26/46/327102646.db2.gz GWNUYEMYFABUMW-UHFFFAOYSA-N 1 2 264.275 3.604 20 0 CHADLO c1[nH]cc(N[C@@H]2CCC[C@@H]2CCc2ccccc2)[nH+]1 ZINC000558575285 327106550 /nfs/dbraw/zinc/10/65/50/327106550.db2.gz KHQQORCJUPUVDQ-HUUCEWRRSA-N 1 2 255.365 3.623 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2c(Cl)cccc2Cl)nn1C ZINC000558623827 327109828 /nfs/dbraw/zinc/10/98/28/327109828.db2.gz SSDIJJORBPCVOJ-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO C[C@@H]1CCC[C@@H](CCSc2[nH+]cnc3c2cnn3C)C1 ZINC000558766720 327119679 /nfs/dbraw/zinc/11/96/79/327119679.db2.gz DPABLRHLDIUTFD-NEPJUHHUSA-N 1 2 290.436 3.672 20 0 CHADLO Cc1ccc(N[C@@H](Cc2ccccc2)C2CC2)c[nH+]1 ZINC000539651835 327129887 /nfs/dbraw/zinc/12/98/87/327129887.db2.gz WGHQTZAKLLQVCU-KRWDZBQOSA-N 1 2 252.361 3.823 20 0 CHADLO CC(C)Oc1cccc([C@H](C)Nc2cc[nH+]c(C(C)C)n2)c1 ZINC000518374227 327156302 /nfs/dbraw/zinc/15/63/02/327156302.db2.gz AJKYEHLALFAKJB-AWEZNQCLSA-N 1 2 299.418 3.982 20 0 CHADLO CCc1ccc(NCc2cc(OC)cc(C)[nH+]2)cc1C ZINC000380218297 327158762 /nfs/dbraw/zinc/15/87/62/327158762.db2.gz ISJZTDVCBRWKOU-UHFFFAOYSA-N 1 2 270.376 3.882 20 0 CHADLO COc1ccccc1-c1ccc(CNc2c[nH]c[nH+]2)cc1 ZINC000569550723 327196899 /nfs/dbraw/zinc/19/68/99/327196899.db2.gz RGNHIKWAESLMFN-UHFFFAOYSA-N 1 2 279.343 3.697 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2ccc(C)s2)o1 ZINC000093459738 327198230 /nfs/dbraw/zinc/19/82/30/327198230.db2.gz SVWVRWQVQSGKLY-CQSZACIVSA-N 1 2 277.389 3.531 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2ccc(C)s2)o1 ZINC000093459738 327198232 /nfs/dbraw/zinc/19/82/32/327198232.db2.gz SVWVRWQVQSGKLY-CQSZACIVSA-N 1 2 277.389 3.531 20 0 CHADLO COc1ncc([C@@H](C)[NH2+][C@H](C)c2cscn2)cc1Cl ZINC000569592294 327202077 /nfs/dbraw/zinc/20/20/77/327202077.db2.gz ZACXQLHEEGLNCB-RKDXNWHRSA-N 1 2 297.811 3.612 20 0 CHADLO C[C@H]1CCC[C@@H](Nc2ccc(N3CCCC3)[nH+]c2)C1 ZINC000036982138 327210588 /nfs/dbraw/zinc/21/05/88/327210588.db2.gz WQRBCWBHPJBBAQ-UONOGXRCSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(C3CC3)cn2)c(C)[nH+]1 ZINC000531030632 327219481 /nfs/dbraw/zinc/21/94/81/327219481.db2.gz TZZPZJUPLJBOHQ-UHFFFAOYSA-N 1 2 281.359 3.532 20 0 CHADLO CC(C)c1cc(N2CCOC(C)(C)[C@H]2C)nc(C(C)C)[nH+]1 ZINC000536386116 327234707 /nfs/dbraw/zinc/23/47/07/327234707.db2.gz LVEDYGPQAHXHQX-CYBMUJFWSA-N 1 2 291.439 3.727 20 0 CHADLO CCS[C@@H]1CCCCN(c2[nH+]c3ccccc3n2C)C1 ZINC000536503924 327241843 /nfs/dbraw/zinc/24/18/43/327241843.db2.gz YWTVNTUSWHVKFE-CYBMUJFWSA-N 1 2 289.448 3.685 20 0 CHADLO COC(=O)CCC[C@@H]1CCC[C@@H](Nc2c[nH+]cc(C)c2)C1 ZINC000559821768 327263330 /nfs/dbraw/zinc/26/33/30/327263330.db2.gz VVJSQUBONNJXTR-LSDHHAIUSA-N 1 2 290.407 3.704 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+]CC(C)(F)F)cc1OCC ZINC000559886935 327268231 /nfs/dbraw/zinc/26/82/31/327268231.db2.gz UNJFGSIRIODSRT-NSHDSACASA-N 1 2 287.350 3.790 20 0 CHADLO COc1cc([C@@H](C)[NH2+]CC(C)(F)F)ccc1OC(F)F ZINC000559886910 327268297 /nfs/dbraw/zinc/26/82/97/327268297.db2.gz UCMZEQDCCRRIIC-MRVPVSSYSA-N 1 2 295.276 3.602 20 0 CHADLO CCOc1cc(NCc2c[nH+]c3c(C)cccn23)ccc1C ZINC000560070558 327282616 /nfs/dbraw/zinc/28/26/16/327282616.db2.gz LTAKNAXDHWZUMI-UHFFFAOYSA-N 1 2 295.386 3.962 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CC[C@@H](c2cccc(F)c2)C1 ZINC000560255184 327293745 /nfs/dbraw/zinc/29/37/45/327293745.db2.gz IULGKQOVYPSJKV-CQSZACIVSA-N 1 2 295.361 3.706 20 0 CHADLO C[C@H](c1noc([C@H](c2ccccc2)[NH+](C)C)n1)C(C)(C)C ZINC000574197164 327299581 /nfs/dbraw/zinc/29/95/81/327299581.db2.gz KCGSQMPCKXBKTH-OCCSQVGLSA-N 1 2 287.407 3.870 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+]Cc2cc(-c3ccccc3)on2)c1 ZINC000560528254 327319160 /nfs/dbraw/zinc/31/91/60/327319160.db2.gz OJUBDMIPYSYAEP-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO CSc1ccc(C[N@@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)s1 ZINC000560628767 327331872 /nfs/dbraw/zinc/33/18/72/327331872.db2.gz SQVSCWZMUXQEOV-HUUCEWRRSA-N 1 2 295.473 3.613 20 0 CHADLO CSc1ccc(C[N@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)s1 ZINC000560628767 327331873 /nfs/dbraw/zinc/33/18/73/327331873.db2.gz SQVSCWZMUXQEOV-HUUCEWRRSA-N 1 2 295.473 3.613 20 0 CHADLO C[C@H](Cn1cccn1)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000561121490 327367674 /nfs/dbraw/zinc/36/76/74/327367674.db2.gz NHKJDSDMVCTRLE-LLVKDONJSA-N 1 2 286.766 3.585 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+]1CCc2cccc(F)c2C1 ZINC000428299749 327387107 /nfs/dbraw/zinc/38/71/07/327387107.db2.gz GBVRCZJNDWTECT-UHFFFAOYSA-N 1 2 288.366 3.804 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+]1CCc2cccc(F)c2C1 ZINC000428299749 327387109 /nfs/dbraw/zinc/38/71/09/327387109.db2.gz GBVRCZJNDWTECT-UHFFFAOYSA-N 1 2 288.366 3.804 20 0 CHADLO Cc1cc(NC[C@@H]2CCOC[C@H]2C)c2cccc(F)c2[nH+]1 ZINC000561397151 327392137 /nfs/dbraw/zinc/39/21/37/327392137.db2.gz MRCGSVIFZQHYOF-YPMHNXCESA-N 1 2 288.366 3.767 20 0 CHADLO CSc1ccc(NCc2cccc3[nH]ccc32)[nH+]c1 ZINC000150890611 327394966 /nfs/dbraw/zinc/39/49/66/327394966.db2.gz NFYUXQFDICTLKO-UHFFFAOYSA-N 1 2 269.373 3.897 20 0 CHADLO Cc1cnc(C[NH2+]Cc2cc3cc(C)ccc3o2)s1 ZINC000561497764 327399294 /nfs/dbraw/zinc/39/92/94/327399294.db2.gz LHEQWOGXILHCJN-UHFFFAOYSA-N 1 2 272.373 3.796 20 0 CHADLO CCc1cc(N2CCC(C)(C)CC2)nc(-c2ccncc2)[nH+]1 ZINC000561597119 327404229 /nfs/dbraw/zinc/40/42/29/327404229.db2.gz RFQZWAXIGSSLSK-UHFFFAOYSA-N 1 2 296.418 3.727 20 0 CHADLO c1nc(C[NH2+][C@H]2CC3(CCC3)Oc3ccccc32)cs1 ZINC000151619957 327482412 /nfs/dbraw/zinc/48/24/12/327482412.db2.gz JRCGCDMUKWKSQD-AWEZNQCLSA-N 1 2 286.400 3.679 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(CCC(C)(C)C)n1 ZINC000562793087 327515415 /nfs/dbraw/zinc/51/54/15/327515415.db2.gz CAHUYTAZLZERMP-UHFFFAOYSA-N 1 2 273.380 3.537 20 0 CHADLO CC(C)C[C@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000562850717 327520395 /nfs/dbraw/zinc/52/03/95/327520395.db2.gz HUTSHTJRIIZJPI-WBVHZDCISA-N 1 2 299.418 3.738 20 0 CHADLO Cc1cc(NC(=O)C2(c3ccccc3)CCCC2)c(C)c[nH+]1 ZINC000536574746 328666974 /nfs/dbraw/zinc/66/69/74/328666974.db2.gz JOPJPMXDORBZNP-UHFFFAOYSA-N 1 2 294.398 3.571 20 0 CHADLO Cc1cc(NC(=O)N(C)[C@H](C)c2ccccc2C)c(C)c[nH+]1 ZINC000536619134 328670395 /nfs/dbraw/zinc/67/03/95/328670395.db2.gz BPMNPMJGCALDBV-OAHLLOKOSA-N 1 2 297.402 3.654 20 0 CHADLO COc1ccc[nH+]c1N[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC000526113049 328739829 /nfs/dbraw/zinc/73/98/29/328739829.db2.gz ANNDIANTGWQSDE-HUUCEWRRSA-N 1 2 268.360 3.838 20 0 CHADLO Cc1[nH+]cccc1NCc1cc(O)cc(C(F)(F)F)c1 ZINC000352842657 328815951 /nfs/dbraw/zinc/81/59/51/328815951.db2.gz VBYMZEKJTFWWMT-UHFFFAOYSA-N 1 2 282.265 3.727 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)C(C)(F)F)cc1F ZINC000389477983 328850369 /nfs/dbraw/zinc/85/03/69/328850369.db2.gz BEOKFBDAZVEKRL-RKDXNWHRSA-N 1 2 261.287 3.529 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)C(C)(F)F)cc1F ZINC000389477981 328850619 /nfs/dbraw/zinc/85/06/19/328850619.db2.gz BEOKFBDAZVEKRL-IUCAKERBSA-N 1 2 261.287 3.529 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Br)cs1)C(C)(F)F ZINC000389484364 328851476 /nfs/dbraw/zinc/85/14/76/328851476.db2.gz ZSNHUWMGEIQNPM-ZCFIWIBFSA-N 1 2 284.169 3.644 20 0 CHADLO Cn1ccc(C[NH2+]Cc2ccc(-c3ccccc3)cc2F)n1 ZINC000355649317 328859600 /nfs/dbraw/zinc/85/96/00/328859600.db2.gz FKKJRGOWYTXROM-UHFFFAOYSA-N 1 2 295.361 3.516 20 0 CHADLO c1ccc(CC2(Nc3cc[nH+]c(C4CC4)n3)CC2)cc1 ZINC000357526409 328871647 /nfs/dbraw/zinc/87/16/47/328871647.db2.gz FEFHNZMFPGOVQR-UHFFFAOYSA-N 1 2 265.360 3.541 20 0 CHADLO CCOc1cccc(CSCc2ccc(C)[nH+]c2C)n1 ZINC000358664433 328890484 /nfs/dbraw/zinc/89/04/84/328890484.db2.gz TTZWDQODFOEVEX-UHFFFAOYSA-N 1 2 288.416 3.926 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)Nc1cccc2[nH+]ccn21 ZINC000358786104 328895099 /nfs/dbraw/zinc/89/50/99/328895099.db2.gz XXOVRSYNPWZWBT-CQSZACIVSA-N 1 2 293.370 3.775 20 0 CHADLO Cc1cc(NC2CC(F)(c3cccc(Cl)c3)C2)nc[nH+]1 ZINC000360275114 328905771 /nfs/dbraw/zinc/90/57/71/328905771.db2.gz PMZUXYCFJXEGHB-UHFFFAOYSA-N 1 2 291.757 3.878 20 0 CHADLO Cc1cc(N[C@H]2CC[C@H](c3ccccc3F)C2)nc[nH+]1 ZINC000360275158 328905948 /nfs/dbraw/zinc/90/59/48/328905948.db2.gz PWHVLGYNTGYBQF-STQMWFEESA-N 1 2 271.339 3.672 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](c2ccccc2)c2ccncc2)no1 ZINC000368113602 328936227 /nfs/dbraw/zinc/93/62/27/328936227.db2.gz RZGWBHGNUSBXEE-KSSFIOAISA-N 1 2 293.370 3.818 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2ccc3occc3c2)no1 ZINC000377615853 329020509 /nfs/dbraw/zinc/02/05/09/329020509.db2.gz NTQIOITUEWMIEK-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2ccc3occc3c2)no1 ZINC000377615853 329020510 /nfs/dbraw/zinc/02/05/10/329020510.db2.gz NTQIOITUEWMIEK-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CC[C@H]2c2ccccc2)no1 ZINC000377878555 329032886 /nfs/dbraw/zinc/03/28/86/329032886.db2.gz JXIFFJXNDDTPIP-HNNXBMFYSA-N 1 2 270.376 3.919 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CC[C@H]2c2ccccc2)no1 ZINC000377878555 329032887 /nfs/dbraw/zinc/03/28/87/329032887.db2.gz JXIFFJXNDDTPIP-HNNXBMFYSA-N 1 2 270.376 3.919 20 0 CHADLO CCCCCC[C@@](C)(CC)C(=O)N1CCn2c[nH+]cc2C1 ZINC000378075998 329038975 /nfs/dbraw/zinc/03/89/75/329038975.db2.gz WTGBPBCJOPBNNF-QGZVFWFLSA-N 1 2 291.439 3.612 20 0 CHADLO O=C(CCCC1CCCCC1)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000378407048 329049416 /nfs/dbraw/zinc/04/94/16/329049416.db2.gz VTJTWSRDVJTGFF-HNNXBMFYSA-N 1 2 289.423 3.824 20 0 CHADLO Cc1cc(NC(=O)c2cccc(C(F)F)c2)cc[nH+]1 ZINC000170929564 329072367 /nfs/dbraw/zinc/07/23/67/329072367.db2.gz YPJMWJHGRPFVOW-UHFFFAOYSA-N 1 2 262.259 3.580 20 0 CHADLO CCCCc1noc(C[N@@H+]2CCc3ccccc3[C@@H]2C)n1 ZINC000170955698 329072726 /nfs/dbraw/zinc/07/27/26/329072726.db2.gz SNRMWEWTMLFHDT-ZDUSSCGKSA-N 1 2 285.391 3.532 20 0 CHADLO CCCCc1noc(C[N@H+]2CCc3ccccc3[C@@H]2C)n1 ZINC000170955698 329072728 /nfs/dbraw/zinc/07/27/28/329072728.db2.gz SNRMWEWTMLFHDT-ZDUSSCGKSA-N 1 2 285.391 3.532 20 0 CHADLO CC(C)c1nc(N2CCc3[nH]c4ccccc4c3C2)cc[nH+]1 ZINC000171675497 329075455 /nfs/dbraw/zinc/07/54/55/329075455.db2.gz AHRGPVDKUMYCMF-UHFFFAOYSA-N 1 2 292.386 3.644 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cccc4ccn(C)c43)cn2c1 ZINC000190806188 329078195 /nfs/dbraw/zinc/07/81/95/329078195.db2.gz MAVXJEHCJVFLAF-UHFFFAOYSA-N 1 2 290.370 3.747 20 0 CHADLO Cc1[nH]c(CNc2cccc(-c3ncco3)c2C)[nH+]c1C ZINC000582616677 329118575 /nfs/dbraw/zinc/11/85/75/329118575.db2.gz IRMBFGRNLGOZEY-UHFFFAOYSA-N 1 2 282.347 3.602 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCc2cc(F)ccc21)C(=O)OC(C)(C)C ZINC000582700860 329126398 /nfs/dbraw/zinc/12/63/98/329126398.db2.gz CXCJBYCKQUMECG-HUUCEWRRSA-N 1 2 293.382 3.523 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1ccc2c(n1)CCC2)C1CC1 ZINC000582711249 329129119 /nfs/dbraw/zinc/12/91/19/329129119.db2.gz ILWGBCKFENTLFZ-UHFFFAOYSA-N 1 2 296.389 3.874 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1ccc2c(n1)CCC2)C1CC1 ZINC000582711249 329129121 /nfs/dbraw/zinc/12/91/21/329129121.db2.gz ILWGBCKFENTLFZ-UHFFFAOYSA-N 1 2 296.389 3.874 20 0 CHADLO CC(C)c1nc(N(C[C@@H]2CCCO2)C2CCCC2)cc[nH+]1 ZINC000172774418 329138796 /nfs/dbraw/zinc/13/87/96/329138796.db2.gz MHUZZIMDQGOLSX-HNNXBMFYSA-N 1 2 289.423 3.528 20 0 CHADLO CCS[C@H]1CC[C@H](N(C)c2cc[nH+]c(C(C)C)n2)C1 ZINC000172789840 329138970 /nfs/dbraw/zinc/13/89/70/329138970.db2.gz YLGALDCXVZKGLK-STQMWFEESA-N 1 2 279.453 3.710 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccn1)c1nnc(-c2ccccc2)o1 ZINC000172985437 329141564 /nfs/dbraw/zinc/14/15/64/329141564.db2.gz YZBFLAXBDSMKBM-QWHCGFSZSA-N 1 2 294.358 3.543 20 0 CHADLO CC[C@H](C(=O)Nc1c(C)cc[nH+]c1C)c1ccc(F)cc1 ZINC000425597014 329143266 /nfs/dbraw/zinc/14/32/66/329143266.db2.gz QYCJUZHAEZBIEK-HNNXBMFYSA-N 1 2 286.350 3.970 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH+]2CCC(C(F)(F)F)CC2)n1 ZINC000174190784 329155266 /nfs/dbraw/zinc/15/52/66/329155266.db2.gz YABLQKLNRCZTLA-SECBINFHSA-N 1 2 291.317 3.528 20 0 CHADLO Cc1cc(C)cc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000174661564 329160616 /nfs/dbraw/zinc/16/06/16/329160616.db2.gz QDGVEHVIEJNYJZ-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO CCCc1ccc(C[N@H+](C)Cc2nccs2)cc1 ZINC000175100795 329163061 /nfs/dbraw/zinc/16/30/61/329163061.db2.gz YHRYMWHNVGUJDF-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO CCCc1ccc(C[N@@H+](C)Cc2nccs2)cc1 ZINC000175100795 329163063 /nfs/dbraw/zinc/16/30/63/329163063.db2.gz YHRYMWHNVGUJDF-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO Fc1ccc(CN2CCCC[C@H]2c2[nH]cc[nH+]2)c(Cl)c1 ZINC000177039965 329178464 /nfs/dbraw/zinc/17/84/64/329178464.db2.gz DCOHMVVORZKQKG-AWEZNQCLSA-N 1 2 293.773 3.929 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000177040497 329178515 /nfs/dbraw/zinc/17/85/15/329178515.db2.gz GLIZZEUIJYVABG-MRXNPFEDSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000177040497 329178517 /nfs/dbraw/zinc/17/85/17/329178517.db2.gz GLIZZEUIJYVABG-MRXNPFEDSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)Oc1ccccc1CN1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000177040497 329178518 /nfs/dbraw/zinc/17/85/18/329178518.db2.gz GLIZZEUIJYVABG-MRXNPFEDSA-N 1 2 299.418 3.924 20 0 CHADLO Cc1ncccc1C[N@@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC000179682494 329211173 /nfs/dbraw/zinc/21/11/73/329211173.db2.gz VSMIHGCXDJWTQN-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1ncccc1C[N@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC000179682494 329211175 /nfs/dbraw/zinc/21/11/75/329211175.db2.gz VSMIHGCXDJWTQN-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1coc(-c2ccc(C)c(N[C@@H](C)c3[nH+]ccn3C)c2)n1 ZINC000180035426 329214829 /nfs/dbraw/zinc/21/48/29/329214829.db2.gz HYGCLXOFYOOPMS-ZDUSSCGKSA-N 1 2 296.374 3.865 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OC1CCC1)c1nccs1 ZINC000180973122 329224482 /nfs/dbraw/zinc/22/44/82/329224482.db2.gz UCYAVSZSEAEVDP-LBPRGKRZSA-N 1 2 288.416 3.925 20 0 CHADLO Cc1ccc(C[C@H](C)C(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000181317146 329231114 /nfs/dbraw/zinc/23/11/14/329231114.db2.gz VSXBPSDWLVLHEB-ZDUSSCGKSA-N 1 2 282.387 3.824 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(-c2ccccc2F)o1)c1cccnc1 ZINC000181641478 329235274 /nfs/dbraw/zinc/23/52/74/329235274.db2.gz WHBKYXQGOOFIKL-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO CC[C@](C)([NH2+]Cc1c(F)cccc1F)c1nccs1 ZINC000182622930 329243470 /nfs/dbraw/zinc/24/34/70/329243470.db2.gz SFDNAGAOECLLPI-AWEZNQCLSA-N 1 2 282.359 3.836 20 0 CHADLO Cc1cccc(-c2n[nH]cc2CNc2c[nH+]ccc2C)c1 ZINC000184895811 329263596 /nfs/dbraw/zinc/26/35/96/329263596.db2.gz FWFPHSBHJNWXRH-UHFFFAOYSA-N 1 2 278.359 3.701 20 0 CHADLO COc1ccc(CNc2c[nH+]ccc2C)c(OC(F)F)c1 ZINC000184891593 329263807 /nfs/dbraw/zinc/26/38/07/329263807.db2.gz NYOPXWIWOIJPAF-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO CC(C)C[C@H]([NH2+][C@H](C)Cc1ccsc1)C(=O)OC(C)C ZINC000582823057 329268319 /nfs/dbraw/zinc/26/83/19/329268319.db2.gz USTKRJIQAXQCDO-HIFRSBDPSA-N 1 2 297.464 3.635 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000582963430 329290802 /nfs/dbraw/zinc/29/08/02/329290802.db2.gz ZPWLBUGCCDJIHK-RYUDHWBXSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000582963430 329290804 /nfs/dbraw/zinc/29/08/04/329290804.db2.gz ZPWLBUGCCDJIHK-RYUDHWBXSA-N 1 2 281.346 3.669 20 0 CHADLO Cc1[nH]c(CN[C@@H](C)c2oc3ccccc3c2C)[nH+]c1C ZINC000583155668 329324078 /nfs/dbraw/zinc/32/40/78/329324078.db2.gz ZEZKNUNGKPFDBU-ZDUSSCGKSA-N 1 2 283.375 3.932 20 0 CHADLO CC(C)[C@H]1C[C@H]1C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000185767644 329331241 /nfs/dbraw/zinc/33/12/41/329331241.db2.gz ZUQFRQULQHGFNR-ZIAGYGMSSA-N 1 2 297.402 3.681 20 0 CHADLO COc1ccccc1N(Cc1cn2ccccc2[nH+]1)C(C)C ZINC000583172354 329334699 /nfs/dbraw/zinc/33/46/99/329334699.db2.gz BYBMZIGFCRPJNS-UHFFFAOYSA-N 1 2 295.386 3.758 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2cccc(Cl)c2Cl)[nH]1 ZINC000392462002 329340794 /nfs/dbraw/zinc/34/07/94/329340794.db2.gz NQJPOERALKBNBH-HTQZYQBOSA-N 1 2 299.205 3.832 20 0 CHADLO Cn1cc[nH+]c1CSc1nc2cc(F)cc(Cl)c2o1 ZINC000187352963 329355476 /nfs/dbraw/zinc/35/54/76/329355476.db2.gz MYJBUHFXEFYYSL-UHFFFAOYSA-N 1 2 297.742 3.646 20 0 CHADLO CC[C@@H](C)CC(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000189844209 329389506 /nfs/dbraw/zinc/38/95/06/329389506.db2.gz QWZQUFWGNQRMNV-LLVKDONJSA-N 1 2 285.391 3.825 20 0 CHADLO CC[NH+](CC)[C@@H](C(=O)OC)c1cc(Cl)cc(Cl)c1 ZINC000532909323 329400747 /nfs/dbraw/zinc/40/07/47/329400747.db2.gz YCDFQWJUGKXMNP-GFCCVEGCSA-N 1 2 290.190 3.549 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSC[C@@H]1CCCO1 ZINC000190894175 329401768 /nfs/dbraw/zinc/40/17/68/329401768.db2.gz WODMZNLCMRNEAI-INIZCTEOSA-N 1 2 288.416 3.593 20 0 CHADLO CCOc1ccccc1NCc1ccc(N(C)CC)[nH+]c1 ZINC000191061253 329406437 /nfs/dbraw/zinc/40/64/37/329406437.db2.gz BAKUAJIGRLKVFF-UHFFFAOYSA-N 1 2 285.391 3.549 20 0 CHADLO Fc1c[nH+]ccc1NCc1cnc(-c2ccccc2)s1 ZINC000192150302 329429734 /nfs/dbraw/zinc/42/97/34/329429734.db2.gz FTBHQIXRMYJXQU-UHFFFAOYSA-N 1 2 285.347 3.956 20 0 CHADLO Cc1nc(COc2cc(C)[nH+]c3ccccc32)cs1 ZINC000192192625 329430463 /nfs/dbraw/zinc/43/04/63/329430463.db2.gz NYKTZXXCHXUDJD-UHFFFAOYSA-N 1 2 270.357 3.887 20 0 CHADLO CC(C)c1nc(NCc2cc3ccccc3s2)cc[nH+]1 ZINC000583313707 329448587 /nfs/dbraw/zinc/44/85/87/329448587.db2.gz FXINTLDPAUEHGH-UHFFFAOYSA-N 1 2 283.400 3.849 20 0 CHADLO C[C@H](Cc1ccc(Br)cc1)Nc1cccc[nH+]1 ZINC000584501908 329503156 /nfs/dbraw/zinc/50/31/56/329503156.db2.gz ABNZYKULXKXCDH-LLVKDONJSA-N 1 2 291.192 3.887 20 0 CHADLO Cc1ccc(F)c(C(=O)Nc2ccccc2-n2cc[nH+]c2)c1 ZINC000314808848 329541122 /nfs/dbraw/zinc/54/11/22/329541122.db2.gz BFLSGEXRXCZAIB-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@@]3(CC=CCC3)C2)cc[nH+]1 ZINC000119231367 329547800 /nfs/dbraw/zinc/54/78/00/329547800.db2.gz OONLRCBBZIXTHP-KRWDZBQOSA-N 1 2 285.391 3.744 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccc(Cl)o2)s1 ZINC000125186590 329578950 /nfs/dbraw/zinc/57/89/50/329578950.db2.gz GVPDBPQJSCFKHW-MRVPVSSYSA-N 1 2 256.758 3.549 20 0 CHADLO Cc1cc(C)c2c(c1)[C@@H]([NH2+]Cc1cscn1)CCCO2 ZINC000127390023 329599338 /nfs/dbraw/zinc/59/93/38/329599338.db2.gz OABKMEKKDIBLOQ-HNNXBMFYSA-N 1 2 288.416 3.763 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1ccc(C)o1)c1nnc2ccccn21 ZINC000129398745 329617324 /nfs/dbraw/zinc/61/73/24/329617324.db2.gz NOFUMBUDPQIVJA-ZIAGYGMSSA-N 1 2 298.390 3.823 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccccc2Cl)c1 ZINC000214115317 329653666 /nfs/dbraw/zinc/65/36/66/329653666.db2.gz XPNDVNBJSLXRDK-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO CCc1cc(C)c(C(=O)Nc2ccccc2-n2cc[nH+]c2)o1 ZINC000134972311 329661863 /nfs/dbraw/zinc/66/18/63/329661863.db2.gz SUQHEKCIDSVJEN-UHFFFAOYSA-N 1 2 295.342 3.588 20 0 CHADLO Cc1nc(N[C@H](C)c2ccc(Cl)s2)cc[nH+]1 ZINC000054936186 329673045 /nfs/dbraw/zinc/67/30/45/329673045.db2.gz PCRXABCIYQDAQK-SSDOTTSWSA-N 1 2 253.758 3.673 20 0 CHADLO Cc1ccc(N[C@@H](c2[nH]cc[nH+]2)c2cccnc2)cc1Cl ZINC000195089477 329690433 /nfs/dbraw/zinc/69/04/33/329690433.db2.gz BZDRQUNGIVFOFK-OAHLLOKOSA-N 1 2 298.777 3.968 20 0 CHADLO CCn1cc[nH+]c1CN(Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000245388832 329698055 /nfs/dbraw/zinc/69/80/55/329698055.db2.gz FNMVPNMOEYNOQR-CJNGLKHVSA-N 1 2 299.418 3.784 20 0 CHADLO CC[C@@H](CSCc1cn2cc(Cl)ccc2[nH+]1)OC ZINC000419416888 329725128 /nfs/dbraw/zinc/72/51/28/329725128.db2.gz ADMROTZWWYEAMP-LBPRGKRZSA-N 1 2 284.812 3.646 20 0 CHADLO COc1cc[nH+]cc1CSCc1cccc(C(C)=O)c1 ZINC000419480598 329736204 /nfs/dbraw/zinc/73/62/04/329736204.db2.gz AAWUDVDYOWTGKA-UHFFFAOYSA-N 1 2 287.384 3.726 20 0 CHADLO Cc1cnn(CCSCc2ccc(C(C)C)[nH+]c2C)c1 ZINC000419486952 329736755 /nfs/dbraw/zinc/73/67/55/329736755.db2.gz GANBBLMEIIHNLB-UHFFFAOYSA-N 1 2 289.448 3.952 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ccc(Cl)cc2)[C@@H]2C[C@H]2C)no1 ZINC000245921110 329759276 /nfs/dbraw/zinc/75/92/76/329759276.db2.gz QUBMVLGOWDTTRP-XXLQHYSLSA-N 1 2 291.782 3.518 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(CC2CCC(C)CC2)n1 ZINC000420510323 329772616 /nfs/dbraw/zinc/77/26/16/329772616.db2.gz IUCJBPXKDWWUHH-UHFFFAOYSA-N 1 2 285.391 3.733 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H](C)CC(C)(C)C)n1 ZINC000420511857 329773032 /nfs/dbraw/zinc/77/30/32/329773032.db2.gz QDWUYGMRGQLKFF-LLVKDONJSA-N 1 2 273.380 3.904 20 0 CHADLO CCCC[C@H](C)c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420513760 329773477 /nfs/dbraw/zinc/77/34/77/329773477.db2.gz XBOYWPUGCLJMEP-NSHDSACASA-N 1 2 259.353 3.658 20 0 CHADLO FC(F)(F)C[C@H]1CCCN(c2cc[nH+]c3ccncc32)C1 ZINC000420631826 329780160 /nfs/dbraw/zinc/78/01/60/329780160.db2.gz QZEYIWPGYVYWMV-LLVKDONJSA-N 1 2 295.308 3.799 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC[C@@H](CC(F)(F)F)C1 ZINC000420631064 329780265 /nfs/dbraw/zinc/78/02/65/329780265.db2.gz QECINNQGWKDWMI-NSHDSACASA-N 1 2 297.324 3.742 20 0 CHADLO CC[C@@H]1C[NH+](Cc2cnc(C(C)C)s2)C[C@@H](CC)O1 ZINC000421391824 329820329 /nfs/dbraw/zinc/82/03/29/329820329.db2.gz PRDDDVOAXKERNO-CHWSQXEVSA-N 1 2 282.453 3.656 20 0 CHADLO CCC[C@@H]1CCC[C@H]1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421576040 329842992 /nfs/dbraw/zinc/84/29/92/329842992.db2.gz LNCWHUJCZIMJNF-HZPDHXFCSA-N 1 2 274.408 3.531 20 0 CHADLO CC[C@@H](C(=O)NCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC000421561401 329846545 /nfs/dbraw/zinc/84/65/45/329846545.db2.gz SKJQDTIRTCUEEN-QGZVFWFLSA-N 1 2 282.387 3.508 20 0 CHADLO Cc1ccc(CCC(=O)NCc2cc(C)[nH+]c(C)c2)c(C)c1 ZINC000421563262 329846759 /nfs/dbraw/zinc/84/67/59/329846759.db2.gz WYXHCTPGQMRSCB-UHFFFAOYSA-N 1 2 296.414 3.564 20 0 CHADLO Cc1cccc(Oc2ccccc2C[NH2+]Cc2ncc[nH]2)c1 ZINC000066594253 329849264 /nfs/dbraw/zinc/84/92/64/329849264.db2.gz QUGFNEYDSMTQEA-UHFFFAOYSA-N 1 2 293.370 3.800 20 0 CHADLO CC(=O)c1cccc(NCc2cn3cc(Cl)ccc3[nH+]2)c1 ZINC000066862906 329850008 /nfs/dbraw/zinc/85/00/08/329850008.db2.gz IDYKOIMJHOQTHQ-UHFFFAOYSA-N 1 2 299.761 3.802 20 0 CHADLO C[C@H]([NH2+]Cc1csc(-c2ccco2)n1)c1cccnc1 ZINC000067967258 329851457 /nfs/dbraw/zinc/85/14/57/329851457.db2.gz FNGVEFKAJXWOKD-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO Cc1cc(N[C@H](C)c2[nH+]ccn2C)ccc1Br ZINC000070443325 329855681 /nfs/dbraw/zinc/85/56/81/329855681.db2.gz FXABDVFRRKYDQU-SNVBAGLBSA-N 1 2 294.196 3.664 20 0 CHADLO CC(C)c1noc([C@H](C)[NH2+][C@@H](C)c2ccc(F)c(F)c2)n1 ZINC000073637227 329861357 /nfs/dbraw/zinc/86/13/57/329861357.db2.gz GVVDRNGBGTYGIS-UWVGGRQHSA-N 1 2 295.333 3.883 20 0 CHADLO Cc1cccc(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)c1 ZINC000074829755 329865433 /nfs/dbraw/zinc/86/54/33/329865433.db2.gz UXKWNTJIMZIVGB-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO FC(F)(F)Oc1ccc(C[NH+]2CC(C(F)(F)F)C2)cc1 ZINC000571841196 329924259 /nfs/dbraw/zinc/92/42/59/329924259.db2.gz SEGQZJNDLRTBOM-UHFFFAOYSA-N 1 2 299.214 3.579 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc(Cl)c2cccnc12 ZINC000571900578 329929045 /nfs/dbraw/zinc/92/90/45/329929045.db2.gz KCTIQHINIIMCJD-UHFFFAOYSA-N 1 2 270.710 3.633 20 0 CHADLO CC[C@](C)([NH2+]CCCC1CCOCC1)C(F)(F)F ZINC000571985557 329935070 /nfs/dbraw/zinc/93/50/70/329935070.db2.gz RABJJIPLTOQBIJ-LBPRGKRZSA-N 1 2 267.335 3.514 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccc(F)cc1)c1ccncc1 ZINC000572424340 330067635 /nfs/dbraw/zinc/06/76/35/330067635.db2.gz DPQKOPJRIOBRNA-NSHDSACASA-N 1 2 280.293 3.663 20 0 CHADLO COCc1cccc(C[NH2+]C2(C(F)F)CCCCC2)c1 ZINC000510109542 332892784 /nfs/dbraw/zinc/89/27/84/332892784.db2.gz RTDJLZBPGBEHMS-UHFFFAOYSA-N 1 2 283.362 3.891 20 0 CHADLO COc1ccc([C@@H]([NH2+]Cc2cscn2)C2CC2)c(F)c1 ZINC000421825178 330083465 /nfs/dbraw/zinc/08/34/65/330083465.db2.gz MBZVWAGHCHCBPT-HNNXBMFYSA-N 1 2 292.379 3.532 20 0 CHADLO Cn1cc[nH+]c1CSc1ccc2c(c1)CCCC2 ZINC000421836590 330091898 /nfs/dbraw/zinc/09/18/98/330091898.db2.gz HKLZPTDPZUYVKO-UHFFFAOYSA-N 1 2 258.390 3.591 20 0 CHADLO CC[C@H](C)n1ncc(N[C@@H]2CCc3ccc[nH+]c3CC2)c1C ZINC000421846209 330094887 /nfs/dbraw/zinc/09/48/87/330094887.db2.gz YYCIYBURZZDQDS-XJKSGUPXSA-N 1 2 298.434 3.917 20 0 CHADLO FC(F)[C@H]([NH2+]CCc1ccccc1)c1ccccc1 ZINC000433054610 330138594 /nfs/dbraw/zinc/13/85/94/330138594.db2.gz VIRPELMNYMIOLU-OAHLLOKOSA-N 1 2 261.315 3.825 20 0 CHADLO Cc1cc(CNC(=O)c2cccc(C3CC3)c2)cc(C)[nH+]1 ZINC000580446784 330140057 /nfs/dbraw/zinc/14/00/57/330140057.db2.gz WNGNKTSUPCIPEC-UHFFFAOYSA-N 1 2 280.371 3.506 20 0 CHADLO COc1cc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)ccc1F ZINC000423210894 330177959 /nfs/dbraw/zinc/17/79/59/330177959.db2.gz GPPLBAAHWAQGNG-LLVKDONJSA-N 1 2 286.350 3.975 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)c(OC)c1 ZINC000423216319 330178729 /nfs/dbraw/zinc/17/87/29/330178729.db2.gz OJSMJKRDZQRVHX-GFCCVEGCSA-N 1 2 298.386 3.845 20 0 CHADLO CCO[C@@H]1C[C@H]([NH2+]c2ccc3c(c2)CCN3)C12CCCC2 ZINC000423219522 330179046 /nfs/dbraw/zinc/17/90/46/330179046.db2.gz UBJOOTRTSVLYTH-DLBZAZTESA-N 1 2 286.419 3.804 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc3c(c2)CC[NH2+]3)C12CCCC2 ZINC000423219522 330179047 /nfs/dbraw/zinc/17/90/47/330179047.db2.gz UBJOOTRTSVLYTH-DLBZAZTESA-N 1 2 286.419 3.804 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc2c(c1)CCO2 ZINC000423221181 330179455 /nfs/dbraw/zinc/17/94/55/330179455.db2.gz WRLBOOKIRPIREY-LBPRGKRZSA-N 1 2 280.371 3.763 20 0 CHADLO Fc1cncc([C@@H](Nc2ccc3c(c2)CC[NH2+]3)C2CC2)c1 ZINC000423222152 330179880 /nfs/dbraw/zinc/17/98/80/330179880.db2.gz ZXCPNVOZGUMLEN-KRWDZBQOSA-N 1 2 283.350 3.752 20 0 CHADLO COc1ccc([C@H](C)[C@H](C)Nc2[nH+]cccc2OC)cc1 ZINC000527523934 330257785 /nfs/dbraw/zinc/25/77/85/330257785.db2.gz MGOQJPQTUIJANP-OLZOCXBDSA-N 1 2 286.375 3.703 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2c(F)cccc2Cl)ccn1 ZINC000527534465 330263197 /nfs/dbraw/zinc/26/31/97/330263197.db2.gz OCXGTEATOLGMSN-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2c(F)cccc2Cl)ccn1 ZINC000527534465 330263198 /nfs/dbraw/zinc/26/31/98/330263198.db2.gz OCXGTEATOLGMSN-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2ccncc2Cl)o1 ZINC000527640083 330268175 /nfs/dbraw/zinc/26/81/75/330268175.db2.gz BGUHMMPRUAOXAQ-ZWNOBZJWSA-N 1 2 276.767 3.741 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2nc3cc(C)ccc3s2)C[C@@H](C)O1 ZINC000528379486 330280841 /nfs/dbraw/zinc/28/08/41/330280841.db2.gz LSDXDAKZPOYHPH-OLZOCXBDSA-N 1 2 290.432 3.604 20 0 CHADLO CC[C@H]1C[N@H+](Cc2nc3cc(C)ccc3s2)C[C@@H](C)O1 ZINC000528379486 330280839 /nfs/dbraw/zinc/28/08/39/330280839.db2.gz LSDXDAKZPOYHPH-OLZOCXBDSA-N 1 2 290.432 3.604 20 0 CHADLO CC(C)c1cc(Cl)ccc1NCc1[nH+]ccn1C ZINC000527783419 330283226 /nfs/dbraw/zinc/28/32/26/330283226.db2.gz UNYUECUIKNKLKK-UHFFFAOYSA-N 1 2 263.772 3.809 20 0 CHADLO CCC(CC)c1cc(CNc2[nH+]cccc2OC)on1 ZINC000527904074 330289572 /nfs/dbraw/zinc/28/95/72/330289572.db2.gz BJTSFWQCEZWRPZ-UHFFFAOYSA-N 1 2 275.352 3.594 20 0 CHADLO FC(F)(F)C1=CCN(c2[nH+]ccc3ccccc32)CC1 ZINC000527940987 330293967 /nfs/dbraw/zinc/29/39/67/330293967.db2.gz CUVIUVOFOARWIM-UHFFFAOYSA-N 1 2 278.277 3.934 20 0 CHADLO COc1ccc(C[NH2+]Cc2c(F)cc(C)cc2F)c(F)c1 ZINC000424154003 330301157 /nfs/dbraw/zinc/30/11/57/330301157.db2.gz LYZMAFLUNMDJKW-UHFFFAOYSA-N 1 2 295.304 3.711 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2c1c(F)ccc2F)c1ncccc1F ZINC000528034959 330302439 /nfs/dbraw/zinc/30/24/39/330302439.db2.gz CJEPNIHUVYIIEB-LKFCYVNXSA-N 1 2 292.304 3.837 20 0 CHADLO C[C@H]1CC2(CN1c1[nH+]ccc3ccccc31)CCOCC2 ZINC000528040260 330305809 /nfs/dbraw/zinc/30/58/09/330305809.db2.gz LFWDKWNRMMOLCD-AWEZNQCLSA-N 1 2 282.387 3.630 20 0 CHADLO COc1cccc([C@H]2CN(c3cccc[nH+]3)CC2(C)C)c1 ZINC000528118772 330307175 /nfs/dbraw/zinc/30/71/75/330307175.db2.gz MWCGOZXTBZTHGO-MRXNPFEDSA-N 1 2 282.387 3.720 20 0 CHADLO COc1ccc(F)cc1C[NH2+]Cc1c(F)cc(C)cc1F ZINC000424166923 330309404 /nfs/dbraw/zinc/30/94/04/330309404.db2.gz GWXPKCLZGZFVEV-UHFFFAOYSA-N 1 2 295.304 3.711 20 0 CHADLO COc1cc(NCc2c[nH+]cn2C(C)C)cc2cccnc21 ZINC000424173602 330313749 /nfs/dbraw/zinc/31/37/49/330313749.db2.gz LLAQQRMENXKLSC-UHFFFAOYSA-N 1 2 296.374 3.633 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H]2C[C@H]2c2ccsc2)n1 ZINC000528214601 330315505 /nfs/dbraw/zinc/31/55/05/330315505.db2.gz VXDDHIPWBHUWPJ-UONOGXRCSA-N 1 2 297.383 3.696 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc([C@@H]2CCOC2)cc1 ZINC000424183094 330320006 /nfs/dbraw/zinc/32/00/06/330320006.db2.gz VBZLGJAZPKSVCJ-OAHLLOKOSA-N 1 2 285.391 3.580 20 0 CHADLO C/C(Cl)=C\C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000528350639 330321551 /nfs/dbraw/zinc/32/15/51/330321551.db2.gz RBKWZGMMTWPBRV-JXMROGBWSA-N 1 2 266.797 3.871 20 0 CHADLO C/C(Cl)=C\C[N@H+](C)Cc1nc2ccccc2s1 ZINC000528350639 330321552 /nfs/dbraw/zinc/32/15/52/330321552.db2.gz RBKWZGMMTWPBRV-JXMROGBWSA-N 1 2 266.797 3.871 20 0 CHADLO CC(C)[N@H+](Cc1ccccn1)Cc1ncccc1Cl ZINC000528357195 330321740 /nfs/dbraw/zinc/32/17/40/330321740.db2.gz VBPYBVMYMLWXDB-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO CC(C)[N@@H+](Cc1ccccn1)Cc1ncccc1Cl ZINC000528357195 330321741 /nfs/dbraw/zinc/32/17/41/330321741.db2.gz VBPYBVMYMLWXDB-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO c1ccc2c(c1)CCCCC[N@H+]2CC1CCOCC1 ZINC000533066375 330325753 /nfs/dbraw/zinc/32/57/53/330325753.db2.gz XTZJZLPZIMPANZ-UHFFFAOYSA-N 1 2 259.393 3.646 20 0 CHADLO c1ccc2c(c1)CCCCC[N@@H+]2CC1CCOCC1 ZINC000533066375 330325754 /nfs/dbraw/zinc/32/57/54/330325754.db2.gz XTZJZLPZIMPANZ-UHFFFAOYSA-N 1 2 259.393 3.646 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C(C)(C)c1ccc(Cl)cc1 ZINC000107289157 330348755 /nfs/dbraw/zinc/34/87/55/330348755.db2.gz QVMJMLJKCLZPBH-UHFFFAOYSA-N 1 2 288.778 3.960 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)/C=C/c1ccc2ccccc2n1 ZINC000107291113 330348762 /nfs/dbraw/zinc/34/87/62/330348762.db2.gz SMKJWWCTXLFPKT-CMDGGOBGSA-N 1 2 289.338 3.590 20 0 CHADLO CCc1cc(C)c(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)o1 ZINC000533182836 330352712 /nfs/dbraw/zinc/35/27/12/330352712.db2.gz DULJKYXDCOVZSH-UHFFFAOYSA-N 1 2 295.342 3.793 20 0 CHADLO CCCc1nc(C[N@H+](Cc2ccccc2)[C@@H](C)CC)no1 ZINC000055058659 330361257 /nfs/dbraw/zinc/36/12/57/330361257.db2.gz XTRWQLMGUUEQMK-AWEZNQCLSA-N 1 2 287.407 3.823 20 0 CHADLO CCCc1nc(C[N@@H+](Cc2ccccc2)[C@@H](C)CC)no1 ZINC000055058659 330361258 /nfs/dbraw/zinc/36/12/58/330361258.db2.gz XTRWQLMGUUEQMK-AWEZNQCLSA-N 1 2 287.407 3.823 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2C/C=C/c2ccccc2)o1 ZINC000116893163 330361314 /nfs/dbraw/zinc/36/13/14/330361314.db2.gz JKQXNUJLHFDDKT-JZLODUJNSA-N 1 2 283.371 3.675 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2C/C=C/c2ccccc2)o1 ZINC000116893163 330361315 /nfs/dbraw/zinc/36/13/15/330361315.db2.gz JKQXNUJLHFDDKT-JZLODUJNSA-N 1 2 283.371 3.675 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1ccccc1F ZINC000118447027 330367300 /nfs/dbraw/zinc/36/73/00/330367300.db2.gz DGMXBHRLAJWOEP-UHFFFAOYSA-N 1 2 279.742 3.778 20 0 CHADLO Cc1ccc(NC(=O)Cc2ccccc2OC(C)C)c(C)[nH+]1 ZINC000119272282 330371466 /nfs/dbraw/zinc/37/14/66/330371466.db2.gz GOKWSDUMWMSMJW-UHFFFAOYSA-N 1 2 298.386 3.667 20 0 CHADLO Cc1ccc2c(CC(=O)Nc3ccc(C)[nH+]c3C)coc2c1 ZINC000119290327 330371702 /nfs/dbraw/zinc/37/17/02/330371702.db2.gz LXPNWJTWDCFTNJ-UHFFFAOYSA-N 1 2 294.354 3.934 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(-n3cccc3)cc2)c(C)[nH+]1 ZINC000119211301 330371857 /nfs/dbraw/zinc/37/18/57/330371857.db2.gz RJVDTKOCSHDQGX-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1cc(NC(=O)N[C@@H]2CCc3c2cccc3C)cc[nH+]1 ZINC000119521382 330375383 /nfs/dbraw/zinc/37/53/83/330375383.db2.gz IETWQEUGHNLAQB-MRXNPFEDSA-N 1 2 281.359 3.507 20 0 CHADLO C[C@H]1CCCC[C@H]1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000424571686 330380867 /nfs/dbraw/zinc/38/08/67/330380867.db2.gz GLANNQINOQXNEW-UONOGXRCSA-N 1 2 283.375 3.637 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cccc(OC(C)C)c2)o1 ZINC000537024695 330385887 /nfs/dbraw/zinc/38/58/87/330385887.db2.gz UZIBAAXCQLZHOV-CYBMUJFWSA-N 1 2 288.391 3.875 20 0 CHADLO CCN(C)C(=O)Cn1c2ccccc2[nH+]c1C1CCCCC1 ZINC000090163880 330390651 /nfs/dbraw/zinc/39/06/51/330390651.db2.gz ZOBRRXLIIPJSBK-UHFFFAOYSA-N 1 2 299.418 3.562 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1Cl)c1cnccn1 ZINC000090180420 330390885 /nfs/dbraw/zinc/39/08/85/330390885.db2.gz MJTALFRJLDCJNL-UWVGGRQHSA-N 1 2 279.746 3.681 20 0 CHADLO CC(C)(C)c1nc(CSCCn2cc[nH+]c2)cs1 ZINC000090200645 330391170 /nfs/dbraw/zinc/39/11/70/330391170.db2.gz DAZHAEPCYLBJTF-UHFFFAOYSA-N 1 2 281.450 3.571 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2ccccc2s1)C(=O)OC(C)(C)C ZINC000537490630 330438221 /nfs/dbraw/zinc/43/82/21/330438221.db2.gz GLAMWVFJXYRFMY-LLVKDONJSA-N 1 2 291.416 3.721 20 0 CHADLO Cc1cc(N2CCC[C@H](c3ccc(F)cc3)CC2)nc[nH+]1 ZINC000537571886 330441458 /nfs/dbraw/zinc/44/14/58/330441458.db2.gz KVAMRUOTQQRIAI-AWEZNQCLSA-N 1 2 285.366 3.698 20 0 CHADLO COc1cc(C)ccc1[NH2+][C@@H]1CCCC12CCOCC2 ZINC000312354313 330462820 /nfs/dbraw/zinc/46/28/20/330462820.db2.gz GABQNJLXJKADEC-MRXNPFEDSA-N 1 2 275.392 3.765 20 0 CHADLO C[C@@H]([NH2+]CC[C@H](C)c1ccccc1)C(=O)OC(C)(C)C ZINC000084223949 330484666 /nfs/dbraw/zinc/48/46/66/330484666.db2.gz CNQCCOJSBINIEL-UONOGXRCSA-N 1 2 277.408 3.500 20 0 CHADLO Cn1cc[nH+]c1CN(c1ccccc1F)C1CCCC1 ZINC000426523087 330511157 /nfs/dbraw/zinc/51/11/57/330511157.db2.gz LMQXTZHLEZTINE-UHFFFAOYSA-N 1 2 273.355 3.508 20 0 CHADLO Cn1c[nH+]cc1CNc1cccc(-c2ccccc2)c1 ZINC000431086137 330578721 /nfs/dbraw/zinc/57/87/21/330578721.db2.gz SAYBITYCFOJXGY-UHFFFAOYSA-N 1 2 263.344 3.699 20 0 CHADLO C[C@H](O)C1CC[NH+](Cc2csc(Cl)c2Cl)CC1 ZINC000432739681 330605154 /nfs/dbraw/zinc/60/51/54/330605154.db2.gz ANWYMMQCCFWLFV-QMMMGPOBSA-N 1 2 294.247 3.648 20 0 CHADLO Cc1nc(N2CC[C@](C)(CCc3ccccc3)C2)cc[nH+]1 ZINC000433654118 330630003 /nfs/dbraw/zinc/63/00/03/330630003.db2.gz PUMCQLJWWQULHD-SFHVURJKSA-N 1 2 281.403 3.634 20 0 CHADLO Cc1nc2[nH]ccc2c(N(C)[C@@H](C)c2ccc(F)cc2)[nH+]1 ZINC000433772276 330631006 /nfs/dbraw/zinc/63/10/06/330631006.db2.gz YCNLHIOTSRPMBH-JTQLQIEISA-N 1 2 284.338 3.603 20 0 CHADLO CC(C)(C)CCNc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000438089006 330715411 /nfs/dbraw/zinc/71/54/11/330715411.db2.gz SRAFNFIVKMNSTD-UHFFFAOYSA-N 1 2 261.344 3.860 20 0 CHADLO CC[C@@H](F)C[NH2+][C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000440494287 330749299 /nfs/dbraw/zinc/74/92/99/330749299.db2.gz IIJZVCBQYFMPHZ-NKWVEPMBSA-N 1 2 270.295 3.561 20 0 CHADLO CC[C@]1(C)CCCN(c2cc[nH+]c3ccncc32)C1 ZINC000444084666 330809903 /nfs/dbraw/zinc/80/99/03/330809903.db2.gz BMYUGTQUWNIMIL-MRXNPFEDSA-N 1 2 255.365 3.646 20 0 CHADLO CC(C)c1nc(N2CCCC3(CCCC3)C2)cc[nH+]1 ZINC000445668869 330834348 /nfs/dbraw/zinc/83/43/48/330834348.db2.gz SDNGVBVAGOVZEC-UHFFFAOYSA-N 1 2 259.397 3.761 20 0 CHADLO COCc1cccc(Cn2c[nH+]c3cc(C)c(C)cc32)c1 ZINC000446072026 330844049 /nfs/dbraw/zinc/84/40/49/330844049.db2.gz SAVLZKVMEIKIQA-UHFFFAOYSA-N 1 2 280.371 3.848 20 0 CHADLO Cc1ccc(NC(=O)C[C@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC000446439035 330848935 /nfs/dbraw/zinc/84/89/35/330848935.db2.gz UPOONMOURFCKQG-FZMZJTMJSA-N 1 2 260.381 3.853 20 0 CHADLO CCc1nc(C[NH2+]Cc2ncc(C(C)(C)C)s2)cs1 ZINC000447942595 330880663 /nfs/dbraw/zinc/88/06/63/330880663.db2.gz NGSXVBIQTQKQOA-UHFFFAOYSA-N 1 2 295.477 3.749 20 0 CHADLO COCC1CCC(Nc2c[nH+]c3c(c2)CCCC3)CC1 ZINC000449231086 330907927 /nfs/dbraw/zinc/90/79/27/330907927.db2.gz CKEAHFOBOWLSFM-UHFFFAOYSA-N 1 2 274.408 3.578 20 0 CHADLO CCCOc1c(Cl)cc(C[NH+]2CC3(CC3)C2)cc1OC ZINC000449370783 330919548 /nfs/dbraw/zinc/91/95/48/330919548.db2.gz MNKQQZTZLPMOGB-UHFFFAOYSA-N 1 2 295.810 3.733 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2cnc(C3CC3)o2)o1 ZINC000449699488 330944694 /nfs/dbraw/zinc/94/46/94/330944694.db2.gz RAGIPNMIXRQTBM-IINYFYTJSA-N 1 2 272.348 3.558 20 0 CHADLO COc1cccc2c1cc[nH+]c2N(C)Cc1cnc(C)s1 ZINC000450261549 330969085 /nfs/dbraw/zinc/96/90/85/330969085.db2.gz IRHUZYWYZBXSTP-UHFFFAOYSA-N 1 2 299.399 3.645 20 0 CHADLO c1ccc(C2([NH2+]Cc3nnc(C4CC4)s3)CCC2)cc1 ZINC000450512425 330984449 /nfs/dbraw/zinc/98/44/49/330984449.db2.gz STZFUESWKJZNHD-UHFFFAOYSA-N 1 2 285.416 3.585 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1csnn1)c1ccc(F)cc1F ZINC000450670986 330992456 /nfs/dbraw/zinc/99/24/56/330992456.db2.gz GVOZZUBXEUSFPY-UFBFGSQYSA-N 1 2 283.347 3.618 20 0 CHADLO CC[C@@](C)(CNc1[nH+]ccc2ccc(F)cc21)OC ZINC000450707017 330994668 /nfs/dbraw/zinc/99/46/68/330994668.db2.gz AFPJIUHUHOJLJB-HNNXBMFYSA-N 1 2 262.328 3.601 20 0 CHADLO CO[C@H]1CCN(c2cc(C)[nH+]c3c(F)cccc23)C[C@H]1C ZINC000450759628 330998473 /nfs/dbraw/zinc/99/84/73/330998473.db2.gz MXMDIXHAPRQOPW-BZNIZROVSA-N 1 2 288.366 3.544 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1cc2cccc(F)c2o1 ZINC000450835851 331001837 /nfs/dbraw/zinc/00/18/37/331001837.db2.gz PMYIVBSDUHBDKW-DTWKUNHWSA-N 1 2 291.351 3.835 20 0 CHADLO COC[C@@H]1CCCN(c2[nH+]ccc3ccc(F)cc32)CC1 ZINC000450950676 331008556 /nfs/dbraw/zinc/00/85/56/331008556.db2.gz WFOWWEPLDXZINA-CYBMUJFWSA-N 1 2 288.366 3.627 20 0 CHADLO CC(C)CC1(C)CC[NH+]([C@@H](C)c2csnn2)CC1 ZINC000451041415 331013200 /nfs/dbraw/zinc/01/32/00/331013200.db2.gz NKWATRWUSXDHKZ-LBPRGKRZSA-N 1 2 267.442 3.747 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000451119197 331016025 /nfs/dbraw/zinc/01/60/25/331016025.db2.gz GBSPGSFWWXVLGG-INIZCTEOSA-N 1 2 292.329 3.805 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000451119197 331016026 /nfs/dbraw/zinc/01/60/26/331016026.db2.gz GBSPGSFWWXVLGG-INIZCTEOSA-N 1 2 292.329 3.805 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3c(Cl)cc(F)cc3C2)n1 ZINC000451158469 331017938 /nfs/dbraw/zinc/01/79/38/331017938.db2.gz LDFGBMQVCPDRJR-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3c(Cl)cc(F)cc3C2)n1 ZINC000451158469 331017939 /nfs/dbraw/zinc/01/79/39/331017939.db2.gz LDFGBMQVCPDRJR-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@@H+]1CC[C@@H](F)C1 ZINC000451187359 331019476 /nfs/dbraw/zinc/01/94/76/331019476.db2.gz NDOGBGPWWUTUGE-BXKDBHETSA-N 1 2 272.161 3.554 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@H+]1CC[C@@H](F)C1 ZINC000451187359 331019477 /nfs/dbraw/zinc/01/94/77/331019477.db2.gz NDOGBGPWWUTUGE-BXKDBHETSA-N 1 2 272.161 3.554 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CC2(CCC2)[C@H]1c1ccco1 ZINC000451853781 331044667 /nfs/dbraw/zinc/04/46/67/331044667.db2.gz MBVVTWHWZWFTBT-MRXNPFEDSA-N 1 2 285.391 3.784 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(-c3ccoc3)no2)cc1C ZINC000452039896 331051595 /nfs/dbraw/zinc/05/15/95/331051595.db2.gz HSUZKNDNEKBOLN-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(-c3ccoc3)no2)cc1C ZINC000452039896 331051596 /nfs/dbraw/zinc/05/15/96/331051596.db2.gz HSUZKNDNEKBOLN-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO CCc1[nH+]c2ccccc2n1C[C@@H](O)[C@@H](C)c1ccccc1 ZINC000453020467 331083746 /nfs/dbraw/zinc/08/37/46/331083746.db2.gz QAUGOZDSCLYXMT-KBXCAEBGSA-N 1 2 294.398 3.763 20 0 CHADLO FC(F)(F)C[C@H]([NH2+][C@@H]1CCn2ccnc21)c1ccccc1 ZINC000453059969 331085501 /nfs/dbraw/zinc/08/55/01/331085501.db2.gz MCBDLAHXYPJXIG-OLZOCXBDSA-N 1 2 295.308 3.611 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)N[C@@H](c1ccco1)c1ccccc1 ZINC000453076476 331086891 /nfs/dbraw/zinc/08/68/91/331086891.db2.gz HVASLQBHFQUQCV-WMLDXEAASA-N 1 2 295.386 3.936 20 0 CHADLO CCc1nc(C)c([C@H](C)[NH2+][C@@H](CC)C(F)F)s1 ZINC000453250729 331107752 /nfs/dbraw/zinc/10/77/52/331107752.db2.gz SNHYNIVGANKGGT-CBAPKCEASA-N 1 2 262.369 3.708 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cc(F)ccc1SC ZINC000453125702 331091741 /nfs/dbraw/zinc/09/17/41/331091741.db2.gz TXGGPNKOXOXHBV-NSHDSACASA-N 1 2 293.411 3.615 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cc(F)ccc1SC ZINC000453125701 331091855 /nfs/dbraw/zinc/09/18/55/331091855.db2.gz TXGGPNKOXOXHBV-LLVKDONJSA-N 1 2 293.411 3.615 20 0 CHADLO Cc1ccc(N[C@H](C)CCCc2cccnc2)c[nH+]1 ZINC000453172853 331096964 /nfs/dbraw/zinc/09/69/64/331096964.db2.gz LJRDPWPJANQNSH-CQSZACIVSA-N 1 2 255.365 3.608 20 0 CHADLO CC(C)Cn1ncc(N[C@H]2C[C@H](C)n3cc[nH+]c32)c1C1CC1 ZINC000453212027 331101985 /nfs/dbraw/zinc/10/19/85/331101985.db2.gz BOIAFMWVKDZCFA-JSGCOSHPSA-N 1 2 299.422 3.731 20 0 CHADLO CCn1cc([C@@H](C)[NH2+][C@@H](c2ccco2)c2ccccc2)cn1 ZINC000194983464 331104520 /nfs/dbraw/zinc/10/45/20/331104520.db2.gz ICIWEWSETGKCGR-RDTXWAMCSA-N 1 2 295.386 3.936 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC)C(F)F)c1ccc2c(c1)OCCO2 ZINC000453231948 331104607 /nfs/dbraw/zinc/10/46/07/331104607.db2.gz FTOKTSWIMSMQSX-RYUDHWBXSA-N 1 2 285.334 3.542 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(C)ccc1OC)C(F)F ZINC000453250632 331107458 /nfs/dbraw/zinc/10/74/58/331107458.db2.gz SBGXSJPWGBEKHL-JQWIXIFHSA-N 1 2 257.324 3.698 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(OC)c(OC)cc1C)C(F)F ZINC000453251456 331107699 /nfs/dbraw/zinc/10/76/99/331107699.db2.gz UDOXYEBQNSPRMA-ZYHUDNBSSA-N 1 2 287.350 3.707 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(F)c(F)c(F)c1)C(F)F ZINC000453252738 331107783 /nfs/dbraw/zinc/10/77/83/331107783.db2.gz VORNTUZKERNMGW-LDWIPMOCSA-N 1 2 267.241 3.798 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(Cl)cc1 ZINC000453278130 331110369 /nfs/dbraw/zinc/11/03/69/331110369.db2.gz DXTFAIPZAHBKKF-BXKDBHETSA-N 1 2 277.742 3.661 20 0 CHADLO Cc1ccc2cc([C@@H](C)[NH2+]Cc3nnc(C(C)C)[nH]3)oc2c1 ZINC000453289671 331111631 /nfs/dbraw/zinc/11/16/31/331111631.db2.gz MIOCAYXDFJDMFY-GFCCVEGCSA-N 1 2 298.390 3.834 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1cc(F)c(F)c(F)c1 ZINC000453292497 331111929 /nfs/dbraw/zinc/11/19/29/331111929.db2.gz NWDWLVZVWIGLGZ-BQBZGAKWSA-N 1 2 267.241 3.798 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1cc(F)c(F)c(F)c1 ZINC000453292499 331111959 /nfs/dbraw/zinc/11/19/59/331111959.db2.gz NWDWLVZVWIGLGZ-RNFRBKRXSA-N 1 2 267.241 3.798 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(-n2cccn2)cc1 ZINC000453299563 331112980 /nfs/dbraw/zinc/11/29/80/331112980.db2.gz USNJPJKCJGCFFD-VXGBXAGGSA-N 1 2 279.334 3.567 20 0 CHADLO COc1ccc2c(c1)[C@H]([NH2+][C@H](C)C(C)(F)F)CCS2 ZINC000453301759 331113382 /nfs/dbraw/zinc/11/33/82/331113382.db2.gz YCNMNMTVMVGYFO-BXKDBHETSA-N 1 2 287.375 3.865 20 0 CHADLO CC/C=C\C[NH2+][C@@H](c1nccn1C)c1ccc(Cl)cc1 ZINC000453320696 331116412 /nfs/dbraw/zinc/11/64/12/331116412.db2.gz NMCJPBRZQWHEIG-FOSCPCJNSA-N 1 2 289.810 3.719 20 0 CHADLO C[C@H]([NH2+]Cc1nn(C)cc1Cl)c1cccc(C2CC2)c1 ZINC000453325174 331117080 /nfs/dbraw/zinc/11/70/80/331117080.db2.gz UDGJMIJQCWVJGD-NSHDSACASA-N 1 2 289.810 3.802 20 0 CHADLO CC[C@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(F)cc1F ZINC000453328802 331117434 /nfs/dbraw/zinc/11/74/34/331117434.db2.gz VRMAOHCVITWKSY-ZDUSSCGKSA-N 1 2 299.752 3.593 20 0 CHADLO CC/C=C/C[NH2+][C@H](c1ccn(C)n1)c1ccc(Cl)cc1 ZINC000453337565 331118692 /nfs/dbraw/zinc/11/86/92/331118692.db2.gz YTWODBATBJLUJW-APHBUQMISA-N 1 2 289.810 3.719 20 0 CHADLO C[C@@H]1C[C@@H](N[C@H](c2ccccc2)C(F)(F)F)c2[nH+]ccn21 ZINC000453341897 331119198 /nfs/dbraw/zinc/11/91/98/331119198.db2.gz HKXNDLIFXRHIDK-RAIGVLPGSA-N 1 2 295.308 3.782 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1cnn(C2CCCC2)c1 ZINC000453356205 331121733 /nfs/dbraw/zinc/12/17/33/331121733.db2.gz PAMBJBWEIGXLHP-HEHGZKQESA-N 1 2 299.422 3.551 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cnc(C3CC3)o2)oc1C ZINC000453363171 331122786 /nfs/dbraw/zinc/12/27/86/331122786.db2.gz QMDITAAAJZKSCF-SNVBAGLBSA-N 1 2 260.337 3.613 20 0 CHADLO CCSCc1ccc(N[C@H](C)c2[nH+]ccn2C)cc1 ZINC000453388032 331126869 /nfs/dbraw/zinc/12/68/69/331126869.db2.gz WMPUZQIJZGRLHD-GFCCVEGCSA-N 1 2 275.421 3.846 20 0 CHADLO C=Cn1cc(CNc2ccc(-c3ccccc3)c[nH+]2)cn1 ZINC000195010615 331132040 /nfs/dbraw/zinc/13/20/40/331132040.db2.gz VKOIFLHVPUVECL-UHFFFAOYSA-N 1 2 276.343 3.658 20 0 CHADLO CC(C)C[N@H+](Cc1cnn(C)c1)Cc1ccsc1Cl ZINC000453621323 331136949 /nfs/dbraw/zinc/13/69/49/331136949.db2.gz YVSSCCCYNYCENG-UHFFFAOYSA-N 1 2 297.855 3.793 20 0 CHADLO CC(C)C[N@@H+](Cc1cnn(C)c1)Cc1ccsc1Cl ZINC000453621323 331136950 /nfs/dbraw/zinc/13/69/50/331136950.db2.gz YVSSCCCYNYCENG-UHFFFAOYSA-N 1 2 297.855 3.793 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000453624620 331137113 /nfs/dbraw/zinc/13/71/13/331137113.db2.gz YNSYYMPVUJKSCX-KRWDZBQOSA-N 1 2 281.403 3.835 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nnc(-c3ccccc3)o2)c(C)o1 ZINC000195087959 331137769 /nfs/dbraw/zinc/13/77/69/331137769.db2.gz TWMZURMHSOYVHL-GFCCVEGCSA-N 1 2 297.358 3.797 20 0 CHADLO Cc1cc(C)c(CNC(=O)N[C@H](C)CCC(C)(C)C)c[nH+]1 ZINC000454534676 331148187 /nfs/dbraw/zinc/14/81/87/331148187.db2.gz ZGEYYHVDNAWCML-CYBMUJFWSA-N 1 2 291.439 3.712 20 0 CHADLO Cc1cc(C)c(NC(=O)NC(C)(C)C2CCC2)c(C)[nH+]1 ZINC000455467403 331170415 /nfs/dbraw/zinc/17/04/15/331170415.db2.gz AVDIQMPPHVWFHF-UHFFFAOYSA-N 1 2 275.396 3.707 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)[C@@H](C)CCC(C)(C)C ZINC000456335504 331190301 /nfs/dbraw/zinc/19/03/01/331190301.db2.gz ABCPTLOYKXLXTI-STQMWFEESA-N 1 2 279.428 3.543 20 0 CHADLO CCC[C@H](C(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456358303 331190696 /nfs/dbraw/zinc/19/06/96/331190696.db2.gz CKHBFNQSVXVBQB-KBMXLJTQSA-N 1 2 297.402 3.589 20 0 CHADLO CCC[C@H](C(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456358302 331190711 /nfs/dbraw/zinc/19/07/11/331190711.db2.gz CKHBFNQSVXVBQB-CWRNSKLLSA-N 1 2 297.402 3.589 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)CC/C=C/c1ccccc1 ZINC000456363386 331191026 /nfs/dbraw/zinc/19/10/26/331191026.db2.gz UQDNPIUOGJUVMG-USYSOWRXSA-N 1 2 297.402 3.574 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21 ZINC000456366737 331191121 /nfs/dbraw/zinc/19/11/21/331191121.db2.gz GYKJYJHOMUGCCQ-IHRRRGAJSA-N 1 2 277.412 3.612 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)c2cccc3ccsc32)c2[nH+]ccn21 ZINC000456396538 331192108 /nfs/dbraw/zinc/19/21/08/331192108.db2.gz BDDSVJFPCZHZAW-GXFFZTMASA-N 1 2 297.383 3.534 20 0 CHADLO CCCC(C)(C)CC(=O)NCc1c[nH+]c(C)cc1C ZINC000456841200 331207082 /nfs/dbraw/zinc/20/70/82/331207082.db2.gz OJSBNYVFQJJBAX-UHFFFAOYSA-N 1 2 262.397 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H](c2ccccc2)C(C)C)c[nH+]1 ZINC000456847004 331207461 /nfs/dbraw/zinc/20/74/61/331207461.db2.gz UOQBDPYRGQMYBQ-SFHVURJKSA-N 1 2 296.414 3.754 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H](C)CCC(C)(C)C)c[nH+]1 ZINC000456855249 331207560 /nfs/dbraw/zinc/20/75/60/331207560.db2.gz ZLRRNKFMXUWLBL-LBPRGKRZSA-N 1 2 276.424 3.777 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1C(=O)NCc1c[nH+]c(C)cc1C ZINC000456855021 331207591 /nfs/dbraw/zinc/20/75/91/331207591.db2.gz YVXXXQTXFFPBAQ-ZBFHGGJFSA-N 1 2 274.408 3.531 20 0 CHADLO C[NH+](C)Cc1csc(NC(=O)CC2CCCCCC2)n1 ZINC000457319144 331218956 /nfs/dbraw/zinc/21/89/56/331218956.db2.gz VXVJEKRSKLIVGO-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO CC[C@H]1CCCCCN1C(=O)NCc1c[nH+]c(C)cc1C ZINC000459073423 331269208 /nfs/dbraw/zinc/26/92/08/331269208.db2.gz JIVKVELREZXKQZ-INIZCTEOSA-N 1 2 289.423 3.563 20 0 CHADLO Cc1cc(C)c(CNC(=O)N(CC(C)C)CC(C)C)c[nH+]1 ZINC000459130516 331270983 /nfs/dbraw/zinc/27/09/83/331270983.db2.gz VRNDGABIKGIDAG-UHFFFAOYSA-N 1 2 291.439 3.522 20 0 CHADLO CCC[C@H](NC(=O)Nc1ccc(C)[nH+]c1C)C1CCC1 ZINC000459425695 331280823 /nfs/dbraw/zinc/28/08/23/331280823.db2.gz CGOXROQYFYSVIW-HNNXBMFYSA-N 1 2 275.396 3.789 20 0 CHADLO CC(C)(C)C1CC(Nc2cc(CSCCO)cc[nH+]2)C1 ZINC000459465582 331282952 /nfs/dbraw/zinc/28/29/52/331282952.db2.gz OEQUPFFQKQMVCJ-UHFFFAOYSA-N 1 2 294.464 3.544 20 0 CHADLO COCC1=CC[N@H+](Cc2c(F)cc(C)cc2Cl)CC1 ZINC000459509446 331285361 /nfs/dbraw/zinc/28/53/61/331285361.db2.gz XZGMOYXGJHRGMG-UHFFFAOYSA-N 1 2 283.774 3.566 20 0 CHADLO COCC1=CC[N@@H+](Cc2c(F)cc(C)cc2Cl)CC1 ZINC000459509446 331285362 /nfs/dbraw/zinc/28/53/62/331285362.db2.gz XZGMOYXGJHRGMG-UHFFFAOYSA-N 1 2 283.774 3.566 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2c(F)cc(C)cc2Cl)o1 ZINC000459559052 331287788 /nfs/dbraw/zinc/28/77/88/331287788.db2.gz OMERNUVUQZEIBC-UHFFFAOYSA-N 1 2 296.773 3.936 20 0 CHADLO COCCC1(C)C[NH+](Cc2cc(Cl)sc2Cl)C1 ZINC000459640040 331291734 /nfs/dbraw/zinc/29/17/34/331291734.db2.gz WYJJFHYOWTZUBX-UHFFFAOYSA-N 1 2 294.247 3.913 20 0 CHADLO C[C@H]([NH2+]Cc1c(Cl)oc2ccccc21)c1ccn(C)n1 ZINC000459739163 331297211 /nfs/dbraw/zinc/29/72/11/331297211.db2.gz DEIAMZQWQMJAPP-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO Cc1ccc(C(=O)CC[N@@H+]2Cc3cccc(F)c3C2)cc1 ZINC000459765041 331299396 /nfs/dbraw/zinc/29/93/96/331299396.db2.gz WYLICBKOXUHUTQ-UHFFFAOYSA-N 1 2 283.346 3.723 20 0 CHADLO Cc1ccc(C(=O)CC[N@H+]2Cc3cccc(F)c3C2)cc1 ZINC000459765041 331299397 /nfs/dbraw/zinc/29/93/97/331299397.db2.gz WYLICBKOXUHUTQ-UHFFFAOYSA-N 1 2 283.346 3.723 20 0 CHADLO C[C@@H](CC1CCCCC1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000460333747 331309296 /nfs/dbraw/zinc/30/92/96/331309296.db2.gz IIBOQVMVTCYARC-ZDUSSCGKSA-N 1 2 285.391 3.879 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@H](C)C3(CCCCC3)C2)no1 ZINC000462453329 331322209 /nfs/dbraw/zinc/32/22/09/331322209.db2.gz DQACQEBTJLQCIP-CQSZACIVSA-N 1 2 291.439 3.814 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@H](C)C3(CCCCC3)C2)no1 ZINC000462453329 331322210 /nfs/dbraw/zinc/32/22/10/331322210.db2.gz DQACQEBTJLQCIP-CQSZACIVSA-N 1 2 291.439 3.814 20 0 CHADLO Cc1nc(NCC2(c3cccs3)CCCCC2)cc[nH+]1 ZINC000462857673 331332897 /nfs/dbraw/zinc/33/28/97/331332897.db2.gz YOQLUHCONNYPQG-UHFFFAOYSA-N 1 2 287.432 3.582 20 0 CHADLO CC[C@H]([NH2+][C@H](CC(C)(C)C)c1ccc(F)cc1)C(=O)OC ZINC000463909764 331353635 /nfs/dbraw/zinc/35/36/35/331353635.db2.gz HUXMBXVLKPBCPT-LSDHHAIUSA-N 1 2 295.398 3.844 20 0 CHADLO c1cnn(-c2ccc([NH2+][C@@H]3CC[C@H]3C3CCC3)cc2)c1 ZINC000510678220 332911011 /nfs/dbraw/zinc/91/10/11/332911011.db2.gz ZEMJMBAMQLCNGW-DLBZAZTESA-N 1 2 267.376 3.863 20 0 CHADLO c1cnn(-c2ccc([NH2+][C@@H]3CC[C@@H]3C3CCC3)cc2)c1 ZINC000510678221 332911030 /nfs/dbraw/zinc/91/10/30/332911030.db2.gz ZEMJMBAMQLCNGW-IAGOWNOFSA-N 1 2 267.376 3.863 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H]1CCC[C@H]([C@@H]2CCOC2)C1)C(F)(F)F ZINC000467356896 331416070 /nfs/dbraw/zinc/41/60/70/331416070.db2.gz YPBZNVLGOUAOLB-DGAVXFQQSA-N 1 2 293.373 3.758 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@H]2COc3ccc(F)cc32)o1 ZINC000468036465 331427222 /nfs/dbraw/zinc/42/72/22/331427222.db2.gz KBQVRJXRIRXIRS-HZMBPMFUSA-N 1 2 275.323 3.765 20 0 CHADLO CC[C@H](NC(=O)CCCCc1ccc(C)cc1)c1[nH]cc[nH+]1 ZINC000470702976 331487745 /nfs/dbraw/zinc/48/77/45/331487745.db2.gz IKXGJFUMBBNGLX-INIZCTEOSA-N 1 2 299.418 3.698 20 0 CHADLO Cc1ccc(CCC(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)o1 ZINC000471877183 331523111 /nfs/dbraw/zinc/52/31/11/331523111.db2.gz MGRFFNPEPNOPGT-UHFFFAOYSA-N 1 2 295.342 3.549 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1ncc(C(C)(C)C)o1 ZINC000473673469 331555447 /nfs/dbraw/zinc/55/54/47/331555447.db2.gz BYTPLOZXRBAPTO-UHFFFAOYSA-N 1 2 274.364 3.817 20 0 CHADLO Cc1nc(Nc2cccc(N3CCCC3)c2)c(C)c(C)[nH+]1 ZINC000474791578 331598324 /nfs/dbraw/zinc/59/83/24/331598324.db2.gz SEBRBTITCXJGMH-UHFFFAOYSA-N 1 2 282.391 3.746 20 0 CHADLO C[C@@H](Nc1c[nH+]c2c(c1)CCCC2)C1CCSCC1 ZINC000474927607 331604297 /nfs/dbraw/zinc/60/42/97/331604297.db2.gz RCUUGWZQBWRMNP-GFCCVEGCSA-N 1 2 276.449 3.904 20 0 CHADLO CCOC(=O)[C@H]([NH2+]Cc1coc2ccccc12)[C@@H](C)CC ZINC000475152263 331616478 /nfs/dbraw/zinc/61/64/78/331616478.db2.gz ANAYWYJHPAMWSS-BLLLJJGKSA-N 1 2 289.375 3.500 20 0 CHADLO CCOC(=O)[C@@H]([NH2+][C@@H](C)C(C)C)c1cccc(C)c1C ZINC000483336476 331870056 /nfs/dbraw/zinc/87/00/56/331870056.db2.gz HPSQOWAPZIPVRN-HOCLYGCPSA-N 1 2 277.408 3.542 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)C1C2CC3CC(C2)CC1C3 ZINC000485480952 331915289 /nfs/dbraw/zinc/91/52/89/331915289.db2.gz NYPCYJKMVGIYKS-UHFFFAOYSA-N 1 2 284.403 3.709 20 0 CHADLO Cc1cc(N2CCC=C(F)C2)c2cccc(F)c2[nH+]1 ZINC000485973857 331928137 /nfs/dbraw/zinc/92/81/37/331928137.db2.gz CYGKIPGQZJNSOV-UHFFFAOYSA-N 1 2 260.287 3.746 20 0 CHADLO Cc1nc(N2C[C@H](c3ccccc3)[C@H]3CCCC[C@@H]32)cc[nH+]1 ZINC000486029214 331929373 /nfs/dbraw/zinc/92/93/73/331929373.db2.gz OEDZGGMLZKJLNT-KURKYZTESA-N 1 2 293.414 3.948 20 0 CHADLO CC(C)c1nc(N(C)[C@@H]2CCc3ccccc3C2)cc[nH+]1 ZINC000511669569 332939282 /nfs/dbraw/zinc/93/92/82/332939282.db2.gz ZYAFHOSWPFFIPR-MRXNPFEDSA-N 1 2 281.403 3.594 20 0 CHADLO Fc1ccc2cc(C[N@@H+]3CCCC[C@H]3c3ncc[nH]3)[nH]c2c1 ZINC000488342275 332000962 /nfs/dbraw/zinc/00/09/62/332000962.db2.gz BCQWMUSZQRSJAS-INIZCTEOSA-N 1 2 298.365 3.757 20 0 CHADLO Fc1ccc2cc(C[N@H+]3CCCC[C@H]3c3ncc[nH]3)[nH]c2c1 ZINC000488342275 332000963 /nfs/dbraw/zinc/00/09/63/332000963.db2.gz BCQWMUSZQRSJAS-INIZCTEOSA-N 1 2 298.365 3.757 20 0 CHADLO Fc1ccc2cc(CN3CCCC[C@H]3c3[nH]cc[nH+]3)[nH]c2c1 ZINC000488342275 332000964 /nfs/dbraw/zinc/00/09/64/332000964.db2.gz BCQWMUSZQRSJAS-INIZCTEOSA-N 1 2 298.365 3.757 20 0 CHADLO CCCCC[C@@H]1CCCN1C(=O)c1ccc2[nH+]ccn2c1 ZINC000489285161 332045502 /nfs/dbraw/zinc/04/55/02/332045502.db2.gz GUJYWQBTBGNGSS-OAHLLOKOSA-N 1 2 285.391 3.519 20 0 CHADLO COc1ccc(C[N@@H+]2CCOC[C@@H]2CC(C)C)cc1Cl ZINC000489325671 332047100 /nfs/dbraw/zinc/04/71/00/332047100.db2.gz JQUIWDOCVRGWCT-AWEZNQCLSA-N 1 2 297.826 3.596 20 0 CHADLO COc1ccc(C[N@H+]2CCOC[C@@H]2CC(C)C)cc1Cl ZINC000489325671 332047101 /nfs/dbraw/zinc/04/71/01/332047101.db2.gz JQUIWDOCVRGWCT-AWEZNQCLSA-N 1 2 297.826 3.596 20 0 CHADLO CC(C)[N@H+](CCCc1cccc(F)c1)CC(F)F ZINC000489977202 332075456 /nfs/dbraw/zinc/07/54/56/332075456.db2.gz JSLISCOVMFECKV-UHFFFAOYSA-N 1 2 259.315 3.734 20 0 CHADLO CC(C)[N@@H+](CCCc1cccc(F)c1)CC(F)F ZINC000489977202 332075457 /nfs/dbraw/zinc/07/54/57/332075457.db2.gz JSLISCOVMFECKV-UHFFFAOYSA-N 1 2 259.315 3.734 20 0 CHADLO c1cc(NCc2ccc(C3CCC3)cc2)nc(C2CC2)[nH+]1 ZINC000491590297 332241791 /nfs/dbraw/zinc/24/17/91/332241791.db2.gz YTEUTJTZZRRGEO-UHFFFAOYSA-N 1 2 279.387 3.656 20 0 CHADLO c1cn(-c2cccc(-c3nc(-c4ccccc4)no3)c2)c[nH+]1 ZINC000172316353 335100728 /nfs/dbraw/zinc/10/07/28/335100728.db2.gz APFKHTHNVDEQSX-UHFFFAOYSA-N 1 2 288.310 3.589 20 0 CHADLO Cc1nc2ccc(CNc3c[nH+]cc(C)c3)cc2s1 ZINC000492065957 332381315 /nfs/dbraw/zinc/38/13/15/332381315.db2.gz UWVHFNLTIUZBKS-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO Cc1c[nH+]c(CN[C@H]2CCCc3sc(Cl)cc32)n1C ZINC000492176566 332386019 /nfs/dbraw/zinc/38/60/19/332386019.db2.gz SXJWGTLBNMUHIO-NSHDSACASA-N 1 2 295.839 3.611 20 0 CHADLO C[C@H](NC(=O)C=Cc1c[nH]c[nH+]1)c1cc2ccccc2s1 ZINC000492766449 332412725 /nfs/dbraw/zinc/41/27/25/332412725.db2.gz MQBFEBOYOSKCBM-MLRMMBSGSA-N 1 2 297.383 3.515 20 0 CHADLO Clc1ccc2c(cc3n2CCN(c2cccc[nH+]2)C3)c1 ZINC000493571767 332445517 /nfs/dbraw/zinc/44/55/17/332445517.db2.gz IHNXCAPMWWFIQM-UHFFFAOYSA-N 1 2 283.762 3.710 20 0 CHADLO CCCc1csc(C[NH2+]Cc2ccc3ccccc3n2)n1 ZINC000493997553 332460229 /nfs/dbraw/zinc/46/02/29/332460229.db2.gz AFGOYTUYSFXNTO-UHFFFAOYSA-N 1 2 297.427 3.934 20 0 CHADLO C[C@@H]1C[C@H]([NH2+][C@H](CC(C)(C)C)C(F)(F)F)[C@H]1C ZINC000494933969 332492932 /nfs/dbraw/zinc/49/29/32/332492932.db2.gz UCJMRBCOIPZYFO-VPOLOUISSA-N 1 2 251.336 3.988 20 0 CHADLO CC(C)N(C(=O)C[C@H](C)n1cc[nH+]c1)[C@H](C)c1ccccc1 ZINC000566069423 334619991 /nfs/dbraw/zinc/61/99/91/334619991.db2.gz CKRVUXCEISXYEN-JKSUJKDBSA-N 1 2 299.418 3.832 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H](c2ccc(F)cc2)C(C)C)no1 ZINC000502499811 332663520 /nfs/dbraw/zinc/66/35/20/332663520.db2.gz IFZQGWZKHDMPOB-OAHLLOKOSA-N 1 2 291.370 3.819 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)C(=O)OC(C)(C)C)c1ccc(F)cc1 ZINC000502508502 332664043 /nfs/dbraw/zinc/66/40/43/332664043.db2.gz JCLMPUJBMXFKKA-SWLSCSKDSA-N 1 2 295.398 3.843 20 0 CHADLO CC[C@H]1C[C@@]1([NH2+]Cc1nc(C2CC2)no1)c1cccc(C)c1 ZINC000502513622 332664145 /nfs/dbraw/zinc/66/41/45/332664145.db2.gz ZDNSRNBMHUUIOD-KSSFIOAISA-N 1 2 297.402 3.670 20 0 CHADLO Cc1cc(C[NH2+][C@]2(c3ccc(Cl)cc3)C[C@@H]2C)no1 ZINC000503438137 332689697 /nfs/dbraw/zinc/68/96/97/332689697.db2.gz RVPQQVHAHODJDD-ZUZCIYMTSA-N 1 2 276.767 3.661 20 0 CHADLO C[C@H]([NH2+]Cc1ccc2occc2c1)c1nc(C(C)(C)C)no1 ZINC000512013427 332950585 /nfs/dbraw/zinc/95/05/85/332950585.db2.gz ALYSIAYMRADWQQ-NSHDSACASA-N 1 2 299.374 3.964 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1CCC[C@H]2CCCC[C@@H]21 ZINC000512571917 332973848 /nfs/dbraw/zinc/97/38/48/332973848.db2.gz DPFBMCRTKFYSPW-OWCLPIDISA-N 1 2 286.419 3.611 20 0 CHADLO Cc1cc(Nc2cccc(OCC(F)(F)F)c2)nc(C)[nH+]1 ZINC000513155788 333006668 /nfs/dbraw/zinc/00/66/68/333006668.db2.gz QUCBSWJEPMUJDN-UHFFFAOYSA-N 1 2 297.280 3.778 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1CCCOc1cccc(Cl)c1 ZINC000513184349 333007305 /nfs/dbraw/zinc/00/73/05/333007305.db2.gz RGHUFVNDBSVAMM-CYBMUJFWSA-N 1 2 289.753 3.838 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1CCCOc1cccc(Cl)c1 ZINC000513184349 333007307 /nfs/dbraw/zinc/00/73/07/333007307.db2.gz RGHUFVNDBSVAMM-CYBMUJFWSA-N 1 2 289.753 3.838 20 0 CHADLO CCc1ccccc1CC[N@@H+]1CCC[C@@H]1C(F)F ZINC000513215431 333009160 /nfs/dbraw/zinc/00/91/60/333009160.db2.gz OKVFOYPLUPGBBM-CQSZACIVSA-N 1 2 253.336 3.521 20 0 CHADLO CCc1ccccc1CC[N@H+]1CCC[C@@H]1C(F)F ZINC000513215431 333009161 /nfs/dbraw/zinc/00/91/61/333009161.db2.gz OKVFOYPLUPGBBM-CQSZACIVSA-N 1 2 253.336 3.521 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1ccccc1Br ZINC000310387732 333057907 /nfs/dbraw/zinc/05/79/07/333057907.db2.gz ICLZKBXDZWYONS-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1ccccc1Br ZINC000310387732 333057909 /nfs/dbraw/zinc/05/79/09/333057909.db2.gz ICLZKBXDZWYONS-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO C[C@@H](CC1CCCCC1)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178381469 333071771 /nfs/dbraw/zinc/07/17/71/333071771.db2.gz CIDKHJBPIHYTGZ-AWEZNQCLSA-N 1 2 299.418 3.557 20 0 CHADLO COC1(CNc2ccc3ccccc3[nH+]2)CCCC1 ZINC000311837504 333073235 /nfs/dbraw/zinc/07/32/35/333073235.db2.gz GZYZCVYOZCDNMZ-UHFFFAOYSA-N 1 2 256.349 3.606 20 0 CHADLO FC1=CCC[N@H+](CCCc2ccccc2Br)C1 ZINC000516807937 333082952 /nfs/dbraw/zinc/08/29/52/333082952.db2.gz FINMFRHHHUKECJ-UHFFFAOYSA-N 1 2 298.199 3.941 20 0 CHADLO FC1=CCC[N@@H+](CCCc2ccccc2Br)C1 ZINC000516807937 333082953 /nfs/dbraw/zinc/08/29/53/333082953.db2.gz FINMFRHHHUKECJ-UHFFFAOYSA-N 1 2 298.199 3.941 20 0 CHADLO CCCc1ccc(C[N@H+](C)[C@@H](C)c2nc(C)no2)cc1 ZINC000516978388 333088524 /nfs/dbraw/zinc/08/85/24/333088524.db2.gz DXEMUAHSCBLGQS-LBPRGKRZSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1ccc(C[N@@H+](C)[C@@H](C)c2nc(C)no2)cc1 ZINC000516978388 333088525 /nfs/dbraw/zinc/08/85/25/333088525.db2.gz DXEMUAHSCBLGQS-LBPRGKRZSA-N 1 2 273.380 3.524 20 0 CHADLO CC(C)COc1ccccc1CNc1cc[nH+]c(C(C)C)n1 ZINC000517649138 333104914 /nfs/dbraw/zinc/10/49/14/333104914.db2.gz GQZBAHWCUIXYMU-UHFFFAOYSA-N 1 2 299.418 3.669 20 0 CHADLO COc1cc(C)ccc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000336851163 335121354 /nfs/dbraw/zinc/12/13/54/335121354.db2.gz IXQCSBJLNJGLDU-UHFFFAOYSA-N 1 2 293.370 3.801 20 0 CHADLO CCC1(CC)C[N@@H+]([C@@H](C)c2ccccc2F)CC[S@]1=O ZINC000185243309 333137494 /nfs/dbraw/zinc/13/74/94/333137494.db2.gz UCHMALBZWGGJPE-RNODOKPDSA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@@H](C)c2ccccc2F)CC[S@]1=O ZINC000185243309 333137495 /nfs/dbraw/zinc/13/74/95/333137495.db2.gz UCHMALBZWGGJPE-RNODOKPDSA-N 1 2 297.439 3.510 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc3ncsc3c2)c1 ZINC000219839360 333157952 /nfs/dbraw/zinc/15/79/52/333157952.db2.gz RODGCABQUFMONC-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO Cc1[nH]c(SCCCn2cc[nH+]c2)nc1-c1ccccc1 ZINC000187148234 333168071 /nfs/dbraw/zinc/16/80/71/333168071.db2.gz CCRZDPFNKBFFPP-UHFFFAOYSA-N 1 2 298.415 3.764 20 0 CHADLO Cc1ccc(NC(=O)c2csc(Cl)c2)c(C)[nH+]1 ZINC000189440727 333192663 /nfs/dbraw/zinc/19/26/63/333192663.db2.gz CZQHKYUGZPJVGS-UHFFFAOYSA-N 1 2 266.753 3.666 20 0 CHADLO CCc1cccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000189968508 333201218 /nfs/dbraw/zinc/20/12/18/333201218.db2.gz WZGODZXFKJCNAO-LBPRGKRZSA-N 1 2 287.407 3.780 20 0 CHADLO Clc1ccc(/C=C/CSCCn2cc[nH+]c2)cc1 ZINC000190107200 333203191 /nfs/dbraw/zinc/20/31/91/333203191.db2.gz MYRBKVGLQBAKRG-OWOJBTEDSA-N 1 2 278.808 3.983 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cccs1)C(=O)OC(C)(C)C ZINC000190499283 333207602 /nfs/dbraw/zinc/20/76/02/333207602.db2.gz DKUVYAMWTZVDHH-MNOVXSKESA-N 1 2 269.410 3.519 20 0 CHADLO Cc1cc(OCc2nc(C(C)(C)C)no2)c2ccccc2[nH+]1 ZINC000192193687 333232038 /nfs/dbraw/zinc/23/20/38/333232038.db2.gz YZMBRQAZPKBEIE-UHFFFAOYSA-N 1 2 297.358 3.803 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccccc1OC(F)F ZINC000192597496 333236953 /nfs/dbraw/zinc/23/69/53/333236953.db2.gz NEDKRZPNKHQATO-GFCCVEGCSA-N 1 2 292.329 3.666 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccccc1OC(F)F ZINC000192597496 333236954 /nfs/dbraw/zinc/23/69/54/333236954.db2.gz NEDKRZPNKHQATO-GFCCVEGCSA-N 1 2 292.329 3.666 20 0 CHADLO C[C@@H]1COCC[C@@H]1Nc1cccc([NH+]2CCCCCC2)c1 ZINC000195105214 333245390 /nfs/dbraw/zinc/24/53/90/333245390.db2.gz WRPVPJWPCWOBBM-QAPCUYQASA-N 1 2 288.435 3.904 20 0 CHADLO C[C@H]1COCC[C@H]1Nc1cccc([NH+]2CCCCCC2)c1 ZINC000195105252 333245508 /nfs/dbraw/zinc/24/55/08/333245508.db2.gz WRPVPJWPCWOBBM-MAUKXSAKSA-N 1 2 288.435 3.904 20 0 CHADLO CC(C)c1ccc(-c2csc([C@H]3COCC[NH2+]3)n2)cc1 ZINC000226469108 333261733 /nfs/dbraw/zinc/26/17/33/333261733.db2.gz JDQRTXTZELUVAP-CQSZACIVSA-N 1 2 288.416 3.594 20 0 CHADLO Cc1cc(N(C)CC2CCCCC2)nc(-c2ccncc2)[nH+]1 ZINC000195741532 333263412 /nfs/dbraw/zinc/26/34/12/333263412.db2.gz IYEJZFCTYZMURV-UHFFFAOYSA-N 1 2 296.418 3.864 20 0 CHADLO CCCOc1cccc(CNc2c[nH+]cc(C)c2)c1 ZINC000227604024 333286925 /nfs/dbraw/zinc/28/69/25/333286925.db2.gz HAKKAHIEVPDTAP-UHFFFAOYSA-N 1 2 256.349 3.791 20 0 CHADLO CCS[C@H]1CCC[C@@H]1Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000230186075 333315629 /nfs/dbraw/zinc/31/56/29/333315629.db2.gz KIIRLOOMRARCLG-STQMWFEESA-N 1 2 279.453 3.995 20 0 CHADLO CCOC(=O)[C@@H]([NH2+][C@H](C)C1CCCCC1)c1ccccc1 ZINC000231667026 333327290 /nfs/dbraw/zinc/32/72/90/333327290.db2.gz WZOOLYBEYLMVSA-PBHICJAKSA-N 1 2 289.419 3.849 20 0 CHADLO CCCC[C@H]([NH2+][C@@H](C)c1ccc(Cl)cc1)C(=O)OC ZINC000231729662 333328622 /nfs/dbraw/zinc/32/86/22/333328622.db2.gz FLJGXUGYBJZPST-FZMZJTMJSA-N 1 2 283.799 3.722 20 0 CHADLO C[C@H](CC(F)(F)F)Nc1ccc2ccccc2[nH+]1 ZINC000231749676 333329045 /nfs/dbraw/zinc/32/90/45/333329045.db2.gz VLFIDBVCVWZFBS-SECBINFHSA-N 1 2 254.255 3.988 20 0 CHADLO Cc1cc2[nH+]cn(C[C@@H](O)CC3CCCC3)c2cc1C ZINC000232145886 333334457 /nfs/dbraw/zinc/33/44/57/333334457.db2.gz HYVULJINFFWTQG-HNNXBMFYSA-N 1 2 272.392 3.594 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(C)c(F)c2)s1 ZINC000338273214 335135534 /nfs/dbraw/zinc/13/55/34/335135534.db2.gz FFKDQBIDNVETPR-VHSXEESVSA-N 1 2 279.384 3.706 20 0 CHADLO Cc1ccc(N[C@H]2CCCc3ccc(O)cc32)c[nH+]1 ZINC000235986928 333372724 /nfs/dbraw/zinc/37/27/24/333372724.db2.gz AECMZLMIXHXQLH-INIZCTEOSA-N 1 2 254.333 3.585 20 0 CHADLO c1ccc2c(c1)CC[C@@H](Nc1ccc(N3CCCC3)[nH+]c1)C2 ZINC000236680564 333374556 /nfs/dbraw/zinc/37/45/56/333374556.db2.gz FXIYYSNCVQJCEG-QGZVFWFLSA-N 1 2 293.414 3.651 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000316428926 333393310 /nfs/dbraw/zinc/39/33/10/333393310.db2.gz BDGFDXBEFSJBNC-CQSZACIVSA-N 1 2 293.370 3.621 20 0 CHADLO CCN(c1ccccc1)c1cc(NCc2ccco2)[nH+]cn1 ZINC000244205043 333427057 /nfs/dbraw/zinc/42/70/57/333427057.db2.gz VQXKDJGQTNDWEH-UHFFFAOYSA-N 1 2 294.358 3.840 20 0 CHADLO Cc1ncc(C[NH2+][C@@H](C)c2ccccc2Br)o1 ZINC000397923910 333430762 /nfs/dbraw/zinc/43/07/62/333430762.db2.gz RJSCXYVGWUEIDM-VIFPVBQESA-N 1 2 295.180 3.596 20 0 CHADLO CCCc1nc(C[NH2+][C@H](CC)c2cc(C)ccc2C)no1 ZINC000528797745 333458716 /nfs/dbraw/zinc/45/87/16/333458716.db2.gz FDSIFLKNOIILDC-OAHLLOKOSA-N 1 2 287.407 3.880 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CC[C@H]2c2ccc(F)cc2)c(C)[nH+]1 ZINC000528808833 333459494 /nfs/dbraw/zinc/45/94/94/333459494.db2.gz DKTRZGWPOYKOSC-JKSUJKDBSA-N 1 2 298.361 3.970 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H](C)Cc2ccccc2C)c[nH+]1 ZINC000529467192 333488773 /nfs/dbraw/zinc/48/87/73/333488773.db2.gz NCGOXHVKQHLZEE-OAHLLOKOSA-N 1 2 296.414 3.502 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)C1CC(F)(F)C1 ZINC000529535701 333494571 /nfs/dbraw/zinc/49/45/71/333494571.db2.gz ZTQJMYRDZROEFW-LBPRGKRZSA-N 1 2 295.377 3.918 20 0 CHADLO CC(C)N(C)c1ccc(-c2ccc3c(c2)CCOC3)c[nH+]1 ZINC000530248045 333529858 /nfs/dbraw/zinc/52/98/58/333529858.db2.gz GKKTWSWPSSOHSS-UHFFFAOYSA-N 1 2 282.387 3.666 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2OC(C)C)oc1C ZINC000538125531 333575797 /nfs/dbraw/zinc/57/57/97/333575797.db2.gz NSNCYBWKQZSCOX-CYBMUJFWSA-N 1 2 288.391 3.929 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C ZINC000538323090 333585202 /nfs/dbraw/zinc/58/52/02/333585202.db2.gz IKKWSPTZGDPIRY-BAESOJJISA-N 1 2 295.810 3.578 20 0 CHADLO CC[C@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)C1CC1 ZINC000538635723 333610142 /nfs/dbraw/zinc/61/01/42/333610142.db2.gz UTFJUPSWFCMOAY-AWEZNQCLSA-N 1 2 259.328 3.612 20 0 CHADLO Cc1ccc(F)c(NCc2c[nH+]c3c(C)cccn23)c1 ZINC000128181955 333644265 /nfs/dbraw/zinc/64/42/65/333644265.db2.gz XUJNKBHKXMAMDA-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO COc1cccc(N[C@H](c2[nH]cc[nH+]2)c2ccccc2)c1 ZINC000128383149 333665957 /nfs/dbraw/zinc/66/59/57/333665957.db2.gz SPELRVOAZRITOB-INIZCTEOSA-N 1 2 279.343 3.620 20 0 CHADLO CC[C@H](C)[C@H]([NH2+]Cc1ccccc1)C(=O)OC(C)(C)C ZINC000539493410 333673877 /nfs/dbraw/zinc/67/38/77/333673877.db2.gz NNVRVPPBABYESS-ZFWWWQNUSA-N 1 2 277.408 3.533 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccnn1-c1ccccc1)c1cscn1 ZINC000564227859 333710735 /nfs/dbraw/zinc/71/07/35/333710735.db2.gz SXSYRNSNLVLPIW-OLZOCXBDSA-N 1 2 298.415 3.741 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ncc(C)s2)C2CC2)o1 ZINC000174546029 335158691 /nfs/dbraw/zinc/15/86/91/335158691.db2.gz YSZQDJYVDXDRKB-ZDUSSCGKSA-N 1 2 262.378 3.594 20 0 CHADLO CCCC[C@H](CC)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000540872266 333750787 /nfs/dbraw/zinc/75/07/87/333750787.db2.gz NTKDOMQNSMUOIT-HNNXBMFYSA-N 1 2 299.418 3.909 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cccc(C2CCC2)c1 ZINC000541210214 333765730 /nfs/dbraw/zinc/76/57/30/333765730.db2.gz DEQFGQQNGFXIDT-UHFFFAOYSA-N 1 2 280.371 3.586 20 0 CHADLO Cc1cc([NH2+][C@@H](C)CC2CC2)ccc1-n1nnnc1C(C)C ZINC000541558510 333780289 /nfs/dbraw/zinc/78/02/89/333780289.db2.gz BIGVWWHYMBWIEP-ZDUSSCGKSA-N 1 2 299.422 3.695 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2ccc(F)c(F)c2)C(C)C)s1 ZINC000541916651 333800606 /nfs/dbraw/zinc/80/06/06/333800606.db2.gz XSTMDLULOVIEAO-AWEZNQCLSA-N 1 2 297.374 3.612 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccn(C)n1 ZINC000542669808 333835599 /nfs/dbraw/zinc/83/55/99/333835599.db2.gz AXQSJPBQNRNHAZ-MFKMUULPSA-N 1 2 279.334 3.500 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)CCN1c1cccc[nH+]1 ZINC000543068615 333855255 /nfs/dbraw/zinc/85/52/55/333855255.db2.gz XSBHDFBOHBDPDN-ZBFHGGJFSA-N 1 2 252.361 3.854 20 0 CHADLO Cc1ccc(Cc2noc(C[C@H]3CCCC[C@@H]3C)n2)c[nH+]1 ZINC000544155332 333919117 /nfs/dbraw/zinc/91/91/17/333919117.db2.gz XHQVHNYSYWSRNG-SWLSCSKDSA-N 1 2 285.391 3.733 20 0 CHADLO CCC[C@H](C(=O)Nc1cc[nH+]c(C)c1)c1ccccc1 ZINC000072709888 333954107 /nfs/dbraw/zinc/95/41/07/333954107.db2.gz GNBLUWJQUYREJP-INIZCTEOSA-N 1 2 268.360 3.912 20 0 CHADLO Cc1cc(NC(=O)C[C@@H](C)c2ccccc2)cc[nH+]1 ZINC000072709910 333954187 /nfs/dbraw/zinc/95/41/87/333954187.db2.gz CUQKXBYYBIRUNT-GFCCVEGCSA-N 1 2 254.333 3.522 20 0 CHADLO CCC(CC)[NH2+]c1ccc(-n2nnnc2C(C)C)c(C)c1 ZINC000545192237 333967901 /nfs/dbraw/zinc/96/79/01/333967901.db2.gz NFILMVYFLWXAIN-UHFFFAOYSA-N 1 2 287.411 3.695 20 0 CHADLO Cc1ccc2[nH]cc(CCC(=O)Nc3cc[nH+]c(C)c3)c2c1 ZINC000073610028 333975707 /nfs/dbraw/zinc/97/57/07/333975707.db2.gz PMVAZGCYPIYZJJ-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO Cc1ccc(Cc2noc(CC3(C)CCCCC3)n2)c[nH+]1 ZINC000545634158 333992375 /nfs/dbraw/zinc/99/23/75/333992375.db2.gz VAPYFJVMFHUINC-UHFFFAOYSA-N 1 2 285.391 3.877 20 0 CHADLO CCC(CC)Cc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000545692919 333995153 /nfs/dbraw/zinc/99/51/53/333995153.db2.gz GTSQAWDASOEZGP-UHFFFAOYSA-N 1 2 296.374 3.901 20 0 CHADLO CCn1cc[nH+]c1CNc1ccc(Oc2ccccc2)cn1 ZINC000545927452 334007009 /nfs/dbraw/zinc/00/70/09/334007009.db2.gz FKRBBPMTJUEJSV-UHFFFAOYSA-N 1 2 294.358 3.702 20 0 CHADLO CC(C)=CC[N@@H+]1CCC[C@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000133263428 334032011 /nfs/dbraw/zinc/03/20/11/334032011.db2.gz NPTAFFQJDDYQAE-HNNXBMFYSA-N 1 2 282.391 3.575 20 0 CHADLO CC(C)=CC[N@H+]1CCC[C@H]1c1nnc(-c2ccccc2)[nH]1 ZINC000133263428 334032013 /nfs/dbraw/zinc/03/20/13/334032013.db2.gz NPTAFFQJDDYQAE-HNNXBMFYSA-N 1 2 282.391 3.575 20 0 CHADLO CC(C)=CC[N@@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000133263428 334032015 /nfs/dbraw/zinc/03/20/15/334032015.db2.gz NPTAFFQJDDYQAE-HNNXBMFYSA-N 1 2 282.391 3.575 20 0 CHADLO CC(C)=CC[N@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000133263428 334032016 /nfs/dbraw/zinc/03/20/16/334032016.db2.gz NPTAFFQJDDYQAE-HNNXBMFYSA-N 1 2 282.391 3.575 20 0 CHADLO COc1ccc[nH+]c1N[C@H]1CCC[C@H](c2ncccc2C)C1 ZINC000546691263 334045979 /nfs/dbraw/zinc/04/59/79/334045979.db2.gz ZLTJQNGOTVUJRK-GJZGRUSLSA-N 1 2 297.402 3.932 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@H]2c2cc(C)ccc2C)no1 ZINC000133699660 334050512 /nfs/dbraw/zinc/05/05/12/334050512.db2.gz FAPAJPJMGMTKOC-INIZCTEOSA-N 1 2 299.418 3.976 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@H]2c2cc(C)ccc2C)no1 ZINC000133699660 334050513 /nfs/dbraw/zinc/05/05/13/334050513.db2.gz FAPAJPJMGMTKOC-INIZCTEOSA-N 1 2 299.418 3.976 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(-c2ccco2)n1)c1ccoc1 ZINC000133929058 334072741 /nfs/dbraw/zinc/07/27/41/334072741.db2.gz RLNRVOLZGOGGJO-SNVBAGLBSA-N 1 2 274.345 3.847 20 0 CHADLO c1cc2c(cccc2CNc2cccc(-n3cc[nH+]c3)c2)[nH]1 ZINC000134246703 334085237 /nfs/dbraw/zinc/08/52/37/334085237.db2.gz UAWPZPBGDUSSOO-UHFFFAOYSA-N 1 2 288.354 3.966 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@@H](C)c2ccccc2F)s1 ZINC000077185351 334097758 /nfs/dbraw/zinc/09/77/58/334097758.db2.gz OLGXXPIBQLHONM-JTQLQIEISA-N 1 2 264.369 3.784 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@@H](C)c2ccccc2F)s1 ZINC000077185351 334097759 /nfs/dbraw/zinc/09/77/59/334097759.db2.gz OLGXXPIBQLHONM-JTQLQIEISA-N 1 2 264.369 3.784 20 0 CHADLO CC(C)OC(=O)[C@@H]1CCC[N@@H+]1Cc1cccc(C(F)F)c1 ZINC000547768344 334115923 /nfs/dbraw/zinc/11/59/23/334115923.db2.gz WYHXYJLCZSVCDZ-AWEZNQCLSA-N 1 2 297.345 3.540 20 0 CHADLO CC(C)OC(=O)[C@@H]1CCC[N@H+]1Cc1cccc(C(F)F)c1 ZINC000547768344 334115925 /nfs/dbraw/zinc/11/59/25/334115925.db2.gz WYHXYJLCZSVCDZ-AWEZNQCLSA-N 1 2 297.345 3.540 20 0 CHADLO c1c(CSCCOc2ccccc2)[nH+]c2ccccn12 ZINC000078249622 334129162 /nfs/dbraw/zinc/12/91/62/334129162.db2.gz XLMOWXFYXFYOOX-UHFFFAOYSA-N 1 2 284.384 3.647 20 0 CHADLO CCCCOCCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000135813347 334139156 /nfs/dbraw/zinc/13/91/56/334139156.db2.gz PYROROGEPQLGHS-UHFFFAOYSA-N 1 2 276.302 3.719 20 0 CHADLO CCCCN(CCCC)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000078946442 334143622 /nfs/dbraw/zinc/14/36/22/334143622.db2.gz ZUJXMNNUTFMMST-UHFFFAOYSA-N 1 2 299.418 3.915 20 0 CHADLO CC(C)[C@]1(C)C[C@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000548161929 334144140 /nfs/dbraw/zinc/14/41/40/334144140.db2.gz SNOSDDDBWMILHD-YOEHRIQHSA-N 1 2 283.375 3.697 20 0 CHADLO Cc1[nH+]c2ccccc2n1CC(=O)N(C(C)C)C1CCCC1 ZINC000080058985 334165590 /nfs/dbraw/zinc/16/55/90/334165590.db2.gz ROUGSKRGAWCASK-UHFFFAOYSA-N 1 2 299.418 3.524 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2nccs2)c(OC)c1 ZINC000080265800 334169002 /nfs/dbraw/zinc/16/90/02/334169002.db2.gz JJXBIEHCLXHSRX-QWRGUYRKSA-N 1 2 292.404 3.572 20 0 CHADLO COC(=O)c1ccc(C[N@@H+]2Cc3ccccc3[C@H]2C)c(F)c1 ZINC000548752197 334169842 /nfs/dbraw/zinc/16/98/42/334169842.db2.gz NVMYEWMMZNWBOI-GFCCVEGCSA-N 1 2 299.345 3.689 20 0 CHADLO COC(=O)c1ccc(C[N@H+]2Cc3ccccc3[C@H]2C)c(F)c1 ZINC000548752197 334169844 /nfs/dbraw/zinc/16/98/44/334169844.db2.gz NVMYEWMMZNWBOI-GFCCVEGCSA-N 1 2 299.345 3.689 20 0 CHADLO C[C@@H](C[N@@H+]1CC[C@@](F)(c2ccccc2F)C1)C(F)(F)F ZINC000549414027 334193271 /nfs/dbraw/zinc/19/32/71/334193271.db2.gz IDVJMEXASLXFAN-GWCFXTLKSA-N 1 2 293.279 3.895 20 0 CHADLO C[C@@H](C[N@H+]1CC[C@@](F)(c2ccccc2F)C1)C(F)(F)F ZINC000549414027 334193272 /nfs/dbraw/zinc/19/32/72/334193272.db2.gz IDVJMEXASLXFAN-GWCFXTLKSA-N 1 2 293.279 3.895 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000245472807 334195905 /nfs/dbraw/zinc/19/59/05/334195905.db2.gz PIRTYTFIOGXXSU-VXGBXAGGSA-N 1 2 280.412 3.532 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000245472807 334195907 /nfs/dbraw/zinc/19/59/07/334195907.db2.gz PIRTYTFIOGXXSU-VXGBXAGGSA-N 1 2 280.412 3.532 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+]1C[C@H](C)OC(C)(C)C1 ZINC000245472805 334195913 /nfs/dbraw/zinc/19/59/13/334195913.db2.gz PIRTYTFIOGXXSU-NWDGAFQWSA-N 1 2 280.412 3.532 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+]1C[C@H](C)OC(C)(C)C1 ZINC000245472805 334195915 /nfs/dbraw/zinc/19/59/15/334195915.db2.gz PIRTYTFIOGXXSU-NWDGAFQWSA-N 1 2 280.412 3.532 20 0 CHADLO Fc1ccccc1[C@@H]1CCN(c2[nH]c3ccccc3[nH+]2)C1 ZINC000549577322 334198595 /nfs/dbraw/zinc/19/85/95/334198595.db2.gz IPKOZVXWUGACLQ-GFCCVEGCSA-N 1 2 281.334 3.696 20 0 CHADLO Cc1cc(Br)ccc1C[N@@H+]1CCC[C@H]1CF ZINC000550011857 334211389 /nfs/dbraw/zinc/21/13/89/334211389.db2.gz PBVNXEJEKUCNGA-ZDUSSCGKSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cc(Br)ccc1C[N@H+]1CCC[C@H]1CF ZINC000550011857 334211390 /nfs/dbraw/zinc/21/13/90/334211390.db2.gz PBVNXEJEKUCNGA-ZDUSSCGKSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc2[nH+]c(CN(CC(C)C)CC(F)(F)F)cn2c1 ZINC000551557746 334254123 /nfs/dbraw/zinc/25/41/23/334254123.db2.gz HSHIWNNYPMOMRQ-UHFFFAOYSA-N 1 2 299.340 3.663 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@](F)(c3cc(F)cc(F)c3)C2)o1 ZINC000551826378 334263577 /nfs/dbraw/zinc/26/35/77/334263577.db2.gz KRWKLNYCKJHZIC-MRXNPFEDSA-N 1 2 295.304 3.937 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@](F)(c3cc(F)cc(F)c3)C2)o1 ZINC000551826378 334263579 /nfs/dbraw/zinc/26/35/79/334263579.db2.gz KRWKLNYCKJHZIC-MRXNPFEDSA-N 1 2 295.304 3.937 20 0 CHADLO CCO[C@H](C)c1nc(C[N@H+](C)[C@@H]2C=CCCC2)cs1 ZINC000247461743 334282054 /nfs/dbraw/zinc/28/20/54/334282054.db2.gz ILUSWUIGXVEONL-TZMCWYRMSA-N 1 2 280.437 3.781 20 0 CHADLO CCO[C@H](C)c1nc(C[N@@H+](C)[C@@H]2C=CCCC2)cs1 ZINC000247461743 334282055 /nfs/dbraw/zinc/28/20/55/334282055.db2.gz ILUSWUIGXVEONL-TZMCWYRMSA-N 1 2 280.437 3.781 20 0 CHADLO Clc1cccc([C@H]2C[N@@H+]([C@@H]3C=CCCC3)CCO2)c1 ZINC000248613125 334308758 /nfs/dbraw/zinc/30/87/58/334308758.db2.gz IXRYWYDFSNGQGG-HZPDHXFCSA-N 1 2 277.795 3.822 20 0 CHADLO Clc1cccc([C@H]2C[N@H+]([C@@H]3C=CCCC3)CCO2)c1 ZINC000248613125 334308759 /nfs/dbraw/zinc/30/87/59/334308759.db2.gz IXRYWYDFSNGQGG-HZPDHXFCSA-N 1 2 277.795 3.822 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@H+](C)Cc1ccc(C)cc1C ZINC000552497908 334332120 /nfs/dbraw/zinc/33/21/20/334332120.db2.gz ZIJMVMVTTKLRQT-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@@H+](C)Cc1ccc(C)cc1C ZINC000552497908 334332122 /nfs/dbraw/zinc/33/21/22/334332122.db2.gz ZIJMVMVTTKLRQT-GOSISDBHSA-N 1 2 297.398 3.650 20 0 CHADLO C/C=C\C[C@H](CO)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000553192001 334367955 /nfs/dbraw/zinc/36/79/55/334367955.db2.gz XCDFDNNOIFLENR-OTDNITJGSA-N 1 2 276.767 3.627 20 0 CHADLO OCc1ccccc1CNc1cc[nH+]c2c(Cl)cccc12 ZINC000553290992 334374055 /nfs/dbraw/zinc/37/40/55/334374055.db2.gz VENFIJLCMCCJSB-UHFFFAOYSA-N 1 2 298.773 3.993 20 0 CHADLO C[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CC(C)(C)O1 ZINC000553316884 334377013 /nfs/dbraw/zinc/37/70/13/334377013.db2.gz CUGXMOJDNAJMOI-NSHDSACASA-N 1 2 290.794 3.892 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ccon1)c1ccccc1Cl ZINC000091366958 334377439 /nfs/dbraw/zinc/37/74/39/334377439.db2.gz UIECAUACNKJLGU-AWEZNQCLSA-N 1 2 264.756 3.815 20 0 CHADLO CCC(O)(CC)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000553339102 334378748 /nfs/dbraw/zinc/37/87/48/334378748.db2.gz XVIMPOGLVNVXQZ-UHFFFAOYSA-N 1 2 278.783 3.851 20 0 CHADLO CCCOc1ccc(CNc2ccc[nH+]c2C)cc1 ZINC000091496082 334379334 /nfs/dbraw/zinc/37/93/34/334379334.db2.gz JHDSLSUFEYYYCA-UHFFFAOYSA-N 1 2 256.349 3.791 20 0 CHADLO CN(c1cc[nH+]c2c(Cl)cccc12)[C@H]1CC[C@H](O)CC1 ZINC000553531534 334390222 /nfs/dbraw/zinc/39/02/22/334390222.db2.gz AXHIFUCQPYKCBP-HAQNSBGRSA-N 1 2 290.794 3.628 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC(CC(F)(F)F)CC1 ZINC000553591955 334392813 /nfs/dbraw/zinc/39/28/13/334392813.db2.gz BRLYNCWLUDBIBY-UHFFFAOYSA-N 1 2 297.324 3.742 20 0 CHADLO CC[C@H]1CC[C@H]1Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000554385578 334427610 /nfs/dbraw/zinc/42/76/10/334427610.db2.gz DVCQWSDDNLXMED-DZGCQCFKSA-N 1 2 259.397 3.672 20 0 CHADLO CC1C[NH+](Cc2cc(Cl)cc(C(F)(F)F)c2)C1 ZINC000555341928 334475410 /nfs/dbraw/zinc/47/54/10/334475410.db2.gz RVGALRLVPGAKQU-UHFFFAOYSA-N 1 2 263.690 3.811 20 0 CHADLO Cc1nc(-c2cccc(N[C@H](C)c3[nH]cc[nH+]3)c2)oc1C ZINC000564675163 334516116 /nfs/dbraw/zinc/51/61/16/334516116.db2.gz LLNUVXUOONJLHM-LLVKDONJSA-N 1 2 282.347 3.855 20 0 CHADLO C[C@@H](CC(=O)N1CCCCCc2ccccc21)n1cc[nH+]c1 ZINC000565046428 334536216 /nfs/dbraw/zinc/53/62/16/334536216.db2.gz DMDXRKBKBTXPBP-HNNXBMFYSA-N 1 2 297.402 3.594 20 0 CHADLO CCc1ccc(CNc2[nH+]c3ccccc3n2C(C)C)cn1 ZINC000565433681 334563677 /nfs/dbraw/zinc/56/36/77/334563677.db2.gz TVNQNEHXEZGJFH-UHFFFAOYSA-N 1 2 294.402 3.609 20 0 CHADLO CCCCNc1ccccc1C(=O)Nc1cc[nH+]cc1C ZINC000153520612 334610898 /nfs/dbraw/zinc/61/08/98/334610898.db2.gz BKJIRWGAANQFMK-UHFFFAOYSA-N 1 2 283.375 3.854 20 0 CHADLO Cc1ccc([C@H]([NH3+])c2nc(C3CCCCCC3)no2)cc1 ZINC000567234263 334696116 /nfs/dbraw/zinc/69/61/16/334696116.db2.gz QRNMSZJBTIXGRB-HNNXBMFYSA-N 1 2 285.391 3.864 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nc(C(C)C)no1)c1c(C)noc1C ZINC000155824888 334730051 /nfs/dbraw/zinc/73/00/51/334730051.db2.gz NGHYHBVSZYSADR-PWSUYJOCSA-N 1 2 292.383 3.600 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2cccc(F)c2F)s1 ZINC000274243156 334777791 /nfs/dbraw/zinc/77/77/91/334777791.db2.gz RCZLTPCVXLOOET-HTQZYQBOSA-N 1 2 283.347 3.537 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCCc3occc32)nc2ccccc12 ZINC000568379748 334820689 /nfs/dbraw/zinc/82/06/89/334820689.db2.gz AZWSBNHFOAEVPL-HNNXBMFYSA-N 1 2 293.370 3.698 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+][C@H](C)c1cscn1 ZINC000573105377 334907425 /nfs/dbraw/zinc/90/74/25/334907425.db2.gz UVRYQCBJSPWVBC-MNOVXSKESA-N 1 2 261.394 3.512 20 0 CHADLO CCc1cnc(C[N@H+](C)CCOc2ccccc2C)s1 ZINC000175516638 335186882 /nfs/dbraw/zinc/18/68/82/335186882.db2.gz RZYKPYVYBNGRTH-UHFFFAOYSA-N 1 2 290.432 3.525 20 0 CHADLO CCc1cnc(C[N@@H+](C)CCOc2ccccc2C)s1 ZINC000175516638 335186885 /nfs/dbraw/zinc/18/68/85/335186885.db2.gz RZYKPYVYBNGRTH-UHFFFAOYSA-N 1 2 290.432 3.525 20 0 CHADLO Cc1[nH+]cccc1Cc1nc([C@H]2CCCC[C@H]2C)no1 ZINC000576312299 335188389 /nfs/dbraw/zinc/18/83/89/335188389.db2.gz SINKKQNVDJLSJO-RISCZKNCSA-N 1 2 271.364 3.658 20 0 CHADLO CCc1nocc1C[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000576824447 335252309 /nfs/dbraw/zinc/25/23/09/335252309.db2.gz ZIZWRHHQRMULOA-UHFFFAOYSA-N 1 2 282.796 3.510 20 0 CHADLO CCc1nocc1C[N@H+]1CCc2sc(Cl)cc2C1 ZINC000576824447 335252310 /nfs/dbraw/zinc/25/23/10/335252310.db2.gz ZIZWRHHQRMULOA-UHFFFAOYSA-N 1 2 282.796 3.510 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CO[C@@H]1CCCc2c[nH]nc21 ZINC000576966321 335271332 /nfs/dbraw/zinc/27/13/32/335271332.db2.gz CVPGUGZYNQWYAM-MRXNPFEDSA-N 1 2 285.391 3.831 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](CC)c2ccc(C)cc2)n1 ZINC000192520932 335272171 /nfs/dbraw/zinc/27/21/71/335272171.db2.gz DVTQCOWFIWEBHF-OAHLLOKOSA-N 1 2 287.407 3.962 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cc3cccnc3o2)cs1 ZINC000577030552 335284989 /nfs/dbraw/zinc/28/49/89/335284989.db2.gz IXBYUPVHUALHEV-SNVBAGLBSA-N 1 2 287.388 3.698 20 0 CHADLO CCC[C@@H](C)[C@@H]1CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000580581012 335290697 /nfs/dbraw/zinc/29/06/97/335290697.db2.gz JNFWELYPNVDDHP-CJNGLKHVSA-N 1 2 299.418 3.684 20 0 CHADLO C[C@@H]1C[C@H](N[C@H](c2ccco2)c2ccccc2)c2[nH+]ccn21 ZINC000345323817 335313359 /nfs/dbraw/zinc/31/33/59/335313359.db2.gz BBZONSUMAHYBQL-KMFMINBZSA-N 1 2 293.370 3.861 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1cc(F)ccc1F ZINC000345350588 335316866 /nfs/dbraw/zinc/31/68/66/335316866.db2.gz IARNHTFMKBPCDU-BHDSKKPTSA-N 1 2 277.318 3.518 20 0 CHADLO CCCC[C@H](CCC)CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000577224337 335326020 /nfs/dbraw/zinc/32/60/20/335326020.db2.gz VQFQLYHZHZSGEJ-CABCVRRESA-N 1 2 279.428 3.557 20 0 CHADLO FC(F)(F)c1cccc(CCCCNc2c[nH]c[nH+]2)c1 ZINC000577336169 335339872 /nfs/dbraw/zinc/33/98/72/335339872.db2.gz UPBYWKHIUQRXFZ-UHFFFAOYSA-N 1 2 283.297 3.863 20 0 CHADLO CCC1CC(Nc2ccc(N3CCCCC3)[nH+]c2)C1 ZINC000577397152 335348300 /nfs/dbraw/zinc/34/83/00/335348300.db2.gz DOARIJSJVIFLPW-UHFFFAOYSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cc(C)c(F)cc2F)c(C)[nH+]1 ZINC000177576921 335374954 /nfs/dbraw/zinc/37/49/54/335374954.db2.gz VAAHTOPCLWMPTF-UHFFFAOYSA-N 1 2 290.313 3.846 20 0 CHADLO C[C@H]1CC[C@H](C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000577637797 335384405 /nfs/dbraw/zinc/38/44/05/335384405.db2.gz FWPIXCXKKBJXET-HDJSIYSDSA-N 1 2 283.375 3.637 20 0 CHADLO CCC1(c2nc(Cc3ccc(C)[nH+]c3)no2)CCCCC1 ZINC000577660058 335386776 /nfs/dbraw/zinc/38/67/76/335386776.db2.gz NXBJBQQFASDSER-UHFFFAOYSA-N 1 2 285.391 3.976 20 0 CHADLO Cc1cc(C)cc(CCC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000178440238 335432289 /nfs/dbraw/zinc/43/22/89/335432289.db2.gz SCMQSHJKXUAGBT-UHFFFAOYSA-N 1 2 282.387 3.887 20 0 CHADLO CCc1ccc(CC)c(NCc2[nH]c(C)c(C)[nH+]2)c1 ZINC000578027144 335466582 /nfs/dbraw/zinc/46/65/82/335466582.db2.gz PQDIWEIYGPNBMU-UHFFFAOYSA-N 1 2 257.381 3.763 20 0 CHADLO C[C@@H](Nc1cccc(N2CCC(C)CC2)c1)c1[nH+]ccn1C ZINC000179960658 335535849 /nfs/dbraw/zinc/53/58/49/335535849.db2.gz JVWFCDRAPQFUEL-OAHLLOKOSA-N 1 2 298.434 3.830 20 0 CHADLO COCCCCCSCc1[nH+]cc(C)c(OC)c1C ZINC000180388798 335579350 /nfs/dbraw/zinc/57/93/50/335579350.db2.gz VROAQOIUXDKJTG-UHFFFAOYSA-N 1 2 283.437 3.757 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2ccc(F)cc2F)C(C)C)o1 ZINC000181656233 335776777 /nfs/dbraw/zinc/77/67/77/335776777.db2.gz OMSZVFIGQSLPPJ-OAHLLOKOSA-N 1 2 280.318 3.748 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@H](C)c2cccc(F)c2F)n1 ZINC000181993049 335793789 /nfs/dbraw/zinc/79/37/89/335793789.db2.gz JJDFSYUDVLGTJU-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO Cc1ccc(N[C@H](C)c2ccc(F)cc2N(C)C)c[nH+]1 ZINC000182911090 335849966 /nfs/dbraw/zinc/84/99/66/335849966.db2.gz BQVKPDOOBBOANV-GFCCVEGCSA-N 1 2 273.355 3.768 20 0 CHADLO CCC[C@@H]1CCCCN1C(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000183053708 335859142 /nfs/dbraw/zinc/85/91/42/335859142.db2.gz KIMISGANOJIQSC-OAHLLOKOSA-N 1 2 299.418 3.526 20 0 CHADLO Cc1[nH]c(CNc2cccc(C(F)(F)F)c2C)[nH+]c1C ZINC000579734626 335877623 /nfs/dbraw/zinc/87/76/23/335877623.db2.gz MGQYPPJJKCMJSB-UHFFFAOYSA-N 1 2 283.297 3.966 20 0 CHADLO Cc1cccc2c1N(C(=O)C[C@@H](C)n1cc[nH+]c1)CC[C@H]2C ZINC000579957570 335936664 /nfs/dbraw/zinc/93/66/64/335936664.db2.gz DPPPWBPCNZYTNQ-UKRRQHHQSA-N 1 2 297.402 3.683 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2nc(C)c(C)s2)C2CC2)s1 ZINC000185293796 335950431 /nfs/dbraw/zinc/95/04/31/335950431.db2.gz QEDKQCMLIUKVJD-CYBMUJFWSA-N 1 2 293.461 3.766 20 0 CHADLO Cc1nc(CSCCc2cccc(C)[nH+]2)cs1 ZINC000580952064 335988486 /nfs/dbraw/zinc/98/84/86/335988486.db2.gz FVXJQGDRBWIQDN-UHFFFAOYSA-N 1 2 264.419 3.631 20 0 CHADLO C[C@H]1CC[C@H](CC(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000581078010 336005266 /nfs/dbraw/zinc/00/52/66/336005266.db2.gz QJTNEAMHFVFMOH-KBPBESRZSA-N 1 2 297.402 3.519 20 0 CHADLO CC(C)[C@H]1CC[C@@H]1Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000581317768 336047678 /nfs/dbraw/zinc/04/76/78/336047678.db2.gz DGNWPVRHVXDOBN-HIFRSBDPSA-N 1 2 273.355 3.858 20 0 CHADLO Clc1ccsc1C[N@@H+]1CCOCC12CCCC2 ZINC000581322858 336049226 /nfs/dbraw/zinc/04/92/26/336049226.db2.gz FWTIPFVQFTXYJL-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Clc1ccsc1C[N@H+]1CCOCC12CCCC2 ZINC000581322858 336049227 /nfs/dbraw/zinc/04/92/27/336049227.db2.gz FWTIPFVQFTXYJL-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Cc1cc(C[NH2+]C2(c3noc(C)n3)CCCC2)c(C)s1 ZINC000195523377 336055678 /nfs/dbraw/zinc/05/56/78/336055678.db2.gz SZNJUUQZVAWKLG-UHFFFAOYSA-N 1 2 291.420 3.615 20 0 CHADLO Cc1ccc2oc(C[NH2+][C@H]3C[C@@H](C)n4ccnc43)cc2c1 ZINC000581529026 336095467 /nfs/dbraw/zinc/09/54/67/336095467.db2.gz AASDUGZMRRKBFY-DOMZBBRYSA-N 1 2 281.359 3.733 20 0 CHADLO COc1cccc2c1cc[nH+]c2NC[C@@H]1CCCSC1 ZINC000384082780 336121763 /nfs/dbraw/zinc/12/17/63/336121763.db2.gz AIAVAIVDPKIKDP-LBPRGKRZSA-N 1 2 288.416 3.799 20 0 CHADLO FC(F)(F)c1cc(Cl)cc(CNc2c[nH]c[nH+]2)c1 ZINC000581856398 336152061 /nfs/dbraw/zinc/15/20/61/336152061.db2.gz RPBBSWSXQBEKDI-UHFFFAOYSA-N 1 2 275.661 3.694 20 0 CHADLO Cc1cc(C[NH2+][C@H]2COc3c2ccc(Cl)c3Cl)no1 ZINC000336494112 533737854 /nfs/dbraw/zinc/73/78/54/533737854.db2.gz PAJYDMLIVCWVCW-NSHDSACASA-N 1 2 299.157 3.513 20 0 CHADLO COc1cc(C)[nH+]c(CSCCc2scnc2C)c1 ZINC000276553771 522291838 /nfs/dbraw/zinc/29/18/38/522291838.db2.gz BCMAZKDJPRTPGD-UHFFFAOYSA-N 1 2 294.445 3.639 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2sccc2Cl)[nH]1 ZINC000278858422 522438216 /nfs/dbraw/zinc/43/82/16/522438216.db2.gz XQVFFUAFFZDKEJ-RKDXNWHRSA-N 1 2 298.843 3.884 20 0 CHADLO C[C@@H]1CCC[C@H]([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000330273547 533879635 /nfs/dbraw/zinc/87/96/35/533879635.db2.gz ZJKORKHKQDVETI-VHDGCEQUSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1ccc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)nc1 ZINC000336211658 533913737 /nfs/dbraw/zinc/91/37/37/533913737.db2.gz DFJGXWSBBRVRHT-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1ccc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)nc1 ZINC000336211658 533913749 /nfs/dbraw/zinc/91/37/49/533913749.db2.gz DFJGXWSBBRVRHT-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1C(F)(F)F)c1ccon1 ZINC000351995208 533934601 /nfs/dbraw/zinc/93/46/01/533934601.db2.gz PEYFIDMGSIXHCX-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]Cc2cccc(Cl)c2Cl)c2nccn21 ZINC000336227877 533957801 /nfs/dbraw/zinc/95/78/01/533957801.db2.gz GBLMZIMHPCURMO-SKDRFNHKSA-N 1 2 296.201 3.986 20 0 CHADLO C[C@H]1C[N@H+](Cc2cscc2C(F)(F)F)CC(C)(C)O1 ZINC000356057779 533964182 /nfs/dbraw/zinc/96/41/82/533964182.db2.gz OIZZEOMOVDWUDX-VIFPVBQESA-N 1 2 293.354 3.766 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cscc2C(F)(F)F)CC(C)(C)O1 ZINC000356057779 533964187 /nfs/dbraw/zinc/96/41/87/533964187.db2.gz OIZZEOMOVDWUDX-VIFPVBQESA-N 1 2 293.354 3.766 20 0 CHADLO C[C@H](CSCCCn1cc[nH+]c1)c1ccccc1 ZINC000355932192 534137707 /nfs/dbraw/zinc/13/77/07/534137707.db2.gz PNLSXABRMSEISU-CQSZACIVSA-N 1 2 260.406 3.810 20 0 CHADLO CSc1ccc(C)c(N[C@H](C)c2[nH+]ccn2C)c1 ZINC000347341508 534196300 /nfs/dbraw/zinc/19/63/00/534196300.db2.gz OVXKENVDCVOHKX-LLVKDONJSA-N 1 2 261.394 3.624 20 0 CHADLO CSc1ccc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)cc1 ZINC000352223589 534204401 /nfs/dbraw/zinc/20/44/01/534204401.db2.gz WUIZLHCHCTYUKG-INIZCTEOSA-N 1 2 287.432 3.859 20 0 CHADLO CSc1ccc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)cc1 ZINC000352223589 534204402 /nfs/dbraw/zinc/20/44/02/534204402.db2.gz WUIZLHCHCTYUKG-INIZCTEOSA-N 1 2 287.432 3.859 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3CC[C@](C)(F)C3)cs2)o1 ZINC000347346917 534214888 /nfs/dbraw/zinc/21/48/88/534214888.db2.gz FXEIZOXRXJZNPK-AWEZNQCLSA-N 1 2 280.368 3.645 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3CC[C@](C)(F)C3)cs2)o1 ZINC000347346917 534214890 /nfs/dbraw/zinc/21/48/90/534214890.db2.gz FXEIZOXRXJZNPK-AWEZNQCLSA-N 1 2 280.368 3.645 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CCCN1c1[nH+]c2ccccc2n1C ZINC000480277338 534228241 /nfs/dbraw/zinc/22/82/41/534228241.db2.gz KTOJFTUKWNUXSZ-MNOVXSKESA-N 1 2 297.324 3.741 20 0 CHADLO CC[C@@](C)(NCc1[nH+]cc(C)c(OC)c1C)C(F)(F)F ZINC000533389350 517793959 /nfs/dbraw/zinc/79/39/59/517793959.db2.gz OIXBLQZZEHXQAN-CYBMUJFWSA-N 1 2 290.329 3.528 20 0 CHADLO C[C@H](Nc1ccc(-c2ccco2)cc1F)c1[nH+]ccn1C ZINC000494522989 518183642 /nfs/dbraw/zinc/18/36/42/518183642.db2.gz IMKSSTMNWAWLKH-NSHDSACASA-N 1 2 285.322 3.992 20 0 CHADLO Cc1ccc([C@@H](C)N(C)c2cc[nH+]c(C3CC3)n2)cc1 ZINC000301302226 518189573 /nfs/dbraw/zinc/18/95/73/518189573.db2.gz YDWPQRSEMUXZID-CYBMUJFWSA-N 1 2 267.376 3.860 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)c1ccc(F)c(F)c1 ZINC000074829802 518201043 /nfs/dbraw/zinc/20/10/43/518201043.db2.gz ZEQAQCIWIXYJHB-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000336212358 534353092 /nfs/dbraw/zinc/35/30/92/534353092.db2.gz RKBGIMMWGZAOSP-OAHLLOKOSA-N 1 2 272.348 3.612 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000336212358 534353097 /nfs/dbraw/zinc/35/30/97/534353097.db2.gz RKBGIMMWGZAOSP-OAHLLOKOSA-N 1 2 272.348 3.612 20 0 CHADLO CC(C)([NH2+]Cc1cocn1)c1cccc(C(F)(F)F)c1 ZINC000277041767 519325025 /nfs/dbraw/zinc/32/50/25/519325025.db2.gz PGZIZLBNZKTYIM-UHFFFAOYSA-N 1 2 284.281 3.718 20 0 CHADLO CC(C)C[C@H](CCO)CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000339053596 519334948 /nfs/dbraw/zinc/33/49/48/519334948.db2.gz AUMBDEQTQOSAAI-NSHDSACASA-N 1 2 290.329 3.557 20 0 CHADLO CC(C)OC1CC(Nc2ccc([NH+]3CCCC3)cc2)C1 ZINC000275122345 519753048 /nfs/dbraw/zinc/75/30/48/519753048.db2.gz JMVDDCMPTZILIZ-UHFFFAOYSA-N 1 2 274.408 3.655 20 0 CHADLO CC(C)OC1CC([NH2+]c2ccc(N3CCCC3)cc2)C1 ZINC000275122345 519753051 /nfs/dbraw/zinc/75/30/51/519753051.db2.gz JMVDDCMPTZILIZ-UHFFFAOYSA-N 1 2 274.408 3.655 20 0 CHADLO CC(C)OCC[N@@H+]1C[C@H](c2ccccc2Cl)OC[C@@H]1C ZINC000111309924 519766607 /nfs/dbraw/zinc/76/66/07/519766607.db2.gz FYNNRTOFASVJCS-XJKSGUPXSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OCC[N@H+]1C[C@H](c2ccccc2Cl)OC[C@@H]1C ZINC000111309924 519766609 /nfs/dbraw/zinc/76/66/09/519766609.db2.gz FYNNRTOFASVJCS-XJKSGUPXSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)SCC[NH2+][C@H](c1ccccc1)C(F)F ZINC000292881421 519787677 /nfs/dbraw/zinc/78/76/77/519787677.db2.gz XXGGXMVPAZMBDW-GFCCVEGCSA-N 1 2 259.365 3.724 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2cccc(O)c2Cl)n1 ZINC000293363699 519854734 /nfs/dbraw/zinc/85/47/34/519854734.db2.gz UTZLUXSQNIZABG-UHFFFAOYSA-N 1 2 296.823 3.915 20 0 CHADLO CC(C)c1nc(N(Cc2cccc(O)c2)CC2CC2)cc[nH+]1 ZINC000340575753 519878058 /nfs/dbraw/zinc/87/80/58/519878058.db2.gz NGKQHELPJBMMTH-UHFFFAOYSA-N 1 2 297.402 3.722 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccco2)o1 ZINC000076184288 519886856 /nfs/dbraw/zinc/88/68/56/519886856.db2.gz FPOWURKVWZNJFA-STQMWFEESA-N 1 2 289.379 3.759 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC[C@H](C)C[C@H]2c2ccco2)o1 ZINC000076184288 519886858 /nfs/dbraw/zinc/88/68/58/519886858.db2.gz FPOWURKVWZNJFA-STQMWFEESA-N 1 2 289.379 3.759 20 0 CHADLO CC(C)c1noc([C@H](C)[NH2+][C@H](C)c2ccccc2)n1 ZINC000107071302 519911090 /nfs/dbraw/zinc/91/10/90/519911090.db2.gz UGDFAWYYNIJGAD-NEPJUHHUSA-N 1 2 259.353 3.605 20 0 CHADLO CC(C)n1cnnc1C[N@H+](C)Cc1ccc(C2CCC2)cc1 ZINC000292450662 520057637 /nfs/dbraw/zinc/05/76/37/520057637.db2.gz PKZBBCBGXQGKKL-UHFFFAOYSA-N 1 2 298.434 3.759 20 0 CHADLO CC(C)n1cnnc1C[N@@H+](C)Cc1ccc(C2CCC2)cc1 ZINC000292450662 520057647 /nfs/dbraw/zinc/05/76/47/520057647.db2.gz PKZBBCBGXQGKKL-UHFFFAOYSA-N 1 2 298.434 3.759 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)Cc1ccccc1Cl ZINC000279666369 520097247 /nfs/dbraw/zinc/09/72/47/520097247.db2.gz OGRJEBDCSAGMKG-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)Cc1ccccc1Cl ZINC000279666369 520097254 /nfs/dbraw/zinc/09/72/54/520097254.db2.gz OGRJEBDCSAGMKG-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO CC(C)n1ncnc1C[NH2+][C@@H](C)c1ccc(F)cc1Cl ZINC000279708503 520133795 /nfs/dbraw/zinc/13/37/95/520133795.db2.gz JZKPSAAYIVVQGZ-JTQLQIEISA-N 1 2 296.777 3.502 20 0 CHADLO c1ccc(C2CCC(Nc3cc[nH+]c(C4CC4)n3)CC2)cc1 ZINC000346611699 534482496 /nfs/dbraw/zinc/48/24/96/534482496.db2.gz XANIVDVRFOMKFB-UHFFFAOYSA-N 1 2 293.414 3.914 20 0 CHADLO CCCOc1ccc(CCC(=O)Nc2cc[nH+]cc2C)cc1 ZINC000080111480 520343211 /nfs/dbraw/zinc/34/32/11/520343211.db2.gz TVTDWGPEGRYUTK-UHFFFAOYSA-N 1 2 298.386 3.750 20 0 CHADLO CC1(C)CCN(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000081353672 520376494 /nfs/dbraw/zinc/37/64/94/520376494.db2.gz BXDSHZWCNAKPBU-UHFFFAOYSA-N 1 2 296.370 3.746 20 0 CHADLO CCO[C@H](CNc1cc[nH+]c(C2CC2)n1)c1ccccc1 ZINC000277981799 520521013 /nfs/dbraw/zinc/52/10/13/520521013.db2.gz VXBGGLYHHHUEOH-OAHLLOKOSA-N 1 2 283.375 3.544 20 0 CHADLO CCOC(=O)CCCCC[NH2+][C@@H](c1ccccc1)C(F)F ZINC000292818139 520629503 /nfs/dbraw/zinc/62/95/03/520629503.db2.gz SLODRKCROPRATD-HNNXBMFYSA-N 1 2 299.361 3.706 20 0 CHADLO Fc1ccc2cc[nH+]c(NC[C@H]3CCCS3)c2c1 ZINC000354537321 534510238 /nfs/dbraw/zinc/51/02/38/534510238.db2.gz JZNHWRKMGWNAGT-GFCCVEGCSA-N 1 2 262.353 3.681 20 0 CHADLO CC1(c2ccccc2)CCN(c2cccc[nH+]2)CC1 ZINC000157579345 520784831 /nfs/dbraw/zinc/78/48/31/520784831.db2.gz DHYLLXSZJBCEFF-UHFFFAOYSA-N 1 2 252.361 3.640 20 0 CHADLO CCCC[C@@H](C)N(C)C(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000291179403 520999000 /nfs/dbraw/zinc/99/90/00/520999000.db2.gz HZOOBBYSDJPITK-OAHLLOKOSA-N 1 2 299.418 3.582 20 0 CHADLO CCCC[C@@H](NC(=O)Nc1cc[nH+]cc1C)C1CCC1 ZINC000122108749 521084954 /nfs/dbraw/zinc/08/49/54/521084954.db2.gz PZHFPOLWYVLKEH-OAHLLOKOSA-N 1 2 275.396 3.870 20 0 CHADLO CCCC[C@H](C)N(C)C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000290906665 521142089 /nfs/dbraw/zinc/14/20/89/521142089.db2.gz IIGSDEDQYJIZDF-HNNXBMFYSA-N 1 2 299.418 3.582 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2cc(C)ccn2)cs1 ZINC000353527360 534544206 /nfs/dbraw/zinc/54/42/06/534544206.db2.gz KXOXKYXZSOCJOZ-WDEREUQCSA-N 1 2 261.394 3.567 20 0 CHADLO CCCCc1nc(C[NH2+][C@H](C)c2ccc(Cl)cc2)no1 ZINC000097078805 521303216 /nfs/dbraw/zinc/30/32/16/521303216.db2.gz BTAYAPXQUBTXBM-LLVKDONJSA-N 1 2 293.798 3.916 20 0 CHADLO CCC(CC)(CC)NC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000266179364 521401670 /nfs/dbraw/zinc/40/16/70/521401670.db2.gz TWNWNDSVVXVBFZ-UHFFFAOYSA-N 1 2 299.418 3.630 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nc(-c2ccccc2)no1 ZINC000102674544 521409350 /nfs/dbraw/zinc/40/93/50/521409350.db2.gz VOHCNJQYLGGFPA-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nc(-c2ccccc2)no1 ZINC000102674544 521409356 /nfs/dbraw/zinc/40/93/56/521409356.db2.gz VOHCNJQYLGGFPA-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CCN(Cc1cn2c(cccc2C)[nH+]1)c1ccccc1 ZINC000339278890 521429397 /nfs/dbraw/zinc/42/93/97/521429397.db2.gz KZBIZLOXCYBTFU-UHFFFAOYSA-N 1 2 265.360 3.669 20 0 CHADLO CC[N@H+](Cc1nc2ccccc2n1C)[C@@H](C)c1cccnc1 ZINC000290488967 521455712 /nfs/dbraw/zinc/45/57/12/521455712.db2.gz ONFLQQFOKKHYIK-AWEZNQCLSA-N 1 2 294.402 3.551 20 0 CHADLO CC[N@@H+](Cc1nc2ccccc2n1C)[C@@H](C)c1cccnc1 ZINC000290488967 521455717 /nfs/dbraw/zinc/45/57/17/521455717.db2.gz ONFLQQFOKKHYIK-AWEZNQCLSA-N 1 2 294.402 3.551 20 0 CHADLO CCN(c1cc(C)[nH+]c(C2CC2)n1)[C@@H](C)c1cccnc1 ZINC000265324612 521479340 /nfs/dbraw/zinc/47/93/40/521479340.db2.gz FOJUNUGNYFGIEN-ZDUSSCGKSA-N 1 2 282.391 3.645 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2cccc(O)c2Cl)o1 ZINC000293123454 521497167 /nfs/dbraw/zinc/49/71/67/521497167.db2.gz ZIDMROGKBFNDDH-UHFFFAOYSA-N 1 2 294.782 3.584 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2ccc([C@H]3C[C@@H]3C)o2)o1 ZINC000291824640 521497458 /nfs/dbraw/zinc/49/74/58/521497458.db2.gz ZOGISFUSKHHDRC-FZMZJTMJSA-N 1 2 288.391 3.942 20 0 CHADLO CCCc1noc(C[NH2+]C2(c3cccc(C)c3)CCCC2)n1 ZINC000072607144 521583375 /nfs/dbraw/zinc/58/33/75/521583375.db2.gz IUOJOGHXBFBJRY-UHFFFAOYSA-N 1 2 299.418 3.890 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@H](C)c2cncc(F)c2)on1 ZINC000121211210 521635309 /nfs/dbraw/zinc/63/53/09/521635309.db2.gz HOWJNAQQHLYVIA-LLVKDONJSA-N 1 2 291.370 3.963 20 0 CHADLO CCC[N@@H+](Cc1cc(C)on1)[C@@H](C)c1ccccc1OC ZINC000299433085 521681357 /nfs/dbraw/zinc/68/13/57/521681357.db2.gz VKBIXIHIMFXVCV-AWEZNQCLSA-N 1 2 288.391 3.965 20 0 CHADLO CCC[N@H+](Cc1cc(C)on1)[C@@H](C)c1ccccc1OC ZINC000299433085 521681354 /nfs/dbraw/zinc/68/13/54/521681354.db2.gz VKBIXIHIMFXVCV-AWEZNQCLSA-N 1 2 288.391 3.965 20 0 CHADLO CCC[N@H+](Cc1cnn(C)n1)[C@@H](C)c1cc2ccccc2o1 ZINC000289715507 521691992 /nfs/dbraw/zinc/69/19/92/521691992.db2.gz GMURMYHSNADHIH-ZDUSSCGKSA-N 1 2 298.390 3.535 20 0 CHADLO CCC[N@@H+](Cc1cnn(C)n1)[C@@H](C)c1cc2ccccc2o1 ZINC000289715507 521691995 /nfs/dbraw/zinc/69/19/95/521691995.db2.gz GMURMYHSNADHIH-ZDUSSCGKSA-N 1 2 298.390 3.535 20 0 CHADLO Cc1nc([C@H](C)[N@@H+]2CC[C@@H](c3cccc(Cl)c3)C2)no1 ZINC000336131707 534591157 /nfs/dbraw/zinc/59/11/57/534591157.db2.gz QGKJDVHEDBZVCN-GXFFZTMASA-N 1 2 291.782 3.582 20 0 CHADLO Cc1nc([C@H](C)[N@H+]2CC[C@@H](c3cccc(Cl)c3)C2)no1 ZINC000336131707 534591159 /nfs/dbraw/zinc/59/11/59/534591159.db2.gz QGKJDVHEDBZVCN-GXFFZTMASA-N 1 2 291.782 3.582 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C(C)(C)c1cccc(F)c1 ZINC000265688234 522078473 /nfs/dbraw/zinc/07/84/73/522078473.db2.gz VRBGLDFPLBJZIY-UHFFFAOYSA-N 1 2 286.350 3.699 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCCc1ccccc1 ZINC000265054721 522079336 /nfs/dbraw/zinc/07/93/36/522079336.db2.gz ZWBJEIYIRBBWBC-UHFFFAOYSA-N 1 2 268.360 3.605 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](C)c2cc(F)ccc2F)o1 ZINC000291965375 522205573 /nfs/dbraw/zinc/20/55/73/522205573.db2.gz SKBQEAWEKOEPEM-VIFPVBQESA-N 1 2 280.318 3.674 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H]2CCc3c2c(F)ccc3F)o1 ZINC000291881721 522208597 /nfs/dbraw/zinc/20/85/97/522208597.db2.gz JNVOPDPTRMAHPC-CYBMUJFWSA-N 1 2 292.329 3.601 20 0 CHADLO CCc1nc(C)c(CNc2ccc3ccccc3[nH+]2)o1 ZINC000292273093 522211599 /nfs/dbraw/zinc/21/15/99/522211599.db2.gz LSTQYWAYVPDNDO-UHFFFAOYSA-N 1 2 267.332 3.706 20 0 CHADLO CCc1nc(CSCc2cn3ccccc3[nH+]2)cs1 ZINC000084495513 522368620 /nfs/dbraw/zinc/36/86/20/522368620.db2.gz HQZZNXHAWRMWSO-UHFFFAOYSA-N 1 2 289.429 3.787 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1cscn1)c1cccc(Cl)c1 ZINC000290236371 522375971 /nfs/dbraw/zinc/37/59/71/522375971.db2.gz IYKLLRYBSXNQTD-GXFFZTMASA-N 1 2 296.823 3.835 20 0 CHADLO CCc1nc([C@H](C)Nc2cc[nH+]c(C(C)C)n2)cs1 ZINC000110602175 522457320 /nfs/dbraw/zinc/45/73/20/522457320.db2.gz JBIFBCHVHNIYFW-JTQLQIEISA-N 1 2 276.409 3.792 20 0 CHADLO COc1cc(NCc2[nH+]ccn2C(C)C)c(C)cc1C ZINC000280126077 522751749 /nfs/dbraw/zinc/75/17/49/522751749.db2.gz XGZVZOBNWATIEI-UHFFFAOYSA-N 1 2 273.380 3.702 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000341036722 522789724 /nfs/dbraw/zinc/78/97/24/522789724.db2.gz RBUXVDRMEFLWSY-QWHCGFSZSA-N 1 2 299.418 3.923 20 0 CHADLO CC[C@@H](Nc1ccc(C)[nH+]c1)c1ccccc1OC ZINC000154233432 522955365 /nfs/dbraw/zinc/95/53/65/522955365.db2.gz PKERREFJWMVVMB-OAHLLOKOSA-N 1 2 256.349 3.962 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1ccnc(Cl)c1Cl ZINC000290313308 523435433 /nfs/dbraw/zinc/43/54/33/523435433.db2.gz AQWGOYKQLBYYRT-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1ccnc(Cl)c1Cl ZINC000290313308 523435443 /nfs/dbraw/zinc/43/54/43/523435443.db2.gz AQWGOYKQLBYYRT-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)[C@@H](C)CC(C)C ZINC000366666429 523558911 /nfs/dbraw/zinc/55/89/11/523558911.db2.gz PVZIFYMQFDMSQT-LSDHHAIUSA-N 1 2 291.439 3.639 20 0 CHADLO CCn1nccc1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000130849628 523724570 /nfs/dbraw/zinc/72/45/70/523724570.db2.gz IODXKGZZAAQJCV-LBPRGKRZSA-N 1 2 277.799 3.749 20 0 CHADLO CCn1nccc1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000130849628 523724576 /nfs/dbraw/zinc/72/45/76/523724576.db2.gz IODXKGZZAAQJCV-LBPRGKRZSA-N 1 2 277.799 3.749 20 0 CHADLO COc1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1C1CC1 ZINC000277991834 523761498 /nfs/dbraw/zinc/76/14/98/523761498.db2.gz UHFZBFQNCDWKKI-UHFFFAOYSA-N 1 2 282.343 3.528 20 0 CHADLO COCC[N@H+](Cc1nc(C)c(C)o1)[C@@H](C)c1ccccc1 ZINC000299377173 523775081 /nfs/dbraw/zinc/77/50/81/523775081.db2.gz GDXGNRWIYHHRIH-AWEZNQCLSA-N 1 2 288.391 3.501 20 0 CHADLO COCC[N@@H+](Cc1nc(C)c(C)o1)[C@@H](C)c1ccccc1 ZINC000299377173 523775085 /nfs/dbraw/zinc/77/50/85/523775085.db2.gz GDXGNRWIYHHRIH-AWEZNQCLSA-N 1 2 288.391 3.501 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@H]2CCCc3occc32)cs1 ZINC000278747777 523914795 /nfs/dbraw/zinc/91/47/95/523914795.db2.gz NSTNCBVRQVVBHO-MFKMUULPSA-N 1 2 292.404 3.611 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2nccn2C)o1 ZINC000076145079 524040718 /nfs/dbraw/zinc/04/07/18/524040718.db2.gz SCKPJSRLKBWUCZ-ZFWWWQNUSA-N 1 2 287.407 3.549 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2nccn2C)o1 ZINC000076145079 524040723 /nfs/dbraw/zinc/04/07/23/524040723.db2.gz SCKPJSRLKBWUCZ-ZFWWWQNUSA-N 1 2 287.407 3.549 20 0 CHADLO CCc1ccc([C@H]2CCC[N@@H+]2[C@H](C)c2nc(CC)no2)o1 ZINC000265384638 524054723 /nfs/dbraw/zinc/05/47/23/524054723.db2.gz DKUGWKWVCOWFLQ-DGCLKSJQSA-N 1 2 289.379 3.686 20 0 CHADLO CCc1ccc([C@H]2CCC[N@H+]2[C@H](C)c2nc(CC)no2)o1 ZINC000265384638 524054727 /nfs/dbraw/zinc/05/47/27/524054727.db2.gz DKUGWKWVCOWFLQ-DGCLKSJQSA-N 1 2 289.379 3.686 20 0 CHADLO COc1cccc([C@H](C)CC(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000074625739 524147299 /nfs/dbraw/zinc/14/72/99/524147299.db2.gz UEOQJCWXPSSDCS-GFCCVEGCSA-N 1 2 284.359 3.531 20 0 CHADLO Cc1cc(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)c(C)o1 ZINC000366544328 524159338 /nfs/dbraw/zinc/15/93/38/524159338.db2.gz LNOSGUOWUJTAGL-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO COc1cccc2c(N[C@@H](C)c3cncs3)cc[nH+]c12 ZINC000340925663 524198506 /nfs/dbraw/zinc/19/85/06/524198506.db2.gz OIEXIQRBTZVDBA-JTQLQIEISA-N 1 2 285.372 3.873 20 0 CHADLO C[C@H](C[N@H+](C)Cc1noc(C(C)(C)C)n1)c1ccccc1 ZINC000263863105 524300186 /nfs/dbraw/zinc/30/01/86/524300186.db2.gz AMEQNQNMFKGNHM-CYBMUJFWSA-N 1 2 287.407 3.603 20 0 CHADLO C[C@H](C[N@@H+](C)Cc1noc(C(C)(C)C)n1)c1ccccc1 ZINC000263863105 524300189 /nfs/dbraw/zinc/30/01/89/524300189.db2.gz AMEQNQNMFKGNHM-CYBMUJFWSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1cccc(C)c1NCc1cn2c(cccc2C)[nH+]1 ZINC000157267341 524304332 /nfs/dbraw/zinc/30/43/32/524304332.db2.gz CUPCFBVAQDHYKI-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278753144 524434109 /nfs/dbraw/zinc/43/41/09/524434109.db2.gz XHVQRFDJYAACST-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278753144 524434116 /nfs/dbraw/zinc/43/41/16/524434116.db2.gz XHVQRFDJYAACST-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+][C@H](C)c2nc(C3CC3)no2)c1 ZINC000107072128 524472077 /nfs/dbraw/zinc/47/20/77/524472077.db2.gz BGBYOICLFLNTDH-QWHCGFSZSA-N 1 2 285.391 3.976 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)C[N@@H+]1CCC(C)=C(C)C1 ZINC000280676890 524482098 /nfs/dbraw/zinc/48/20/98/524482098.db2.gz GIHSYMULVYKEMN-UHFFFAOYSA-N 1 2 292.810 3.629 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)C[N@H+]1CCC(C)=C(C)C1 ZINC000280676890 524482108 /nfs/dbraw/zinc/48/21/08/524482108.db2.gz GIHSYMULVYKEMN-UHFFFAOYSA-N 1 2 292.810 3.629 20 0 CHADLO COc1ccccc1C[NH2+]C1(c2nc(C)cs2)CCC1 ZINC000299544522 524486365 /nfs/dbraw/zinc/48/63/65/524486365.db2.gz ALUSCPORHJOGAR-UHFFFAOYSA-N 1 2 288.416 3.629 20 0 CHADLO Cc1ccc(Cl)c(N[C@H]2C[C@H](C)n3cc[nH+]c32)c1 ZINC000294169872 524712533 /nfs/dbraw/zinc/71/25/33/524712533.db2.gz RIEIQBFGKRLDOO-GWCFXTLKSA-N 1 2 261.756 3.963 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccccc2Br)on1 ZINC000044299381 524778528 /nfs/dbraw/zinc/77/85/28/524778528.db2.gz QOSCPIXKTFIFGX-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO Cc1ccc(NC(=O)C[C@H]2C[C@@H]2c2ccccc2)c(C)[nH+]1 ZINC000341543923 525092401 /nfs/dbraw/zinc/09/24/01/525092401.db2.gz ARWKAKPOLOQJQD-HZPDHXFCSA-N 1 2 280.371 3.831 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccc2ccccc2n1 ZINC000072822024 525130507 /nfs/dbraw/zinc/13/05/07/525130507.db2.gz BVJVIAGZAMQWGQ-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccc2ccccc2n1 ZINC000072822024 525130513 /nfs/dbraw/zinc/13/05/13/525130513.db2.gz BVJVIAGZAMQWGQ-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1nnc(C(C)C)o1)C1CC1 ZINC000339289640 525133509 /nfs/dbraw/zinc/13/35/09/525133509.db2.gz GAFMKZAIDBHLGQ-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1nnc(C(C)C)o1)C1CC1 ZINC000339289640 525133515 /nfs/dbraw/zinc/13/35/15/525133515.db2.gz GAFMKZAIDBHLGQ-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO Cc1ccc(NCc2cnc(C(C)(C)C)s2)c[nH+]1 ZINC000128045708 525285251 /nfs/dbraw/zinc/28/52/51/525285251.db2.gz DWWQVEXCDCFECS-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO Cc1cc(N[C@H](C)c2cccs2)nc(C2CC2)[nH+]1 ZINC000265398006 525301514 /nfs/dbraw/zinc/30/15/14/525301514.db2.gz XDJHTDGZTOEMSE-SNVBAGLBSA-N 1 2 259.378 3.897 20 0 CHADLO Cc1cc(N[C@H](C)c2nc3ccccc3[nH]2)nc(C2CC2)[nH+]1 ZINC000265335068 525302016 /nfs/dbraw/zinc/30/20/16/525302016.db2.gz HHCVLRLGEZGXPD-LLVKDONJSA-N 1 2 293.374 3.712 20 0 CHADLO C[C@@H]1C[C@@H]1CNC(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000264772607 525311929 /nfs/dbraw/zinc/31/19/29/525311929.db2.gz KPZJGLNTQTWPQM-CHWSQXEVSA-N 1 2 297.358 3.651 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccc(Cl)cc1)c1cnn(C)c1 ZINC000285749578 525314421 /nfs/dbraw/zinc/31/44/21/525314421.db2.gz RVWBAWLOQVPNLZ-JTQLQIEISA-N 1 2 299.752 3.516 20 0 CHADLO Cc1[nH]ncc1C[N@@H+]1CCCC2(CCCCC2)CC1 ZINC000347271591 534853001 /nfs/dbraw/zinc/85/30/01/534853001.db2.gz ROZFINCTGVMNOH-UHFFFAOYSA-N 1 2 261.413 3.655 20 0 CHADLO Cc1[nH]ncc1C[N@H+]1CCCC2(CCCCC2)CC1 ZINC000347271591 534853004 /nfs/dbraw/zinc/85/30/04/534853004.db2.gz ROZFINCTGVMNOH-UHFFFAOYSA-N 1 2 261.413 3.655 20 0 CHADLO Cc1n[nH]cc1C[N@@H+]1CCc2c(Cl)c(Cl)ccc2C1 ZINC000353138991 534855057 /nfs/dbraw/zinc/85/50/57/534855057.db2.gz NJOGIYGIRGHEDD-UHFFFAOYSA-N 1 2 296.201 3.583 20 0 CHADLO Cc1n[nH]cc1C[N@H+]1CCc2c(Cl)c(Cl)ccc2C1 ZINC000353138991 534855062 /nfs/dbraw/zinc/85/50/62/534855062.db2.gz NJOGIYGIRGHEDD-UHFFFAOYSA-N 1 2 296.201 3.583 20 0 CHADLO C[C@H]([NH2+]Cc1ccn(C)n1)c1cc(Cl)ccc1Cl ZINC000112344567 525467402 /nfs/dbraw/zinc/46/74/02/525467402.db2.gz XCTRRANTIIPZGJ-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](c2ncccc2C)C(C)C)no1 ZINC000289841321 525476270 /nfs/dbraw/zinc/47/62/70/525476270.db2.gz QSHQGVQRAXHJOI-HIFRSBDPSA-N 1 2 273.380 3.734 20 0 CHADLO Cc1cc([C@H](C)Nc2cc[nH+]c(C(C)C)n2)c(C)s1 ZINC000354730743 525504202 /nfs/dbraw/zinc/50/42/02/525504202.db2.gz KOFKQPAKXXGFFQ-NSHDSACASA-N 1 2 275.421 3.873 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cn3ccc(C)cc3n2)oc1C ZINC000337393996 525524121 /nfs/dbraw/zinc/52/41/21/525524121.db2.gz MLXSVLKETYIEHW-ZDUSSCGKSA-N 1 2 283.375 3.703 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1ncc(C2CC2)o1)C(C)C ZINC000270484888 525553607 /nfs/dbraw/zinc/55/36/07/525553607.db2.gz FHLFFKWXIIUWPA-MRXNPFEDSA-N 1 2 285.391 3.742 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(Cl)n1)c1ccc(F)cc1F ZINC000290112820 525556449 /nfs/dbraw/zinc/55/64/49/525556449.db2.gz SVPNPHPZEMQPMO-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1nccs1 ZINC000094966080 525558251 /nfs/dbraw/zinc/55/82/51/525558251.db2.gz JLCWMYWYCYMQSE-SECBINFHSA-N 1 2 281.450 3.748 20 0 CHADLO Cc1ccn(-c2ccc([NH2+]CCc3csc(C)n3)cc2)n1 ZINC000340617617 525559936 /nfs/dbraw/zinc/55/99/36/525559936.db2.gz TZEICIPCAXUILV-UHFFFAOYSA-N 1 2 298.415 3.600 20 0 CHADLO Cc1[nH+]c(-c2ccncc2)nc(NCCC2CCC2)c1C ZINC000301562873 534866326 /nfs/dbraw/zinc/86/63/26/534866326.db2.gz LDGWZDZNWMZIJB-UHFFFAOYSA-N 1 2 282.391 3.758 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)c(Cl)c1)c1ncnn1C ZINC000276700268 525606889 /nfs/dbraw/zinc/60/68/89/525606889.db2.gz XENODNNMVOBWNU-DTWKUNHWSA-N 1 2 299.205 3.534 20 0 CHADLO COc1ccc2cc(C[N@H+](C)Cc3[nH]ncc3C)ccc2c1 ZINC000290360049 525626845 /nfs/dbraw/zinc/62/68/45/525626845.db2.gz GPXCMCFPPKOBJP-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO COc1ccc2cc(C[N@@H+](C)Cc3[nH]ncc3C)ccc2c1 ZINC000290360049 525626848 /nfs/dbraw/zinc/62/68/48/525626848.db2.gz GPXCMCFPPKOBJP-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1(Cc2ccccc2)CC1 ZINC000287423354 525638632 /nfs/dbraw/zinc/63/86/32/525638632.db2.gz GCSMJXWJCZEPSU-UHFFFAOYSA-N 1 2 295.386 3.595 20 0 CHADLO Cc1cc2cc([C@H](C)[NH2+][C@H](C)c3ncnn3C)oc2cc1C ZINC000276562456 525647821 /nfs/dbraw/zinc/64/78/21/525647821.db2.gz GIKWWQGCYFYTBR-QWHCGFSZSA-N 1 2 298.390 3.590 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(Cl)c1Cl)c1ncnn1C ZINC000276664660 525662664 /nfs/dbraw/zinc/66/26/64/525662664.db2.gz RBWMDABFGOHBTI-RKDXNWHRSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1ccccn1 ZINC000036988599 525707879 /nfs/dbraw/zinc/70/78/79/525707879.db2.gz UPOPSTSAWIMTEL-CQSZACIVSA-N 1 2 282.391 3.640 20 0 CHADLO Cc1cc[nH+]cc1NC1CCC(C(F)(F)F)CC1 ZINC000085126318 525763426 /nfs/dbraw/zinc/76/34/26/525763426.db2.gz PCDMYECSPSAAMI-UHFFFAOYSA-N 1 2 258.287 3.923 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@@H](C)c3cccnc3)cs2)o1 ZINC000340856189 525814685 /nfs/dbraw/zinc/81/46/85/525814685.db2.gz UUDAVUHYODXJCL-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccsc1C[NH2+][C@H](c1nnc[nH]1)C1CCCCC1 ZINC000292249384 525862048 /nfs/dbraw/zinc/86/20/48/525862048.db2.gz SBFFDFHYRCMUSM-AWEZNQCLSA-N 1 2 290.436 3.586 20 0 CHADLO CS[C@H]1CCC[C@H](Nc2cc(C)[nH+]c(C(C)C)n2)C1 ZINC000227358124 525911435 /nfs/dbraw/zinc/91/14/35/525911435.db2.gz HFGOGHZVIJWWMG-STQMWFEESA-N 1 2 279.453 3.995 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](C)c2nc(C3CC3)no2)cc1 ZINC000079324057 525943930 /nfs/dbraw/zinc/94/39/30/525943930.db2.gz VVTZINDJYJGPJL-NWDGAFQWSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@H+]2Cc2nnc(C(C)C)[nH]2)o1 ZINC000292347804 525995370 /nfs/dbraw/zinc/99/53/70/525995370.db2.gz DLZVWKQOFPHUSW-CYBMUJFWSA-N 1 2 288.395 3.557 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)o1 ZINC000292347804 525995376 /nfs/dbraw/zinc/99/53/76/525995376.db2.gz DLZVWKQOFPHUSW-CYBMUJFWSA-N 1 2 288.395 3.557 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2cccc(C(F)(F)F)c2)o1 ZINC000075640762 526007819 /nfs/dbraw/zinc/00/78/19/526007819.db2.gz HFEFCPJCRRHHCD-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2cccc(C(F)(F)F)c2)o1 ZINC000075640762 526007822 /nfs/dbraw/zinc/00/78/22/526007822.db2.gz HFEFCPJCRRHHCD-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc(C)c(C)c2)C2CC2)nc1 ZINC000340843388 526009742 /nfs/dbraw/zinc/00/97/42/526009742.db2.gz UUUHHTYUNPHYAQ-UHFFFAOYSA-N 1 2 281.403 3.566 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc(C)c(C)c2)C2CC2)nc1 ZINC000340843388 526009748 /nfs/dbraw/zinc/00/97/48/526009748.db2.gz UUUHHTYUNPHYAQ-UHFFFAOYSA-N 1 2 281.403 3.566 20 0 CHADLO Cc1c[nH]nc1C[N@H+](Cc1ccco1)Cc1cccc(C)c1 ZINC000290521855 526018831 /nfs/dbraw/zinc/01/88/31/526018831.db2.gz USMMPQDXMCFQNX-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](Cc1ccco1)Cc1cccc(C)c1 ZINC000290521855 526018837 /nfs/dbraw/zinc/01/88/37/526018837.db2.gz USMMPQDXMCFQNX-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@H](C)C[C@H]2c2cccc(F)c2)nc1 ZINC000340845096 526026892 /nfs/dbraw/zinc/02/68/92/526026892.db2.gz XJVALQCHHGVVQU-WBMJQRKESA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@H](C)C[C@H]2c2cccc(F)c2)nc1 ZINC000340845096 526026898 /nfs/dbraw/zinc/02/68/98/526026898.db2.gz XJVALQCHHGVVQU-WBMJQRKESA-N 1 2 285.366 3.507 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)CCOCC(F)(F)F ZINC000357523991 526033651 /nfs/dbraw/zinc/03/36/51/526033651.db2.gz ACNJLIWKDFQNTE-SECBINFHSA-N 1 2 297.267 3.537 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)CCOCC(F)(F)F ZINC000357523991 526033658 /nfs/dbraw/zinc/03/36/58/526033658.db2.gz ACNJLIWKDFQNTE-SECBINFHSA-N 1 2 297.267 3.537 20 0 CHADLO C[C@H](c1ccc(C(F)(F)F)cc1)[N@H+](C)Cc1cocn1 ZINC000278206925 526046053 /nfs/dbraw/zinc/04/60/53/526046053.db2.gz QXYWOJSCOXCQNV-SNVBAGLBSA-N 1 2 284.281 3.886 20 0 CHADLO C[C@H](c1ccc(C(F)(F)F)cc1)[N@@H+](C)Cc1cocn1 ZINC000278206925 526046064 /nfs/dbraw/zinc/04/60/64/526046064.db2.gz QXYWOJSCOXCQNV-SNVBAGLBSA-N 1 2 284.281 3.886 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1cnc[nH]1 ZINC000280481204 526046707 /nfs/dbraw/zinc/04/67/07/526046707.db2.gz NXVISFXGUKREPO-SECBINFHSA-N 1 2 284.190 3.910 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1cnc[nH]1 ZINC000280481204 526046713 /nfs/dbraw/zinc/04/67/13/526046713.db2.gz NXVISFXGUKREPO-SECBINFHSA-N 1 2 284.190 3.910 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1c[nH]cn1 ZINC000280481204 526046723 /nfs/dbraw/zinc/04/67/23/526046723.db2.gz NXVISFXGUKREPO-SECBINFHSA-N 1 2 284.190 3.910 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1c[nH]cn1 ZINC000280481204 526046728 /nfs/dbraw/zinc/04/67/28/526046728.db2.gz NXVISFXGUKREPO-SECBINFHSA-N 1 2 284.190 3.910 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1cocn1 ZINC000278211392 526074797 /nfs/dbraw/zinc/07/47/97/526074797.db2.gz RGJNHXVUPIUSFY-SNVBAGLBSA-N 1 2 284.281 3.886 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1cocn1 ZINC000278211392 526074806 /nfs/dbraw/zinc/07/48/06/526074806.db2.gz RGJNHXVUPIUSFY-SNVBAGLBSA-N 1 2 284.281 3.886 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278526747 526119468 /nfs/dbraw/zinc/11/94/68/526119468.db2.gz DIQIWGPMYRUQEI-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278526747 526119476 /nfs/dbraw/zinc/11/94/76/526119476.db2.gz DIQIWGPMYRUQEI-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cccc(OCC(C)C)c2)o1 ZINC000337273338 526127532 /nfs/dbraw/zinc/12/75/32/526127532.db2.gz COJGYGXFEYFMDN-CQSZACIVSA-N 1 2 288.391 3.869 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cccc(F)c1F ZINC000069068032 526148191 /nfs/dbraw/zinc/14/81/91/526148191.db2.gz DRYOLROOLQLYBS-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cccc(F)c1F ZINC000069068032 526148200 /nfs/dbraw/zinc/14/82/00/526148200.db2.gz DRYOLROOLQLYBS-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO C[C@H](C(=O)Nc1cccc(-c2[nH+]ccn2C)c1)C(C)(C)C ZINC000265281689 526151022 /nfs/dbraw/zinc/15/10/22/526151022.db2.gz OSYFQTGVEMYGCF-GFCCVEGCSA-N 1 2 285.391 3.708 20 0 CHADLO CSc1ccccc1C[NH2+][C@H](C)c1c(F)cncc1F ZINC000353218821 526160429 /nfs/dbraw/zinc/16/04/29/526160429.db2.gz LCYKPZBHCCKZAC-SNVBAGLBSA-N 1 2 294.370 3.933 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2)o1)[N@H+](C)Cc1ccsc1 ZINC000061800601 526186479 /nfs/dbraw/zinc/18/64/79/526186479.db2.gz DHXAIWIQCBEPPP-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2)o1)[N@@H+](C)Cc1ccsc1 ZINC000061800601 526186489 /nfs/dbraw/zinc/18/64/89/526186489.db2.gz DHXAIWIQCBEPPP-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H]1C[C@H](c2ccccc2F)C1 ZINC000280695617 526240689 /nfs/dbraw/zinc/24/06/89/526240689.db2.gz NRAJGNMWFPUHAN-JOCQHMNTSA-N 1 2 299.349 3.597 20 0 CHADLO Cc1ccc(C(C)(C)[NH2+]Cc2ncc(C(C)(C)C)o2)cn1 ZINC000353628310 526247050 /nfs/dbraw/zinc/24/70/50/526247050.db2.gz HVYQQMYSFCVYEA-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO CC(C)(C)Cc1nc2cc(NCc3[nH]cc[nH+]3)ccc2o1 ZINC000347894694 526278104 /nfs/dbraw/zinc/27/81/04/526278104.db2.gz BFXSBHNFAGDMJU-UHFFFAOYSA-N 1 2 284.363 3.752 20 0 CHADLO Fc1ccc(C[NH2+]Cc2ncc(C(F)(F)F)s2)cc1 ZINC000278765777 526340049 /nfs/dbraw/zinc/34/00/49/526340049.db2.gz XTCICVHPVYHXFQ-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1cscn1)CCS2 ZINC000040504317 526372372 /nfs/dbraw/zinc/37/23/72/526372372.db2.gz QVBSGVIAEOZJJJ-LBPRGKRZSA-N 1 2 280.393 3.609 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3CCC[C@@H]3OC(F)F)c2c1 ZINC000336882647 526376111 /nfs/dbraw/zinc/37/61/11/526376111.db2.gz CCZOBGVOJMJBCG-OLZOCXBDSA-N 1 2 296.292 3.946 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3csc(Cl)n3)CC2)c1 ZINC000295092985 526383279 /nfs/dbraw/zinc/38/32/79/526383279.db2.gz ZKQQDKZLSPGIMW-UHFFFAOYSA-N 1 2 282.771 3.715 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2nccc3ccccc32)s1 ZINC000290746529 526405392 /nfs/dbraw/zinc/40/53/92/526405392.db2.gz QMTWEGYZSAPQFA-QWRGUYRKSA-N 1 2 298.415 3.807 20 0 CHADLO Fc1ccccc1Cn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000156707838 526413368 /nfs/dbraw/zinc/41/33/68/526413368.db2.gz KHEAVSOKRIULRG-UHFFFAOYSA-N 1 2 295.239 3.638 20 0 CHADLO c1cc(C[NH2+][C@H](CC2CCCC2)c2ccccc2)[nH]n1 ZINC000128906732 526428603 /nfs/dbraw/zinc/42/86/03/526428603.db2.gz VMJLSIUXGRGTFT-QGZVFWFLSA-N 1 2 269.392 3.821 20 0 CHADLO c1ccc(-c2cccc(CNc3cccc[nH+]3)c2)nc1 ZINC000164243774 526482425 /nfs/dbraw/zinc/48/24/25/526482425.db2.gz ZOFQLFMXCRJVSJ-UHFFFAOYSA-N 1 2 261.328 3.756 20 0 CHADLO Cc1noc(C)c1C[NH2+]Cc1c(Cl)cccc1Cl ZINC000063550295 526514761 /nfs/dbraw/zinc/51/47/61/526514761.db2.gz FDGWKJLWKUUJOD-UHFFFAOYSA-N 1 2 285.174 3.888 20 0 CHADLO c1cn(-c2cccc(-c3nc(C4CCCCC4)no3)c2)c[nH+]1 ZINC000118166127 526526231 /nfs/dbraw/zinc/52/62/31/526526231.db2.gz ROBIGEXAHODJLE-UHFFFAOYSA-N 1 2 294.358 3.970 20 0 CHADLO c1cn2c([nH+]1)[C@@H](Nc1ccc(C3CCC3)cc1)CC2 ZINC000296513329 526539763 /nfs/dbraw/zinc/53/97/63/526539763.db2.gz QQZNMNSUJLIDBY-HNNXBMFYSA-N 1 2 253.349 3.708 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1ccc2sccc2c1=O ZINC000292661761 526669296 /nfs/dbraw/zinc/66/92/96/526669296.db2.gz NMPDBYSLQHLWLM-UHFFFAOYSA-N 1 2 298.411 3.938 20 0 CHADLO Cc1nocc1C[NH2+][C@H](C)c1nc(C(C)(C)C)cs1 ZINC000339243555 526696996 /nfs/dbraw/zinc/69/69/96/526696996.db2.gz JCVNTCVCXMDTHG-SNVBAGLBSA-N 1 2 279.409 3.588 20 0 CHADLO Cc1nocc1CNc1cccc([NH+]2CCCCCC2)c1 ZINC000293231792 526700129 /nfs/dbraw/zinc/70/01/29/526700129.db2.gz KSDLJXAFLDHFIG-UHFFFAOYSA-N 1 2 285.391 3.976 20 0 CHADLO Cc1nc(C2([NH2+]Cc3ccc(Cl)s3)CCCC2)no1 ZINC000237707197 526768599 /nfs/dbraw/zinc/76/85/99/526768599.db2.gz PHOUBDWLTPJAOZ-UHFFFAOYSA-N 1 2 297.811 3.652 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc([C@@H]3C[C@H]3C)o2)cs1 ZINC000340659948 526841415 /nfs/dbraw/zinc/84/14/15/526841415.db2.gz FFPCEOLBKRJVEY-QMTHXVAHSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc([C@@H]3C[C@H]3C)o2)cs1 ZINC000340659948 526841420 /nfs/dbraw/zinc/84/14/20/526841420.db2.gz FFPCEOLBKRJVEY-QMTHXVAHSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccco2)C2CCCC2)cs1 ZINC000120488310 526848485 /nfs/dbraw/zinc/84/84/85/526848485.db2.gz HKUURKWJAAWRTA-UHFFFAOYSA-N 1 2 276.405 3.989 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccco2)C2CCCC2)cs1 ZINC000120488310 526848492 /nfs/dbraw/zinc/84/84/92/526848492.db2.gz HKUURKWJAAWRTA-UHFFFAOYSA-N 1 2 276.405 3.989 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccccc2Br)cs1 ZINC000040443818 526888613 /nfs/dbraw/zinc/88/86/13/526888613.db2.gz AKERVKPFTBVKCG-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO Cc1nc(COc2ccc([NH2+]C[C@H]3CC=CCC3)cc2)no1 ZINC000125454465 526908307 /nfs/dbraw/zinc/90/83/07/526908307.db2.gz WIIRKOJYVYZDGB-AWEZNQCLSA-N 1 2 299.374 3.725 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@@H+](Cc1ccncc1)C2 ZINC000156713677 526927656 /nfs/dbraw/zinc/92/76/56/526927656.db2.gz DWZOHOIQYPXXCV-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@H+](Cc1ccncc1)C2 ZINC000156713677 526927662 /nfs/dbraw/zinc/92/76/62/526927662.db2.gz DWZOHOIQYPXXCV-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Clc1ccc2[nH+]c(CSCCOC3CCC3)cn2c1 ZINC000289422080 526968944 /nfs/dbraw/zinc/96/89/44/526968944.db2.gz PWIDEZBKHQVVKF-UHFFFAOYSA-N 1 2 296.823 3.790 20 0 CHADLO Cc1nc([C@@H]2CCC[N@H+]2Cc2ccnn2C(C)C)cs1 ZINC000280046474 527051179 /nfs/dbraw/zinc/05/11/79/527051179.db2.gz XCQTXFCKQHMVLG-HNNXBMFYSA-N 1 2 290.436 3.566 20 0 CHADLO Cc1nc([C@@H]2CCC[N@@H+]2Cc2ccnn2C(C)C)cs1 ZINC000280046474 527051185 /nfs/dbraw/zinc/05/11/85/527051185.db2.gz XCQTXFCKQHMVLG-HNNXBMFYSA-N 1 2 290.436 3.566 20 0 CHADLO Cn1c2ccc(NC(=O)c3cccs3)cc2[nH+]c1C1CC1 ZINC000074985845 527102452 /nfs/dbraw/zinc/10/24/52/527102452.db2.gz XBXFJYXJSMCYKE-UHFFFAOYSA-N 1 2 297.383 3.765 20 0 CHADLO O=C(Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1)c1cc(F)c[nH]1 ZINC000293537318 527263875 /nfs/dbraw/zinc/26/38/75/527263875.db2.gz XPGZWBIEADCIML-UHFFFAOYSA-N 1 2 298.321 3.550 20 0 CHADLO Cn1cc[nH+]c1[C@@H](Nc1cc(F)cc(Cl)c1)C1CC1 ZINC000128911625 527454136 /nfs/dbraw/zinc/45/41/36/527454136.db2.gz VDHDBYAAWVAILQ-ZDUSSCGKSA-N 1 2 279.746 3.776 20 0 CHADLO Cc1ncsc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000088869465 527513586 /nfs/dbraw/zinc/51/35/86/527513586.db2.gz JCWBWWHWSBWCRC-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO CC(C)(C)C1CC(C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C1 ZINC000334752353 527623957 /nfs/dbraw/zinc/62/39/57/527623957.db2.gz UCZHRZNOLNDECK-JXQTWKCFSA-N 1 2 289.423 3.536 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2ccnc(Cl)c2)CC1 ZINC000088420047 527664349 /nfs/dbraw/zinc/66/43/49/527664349.db2.gz PQSPVVQUCLZYML-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)C1([NH2+]Cc2cccc(-c3ccccn3)c2)CC1 ZINC000337284243 527692839 /nfs/dbraw/zinc/69/28/39/527692839.db2.gz KYVGHSPQRROPIP-UHFFFAOYSA-N 1 2 274.314 3.636 20 0 CHADLO FC(F)C[NH2+]Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC000291512553 527693268 /nfs/dbraw/zinc/69/32/68/527693268.db2.gz XQKOEYYVUXPNHJ-UHFFFAOYSA-N 1 2 273.632 3.714 20 0 CHADLO FC(F)O[C@H]1CCC[C@@H]1Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000336909547 527695415 /nfs/dbraw/zinc/69/54/15/527695415.db2.gz WIFLCQBUEFVZGV-IUCAKERBSA-N 1 2 296.239 3.673 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2cc(C)[nH+]c(C(C)C)n2)C12CCC2 ZINC000301402499 527846492 /nfs/dbraw/zinc/84/64/92/527846492.db2.gz DCHKUHCSEVUMTH-ZIAGYGMSSA-N 1 2 289.423 3.668 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC(F)(F)[C@H](C)C2)s1 ZINC000334838924 528214212 /nfs/dbraw/zinc/21/42/12/528214212.db2.gz AVRTZBSFHQNBFO-SNVBAGLBSA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC(F)(F)[C@H](C)C2)s1 ZINC000334838924 528214218 /nfs/dbraw/zinc/21/42/18/528214218.db2.gz AVRTZBSFHQNBFO-SNVBAGLBSA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCc3ccc(O)cc3C2)s1 ZINC000351979032 528349472 /nfs/dbraw/zinc/34/94/72/528349472.db2.gz GVHDPHJCMVWLTI-UHFFFAOYSA-N 1 2 288.416 3.530 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCc3ccc(O)cc3C2)s1 ZINC000351979032 528349480 /nfs/dbraw/zinc/34/94/80/528349480.db2.gz GVHDPHJCMVWLTI-UHFFFAOYSA-N 1 2 288.416 3.530 20 0 CHADLO CC(C)c1nc(N(C)Cc2cc(F)c(F)c(F)c2)cc[nH+]1 ZINC000302058543 528364058 /nfs/dbraw/zinc/36/40/58/528364058.db2.gz REVQZMYRMKAWEQ-UHFFFAOYSA-N 1 2 295.308 3.654 20 0 CHADLO CC(C)Cn1cc[nH+]c1CNC(=O)[C@@H](C)CCC(C)(C)C ZINC000347945166 528731383 /nfs/dbraw/zinc/73/13/83/528731383.db2.gz QAVRQSVEABHINH-AWEZNQCLSA-N 1 2 293.455 3.618 20 0 CHADLO CC1(C)CCC[C@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000356062702 528987709 /nfs/dbraw/zinc/98/77/09/528987709.db2.gz DBGCEPHOFDPNSP-HNNXBMFYSA-N 1 2 283.375 3.637 20 0 CHADLO CCN(C(=O)Nc1ccn2cc[nH+]c2c1)C1CCCCC1 ZINC000353355910 529028093 /nfs/dbraw/zinc/02/80/93/529028093.db2.gz STAHBVSSZGQQLF-UHFFFAOYSA-N 1 2 286.379 3.521 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc2cc(C)ccc2[nH]1)c1ccc(F)cn1 ZINC000352789509 529189970 /nfs/dbraw/zinc/18/99/70/529189970.db2.gz OJSOKALJVVCFSD-CYBMUJFWSA-N 1 2 298.365 3.646 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc2ccc(C)cc2[nH]1)c1ccc(F)cn1 ZINC000352789509 529189971 /nfs/dbraw/zinc/18/99/71/529189971.db2.gz OJSOKALJVVCFSD-CYBMUJFWSA-N 1 2 298.365 3.646 20 0 CHADLO CC[C@@H](C)CCC(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000350683603 529310645 /nfs/dbraw/zinc/31/06/45/529310645.db2.gz TYYDSTHFTTZBBG-GFCCVEGCSA-N 1 2 289.354 3.776 20 0 CHADLO CC[C@@H]1CCC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000353869182 529315392 /nfs/dbraw/zinc/31/53/92/529315392.db2.gz HLKGXBZGKBYALL-ZBFHGGJFSA-N 1 2 297.402 3.571 20 0 CHADLO CC[C@H]1[C@H](C)CCN1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334698936 529340939 /nfs/dbraw/zinc/34/09/39/529340939.db2.gz CHKYGFZOGGZYPQ-YGRLFVJLSA-N 1 2 275.396 3.659 20 0 CHADLO CCC[C@@H](C)N(C)C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000341768478 535328940 /nfs/dbraw/zinc/32/89/40/535328940.db2.gz LSZJGDAHMKYTSC-GDBMZVCRSA-N 1 2 299.418 3.579 20 0 CHADLO CCC[C@H](C)N(C)C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000341768481 535626153 /nfs/dbraw/zinc/62/61/53/535626153.db2.gz LSZJGDAHMKYTSC-GOEBONIOSA-N 1 2 299.418 3.579 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](CC)c2ccc(F)cc2F)o1 ZINC000341854948 535858212 /nfs/dbraw/zinc/85/82/12/535858212.db2.gz IUFDEBJPWAEBKQ-RNCFNFMXSA-N 1 2 295.333 3.712 20 0 CHADLO COc1cc[nH+]cc1CSCc1ccc(C)cc1 ZINC000341974043 537121069 /nfs/dbraw/zinc/12/10/69/537121069.db2.gz UKVZWHRWDUXKMI-UHFFFAOYSA-N 1 2 259.374 3.832 20 0 CHADLO CC(C)([NH2+]Cc1ccc(OC(F)(F)F)cc1)C(F)F ZINC000684414286 1117850380 /nfs/dbraw/zinc/85/03/80/1117850380.db2.gz XFBSUQVALMEFGU-UHFFFAOYSA-N 1 2 283.240 3.719 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cc(Br)cs1 ZINC000623680723 1117889431 /nfs/dbraw/zinc/88/94/31/1117889431.db2.gz WUWPAMJCKQHAGZ-UHFFFAOYSA-N 1 2 284.169 3.646 20 0 CHADLO Cc1ccc(CNc2cccc[nH+]2)c(Br)c1 ZINC000125949883 1117921088 /nfs/dbraw/zinc/92/10/88/1117921088.db2.gz OCEXANIVFNITLU-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2noc(C3CC3)n2)C2CCCC2)cc1 ZINC000111246717 1125480944 /nfs/dbraw/zinc/48/09/44/1125480944.db2.gz UHTYKWYXGMWTIH-QGZVFWFLSA-N 1 2 297.402 3.968 20 0 CHADLO CCc1nc(C[NH2+][C@@H](c2ccccc2)C2CCCC2)no1 ZINC000111246543 1125481260 /nfs/dbraw/zinc/48/12/60/1125481260.db2.gz KBTFPFQGKGXJOS-KRWDZBQOSA-N 1 2 285.391 3.653 20 0 CHADLO Clc1ccsc1C[N@@H+]1CCOC[C@H]1CC1CCC1 ZINC000339773035 1117972930 /nfs/dbraw/zinc/97/29/30/1117972930.db2.gz RDPPNKSTVWHBTG-GFCCVEGCSA-N 1 2 285.840 3.793 20 0 CHADLO Clc1ccsc1C[N@H+]1CCOC[C@H]1CC1CCC1 ZINC000339773035 1117972934 /nfs/dbraw/zinc/97/29/34/1117972934.db2.gz RDPPNKSTVWHBTG-GFCCVEGCSA-N 1 2 285.840 3.793 20 0 CHADLO CSCc1cc[nH+]c(N(C)CC2CCCCC2)c1 ZINC001167097356 1117997747 /nfs/dbraw/zinc/99/77/47/1117997747.db2.gz XNMLENISROFRJW-UHFFFAOYSA-N 1 2 264.438 3.961 20 0 CHADLO COc1cc(Nc2ccc(C)c[nH+]2)ccc1SC ZINC001211010087 1118438155 /nfs/dbraw/zinc/43/81/55/1118438155.db2.gz BXIDGLQGCDOXLK-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@@H](C)c1ncco1 ZINC000926355398 1118974818 /nfs/dbraw/zinc/97/48/18/1118974818.db2.gz GCYKLQHTQOMLEY-QWRGUYRKSA-N 1 2 262.378 3.808 20 0 CHADLO CC[C@@H](C)c1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)on1 ZINC000132896206 1125505758 /nfs/dbraw/zinc/50/57/58/1125505758.db2.gz GHBLAXUMYHFATD-SECBINFHSA-N 1 2 287.363 3.761 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC000132899368 1125506294 /nfs/dbraw/zinc/50/62/94/1125506294.db2.gz GWKSXWYDNZNMPY-QMTHXVAHSA-N 1 2 260.381 3.772 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1ncccc1Cl ZINC000625132899 1120637422 /nfs/dbraw/zinc/63/74/22/1120637422.db2.gz WYCAQXRPPNEMKK-UHFFFAOYSA-N 1 2 266.694 3.509 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1ncccc1Cl ZINC000625132899 1120637424 /nfs/dbraw/zinc/63/74/24/1120637424.db2.gz WYCAQXRPPNEMKK-UHFFFAOYSA-N 1 2 266.694 3.509 20 0 CHADLO CCOc1cc(F)cc(Nc2ccc(C)[nH+]c2C)c1 ZINC001175001516 1121126920 /nfs/dbraw/zinc/12/69/20/1121126920.db2.gz FHKIFGWKSCQOLO-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO COC[C@@H](Nc1cc(C(C)C)[nH+]c(C(C)C)n1)C(C)C ZINC001162549798 1121283691 /nfs/dbraw/zinc/28/36/91/1121283691.db2.gz CQQMIYDBIFRZQM-CQSZACIVSA-N 1 2 279.428 3.806 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cn(C)cn1)c1ccc(F)cc1Cl ZINC000710143714 1121472885 /nfs/dbraw/zinc/47/28/85/1121472885.db2.gz CZKHVXYFCRQWOR-ZJUUUORDSA-N 1 2 281.762 3.624 20 0 CHADLO CN(Cc1ccc(Br)c(F)c1)c1cccc[nH+]1 ZINC000345389558 1121881049 /nfs/dbraw/zinc/88/10/49/1121881049.db2.gz HJLJDFKXDCOOSG-UHFFFAOYSA-N 1 2 295.155 3.620 20 0 CHADLO CC(C)CN(Cc1c[nH+]cn1C)c1cccc(Cl)c1 ZINC001194348566 1122766610 /nfs/dbraw/zinc/76/66/10/1122766610.db2.gz LWCBUKDUJJEVSJ-UHFFFAOYSA-N 1 2 277.799 3.736 20 0 CHADLO CSc1ccc(C[NH+]2CC(C)(CC(F)(F)F)C2)o1 ZINC000894980106 1123096925 /nfs/dbraw/zinc/09/69/25/1123096925.db2.gz JZLMJBZGGKGLCD-UHFFFAOYSA-N 1 2 279.327 3.776 20 0 CHADLO CCCCCC[C@@H](C)CC(=O)NCc1[nH]c(C)c(C)[nH+]1 ZINC000896922086 1123780958 /nfs/dbraw/zinc/78/09/58/1123780958.db2.gz XXLWKVBVQASHKH-GFCCVEGCSA-N 1 2 279.428 3.639 20 0 CHADLO CC(C)(C)c1cn(Cc2ncc(Cl)cc2Cl)c[nH+]1 ZINC000865376673 1123977478 /nfs/dbraw/zinc/97/74/78/1123977478.db2.gz YGTSDCDLXJVHOU-UHFFFAOYSA-N 1 2 284.190 3.931 20 0 CHADLO Cc1ccc(C(C)(C)C)c(OCc2c[nH+]cn2C)c1 ZINC000447785411 1124524364 /nfs/dbraw/zinc/52/43/64/1124524364.db2.gz ILGPPIXUONZSQD-UHFFFAOYSA-N 1 2 258.365 3.605 20 0 CHADLO Cl/C=C(/Cl)COc1cccc(-n2cc[nH+]c2)c1 ZINC000124334794 1124735189 /nfs/dbraw/zinc/73/51/89/1124735189.db2.gz SKVICVOAHSRVGR-JXMROGBWSA-N 1 2 269.131 3.570 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2cc(Cl)c(F)cc2F)[C@H](C)C1 ZINC001144137927 1131590153 /nfs/dbraw/zinc/59/01/53/1131590153.db2.gz MOFJLZVSYVETGA-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2cc(Cl)c(F)cc2F)[C@H](C)C1 ZINC001144137927 1131590156 /nfs/dbraw/zinc/59/01/56/1131590156.db2.gz MOFJLZVSYVETGA-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3cccc(C)c3C2)sc1C ZINC001144359997 1131620039 /nfs/dbraw/zinc/62/00/39/1131620039.db2.gz ZHJDPJCCDRZJFR-UHFFFAOYSA-N 1 2 258.390 3.584 20 0 CHADLO Cc1nc(C[N@H+]2Cc3cccc(C)c3C2)sc1C ZINC001144359997 1131620041 /nfs/dbraw/zinc/62/00/41/1131620041.db2.gz ZHJDPJCCDRZJFR-UHFFFAOYSA-N 1 2 258.390 3.584 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@@H+]2Cc2cccnn2)s1 ZINC001139971941 1131654059 /nfs/dbraw/zinc/65/40/59/1131654059.db2.gz XBMQRSFTZWZQTH-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@H+]2Cc2cccnn2)s1 ZINC001139971941 1131654062 /nfs/dbraw/zinc/65/40/62/1131654062.db2.gz XBMQRSFTZWZQTH-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(F)c(O)cc2F)CCC1(F)F ZINC001144648723 1131673981 /nfs/dbraw/zinc/67/39/81/1131673981.db2.gz WMQJCHIIXJUIFH-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO Fc1cc(C[N@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c(F)cc1Cl ZINC001140701974 1131884250 /nfs/dbraw/zinc/88/42/50/1131884250.db2.gz DQGFOUFPAMSBLB-ARENWVFISA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c(F)cc1Cl ZINC001140701974 1131884265 /nfs/dbraw/zinc/88/42/65/1131884265.db2.gz DQGFOUFPAMSBLB-ARENWVFISA-N 1 2 275.701 3.551 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c(Cl)c1 ZINC001140796398 1131922548 /nfs/dbraw/zinc/92/25/48/1131922548.db2.gz GVSTTWXCYSMJIG-BXUZGUMPSA-N 1 2 255.764 3.828 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c(Cl)c1 ZINC001140796398 1131922556 /nfs/dbraw/zinc/92/25/56/1131922556.db2.gz GVSTTWXCYSMJIG-BXUZGUMPSA-N 1 2 255.764 3.828 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@@H+]1Cc1cccc(F)c1Cl ZINC001171777893 1132095224 /nfs/dbraw/zinc/09/52/24/1132095224.db2.gz IIJHVHGXRPZNJN-GFCCVEGCSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@H+]1Cc1cccc(F)c1Cl ZINC001171777893 1132095228 /nfs/dbraw/zinc/09/52/28/1132095228.db2.gz IIJHVHGXRPZNJN-GFCCVEGCSA-N 1 2 285.790 3.869 20 0 CHADLO C[C@H](Cc1ccc(C(F)(F)F)cc1)[NH+]1C[C@@H](F)[C@H](F)C1 ZINC001171969420 1132116925 /nfs/dbraw/zinc/11/69/25/1132116925.db2.gz JOXJNKBXPUYWGP-OASPWFOLSA-N 1 2 293.279 3.628 20 0 CHADLO CCn1c[nH+]cc1CN(C)c1ccc(C(C)C)cc1 ZINC000841867165 1132400614 /nfs/dbraw/zinc/40/06/14/1132400614.db2.gz KZZXISGJOGMJHI-UHFFFAOYSA-N 1 2 257.381 3.663 20 0 CHADLO C[C@@H](C[N@H+]1CC[C@H](CF)C(F)(F)C1)c1ccccc1 ZINC001207899896 1133860255 /nfs/dbraw/zinc/86/02/55/1133860255.db2.gz OPIWHJHDVYYYCD-GXTWGEPZSA-N 1 2 271.326 3.717 20 0 CHADLO C[C@H](C[N@@H+]1CC[C@H](C)C(F)(F)C1)c1ccccc1 ZINC001207903141 1133860269 /nfs/dbraw/zinc/86/02/69/1133860269.db2.gz CKMNDIYBTZRBEU-OLZOCXBDSA-N 1 2 253.336 3.767 20 0 CHADLO C[C@H](C[N@H+]1CC[C@H](C)C(F)(F)C1)c1ccccc1 ZINC001207903141 1133860280 /nfs/dbraw/zinc/86/02/80/1133860280.db2.gz CKMNDIYBTZRBEU-OLZOCXBDSA-N 1 2 253.336 3.767 20 0 CHADLO Clc1oc2ccccc2c1C[NH2+]Cc1nccs1 ZINC000133166977 1125509950 /nfs/dbraw/zinc/50/99/50/1125509950.db2.gz IZIUTORYIHAJBN-UHFFFAOYSA-N 1 2 278.764 3.833 20 0 CHADLO C[N@H+](Cc1ccn(-c2ccccc2)n1)Cc1ccccc1 ZINC000052187281 1125515436 /nfs/dbraw/zinc/51/54/36/1125515436.db2.gz VDWXTXKCWWBCBF-UHFFFAOYSA-N 1 2 277.371 3.504 20 0 CHADLO C[N@@H+](Cc1ccn(-c2ccccc2)n1)Cc1ccccc1 ZINC000052187281 1125515443 /nfs/dbraw/zinc/51/54/43/1125515443.db2.gz VDWXTXKCWWBCBF-UHFFFAOYSA-N 1 2 277.371 3.504 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H](O)c1cccc(F)c1 ZINC000133963158 1125515650 /nfs/dbraw/zinc/51/56/50/1125515650.db2.gz HFOKRQWPAMLVSJ-QGZVFWFLSA-N 1 2 296.345 3.828 20 0 CHADLO Nc1cc(CSc2ccccc2Cl)cc[nH+]1 ZINC000052756005 1125518697 /nfs/dbraw/zinc/51/86/97/1125518697.db2.gz PKPCZOLHDHCCTK-UHFFFAOYSA-N 1 2 250.754 3.610 20 0 CHADLO Cc1ccccc1C[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000237346134 1125525784 /nfs/dbraw/zinc/52/57/84/1125525784.db2.gz DDGXAQHMBCWCDZ-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1nc(NCCc2ccc(Cl)cc2Cl)cc[nH+]1 ZINC000054935704 1125530781 /nfs/dbraw/zinc/53/07/81/1125530781.db2.gz LJPWZTOKBLFTSM-UHFFFAOYSA-N 1 2 282.174 3.746 20 0 CHADLO COC[C@H]([NH2+]Cc1cc(Cl)ccc1F)c1ccc(C)o1 ZINC000119444077 1125533842 /nfs/dbraw/zinc/53/38/42/1125533842.db2.gz DXUUMZJJKTZUIO-AWEZNQCLSA-N 1 2 297.757 3.858 20 0 CHADLO Cc1cnc(C[NH2+]Cc2csc(C(F)(F)F)c2)s1 ZINC000623432065 1125562019 /nfs/dbraw/zinc/56/20/19/1125562019.db2.gz ATCXYCCZENTSTG-UHFFFAOYSA-N 1 2 292.351 3.822 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@H](C)[C@H]2c2ccccc2)cs1 ZINC000245423602 1125571848 /nfs/dbraw/zinc/57/18/48/1125571848.db2.gz JOJRERJUEUAPGS-LRDDRELGSA-N 1 2 272.417 3.899 20 0 CHADLO CCc1nc(C[N@H+]2C[C@H](C)[C@H]2c2ccccc2)cs1 ZINC000245423602 1125571855 /nfs/dbraw/zinc/57/18/55/1125571855.db2.gz JOJRERJUEUAPGS-LRDDRELGSA-N 1 2 272.417 3.899 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)C[C@@H](C)O1 ZINC000245567829 1125581383 /nfs/dbraw/zinc/58/13/83/1125581383.db2.gz UWURTKOCDXNSTO-TZMCWYRMSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)C[C@@H](C)O1 ZINC000245567829 1125581391 /nfs/dbraw/zinc/58/13/91/1125581391.db2.gz UWURTKOCDXNSTO-TZMCWYRMSA-N 1 2 294.464 3.800 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)c(N)c1 ZINC001214177628 1125601691 /nfs/dbraw/zinc/60/16/91/1125601691.db2.gz GQIPNLVCBIIQAK-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO c1ccc([C@@H]2CCC[N@@H+](Cc3noc(C4CC4)n3)CC2)cc1 ZINC000066897692 1125624385 /nfs/dbraw/zinc/62/43/85/1125624385.db2.gz WKZICIPVTNQWHF-OAHLLOKOSA-N 1 2 297.402 3.717 20 0 CHADLO c1ccc([C@@H]2CCC[N@H+](Cc3noc(C4CC4)n3)CC2)cc1 ZINC000066897692 1125624390 /nfs/dbraw/zinc/62/43/90/1125624390.db2.gz WKZICIPVTNQWHF-OAHLLOKOSA-N 1 2 297.402 3.717 20 0 CHADLO CCc1ccc(C(=O)CCC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000073053852 1125636318 /nfs/dbraw/zinc/63/63/18/1125636318.db2.gz SYBWWHHIIJIMKP-UHFFFAOYSA-N 1 2 296.370 3.554 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCc3ccc(C)cc3)cc2[nH+]1 ZINC000074819703 1125642269 /nfs/dbraw/zinc/64/22/69/1125642269.db2.gz IJIOIKCMYTUZCP-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO C[C@H]1C[N@H+](Cc2noc(C3CC3)n2)CC[C@H]1c1ccccc1 ZINC000246525740 1125645132 /nfs/dbraw/zinc/64/51/32/1125645132.db2.gz CBHDJIOIKDNBGQ-XJKSGUPXSA-N 1 2 297.402 3.573 20 0 CHADLO C[C@H]1C[N@@H+](Cc2noc(C3CC3)n2)CC[C@H]1c1ccccc1 ZINC000246525740 1125645137 /nfs/dbraw/zinc/64/51/37/1125645137.db2.gz CBHDJIOIKDNBGQ-XJKSGUPXSA-N 1 2 297.402 3.573 20 0 CHADLO C[NH+](C)CCOc1cccc(Nc2ccccc2S)c1 ZINC001212807093 1125647911 /nfs/dbraw/zinc/64/79/11/1125647911.db2.gz KEUSVRLHCZLRNA-UHFFFAOYSA-N 1 2 288.416 3.659 20 0 CHADLO Cc1coc(C[NH2+][C@@H](CN(C)C)c2ccc(Cl)cc2)c1 ZINC000886109921 1125651628 /nfs/dbraw/zinc/65/16/28/1125651628.db2.gz UWGXWRAHUFATFN-INIZCTEOSA-N 1 2 292.810 3.634 20 0 CHADLO CCn1ccnc1C[N@@H+]1C[C@H](C)C[C@H]1c1ccccc1F ZINC000247550445 1125657979 /nfs/dbraw/zinc/65/79/79/1125657979.db2.gz PWHQCMTWJAPLPJ-CJNGLKHVSA-N 1 2 287.382 3.625 20 0 CHADLO CCn1ccnc1C[N@H+]1C[C@H](C)C[C@H]1c1ccccc1F ZINC000247550445 1125657982 /nfs/dbraw/zinc/65/79/82/1125657982.db2.gz PWHQCMTWJAPLPJ-CJNGLKHVSA-N 1 2 287.382 3.625 20 0 CHADLO F[C@H]1CCc2ccccc2[C@H]1[NH2+]Cc1ccc(Cl)cn1 ZINC000886188177 1125659842 /nfs/dbraw/zinc/65/98/42/1125659842.db2.gz MLWYBRPRYYIZOV-JKSUJKDBSA-N 1 2 290.769 3.850 20 0 CHADLO CCS[C@H](C)c1noc(C[NH+]2[C@H](C)CCC[C@H]2C)n1 ZINC000247947582 1125661884 /nfs/dbraw/zinc/66/18/84/1125661884.db2.gz DQRRUGIJPRPRCP-IJLUTSLNSA-N 1 2 283.441 3.647 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@@H+]2CCC[C@](C)(CC)C2)n1 ZINC000247993075 1125662143 /nfs/dbraw/zinc/66/21/43/1125662143.db2.gz JPUZKLBUKWVVHV-WFASDCNBSA-N 1 2 297.468 3.896 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@H+]2CCC[C@](C)(CC)C2)n1 ZINC000247993075 1125662147 /nfs/dbraw/zinc/66/21/47/1125662147.db2.gz JPUZKLBUKWVVHV-WFASDCNBSA-N 1 2 297.468 3.896 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@H]1CC[C@@H](C3CC3)O1)C2 ZINC000886243319 1125662785 /nfs/dbraw/zinc/66/27/85/1125662785.db2.gz VFYTXPCGHXBHAW-CVEARBPZSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@H]1CC[C@@H](C3CC3)O1)C2 ZINC000886243319 1125662788 /nfs/dbraw/zinc/66/27/88/1125662788.db2.gz VFYTXPCGHXBHAW-CVEARBPZSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1ccsc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000886270764 1125663724 /nfs/dbraw/zinc/66/37/24/1125663724.db2.gz MFAZSEBGHMNULS-UHFFFAOYSA-N 1 2 268.769 3.557 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(C)(C)C)s1)c1ncco1 ZINC000886274181 1125665869 /nfs/dbraw/zinc/66/58/69/1125665869.db2.gz NYCQYWSGOKJZHV-JTQLQIEISA-N 1 2 264.394 3.884 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CC[C@H]2C2CC2)c1 ZINC000348379682 1125670059 /nfs/dbraw/zinc/67/00/59/1125670059.db2.gz OWBVPWTYFQDBJQ-KBPBESRZSA-N 1 2 262.422 3.935 20 0 CHADLO Cc1ccc[nH+]c1Nc1cccc(OC(F)F)c1 ZINC001212054306 1125672137 /nfs/dbraw/zinc/67/21/37/1125672137.db2.gz RUPHMIYBKBFUNL-UHFFFAOYSA-N 1 2 250.248 3.735 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(OC(F)F)c1 ZINC001212056515 1125673475 /nfs/dbraw/zinc/67/34/75/1125673475.db2.gz YPYJPKWNENRJMN-UHFFFAOYSA-N 1 2 280.274 3.825 20 0 CHADLO CCn1nccc1CNc1ccc2[nH+]c(C)cc(C)c2c1 ZINC000886369606 1125674285 /nfs/dbraw/zinc/67/42/85/1125674285.db2.gz KGJVRHHWGWJIPJ-UHFFFAOYSA-N 1 2 280.375 3.680 20 0 CHADLO Cc1[nH]c(CNc2ccc3c(c2)C=CC2(CCC2)O3)[nH+]c1C ZINC000886389664 1125676478 /nfs/dbraw/zinc/67/64/78/1125676478.db2.gz SLLMXECBUSWYTE-UHFFFAOYSA-N 1 2 295.386 3.967 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccc(C(F)F)nc1)CC2 ZINC000638076351 1129242392 /nfs/dbraw/zinc/24/23/92/1129242392.db2.gz KQXBMRSULTXTBQ-UHFFFAOYSA-N 1 2 292.304 3.717 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccc(C(F)F)nc1)CC2 ZINC000638076351 1129242393 /nfs/dbraw/zinc/24/23/93/1129242393.db2.gz KQXBMRSULTXTBQ-UHFFFAOYSA-N 1 2 292.304 3.717 20 0 CHADLO Cc1ccc(Br)cc1C[NH2+][C@H](C)c1ncco1 ZINC000886538388 1125685298 /nfs/dbraw/zinc/68/52/98/1125685298.db2.gz HEMDDOQQYTWLFU-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO C[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1cccc(Cl)c1 ZINC000393324644 1125687011 /nfs/dbraw/zinc/68/70/11/1125687011.db2.gz KRUFWQUHDOUXDJ-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](C)[C@H]2c2ccccc2)no1 ZINC000886544840 1125688129 /nfs/dbraw/zinc/68/81/29/1125688129.db2.gz BOEABULJPWBGLS-CJNGLKHVSA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](C)[C@H]2c2ccccc2)no1 ZINC000886544840 1125688133 /nfs/dbraw/zinc/68/81/33/1125688133.db2.gz BOEABULJPWBGLS-CJNGLKHVSA-N 1 2 285.391 3.776 20 0 CHADLO C[C@H]([NH2+]Cc1cscc1Cl)c1ccc(F)cn1 ZINC000886656377 1125703932 /nfs/dbraw/zinc/70/39/32/1125703932.db2.gz CBQXBCNEXIKTEQ-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000252659255 1125705054 /nfs/dbraw/zinc/70/50/54/1125705054.db2.gz MBHNZSLXMQMKOH-MLGOLLRUSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000252659255 1125705064 /nfs/dbraw/zinc/70/50/64/1125705064.db2.gz MBHNZSLXMQMKOH-MLGOLLRUSA-N 1 2 275.323 3.553 20 0 CHADLO CC(C)CCc1nc(CSCCn2cc[nH+]c2)cs1 ZINC000090200831 1125740530 /nfs/dbraw/zinc/74/05/30/1125740530.db2.gz KOVZPODMNLLRLU-UHFFFAOYSA-N 1 2 295.477 3.862 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1cc(F)ccc1F ZINC000091703193 1125752282 /nfs/dbraw/zinc/75/22/82/1125752282.db2.gz UEUGPWYRYKSIPC-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1cc(F)ccc1F ZINC000091703193 1125752287 /nfs/dbraw/zinc/75/22/87/1125752287.db2.gz UEUGPWYRYKSIPC-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2cnccc2Cl)cc1 ZINC001137237772 1125750333 /nfs/dbraw/zinc/75/03/33/1125750333.db2.gz IUSIGUAHESYYHW-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2cnccc2Cl)cc1 ZINC001137237772 1125750339 /nfs/dbraw/zinc/75/03/39/1125750339.db2.gz IUSIGUAHESYYHW-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1[nH]ncc1C[N@H+](Cc1ccc(F)cc1)C(C)(C)C ZINC000092785958 1125762591 /nfs/dbraw/zinc/76/25/91/1125762591.db2.gz SCKXXSACWUATAV-UHFFFAOYSA-N 1 2 275.371 3.658 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](Cc1ccc(F)cc1)C(C)(C)C ZINC000092785958 1125762596 /nfs/dbraw/zinc/76/25/96/1125762596.db2.gz SCKXXSACWUATAV-UHFFFAOYSA-N 1 2 275.371 3.658 20 0 CHADLO Fc1ccc(F)c(C[N@H+](Cc2ccncc2)C2CC2)c1 ZINC000093221729 1125767214 /nfs/dbraw/zinc/76/72/14/1125767214.db2.gz OPRNORNECNBAHA-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1ccc(F)c(C[N@@H+](Cc2ccncc2)C2CC2)c1 ZINC000093221729 1125767221 /nfs/dbraw/zinc/76/72/21/1125767221.db2.gz OPRNORNECNBAHA-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cnccc1Cl)C2 ZINC001137240780 1125772563 /nfs/dbraw/zinc/77/25/63/1125772563.db2.gz UMKBKWCPQIMLRT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cnccc1Cl)C2 ZINC001137240780 1125772566 /nfs/dbraw/zinc/77/25/66/1125772566.db2.gz UMKBKWCPQIMLRT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO c1cc(C[NH+]2CCC(c3nc4ccccc4s3)CC2)no1 ZINC000122491040 1125787497 /nfs/dbraw/zinc/78/74/97/1125787497.db2.gz HTKSHDKTSIBDGC-UHFFFAOYSA-N 1 2 299.399 3.664 20 0 CHADLO C[C@@H]1CCCC[C@H]1[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000638298292 1129252885 /nfs/dbraw/zinc/25/28/85/1129252885.db2.gz AFYAWLULKPPLQF-BPLDGKMQSA-N 1 2 276.428 3.594 20 0 CHADLO CCCCCCc1ccc(C[N@H+]2C[C@H]3C[C@@H](C2)O3)s1 ZINC001238704243 1131233789 /nfs/dbraw/zinc/23/37/89/1131233789.db2.gz VMKLUXCSSDGPFY-OKILXGFUSA-N 1 2 279.449 3.844 20 0 CHADLO CCCCCCc1ccc(C[N@@H+]2C[C@H]3C[C@@H](C2)O3)s1 ZINC001238704243 1131233791 /nfs/dbraw/zinc/23/37/91/1131233791.db2.gz VMKLUXCSSDGPFY-OKILXGFUSA-N 1 2 279.449 3.844 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+](C)Cc1cncs1 ZINC001238699731 1131234613 /nfs/dbraw/zinc/23/46/13/1131234613.db2.gz PEBSCOKJJSUQFM-UHFFFAOYSA-N 1 2 294.395 3.701 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+](C)Cc1cncs1 ZINC001238699731 1131234616 /nfs/dbraw/zinc/23/46/16/1131234616.db2.gz PEBSCOKJJSUQFM-UHFFFAOYSA-N 1 2 294.395 3.701 20 0 CHADLO Fc1cccc(Cl)c1[C@@H]1C[N@@H+](C2CCCC2)CCO1 ZINC000646147245 1129527013 /nfs/dbraw/zinc/52/70/13/1129527013.db2.gz XXZPZZNNQZIGPF-AWEZNQCLSA-N 1 2 283.774 3.795 20 0 CHADLO Fc1cccc(Cl)c1[C@@H]1C[N@H+](C2CCCC2)CCO1 ZINC000646147245 1129527015 /nfs/dbraw/zinc/52/70/15/1129527015.db2.gz XXZPZZNNQZIGPF-AWEZNQCLSA-N 1 2 283.774 3.795 20 0 CHADLO CCCC[N@H+](Cc1nnc(CC)o1)[C@@H](C)c1ccc(C)o1 ZINC000621739141 1129189679 /nfs/dbraw/zinc/18/96/79/1129189679.db2.gz MJCBTSXKOWSTLP-ZDUSSCGKSA-N 1 2 291.395 3.897 20 0 CHADLO CCCC[N@@H+](Cc1nnc(CC)o1)[C@@H](C)c1ccc(C)o1 ZINC000621739141 1129189682 /nfs/dbraw/zinc/18/96/82/1129189682.db2.gz MJCBTSXKOWSTLP-ZDUSSCGKSA-N 1 2 291.395 3.897 20 0 CHADLO O=C(CC[N@@H+]1CCc2c(Cl)cc(Cl)cc2C1)C1CC1 ZINC000767417113 1129791649 /nfs/dbraw/zinc/79/16/49/1129791649.db2.gz HRKMHIWNFYHTPM-UHFFFAOYSA-N 1 2 298.213 3.721 20 0 CHADLO CCCC[C@@H](C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C(C)C ZINC000621854657 1129200122 /nfs/dbraw/zinc/20/01/22/1129200122.db2.gz CKNSBTIRLVVASB-HZPDHXFCSA-N 1 2 291.439 3.509 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccncc1F)c1ccc(Cl)cn1 ZINC000338023337 1126712445 /nfs/dbraw/zinc/71/24/45/1126712445.db2.gz RYEQPOMRLPNUEH-UWVGGRQHSA-N 1 2 279.746 3.681 20 0 CHADLO Clc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)o1 ZINC000338136818 1126720928 /nfs/dbraw/zinc/72/09/28/1126720928.db2.gz HQSVSLVFTUHGSU-UHFFFAOYSA-N 1 2 273.723 3.731 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc(F)cc1Cl)c1ccco1 ZINC000136271145 1126724657 /nfs/dbraw/zinc/72/46/57/1126724657.db2.gz VVTCSHNPXUXNCU-ZDUSSCGKSA-N 1 2 283.730 3.549 20 0 CHADLO CCO[C@H](CSCc1[nH+]cc(C)c(OC)c1C)C1CC1 ZINC000600625079 1126727220 /nfs/dbraw/zinc/72/72/20/1126727220.db2.gz JJUCIHQPMAYVRS-OAHLLOKOSA-N 1 2 295.448 3.755 20 0 CHADLO C[C@H]([NH2+]CC(F)F)c1ccc(F)c2ccccc21 ZINC000676840972 1130272587 /nfs/dbraw/zinc/27/25/87/1130272587.db2.gz CQTYKQFYOHKHPK-VIFPVBQESA-N 1 2 253.267 3.895 20 0 CHADLO COc1ccc(CNc2c[nH+]ccc2OC(C)(C)C)cc1 ZINC000338330213 1126734490 /nfs/dbraw/zinc/73/44/90/1126734490.db2.gz NMRCHKFOFNKNSK-UHFFFAOYSA-N 1 2 286.375 3.880 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1Cl)c1ccncc1F ZINC000338346123 1126736286 /nfs/dbraw/zinc/73/62/86/1126736286.db2.gz UNGCKULNGCIJFE-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO F[C@@]1(c2cccc(Cl)c2)CC[N@H+](Cc2cccnc2)C1 ZINC000338367213 1126738819 /nfs/dbraw/zinc/73/88/19/1126738819.db2.gz AOVFLOMYSLBENX-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@@]1(c2cccc(Cl)c2)CC[N@@H+](Cc2cccnc2)C1 ZINC000338367213 1126738823 /nfs/dbraw/zinc/73/88/23/1126738823.db2.gz AOVFLOMYSLBENX-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2nc(C)no2)o1 ZINC000150726561 1126745285 /nfs/dbraw/zinc/74/52/85/1126745285.db2.gz MFKJPORTXRXMGY-CQSZACIVSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@H+]2Cc2nc(C)no2)o1 ZINC000150726561 1126745288 /nfs/dbraw/zinc/74/52/88/1126745288.db2.gz MFKJPORTXRXMGY-CQSZACIVSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1ccc(C[NH2+][C@](C)(CC)c2nccs2)o1 ZINC000150815303 1126746117 /nfs/dbraw/zinc/74/61/17/1126746117.db2.gz JUUWBQZFWUWBPZ-CQSZACIVSA-N 1 2 264.394 3.714 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2cn3ccc(C)cc3n2)o1 ZINC000482489822 1126760406 /nfs/dbraw/zinc/76/04/06/1126760406.db2.gz VVKXAIVKHBXCDV-CYBMUJFWSA-N 1 2 283.375 3.649 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cc2c(cccc2C)[nH]1 ZINC000668195801 1126765485 /nfs/dbraw/zinc/76/54/85/1126765485.db2.gz VWVZBYBIPZAZLU-UHFFFAOYSA-N 1 2 283.375 3.659 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cc2c(cccc2C)[nH]1 ZINC000668195801 1126765488 /nfs/dbraw/zinc/76/54/88/1126765488.db2.gz VWVZBYBIPZAZLU-UHFFFAOYSA-N 1 2 283.375 3.659 20 0 CHADLO CCCCC[C@@](C)(CC)C(=O)OCc1cc[nH+]c(N)c1 ZINC000792161819 1130434321 /nfs/dbraw/zinc/43/43/21/1130434321.db2.gz YBWXLBWTRXGKSU-MRXNPFEDSA-N 1 2 278.396 3.704 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@H+](C)Cc1cccn1C ZINC000174960392 1126774921 /nfs/dbraw/zinc/77/49/21/1126774921.db2.gz KCORUOJXCKZQJM-LBPRGKRZSA-N 1 2 285.416 3.828 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@@H+](C)Cc1cccn1C ZINC000174960392 1126774925 /nfs/dbraw/zinc/77/49/25/1126774925.db2.gz KCORUOJXCKZQJM-LBPRGKRZSA-N 1 2 285.416 3.828 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[N@@H+]1CCC(F)(F)[C@H](F)C1 ZINC001170265061 1130469524 /nfs/dbraw/zinc/46/95/24/1130469524.db2.gz DVEVWOIOTZOSAR-ZWNOBZJWSA-N 1 2 291.744 3.950 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[N@H+]1CCC(F)(F)[C@H](F)C1 ZINC001170265061 1130469526 /nfs/dbraw/zinc/46/95/26/1130469526.db2.gz DVEVWOIOTZOSAR-ZWNOBZJWSA-N 1 2 291.744 3.950 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1ccc(Cl)nc1 ZINC000175139950 1126777591 /nfs/dbraw/zinc/77/75/91/1126777591.db2.gz PKWGLQRHJHJMDU-OAHLLOKOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1ccc(Cl)nc1 ZINC000175139950 1126777595 /nfs/dbraw/zinc/77/75/95/1126777595.db2.gz PKWGLQRHJHJMDU-OAHLLOKOSA-N 1 2 289.810 3.801 20 0 CHADLO CC1=C(C)C[N@H+]([C@H](C)C(=O)Nc2ccccc2Cl)CC1 ZINC000483345558 1126783577 /nfs/dbraw/zinc/78/35/77/1126783577.db2.gz AFFXARNLTNCAGL-CYBMUJFWSA-N 1 2 292.810 3.709 20 0 CHADLO CC1=C(C)C[N@@H+]([C@H](C)C(=O)Nc2ccccc2Cl)CC1 ZINC000483345558 1126783582 /nfs/dbraw/zinc/78/35/82/1126783582.db2.gz AFFXARNLTNCAGL-CYBMUJFWSA-N 1 2 292.810 3.709 20 0 CHADLO CC1=C(C)C[N@H+](Cc2ncc(Cl)cc2Cl)CC1 ZINC000483382105 1126784081 /nfs/dbraw/zinc/78/40/81/1126784081.db2.gz RFNAGCNBLFCTDE-UHFFFAOYSA-N 1 2 271.191 3.931 20 0 CHADLO CC1=C(C)C[N@@H+](Cc2ncc(Cl)cc2Cl)CC1 ZINC000483382105 1126784084 /nfs/dbraw/zinc/78/40/84/1126784084.db2.gz RFNAGCNBLFCTDE-UHFFFAOYSA-N 1 2 271.191 3.931 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[N@H+](C)CC(=O)OC(C)(C)C ZINC001170278192 1130478028 /nfs/dbraw/zinc/47/80/28/1130478028.db2.gz VZWOZZBNBXEXLI-LBPRGKRZSA-N 1 2 297.826 3.545 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[N@@H+](C)CC(=O)OC(C)(C)C ZINC001170278192 1130478032 /nfs/dbraw/zinc/47/80/32/1130478032.db2.gz VZWOZZBNBXEXLI-LBPRGKRZSA-N 1 2 297.826 3.545 20 0 CHADLO CCC[C@@H](C)[NH2+][C@@H](Cc1ccccc1)C(=O)OC(C)(C)C ZINC000777304014 1126787576 /nfs/dbraw/zinc/78/75/76/1126787576.db2.gz KWJIFWWOISGYKK-ZBFHGGJFSA-N 1 2 291.435 3.718 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2ccc(F)c(F)c2)cs1 ZINC000175988010 1126788070 /nfs/dbraw/zinc/78/80/70/1126788070.db2.gz TURWDENDLTYGSO-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO Cc1cc(C[N@H+](C)CCSc2cccc(Cl)c2)no1 ZINC000659838168 1126788187 /nfs/dbraw/zinc/78/81/87/1126788187.db2.gz MJMCJUJBOAHEKM-UHFFFAOYSA-N 1 2 296.823 3.861 20 0 CHADLO Cc1cc(C[N@@H+](C)CCSc2cccc(Cl)c2)no1 ZINC000659838168 1126788190 /nfs/dbraw/zinc/78/81/90/1126788190.db2.gz MJMCJUJBOAHEKM-UHFFFAOYSA-N 1 2 296.823 3.861 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)sc1C ZINC000176316579 1126790458 /nfs/dbraw/zinc/79/04/58/1126790458.db2.gz TWLGFPLJEOROMN-SNVBAGLBSA-N 1 2 278.343 3.534 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)sc1C ZINC000176316579 1126790461 /nfs/dbraw/zinc/79/04/61/1126790461.db2.gz TWLGFPLJEOROMN-SNVBAGLBSA-N 1 2 278.343 3.534 20 0 CHADLO COc1ccc([C@H](C)CC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000176469284 1126791157 /nfs/dbraw/zinc/79/11/57/1126791157.db2.gz AUGQCSIVZXNEFC-GFCCVEGCSA-N 1 2 284.359 3.531 20 0 CHADLO CCS[C@@H](C)c1noc([C@@H]2CC[N@@H+]2C2CCCC2)n1 ZINC000923951733 1126792889 /nfs/dbraw/zinc/79/28/89/1126792889.db2.gz AQKLIAWMJRQNEK-JQWIXIFHSA-N 1 2 281.425 3.573 20 0 CHADLO CCS[C@@H](C)c1noc([C@@H]2CC[N@H+]2C2CCCC2)n1 ZINC000923951733 1126792893 /nfs/dbraw/zinc/79/28/93/1126792893.db2.gz AQKLIAWMJRQNEK-JQWIXIFHSA-N 1 2 281.425 3.573 20 0 CHADLO Cc1cc(N)nc(S[C@@H](C)c2ncc(C(C)(C)C)o2)[nH+]1 ZINC000177209863 1126798498 /nfs/dbraw/zinc/79/84/98/1126798498.db2.gz GSEWOPUFAXTWKE-VIFPVBQESA-N 1 2 292.408 3.506 20 0 CHADLO CC(C)CC1(CNC(=O)c2cccc3[nH+]ccn32)CCCC1 ZINC001126964317 1126804214 /nfs/dbraw/zinc/80/42/14/1126804214.db2.gz OBTXILPQKFMHOL-UHFFFAOYSA-N 1 2 299.418 3.671 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2nc3cc(C)ccc3[nH]2)o1 ZINC000483855865 1126804246 /nfs/dbraw/zinc/80/42/46/1126804246.db2.gz RGAPNJJXDJCQIF-GFCCVEGCSA-N 1 2 283.375 3.878 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2nc3ccc(C)cc3[nH]2)o1 ZINC000483855865 1126804248 /nfs/dbraw/zinc/80/42/48/1126804248.db2.gz RGAPNJJXDJCQIF-GFCCVEGCSA-N 1 2 283.375 3.878 20 0 CHADLO Cc1nc(C[N@H+]2C[C@H](C)[C@@H]2c2ccccc2)cs1 ZINC000177829727 1126805572 /nfs/dbraw/zinc/80/55/72/1126805572.db2.gz YVEANJJAMDFCHP-XHDPSFHLSA-N 1 2 258.390 3.645 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@H](C)[C@@H]2c2ccccc2)cs1 ZINC000177829727 1126805576 /nfs/dbraw/zinc/80/55/76/1126805576.db2.gz YVEANJJAMDFCHP-XHDPSFHLSA-N 1 2 258.390 3.645 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)s1 ZINC000679546774 1130539287 /nfs/dbraw/zinc/53/92/87/1130539287.db2.gz UFSLJIGMHBFDLY-PSASIEDQSA-N 1 2 278.343 3.614 20 0 CHADLO FC(F)(F)Oc1ccccc1C[NH+]1CCC(F)(F)CC1 ZINC000793351925 1130549796 /nfs/dbraw/zinc/54/97/96/1130549796.db2.gz JASCGFNXLCGMDY-UHFFFAOYSA-N 1 2 295.251 3.816 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cccc(OC(C)C)c2)o1 ZINC000179674257 1126832065 /nfs/dbraw/zinc/83/20/65/1126832065.db2.gz VZHQLGCBKVUCPR-CYBMUJFWSA-N 1 2 274.364 3.621 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2nc(CC(C)C)no2)c1 ZINC000179590817 1126830384 /nfs/dbraw/zinc/83/03/84/1126830384.db2.gz FUBCLJSGNPMPMK-UHFFFAOYSA-N 1 2 287.407 3.601 20 0 CHADLO Cc1cnc(C[NH2+]C(C)(C)c2cccc(Cl)c2)o1 ZINC000179604188 1126831140 /nfs/dbraw/zinc/83/11/40/1126831140.db2.gz JFCHBXDJHRJYTC-UHFFFAOYSA-N 1 2 264.756 3.661 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc(C(C2CCC2)C2CCC2)n1 ZINC000904267705 1126840649 /nfs/dbraw/zinc/84/06/49/1126840649.db2.gz HIIJFRAIKFZNJM-UHFFFAOYSA-N 1 2 298.390 3.706 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2ccc(F)c(F)c2)s1 ZINC000180415154 1126843630 /nfs/dbraw/zinc/84/36/30/1126843630.db2.gz WEQNUHQSRVNBDJ-SNVBAGLBSA-N 1 2 282.359 3.923 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2ccc(F)c(F)c2)s1 ZINC000180415154 1126843632 /nfs/dbraw/zinc/84/36/32/1126843632.db2.gz WEQNUHQSRVNBDJ-SNVBAGLBSA-N 1 2 282.359 3.923 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2cnc(Cl)s2)C2CC2)n1 ZINC000181610083 1126858788 /nfs/dbraw/zinc/85/87/88/1126858788.db2.gz UTRWTGGPZAGPIE-JTQLQIEISA-N 1 2 299.852 3.802 20 0 CHADLO COc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1cc(C)on1 ZINC000181713979 1126859330 /nfs/dbraw/zinc/85/93/30/1126859330.db2.gz DDYAUDOMCKILLO-MRXNPFEDSA-N 1 2 288.391 3.869 20 0 CHADLO COc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1ccon1 ZINC000181697532 1126859388 /nfs/dbraw/zinc/85/93/88/1126859388.db2.gz SHXGYZUOBOASFK-OAHLLOKOSA-N 1 2 274.364 3.560 20 0 CHADLO CC(C)=CC[N@H+](Cc1ccco1)Cc1cccnc1 ZINC000182059062 1126865602 /nfs/dbraw/zinc/86/56/02/1126865602.db2.gz NLBYNFJEGLXQSW-UHFFFAOYSA-N 1 2 256.349 3.643 20 0 CHADLO CC(C)=CC[N@@H+](Cc1ccco1)Cc1cccnc1 ZINC000182059062 1126865605 /nfs/dbraw/zinc/86/56/05/1126865605.db2.gz NLBYNFJEGLXQSW-UHFFFAOYSA-N 1 2 256.349 3.643 20 0 CHADLO CC(C)Oc1ccccc1-c1ccn2cc[nH+]c2c1 ZINC001240511257 1126867405 /nfs/dbraw/zinc/86/74/05/1126867405.db2.gz BRYHHOVWCNIGHT-UHFFFAOYSA-N 1 2 252.317 3.789 20 0 CHADLO CCOC1CCC(Nc2c[nH+]cc3c2CCCC3)CC1 ZINC000668440121 1126889710 /nfs/dbraw/zinc/88/97/10/1126889710.db2.gz IQKRRBBYCQBIAI-UHFFFAOYSA-N 1 2 274.408 3.720 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nnc(C(C)C)o1 ZINC000185800998 1126902730 /nfs/dbraw/zinc/90/27/30/1126902730.db2.gz ZAQHHXSJQHNLSI-OAHLLOKOSA-N 1 2 285.391 3.530 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@H+]1Cc1nnc(C(C)C)o1 ZINC000185800998 1126902731 /nfs/dbraw/zinc/90/27/31/1126902731.db2.gz ZAQHHXSJQHNLSI-OAHLLOKOSA-N 1 2 285.391 3.530 20 0 CHADLO CC(C)c1ccc(C[N@@H+]2CCn3cccc3C2)c(Cl)n1 ZINC001238723783 1131238376 /nfs/dbraw/zinc/23/83/76/1131238376.db2.gz FOFSJMLJUHQKGD-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)c1ccc(C[N@H+]2CCn3cccc3C2)c(Cl)n1 ZINC001238723783 1131238377 /nfs/dbraw/zinc/23/83/77/1131238377.db2.gz FOFSJMLJUHQKGD-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO Cc1cc(NCc2ccc(C(C)(C)O)cc2)nc(C2CC2)[nH+]1 ZINC000185890482 1126905034 /nfs/dbraw/zinc/90/50/34/1126905034.db2.gz YYYONWNMTWIODA-UHFFFAOYSA-N 1 2 297.402 3.502 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CC3(C2)CCCC3)cs1 ZINC000485328912 1126905334 /nfs/dbraw/zinc/90/53/34/1126905334.db2.gz IGFKYQXSVWQRNO-UHFFFAOYSA-N 1 2 264.438 3.817 20 0 CHADLO CCc1cnc(C[N@@H+]2CCc3ccc(C)cc3C2)s1 ZINC000668478048 1126911567 /nfs/dbraw/zinc/91/15/67/1126911567.db2.gz BXYANFXTKWXUAI-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCc1cnc(C[N@H+]2CCc3ccc(C)cc3C2)s1 ZINC000668478048 1126911569 /nfs/dbraw/zinc/91/15/69/1126911569.db2.gz BXYANFXTKWXUAI-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CC[N@H+](Cc1noc(C(C)C)n1)[C@@H](C)Cc1ccsc1 ZINC000187788611 1126914711 /nfs/dbraw/zinc/91/47/11/1126914711.db2.gz OFFDWZMMHFQDOQ-LBPRGKRZSA-N 1 2 293.436 3.708 20 0 CHADLO CC[N@@H+](Cc1noc(C(C)C)n1)[C@@H](C)Cc1ccsc1 ZINC000187788611 1126914714 /nfs/dbraw/zinc/91/47/14/1126914714.db2.gz OFFDWZMMHFQDOQ-LBPRGKRZSA-N 1 2 293.436 3.708 20 0 CHADLO COc1ccc([C@@H](C)NC(=O)c2c(C)cc(C)[nH+]c2C)cc1 ZINC000905941667 1126922508 /nfs/dbraw/zinc/92/25/08/1126922508.db2.gz FWQXURURXVVPGZ-CYBMUJFWSA-N 1 2 298.386 3.506 20 0 CHADLO O=C(C[C@@H]1C=CCCC1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000188859182 1126926058 /nfs/dbraw/zinc/92/60/58/1126926058.db2.gz RWUJLPGGEWFHEW-CYBMUJFWSA-N 1 2 281.359 3.762 20 0 CHADLO C[C@H](c1cc2ccccc2o1)[N@H+](C)Cc1cn(C2CC2)nn1 ZINC000906250027 1126941880 /nfs/dbraw/zinc/94/18/80/1126941880.db2.gz FKWDNBSBHBULEQ-GFCCVEGCSA-N 1 2 296.374 3.552 20 0 CHADLO C[C@H](c1cc2ccccc2o1)[N@@H+](C)Cc1cn(C2CC2)nn1 ZINC000906250027 1126941882 /nfs/dbraw/zinc/94/18/82/1126941882.db2.gz FKWDNBSBHBULEQ-GFCCVEGCSA-N 1 2 296.374 3.552 20 0 CHADLO Cc1ccc([C@@H](C)NC(=O)c2c(C)cc(C)[nH+]c2C)s1 ZINC000906381128 1126949480 /nfs/dbraw/zinc/94/94/80/1126949480.db2.gz HWHRDZJIRXCSFL-GFCCVEGCSA-N 1 2 288.416 3.868 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2cocn2)o1 ZINC000191944758 1126956569 /nfs/dbraw/zinc/95/65/69/1126956569.db2.gz PUBVTYAWKWCIDG-OAHLLOKOSA-N 1 2 274.364 3.947 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@H+]2Cc2cocn2)o1 ZINC000191944758 1126956571 /nfs/dbraw/zinc/95/65/71/1126956571.db2.gz PUBVTYAWKWCIDG-OAHLLOKOSA-N 1 2 274.364 3.947 20 0 CHADLO COc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1cocn1 ZINC000192321646 1126961156 /nfs/dbraw/zinc/96/11/56/1126961156.db2.gz OMZPRFZYMHRCBK-OAHLLOKOSA-N 1 2 274.364 3.560 20 0 CHADLO CCCCc1nc(C[NH+]2CCC(CC)(CC)CC2)no1 ZINC000485890693 1126961851 /nfs/dbraw/zinc/96/18/51/1126961851.db2.gz TWZCGCXQZSGBQK-UHFFFAOYSA-N 1 2 279.428 3.814 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H]2CCc3ccc(F)cc32)c(C)[nH+]1 ZINC000906601668 1126961881 /nfs/dbraw/zinc/96/18/81/1126961881.db2.gz UZRLKTJVGSCUGU-MRXNPFEDSA-N 1 2 298.361 3.563 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2Cl)CN1c1cccc[nH+]1 ZINC000192660755 1126962967 /nfs/dbraw/zinc/96/29/67/1126962967.db2.gz FDVISFZLRITBKS-IUODEOHRSA-N 1 2 288.778 3.701 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)CC2=CCCOC2)c(Cl)c1 ZINC000668569316 1126963891 /nfs/dbraw/zinc/96/38/91/1126963891.db2.gz XKCWZTSQFJCFIO-UHFFFAOYSA-N 1 2 283.774 3.566 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)CC2=CCCOC2)c(Cl)c1 ZINC000668569316 1126963893 /nfs/dbraw/zinc/96/38/93/1126963893.db2.gz XKCWZTSQFJCFIO-UHFFFAOYSA-N 1 2 283.774 3.566 20 0 CHADLO CCc1noc(C)c1COc1cc(C)[nH+]c2ccccc21 ZINC000486223245 1126974958 /nfs/dbraw/zinc/97/49/58/1126974958.db2.gz ZOOSHNAROJMALD-UHFFFAOYSA-N 1 2 282.343 3.981 20 0 CHADLO Cc1nc(N[C@@H]2CCSc3ccc(F)cc32)cc[nH+]1 ZINC000266133717 1126980068 /nfs/dbraw/zinc/98/00/68/1126980068.db2.gz DPFMDNUOTFAAIU-GFCCVEGCSA-N 1 2 275.352 3.573 20 0 CHADLO Cc1cc(Cl)c(NC(=O)[C@@H]2CC[N@@H+]2C(C)(C)C)cc1C ZINC000908547630 1127006934 /nfs/dbraw/zinc/00/69/34/1127006934.db2.gz MVRRJFZSORYQCS-AWEZNQCLSA-N 1 2 294.826 3.768 20 0 CHADLO Cc1cc(Cl)c(NC(=O)[C@@H]2CC[N@H+]2C(C)(C)C)cc1C ZINC000908547630 1127006936 /nfs/dbraw/zinc/00/69/36/1127006936.db2.gz MVRRJFZSORYQCS-AWEZNQCLSA-N 1 2 294.826 3.768 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000268463485 1127017760 /nfs/dbraw/zinc/01/77/60/1127017760.db2.gz OSRLSFUGXYUADR-XJKCOSOUSA-N 1 2 288.416 3.666 20 0 CHADLO C[C@H](c1cccnc1)[N@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000268463485 1127017761 /nfs/dbraw/zinc/01/77/61/1127017761.db2.gz OSRLSFUGXYUADR-XJKCOSOUSA-N 1 2 288.416 3.666 20 0 CHADLO Fc1cccc(F)c1C[NH2+]Cc1cscc1Cl ZINC000776247897 1130918615 /nfs/dbraw/zinc/91/86/15/1130918615.db2.gz GNKMOIRYPNEUQA-UHFFFAOYSA-N 1 2 273.735 3.970 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000268721031 1127022006 /nfs/dbraw/zinc/02/20/06/1127022006.db2.gz ZVMRKOQQIXXUNY-CQSZACIVSA-N 1 2 299.418 3.897 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000268721031 1127022008 /nfs/dbraw/zinc/02/20/08/1127022008.db2.gz ZVMRKOQQIXXUNY-CQSZACIVSA-N 1 2 299.418 3.897 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1sccc1Cl)CO2 ZINC000487549597 1127035168 /nfs/dbraw/zinc/03/51/68/1127035168.db2.gz GYBRSUZYADZPKB-LLVKDONJSA-N 1 2 283.755 3.764 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@@H](C)c2ccsc2)cs1 ZINC000269103985 1127035558 /nfs/dbraw/zinc/03/55/58/1127035558.db2.gz UTEZPZZQROXNKB-VHSXEESVSA-N 1 2 282.434 3.763 20 0 CHADLO CCc1cc(C(=O)Nc2ccc3[nH+]c(C)cn3c2)oc1CC ZINC000487598172 1127037308 /nfs/dbraw/zinc/03/73/08/1127037308.db2.gz MWFXWPDMKGUGKF-UHFFFAOYSA-N 1 2 297.358 3.613 20 0 CHADLO CSc1ccc(Cl)cc1Nc1ccc(N)[nH+]c1 ZINC001159281869 1130957410 /nfs/dbraw/zinc/95/74/10/1130957410.db2.gz GHROPFLPWKIIOQ-UHFFFAOYSA-N 1 2 265.769 3.783 20 0 CHADLO CC[N@H+](Cc1nccs1)Cc1ccc(Cl)cc1 ZINC000269667485 1127044767 /nfs/dbraw/zinc/04/47/67/1127044767.db2.gz QQMDRPMORZGBOA-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1nccs1)Cc1ccc(Cl)cc1 ZINC000269667485 1127044770 /nfs/dbraw/zinc/04/47/70/1127044770.db2.gz QQMDRPMORZGBOA-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1ccncc1Cl ZINC000487960692 1127049697 /nfs/dbraw/zinc/04/96/97/1127049697.db2.gz MHJJGBXEYPAHCF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1ccncc1Cl ZINC000487960692 1127049700 /nfs/dbraw/zinc/04/97/00/1127049700.db2.gz MHJJGBXEYPAHCF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(C)[C@@H]1C[N@H+](CCCc2ccccc2Cl)CCN1C ZINC000488027387 1127053314 /nfs/dbraw/zinc/05/33/14/1127053314.db2.gz QCXCOIYVGXMGHL-KRWDZBQOSA-N 1 2 294.870 3.545 20 0 CHADLO CC(C)[C@@H]1C[N@@H+](CCCc2ccccc2Cl)CCN1C ZINC000488027387 1127053318 /nfs/dbraw/zinc/05/33/18/1127053318.db2.gz QCXCOIYVGXMGHL-KRWDZBQOSA-N 1 2 294.870 3.545 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ncc(C(C)C)n2C)c1 ZINC000270187024 1127051097 /nfs/dbraw/zinc/05/10/97/1127051097.db2.gz ZVVFNLPSPSWCKW-UHFFFAOYSA-N 1 2 291.420 3.548 20 0 CHADLO CSc1cc(C)ccc1NC(=O)[C@@H]1CC[N@@H+]1C(C)(C)C ZINC000910411799 1127058122 /nfs/dbraw/zinc/05/81/22/1127058122.db2.gz BVOJQYKDXMTSHI-ZDUSSCGKSA-N 1 2 292.448 3.528 20 0 CHADLO CSc1cc(C)ccc1NC(=O)[C@@H]1CC[N@H+]1C(C)(C)C ZINC000910411799 1127058125 /nfs/dbraw/zinc/05/81/25/1127058125.db2.gz BVOJQYKDXMTSHI-ZDUSSCGKSA-N 1 2 292.448 3.528 20 0 CHADLO CC[N@H+](Cc1ncc(C2CC2)o1)Cc1ccccc1 ZINC000270661562 1127059559 /nfs/dbraw/zinc/05/95/59/1127059559.db2.gz VVSMOUVOFLJATL-UHFFFAOYSA-N 1 2 256.349 3.574 20 0 CHADLO CC[N@@H+](Cc1ncc(C2CC2)o1)Cc1ccccc1 ZINC000270661562 1127059563 /nfs/dbraw/zinc/05/95/63/1127059563.db2.gz VVSMOUVOFLJATL-UHFFFAOYSA-N 1 2 256.349 3.574 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000270682289 1127061186 /nfs/dbraw/zinc/06/11/86/1127061186.db2.gz YXRAAGBSVGVHCV-SNVBAGLBSA-N 1 2 262.378 3.807 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000270682289 1127061189 /nfs/dbraw/zinc/06/11/89/1127061189.db2.gz YXRAAGBSVGVHCV-SNVBAGLBSA-N 1 2 262.378 3.807 20 0 CHADLO C[C@@H]1C[C@H]1CNc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000488301646 1127071041 /nfs/dbraw/zinc/07/10/41/1127071041.db2.gz RFTGKAZFJDHBJC-HIFRSBDPSA-N 1 2 281.403 3.954 20 0 CHADLO CCCC(=CC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)CCC ZINC000271275961 1127074529 /nfs/dbraw/zinc/07/45/29/1127074529.db2.gz PFTNTBNNQSUUIR-UHFFFAOYSA-N 1 2 289.423 3.642 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CC[N@@H+]2C(C)(C)C)c(Cl)c1C ZINC000911502703 1127082427 /nfs/dbraw/zinc/08/24/27/1127082427.db2.gz TZYXKGWJXXUZHZ-CYBMUJFWSA-N 1 2 294.826 3.768 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CC[N@H+]2C(C)(C)C)c(Cl)c1C ZINC000911502703 1127082432 /nfs/dbraw/zinc/08/24/32/1127082432.db2.gz TZYXKGWJXXUZHZ-CYBMUJFWSA-N 1 2 294.826 3.768 20 0 CHADLO Cc1cc(C)c(C(=O)N2CC3(CC=CC3)[C@@H]2C(C)C)c(C)[nH+]1 ZINC000911598696 1127083333 /nfs/dbraw/zinc/08/33/33/1127083333.db2.gz NMXSWSVELNBKAN-KRWDZBQOSA-N 1 2 298.430 3.824 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cc(F)cc1F)c1ccoc1 ZINC000815650170 1131017956 /nfs/dbraw/zinc/01/79/56/1131017956.db2.gz KRDXYZBABBHMQO-QMMMGPOBSA-N 1 2 255.239 3.548 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cccc(F)c1Cl ZINC000339144847 1127115945 /nfs/dbraw/zinc/11/59/45/1127115945.db2.gz LDKOFRVRVHASHH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cccc(F)c1Cl ZINC000339144847 1127115947 /nfs/dbraw/zinc/11/59/47/1127115947.db2.gz LDKOFRVRVHASHH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO FC1=CCC[N@H+](Cc2cccc(OCc3ccccn3)c2)C1 ZINC000274464377 1127120494 /nfs/dbraw/zinc/12/04/94/1127120494.db2.gz IGCIJNOINBCXKN-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO FC1=CCC[N@@H+](Cc2cccc(OCc3ccccn3)c2)C1 ZINC000274464377 1127120496 /nfs/dbraw/zinc/12/04/96/1127120496.db2.gz IGCIJNOINBCXKN-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO C[C@@H]1CC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C[C@H]1C ZINC000348837025 1127130713 /nfs/dbraw/zinc/13/07/13/1127130713.db2.gz ZKEYKBLDIZDHLC-IIAWOOMASA-N 1 2 284.407 3.563 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H]2c2cccnc2)cc(F)c1F ZINC000348898072 1127133790 /nfs/dbraw/zinc/13/37/90/1127133790.db2.gz WMSGAJGXGYVGQQ-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H]2c2cccnc2)cc(F)c1F ZINC000348898072 1127133792 /nfs/dbraw/zinc/13/37/92/1127133792.db2.gz WMSGAJGXGYVGQQ-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(F)(F)F)cc1)c1ccon1 ZINC000349243615 1127144219 /nfs/dbraw/zinc/14/42/19/1127144219.db2.gz NNACUUHLUDKXMG-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO c1nc(C2CCC2)sc1C[N@H+](Cc1cccnc1)C1CC1 ZINC000349287080 1127146316 /nfs/dbraw/zinc/14/63/16/1127146316.db2.gz UGQQTBGXBGZKNA-UHFFFAOYSA-N 1 2 299.443 3.970 20 0 CHADLO c1nc(C2CCC2)sc1C[N@@H+](Cc1cccnc1)C1CC1 ZINC000349287080 1127146319 /nfs/dbraw/zinc/14/63/19/1127146319.db2.gz UGQQTBGXBGZKNA-UHFFFAOYSA-N 1 2 299.443 3.970 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(C(F)(F)F)c1)c1ccon1 ZINC000349234175 1127144642 /nfs/dbraw/zinc/14/46/42/1127144642.db2.gz AQURJKUYFJLUQC-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO CC[N@H+](Cc1nc2ccccc2[nH]1)Cc1cccc(F)c1 ZINC000349564764 1127154039 /nfs/dbraw/zinc/15/40/39/1127154039.db2.gz UHBCDVCANULURX-UHFFFAOYSA-N 1 2 283.350 3.724 20 0 CHADLO CC[N@@H+](Cc1nc2ccccc2[nH]1)Cc1cccc(F)c1 ZINC000349564764 1127154040 /nfs/dbraw/zinc/15/40/40/1127154040.db2.gz UHBCDVCANULURX-UHFFFAOYSA-N 1 2 283.350 3.724 20 0 CHADLO CCSc1cccc(C[NH2+][C@H](C)c2ccon2)c1 ZINC000349663923 1127157639 /nfs/dbraw/zinc/15/76/39/1127157639.db2.gz FOWIMQTZPNSKHC-LLVKDONJSA-N 1 2 262.378 3.637 20 0 CHADLO COC[C@H]1CC[N@@H+]1Cc1c(Cl)ccc(C)c1Cl ZINC001237339955 1131088281 /nfs/dbraw/zinc/08/82/81/1131088281.db2.gz QWZMDCROFHVHHF-SNVBAGLBSA-N 1 2 274.191 3.523 20 0 CHADLO COC[C@H]1CC[N@H+]1Cc1c(Cl)ccc(C)c1Cl ZINC001237339955 1131088287 /nfs/dbraw/zinc/08/82/87/1131088287.db2.gz QWZMDCROFHVHHF-SNVBAGLBSA-N 1 2 274.191 3.523 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cccc(F)c1F)c1ccn(C)n1 ZINC000349784871 1127160883 /nfs/dbraw/zinc/16/08/83/1127160883.db2.gz SJPHUPCZFFUODP-GWCFXTLKSA-N 1 2 279.334 3.500 20 0 CHADLO CCC[N@H+](C[C@@H]1C[C@]12CCOC2)c1ccc(CC)cc1 ZINC001307779029 1127161682 /nfs/dbraw/zinc/16/16/82/1127161682.db2.gz NWQFOMFCTQFYTD-WMZOPIPTSA-N 1 2 273.420 3.892 20 0 CHADLO CCC[N@@H+](C[C@@H]1C[C@]12CCOC2)c1ccc(CC)cc1 ZINC001307779029 1127161685 /nfs/dbraw/zinc/16/16/85/1127161685.db2.gz NWQFOMFCTQFYTD-WMZOPIPTSA-N 1 2 273.420 3.892 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1cccc(O)c1Cl ZINC000350225849 1127174711 /nfs/dbraw/zinc/17/47/11/1127174711.db2.gz YQCNYOHHZOEVHC-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1cccc(O)c1Cl ZINC000350225849 1127174714 /nfs/dbraw/zinc/17/47/14/1127174714.db2.gz YQCNYOHHZOEVHC-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO O=C(CC1CC1)Oc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000284348328 1127181178 /nfs/dbraw/zinc/18/11/78/1127181178.db2.gz RVMMGSAXBAHQMO-UHFFFAOYSA-N 1 2 296.370 3.592 20 0 CHADLO CCCC[C@H](C)[C@@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000572080864 1127191502 /nfs/dbraw/zinc/19/15/02/1127191502.db2.gz OTLAXMPDGWZQBH-LSDHHAIUSA-N 1 2 286.423 3.953 20 0 CHADLO CC(C)(C)c1cc(C[NH2+][C@H](c2cccnc2)C2CC2)no1 ZINC000351593755 1127196093 /nfs/dbraw/zinc/19/60/93/1127196093.db2.gz OQLZFOLPHBMWSQ-INIZCTEOSA-N 1 2 285.391 3.608 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2ccon2)cc1Br ZINC000351597866 1127196543 /nfs/dbraw/zinc/19/65/43/1127196543.db2.gz UUEMDRKYTQYMNY-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO Cc1ncsc1C[NH2+][C@H](C)c1ncc(-c2ccccc2)o1 ZINC000351603874 1127197579 /nfs/dbraw/zinc/19/75/79/1127197579.db2.gz BNWPJBDNASOBEB-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO C[N@@H+](Cc1c(F)cc(C(F)(F)F)cc1F)C1CC1 ZINC001237703726 1131130745 /nfs/dbraw/zinc/13/07/45/1131130745.db2.gz APUJVFLVUMXHHT-UHFFFAOYSA-N 1 2 265.225 3.578 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(C(C)C)cc2)no1 ZINC000588850364 1127202359 /nfs/dbraw/zinc/20/23/59/1127202359.db2.gz UFTOFGVCTDLTAO-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(C(C)C)cc2)no1 ZINC000588850364 1127202362 /nfs/dbraw/zinc/20/23/62/1127202362.db2.gz UFTOFGVCTDLTAO-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO CCc1nocc1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000351664430 1127202425 /nfs/dbraw/zinc/20/24/25/1127202425.db2.gz JXHPWMCUVYIHQJ-HNNXBMFYSA-N 1 2 276.767 3.837 20 0 CHADLO CCc1nocc1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000351664430 1127202428 /nfs/dbraw/zinc/20/24/28/1127202428.db2.gz JXHPWMCUVYIHQJ-HNNXBMFYSA-N 1 2 276.767 3.837 20 0 CHADLO Cc1ccc(C(=O)Nc2ccn3cc[nH+]c3c2)c(Cl)c1C ZINC001274962073 1127209575 /nfs/dbraw/zinc/20/95/75/1127209575.db2.gz YPIWKZCBYKTSCD-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO Cc1cc(N)cc2c1ccn2-c1cc[nH+]cc1Cl ZINC001212157502 1127221434 /nfs/dbraw/zinc/22/14/34/1127221434.db2.gz OEBDPQKAFYAIOK-UHFFFAOYSA-N 1 2 257.724 3.570 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3cccc(Cl)c3)CC2)no1 ZINC000285293331 1127221902 /nfs/dbraw/zinc/22/19/02/1127221902.db2.gz RUAHEHOINIRBLU-LLVKDONJSA-N 1 2 276.767 3.976 20 0 CHADLO CC(C)CC[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000589599017 1127231190 /nfs/dbraw/zinc/23/11/90/1127231190.db2.gz AAOSBHLZGDKLOP-HNNXBMFYSA-N 1 2 285.790 3.899 20 0 CHADLO CC(C)CC[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000589599017 1127231192 /nfs/dbraw/zinc/23/11/92/1127231192.db2.gz AAOSBHLZGDKLOP-HNNXBMFYSA-N 1 2 285.790 3.899 20 0 CHADLO CC(C)([NH2+]Cc1n[nH]c2ccccc21)c1ccccc1 ZINC000589645099 1127232532 /nfs/dbraw/zinc/23/25/32/1127232532.db2.gz IKIQSTOTNJLXPV-UHFFFAOYSA-N 1 2 265.360 3.588 20 0 CHADLO Cc1ccc2c(c1)CC[C@H]2[NH2+]Cc1n[nH]c2ccccc21 ZINC000589647314 1127232944 /nfs/dbraw/zinc/23/29/44/1127232944.db2.gz BEQXGGSEFCSQQT-MRXNPFEDSA-N 1 2 277.371 3.648 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CCO[C@H](CC)C2)c1 ZINC000590647133 1127266547 /nfs/dbraw/zinc/26/65/47/1127266547.db2.gz MTEBMADSBCMXIL-ZIAGYGMSSA-N 1 2 280.437 3.704 20 0 CHADLO CC[C@@H]1CCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238149119 1131175199 /nfs/dbraw/zinc/17/51/99/1131175199.db2.gz FZWWOPCJCNUEGZ-SNVBAGLBSA-N 1 2 257.736 3.559 20 0 CHADLO CC[C@@H]1CCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238149119 1131175201 /nfs/dbraw/zinc/17/52/01/1131175201.db2.gz FZWWOPCJCNUEGZ-SNVBAGLBSA-N 1 2 257.736 3.559 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[N@H+]1CC=CCC1 ZINC000679357407 1127288682 /nfs/dbraw/zinc/28/86/82/1127288682.db2.gz NFOLVKRXKPTNNQ-UHFFFAOYSA-N 1 2 259.246 3.606 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[N@@H+]1CC=CCC1 ZINC000679357407 1127288684 /nfs/dbraw/zinc/28/86/84/1127288684.db2.gz NFOLVKRXKPTNNQ-UHFFFAOYSA-N 1 2 259.246 3.606 20 0 CHADLO CCc1ncc(C[N@@H+]2CCc3ccc(Cl)cc3C2)s1 ZINC000354057811 1127289116 /nfs/dbraw/zinc/28/91/16/1127289116.db2.gz ABKSSHFBGUGIRR-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CCc1ncc(C[N@H+]2CCc3ccc(Cl)cc3C2)s1 ZINC000354057811 1127289118 /nfs/dbraw/zinc/28/91/18/1127289118.db2.gz ABKSSHFBGUGIRR-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(C3CCCC3)s2)C[C@H]1F ZINC000679357688 1127290195 /nfs/dbraw/zinc/29/01/95/1127290195.db2.gz CWMOHTKHHBIJGJ-SMDDNHRTSA-N 1 2 282.428 3.981 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(C3CCCC3)s2)C[C@H]1F ZINC000679357688 1127290197 /nfs/dbraw/zinc/29/01/97/1127290197.db2.gz CWMOHTKHHBIJGJ-SMDDNHRTSA-N 1 2 282.428 3.981 20 0 CHADLO CCCn1cc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000354118933 1127295294 /nfs/dbraw/zinc/29/52/94/1127295294.db2.gz GRWVGFHNOCYZEA-MRXNPFEDSA-N 1 2 289.810 3.894 20 0 CHADLO CCCn1cc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000354118933 1127295295 /nfs/dbraw/zinc/29/52/95/1127295295.db2.gz GRWVGFHNOCYZEA-MRXNPFEDSA-N 1 2 289.810 3.894 20 0 CHADLO CC[N@H+](Cc1ccc2ccccc2c1)[C@@H](C)c1nncn1C ZINC000287377854 1127302175 /nfs/dbraw/zinc/30/21/75/1127302175.db2.gz QNDSYDJVQKKFQC-AWEZNQCLSA-N 1 2 294.402 3.551 20 0 CHADLO CC[N@@H+](Cc1ccc2ccccc2c1)[C@@H](C)c1nncn1C ZINC000287377854 1127302180 /nfs/dbraw/zinc/30/21/80/1127302180.db2.gz QNDSYDJVQKKFQC-AWEZNQCLSA-N 1 2 294.402 3.551 20 0 CHADLO Cc1nc(N2CC[C@@H](c3cccc(F)c3)C2)c(C)c(C)[nH+]1 ZINC000354433911 1127307904 /nfs/dbraw/zinc/30/79/04/1127307904.db2.gz ZKGRDQKBCYAWOK-OAHLLOKOSA-N 1 2 285.366 3.535 20 0 CHADLO C[C@]1(O)CCCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000287635809 1127311286 /nfs/dbraw/zinc/31/12/86/1127311286.db2.gz HMSYCYKGWILOQO-INIZCTEOSA-N 1 2 290.794 3.630 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCCO1 ZINC000287962410 1127315668 /nfs/dbraw/zinc/31/56/68/1127315668.db2.gz RAIZKFCOHCAFAA-LLVKDONJSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1nc(C)c([C@@H](C)[NH2+]Cc2ncc(Cl)s2)s1 ZINC000225326854 1127313404 /nfs/dbraw/zinc/31/34/04/1127313404.db2.gz DPVJMQLZOCTXKA-ZCFIWIBFSA-N 1 2 287.841 3.721 20 0 CHADLO Cc1cccn2c(CN(CC(F)F)CC3CCC3)c[nH+]c12 ZINC000355128646 1127319919 /nfs/dbraw/zinc/31/99/19/1127319919.db2.gz CZIDPTFICXSDBT-UHFFFAOYSA-N 1 2 293.361 3.510 20 0 CHADLO Cc1c(Cl)ccc(C[NH+]2CC3(CCC3)C2)c1F ZINC001238511916 1131210490 /nfs/dbraw/zinc/21/04/90/1131210490.db2.gz JFIBPFPJGTZJPP-UHFFFAOYSA-N 1 2 253.748 3.773 20 0 CHADLO C[N@H+](CC1CCCC1)Cn1nc(C(C)(C)C)oc1=S ZINC000058207395 1127322840 /nfs/dbraw/zinc/32/28/40/1127322840.db2.gz ZTSNGIDJIAQSAO-UHFFFAOYSA-N 1 2 283.441 3.583 20 0 CHADLO C[N@@H+](CC1CCCC1)Cn1nc(C(C)(C)C)oc1=S ZINC000058207395 1127322844 /nfs/dbraw/zinc/32/28/44/1127322844.db2.gz ZTSNGIDJIAQSAO-UHFFFAOYSA-N 1 2 283.441 3.583 20 0 CHADLO CSC[C@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000591943633 1127326097 /nfs/dbraw/zinc/32/60/97/1127326097.db2.gz RGJVLSOKFQHNEI-LBPRGKRZSA-N 1 2 290.407 3.953 20 0 CHADLO C[C@H]1c2cc(F)cc(F)c2CC[N@H+]1Cc1ccco1 ZINC000355278039 1127330519 /nfs/dbraw/zinc/33/05/19/1127330519.db2.gz XPUZDOKLLNCEJQ-JTQLQIEISA-N 1 2 263.287 3.677 20 0 CHADLO C[C@H]1c2cc(F)cc(F)c2CC[N@@H+]1Cc1ccco1 ZINC000355278039 1127330524 /nfs/dbraw/zinc/33/05/24/1127330524.db2.gz XPUZDOKLLNCEJQ-JTQLQIEISA-N 1 2 263.287 3.677 20 0 CHADLO CC(C)(C)[C@@H]1CN(C(=O)CCc2[nH]cc[nH+]2)c2ccccc21 ZINC000288484957 1127342651 /nfs/dbraw/zinc/34/26/51/1127342651.db2.gz QJBSSABZNFOBLN-CQSZACIVSA-N 1 2 297.402 3.519 20 0 CHADLO CC1(C)CCC[C@@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000355484956 1127344551 /nfs/dbraw/zinc/34/45/51/1127344551.db2.gz LLQXAMRRTOQNGU-OAHLLOKOSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cn2cc(NC(=O)C3C[C@H](C)C[C@@H](C)C3)ccc2[nH+]1 ZINC000355501422 1127345350 /nfs/dbraw/zinc/34/53/50/1127345350.db2.gz WYKXVPXEMNFVGT-VXGBXAGGSA-N 1 2 285.391 3.654 20 0 CHADLO Cc1cn2cc(NC(=O)C3C[C@@H](C)C[C@H](C)C3)ccc2[nH+]1 ZINC000355501420 1127345483 /nfs/dbraw/zinc/34/54/83/1127345483.db2.gz WYKXVPXEMNFVGT-RYUDHWBXSA-N 1 2 285.391 3.654 20 0 CHADLO CCc1nnc(CSCCc2[nH+]cc(C)cc2C)s1 ZINC000592454678 1127349982 /nfs/dbraw/zinc/34/99/82/1127349982.db2.gz IMPCBWXLIVZMPI-UHFFFAOYSA-N 1 2 293.461 3.588 20 0 CHADLO COc1cc(NCc2cccc3[nH+]ccn32)c(C)cc1C ZINC000355677281 1127357799 /nfs/dbraw/zinc/35/77/99/1127357799.db2.gz WRSMWNBULSQSRP-UHFFFAOYSA-N 1 2 281.359 3.572 20 0 CHADLO CCC(CC)N(CC)C(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000288826596 1127365021 /nfs/dbraw/zinc/36/50/21/1127365021.db2.gz BERQVBRXTBBTAU-UHFFFAOYSA-N 1 2 299.418 3.582 20 0 CHADLO FC1=CCC[N@H+](Cc2cccc(OC(F)(F)F)c2)C1 ZINC000357229057 1127387962 /nfs/dbraw/zinc/38/79/62/1127387962.db2.gz HUJDPHZJQCPSKL-UHFFFAOYSA-N 1 2 275.245 3.644 20 0 CHADLO FC1=CCC[N@@H+](Cc2cccc(OC(F)(F)F)c2)C1 ZINC000357229057 1127387967 /nfs/dbraw/zinc/38/79/67/1127387967.db2.gz HUJDPHZJQCPSKL-UHFFFAOYSA-N 1 2 275.245 3.644 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@H+]2Cc2ccc3occc3c2)n1 ZINC000289348934 1127383018 /nfs/dbraw/zinc/38/30/18/1127383018.db2.gz MTYAOKWXZHZCMX-HNNXBMFYSA-N 1 2 281.359 3.883 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@@H+]2Cc2ccc3occc3c2)n1 ZINC000289348934 1127383020 /nfs/dbraw/zinc/38/30/20/1127383020.db2.gz MTYAOKWXZHZCMX-HNNXBMFYSA-N 1 2 281.359 3.883 20 0 CHADLO CC[C@@]1(C)CCC[C@@H]1Nc1cc(CSCCO)cc[nH+]1 ZINC000593612227 1127390878 /nfs/dbraw/zinc/39/08/78/1127390878.db2.gz ZEZZXARAIXPZHB-HOCLYGCPSA-N 1 2 294.464 3.688 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(COC(C)(C)C)cc1 ZINC000669514093 1127405603 /nfs/dbraw/zinc/40/56/03/1127405603.db2.gz PYRDSEUSNCZWQH-UHFFFAOYSA-N 1 2 287.407 3.830 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2ccccc2Cl)c(C)[nH+]1 ZINC000358128099 1127403861 /nfs/dbraw/zinc/40/38/61/1127403861.db2.gz TVKVKLJNIMETBO-IBGZPJMESA-N 1 2 293.819 3.801 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000761043226 1127407169 /nfs/dbraw/zinc/40/71/69/1127407169.db2.gz BVIOYASDFWOFHN-VHSXEESVSA-N 1 2 290.329 3.917 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000761043226 1127407172 /nfs/dbraw/zinc/40/71/72/1127407172.db2.gz BVIOYASDFWOFHN-VHSXEESVSA-N 1 2 290.329 3.917 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(F)c(Cl)c2)[nH]1 ZINC000358235498 1127409523 /nfs/dbraw/zinc/40/95/23/1127409523.db2.gz IOURILSGQSWBIX-BDAKNGLRSA-N 1 2 296.777 3.571 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(OC(C)(C)C)n1)c1nccs1 ZINC000358245136 1127410060 /nfs/dbraw/zinc/41/00/60/1127410060.db2.gz ZUMXWXJMMJSFFP-NSHDSACASA-N 1 2 291.420 3.566 20 0 CHADLO CCc1nocc1CSCc1[nH+]cc(C)c(OC)c1C ZINC000594558747 1127423412 /nfs/dbraw/zinc/42/34/12/1127423412.db2.gz VGRQOYUGKZTUMG-UHFFFAOYSA-N 1 2 292.404 3.691 20 0 CHADLO CC1(C)CC[C@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000358872825 1127436938 /nfs/dbraw/zinc/43/69/38/1127436938.db2.gz IAWDQDFNKFHKLV-ZDUSSCGKSA-N 1 2 283.375 3.637 20 0 CHADLO CC1(C)CC[C@@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000358872824 1127437097 /nfs/dbraw/zinc/43/70/97/1127437097.db2.gz IAWDQDFNKFHKLV-CYBMUJFWSA-N 1 2 283.375 3.637 20 0 CHADLO CCn1ncnc1C[NH2+][C@H](C)c1cc2cc(C)c(C)cc2o1 ZINC000359051267 1127446779 /nfs/dbraw/zinc/44/67/79/1127446779.db2.gz VIGVMLMFFBPXME-CYBMUJFWSA-N 1 2 298.390 3.512 20 0 CHADLO CC1(C)CC[C@H](C(=O)Nc2ccccc2-n2cc[nH+]c2)C1 ZINC000359173261 1127452795 /nfs/dbraw/zinc/45/27/95/1127452795.db2.gz PXZRLZFTKQHXPR-ZDUSSCGKSA-N 1 2 283.375 3.637 20 0 CHADLO CCCCN(C(=O)CCc1[nH]cc[nH+]1)[C@H]1CCC[C@@H](C)C1 ZINC000359320445 1127459073 /nfs/dbraw/zinc/45/90/73/1127459073.db2.gz DDHKAFMSKVKEQX-CABCVRRESA-N 1 2 291.439 3.550 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2c(F)cccc2F)o1 ZINC000069752602 1127466203 /nfs/dbraw/zinc/46/62/03/1127466203.db2.gz TYRCFZBUOBZXDR-PWSUYJOCSA-N 1 2 277.314 3.971 20 0 CHADLO Cc1cc(N2CCC[C@H](C(F)(F)F)[C@H]2C)nc(C2CC2)[nH+]1 ZINC000359695068 1127473678 /nfs/dbraw/zinc/47/36/78/1127473678.db2.gz KZGVWEWAMSCBGB-PWSUYJOCSA-N 1 2 299.340 3.830 20 0 CHADLO Cc1ccn2cc(C[NH2+]Cc3ccsc3Cl)nc2c1 ZINC000359744682 1127476391 /nfs/dbraw/zinc/47/63/91/1127476391.db2.gz ZFQSCJRCWDFTJL-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO Cc1nc(N[C@H]2CC[C@H](c3ccc(F)cc3)C2)cc[nH+]1 ZINC000359880338 1127481718 /nfs/dbraw/zinc/48/17/18/1127481718.db2.gz SFEZPBFLVPJKAG-ZFWWWQNUSA-N 1 2 271.339 3.672 20 0 CHADLO FC(F)Oc1ccc([C@@H]2C[C@@H]2Nc2cccc[nH+]2)cc1 ZINC000360052595 1127487621 /nfs/dbraw/zinc/48/76/21/1127487621.db2.gz IHUDIXVRMNNQDM-STQMWFEESA-N 1 2 276.286 3.651 20 0 CHADLO Cc1nc(N[C@@H]2CC[C@H](c3cccc(F)c3)C2)cc[nH+]1 ZINC000360061853 1127488425 /nfs/dbraw/zinc/48/84/25/1127488425.db2.gz DNPHXTBPLJVPQU-DZGCQCFKSA-N 1 2 271.339 3.672 20 0 CHADLO Cc1cc(N2Cc3ccccc3OC[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000360180656 1127491531 /nfs/dbraw/zinc/49/15/31/1127491531.db2.gz BGXBIGNDYQFYIQ-AWEZNQCLSA-N 1 2 297.402 3.696 20 0 CHADLO CCc1ccccc1C[NH2+]Cc1c(F)ccc(O)c1F ZINC000293550314 1127505680 /nfs/dbraw/zinc/50/56/80/1127505680.db2.gz GWUXIPIQIBMFNH-UHFFFAOYSA-N 1 2 277.314 3.523 20 0 CHADLO Cn1c[nH+]cc1CSCc1cccc(Cl)c1 ZINC000360708326 1127507785 /nfs/dbraw/zinc/50/77/85/1127507785.db2.gz SFLDRMYGPRNUOP-UHFFFAOYSA-N 1 2 252.770 3.507 20 0 CHADLO CC(C)c1cc(NC[C@@H](O)c2ccccc2)nc(C(C)C)[nH+]1 ZINC000761187207 1127517061 /nfs/dbraw/zinc/51/70/61/1127517061.db2.gz NBQDMFSHOMORSY-MRXNPFEDSA-N 1 2 299.418 3.869 20 0 CHADLO CCN(C(=O)C[N@@H+]1Cc2ccccc2[C@@H]1C)c1ccccc1 ZINC000361059556 1127534720 /nfs/dbraw/zinc/53/47/20/1127534720.db2.gz GQYQBDDPXJMBHT-HNNXBMFYSA-N 1 2 294.398 3.616 20 0 CHADLO CCN(C(=O)C[N@H+]1Cc2ccccc2[C@@H]1C)c1ccccc1 ZINC000361059556 1127534722 /nfs/dbraw/zinc/53/47/22/1127534722.db2.gz GQYQBDDPXJMBHT-HNNXBMFYSA-N 1 2 294.398 3.616 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCOc2c(F)ccc(F)c21)c1ccco1 ZINC000361178187 1127544932 /nfs/dbraw/zinc/54/49/32/1127544932.db2.gz LGQOVXPTTFCEAS-BXKDBHETSA-N 1 2 279.286 3.732 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)c(F)cc(F)c1F)c1cccc(O)c1 ZINC000669616329 1127548913 /nfs/dbraw/zinc/54/89/13/1127548913.db2.gz URYUKHJWRXARQT-QMMMGPOBSA-N 1 2 299.267 3.799 20 0 CHADLO Cc1ccccc1[C@@H](CC(F)(F)F)[NH2+]Cc1cnc[nH]1 ZINC000361337441 1127557763 /nfs/dbraw/zinc/55/77/63/1127557763.db2.gz RSCCSZYLKVAFME-CYBMUJFWSA-N 1 2 283.297 3.501 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)[C@H]2CC2(F)F)c1 ZINC000602575184 1127596221 /nfs/dbraw/zinc/59/62/21/1127596221.db2.gz CTYQNTBQUHOMCN-GXSJLCMTSA-N 1 2 272.364 3.790 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CS[C@H](C)C2)c1 ZINC000602575822 1127596340 /nfs/dbraw/zinc/59/63/40/1127596340.db2.gz GLZOCTCHZZIOOJ-ZYHUDNBSSA-N 1 2 268.451 3.641 20 0 CHADLO c1nc(C2CCCC2)sc1C[N@@H+]1CCO[C@@H]2CCC[C@H]21 ZINC000362086780 1127610631 /nfs/dbraw/zinc/61/06/31/1127610631.db2.gz NGLMIAVIQMGVHM-HUUCEWRRSA-N 1 2 292.448 3.554 20 0 CHADLO c1nc(C2CCCC2)sc1C[N@H+]1CCO[C@@H]2CCC[C@H]21 ZINC000362086780 1127610632 /nfs/dbraw/zinc/61/06/32/1127610632.db2.gz NGLMIAVIQMGVHM-HUUCEWRRSA-N 1 2 292.448 3.554 20 0 CHADLO CCS[C@H]1CCC[C@@H](Nc2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000362128073 1127613555 /nfs/dbraw/zinc/61/35/55/1127613555.db2.gz COXGVTNNGVVWBU-KGLIPLIRSA-N 1 2 291.464 3.561 20 0 CHADLO Cc1scnc1C[N@H+](C)C/C=C/c1ccccc1 ZINC001232204864 1127635178 /nfs/dbraw/zinc/63/51/78/1127635178.db2.gz ACQBVCUZTXYVHB-RMKNXTFCSA-N 1 2 258.390 3.597 20 0 CHADLO Cc1scnc1C[N@@H+](C)C/C=C/c1ccccc1 ZINC001232204864 1127635179 /nfs/dbraw/zinc/63/51/79/1127635179.db2.gz ACQBVCUZTXYVHB-RMKNXTFCSA-N 1 2 258.390 3.597 20 0 CHADLO Clc1oc2ccccc2c1C[N@@H+]1CCO[C@@H](C2CC2)C1 ZINC000362517231 1127642023 /nfs/dbraw/zinc/64/20/23/1127642023.db2.gz XPOKVRFXRUGBJE-OAHLLOKOSA-N 1 2 291.778 3.697 20 0 CHADLO Clc1oc2ccccc2c1C[N@H+]1CCO[C@@H](C2CC2)C1 ZINC000362517231 1127642027 /nfs/dbraw/zinc/64/20/27/1127642027.db2.gz XPOKVRFXRUGBJE-OAHLLOKOSA-N 1 2 291.778 3.697 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1cccc(O)c1Cl ZINC000295418195 1127642766 /nfs/dbraw/zinc/64/27/66/1127642766.db2.gz SCAZCBCDEFOJLZ-LLVKDONJSA-N 1 2 291.778 3.944 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1cccc(O)c1Cl ZINC000295418195 1127642768 /nfs/dbraw/zinc/64/27/68/1127642768.db2.gz SCAZCBCDEFOJLZ-LLVKDONJSA-N 1 2 291.778 3.944 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2nc3ccccc3s2)C1 ZINC000295445348 1127643270 /nfs/dbraw/zinc/64/32/70/1127643270.db2.gz OZYITBMAGMYTTH-CQSZACIVSA-N 1 2 264.369 3.620 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2nc3ccccc3s2)C1 ZINC000295445348 1127643272 /nfs/dbraw/zinc/64/32/72/1127643272.db2.gz OZYITBMAGMYTTH-CQSZACIVSA-N 1 2 264.369 3.620 20 0 CHADLO CC[N@H+](Cc1cnns1)Cc1ccc2ccccc2c1 ZINC000295526993 1127645357 /nfs/dbraw/zinc/64/53/57/1127645357.db2.gz MZPLOYIMABILQM-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CC[N@@H+](Cc1cnns1)Cc1ccc2ccccc2c1 ZINC000295526993 1127645360 /nfs/dbraw/zinc/64/53/60/1127645360.db2.gz MZPLOYIMABILQM-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CC(C)([NH2+]CC(F)F)c1cccc(C(F)(F)F)c1 ZINC000603410508 1127646597 /nfs/dbraw/zinc/64/65/97/1127646597.db2.gz FYDHVCKFWPDIMW-UHFFFAOYSA-N 1 2 267.241 3.795 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccnc(C)c2)c1 ZINC000598977192 1127649463 /nfs/dbraw/zinc/64/94/63/1127649463.db2.gz ILOSYNPLPNZHTI-UHFFFAOYSA-N 1 2 273.405 3.650 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1cc2c(s1)CCCC2 ZINC000603809245 1127673200 /nfs/dbraw/zinc/67/32/00/1127673200.db2.gz WKFYOTMTTHEPBR-UHFFFAOYSA-N 1 2 297.383 3.527 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1nc(C(C)(C)C)no1 ZINC000603942375 1127683983 /nfs/dbraw/zinc/68/39/83/1127683983.db2.gz LFZSMRVHRUEGLK-HNNXBMFYSA-N 1 2 299.418 3.877 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1nc(C(C)(C)C)no1 ZINC000603942375 1127683985 /nfs/dbraw/zinc/68/39/85/1127683985.db2.gz LFZSMRVHRUEGLK-HNNXBMFYSA-N 1 2 299.418 3.877 20 0 CHADLO CC(C)c1nn(C[N@H+](C)CC2CCCCC2)c(=S)n1C ZINC000171090335 1127684224 /nfs/dbraw/zinc/68/42/24/1127684224.db2.gz MVBQZJWDYCFXFV-UHFFFAOYSA-N 1 2 296.484 3.544 20 0 CHADLO CC(C)c1nn(C[N@@H+](C)CC2CCCCC2)c(=S)n1C ZINC000171090335 1127684226 /nfs/dbraw/zinc/68/42/26/1127684226.db2.gz MVBQZJWDYCFXFV-UHFFFAOYSA-N 1 2 296.484 3.544 20 0 CHADLO c1cn(CCOc2ccccc2N[C@H]2CCC23CCC3)c[nH+]1 ZINC000669703484 1127680454 /nfs/dbraw/zinc/68/04/54/1127680454.db2.gz YDKJIXJNBKYURS-KRWDZBQOSA-N 1 2 297.402 3.707 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@H](C(C)C)CC2)no1 ZINC000604053744 1127691629 /nfs/dbraw/zinc/69/16/29/1127691629.db2.gz BVWCCPIRFTVFQS-AWEZNQCLSA-N 1 2 279.428 3.670 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@H](C(C)C)CC2)no1 ZINC000604053744 1127691630 /nfs/dbraw/zinc/69/16/30/1127691630.db2.gz BVWCCPIRFTVFQS-AWEZNQCLSA-N 1 2 279.428 3.670 20 0 CHADLO CC(C)=CC(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000364517285 1127703439 /nfs/dbraw/zinc/70/34/39/1127703439.db2.gz VIUNRBINAZQKJB-UHFFFAOYSA-N 1 2 269.348 3.598 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@@H](C)c1nccn1CC ZINC000297791872 1127708860 /nfs/dbraw/zinc/70/88/60/1127708860.db2.gz QAGOWXLCOAKZPE-KBPBESRZSA-N 1 2 287.407 3.714 20 0 CHADLO C[C@@]1([NH2+]Cc2n[nH]c3ccccc32)CCCc2ccccc21 ZINC000604767123 1127716558 /nfs/dbraw/zinc/71/65/58/1127716558.db2.gz DQIVUNHMYGVEJJ-LJQANCHMSA-N 1 2 291.398 3.904 20 0 CHADLO CCCC[C@H](CC)CC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000604976558 1127723437 /nfs/dbraw/zinc/72/34/37/1127723437.db2.gz GUHUQTYIMZXRLI-JKSUJKDBSA-N 1 2 291.439 3.653 20 0 CHADLO CCc1ccc(C[N@@H+]2CCO[C@H](c3cccc(F)c3)C2)cc1 ZINC000604997680 1127725615 /nfs/dbraw/zinc/72/56/15/1127725615.db2.gz ALKYZKPUARKUDZ-IBGZPJMESA-N 1 2 299.389 3.962 20 0 CHADLO CCc1ccc(C[N@H+]2CCO[C@H](c3cccc(F)c3)C2)cc1 ZINC000604997680 1127725619 /nfs/dbraw/zinc/72/56/19/1127725619.db2.gz ALKYZKPUARKUDZ-IBGZPJMESA-N 1 2 299.389 3.962 20 0 CHADLO c1cn(-c2ccc(-c3nc(C4CCCCC4)no3)cc2)c[nH+]1 ZINC000171795476 1127729289 /nfs/dbraw/zinc/72/92/89/1127729289.db2.gz XCSVVFKRCNMFCP-UHFFFAOYSA-N 1 2 294.358 3.970 20 0 CHADLO CCCCC[C@@H](C)CC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000365602100 1127734826 /nfs/dbraw/zinc/73/48/26/1127734826.db2.gz LVBDNNWLSADSQP-HUUCEWRRSA-N 1 2 291.439 3.722 20 0 CHADLO COc1cccc([C@H](C)[NH2+][C@H](C)c2nc(C(C)C)no2)c1 ZINC000298853266 1127734909 /nfs/dbraw/zinc/73/49/09/1127734909.db2.gz DKHCWYBNFGGSSI-NWDGAFQWSA-N 1 2 289.379 3.613 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3CCSC[C@@H]3C)cs2)o1 ZINC000606451889 1127764285 /nfs/dbraw/zinc/76/42/85/1127764285.db2.gz NJEYAHGAMPPFFQ-JTQLQIEISA-N 1 2 294.445 3.649 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3CCSC[C@@H]3C)cs2)o1 ZINC000606451889 1127764288 /nfs/dbraw/zinc/76/42/88/1127764288.db2.gz NJEYAHGAMPPFFQ-JTQLQIEISA-N 1 2 294.445 3.649 20 0 CHADLO COc1ccc([C@H]2C[C@H](Nc3cccc[nH+]3)C2)cc1F ZINC000409525989 1127772591 /nfs/dbraw/zinc/77/25/91/1127772591.db2.gz VXSYUSULYXGKBH-JOCQHMNTSA-N 1 2 272.323 3.587 20 0 CHADLO Cc1cc(NCC2CCC(F)(F)CC2)nc(C(C)C)[nH+]1 ZINC000409663587 1127779141 /nfs/dbraw/zinc/77/91/41/1127779141.db2.gz BOSPQYMWQJIURW-UHFFFAOYSA-N 1 2 283.366 3.568 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2CC=C(C(C)(C)C)CC2)n1 ZINC000533958142 1127784318 /nfs/dbraw/zinc/78/43/18/1127784318.db2.gz VUSRSACSSGSFQE-GFCCVEGCSA-N 1 2 277.412 3.932 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2CC=C(C(C)(C)C)CC2)n1 ZINC000533958142 1127784321 /nfs/dbraw/zinc/78/43/21/1127784321.db2.gz VUSRSACSSGSFQE-GFCCVEGCSA-N 1 2 277.412 3.932 20 0 CHADLO FC(F)(F)c1ccccc1[C@H]1CCC[N@@H+]1Cc1cc[nH]n1 ZINC000372413143 1127799209 /nfs/dbraw/zinc/79/92/09/1127799209.db2.gz HTRQDMALWSVDFY-CQSZACIVSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1ccccc1[C@H]1CCC[N@H+]1Cc1cc[nH]n1 ZINC000372413143 1127799212 /nfs/dbraw/zinc/79/92/12/1127799212.db2.gz HTRQDMALWSVDFY-CQSZACIVSA-N 1 2 295.308 3.766 20 0 CHADLO CC[C@H](C)C[C@H](CC)[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000372903279 1127817687 /nfs/dbraw/zinc/81/76/87/1127817687.db2.gz HJGMNYVEKVAHDJ-BPUTZDHNSA-N 1 2 286.423 3.699 20 0 CHADLO CCSc1ccccc1C(=O)Nc1cccc2[nH+]ccn21 ZINC000607852179 1127834295 /nfs/dbraw/zinc/83/42/95/1127834295.db2.gz JAOICUMJBDGVPA-UHFFFAOYSA-N 1 2 297.383 3.699 20 0 CHADLO CCc1ccc(C[NH2+][C@H](C)c2c(F)cccc2F)nc1 ZINC000411455196 1127860290 /nfs/dbraw/zinc/86/02/90/1127860290.db2.gz ZPNOMBMNGBNXJD-LLVKDONJSA-N 1 2 276.330 3.773 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@@H+]1Cc1ncc(C)o1 ZINC000608496429 1127870729 /nfs/dbraw/zinc/87/07/29/1127870729.db2.gz PEFGDZPFCPGERF-HNNXBMFYSA-N 1 2 286.375 3.719 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@H+]1Cc1ncc(C)o1 ZINC000608496429 1127870731 /nfs/dbraw/zinc/87/07/31/1127870731.db2.gz PEFGDZPFCPGERF-HNNXBMFYSA-N 1 2 286.375 3.719 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2cn3ccsc3n2)o1 ZINC000374234282 1127879807 /nfs/dbraw/zinc/87/98/07/1127879807.db2.gz LECAEEVQLSXDPL-ZDUSSCGKSA-N 1 2 287.388 3.634 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@@H](C2CCC2)C1 ZINC000411880778 1127877651 /nfs/dbraw/zinc/87/76/51/1127877651.db2.gz WLHHJOZPNOSGJK-BDJLRTHQSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@@H](C2CCC2)C1 ZINC000411880778 1127877655 /nfs/dbraw/zinc/87/76/55/1127877655.db2.gz WLHHJOZPNOSGJK-BDJLRTHQSA-N 1 2 281.346 3.527 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2cn3ccsc3n2)o1 ZINC000374234282 1127879805 /nfs/dbraw/zinc/87/98/05/1127879805.db2.gz LECAEEVQLSXDPL-ZDUSSCGKSA-N 1 2 287.388 3.634 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2nnc(C)s2)cs1 ZINC000296438295 1129223018 /nfs/dbraw/zinc/22/30/18/1129223018.db2.gz MLVPXXFKZATJCA-BDAKNGLRSA-N 1 2 267.423 3.628 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CC(C)(C)C[C@@H]2C)no1 ZINC000412045252 1127891962 /nfs/dbraw/zinc/89/19/62/1127891962.db2.gz PRMZQWCXYCCJKM-AWEZNQCLSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CC(C)(C)C[C@@H]2C)no1 ZINC000412045252 1127891965 /nfs/dbraw/zinc/89/19/65/1127891965.db2.gz PRMZQWCXYCCJKM-AWEZNQCLSA-N 1 2 299.418 3.589 20 0 CHADLO C[C@@H](Nc1cc(NC2CC2)[nH+]cn1)c1c(F)cccc1F ZINC000413072589 1127941783 /nfs/dbraw/zinc/94/17/83/1127941783.db2.gz OWPZYIYYJFPOPL-SECBINFHSA-N 1 2 290.317 3.502 20 0 CHADLO C[C@@H](Nc1cc(NC2CC2)nc[nH+]1)c1c(F)cccc1F ZINC000413072589 1127941787 /nfs/dbraw/zinc/94/17/87/1127941787.db2.gz OWPZYIYYJFPOPL-SECBINFHSA-N 1 2 290.317 3.502 20 0 CHADLO C[C@H](Nc1cc(NC2CC2)[nH+]cn1)c1c(F)cccc1F ZINC000413072591 1127941802 /nfs/dbraw/zinc/94/18/02/1127941802.db2.gz OWPZYIYYJFPOPL-VIFPVBQESA-N 1 2 290.317 3.502 20 0 CHADLO C[C@H](Nc1cc(NC2CC2)nc[nH+]1)c1c(F)cccc1F ZINC000413072591 1127941807 /nfs/dbraw/zinc/94/18/07/1127941807.db2.gz OWPZYIYYJFPOPL-VIFPVBQESA-N 1 2 290.317 3.502 20 0 CHADLO CCCn1cc(C[N@@H+]2CCc3cccc(F)c3[C@@H]2C)cn1 ZINC000539826092 1127944104 /nfs/dbraw/zinc/94/41/04/1127944104.db2.gz JHBVKMFSMZUHFE-ZDUSSCGKSA-N 1 2 287.382 3.552 20 0 CHADLO CCCn1cc(C[N@H+]2CCc3cccc(F)c3[C@@H]2C)cn1 ZINC000539826092 1127944107 /nfs/dbraw/zinc/94/41/07/1127944107.db2.gz JHBVKMFSMZUHFE-ZDUSSCGKSA-N 1 2 287.382 3.552 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@](C)(F)C2)cc1Br ZINC000539923774 1127954692 /nfs/dbraw/zinc/95/46/92/1127954692.db2.gz CVYYUBHKNGVRNQ-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@](C)(F)C2)cc1Br ZINC000539923774 1127954696 /nfs/dbraw/zinc/95/46/96/1127954696.db2.gz CVYYUBHKNGVRNQ-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO CC(C)OC[C@H]1C[N@@H+]([C@@H](C)c2cccc(Cl)c2)CCO1 ZINC000545324855 1128011659 /nfs/dbraw/zinc/01/16/59/1128011659.db2.gz FUCZRGDOEQDPBC-XJKSGUPXSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@H]1C[N@H+]([C@@H](C)c2cccc(Cl)c2)CCO1 ZINC000545324855 1128011662 /nfs/dbraw/zinc/01/16/62/1128011662.db2.gz FUCZRGDOEQDPBC-XJKSGUPXSA-N 1 2 297.826 3.527 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3cnc(C)cc3C2)sc1C ZINC001233691631 1128023263 /nfs/dbraw/zinc/02/32/63/1128023263.db2.gz FBEGKVCWZYVIQT-UHFFFAOYSA-N 1 2 258.390 3.584 20 0 CHADLO Cc1cc(C[N@H+]2Cc3cnc(C)cc3C2)sc1C ZINC001233691631 1128023267 /nfs/dbraw/zinc/02/32/67/1128023267.db2.gz FBEGKVCWZYVIQT-UHFFFAOYSA-N 1 2 258.390 3.584 20 0 CHADLO CC(C)c1noc(/C=C\c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000546264144 1128047229 /nfs/dbraw/zinc/04/72/29/1128047229.db2.gz IEWFWBQXTYJFCD-YVMONPNESA-N 1 2 280.331 3.549 20 0 CHADLO CC[C@H]1C[C@@H](C)C[N@@H+]1Cc1ncc(Br)s1 ZINC000542167383 1128054582 /nfs/dbraw/zinc/05/45/82/1128054582.db2.gz MRYLFAMSCHBCKG-BDAKNGLRSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1C[C@@H](C)C[N@H+]1Cc1ncc(Br)s1 ZINC000542167383 1128054586 /nfs/dbraw/zinc/05/45/86/1128054586.db2.gz MRYLFAMSCHBCKG-BDAKNGLRSA-N 1 2 289.242 3.526 20 0 CHADLO Cc1ccc(CC[C@@H](C)[NH2+][C@@H](C)C(=O)OC(C)(C)C)cc1 ZINC000470340692 1128069341 /nfs/dbraw/zinc/06/93/41/1128069341.db2.gz PVBDWPHNRCBCAT-CABCVRRESA-N 1 2 291.435 3.636 20 0 CHADLO C[C@@H]([NH2+]C[C@@H](C)c1cccc(Cl)c1)C(=O)OC(C)(C)C ZINC000470355496 1128069784 /nfs/dbraw/zinc/06/97/84/1128069784.db2.gz WMMLIDLUSJZFJO-VXGBXAGGSA-N 1 2 297.826 3.763 20 0 CHADLO Cc1cccc(-c2noc(C[NH2+][C@@H](C)c3ccsc3)n2)c1 ZINC000669938053 1128076719 /nfs/dbraw/zinc/07/67/19/1128076719.db2.gz BUYMNXYXNMDAHV-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@H]([NH2+]Cc1nc(-c2ccccc2)no1)c1ccsc1 ZINC000669937643 1128075049 /nfs/dbraw/zinc/07/50/49/1128075049.db2.gz NQVIGFMNUWGPBI-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+]1C[C@H](C)[C@@H]1c1ccccc1 ZINC000471023446 1128085013 /nfs/dbraw/zinc/08/50/13/1128085013.db2.gz UXGMVMBEVYFPHM-QGTPRVQTSA-N 1 2 295.382 3.594 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+]1C[C@H](C)[C@@H]1c1ccccc1 ZINC000471023446 1128085017 /nfs/dbraw/zinc/08/50/17/1128085017.db2.gz UXGMVMBEVYFPHM-QGTPRVQTSA-N 1 2 295.382 3.594 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc(F)c(F)c(F)c1)c1cscn1 ZINC000557262528 1128098111 /nfs/dbraw/zinc/09/81/11/1128098111.db2.gz MVDUQAMGPTWRTF-YUMQZZPRSA-N 1 2 286.322 3.972 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H]2CCCc3oc(C)nc32)o1 ZINC000924564640 1128105334 /nfs/dbraw/zinc/10/53/34/1128105334.db2.gz OSMKMFLJXIZKPQ-CMPLNLGQSA-N 1 2 260.337 3.613 20 0 CHADLO Cc1csc(C[NH2+][C@H]2CCCc3c(Cl)ccnc32)n1 ZINC000924614903 1128108097 /nfs/dbraw/zinc/10/80/97/1128108097.db2.gz KSIAGUILMPGRPG-LBPRGKRZSA-N 1 2 293.823 3.667 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+][C@H](C)c2ccc(Cl)s2)nn1 ZINC000924610438 1128107107 /nfs/dbraw/zinc/10/71/07/1128107107.db2.gz QPZPVZTWWNEGGX-VHSXEESVSA-N 1 2 298.843 3.986 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2cc(O)cc(F)c2)cs1 ZINC000925032120 1128119611 /nfs/dbraw/zinc/11/96/11/1128119611.db2.gz FKCSJISRDMUGNS-UWVGGRQHSA-N 1 2 294.395 3.962 20 0 CHADLO Cc1ccc2cc(NC[C@H]3CC(C)(C)O3)[nH+]cc2c1 ZINC001168450085 1128131190 /nfs/dbraw/zinc/13/11/90/1128131190.db2.gz GAVIQOPQXNBDNE-CQSZACIVSA-N 1 2 256.349 3.523 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1CCCC1 ZINC000674085313 1128131844 /nfs/dbraw/zinc/13/18/44/1128131844.db2.gz ACNWSTBZZUNKHQ-LBPRGKRZSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CC[C@H]2COCC[C@H]2C1 ZINC000925722009 1128138481 /nfs/dbraw/zinc/13/84/81/1128138481.db2.gz SJEQMANZEGPYHG-HRCADAONSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@@H]1CC[C@H]2COCC[C@H]2C1 ZINC000925722009 1128138482 /nfs/dbraw/zinc/13/84/82/1128138482.db2.gz SJEQMANZEGPYHG-HRCADAONSA-N 1 2 288.435 3.678 20 0 CHADLO CCCCN(C(=O)/C=C/c1[nH]cc[nH+]1)[C@@H](C)c1ccccc1 ZINC000493402447 1128140640 /nfs/dbraw/zinc/14/06/40/1128140640.db2.gz QBRPDBGYNKRYGN-NKSUMMKUSA-N 1 2 297.402 3.813 20 0 CHADLO CCSc1ccccc1[C@H](C)[NH2+]Cc1cc(N)ccn1 ZINC000925772313 1128141796 /nfs/dbraw/zinc/14/17/96/1128141796.db2.gz RQFOQRWMCDRUTI-LBPRGKRZSA-N 1 2 287.432 3.627 20 0 CHADLO C[C@H](Cc1ccccn1)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000926066227 1128152416 /nfs/dbraw/zinc/15/24/16/1128152416.db2.gz KZRWADAWWVNUTK-CQSZACIVSA-N 1 2 281.403 3.507 20 0 CHADLO C[C@H](Cc1ccccn1)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000926066227 1128152419 /nfs/dbraw/zinc/15/24/19/1128152419.db2.gz KZRWADAWWVNUTK-CQSZACIVSA-N 1 2 281.403 3.507 20 0 CHADLO C[C@H](Cc1ccccn1)[NH2+]c1ccc2c(c1)CCCN2C ZINC000926066227 1128152421 /nfs/dbraw/zinc/15/24/21/1128152421.db2.gz KZRWADAWWVNUTK-CQSZACIVSA-N 1 2 281.403 3.507 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)cn2)CC2(CCCCC2)O1 ZINC000653902936 1128196854 /nfs/dbraw/zinc/19/68/54/1128196854.db2.gz AUMIVKYOUBVJRD-CYBMUJFWSA-N 1 2 294.826 3.659 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)cn2)CC2(CCCCC2)O1 ZINC000653902936 1128196855 /nfs/dbraw/zinc/19/68/55/1128196855.db2.gz AUMIVKYOUBVJRD-CYBMUJFWSA-N 1 2 294.826 3.659 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)on1 ZINC000926244899 1128163428 /nfs/dbraw/zinc/16/34/28/1128163428.db2.gz QMASBSGBRFYUGU-GHMZBOCLSA-N 1 2 286.331 3.841 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nnc(C(C)C)o1 ZINC000494242566 1128167038 /nfs/dbraw/zinc/16/70/38/1128167038.db2.gz TZEPYRFVTYSZIN-UVTDQMKNSA-N 1 2 285.391 3.728 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nnc(C(C)C)o1 ZINC000494242566 1128167039 /nfs/dbraw/zinc/16/70/39/1128167039.db2.gz TZEPYRFVTYSZIN-UVTDQMKNSA-N 1 2 285.391 3.728 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncco2)cc1 ZINC000926354497 1128168761 /nfs/dbraw/zinc/16/87/61/1128168761.db2.gz UCQFKKNJXYMNNO-MNOVXSKESA-N 1 2 262.378 3.808 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](C)C/C(C)=C/c2ccccc2)o1 ZINC000494292783 1128168828 /nfs/dbraw/zinc/16/88/28/1128168828.db2.gz JDZSCQAQUVUXQT-YPDDLIOESA-N 1 2 285.391 3.728 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](C)C/C(C)=C/c2ccccc2)o1 ZINC000494292783 1128168829 /nfs/dbraw/zinc/16/88/29/1128168829.db2.gz JDZSCQAQUVUXQT-YPDDLIOESA-N 1 2 285.391 3.728 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(C(C)(C)O)cc1 ZINC000926428236 1128171967 /nfs/dbraw/zinc/17/19/67/1128171967.db2.gz IGHRAUZITVRYBC-FZMZJTMJSA-N 1 2 283.362 3.752 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2cc(O)cc(F)c2)o1 ZINC000926476014 1128173857 /nfs/dbraw/zinc/17/38/57/1128173857.db2.gz IDGMVYGOTOATMZ-JTQLQIEISA-N 1 2 292.354 3.631 20 0 CHADLO C[C@@H]1CC[N@H+](CCc2c(F)cccc2Cl)C[C@H]1F ZINC001168465595 1128176488 /nfs/dbraw/zinc/17/64/88/1128176488.db2.gz DZFRPSWSGMIEFN-QMTHXVAHSA-N 1 2 273.754 3.702 20 0 CHADLO C[C@@H]1CC[N@@H+](CCc2c(F)cccc2Cl)C[C@H]1F ZINC001168465595 1128176489 /nfs/dbraw/zinc/17/64/89/1128176489.db2.gz DZFRPSWSGMIEFN-QMTHXVAHSA-N 1 2 273.754 3.702 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]Cc1cnccc1C(C)(C)C ZINC000926582465 1128182744 /nfs/dbraw/zinc/18/27/44/1128182744.db2.gz YWECDYQWLUDDOQ-HNNXBMFYSA-N 1 2 299.418 3.843 20 0 CHADLO Cc1cccc(C2([NH2+][C@@H](C)c3ncco3)CCC2)c1 ZINC000926632505 1128184696 /nfs/dbraw/zinc/18/46/96/1128184696.db2.gz BLENTAVJSDFDFG-ZDUSSCGKSA-N 1 2 256.349 3.713 20 0 CHADLO Cc1ccc(C2([NH2+][C@@H](C)c3ncco3)CCC2)cc1 ZINC000926644103 1128185572 /nfs/dbraw/zinc/18/55/72/1128185572.db2.gz YCZQHTCDNNYDOB-ZDUSSCGKSA-N 1 2 256.349 3.713 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1ncco1)c1ccccc1F ZINC000926695260 1128188312 /nfs/dbraw/zinc/18/83/12/1128188312.db2.gz ZJXPIUSJSYHJOF-FZMZJTMJSA-N 1 2 262.328 3.862 20 0 CHADLO CCCOc1ccc(F)cc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001212200104 1128195544 /nfs/dbraw/zinc/19/55/44/1128195544.db2.gz LWUPNHMZOXAMGY-UHFFFAOYSA-N 1 2 299.349 3.844 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@@H](C)c1cc2cnccc2o1 ZINC000926811045 1128196427 /nfs/dbraw/zinc/19/64/27/1128196427.db2.gz GJZYDLGSXZPTEH-GWCFXTLKSA-N 1 2 297.358 3.852 20 0 CHADLO C[C@@H]1CC(C)(C)c2cccc(NC(=O)CCc3c[nH]c[nH+]3)c21 ZINC000928585199 1128244001 /nfs/dbraw/zinc/24/40/01/1128244001.db2.gz FZCLANOGMFDTKB-GFCCVEGCSA-N 1 2 297.402 3.766 20 0 CHADLO C[C@@H]1CC(C)(C)c2cccc(NC(=O)CCc3c[nH+]c[nH]3)c21 ZINC000928585199 1128244002 /nfs/dbraw/zinc/24/40/02/1128244002.db2.gz FZCLANOGMFDTKB-GFCCVEGCSA-N 1 2 297.402 3.766 20 0 CHADLO c1csc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC000914862013 1128255592 /nfs/dbraw/zinc/25/55/92/1128255592.db2.gz KRUWMFIXCMXBIP-UHFFFAOYSA-N 1 2 259.378 3.877 20 0 CHADLO C/C=C/C[C@H]1CCCN(C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000626394020 1128256362 /nfs/dbraw/zinc/25/63/62/1128256362.db2.gz QKKOZIAQCOZVMP-HSWBROFVSA-N 1 2 298.390 3.544 20 0 CHADLO CC(C)COC1CC[NH+](Cc2coc(C(C)(C)C)n2)CC1 ZINC000929319553 1128269389 /nfs/dbraw/zinc/26/93/89/1128269389.db2.gz OGPWRFARPDUNGL-UHFFFAOYSA-N 1 2 294.439 3.609 20 0 CHADLO C[C@H](c1ncccn1)[N@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000929210561 1128263941 /nfs/dbraw/zinc/26/39/41/1128263941.db2.gz QDXHZBVYDCLIHM-CQSZACIVSA-N 1 2 283.419 3.967 20 0 CHADLO C[C@H](c1ncccn1)[N@@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000929210561 1128263945 /nfs/dbraw/zinc/26/39/45/1128263945.db2.gz QDXHZBVYDCLIHM-CQSZACIVSA-N 1 2 283.419 3.967 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000926899039 1128201346 /nfs/dbraw/zinc/20/13/46/1128201346.db2.gz RYKUJNUGYSSZTR-NHYWBVRUSA-N 1 2 273.355 3.585 20 0 CHADLO CC(C)[N@H+](Cc1ccccc1)Cc1c(F)cncc1F ZINC000929246197 1128265617 /nfs/dbraw/zinc/26/56/17/1128265617.db2.gz KHYBIRBYQVQNIO-UHFFFAOYSA-N 1 2 276.330 3.770 20 0 CHADLO CC(C)[N@@H+](Cc1ccccc1)Cc1c(F)cncc1F ZINC000929246197 1128265619 /nfs/dbraw/zinc/26/56/19/1128265619.db2.gz KHYBIRBYQVQNIO-UHFFFAOYSA-N 1 2 276.330 3.770 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2ccns2)c(F)c1 ZINC000927092404 1128216406 /nfs/dbraw/zinc/21/64/06/1128216406.db2.gz HBAPVYMFHCJKJK-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+]Cc1c(F)cc(C)cc1F ZINC000927094852 1128216667 /nfs/dbraw/zinc/21/66/67/1128216667.db2.gz UJMIJWTYEVKKHB-JTQLQIEISA-N 1 2 294.345 3.983 20 0 CHADLO CC(C)C(C[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)C(C)C ZINC000494971749 1128218380 /nfs/dbraw/zinc/21/83/80/1128218380.db2.gz IHMQBSQSTWZKHN-MRXNPFEDSA-N 1 2 292.471 3.944 20 0 CHADLO c1[nH]cc([C@@H]2C[C@H]2c2nc(CCC3CCCCC3)no2)[nH+]1 ZINC000923857565 1128223500 /nfs/dbraw/zinc/22/35/00/1128223500.db2.gz WCSVUOHEMAYNME-CHWSQXEVSA-N 1 2 286.379 3.577 20 0 CHADLO CCCCCC[C@](C)(CC)C(=O)NCc1[nH]c[nH+]c1C ZINC000754356679 1128237185 /nfs/dbraw/zinc/23/71/85/1128237185.db2.gz WWEPMTGFZNXRAI-INIZCTEOSA-N 1 2 279.428 3.721 20 0 CHADLO Cc1cccc(C2(Nc3cc(C)c4[nH]ccc4[nH+]3)COC2)c1 ZINC001168479637 1128240136 /nfs/dbraw/zinc/24/01/36/1128240136.db2.gz ZHHCMCIKBNMMGU-UHFFFAOYSA-N 1 2 293.370 3.517 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1)C1CC1 ZINC000777693314 1128243446 /nfs/dbraw/zinc/24/34/46/1128243446.db2.gz MLPIQCQXTCFLBM-SUMWQHHRSA-N 1 2 274.408 3.512 20 0 CHADLO C[N@@H+](Cc1cscn1)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000929343027 1128271045 /nfs/dbraw/zinc/27/10/45/1128271045.db2.gz KDCUACZQVLQTOD-ZIAGYGMSSA-N 1 2 294.370 3.624 20 0 CHADLO C[N@H+](Cc1cscn1)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000929343027 1128271048 /nfs/dbraw/zinc/27/10/48/1128271048.db2.gz KDCUACZQVLQTOD-ZIAGYGMSSA-N 1 2 294.370 3.624 20 0 CHADLO Cc1ccc(CO[NH+]=C(N)Cc2cccs2)cc1C ZINC000762213082 1128281993 /nfs/dbraw/zinc/28/19/93/1128281993.db2.gz VOZQOKICXWCONE-UHFFFAOYSA-N 1 2 274.389 3.606 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000929788450 1128287575 /nfs/dbraw/zinc/28/75/75/1128287575.db2.gz SGIQFWVCZLEZFE-ABAIWWIYSA-N 1 2 274.314 3.868 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000929788450 1128287576 /nfs/dbraw/zinc/28/75/76/1128287576.db2.gz SGIQFWVCZLEZFE-ABAIWWIYSA-N 1 2 274.314 3.868 20 0 CHADLO CC(C)[C@H]1C[N@H+](Cc2coc(C(C)(C)C)n2)CCS1 ZINC000929747991 1128285682 /nfs/dbraw/zinc/28/56/82/1128285682.db2.gz VVPFDXKKMODJQO-CYBMUJFWSA-N 1 2 282.453 3.546 20 0 CHADLO CC(C)[C@H]1C[N@@H+](Cc2coc(C(C)(C)C)n2)CCS1 ZINC000929747991 1128285685 /nfs/dbraw/zinc/28/56/85/1128285685.db2.gz VVPFDXKKMODJQO-CYBMUJFWSA-N 1 2 282.453 3.546 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@H+]1Cc1noc(C(C)C)n1 ZINC000053611461 1128303713 /nfs/dbraw/zinc/30/37/13/1128303713.db2.gz SBHJDYVQWCXYCA-OAHLLOKOSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@@H+]1Cc1noc(C(C)C)n1 ZINC000053611461 1128303718 /nfs/dbraw/zinc/30/37/18/1128303718.db2.gz SBHJDYVQWCXYCA-OAHLLOKOSA-N 1 2 285.391 3.839 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1c(F)cncc1F ZINC000930186403 1128305901 /nfs/dbraw/zinc/30/59/01/1128305901.db2.gz WULIOCOIHZQZNR-LLVKDONJSA-N 1 2 292.329 3.528 20 0 CHADLO Cc1cc(C)nc(C[NH2+][C@@H](C)c2c(F)cccc2F)c1 ZINC000930194432 1128306113 /nfs/dbraw/zinc/30/61/13/1128306113.db2.gz YJNZFFHLPWPOAC-LBPRGKRZSA-N 1 2 276.330 3.827 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2nc(C(C)C)ns2)c1 ZINC000930221112 1128307965 /nfs/dbraw/zinc/30/79/65/1128307965.db2.gz GWBODPDEHOLSNI-UHFFFAOYSA-N 1 2 289.448 3.995 20 0 CHADLO CC(C)([NH2+]Cc1c(F)cncc1F)c1cccc(F)c1 ZINC000930223627 1128308646 /nfs/dbraw/zinc/30/86/46/1128308646.db2.gz WOOVYUBKUIUSAA-UHFFFAOYSA-N 1 2 280.293 3.524 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)C(F)=C1CCCC1 ZINC000762593962 1128310085 /nfs/dbraw/zinc/31/00/85/1128310085.db2.gz QUMMYLJNTGKZLT-UHFFFAOYSA-N 1 2 285.322 3.608 20 0 CHADLO c1cnc2c(c1)C[N@@H+](C[C@@H]1CCC3(CCCCCC3)O1)C2 ZINC000930306850 1128313013 /nfs/dbraw/zinc/31/30/13/1128313013.db2.gz MHPINRLVKXZZHL-INIZCTEOSA-N 1 2 286.419 3.669 20 0 CHADLO c1cnc2c(c1)C[N@H+](C[C@@H]1CCC3(CCCCCC3)O1)C2 ZINC000930306850 1128313015 /nfs/dbraw/zinc/31/30/15/1128313015.db2.gz MHPINRLVKXZZHL-INIZCTEOSA-N 1 2 286.419 3.669 20 0 CHADLO CC[C@H](c1ccccc1OC)N(C)Cc1[nH]c(C)[nH+]c1C ZINC000930491440 1128321247 /nfs/dbraw/zinc/32/12/47/1128321247.db2.gz CJJCZGPNVWVQTR-MRXNPFEDSA-N 1 2 287.407 3.618 20 0 CHADLO CC1(C)C[C@@H](c2ccccc2)[N@H+](Cc2nncs2)C1 ZINC000930764507 1128334951 /nfs/dbraw/zinc/33/49/51/1128334951.db2.gz XTKIGRRXRGOSJX-ZDUSSCGKSA-N 1 2 273.405 3.511 20 0 CHADLO CC1(C)C[C@@H](c2ccccc2)[N@@H+](Cc2nncs2)C1 ZINC000930764507 1128334956 /nfs/dbraw/zinc/33/49/56/1128334956.db2.gz XTKIGRRXRGOSJX-ZDUSSCGKSA-N 1 2 273.405 3.511 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC[C@H](OC3CCC3)C2)co1 ZINC000930884209 1128338378 /nfs/dbraw/zinc/33/83/78/1128338378.db2.gz CLCSYEDJCZGZHN-HNNXBMFYSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC[C@H](OC3CCC3)C2)co1 ZINC000930884209 1128338381 /nfs/dbraw/zinc/33/83/81/1128338381.db2.gz CLCSYEDJCZGZHN-HNNXBMFYSA-N 1 2 292.423 3.506 20 0 CHADLO Cc1cc(C)nc(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)c1 ZINC000931084810 1128347720 /nfs/dbraw/zinc/34/77/20/1128347720.db2.gz SFLPZGGJQYOOCH-QGZVFWFLSA-N 1 2 281.403 3.677 20 0 CHADLO Cc1cc(C)nc(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)c1 ZINC000931084810 1128347722 /nfs/dbraw/zinc/34/77/22/1128347722.db2.gz SFLPZGGJQYOOCH-QGZVFWFLSA-N 1 2 281.403 3.677 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1scnc1C ZINC000171752070 1128359129 /nfs/dbraw/zinc/35/91/29/1128359129.db2.gz YSJUFWODHBZBSK-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1scnc1C ZINC000171752070 1128359134 /nfs/dbraw/zinc/35/91/34/1128359134.db2.gz YSJUFWODHBZBSK-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO CN(CCC1CCCCC1)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000931483038 1128364239 /nfs/dbraw/zinc/36/42/39/1128364239.db2.gz VQRWIWNZHHTBAA-UHFFFAOYSA-N 1 2 285.391 3.605 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1N[C@H]1CC[C@H]1C1CC1 ZINC000562056908 1128374618 /nfs/dbraw/zinc/37/46/18/1128374618.db2.gz MWQQAZSJVALNAL-HOTGVXAUSA-N 1 2 271.408 3.672 20 0 CHADLO C[C@H](c1ccccc1)[C@H](C)[NH2+][C@@H](C)C(=O)OC1CCCC1 ZINC000763289702 1128389199 /nfs/dbraw/zinc/38/91/99/1128389199.db2.gz UFFBYSCYILJYRM-KKUMJFAQSA-N 1 2 289.419 3.643 20 0 CHADLO CCc1cnc(C[N@H+](C)C/C(C)=C/c2ccccc2)o1 ZINC000562360973 1128397164 /nfs/dbraw/zinc/39/71/64/1128397164.db2.gz KPXNSFHAENIYEX-GXDHUFHOSA-N 1 2 270.376 3.772 20 0 CHADLO CCc1cnc(C[N@@H+](C)C/C(C)=C/c2ccccc2)o1 ZINC000562360973 1128397168 /nfs/dbraw/zinc/39/71/68/1128397168.db2.gz KPXNSFHAENIYEX-GXDHUFHOSA-N 1 2 270.376 3.772 20 0 CHADLO Clc1ccccc1CNc1ccc(N2CCCC2)[nH+]c1 ZINC000036982103 1128401030 /nfs/dbraw/zinc/40/10/30/1128401030.db2.gz PRTHYMKPXQVPLF-UHFFFAOYSA-N 1 2 287.794 3.947 20 0 CHADLO C[N@H+](Cc1cocn1)Cc1ccc(C(C)(C)C)cc1 ZINC000562418302 1128401283 /nfs/dbraw/zinc/40/12/83/1128401283.db2.gz HKJGFAWONOUIDG-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO C[N@@H+](Cc1cocn1)Cc1ccc(C(C)(C)C)cc1 ZINC000562418302 1128401285 /nfs/dbraw/zinc/40/12/85/1128401285.db2.gz HKJGFAWONOUIDG-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO Clc1cccc(CNc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000036982203 1128401557 /nfs/dbraw/zinc/40/15/57/1128401557.db2.gz KOHWNCUWLAUDNV-UHFFFAOYSA-N 1 2 287.794 3.947 20 0 CHADLO Cn1nccc1[C@H]1CCC[N@@H+]1Cc1ccc(Cl)c(F)c1 ZINC000932266513 1128401839 /nfs/dbraw/zinc/40/18/39/1128401839.db2.gz ZHPMREDQZCSSPN-OAHLLOKOSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@H]1CCC[N@H+]1Cc1ccc(Cl)c(F)c1 ZINC000932266513 1128401842 /nfs/dbraw/zinc/40/18/42/1128401842.db2.gz ZHPMREDQZCSSPN-OAHLLOKOSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@@H]1CCC[N@@H+]1Cc1ccc(F)cc1Cl ZINC000932263560 1128402442 /nfs/dbraw/zinc/40/24/42/1128402442.db2.gz FHLZRQJTORNLDC-HNNXBMFYSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@@H]1CCC[N@H+]1Cc1ccc(F)cc1Cl ZINC000932263560 1128402443 /nfs/dbraw/zinc/40/24/43/1128402443.db2.gz FHLZRQJTORNLDC-HNNXBMFYSA-N 1 2 293.773 3.550 20 0 CHADLO C/C=C\C[C@H]([NH2+]CC[C@H](C)c1ccccc1)C(=O)OCC ZINC000562488892 1128405761 /nfs/dbraw/zinc/40/57/61/1128405761.db2.gz BXZZZVUPENFKAK-KAUISWQESA-N 1 2 289.419 3.668 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@@H](c2ccccn2)C2CC2)c1F ZINC000932387086 1128407248 /nfs/dbraw/zinc/40/72/48/1128407248.db2.gz WJMGVPWRRBLFLL-QGZVFWFLSA-N 1 2 288.341 3.909 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccccn2)CC(C)C)s1 ZINC000932448726 1128410865 /nfs/dbraw/zinc/41/08/65/1128410865.db2.gz DZKWWSCNMAWJHE-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccccn2)CC(C)C)s1 ZINC000932448726 1128410867 /nfs/dbraw/zinc/41/08/67/1128410867.db2.gz DZKWWSCNMAWJHE-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1ccn(C3CCCC3)n1)C2 ZINC000763401607 1128431699 /nfs/dbraw/zinc/43/16/99/1128431699.db2.gz CWRRZDNZPYANML-UHFFFAOYSA-N 1 2 295.430 3.865 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1ccn(C3CCCC3)n1)C2 ZINC000763401607 1128431700 /nfs/dbraw/zinc/43/17/00/1128431700.db2.gz CWRRZDNZPYANML-UHFFFAOYSA-N 1 2 295.430 3.865 20 0 CHADLO CCOCCCn1c2ccccc2[nH+]c1NCc1ccoc1 ZINC000763407086 1128432082 /nfs/dbraw/zinc/43/20/82/1128432082.db2.gz BETPYIAHDGBBCO-UHFFFAOYSA-N 1 2 299.374 3.668 20 0 CHADLO c1cn(-c2ccc(-c3nc(C4CCCC4)no3)cc2)c[nH+]1 ZINC000171793380 1128441178 /nfs/dbraw/zinc/44/11/78/1128441178.db2.gz VEZOLXBUKMCYBT-UHFFFAOYSA-N 1 2 280.331 3.580 20 0 CHADLO Cc1cccc(C)c1C[N@@H+](C)Cc1nccn1C(C)C ZINC000933767655 1128442655 /nfs/dbraw/zinc/44/26/55/1128442655.db2.gz VNPAGMATHVGUSM-UHFFFAOYSA-N 1 2 271.408 3.713 20 0 CHADLO Cc1cccc(C)c1C[N@H+](C)Cc1nccn1C(C)C ZINC000933767655 1128442656 /nfs/dbraw/zinc/44/26/56/1128442656.db2.gz VNPAGMATHVGUSM-UHFFFAOYSA-N 1 2 271.408 3.713 20 0 CHADLO O=C(C[N@H+](Cc1ccoc1)C1CC1)c1c[nH]c2ccccc12 ZINC000934004473 1128449725 /nfs/dbraw/zinc/44/97/25/1128449725.db2.gz MGOLIVOKNYQOPR-UHFFFAOYSA-N 1 2 294.354 3.608 20 0 CHADLO CCC[C@](C)([NH2+]Cc1nnc(C2CC2)o1)c1ccccc1 ZINC000934187658 1128453824 /nfs/dbraw/zinc/45/38/24/1128453824.db2.gz XPHSFMMQJNRHIK-KRWDZBQOSA-N 1 2 285.391 3.752 20 0 CHADLO O=C(C[N@@H+](Cc1ccoc1)C1CC1)c1c[nH]c2ccccc12 ZINC000934004473 1128449728 /nfs/dbraw/zinc/44/97/28/1128449728.db2.gz MGOLIVOKNYQOPR-UHFFFAOYSA-N 1 2 294.354 3.608 20 0 CHADLO CC(C)[N@H+](Cc1noc(C2CCCCC2)n1)C1CCC1 ZINC000125527775 1128451042 /nfs/dbraw/zinc/45/10/42/1128451042.db2.gz PMLQLJSFFJSTFL-UHFFFAOYSA-N 1 2 277.412 3.880 20 0 CHADLO CC(C)[N@@H+](Cc1noc(C2CCCCC2)n1)C1CCC1 ZINC000125527775 1128451044 /nfs/dbraw/zinc/45/10/44/1128451044.db2.gz PMLQLJSFFJSTFL-UHFFFAOYSA-N 1 2 277.412 3.880 20 0 CHADLO COc1ccc([C@@H]2CCC[N@@H+]2CC=C(Cl)Cl)nc1 ZINC000934341494 1128459223 /nfs/dbraw/zinc/45/92/23/1128459223.db2.gz MMTHOAIYHHEJOU-LBPRGKRZSA-N 1 2 287.190 3.546 20 0 CHADLO COc1ccc([C@@H]2CCC[N@H+]2CC=C(Cl)Cl)nc1 ZINC000934341494 1128459225 /nfs/dbraw/zinc/45/92/25/1128459225.db2.gz MMTHOAIYHHEJOU-LBPRGKRZSA-N 1 2 287.190 3.546 20 0 CHADLO C[C@H]1CC[C@@H](CC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000126623127 1128464562 /nfs/dbraw/zinc/46/45/62/1128464562.db2.gz UPGGGNWSESCYLG-QWHCGFSZSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1cccc(C2=CC[N@H+]([C@@H](C)c3ncccn3)CC2)c1C ZINC000934846975 1128470718 /nfs/dbraw/zinc/47/07/18/1128470718.db2.gz VTPPOTGPKAAVEV-INIZCTEOSA-N 1 2 293.414 3.944 20 0 CHADLO Cc1cccc(C2=CC[N@@H+]([C@@H](C)c3ncccn3)CC2)c1C ZINC000934846975 1128470719 /nfs/dbraw/zinc/47/07/19/1128470719.db2.gz VTPPOTGPKAAVEV-INIZCTEOSA-N 1 2 293.414 3.944 20 0 CHADLO CC(C)CC[C@@H]1CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934916405 1128473883 /nfs/dbraw/zinc/47/38/83/1128473883.db2.gz INDIVZJTRNGLRN-AWEZNQCLSA-N 1 2 285.391 3.604 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2ccc(C3CC3)cc2)n1 ZINC000563799744 1128479150 /nfs/dbraw/zinc/47/91/50/1128479150.db2.gz NAMBBVVSNUOTAL-RYUDHWBXSA-N 1 2 285.391 3.921 20 0 CHADLO CCC[C@H](C)CC[C@@H](C)[N@@H+]1CCCC(=O)[C@@H](F)C1 ZINC001257475917 1128479693 /nfs/dbraw/zinc/47/96/93/1128479693.db2.gz IFBPKULVXGKCSH-MJBXVCDLSA-N 1 2 257.393 3.594 20 0 CHADLO CCC[C@H](C)CC[C@@H](C)[N@H+]1CCCC(=O)[C@@H](F)C1 ZINC001257475917 1128479695 /nfs/dbraw/zinc/47/96/95/1128479695.db2.gz IFBPKULVXGKCSH-MJBXVCDLSA-N 1 2 257.393 3.594 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1ncc(-c2cccs2)o1 ZINC000127551192 1128479730 /nfs/dbraw/zinc/47/97/30/1128479730.db2.gz PYAYAFYDRSRGJN-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1ncc(-c2cccs2)o1 ZINC000127551192 1128479732 /nfs/dbraw/zinc/47/97/32/1128479732.db2.gz PYAYAFYDRSRGJN-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ccno2)c2ccccc2)cc1 ZINC000225772267 1128480084 /nfs/dbraw/zinc/48/00/84/1128480084.db2.gz WUSNIDJHNHSGPW-QGZVFWFLSA-N 1 2 282.318 3.693 20 0 CHADLO CC(C)=CC[N@@H+]1CCO[C@H](c2cccc(OC(F)F)c2)C1 ZINC000127569082 1128480485 /nfs/dbraw/zinc/48/04/85/1128480485.db2.gz RXVPMVCDRXSODG-HNNXBMFYSA-N 1 2 297.345 3.628 20 0 CHADLO CC(C)=CC[N@H+]1CCO[C@H](c2cccc(OC(F)F)c2)C1 ZINC000127569082 1128480487 /nfs/dbraw/zinc/48/04/87/1128480487.db2.gz RXVPMVCDRXSODG-HNNXBMFYSA-N 1 2 297.345 3.628 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1nc2ccccc2s1 ZINC000067715882 1128487186 /nfs/dbraw/zinc/48/71/86/1128487186.db2.gz VKXWHHYNKVINJX-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1nc2ccccc2s1 ZINC000067715882 1128487192 /nfs/dbraw/zinc/48/71/92/1128487192.db2.gz VKXWHHYNKVINJX-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](CC(=O)Nc1ccc2ccccc2c1)n1cc[nH+]c1 ZINC000917355592 1128495774 /nfs/dbraw/zinc/49/57/74/1128495774.db2.gz SCUVVLLPDWGWKW-CYBMUJFWSA-N 1 2 279.343 3.626 20 0 CHADLO CC[C@H]1CCC[N@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000917429964 1128500078 /nfs/dbraw/zinc/50/00/78/1128500078.db2.gz BENNCJMDTOTTPC-NSHDSACASA-N 1 2 283.441 3.583 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000917429964 1128500082 /nfs/dbraw/zinc/50/00/82/1128500082.db2.gz BENNCJMDTOTTPC-NSHDSACASA-N 1 2 283.441 3.583 20 0 CHADLO O=C(C[C@@H]1C=CCCC1)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000778391348 1128505067 /nfs/dbraw/zinc/50/50/67/1128505067.db2.gz IVTCCYKCDBYFGP-OAHLLOKOSA-N 1 2 296.370 3.662 20 0 CHADLO COCCCCCSCCc1[nH+]cc(C)cc1C ZINC000564981366 1128517961 /nfs/dbraw/zinc/51/79/61/1128517961.db2.gz FPOXCVRQMOXROZ-UHFFFAOYSA-N 1 2 267.438 3.791 20 0 CHADLO CCc1cccc(CC)c1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000565041410 1128518997 /nfs/dbraw/zinc/51/89/97/1128518997.db2.gz FWVWRMJCOLVQOU-CYBMUJFWSA-N 1 2 285.391 3.598 20 0 CHADLO Fc1cc(-c2ccncc2)ccc1C[N@H+]1CCC[C@@H](F)C1 ZINC000565100785 1128519014 /nfs/dbraw/zinc/51/90/14/1128519014.db2.gz YSXPCCZFHZQYSO-MRXNPFEDSA-N 1 2 288.341 3.822 20 0 CHADLO Fc1cc(-c2ccncc2)ccc1C[N@@H+]1CCC[C@@H](F)C1 ZINC000565100785 1128519017 /nfs/dbraw/zinc/51/90/17/1128519017.db2.gz YSXPCCZFHZQYSO-MRXNPFEDSA-N 1 2 288.341 3.822 20 0 CHADLO Cc1nnsc1C[N@@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000565047527 1128519413 /nfs/dbraw/zinc/51/94/13/1128519413.db2.gz UAVHMBVIDRNNBV-UHFFFAOYSA-N 1 2 289.448 3.776 20 0 CHADLO Cc1nnsc1C[N@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000565047527 1128519416 /nfs/dbraw/zinc/51/94/16/1128519416.db2.gz UAVHMBVIDRNNBV-UHFFFAOYSA-N 1 2 289.448 3.776 20 0 CHADLO Cc1[nH]c(CN[C@H](C)c2ccc(F)cc2Cl)[nH+]c1C ZINC000565241670 1128522521 /nfs/dbraw/zinc/52/25/21/1128522521.db2.gz HIQZLEQLCNUZDN-SNVBAGLBSA-N 1 2 281.762 3.670 20 0 CHADLO CCCCC[C@H](C)N1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001257518698 1128535125 /nfs/dbraw/zinc/53/51/25/1128535125.db2.gz QMVIBNSBTXOUDC-GJZGRUSLSA-N 1 2 288.426 3.761 20 0 CHADLO CCCCC[C@H](C)[N@@H+]1CC[C@H](N2CCCC2)C(F)(F)C1 ZINC001257518698 1128535128 /nfs/dbraw/zinc/53/51/28/1128535128.db2.gz QMVIBNSBTXOUDC-GJZGRUSLSA-N 1 2 288.426 3.761 20 0 CHADLO CCCCC[C@H](C)[N@H+]1CC[C@H](N2CCCC2)C(F)(F)C1 ZINC001257518698 1128535131 /nfs/dbraw/zinc/53/51/31/1128535131.db2.gz QMVIBNSBTXOUDC-GJZGRUSLSA-N 1 2 288.426 3.761 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2CC2(F)CC2)c(F)c1 ZINC000556173554 1128560656 /nfs/dbraw/zinc/56/06/56/1128560656.db2.gz MNUAFUVTKSVFSM-CYBMUJFWSA-N 1 2 255.283 3.604 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2CC2(F)CC2)c(F)c1 ZINC000556173554 1128560659 /nfs/dbraw/zinc/56/06/59/1128560659.db2.gz MNUAFUVTKSVFSM-CYBMUJFWSA-N 1 2 255.283 3.604 20 0 CHADLO O=C(Nc1cccc(C2CCC2)c1)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000567344143 1128562955 /nfs/dbraw/zinc/56/29/55/1128562955.db2.gz OPARNFBWHDIQFA-HNNXBMFYSA-N 1 2 295.386 3.736 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cc(Cl)nc(Cl)c1 ZINC000918756843 1128571255 /nfs/dbraw/zinc/57/12/55/1128571255.db2.gz XLCOZUQHUVIBAT-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cc(Cl)nc(Cl)c1 ZINC000918756843 1128571260 /nfs/dbraw/zinc/57/12/60/1128571260.db2.gz XLCOZUQHUVIBAT-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO Cc1cn2cc(NC(=O)[C@@H]3CCC(C)=C(C)C3)ccc2[nH+]1 ZINC000567924131 1128574391 /nfs/dbraw/zinc/57/43/91/1128574391.db2.gz SJKDMCJQNNLFMU-CQSZACIVSA-N 1 2 283.375 3.718 20 0 CHADLO CCc1nocc1CSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000568107342 1128577939 /nfs/dbraw/zinc/57/79/39/1128577939.db2.gz KGJKXIJRIIOFCK-UHFFFAOYSA-N 1 2 299.399 3.856 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@@H+]2CCC[C@@](C)(F)C2)o1 ZINC000568715893 1128590566 /nfs/dbraw/zinc/59/05/66/1128590566.db2.gz OEJPGRQTSIQGBC-QGZVFWFLSA-N 1 2 288.366 3.974 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@H+]2CCC[C@@](C)(F)C2)o1 ZINC000568715893 1128590571 /nfs/dbraw/zinc/59/05/71/1128590571.db2.gz OEJPGRQTSIQGBC-QGZVFWFLSA-N 1 2 288.366 3.974 20 0 CHADLO CCc1nc(Cn2c[nH+]c(Cc3ccccc3)c2)cs1 ZINC000919136529 1128591479 /nfs/dbraw/zinc/59/14/79/1128591479.db2.gz MVQOTHDVAUUBOY-UHFFFAOYSA-N 1 2 283.400 3.541 20 0 CHADLO Cc1c[nH+]c(CCS[C@H](C)c2cnc(C)cn2)c(C)c1 ZINC000568867379 1128593103 /nfs/dbraw/zinc/59/31/03/1128593103.db2.gz VEYDVQOXTXCRKG-CQSZACIVSA-N 1 2 287.432 3.834 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@H]3CC(C)(C)C)ccn12 ZINC000568898307 1128594100 /nfs/dbraw/zinc/59/41/00/1128594100.db2.gz HYMVLGLQIXGQLT-HNNXBMFYSA-N 1 2 299.418 3.684 20 0 CHADLO CC[C@@H](C)CCc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000569271262 1128602804 /nfs/dbraw/zinc/60/28/04/1128602804.db2.gz SYMXDFXFSPWKQZ-CYBMUJFWSA-N 1 2 296.374 3.901 20 0 CHADLO CCn1c(C)cc(C(=O)C[N@H+](C)[C@H](C)c2ccco2)c1C ZINC000131207173 1128609636 /nfs/dbraw/zinc/60/96/36/1128609636.db2.gz HANBCNDXEJUCTP-CQSZACIVSA-N 1 2 288.391 3.594 20 0 CHADLO CCn1c(C)cc(C(=O)C[N@@H+](C)[C@H](C)c2ccco2)c1C ZINC000131207173 1128609638 /nfs/dbraw/zinc/60/96/38/1128609638.db2.gz HANBCNDXEJUCTP-CQSZACIVSA-N 1 2 288.391 3.594 20 0 CHADLO CCC[C@H](C)c1noc([C@@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923869151 1128622332 /nfs/dbraw/zinc/62/23/32/1128622332.db2.gz QDFDOUCWHLGTND-QWHCGFSZSA-N 1 2 276.384 3.805 20 0 CHADLO Clc1sccc1C[N@@H+]1CCCc2occc2C1 ZINC000571842559 1128639750 /nfs/dbraw/zinc/63/97/50/1128639750.db2.gz TYQDPAZFHYAKJD-UHFFFAOYSA-N 1 2 267.781 3.943 20 0 CHADLO Clc1sccc1C[N@H+]1CCCc2occc2C1 ZINC000571842559 1128639751 /nfs/dbraw/zinc/63/97/51/1128639751.db2.gz TYQDPAZFHYAKJD-UHFFFAOYSA-N 1 2 267.781 3.943 20 0 CHADLO COc1cccc2c(NCc3cnc(C4CC4)o3)cc[nH+]c12 ZINC000574999848 1128666029 /nfs/dbraw/zinc/66/60/29/1128666029.db2.gz ZSQFXONYVDCRCB-UHFFFAOYSA-N 1 2 295.342 3.721 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1ccn(C)n1 ZINC000576089286 1128668557 /nfs/dbraw/zinc/66/85/57/1128668557.db2.gz WVDKVTWHXZQODV-KGLIPLIRSA-N 1 2 287.407 3.619 20 0 CHADLO C/C=C/C=C\C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000920250563 1128675031 /nfs/dbraw/zinc/67/50/31/1128675031.db2.gz BOIQVWCRAVEOBB-IGTFLHFFSA-N 1 2 281.359 3.764 20 0 CHADLO CC(C)CC[N@H+](C)Cn1nc(-c2cccs2)oc1=S ZINC000921050917 1128699806 /nfs/dbraw/zinc/69/98/06/1128699806.db2.gz WGWFASPJALZGJL-UHFFFAOYSA-N 1 2 297.449 3.869 20 0 CHADLO CC(C)CC[N@@H+](C)Cn1nc(-c2cccs2)oc1=S ZINC000921050917 1128699808 /nfs/dbraw/zinc/69/98/08/1128699808.db2.gz WGWFASPJALZGJL-UHFFFAOYSA-N 1 2 297.449 3.869 20 0 CHADLO CCC[C@@H](CC)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000638567020 1128713146 /nfs/dbraw/zinc/71/31/46/1128713146.db2.gz HFEXZGAARYEMCG-ZIAGYGMSSA-N 1 2 264.417 3.594 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@@H+]2CCC[C@H]2CF)o1 ZINC000578487307 1128719509 /nfs/dbraw/zinc/71/95/09/1128719509.db2.gz SZWOLTKROHCRCM-ZDUSSCGKSA-N 1 2 274.339 3.584 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@H+]2CCC[C@H]2CF)o1 ZINC000578487307 1128719513 /nfs/dbraw/zinc/71/95/13/1128719513.db2.gz SZWOLTKROHCRCM-ZDUSSCGKSA-N 1 2 274.339 3.584 20 0 CHADLO CC[C@H]([NH2+][C@@H](C(=O)OC)c1ccccc1)C1CCCCC1 ZINC000609804317 1128726087 /nfs/dbraw/zinc/72/60/87/1128726087.db2.gz NEAJLEOXRNXIEP-DLBZAZTESA-N 1 2 289.419 3.849 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cc(F)c(F)cc2F)s1 ZINC000136294084 1128729695 /nfs/dbraw/zinc/72/96/95/1128729695.db2.gz BRPMBVXVZHOTET-QMMMGPOBSA-N 1 2 286.322 3.720 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1cnc(Cl)s1 ZINC000175439157 1128729928 /nfs/dbraw/zinc/72/99/28/1128729928.db2.gz CLPZCDHQQIHHFZ-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1cnc(Cl)s1 ZINC000175439157 1128729933 /nfs/dbraw/zinc/72/99/33/1128729933.db2.gz CLPZCDHQQIHHFZ-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO CC(C)CC1(C)CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000578899557 1128732157 /nfs/dbraw/zinc/73/21/57/1128732157.db2.gz WXKWXGCXUCSGGJ-OAHLLOKOSA-N 1 2 291.439 3.509 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(-c2ccccc2)o1)c1ccsc1 ZINC000061362702 1117751782 /nfs/dbraw/zinc/75/17/82/1117751782.db2.gz YTULAGJZNOFWKG-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC(C)(C)C2)cc(C)c1Cl ZINC001238089673 1117771707 /nfs/dbraw/zinc/77/17/07/1117771707.db2.gz WYCVEFFQYDIDDA-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1cc(C[N@H+]2CCOC(C)(C)C2)cc(C)c1Cl ZINC001238089673 1117771710 /nfs/dbraw/zinc/77/17/10/1117771710.db2.gz WYCVEFFQYDIDDA-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1cc(NC(=O)c2cc3c(s2)CC[C@H](C)C3)cc[nH+]1 ZINC000010162883 1117773832 /nfs/dbraw/zinc/77/38/32/1117773832.db2.gz UEIIGNVREUNQCA-JTQLQIEISA-N 1 2 286.400 3.829 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(F)cc1CO ZINC001213501149 1117782595 /nfs/dbraw/zinc/78/25/95/1117782595.db2.gz KCOPPUXYYZBNGL-UHFFFAOYSA-N 1 2 272.323 3.642 20 0 CHADLO COc1cc(CO)ccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213501455 1117784180 /nfs/dbraw/zinc/78/41/80/1117784180.db2.gz ZKWNGMFTNWWFLF-UHFFFAOYSA-N 1 2 284.359 3.512 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cc(Cl)c(O)cc1F ZINC001238142859 1117791091 /nfs/dbraw/zinc/79/10/91/1117791091.db2.gz IPELTWANRYVTDO-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cc(Cl)c(O)cc1F ZINC001238142859 1117791093 /nfs/dbraw/zinc/79/10/93/1117791093.db2.gz IPELTWANRYVTDO-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO Cc1cc(C)c(NC(=O)CCCn2cc[nH+]c2)c(Cl)c1 ZINC000623240065 1117825914 /nfs/dbraw/zinc/82/59/14/1117825914.db2.gz QSZRCLQSKDPDJF-UHFFFAOYSA-N 1 2 291.782 3.572 20 0 CHADLO FC(F)(F)c1sccc1C[NH2+]Cc1cscn1 ZINC000623387111 1117847899 /nfs/dbraw/zinc/84/78/99/1117847899.db2.gz KHHMUHBJZHVXHV-UHFFFAOYSA-N 1 2 278.324 3.513 20 0 CHADLO COCc1nc(C[NH2+][C@@H](C)c2ccccc2Cl)cs1 ZINC000339553441 1117850586 /nfs/dbraw/zinc/85/05/86/1117850586.db2.gz IEYKYTYZGAUPJS-JTQLQIEISA-N 1 2 296.823 3.794 20 0 CHADLO CC(=O)c1cccc(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC000114720815 1117857350 /nfs/dbraw/zinc/85/73/50/1117857350.db2.gz FAPHIVLGNBVSRQ-UHFFFAOYSA-N 1 2 251.289 3.509 20 0 CHADLO Cc1cc(C)c(Nc2cnnc(Cl)c2Cl)c[nH+]1 ZINC001213510983 1117866351 /nfs/dbraw/zinc/86/63/51/1117866351.db2.gz PZYJUDYZBKVUGV-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1cc(Cl)c(OC)cc1Cl ZINC000430207744 1117871899 /nfs/dbraw/zinc/87/18/99/1117871899.db2.gz RHHVAEUXVYKHIW-JTQLQIEISA-N 1 2 274.191 3.986 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1cc(Cl)c(OC)cc1Cl ZINC000430207744 1117871902 /nfs/dbraw/zinc/87/19/02/1117871902.db2.gz RHHVAEUXVYKHIW-JTQLQIEISA-N 1 2 274.191 3.986 20 0 CHADLO Clc1cnccc1CNc1c[nH+]cc2c1CCCC2 ZINC000623562462 1117872733 /nfs/dbraw/zinc/87/27/33/1117872733.db2.gz SJENGXPKKOJWLB-UHFFFAOYSA-N 1 2 273.767 3.621 20 0 CHADLO CC(C)OC[C@@H](C)[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000623658018 1117885423 /nfs/dbraw/zinc/88/54/23/1117885423.db2.gz HAUVIZUBNYCMQN-UKRRQHHQSA-N 1 2 285.378 3.656 20 0 CHADLO CCCOc1cc(C[NH2+]CC(F)(F)CC)ccc1OC ZINC000623680759 1117889006 /nfs/dbraw/zinc/88/90/06/1117889006.db2.gz YKKYKJKSNCHNJX-UHFFFAOYSA-N 1 2 287.350 3.619 20 0 CHADLO C[C@@H]([NH2+][C@H](CN1CCCC1)c1ccccc1)c1ccoc1 ZINC000178500338 1117893301 /nfs/dbraw/zinc/89/33/01/1117893301.db2.gz WDYAAAHBPVHTJW-CRAIPNDOSA-N 1 2 284.403 3.767 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1csc(C2CC2)n1 ZINC000179542709 1117907469 /nfs/dbraw/zinc/90/74/69/1117907469.db2.gz DJRBJKCNHHDXNW-GFCCVEGCSA-N 1 2 284.428 3.967 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1csc(C2CC2)n1 ZINC000179542709 1117907473 /nfs/dbraw/zinc/90/74/73/1117907473.db2.gz DJRBJKCNHHDXNW-GFCCVEGCSA-N 1 2 284.428 3.967 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H](C)[C@H]2c2ccc(C)cc2)no1 ZINC000157680304 1117908374 /nfs/dbraw/zinc/90/83/74/1117908374.db2.gz QNYSKGVPFCWGTC-DYVFJYSZSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H](C)[C@H]2c2ccc(C)cc2)no1 ZINC000157680304 1117908375 /nfs/dbraw/zinc/90/83/75/1117908375.db2.gz QNYSKGVPFCWGTC-DYVFJYSZSA-N 1 2 285.391 3.660 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1cccc2nccn21)C1CC1 ZINC000179608777 1117909117 /nfs/dbraw/zinc/90/91/17/1117909117.db2.gz DVTCDBHETLNJNZ-UHFFFAOYSA-N 1 2 295.361 3.638 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1cccc2nccn21)C1CC1 ZINC000179608777 1117909126 /nfs/dbraw/zinc/90/91/26/1117909126.db2.gz DVTCDBHETLNJNZ-UHFFFAOYSA-N 1 2 295.361 3.638 20 0 CHADLO CCc1nc(C[NH2+][C@@H](c2ccccc2)C(CC)CC)no1 ZINC000179788451 1117913081 /nfs/dbraw/zinc/91/30/81/1117913081.db2.gz CALPHFKECFLMGK-QGZVFWFLSA-N 1 2 287.407 3.899 20 0 CHADLO CCO[C@H]1CCCN(c2cc(C)c3ccccc3[nH+]2)C1 ZINC000067904729 1117921577 /nfs/dbraw/zinc/92/15/77/1117921577.db2.gz LPOGHUYQXNQGSO-AWEZNQCLSA-N 1 2 270.376 3.549 20 0 CHADLO Clc1ccccc1C[N@H+](Cc1cccnc1)C1CC1 ZINC000180267469 1117925512 /nfs/dbraw/zinc/92/55/12/1117925512.db2.gz KWFJAVBJBGCTLS-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO Clc1ccccc1C[N@@H+](Cc1cccnc1)C1CC1 ZINC000180267469 1117925515 /nfs/dbraw/zinc/92/55/15/1117925515.db2.gz KWFJAVBJBGCTLS-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO C[C@H](Cc1nc(C2CCC(C)(C)CC2)no1)n1cc[nH+]c1 ZINC000351460278 1117937638 /nfs/dbraw/zinc/93/76/38/1117937638.db2.gz MCVNEVOISALXJD-GFCCVEGCSA-N 1 2 288.395 3.754 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ncnn1C(C)C)C(C)(C)C2 ZINC000339631400 1117943532 /nfs/dbraw/zinc/94/35/32/1117943532.db2.gz AXIINEORTGYHFM-UHFFFAOYSA-N 1 2 298.434 3.504 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ncnn1C(C)C)C(C)(C)C2 ZINC000339631400 1117943533 /nfs/dbraw/zinc/94/35/33/1117943533.db2.gz AXIINEORTGYHFM-UHFFFAOYSA-N 1 2 298.434 3.504 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@@H]1CCCc2scnc21 ZINC000623852481 1117945954 /nfs/dbraw/zinc/94/59/54/1117945954.db2.gz XWHJJTVHKFKKRB-DGCLKSJQSA-N 1 2 288.416 3.880 20 0 CHADLO c1nc2c(s1)CCC[C@H]2[NH2+][C@H]1CSc2ccccc21 ZINC000623895476 1117950061 /nfs/dbraw/zinc/95/00/61/1117950061.db2.gz ROGPNBVWOVTGKA-NEPJUHHUSA-N 1 2 288.441 3.957 20 0 CHADLO Cc1ccnc(C[N@H+](Cc2ccoc2)C2CCCCC2)n1 ZINC000339666000 1117950677 /nfs/dbraw/zinc/95/06/77/1117950677.db2.gz PAFFFUILZFLELO-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO Cc1ccnc(C[N@@H+](Cc2ccoc2)C2CCCCC2)n1 ZINC000339666000 1117950680 /nfs/dbraw/zinc/95/06/80/1117950680.db2.gz PAFFFUILZFLELO-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO Cc1ccc(Br)cc1[C@H](C)[NH2+]Cc1cocn1 ZINC000623937625 1117958217 /nfs/dbraw/zinc/95/82/17/1117958217.db2.gz NMIXQVONPWXSOB-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO Fc1cccc(C2([NH2+][C@H]3CCCc4scnc43)CC2)c1 ZINC000623938022 1117958438 /nfs/dbraw/zinc/95/84/38/1117958438.db2.gz ADCMPWSVFKAVRM-ZDUSSCGKSA-N 1 2 288.391 3.939 20 0 CHADLO c1nc2c(s1)CCC[C@H]2[NH2+]Cc1ccc2c(n1)CCCC2 ZINC000623972012 1117964373 /nfs/dbraw/zinc/96/43/73/1117964373.db2.gz OFPLTFPBPHRLQR-OAHLLOKOSA-N 1 2 299.443 3.584 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](c1cccnc1)C1CCC1 ZINC000624148633 1117990069 /nfs/dbraw/zinc/99/00/69/1117990069.db2.gz KIQXAXMPKOAIFB-CYBMUJFWSA-N 1 2 254.324 3.558 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc(-n2ccnc2)cc1 ZINC000624146501 1117992211 /nfs/dbraw/zinc/99/22/11/1117992211.db2.gz AWCQOXNSNBIRKZ-GFCCVEGCSA-N 1 2 279.334 3.568 20 0 CHADLO CC(C)c1ccccc1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000579478983 1128749110 /nfs/dbraw/zinc/74/91/10/1128749110.db2.gz GXEHJFOQRBNYFR-ZDUSSCGKSA-N 1 2 271.364 3.596 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1ncc(Cl)cc1Cl ZINC000181991768 1118011796 /nfs/dbraw/zinc/01/17/96/1118011796.db2.gz OUBKGHNYCHITOQ-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1ncc(Cl)cc1Cl ZINC000181991768 1118011797 /nfs/dbraw/zinc/01/17/97/1118011797.db2.gz OUBKGHNYCHITOQ-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO CC(C)=CCCNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000357880734 1118013548 /nfs/dbraw/zinc/01/35/48/1118013548.db2.gz QRBIHTFDIVTVDL-UHFFFAOYSA-N 1 2 293.374 3.584 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(-c3ccccc3)no2)cc1 ZINC000182311151 1118019707 /nfs/dbraw/zinc/01/97/07/1118019707.db2.gz KCFWJNHVPOUDQW-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(F)cccc1F)c1c(C)noc1C ZINC000182519101 1118023630 /nfs/dbraw/zinc/02/36/30/1118023630.db2.gz ASGNRYPHFKZCHL-CQSZACIVSA-N 1 2 280.318 3.811 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCOCC2)cc1C)C(C)C ZINC000182606715 1118025326 /nfs/dbraw/zinc/02/53/26/1118025326.db2.gz ZYJXMMLBCUNFJN-MRXNPFEDSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+][C@@H](C)C1CC1 ZINC000183017514 1118034567 /nfs/dbraw/zinc/03/45/67/1118034567.db2.gz GEJRDTXPQTYKHG-ZDUSSCGKSA-N 1 2 276.449 3.759 20 0 CHADLO CC[C@@H](c1ccccc1)N(C)c1ccc(Cl)c(N)[nH+]1 ZINC001167131514 1118048677 /nfs/dbraw/zinc/04/86/77/1118048677.db2.gz HVJIXEZYXCQWPA-ZDUSSCGKSA-N 1 2 275.783 3.905 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)cc1 ZINC000367639219 1118055671 /nfs/dbraw/zinc/05/56/71/1118055671.db2.gz UHJFNUDLGHWFBG-XHDPSFHLSA-N 1 2 287.432 3.860 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCc2[nH]ncc21)c1ccc(OC)cc1 ZINC000368606209 1118057824 /nfs/dbraw/zinc/05/78/24/1118057824.db2.gz DCTUHHJXWBZHMV-HZPDHXFCSA-N 1 2 285.391 3.537 20 0 CHADLO CCC[C@@H]([NH2+][C@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000368607173 1118058560 /nfs/dbraw/zinc/05/85/60/1118058560.db2.gz GXBIBWSCXVKJRM-CVEARBPZSA-N 1 2 269.392 3.918 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@@H](C)c1cccnc1Cl)CO2 ZINC000090452510 1118062461 /nfs/dbraw/zinc/06/24/61/1118062461.db2.gz KIPMDVLWIBSOPV-FZMZJTMJSA-N 1 2 288.778 3.828 20 0 CHADLO CC(C)CCN(CCC(C)C)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000654454623 1118071586 /nfs/dbraw/zinc/07/15/86/1118071586.db2.gz ADZFHHKAPJMSRK-HNNXBMFYSA-N 1 2 293.455 3.509 20 0 CHADLO CC(C)CCN(CCC(C)C)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000654454623 1118071592 /nfs/dbraw/zinc/07/15/92/1118071592.db2.gz ADZFHHKAPJMSRK-HNNXBMFYSA-N 1 2 293.455 3.509 20 0 CHADLO Cc1csc(C[NH2+]Cc2ccc(C)cc2Cl)n1 ZINC000235895533 1118080359 /nfs/dbraw/zinc/08/03/59/1118080359.db2.gz RSOHOLOQPQYENF-UHFFFAOYSA-N 1 2 266.797 3.703 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@@H](C)CCc1ccco1 ZINC000169132777 1118080626 /nfs/dbraw/zinc/08/06/26/1118080626.db2.gz JATZVHQMCNBFSG-ZDUSSCGKSA-N 1 2 274.364 3.895 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cc1)c1csnn1 ZINC000398224625 1118102537 /nfs/dbraw/zinc/10/25/37/1118102537.db2.gz DUGVEHDCRYSMPG-DTWKUNHWSA-N 1 2 267.785 3.603 20 0 CHADLO COc1cccc(C[N@@H+]2CCc3ccc(F)c(F)c3C2)c1 ZINC000340194013 1118107315 /nfs/dbraw/zinc/10/73/15/1118107315.db2.gz FQOIDNOPWDUTEX-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc(C[N@H+]2CCc3ccc(F)c(F)c3C2)c1 ZINC000340194013 1118107318 /nfs/dbraw/zinc/10/73/18/1118107318.db2.gz FQOIDNOPWDUTEX-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2cc(C[NH2+][C@@H](C)c3csnn3)ccc2c1 ZINC000398261323 1118109659 /nfs/dbraw/zinc/10/96/59/1118109659.db2.gz AMFIMTXSJZFKGY-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO Cc1noc(C[NH2+][C@@H](C)c2ccc(F)c3ccccc32)n1 ZINC000340227675 1118115492 /nfs/dbraw/zinc/11/54/92/1118115492.db2.gz APGBFKRFEPGPDD-JTQLQIEISA-N 1 2 285.322 3.521 20 0 CHADLO CCc1ccccc1CC[N@@H+]1CCC[C@H]1C(F)F ZINC000683523649 1118168812 /nfs/dbraw/zinc/16/88/12/1118168812.db2.gz OKVFOYPLUPGBBM-AWEZNQCLSA-N 1 2 253.336 3.521 20 0 CHADLO CCc1ccccc1CC[N@H+]1CCC[C@H]1C(F)F ZINC000683523649 1118168813 /nfs/dbraw/zinc/16/88/13/1118168813.db2.gz OKVFOYPLUPGBBM-AWEZNQCLSA-N 1 2 253.336 3.521 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2noc3c2CCCC3)c1 ZINC000683524617 1118168952 /nfs/dbraw/zinc/16/89/52/1118168952.db2.gz HXDFVCFEPVLSPW-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2noc3c2CCCC3)c1 ZINC000683524617 1118168956 /nfs/dbraw/zinc/16/89/56/1118168956.db2.gz HXDFVCFEPVLSPW-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+]C2CCOCC2)C(F)F)c1 ZINC000655697488 1118187008 /nfs/dbraw/zinc/18/70/08/1118187008.db2.gz RQMUGLSPPYSYRB-HNNXBMFYSA-N 1 2 299.361 3.549 20 0 CHADLO CCc1[nH]nc(Cl)c1C[NH2+]Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000921551231 1118191190 /nfs/dbraw/zinc/19/11/90/1118191190.db2.gz BFFSTAZINRGVNL-ONGXEEELSA-N 1 2 293.798 3.632 20 0 CHADLO CC(C)=CCC[N@@H+]1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC001307699790 1118197371 /nfs/dbraw/zinc/19/73/71/1118197371.db2.gz HNCUXFSSBYLWIU-MRXNPFEDSA-N 1 2 281.346 3.694 20 0 CHADLO CC(C)=CCC[N@H+]1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC001307699790 1118197373 /nfs/dbraw/zinc/19/73/73/1118197373.db2.gz HNCUXFSSBYLWIU-MRXNPFEDSA-N 1 2 281.346 3.694 20 0 CHADLO CC(C)=CCC[N@@H+]1CCO[C@H](c2ccc(F)cc2F)C1 ZINC001307699783 1118197557 /nfs/dbraw/zinc/19/75/57/1118197557.db2.gz HNCUXFSSBYLWIU-INIZCTEOSA-N 1 2 281.346 3.694 20 0 CHADLO CC(C)=CCC[N@H+]1CCO[C@H](c2ccc(F)cc2F)C1 ZINC001307699783 1118197558 /nfs/dbraw/zinc/19/75/58/1118197558.db2.gz HNCUXFSSBYLWIU-INIZCTEOSA-N 1 2 281.346 3.694 20 0 CHADLO C[C@H]([NH2+]Cc1cccc2c1OCC2)c1c(F)cccc1F ZINC000921564121 1118199215 /nfs/dbraw/zinc/19/92/15/1118199215.db2.gz OYTGXMJFWQZZGF-NSHDSACASA-N 1 2 289.325 3.751 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1ccc(F)nc1 ZINC000188537724 1128762345 /nfs/dbraw/zinc/76/23/45/1128762345.db2.gz OSWXQGYDQKLIBJ-UHFFFAOYSA-N 1 2 284.338 3.593 20 0 CHADLO c1cc2c(c(CNc3ccc([NH+]4CCCC4)cc3)c1)OCC2 ZINC000921569494 1118201092 /nfs/dbraw/zinc/20/10/92/1118201092.db2.gz RDMBFLUMXWSNDM-UHFFFAOYSA-N 1 2 294.398 3.834 20 0 CHADLO CC(C)[N@H+](Cc1nnsc1Cl)C1CCCCC1 ZINC000102768712 1118208775 /nfs/dbraw/zinc/20/87/75/1118208775.db2.gz ODXMMZGLLNALLY-UHFFFAOYSA-N 1 2 273.833 3.735 20 0 CHADLO CC(C)[N@@H+](Cc1nnsc1Cl)C1CCCCC1 ZINC000102768712 1118208777 /nfs/dbraw/zinc/20/87/77/1118208777.db2.gz ODXMMZGLLNALLY-UHFFFAOYSA-N 1 2 273.833 3.735 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000030603660 1118218918 /nfs/dbraw/zinc/21/89/18/1118218918.db2.gz ZUVJCNULZXVFDV-HNNXBMFYSA-N 1 2 299.418 3.817 20 0 CHADLO Fc1ccc(C[NH2+]Cc2c(Cl)n[nH]c2C2CC2)c(F)c1 ZINC000921619921 1118224988 /nfs/dbraw/zinc/22/49/88/1118224988.db2.gz HLZYFQKCJCMRSE-UHFFFAOYSA-N 1 2 297.736 3.509 20 0 CHADLO Cc1cc(C)c(C(=O)NCC[C@H]2CCC(F)(F)C2)c(C)[nH+]1 ZINC000889994090 1118227294 /nfs/dbraw/zinc/22/72/94/1118227294.db2.gz NHOALUJXVMRFGB-CYBMUJFWSA-N 1 2 296.361 3.562 20 0 CHADLO CC[C@@H](C)C[N@H+](C)Cn1nc(C(F)(F)F)sc1=S ZINC000188668347 1128764144 /nfs/dbraw/zinc/76/41/44/1128764144.db2.gz CFHVNYOUYLKSKG-SSDOTTSWSA-N 1 2 299.387 3.628 20 0 CHADLO CC[C@@H](C)C[N@@H+](C)Cn1nc(C(F)(F)F)sc1=S ZINC000188668347 1128764147 /nfs/dbraw/zinc/76/41/47/1128764147.db2.gz CFHVNYOUYLKSKG-SSDOTTSWSA-N 1 2 299.387 3.628 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)c(N)c2)c2ccsc2n1 ZINC001210288998 1118234965 /nfs/dbraw/zinc/23/49/65/1118234965.db2.gz ZIURHNAYIWPEQD-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(CC(F)(F)F)cc2)cc1N ZINC001210290170 1118235867 /nfs/dbraw/zinc/23/58/67/1118235867.db2.gz FPQWNPNHUYRZRX-UHFFFAOYSA-N 1 2 281.281 3.821 20 0 CHADLO CC(C)n1ccc(C[N@H+](Cc2ccc(F)cc2)C2CC2)n1 ZINC000172334391 1118240688 /nfs/dbraw/zinc/24/06/88/1118240688.db2.gz BJAAKOBDJPOBOT-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO CC(C)n1ccc(C[N@@H+](Cc2ccc(F)cc2)C2CC2)n1 ZINC000172334391 1118240691 /nfs/dbraw/zinc/24/06/91/1118240691.db2.gz BJAAKOBDJPOBOT-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO Cc1cc([NH2+][C@H](C2CC2)[C@H]2CCCOC2)ccc1N(C)C ZINC000926053082 1118240966 /nfs/dbraw/zinc/24/09/66/1118240966.db2.gz VKTRQUZRLODYMD-MAUKXSAKSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@H](C2CC2)[C@H]2CCCOC2)ccc1[NH+](C)C ZINC000926053082 1118240968 /nfs/dbraw/zinc/24/09/68/1118240968.db2.gz VKTRQUZRLODYMD-MAUKXSAKSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCOCC2)cc(C)c1C ZINC001212362282 1118265882 /nfs/dbraw/zinc/26/58/82/1118265882.db2.gz IYRCGWUDYUYFBS-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2nccn2C)c2ccccc2)cc1 ZINC000057591625 1118281714 /nfs/dbraw/zinc/28/17/14/1118281714.db2.gz BOJHSSVAUFKMQP-LJQANCHMSA-N 1 2 291.398 3.608 20 0 CHADLO CCOc1ccc([C@@H]2CCC[N@@H+]2Cc2cscn2)cc1 ZINC000057626703 1118281902 /nfs/dbraw/zinc/28/19/02/1118281902.db2.gz KPGRJMYCTSUBFX-INIZCTEOSA-N 1 2 288.416 3.879 20 0 CHADLO CCOc1ccc([C@@H]2CCC[N@H+]2Cc2cscn2)cc1 ZINC000057626703 1118281905 /nfs/dbraw/zinc/28/19/05/1118281905.db2.gz KPGRJMYCTSUBFX-INIZCTEOSA-N 1 2 288.416 3.879 20 0 CHADLO N=C1C=Cc2c(N)cccc2[N@@H+]1C1=CCCCCCC1 ZINC001210449616 1118286787 /nfs/dbraw/zinc/28/67/87/1118286787.db2.gz LTJWSZOLLSZPEP-UHFFFAOYSA-N 1 2 267.376 3.898 20 0 CHADLO N=C1C=Cc2c(N)cccc2[N@H+]1C1=CCCCCCC1 ZINC001210449616 1118286790 /nfs/dbraw/zinc/28/67/90/1118286790.db2.gz LTJWSZOLLSZPEP-UHFFFAOYSA-N 1 2 267.376 3.898 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(C)cc(Cl)c1 ZINC001210462634 1118290628 /nfs/dbraw/zinc/29/06/28/1118290628.db2.gz WQDMMQYXMSUBPO-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2nnc(-c3ccco3)o2)cc1 ZINC000061760742 1118309609 /nfs/dbraw/zinc/30/96/09/1118309609.db2.gz SPPWOWMVDZHIPD-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2nnc(-c3ccco3)o2)cc1 ZINC000061760742 1118309614 /nfs/dbraw/zinc/30/96/14/1118309614.db2.gz SPPWOWMVDZHIPD-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO Cc1cc(Nc2ccc([N+](=O)[O-])cc2)ccc1[NH+](C)C ZINC000029627320 1118321368 /nfs/dbraw/zinc/32/13/68/1118321368.db2.gz BZUOEKFNOAWYDH-UHFFFAOYSA-N 1 2 271.320 3.713 20 0 CHADLO CCC1([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CCCC1 ZINC000639223190 1118322739 /nfs/dbraw/zinc/32/27/39/1118322739.db2.gz TXZMATBZXXNQJZ-CQSZACIVSA-N 1 2 276.428 3.739 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(F)cc2)C2CC2)oc1C ZINC000064138972 1118323416 /nfs/dbraw/zinc/32/34/16/1118323416.db2.gz ZOHXXXWJDFATTA-UHFFFAOYSA-N 1 2 274.339 3.595 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(F)cc2)C2CC2)oc1C ZINC000064138972 1118323417 /nfs/dbraw/zinc/32/34/17/1118323417.db2.gz ZOHXXXWJDFATTA-UHFFFAOYSA-N 1 2 274.339 3.595 20 0 CHADLO C[N@H+](Cc1cccc(C(F)(F)F)c1)Cc1ncccc1F ZINC001207033540 1118329402 /nfs/dbraw/zinc/32/94/02/1118329402.db2.gz XJSKSAUOBAFVJH-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cccc(C(F)(F)F)c1)Cc1ncccc1F ZINC001207033540 1118329406 /nfs/dbraw/zinc/32/94/06/1118329406.db2.gz XJSKSAUOBAFVJH-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccsc2)cc1F ZINC001239513890 1118338598 /nfs/dbraw/zinc/33/85/98/1118338598.db2.gz QTQSJMLWYRNTFL-UHFFFAOYSA-N 1 2 253.317 3.755 20 0 CHADLO Cc1c[nH+]c2cc(-c3nc([C@@H]4CCC[C@H]5C[C@H]54)no3)ccn12 ZINC000656052809 1118341410 /nfs/dbraw/zinc/34/14/10/1118341410.db2.gz MSQNAVKIUKDQTR-IACUBPJLSA-N 1 2 294.358 3.596 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](C)c2cc(C)on2)cc1Cl ZINC000340544905 1118341452 /nfs/dbraw/zinc/34/14/52/1118341452.db2.gz XPCHWOCRMNOXGH-NSHDSACASA-N 1 2 294.782 3.886 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2)o1)[N@H+](C)Cc1cccs1 ZINC000066562408 1118346626 /nfs/dbraw/zinc/34/66/26/1118346626.db2.gz BAANGEGCQQWULV-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2)o1)[N@@H+](C)Cc1cccs1 ZINC000066562408 1118346630 /nfs/dbraw/zinc/34/66/30/1118346630.db2.gz BAANGEGCQQWULV-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO COC1CCC(Nc2cc(-c3ccncc3)cc[nH+]2)CC1 ZINC001168603512 1118368716 /nfs/dbraw/zinc/36/87/16/1118368716.db2.gz MKRNORPQDYMXBO-UHFFFAOYSA-N 1 2 283.375 3.513 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000073603620 1118383113 /nfs/dbraw/zinc/38/31/13/1118383113.db2.gz CEEPGDGJOSFBSK-AWEZNQCLSA-N 1 2 287.407 3.648 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000073603620 1118383116 /nfs/dbraw/zinc/38/31/16/1118383116.db2.gz CEEPGDGJOSFBSK-AWEZNQCLSA-N 1 2 287.407 3.648 20 0 CHADLO COc1cc(Nc2ccc3oc(C)nc3c2)cc(C)[nH+]1 ZINC001210781187 1118385170 /nfs/dbraw/zinc/38/51/70/1118385170.db2.gz NYXXNRUBFXGJGH-UHFFFAOYSA-N 1 2 269.304 3.592 20 0 CHADLO CCc1cccc(NCc2c[nH+]cn2CC)c1CC ZINC000418038359 1118389530 /nfs/dbraw/zinc/38/95/30/1118389530.db2.gz RTTSFUQVVGDDHI-UHFFFAOYSA-N 1 2 257.381 3.640 20 0 CHADLO COc1cccc(C[NH2+][C@@H](C)c2nc3ccccc3s2)n1 ZINC000765778815 1118400708 /nfs/dbraw/zinc/40/07/08/1118400708.db2.gz CIBWLOSVBRPFGX-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2[C@@H]3CCCCCC[C@@H]23)c[nH+]1 ZINC000616115285 1128776257 /nfs/dbraw/zinc/77/62/57/1128776257.db2.gz HWXPFGDPEDNNDG-HZPDHXFCSA-N 1 2 286.419 3.531 20 0 CHADLO c1cc2cc(NCc3cc4ccccc4o3)[nH+]cc2[nH]1 ZINC000683893467 1118431634 /nfs/dbraw/zinc/43/16/34/1118431634.db2.gz YCIPRCGBBMZVOL-UHFFFAOYSA-N 1 2 263.300 3.921 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1cc(Br)ccc1F ZINC000548097563 1118457724 /nfs/dbraw/zinc/45/77/24/1118457724.db2.gz AAHLEHUVGYPEEY-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1cc(Br)ccc1F ZINC000548097563 1118457726 /nfs/dbraw/zinc/45/77/26/1118457726.db2.gz AAHLEHUVGYPEEY-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO OCc1cncc(Nc2cc[nH+]c3cc(Cl)ccc23)c1 ZINC001211101234 1118463690 /nfs/dbraw/zinc/46/36/90/1118463690.db2.gz FJHBAGVIMJLOQY-UHFFFAOYSA-N 1 2 285.734 3.519 20 0 CHADLO Cc1nc(C[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)co1 ZINC000660907742 1118471399 /nfs/dbraw/zinc/47/13/99/1118471399.db2.gz UKPJFZHCMZBQBT-KRWDZBQOSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1nc(C[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)co1 ZINC000660907742 1118471400 /nfs/dbraw/zinc/47/14/00/1118471400.db2.gz UKPJFZHCMZBQBT-KRWDZBQOSA-N 1 2 280.371 3.876 20 0 CHADLO FC(F)C1CC[NH+](Cc2ccc(Cl)nc2Cl)CC1 ZINC000307871143 1118488162 /nfs/dbraw/zinc/48/81/62/1118488162.db2.gz HWYWCWJWOKXXLF-UHFFFAOYSA-N 1 2 295.160 3.866 20 0 CHADLO c1csc([C@H]2C[N@H+](Cc3cc4ccccc4[nH]3)CCO2)c1 ZINC000684019996 1118489031 /nfs/dbraw/zinc/48/90/31/1118489031.db2.gz CPQRJYDTGXAYNS-MRXNPFEDSA-N 1 2 298.411 3.803 20 0 CHADLO c1csc([C@H]2C[N@@H+](Cc3cc4ccccc4[nH]3)CCO2)c1 ZINC000684019996 1118489034 /nfs/dbraw/zinc/48/90/34/1118489034.db2.gz CPQRJYDTGXAYNS-MRXNPFEDSA-N 1 2 298.411 3.803 20 0 CHADLO c1cc([C@H]2C[N@H+](Cc3cc4ccccc4[nH]3)CCO2)cs1 ZINC000684020455 1118490260 /nfs/dbraw/zinc/49/02/60/1118490260.db2.gz CJMWOLVXYRZWFO-QGZVFWFLSA-N 1 2 298.411 3.803 20 0 CHADLO c1cc([C@H]2C[N@@H+](Cc3cc4ccccc4[nH]3)CCO2)cs1 ZINC000684020455 1118490261 /nfs/dbraw/zinc/49/02/61/1118490261.db2.gz CJMWOLVXYRZWFO-QGZVFWFLSA-N 1 2 298.411 3.803 20 0 CHADLO Cc1cc(C)c(/C=C/C[N@@H+]2CCO[C@H](CCF)C2)cc1C ZINC001207130269 1118490843 /nfs/dbraw/zinc/49/08/43/1118490843.db2.gz ZEVKUDPKBXDVEL-SHCNBLLESA-N 1 2 291.410 3.685 20 0 CHADLO Cc1cc(C)c(/C=C/C[N@H+]2CCO[C@H](CCF)C2)cc1C ZINC001207130269 1118490844 /nfs/dbraw/zinc/49/08/44/1118490844.db2.gz ZEVKUDPKBXDVEL-SHCNBLLESA-N 1 2 291.410 3.685 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](C/C=C/c1ccccc1OC)C2 ZINC000656275728 1118493476 /nfs/dbraw/zinc/49/34/76/1118493476.db2.gz WSDVKPARCSRSJA-FNORWQNLSA-N 1 2 295.382 3.733 20 0 CHADLO COc1ccc2c(c1)C[N@H+](C/C=C/c1ccccc1OC)C2 ZINC000656275728 1118493478 /nfs/dbraw/zinc/49/34/78/1118493478.db2.gz WSDVKPARCSRSJA-FNORWQNLSA-N 1 2 295.382 3.733 20 0 CHADLO Clc1ccc(Nc2cccn3cc[nH+]c23)c(Cl)n1 ZINC001211270798 1118493978 /nfs/dbraw/zinc/49/39/78/1118493978.db2.gz HXPZWWITCXBIQC-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)c(Cl)c2)C(C)(C)CO1 ZINC001167438027 1118518981 /nfs/dbraw/zinc/51/89/81/1118518981.db2.gz KYGIIGMRTIMMDH-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)c(Cl)c2)C(C)(C)CO1 ZINC001167438027 1118518985 /nfs/dbraw/zinc/51/89/85/1118518985.db2.gz KYGIIGMRTIMMDH-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO CC(=O)Oc1ccc(F)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213520426 1118519552 /nfs/dbraw/zinc/51/95/52/1118519552.db2.gz BFSBQNJEKOWIQN-UHFFFAOYSA-N 1 2 274.295 3.506 20 0 CHADLO Cc1cc(C)c(Nc2cccc3ccc(=O)[nH]c32)c[nH+]1 ZINC001213521222 1118523247 /nfs/dbraw/zinc/52/32/47/1118523247.db2.gz ZSBWDGCXXOYYKG-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnn2Cc2ccccc2)c1C ZINC001213523028 1118525379 /nfs/dbraw/zinc/52/53/79/1118525379.db2.gz KNJSTCUIGVSDHG-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO COc1cc(OC)c(F)c(Nc2c[nH+]cc(C)c2C)c1F ZINC001213528363 1118538521 /nfs/dbraw/zinc/53/85/21/1118538521.db2.gz CJTMYSCZZPYLMZ-UHFFFAOYSA-N 1 2 294.301 3.737 20 0 CHADLO CC(C)C[C@@H]1OCCC[C@H]1[NH2+]Cc1cccc(Cl)c1O ZINC000187679235 1118541468 /nfs/dbraw/zinc/54/14/68/1118541468.db2.gz FTYMCRWGKUHXMX-CABCVRRESA-N 1 2 297.826 3.729 20 0 CHADLO CCOC(=O)c1ccc(NC)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213531192 1118549308 /nfs/dbraw/zinc/54/93/08/1118549308.db2.gz VTYIYUYHKQFTAB-UHFFFAOYSA-N 1 2 299.374 3.660 20 0 CHADLO CC(C)c1ccc(C(=O)NNc2cc[nH+]cc2Cl)cc1 ZINC000154840325 1118552040 /nfs/dbraw/zinc/55/20/40/1118552040.db2.gz XQVJZKIRBWZVIP-UHFFFAOYSA-N 1 2 289.766 3.615 20 0 CHADLO COC(=O)c1ccc([C@H](C)[N@@H+]2Cc3ccccc3[C@@H]2C)o1 ZINC000340921330 1118555849 /nfs/dbraw/zinc/55/58/49/1118555849.db2.gz QESIIBKXIIGHDR-RYUDHWBXSA-N 1 2 285.343 3.704 20 0 CHADLO COC(=O)c1ccc([C@H](C)[N@H+]2Cc3ccccc3[C@@H]2C)o1 ZINC000340921330 1118555851 /nfs/dbraw/zinc/55/58/51/1118555851.db2.gz QESIIBKXIIGHDR-RYUDHWBXSA-N 1 2 285.343 3.704 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@@H](C)c1nc2ccccc2n1C ZINC000316838894 1118585456 /nfs/dbraw/zinc/58/54/56/1118585456.db2.gz QYXTZUOMRIDFED-JQWIXIFHSA-N 1 2 298.390 3.590 20 0 CHADLO CCc1nc(N2Cc3ccccc3OC(C)(C)C2)cc(C)[nH+]1 ZINC000341035951 1118592009 /nfs/dbraw/zinc/59/20/09/1118592009.db2.gz FOQMHWCAMXPVOV-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1ncc(C2CC2)o1 ZINC000341071968 1118599832 /nfs/dbraw/zinc/59/98/32/1118599832.db2.gz SUUUITUEIIMUGF-GFCCVEGCSA-N 1 2 256.349 3.711 20 0 CHADLO COC[C@@H](Nc1cccc[nH+]1)c1cccc(Cl)c1 ZINC000341109648 1118602614 /nfs/dbraw/zinc/60/26/14/1118602614.db2.gz BVYZXFSXJSEQML-CYBMUJFWSA-N 1 2 262.740 3.535 20 0 CHADLO Fc1cccc(OC(F)(F)F)c1C[NH2+]Cc1ccoc1 ZINC000341149811 1118606148 /nfs/dbraw/zinc/60/61/48/1118606148.db2.gz OINKOBREAQESHG-UHFFFAOYSA-N 1 2 289.228 3.607 20 0 CHADLO CC1(C)CC[NH+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC000341194002 1118616938 /nfs/dbraw/zinc/61/69/38/1118616938.db2.gz RHVNYWOHWJGMEK-UHFFFAOYSA-N 1 2 278.343 3.784 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(F)cc2C)co1 ZINC000834899456 1118617290 /nfs/dbraw/zinc/61/72/90/1118617290.db2.gz QCFRXZQENRZVHF-NSHDSACASA-N 1 2 262.328 3.535 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccccc2OC(F)F)co1 ZINC000834899485 1118617652 /nfs/dbraw/zinc/61/76/52/1118617652.db2.gz HRUMOULPGURJSM-SNVBAGLBSA-N 1 2 296.317 3.689 20 0 CHADLO CC[C@@H]1C[C@@H](C[NH2+]c2ccc(-n3ccc(C)n3)cc2)CCO1 ZINC000341224569 1118628037 /nfs/dbraw/zinc/62/80/37/1118628037.db2.gz ADSIGWHCFGPKPX-MAUKXSAKSA-N 1 2 299.418 3.798 20 0 CHADLO CSc1ccc([C@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000341265569 1118645146 /nfs/dbraw/zinc/64/51/46/1118645146.db2.gz IMZCULCZJZUUEI-LBPRGKRZSA-N 1 2 299.443 3.979 20 0 CHADLO CC(C)n1c2ccccc2[nH+]c1NCc1cc[nH]c1 ZINC000656781491 1118654527 /nfs/dbraw/zinc/65/45/27/1118654527.db2.gz XYESBMPRKLMTHJ-UHFFFAOYSA-N 1 2 254.337 3.557 20 0 CHADLO CCc1nn(C)cc1CNc1[nH+]c2ccccc2n1C(C)C ZINC000656781045 1118654643 /nfs/dbraw/zinc/65/46/43/1118654643.db2.gz GHKPJVAFWVJMIJ-UHFFFAOYSA-N 1 2 297.406 3.525 20 0 CHADLO Cc1ccc(O)c(CNc2[nH+]c3ccccc3n2C(C)C)n1 ZINC000656781314 1118654708 /nfs/dbraw/zinc/65/47/08/1118654708.db2.gz NYCMARUXLFMTBI-UHFFFAOYSA-N 1 2 296.374 3.638 20 0 CHADLO COCc1cccc(CNc2c[nH+]cc3c2CCCC3)c1 ZINC000656776104 1118655223 /nfs/dbraw/zinc/65/52/23/1118655223.db2.gz GNZKCBRKUIUCLT-UHFFFAOYSA-N 1 2 282.387 3.719 20 0 CHADLO Cc1nc(NC2CC(c3ccc(F)c(Cl)c3)C2)cc[nH+]1 ZINC000341396322 1118689363 /nfs/dbraw/zinc/68/93/63/1118689363.db2.gz UVRRKKXZAKYGGF-UHFFFAOYSA-N 1 2 291.757 3.936 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](C)Cc2cccs2)c1 ZINC000891473928 1118691258 /nfs/dbraw/zinc/69/12/58/1118691258.db2.gz KQQNRCBCDWWIJM-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](C)Cc2cccs2)c1 ZINC000891473928 1118691262 /nfs/dbraw/zinc/69/12/62/1118691262.db2.gz KQQNRCBCDWWIJM-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1=CCCCCC1 ZINC000130352045 1118703495 /nfs/dbraw/zinc/70/34/95/1118703495.db2.gz VBADEEBKQNCWPQ-UHFFFAOYSA-N 1 2 281.359 3.906 20 0 CHADLO C[C@@H]1CCC[C@@H](CCSCCn2cc[nH+]c2)C1 ZINC000341516086 1118716930 /nfs/dbraw/zinc/71/69/30/1118716930.db2.gz SYIBAKSSRVAZDN-KGLIPLIRSA-N 1 2 252.427 3.833 20 0 CHADLO Cc1cc(N(C)[C@H](C)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000341480026 1118717479 /nfs/dbraw/zinc/71/74/79/1118717479.db2.gz KWRXTWUPFNZOCF-CYBMUJFWSA-N 1 2 267.376 3.860 20 0 CHADLO Cc1cc(N(Cc2cccs2)C2CC2)nc(C2CC2)[nH+]1 ZINC000341504892 1118718158 /nfs/dbraw/zinc/71/81/58/1118718158.db2.gz RNCZLGLFLXEWPK-UHFFFAOYSA-N 1 2 285.416 3.893 20 0 CHADLO CC(C)c1cc(Nc2[nH+]cccc2N(C)C)ccc1N ZINC001216044995 1118718210 /nfs/dbraw/zinc/71/82/10/1118718210.db2.gz HNBHPEOUZWKGRI-UHFFFAOYSA-N 1 2 270.380 3.597 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccnn2C2CCC2)C2CC2)o1 ZINC000891595694 1118718615 /nfs/dbraw/zinc/71/86/15/1118718615.db2.gz UMIJLZOHQFDTLU-UHFFFAOYSA-N 1 2 285.391 3.674 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccnn2C2CCC2)C2CC2)o1 ZINC000891595694 1118718619 /nfs/dbraw/zinc/71/86/19/1118718619.db2.gz UMIJLZOHQFDTLU-UHFFFAOYSA-N 1 2 285.391 3.674 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCC[C@@H]2c2ccccn2)c1 ZINC000891630965 1118726817 /nfs/dbraw/zinc/72/68/17/1118726817.db2.gz RDDMDXRJUATRBN-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCC[C@@H]2c2ccccn2)c1 ZINC000891630965 1118726819 /nfs/dbraw/zinc/72/68/19/1118726819.db2.gz RDDMDXRJUATRBN-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+][C@H](C)c2cnc(C)s2)o1 ZINC000090417992 1118733679 /nfs/dbraw/zinc/73/36/79/1118733679.db2.gz BRPKLCPBLZJQPM-ZWNOBZJWSA-N 1 2 294.420 3.645 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1nccs1 ZINC000182954428 1118767600 /nfs/dbraw/zinc/76/76/00/1118767600.db2.gz ZXYRFOHPFVADCW-NSHDSACASA-N 1 2 273.405 3.699 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1nccs1 ZINC000182954428 1118767603 /nfs/dbraw/zinc/76/76/03/1118767603.db2.gz ZXYRFOHPFVADCW-NSHDSACASA-N 1 2 273.405 3.699 20 0 CHADLO Cc1cccc(C)c1C[N@H+](C)Cc1nc(C2CCC2)no1 ZINC000933765063 1118792675 /nfs/dbraw/zinc/79/26/75/1118792675.db2.gz XQDUGNCJTQJABA-UHFFFAOYSA-N 1 2 285.391 3.586 20 0 CHADLO Cc1cccc(C)c1C[N@@H+](C)Cc1nc(C2CCC2)no1 ZINC000933765063 1118792676 /nfs/dbraw/zinc/79/26/76/1118792676.db2.gz XQDUGNCJTQJABA-UHFFFAOYSA-N 1 2 285.391 3.586 20 0 CHADLO CC1CCC([N@H+](C)Cn2ncn(C(C)(C)C)c2=S)CC1 ZINC000068871763 1128801272 /nfs/dbraw/zinc/80/12/72/1128801272.db2.gz BUKVIMPINBVZSY-UHFFFAOYSA-N 1 2 296.484 3.637 20 0 CHADLO CC1CCC([N@@H+](C)Cn2ncn(C(C)(C)C)c2=S)CC1 ZINC000068871763 1128801277 /nfs/dbraw/zinc/80/12/77/1128801277.db2.gz BUKVIMPINBVZSY-UHFFFAOYSA-N 1 2 296.484 3.637 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(-c2ccccn2)c1)C(F)F ZINC000449364819 1118797012 /nfs/dbraw/zinc/79/70/12/1118797012.db2.gz UKIIEENEXRHVFS-AWEZNQCLSA-N 1 2 276.330 3.882 20 0 CHADLO Clc1cccc2c(NCc3nccs3)cc[nH+]c12 ZINC000341719939 1118815922 /nfs/dbraw/zinc/81/59/22/1118815922.db2.gz DGTJISXQMDEQAB-UHFFFAOYSA-N 1 2 275.764 3.957 20 0 CHADLO CC[C@@]1(C)C[N@H+](Cc2ccccc2C(F)(F)F)CCO1 ZINC000510789622 1118818290 /nfs/dbraw/zinc/81/82/90/1118818290.db2.gz AFFZLTATCRGJDA-AWEZNQCLSA-N 1 2 287.325 3.706 20 0 CHADLO CC[C@@]1(C)C[N@@H+](Cc2ccccc2C(F)(F)F)CCO1 ZINC000510789622 1118818294 /nfs/dbraw/zinc/81/82/94/1118818294.db2.gz AFFZLTATCRGJDA-AWEZNQCLSA-N 1 2 287.325 3.706 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cnc(C(C)C)s1 ZINC000092438439 1118844710 /nfs/dbraw/zinc/84/47/10/1118844710.db2.gz FWVFCBCKRTYCPZ-UHFFFAOYSA-N 1 2 264.394 3.800 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cnc(C(C)C)s1 ZINC000092438439 1118844713 /nfs/dbraw/zinc/84/47/13/1118844713.db2.gz FWVFCBCKRTYCPZ-UHFFFAOYSA-N 1 2 264.394 3.800 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](c3cccs3)C2)ccc1F ZINC000092594023 1118858100 /nfs/dbraw/zinc/85/81/00/1118858100.db2.gz CGBSDWILEBULOA-HNNXBMFYSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](c3cccs3)C2)ccc1F ZINC000092594023 1118858105 /nfs/dbraw/zinc/85/81/05/1118858105.db2.gz CGBSDWILEBULOA-HNNXBMFYSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2nccn2C)c(C)c1 ZINC000449412951 1118875754 /nfs/dbraw/zinc/87/57/54/1118875754.db2.gz POVYMGQEMHSGPE-MRXNPFEDSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2nccn2C)c(C)c1 ZINC000449412951 1118875759 /nfs/dbraw/zinc/87/57/59/1118875759.db2.gz POVYMGQEMHSGPE-MRXNPFEDSA-N 1 2 283.419 3.620 20 0 CHADLO C[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1cnc(C2CCCCC2)s1 ZINC000535783952 1118886560 /nfs/dbraw/zinc/88/65/60/1118886560.db2.gz XQXUKTYBSWAKEU-OLZOCXBDSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@@H]1CO[C@@H](C)C[N@H+]1Cc1cnc(C2CCCCC2)s1 ZINC000535783952 1118886564 /nfs/dbraw/zinc/88/65/64/1118886564.db2.gz XQXUKTYBSWAKEU-OLZOCXBDSA-N 1 2 294.464 3.800 20 0 CHADLO FC(F)(F)C[C@@H]([NH2+]Cc1nccs1)c1ccccc1 ZINC000041012880 1118929982 /nfs/dbraw/zinc/92/99/82/1118929982.db2.gz QQKHALMNZSMTHY-LLVKDONJSA-N 1 2 286.322 3.926 20 0 CHADLO C[N@@H+](Cc1csc(C(F)(F)F)c1)Cc1ccco1 ZINC000628120633 1128813854 /nfs/dbraw/zinc/81/38/54/1128813854.db2.gz XGKBFJQGYOLTOP-UHFFFAOYSA-N 1 2 275.295 3.992 20 0 CHADLO C[N@H+](Cc1csc(C(F)(F)F)c1)Cc1ccco1 ZINC000628120633 1128813859 /nfs/dbraw/zinc/81/38/59/1128813859.db2.gz XGKBFJQGYOLTOP-UHFFFAOYSA-N 1 2 275.295 3.992 20 0 CHADLO Cc1nc(CNc2ccc([NH+]3CCCC3)cc2C)[nH]c1C ZINC000657317637 1118996230 /nfs/dbraw/zinc/99/62/30/1118996230.db2.gz DMFXILCENIHPNB-UHFFFAOYSA-N 1 2 284.407 3.547 20 0 CHADLO C/C(=C/C(C)(C)C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000588499353 1119004608 /nfs/dbraw/zinc/00/46/08/1119004608.db2.gz AQZXESRNUPHLHV-QBFSEMIESA-N 1 2 283.375 3.803 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@H](C)c2cc(Cl)ccc2Cl)n1 ZINC000657335592 1119008482 /nfs/dbraw/zinc/00/84/82/1119008482.db2.gz HZHOXGYZBXBBDD-SECBINFHSA-N 1 2 284.190 3.876 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)n1 ZINC000628129811 1128815625 /nfs/dbraw/zinc/81/56/25/1128815625.db2.gz VTYAENGAUAZIRY-GFCCVEGCSA-N 1 2 269.348 3.657 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)n1 ZINC000628129811 1128815629 /nfs/dbraw/zinc/81/56/29/1128815629.db2.gz VTYAENGAUAZIRY-GFCCVEGCSA-N 1 2 269.348 3.657 20 0 CHADLO CCc1cccc2c1OCC[C@@H]2[NH2+]Cc1nccn1C(C)C ZINC000657349691 1119018943 /nfs/dbraw/zinc/01/89/43/1119018943.db2.gz JZKMSJGODYXPJN-INIZCTEOSA-N 1 2 299.418 3.640 20 0 CHADLO CCc1cccc2c1OCC[C@H]2[NH2+]Cc1nccn1C(C)C ZINC000657349693 1119019179 /nfs/dbraw/zinc/01/91/79/1119019179.db2.gz JZKMSJGODYXPJN-MRXNPFEDSA-N 1 2 299.418 3.640 20 0 CHADLO CC[C@@H]1CCc2ccccc2N1CCCn1cc[nH+]c1 ZINC000342480041 1119048280 /nfs/dbraw/zinc/04/82/80/1119048280.db2.gz MEGCUPOMDBGDRV-MRXNPFEDSA-N 1 2 269.392 3.505 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(Cl)ccc3O)ccc21 ZINC001216401022 1119050385 /nfs/dbraw/zinc/05/03/85/1119050385.db2.gz KGKXSTAKZMWLCK-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)CC(=O)NC1CCCCCCC1 ZINC000069069229 1128821203 /nfs/dbraw/zinc/82/12/03/1128821203.db2.gz VZTXTLTYNRHVKU-CQSZACIVSA-N 1 2 292.423 3.502 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)CC(=O)NC1CCCCCCC1 ZINC000069069229 1128821209 /nfs/dbraw/zinc/82/12/09/1128821209.db2.gz VZTXTLTYNRHVKU-CQSZACIVSA-N 1 2 292.423 3.502 20 0 CHADLO CC(C)n1ccnc1C[NH2+]Cc1ccc(C2CC2)cc1F ZINC000657472661 1119117035 /nfs/dbraw/zinc/11/70/35/1119117035.db2.gz QFSCGWJNYNVETN-UHFFFAOYSA-N 1 2 287.382 3.770 20 0 CHADLO CC(C)n1ccnc1C[NH2+]Cc1cc(C2CC2)ccc1F ZINC000657476601 1119118936 /nfs/dbraw/zinc/11/89/36/1119118936.db2.gz AQVHVSRQLZKZTE-UHFFFAOYSA-N 1 2 287.382 3.770 20 0 CHADLO COCc1cnc(C[N@H+](Cc2cccs2)C(C)C)s1 ZINC000342677786 1119122904 /nfs/dbraw/zinc/12/29/04/1119122904.db2.gz FJCYAKWPVWSNDV-UHFFFAOYSA-N 1 2 296.461 3.762 20 0 CHADLO COCc1cnc(C[N@@H+](Cc2cccs2)C(C)C)s1 ZINC000342677786 1119122905 /nfs/dbraw/zinc/12/29/05/1119122905.db2.gz FJCYAKWPVWSNDV-UHFFFAOYSA-N 1 2 296.461 3.762 20 0 CHADLO COCc1cnc(C[N@H+](C)[C@H](C)c2cccs2)s1 ZINC000342683226 1119124192 /nfs/dbraw/zinc/12/41/92/1119124192.db2.gz UDFQZLIFKLLTNO-SNVBAGLBSA-N 1 2 282.434 3.544 20 0 CHADLO COCc1cnc(C[N@@H+](C)[C@H](C)c2cccs2)s1 ZINC000342683226 1119124194 /nfs/dbraw/zinc/12/41/94/1119124194.db2.gz UDFQZLIFKLLTNO-SNVBAGLBSA-N 1 2 282.434 3.544 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@@H](c3ccccc3)[C@@H](CC)C2)no1 ZINC000472235345 1119134024 /nfs/dbraw/zinc/13/40/24/1119134024.db2.gz YZEGNXHTQPACRN-GOEBONIOSA-N 1 2 299.418 3.648 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@@H](c3ccccc3)[C@@H](CC)C2)no1 ZINC000472235345 1119134026 /nfs/dbraw/zinc/13/40/26/1119134026.db2.gz YZEGNXHTQPACRN-GOEBONIOSA-N 1 2 299.418 3.648 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(C(C)=O)c2F)c[nH+]1 ZINC001216499028 1119138535 /nfs/dbraw/zinc/13/85/35/1119138535.db2.gz CDNBHMBFFCZHAF-UHFFFAOYSA-N 1 2 287.338 3.623 20 0 CHADLO Cc1cc(C)c(NC(=O)COc2c(C)cccc2C)c(C)[nH+]1 ZINC000097213767 1119139904 /nfs/dbraw/zinc/13/99/04/1119139904.db2.gz BUQJDFVEIHWMIS-UHFFFAOYSA-N 1 2 298.386 3.641 20 0 CHADLO Cc1c(CNc2cc[nH+]c3c(Cl)cccc23)cnn1C ZINC000342724512 1119143605 /nfs/dbraw/zinc/14/36/05/1119143605.db2.gz KPQSDBQCVIKWCM-UHFFFAOYSA-N 1 2 286.766 3.542 20 0 CHADLO CN(C)c1cc[nH+]c(NC(=O)c2cccc(C3CCC3)c2)c1 ZINC000684980644 1119154146 /nfs/dbraw/zinc/15/41/46/1119154146.db2.gz OEDZKGPXLKPXKG-UHFFFAOYSA-N 1 2 295.386 3.667 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(Cl)cc2N)[nH+]1 ZINC001213644339 1119157962 /nfs/dbraw/zinc/15/79/62/1119157962.db2.gz RGTUNNOLJZBXCV-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO Cc1c[nH+]c(CN(C)Cc2c(Cl)cccc2Cl)n1C ZINC000342773366 1119160951 /nfs/dbraw/zinc/16/09/51/1119160951.db2.gz CFSSEZMIDSOZTN-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO CC(C)c1nc(C[N@H+]2C[C@@H](C)S[C@@H](C)C2)cs1 ZINC000075895300 1119180420 /nfs/dbraw/zinc/18/04/20/1119180420.db2.gz GURJHKSFYSCBAC-PHIMTYICSA-N 1 2 270.467 3.592 20 0 CHADLO CC(C)c1nc(C[N@@H+]2C[C@@H](C)S[C@@H](C)C2)cs1 ZINC000075895300 1119180422 /nfs/dbraw/zinc/18/04/22/1119180422.db2.gz GURJHKSFYSCBAC-PHIMTYICSA-N 1 2 270.467 3.592 20 0 CHADLO CC(C)CN(CC(C)C)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000076713815 1119189073 /nfs/dbraw/zinc/18/90/73/1119189073.db2.gz KCHRRASNDNZURN-UHFFFAOYSA-N 1 2 299.418 3.627 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)[C@@H](C)c1nc2ccccc2o1 ZINC000180966799 1128828015 /nfs/dbraw/zinc/82/80/15/1128828015.db2.gz IZEIMWRMZPGRNM-NSHDSACASA-N 1 2 285.347 3.626 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)[C@@H](C)c1nc2ccccc2o1 ZINC000180966799 1128828017 /nfs/dbraw/zinc/82/80/17/1128828017.db2.gz IZEIMWRMZPGRNM-NSHDSACASA-N 1 2 285.347 3.626 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cccc(C(F)(F)F)c2)co1 ZINC000660173886 1128828143 /nfs/dbraw/zinc/82/81/43/1128828143.db2.gz CLSIPUZCYCAIDF-SECBINFHSA-N 1 2 284.281 3.853 20 0 CHADLO Cc1nn(C)cc1CNc1cc[nH+]c2c(Cl)cccc12 ZINC000342838696 1119202378 /nfs/dbraw/zinc/20/23/78/1119202378.db2.gz INTFVMAFKMXIEF-UHFFFAOYSA-N 1 2 286.766 3.542 20 0 CHADLO C[C@@H]1CCCN1c1cc(N2CCC[C@H]2C)c2ccncc2[nH+]1 ZINC000342848459 1119207387 /nfs/dbraw/zinc/20/73/87/1119207387.db2.gz LPVYCWLAFKOHQI-ZIAGYGMSSA-N 1 2 296.418 3.607 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C)n1)c1ccc(F)cc1F ZINC000078294731 1119207803 /nfs/dbraw/zinc/20/78/03/1119207803.db2.gz YXRDVPSDUOIDOO-ZDUSSCGKSA-N 1 2 263.291 3.626 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1cscn1)c1ccccc1F ZINC000657616293 1119208450 /nfs/dbraw/zinc/20/84/50/1119208450.db2.gz VUZBKSYGOFPUJN-CQSZACIVSA-N 1 2 264.369 3.769 20 0 CHADLO Cc1scc(C[NH2+]Cc2nnc(C(C)(C)C)s2)c1C ZINC000631089131 1119225033 /nfs/dbraw/zinc/22/50/33/1119225033.db2.gz UTMTWALNEACEFG-UHFFFAOYSA-N 1 2 295.477 3.804 20 0 CHADLO Cc1ccc([C@@H]2OCCC[C@H]2CNc2cccc[nH+]2)cc1 ZINC000078678107 1119246346 /nfs/dbraw/zinc/24/63/46/1119246346.db2.gz HIZRAXMEXPUBMS-WMZOPIPTSA-N 1 2 282.387 3.970 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](F)C[C@H]2C)cc(C(F)(F)F)c1 ZINC001143448586 1119272490 /nfs/dbraw/zinc/27/24/90/1119272490.db2.gz PTMFIKSGBNVQTB-ZWNOBZJWSA-N 1 2 275.289 3.946 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](F)C[C@H]2C)cc(C(F)(F)F)c1 ZINC001143448586 1119272495 /nfs/dbraw/zinc/27/24/95/1119272495.db2.gz PTMFIKSGBNVQTB-ZWNOBZJWSA-N 1 2 275.289 3.946 20 0 CHADLO CCC[N@H+](Cc1nc(C)c(C)[nH]1)[C@H]1CCCc2cccnc21 ZINC000628240605 1128834221 /nfs/dbraw/zinc/83/42/21/1128834221.db2.gz LVTPUTVOBPKAHA-INIZCTEOSA-N 1 2 298.434 3.711 20 0 CHADLO CCC[N@@H+](Cc1nc(C)c(C)[nH]1)[C@H]1CCCc2cccnc21 ZINC000628240605 1128834224 /nfs/dbraw/zinc/83/42/24/1128834224.db2.gz LVTPUTVOBPKAHA-INIZCTEOSA-N 1 2 298.434 3.711 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C)s1)c1cc(F)ccc1F ZINC000122898955 1119288768 /nfs/dbraw/zinc/28/87/68/1119288768.db2.gz PSMFMZOXVBRZLT-ZDUSSCGKSA-N 1 2 282.359 3.971 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccon1)c1cc(C)ccc1OC ZINC000349838085 1119301227 /nfs/dbraw/zinc/30/12/27/1119301227.db2.gz WYYUMIOSZXXYLM-GXTWGEPZSA-N 1 2 274.364 3.794 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)c1ccccn1)c1cncs1 ZINC000154615197 1119314915 /nfs/dbraw/zinc/31/49/15/1119314915.db2.gz JSSFWJCXRUFRNX-GUYCJALGSA-N 1 2 295.411 3.978 20 0 CHADLO Cc1noc([C@@H](C)[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)n1 ZINC000092427394 1119338013 /nfs/dbraw/zinc/33/80/13/1119338013.db2.gz ZIUONJDTXOWMAU-CQSZACIVSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)n1 ZINC000092427394 1119338015 /nfs/dbraw/zinc/33/80/15/1119338015.db2.gz ZIUONJDTXOWMAU-CQSZACIVSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N2CCCCC2)ccc1CO ZINC001211578557 1119350895 /nfs/dbraw/zinc/35/08/95/1119350895.db2.gz JADNCPVNKUPGFX-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(F)cc2N(C)C)cs1 ZINC000126610719 1119352386 /nfs/dbraw/zinc/35/23/86/1119352386.db2.gz NGTXVGPHAMHHOT-SNVBAGLBSA-N 1 2 293.411 3.507 20 0 CHADLO CCc1ccc(C2=CC[N@@H+]([C@H](C)c3nc(C)no3)CC2)cc1 ZINC000093263788 1119358459 /nfs/dbraw/zinc/35/84/59/1119358459.db2.gz VGHIJKQEHSOFDK-CYBMUJFWSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1ccc(C2=CC[N@H+]([C@H](C)c3nc(C)no3)CC2)cc1 ZINC000093263788 1119358462 /nfs/dbraw/zinc/35/84/62/1119358462.db2.gz VGHIJKQEHSOFDK-CYBMUJFWSA-N 1 2 297.402 3.791 20 0 CHADLO COc1cc(C)[nH+]c(CN(c2ccccc2)C(C)C)c1 ZINC000536041977 1119363483 /nfs/dbraw/zinc/36/34/83/1119363483.db2.gz NJHZZKFGFLSNAP-UHFFFAOYSA-N 1 2 270.376 3.814 20 0 CHADLO Cn1cnc2ccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cc21 ZINC001213088721 1119367880 /nfs/dbraw/zinc/36/78/80/1119367880.db2.gz ADRALRAFBYJQBY-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO Cc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)ccc1O ZINC001213088745 1119369424 /nfs/dbraw/zinc/36/94/24/1119369424.db2.gz BDZHXEQYAOIVJQ-UHFFFAOYSA-N 1 2 265.316 3.834 20 0 CHADLO Cc1cc(C[NH+]2CC3(C2)CCCC3)ncc1Br ZINC000628281263 1128841266 /nfs/dbraw/zinc/84/12/66/1128841266.db2.gz KMXBEMCUPRTBIN-UHFFFAOYSA-N 1 2 295.224 3.529 20 0 CHADLO CC1CCC(Nc2ccc(N3CCCC3)c[nH+]2)CC1 ZINC000343352604 1119394612 /nfs/dbraw/zinc/39/46/12/1119394612.db2.gz HTQKYJOSHRBFDX-UHFFFAOYSA-N 1 2 259.397 3.672 20 0 CHADLO CC(C)C[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccncc1 ZINC000647304021 1119396125 /nfs/dbraw/zinc/39/61/25/1119396125.db2.gz SXSQUJHZYKMTEA-ZIAGYGMSSA-N 1 2 268.351 3.946 20 0 CHADLO Cc1[nH]c(CN(C)Cc2ccc(Cl)cc2Cl)[nH+]c1C ZINC000628119718 1119400051 /nfs/dbraw/zinc/40/00/51/1119400051.db2.gz HXSXOMOEPPEGPM-UHFFFAOYSA-N 1 2 298.217 3.965 20 0 CHADLO Cc1ccc(-c2ccc(C[NH2+][C@H](C)c3nccn3C)o2)cc1 ZINC000657794900 1119414854 /nfs/dbraw/zinc/41/48/54/1119414854.db2.gz YQPQZMXOHHIWFO-CQSZACIVSA-N 1 2 295.386 3.839 20 0 CHADLO COc1ccnc2c(NCc3c[nH+]cn3C(C)C)cccc12 ZINC000583806808 1119417098 /nfs/dbraw/zinc/41/70/98/1119417098.db2.gz JCJJSWRPGHHKRU-UHFFFAOYSA-N 1 2 296.374 3.633 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](CO)c2cccc(Cl)c2F)o1 ZINC000343506441 1119436985 /nfs/dbraw/zinc/43/69/85/1119436985.db2.gz NWXHVAMWISKQRX-ZWNOBZJWSA-N 1 2 297.757 3.765 20 0 CHADLO CN1CCc2ccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cc21 ZINC001213091756 1119443584 /nfs/dbraw/zinc/44/35/84/1119443584.db2.gz JUFPAINULNDSPE-UHFFFAOYSA-N 1 2 290.370 3.813 20 0 CHADLO Cn1cnc2c1cccc2Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213091706 1119444682 /nfs/dbraw/zinc/44/46/82/1119444682.db2.gz GYXVFLYIKBEZTQ-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO C[C@@H]1C[NH+](Cc2cc(F)c(F)c(F)c2)C[C@@H](C)C1(F)F ZINC000628390280 1128852600 /nfs/dbraw/zinc/85/26/00/1128852600.db2.gz GADRONDYARYEMH-RKDXNWHRSA-N 1 2 293.279 3.827 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)on1 ZINC000584091657 1119475255 /nfs/dbraw/zinc/47/52/55/1119475255.db2.gz FDKCVMOTBYIKNP-OAHLLOKOSA-N 1 2 288.347 3.588 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCCCC[C@@H]2c2ccco2)on1 ZINC000584091657 1119475258 /nfs/dbraw/zinc/47/52/58/1119475258.db2.gz FDKCVMOTBYIKNP-OAHLLOKOSA-N 1 2 288.347 3.588 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1sccc1OC ZINC000158887111 1119475292 /nfs/dbraw/zinc/47/52/92/1119475292.db2.gz IVAOTKRBLWHPPW-UHFFFAOYSA-N 1 2 287.388 3.738 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)Cc2ncc(Cl)n2C)cc1 ZINC000052939142 1119495032 /nfs/dbraw/zinc/49/50/32/1119495032.db2.gz PLZMRSVKSJCMGW-UHFFFAOYSA-N 1 2 291.826 3.829 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)Cc2ncc(Cl)n2C)cc1 ZINC000052939142 1119495035 /nfs/dbraw/zinc/49/50/35/1119495035.db2.gz PLZMRSVKSJCMGW-UHFFFAOYSA-N 1 2 291.826 3.829 20 0 CHADLO CCn1c(C[N@H+](C)[C@@H](C)c2ccncc2)nc2ccccc21 ZINC000080967162 1119507193 /nfs/dbraw/zinc/50/71/93/1119507193.db2.gz JGBWYTNFLBVNLJ-AWEZNQCLSA-N 1 2 294.402 3.644 20 0 CHADLO CCn1c(C[N@@H+](C)[C@@H](C)c2ccncc2)nc2ccccc21 ZINC000080967162 1119507198 /nfs/dbraw/zinc/50/71/98/1119507198.db2.gz JGBWYTNFLBVNLJ-AWEZNQCLSA-N 1 2 294.402 3.644 20 0 CHADLO CCn1c2ccccc2nc1C[N@H+](C)Cc1ccc(F)cc1 ZINC000080967226 1119507420 /nfs/dbraw/zinc/50/74/20/1119507420.db2.gz GQJLTZNZNLLFIZ-UHFFFAOYSA-N 1 2 297.377 3.827 20 0 CHADLO CCn1c2ccccc2nc1C[N@@H+](C)Cc1ccc(F)cc1 ZINC000080967226 1119507421 /nfs/dbraw/zinc/50/74/21/1119507421.db2.gz GQJLTZNZNLLFIZ-UHFFFAOYSA-N 1 2 297.377 3.827 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C=C3CCC3)c2)[nH+]c1C ZINC000087173369 1119535420 /nfs/dbraw/zinc/53/54/20/1119535420.db2.gz RKXLZEVITLSASY-UHFFFAOYSA-N 1 2 281.359 3.742 20 0 CHADLO C[C@H]1C[NH+](Cc2cc(F)c(F)c(F)c2)C[C@H](C)C1(F)F ZINC000628390279 1128853022 /nfs/dbraw/zinc/85/30/22/1128853022.db2.gz GADRONDYARYEMH-IUCAKERBSA-N 1 2 293.279 3.827 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(C)sc2C)o1 ZINC000090888587 1119546482 /nfs/dbraw/zinc/54/64/82/1119546482.db2.gz FJQZZIRFANECTR-VIFPVBQESA-N 1 2 250.367 3.512 20 0 CHADLO Clc1ccc(C[N@@H+](Cc2ccco2)C[C@@H]2CCCO2)o1 ZINC000092436104 1119561851 /nfs/dbraw/zinc/56/18/51/1119561851.db2.gz ODWIKOKZVMHCHC-ZDUSSCGKSA-N 1 2 295.766 3.707 20 0 CHADLO Clc1ccc(C[N@H+](Cc2ccco2)C[C@@H]2CCCO2)o1 ZINC000092436104 1119561853 /nfs/dbraw/zinc/56/18/53/1119561853.db2.gz ODWIKOKZVMHCHC-ZDUSSCGKSA-N 1 2 295.766 3.707 20 0 CHADLO CC(C)OCCC[N@@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000170900649 1119562778 /nfs/dbraw/zinc/56/27/78/1119562778.db2.gz UMORVIJVLVETHJ-INIZCTEOSA-N 1 2 297.826 3.528 20 0 CHADLO CC(C)OCCC[N@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000170900649 1119562779 /nfs/dbraw/zinc/56/27/79/1119562779.db2.gz UMORVIJVLVETHJ-INIZCTEOSA-N 1 2 297.826 3.528 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](c3cccs3)C2)cc1F ZINC000092594875 1119565831 /nfs/dbraw/zinc/56/58/31/1119565831.db2.gz UJPROSAUBZUFSV-OAHLLOKOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](c3cccs3)C2)cc1F ZINC000092594875 1119565835 /nfs/dbraw/zinc/56/58/35/1119565835.db2.gz UJPROSAUBZUFSV-OAHLLOKOSA-N 1 2 291.391 3.769 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@@H](C)c1ccc(F)cn1 ZINC000092753167 1119566374 /nfs/dbraw/zinc/56/63/74/1119566374.db2.gz KYCFPINBCWFKJM-WDEREUQCSA-N 1 2 292.329 3.780 20 0 CHADLO Fc1cccc(CSCCn2cc[nH+]c2)c1Cl ZINC000092959452 1119568023 /nfs/dbraw/zinc/56/80/23/1119568023.db2.gz TYAVAAUZYDKINV-UHFFFAOYSA-N 1 2 270.760 3.609 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccccc1)[C@H](C)c1ccccc1 ZINC000197163563 1119586436 /nfs/dbraw/zinc/58/64/36/1119586436.db2.gz OXRTVEAXTJGLET-MRXNPFEDSA-N 1 2 297.398 3.813 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccccc1)[C@H](C)c1ccccc1 ZINC000197163563 1119586439 /nfs/dbraw/zinc/58/64/39/1119586439.db2.gz OXRTVEAXTJGLET-MRXNPFEDSA-N 1 2 297.398 3.813 20 0 CHADLO CC(C)([NH2+]Cc1coc(C2CC2)n1)c1ccc(F)cc1 ZINC000728593077 1119588618 /nfs/dbraw/zinc/58/86/18/1119588618.db2.gz LRHGLVYEEBMPMO-UHFFFAOYSA-N 1 2 274.339 3.716 20 0 CHADLO Clc1sc(C[N@@H+]2C[C@@H]3C[C@@H]3C2)cc1Br ZINC000628410004 1128856963 /nfs/dbraw/zinc/85/69/63/1128856963.db2.gz DKNYKKRCGKFLDX-KNVOCYPGSA-N 1 2 292.629 3.616 20 0 CHADLO Clc1sc(C[N@H+]2C[C@@H]3C[C@@H]3C2)cc1Br ZINC000628410004 1128856964 /nfs/dbraw/zinc/85/69/64/1128856964.db2.gz DKNYKKRCGKFLDX-KNVOCYPGSA-N 1 2 292.629 3.616 20 0 CHADLO CC(C)[C@H]1CCC[C@@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000685447573 1119610030 /nfs/dbraw/zinc/61/00/30/1119610030.db2.gz IOBPXHQQMVRBSW-CVEARBPZSA-N 1 2 284.407 3.563 20 0 CHADLO CC[N@H+](Cc1nc2c(s1)CCC2)[C@@H](C)c1cccnc1 ZINC000685469201 1119612069 /nfs/dbraw/zinc/61/20/69/1119612069.db2.gz UVXKYZNTEQALBY-LBPRGKRZSA-N 1 2 287.432 3.610 20 0 CHADLO CC[N@@H+](Cc1nc2c(s1)CCC2)[C@@H](C)c1cccnc1 ZINC000685469201 1119612070 /nfs/dbraw/zinc/61/20/70/1119612070.db2.gz UVXKYZNTEQALBY-LBPRGKRZSA-N 1 2 287.432 3.610 20 0 CHADLO Cc1cc(C[NH2+]C2(C(F)F)CCCC2)cc(C)c1O ZINC000685477056 1119614107 /nfs/dbraw/zinc/61/41/07/1119614107.db2.gz XJEIUSZIZDRBPR-UHFFFAOYSA-N 1 2 269.335 3.677 20 0 CHADLO c1cc2c(o1)CCC[N@@H+](Cc1nc3c(s1)CCCC3)C2 ZINC000685477581 1119614255 /nfs/dbraw/zinc/61/42/55/1119614255.db2.gz SUIQDHXFDQVDFD-UHFFFAOYSA-N 1 2 288.416 3.563 20 0 CHADLO c1cc2c(o1)CCC[N@H+](Cc1nc3c(s1)CCCC3)C2 ZINC000685477581 1119614257 /nfs/dbraw/zinc/61/42/57/1119614257.db2.gz SUIQDHXFDQVDFD-UHFFFAOYSA-N 1 2 288.416 3.563 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1ccccc1Cl ZINC000294230478 1119622246 /nfs/dbraw/zinc/62/22/46/1119622246.db2.gz VLLUPFXTVHJVDI-UHIISALHSA-N 1 2 275.783 3.893 20 0 CHADLO COc1ccc2ccc(NCCc3c(C)noc3C)[nH+]c2c1 ZINC000892400981 1119624337 /nfs/dbraw/zinc/62/43/37/1119624337.db2.gz CHKCBZQILBSZOY-UHFFFAOYSA-N 1 2 297.358 3.503 20 0 CHADLO Cc1nc(C[NH2+][C@H]2COc3c2ccc(C)c3C)sc1C ZINC000892401507 1119625245 /nfs/dbraw/zinc/62/52/45/1119625245.db2.gz FGNGYQJWZOMRGW-AWEZNQCLSA-N 1 2 288.416 3.600 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@@H]2CCCn3nccc32)cs1 ZINC000657919472 1119641202 /nfs/dbraw/zinc/64/12/02/1119641202.db2.gz MOIBXHWBBVLVBN-NWDGAFQWSA-N 1 2 290.436 3.649 20 0 CHADLO c1cc(N[C@H]2CCCSCC2)[nH+]cc1N1CCCCC1 ZINC000657943699 1119649365 /nfs/dbraw/zinc/64/93/65/1119649365.db2.gz UTXQYKAJBDBKDD-AWEZNQCLSA-N 1 2 291.464 3.770 20 0 CHADLO CCc1cccc2cc(C[N@@H+]3CCO[C@@H](CCF)C3)oc21 ZINC000628459057 1128862798 /nfs/dbraw/zinc/86/27/98/1128862798.db2.gz KMABTYMNJLAVGN-HNNXBMFYSA-N 1 2 291.366 3.556 20 0 CHADLO CCc1cccc2cc(C[N@H+]3CCO[C@@H](CCF)C3)oc21 ZINC000628459057 1128862803 /nfs/dbraw/zinc/86/28/03/1128862803.db2.gz KMABTYMNJLAVGN-HNNXBMFYSA-N 1 2 291.366 3.556 20 0 CHADLO CCn1nc(C)c([C@H](C)[NH2+][C@@H](C)c2ccccc2Cl)n1 ZINC000924527365 1119710814 /nfs/dbraw/zinc/71/08/14/1119710814.db2.gz XWDAKAGSVXUWER-QWRGUYRKSA-N 1 2 292.814 3.672 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2ccc(F)c(Cl)c21)c1nccn1C ZINC000658339851 1119728087 /nfs/dbraw/zinc/72/80/87/1119728087.db2.gz WGERJNKQNFYXAE-SKDRFNHKSA-N 1 2 293.773 3.551 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000102182152 1119746119 /nfs/dbraw/zinc/74/61/19/1119746119.db2.gz YXBPBZRXJGMTPR-OAHLLOKOSA-N 1 2 289.810 3.894 20 0 CHADLO CCn1ccnc1C[N@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000102182152 1119746124 /nfs/dbraw/zinc/74/61/24/1119746124.db2.gz YXBPBZRXJGMTPR-OAHLLOKOSA-N 1 2 289.810 3.894 20 0 CHADLO CCn1ccnc1C[NH2+][C@@H](C)c1cc2ccccc2o1 ZINC000051922562 1119763686 /nfs/dbraw/zinc/76/36/86/1119763686.db2.gz USRKYKRWBIMKHD-LBPRGKRZSA-N 1 2 269.348 3.500 20 0 CHADLO Cc1ncc(C[N@H+]2CC(C)(C)[C@@H]2c2cccs2)o1 ZINC000628282165 1119790590 /nfs/dbraw/zinc/79/05/90/1119790590.db2.gz RVVJEDQBZNATHU-ZDUSSCGKSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1ncc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)o1 ZINC000628282165 1119790587 /nfs/dbraw/zinc/79/05/87/1119790587.db2.gz RVVJEDQBZNATHU-ZDUSSCGKSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc(C(F)F)c(F)c2)cs1 ZINC000892512932 1119824455 /nfs/dbraw/zinc/82/44/55/1119824455.db2.gz VGQHCFJYOFONFV-UHFFFAOYSA-N 1 2 286.322 3.818 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1cccc(Br)c1 ZINC000309573816 1119829068 /nfs/dbraw/zinc/82/90/68/1119829068.db2.gz NWZUNXMFHCONQY-NSHDSACASA-N 1 2 290.151 3.727 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@@H]1CCCc2oc(C)nc21 ZINC000924559467 1119834049 /nfs/dbraw/zinc/83/40/49/1119834049.db2.gz RENBSLZLFWDCEH-BXUZGUMPSA-N 1 2 286.375 3.720 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3ccc(C(C)C)cc3C2)o1 ZINC000661373460 1119840850 /nfs/dbraw/zinc/84/08/50/1119840850.db2.gz JWVNVISZYLPRBJ-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3ccc(C(C)C)cc3C2)o1 ZINC000661373460 1119840855 /nfs/dbraw/zinc/84/08/55/1119840855.db2.gz JWVNVISZYLPRBJ-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CC3(CCC3)[C@H]2C2CC2)cc1F ZINC000685850169 1119872065 /nfs/dbraw/zinc/87/20/65/1119872065.db2.gz GPGVURUIRXXGEH-OAHLLOKOSA-N 1 2 281.321 3.868 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CC3(CCC3)[C@H]2C2CC2)cc1F ZINC000685850169 1119872067 /nfs/dbraw/zinc/87/20/67/1119872067.db2.gz GPGVURUIRXXGEH-OAHLLOKOSA-N 1 2 281.321 3.868 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](C)c2ccccc2C(F)(F)F)n1 ZINC000066391017 1119879289 /nfs/dbraw/zinc/87/92/89/1119879289.db2.gz MMZYCJQIXWOHAR-RKDXNWHRSA-N 1 2 299.296 3.809 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@H]1CC2CCC1CC2 ZINC000431800133 1119904362 /nfs/dbraw/zinc/90/43/62/1119904362.db2.gz KNEDGUAHCNBQMM-KVULBXGLSA-N 1 2 295.386 3.637 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C2CC2)ccc1F)c1cc2n(n1)CCC2 ZINC000414118937 1119905719 /nfs/dbraw/zinc/90/57/19/1119905719.db2.gz CCEOAVURLOERTL-LBPRGKRZSA-N 1 2 299.393 3.697 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000414154784 1119919897 /nfs/dbraw/zinc/91/98/97/1119919897.db2.gz LMBVKAGAYGSZET-GUTXKFCHSA-N 1 2 285.391 3.557 20 0 CHADLO c1ccc(Oc2cc(CNc3cccc[nH+]3)ccn2)cc1 ZINC000613496387 1119942901 /nfs/dbraw/zinc/94/29/01/1119942901.db2.gz DHWSKOVMUFCOOW-UHFFFAOYSA-N 1 2 277.327 3.881 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(-c3ccccc3)no2)c1 ZINC000613505625 1119947318 /nfs/dbraw/zinc/94/73/18/1119947318.db2.gz JBOVAACQSXWIFY-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(-c3ccccc3)no2)c1 ZINC000613505625 1119947322 /nfs/dbraw/zinc/94/73/22/1119947322.db2.gz JBOVAACQSXWIFY-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO COc1ccc(C[N@@H+]2CCCC[C@@H](F)C2)c(Cl)c1 ZINC001140820512 1119964778 /nfs/dbraw/zinc/96/47/78/1119964778.db2.gz WIJOXXRAHKVXCD-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(C[N@H+]2CCCC[C@@H](F)C2)c(Cl)c1 ZINC001140820512 1119964781 /nfs/dbraw/zinc/96/47/81/1119964781.db2.gz WIJOXXRAHKVXCD-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc2c(s1)CCC2)c1csnn1 ZINC000414290626 1119969685 /nfs/dbraw/zinc/96/96/85/1119969685.db2.gz AFGIGDNCZFUDEJ-RKDXNWHRSA-N 1 2 279.434 3.500 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@@H+]2Cc2ccccc2C)no1 ZINC000613527921 1119974426 /nfs/dbraw/zinc/97/44/26/1119974426.db2.gz CBRAHMTWYXXQEP-HNNXBMFYSA-N 1 2 285.391 3.668 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@H+]2Cc2ccccc2C)no1 ZINC000613527921 1119974429 /nfs/dbraw/zinc/97/44/29/1119974429.db2.gz CBRAHMTWYXXQEP-HNNXBMFYSA-N 1 2 285.391 3.668 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[NH2+][C@@H](C)c1csnn1 ZINC000414312383 1119978685 /nfs/dbraw/zinc/97/86/85/1119978685.db2.gz ZWVXDPJBDMGDAF-DTWKUNHWSA-N 1 2 296.465 3.838 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccc(F)cc1 ZINC000414334572 1119987039 /nfs/dbraw/zinc/98/70/39/1119987039.db2.gz XKDJJFLVZCXZNK-CYBMUJFWSA-N 1 2 291.395 3.796 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+][C@@H]1C[C@H]1c1ccco1 ZINC000414352778 1119995227 /nfs/dbraw/zinc/99/52/27/1119995227.db2.gz UQSGRHVVDXCDAO-QLFBSQMISA-N 1 2 291.341 3.992 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CC[C@@H](C(F)F)C1 ZINC000685919130 1119998753 /nfs/dbraw/zinc/99/87/53/1119998753.db2.gz CUCUYCDWGNMUTA-LLVKDONJSA-N 1 2 292.329 3.643 20 0 CHADLO Cc1occc1C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000414366085 1120000540 /nfs/dbraw/zinc/00/05/40/1120000540.db2.gz AWYZMURXHNQZMN-UHFFFAOYSA-N 1 2 266.344 3.628 20 0 CHADLO Cc1occc1C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000414366085 1120000542 /nfs/dbraw/zinc/00/05/42/1120000542.db2.gz AWYZMURXHNQZMN-UHFFFAOYSA-N 1 2 266.344 3.628 20 0 CHADLO CCc1cc(N[C@H](CCCO)c2ccccc2)nc(CC)[nH+]1 ZINC000892653958 1120014037 /nfs/dbraw/zinc/01/40/37/1120014037.db2.gz GKQLKQLKPFIPPE-MRXNPFEDSA-N 1 2 299.418 3.527 20 0 CHADLO Cc1cc(NCc2cn3ccccc3n2)[nH+]c2ccccc12 ZINC000046229448 1120022610 /nfs/dbraw/zinc/02/26/10/1120022610.db2.gz QPPPUSATBQXWSZ-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO CC(C)O[C@H]1C[C@H](Nc2ccc([NH+](C)C)cc2)C1(C)C ZINC000765808486 1120043156 /nfs/dbraw/zinc/04/31/56/1120043156.db2.gz IDYMTPHDVPMMCK-HOTGVXAUSA-N 1 2 276.424 3.757 20 0 CHADLO CCC1CCC([N@H+](Cc2noc(C3CC3)n2)C2CC2)CC1 ZINC000053610776 1120043518 /nfs/dbraw/zinc/04/35/18/1120043518.db2.gz NFOMGCPMKDAFIM-UHFFFAOYSA-N 1 2 289.423 3.880 20 0 CHADLO CCC1CCC([N@@H+](Cc2noc(C3CC3)n2)C2CC2)CC1 ZINC000053610776 1120043522 /nfs/dbraw/zinc/04/35/22/1120043522.db2.gz NFOMGCPMKDAFIM-UHFFFAOYSA-N 1 2 289.423 3.880 20 0 CHADLO CC/C=C(\C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921665687 1120060519 /nfs/dbraw/zinc/06/05/19/1120060519.db2.gz QIJPZCJROJSKGG-IZZDOVSWSA-N 1 2 283.375 3.988 20 0 CHADLO CCC=C(C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921665687 1120060522 /nfs/dbraw/zinc/06/05/22/1120060522.db2.gz QIJPZCJROJSKGG-IZZDOVSWSA-N 1 2 283.375 3.988 20 0 CHADLO C/C(=C\C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1)C1CC1 ZINC000921666333 1120061479 /nfs/dbraw/zinc/06/14/79/1120061479.db2.gz ZMEKWHYCRHSCFC-ZHACJKMWSA-N 1 2 295.386 3.988 20 0 CHADLO CC(=CC(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1)C1CC1 ZINC000921666333 1120061484 /nfs/dbraw/zinc/06/14/84/1120061484.db2.gz ZMEKWHYCRHSCFC-ZHACJKMWSA-N 1 2 295.386 3.988 20 0 CHADLO CNc1ccc(Nc2cccc(F)c2N2CCCC2)c[nH+]1 ZINC001203462468 1120077445 /nfs/dbraw/zinc/07/74/45/1120077445.db2.gz SVQVKZZXXVFSEQ-UHFFFAOYSA-N 1 2 286.354 3.606 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC000178616519 1120079858 /nfs/dbraw/zinc/07/98/58/1120079858.db2.gz LWCQACYCHQYUHO-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC000178616519 1120079862 /nfs/dbraw/zinc/07/98/62/1120079862.db2.gz LWCQACYCHQYUHO-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000178710142 1120080804 /nfs/dbraw/zinc/08/08/04/1120080804.db2.gz SUKLDAQXRNTFTQ-DTWKUNHWSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000178710142 1120080810 /nfs/dbraw/zinc/08/08/10/1120080810.db2.gz SUKLDAQXRNTFTQ-DTWKUNHWSA-N 1 2 278.343 3.782 20 0 CHADLO CSCc1cc[nH+]c(NC[C@H]2CCOC(C)(C)C2)c1 ZINC001161430786 1120117341 /nfs/dbraw/zinc/11/73/41/1120117341.db2.gz ZVBSZOIDJAFXDO-ZDUSSCGKSA-N 1 2 280.437 3.562 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000182439241 1120119564 /nfs/dbraw/zinc/11/95/64/1120119564.db2.gz UEBXVXFELVHBKK-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccc(C)o2)c1Cl ZINC000659827281 1120121400 /nfs/dbraw/zinc/12/14/00/1120121400.db2.gz PEXSCAZMOULVDS-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccc(C)o2)c1Cl ZINC000659827281 1120121401 /nfs/dbraw/zinc/12/14/01/1120121401.db2.gz PEXSCAZMOULVDS-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@@H]1CC2CCC1CC2 ZINC000431836384 1120122906 /nfs/dbraw/zinc/12/29/06/1120122906.db2.gz QZEDXFCTSIRDQW-MQBCKMQZSA-N 1 2 295.386 3.637 20 0 CHADLO C[Si](C)(C)c1ccc[nH+]c1N[C@@H]1CCNc2ccccc21 ZINC001168640582 1120127269 /nfs/dbraw/zinc/12/72/69/1120127269.db2.gz KJFLDMQQSKRSRV-OAHLLOKOSA-N 1 2 297.478 3.596 20 0 CHADLO CC(=O)c1ccc2c(c1)CC[N@@H+](CC=C(Cl)Cl)CC2 ZINC000851867703 1120134199 /nfs/dbraw/zinc/13/41/99/1120134199.db2.gz JKNVVQWZOPPSDG-UHFFFAOYSA-N 1 2 298.213 3.609 20 0 CHADLO CC(=O)c1ccc2c(c1)CC[N@H+](CC=C(Cl)Cl)CC2 ZINC000851867703 1120134202 /nfs/dbraw/zinc/13/42/02/1120134202.db2.gz JKNVVQWZOPPSDG-UHFFFAOYSA-N 1 2 298.213 3.609 20 0 CHADLO Cc1cc(N2CCCC[C@@H]2c2ncc[nH]2)nc(C2CCC2)[nH+]1 ZINC000892826260 1120147874 /nfs/dbraw/zinc/14/78/74/1120147874.db2.gz FIMYFVLQSYDZDR-CQSZACIVSA-N 1 2 297.406 3.507 20 0 CHADLO CCc1cc(N[C@@H](C)c2ncc(C)s2)nc(CC)[nH+]1 ZINC000892841765 1120150177 /nfs/dbraw/zinc/15/01/77/1120150177.db2.gz DZBOUPJRKBKLKE-JTQLQIEISA-N 1 2 276.409 3.539 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)cc2)o1 ZINC000173475727 1120155285 /nfs/dbraw/zinc/15/52/85/1120155285.db2.gz LZAQNDRGTAHOHJ-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2ccc(Cl)cc2)o1 ZINC000173475727 1120155289 /nfs/dbraw/zinc/15/52/89/1120155289.db2.gz LZAQNDRGTAHOHJ-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1cc(C2CC2)no1 ZINC000659844701 1120169739 /nfs/dbraw/zinc/16/97/39/1120169739.db2.gz DRIJDLABFLGKBR-LLVKDONJSA-N 1 2 274.339 3.884 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1cc(C2CC2)no1 ZINC000659844701 1120169742 /nfs/dbraw/zinc/16/97/42/1120169742.db2.gz DRIJDLABFLGKBR-LLVKDONJSA-N 1 2 274.339 3.884 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1)[N@@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000661514523 1120171822 /nfs/dbraw/zinc/17/18/22/1120171822.db2.gz YOERYEQRBMTZRN-DYVFJYSZSA-N 1 2 298.361 3.600 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1)[N@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000661514523 1120171826 /nfs/dbraw/zinc/17/18/26/1120171826.db2.gz YOERYEQRBMTZRN-DYVFJYSZSA-N 1 2 298.361 3.600 20 0 CHADLO C[C@@H]1CCC[C@@H](C)[NH+]1CC(=O)Nc1ccc(F)cc1Cl ZINC000108863644 1120172374 /nfs/dbraw/zinc/17/23/74/1120172374.db2.gz NZALSBOMVTZDPQ-GHMZBOCLSA-N 1 2 298.789 3.681 20 0 CHADLO Fc1cnccc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccccc1 ZINC000449339450 1128896209 /nfs/dbraw/zinc/89/62/09/1128896209.db2.gz KLUSAMDAVSJFSY-QGZVFWFLSA-N 1 2 282.362 3.948 20 0 CHADLO Fc1cnccc1C[N@H+]1CC2(CCC2)[C@H]1c1ccccc1 ZINC000449339450 1128896214 /nfs/dbraw/zinc/89/62/14/1128896214.db2.gz KLUSAMDAVSJFSY-QGZVFWFLSA-N 1 2 282.362 3.948 20 0 CHADLO Cc1c2ccccc2oc1C[NH2+]Cc1nccn1C1CC1 ZINC000892957948 1120180572 /nfs/dbraw/zinc/18/05/72/1120180572.db2.gz WEQDURXTNVJREV-UHFFFAOYSA-N 1 2 281.359 3.562 20 0 CHADLO CCOc1ccc(C[NH2+][C@](C)(CC)c2nc(C)cs2)o1 ZINC000892966668 1120184181 /nfs/dbraw/zinc/18/41/81/1120184181.db2.gz XSWUDLREYAQNOO-OAHLLOKOSA-N 1 2 294.420 3.858 20 0 CHADLO COc1cc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)sn1 ZINC000659848048 1120185101 /nfs/dbraw/zinc/18/51/01/1120185101.db2.gz WEFRNJUCXVDPMK-JTQLQIEISA-N 1 2 296.823 3.998 20 0 CHADLO COc1cc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)sn1 ZINC000659848048 1120185104 /nfs/dbraw/zinc/18/51/04/1120185104.db2.gz WEFRNJUCXVDPMK-JTQLQIEISA-N 1 2 296.823 3.998 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@@H+]2CCCC2CCC2)no1 ZINC000659850539 1120189377 /nfs/dbraw/zinc/18/93/77/1120189377.db2.gz SHABCPLCGUBOBD-AWEZNQCLSA-N 1 2 277.412 3.739 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@H+]2CCCC2CCC2)no1 ZINC000659850539 1120189380 /nfs/dbraw/zinc/18/93/80/1120189380.db2.gz SHABCPLCGUBOBD-AWEZNQCLSA-N 1 2 277.412 3.739 20 0 CHADLO CCc1cc(N[C@@H](c2cccnc2)C2CC2)nc(CC)[nH+]1 ZINC000893014353 1120197159 /nfs/dbraw/zinc/19/71/59/1120197159.db2.gz VXJOKAPSDLRGLX-QGZVFWFLSA-N 1 2 282.391 3.560 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cnc2ccccc2c1 ZINC000271025345 1120226114 /nfs/dbraw/zinc/22/61/14/1120226114.db2.gz VXZIHKRFIKATRH-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cnc2ccccc2c1 ZINC000271025345 1120226116 /nfs/dbraw/zinc/22/61/16/1120226116.db2.gz VXZIHKRFIKATRH-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]C/C(Cl)=C\Cl ZINC000309053379 1120231294 /nfs/dbraw/zinc/23/12/94/1120231294.db2.gz KRGHKSZDZHLKBD-YIXGCBLDSA-N 1 2 260.164 3.665 20 0 CHADLO CC(C)c1cccc(C[NH2+][C@@H]2CCCc3[nH]ncc32)c1 ZINC000353668835 1128902137 /nfs/dbraw/zinc/90/21/37/1128902137.db2.gz BAOGYBMYWLXPAO-MRXNPFEDSA-N 1 2 269.392 3.700 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC001168690344 1120256251 /nfs/dbraw/zinc/25/62/51/1120256251.db2.gz ODAOWWPTTCNEQB-KBPBESRZSA-N 1 2 272.396 3.563 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1ccc([C@@H](C)C(=O)OC)c[nH+]1 ZINC001168689476 1120257249 /nfs/dbraw/zinc/25/72/49/1120257249.db2.gz HYZJJGORGCUQRH-YNEHKIRRSA-N 1 2 278.396 3.595 20 0 CHADLO CCc1nc(N2CCc3cc(F)ccc3[C@H]2C)cc(C)[nH+]1 ZINC000672251497 1120267269 /nfs/dbraw/zinc/26/72/69/1120267269.db2.gz IUIFXQADWGGKOD-GFCCVEGCSA-N 1 2 285.366 3.610 20 0 CHADLO CC(C)c1cc(N2CC[C@@H](C(F)F)C2)nc(C(C)C)[nH+]1 ZINC000672275372 1120273495 /nfs/dbraw/zinc/27/34/95/1120273495.db2.gz QJHOSHVNBIILOO-LLVKDONJSA-N 1 2 283.366 3.815 20 0 CHADLO Cc1cc(NC(=O)Cc2ccc(OCC(C)C)cc2)cc[nH+]1 ZINC000106902639 1120280200 /nfs/dbraw/zinc/28/02/00/1120280200.db2.gz BFLWUIVETHRWLJ-UHFFFAOYSA-N 1 2 298.386 3.606 20 0 CHADLO Cc1cc(C)c(NC(=O)CO[C@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC000112568975 1120286981 /nfs/dbraw/zinc/28/69/81/1120286981.db2.gz JKSLXZPYGPOOPS-ABAIWWIYSA-N 1 2 290.407 3.541 20 0 CHADLO c1cn2cc(-c3nccc4ccsc43)ccc2[nH+]1 ZINC001240213535 1120301129 /nfs/dbraw/zinc/30/11/29/1120301129.db2.gz DHFUPFOWFBEQLW-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1Cc1ccc(C)nc1C ZINC000339152741 1120304705 /nfs/dbraw/zinc/30/47/05/1120304705.db2.gz OIDFDPSIMSRCNI-QGZVFWFLSA-N 1 2 298.434 3.642 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1Cc1ccc(C)nc1C ZINC000339152741 1120304710 /nfs/dbraw/zinc/30/47/10/1120304710.db2.gz OIDFDPSIMSRCNI-QGZVFWFLSA-N 1 2 298.434 3.642 20 0 CHADLO c1csc(-c2nc(-c3ccccc3-n3cc[nH+]c3)no2)c1 ZINC001212464509 1120327628 /nfs/dbraw/zinc/32/76/28/1120327628.db2.gz UFQUJCPERYBSLL-UHFFFAOYSA-N 1 2 294.339 3.651 20 0 CHADLO CO[C@H](c1ccccc1)[C@@H](C)[NH2+][C@H]1CCCC1(F)F ZINC000672620403 1120334487 /nfs/dbraw/zinc/33/44/87/1120334487.db2.gz MIFRNPXMBLEPER-XBFCOCLRSA-N 1 2 269.335 3.540 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccccc1N(C)C ZINC000672620040 1120335349 /nfs/dbraw/zinc/33/53/49/1120335349.db2.gz IJJWGBUFQJCTCF-SMDDNHRTSA-N 1 2 268.351 3.591 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+][C@@H]1CCCOc2ccccc21 ZINC000672622259 1120336155 /nfs/dbraw/zinc/33/61/55/1120336155.db2.gz DWAOYABJXMXGPE-OCCSQVGLSA-N 1 2 267.319 3.678 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+][C@@H](c1cccnc1)C1CCC1 ZINC000672621679 1120336256 /nfs/dbraw/zinc/33/62/56/1120336256.db2.gz DLSSHYLGTQEPQV-UONOGXRCSA-N 1 2 266.335 3.700 20 0 CHADLO C[C@H](c1ccccc1)N1CC[C@@H]([NH2+][C@H]2CCCC2(F)F)C1 ZINC000672622237 1120336808 /nfs/dbraw/zinc/33/68/08/1120336808.db2.gz DJTASVCARFRAOI-BMFZPTHFSA-N 1 2 294.389 3.599 20 0 CHADLO COc1cccc2c1CCC[C@@H]2[NH2+][C@H]1CCCC1(F)F ZINC000672622885 1120337801 /nfs/dbraw/zinc/33/78/01/1120337801.db2.gz WXMZCGFWNPYSKO-ZFWWWQNUSA-N 1 2 281.346 3.850 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCC1(F)F)CCCO2 ZINC000672619451 1120338285 /nfs/dbraw/zinc/33/82/85/1120338285.db2.gz BJWGBPDJGQVUQV-DZGCQCFKSA-N 1 2 281.346 3.986 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)C(F)F)[C@@H](C)c1ccccc1 ZINC000183336218 1120340077 /nfs/dbraw/zinc/34/00/77/1120340077.db2.gz YUFHYPJGXASVEV-ZJUUUORDSA-N 1 2 263.278 3.669 20 0 CHADLO C[NH2+]c1ccc(NCc2cc(OC)cc3c2O[C@@H](C)C3)cc1 ZINC000629389391 1128910094 /nfs/dbraw/zinc/91/00/94/1128910094.db2.gz LSZBRTQGSAFRKI-LBPRGKRZSA-N 1 2 298.386 3.672 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@H]1CC2CCC1CC2 ZINC000431886732 1120350685 /nfs/dbraw/zinc/35/06/85/1120350685.db2.gz GDVCKBCCNLKSLY-NRXISQOPSA-N 1 2 295.386 3.637 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)Cc3ccccc3Cl)cc2[nH+]1 ZINC000010895626 1120378336 /nfs/dbraw/zinc/37/83/36/1120378336.db2.gz DSGWBFMUHLJFEM-UHFFFAOYSA-N 1 2 299.761 3.706 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+](C)CC(=O)OC(C)(C)C ZINC000312936557 1120379599 /nfs/dbraw/zinc/37/95/99/1120379599.db2.gz XKMOIZNDOARAQS-NSHDSACASA-N 1 2 283.799 3.675 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+](C)CC(=O)OC(C)(C)C ZINC000312936557 1120379601 /nfs/dbraw/zinc/37/96/01/1120379601.db2.gz XKMOIZNDOARAQS-NSHDSACASA-N 1 2 283.799 3.675 20 0 CHADLO Cc1ccccc1-c1cnc(C[NH2+][C@@H](C)c2cccnc2)o1 ZINC000543049519 1120387755 /nfs/dbraw/zinc/38/77/55/1120387755.db2.gz OJZGCFIUVPGIHX-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO FCC(CF)[NH2+]Cc1cccc(COc2ccccc2)c1 ZINC000922151587 1120389932 /nfs/dbraw/zinc/38/99/32/1120389932.db2.gz PNOLIBZODJDDBT-UHFFFAOYSA-N 1 2 291.341 3.663 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCC3(CC3(F)F)CC2)c1 ZINC000651423815 1120408217 /nfs/dbraw/zinc/40/82/17/1120408217.db2.gz OBGRFVHFAGNIBQ-UHFFFAOYSA-N 1 2 292.304 4.000 20 0 CHADLO CC(C)[N@@H+]1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000066851210 1120414728 /nfs/dbraw/zinc/41/47/28/1120414728.db2.gz GGHVPOYZZBZHFT-ZDUSSCGKSA-N 1 2 274.191 3.775 20 0 CHADLO CC(C)[N@H+]1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000066851210 1120414731 /nfs/dbraw/zinc/41/47/31/1120414731.db2.gz GGHVPOYZZBZHFT-ZDUSSCGKSA-N 1 2 274.191 3.775 20 0 CHADLO CC(C)c1cc(C[NH2+]Cc2ccsc2Cl)on1 ZINC000682943373 1120430706 /nfs/dbraw/zinc/43/07/06/1120430706.db2.gz VBOQGSORBMFADT-UHFFFAOYSA-N 1 2 270.785 3.803 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)oc1C)c1ccc(F)cc1F ZINC000660196868 1120431770 /nfs/dbraw/zinc/43/17/70/1120431770.db2.gz MGZRYSHEMWDLRL-CQSZACIVSA-N 1 2 280.318 3.811 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@H]3CCC[C@@H]32)nc(C2CC2)[nH+]1 ZINC000338813099 1120431847 /nfs/dbraw/zinc/43/18/47/1120431847.db2.gz KHZZSUSNCLJEGJ-KBPBESRZSA-N 1 2 271.408 3.677 20 0 CHADLO Cc1cc(C[N@H+](C)CCSc2ccc(C)c(C)c2)no1 ZINC000079879319 1120449720 /nfs/dbraw/zinc/44/97/20/1120449720.db2.gz UHZKEFNEOTXMNU-UHFFFAOYSA-N 1 2 290.432 3.824 20 0 CHADLO Cc1cc(C[N@@H+](C)CCSc2ccc(C)c(C)c2)no1 ZINC000079879319 1120449723 /nfs/dbraw/zinc/44/97/23/1120449723.db2.gz UHZKEFNEOTXMNU-UHFFFAOYSA-N 1 2 290.432 3.824 20 0 CHADLO CC/C=C/CC[N@@H+]1CCCC[C@@H]1c1noc(CC)n1 ZINC000364138580 1120454541 /nfs/dbraw/zinc/45/45/41/1120454541.db2.gz JVHJPSNEDSUDJR-URWSZGRFSA-N 1 2 263.385 3.515 20 0 CHADLO CC/C=C/CC[N@H+]1CCCC[C@@H]1c1noc(CC)n1 ZINC000364138580 1120454543 /nfs/dbraw/zinc/45/45/43/1120454543.db2.gz JVHJPSNEDSUDJR-URWSZGRFSA-N 1 2 263.385 3.515 20 0 CHADLO CC[C@H]([NH2+]Cc1coc(C)n1)c1ccc(Cl)s1 ZINC000660251282 1120466806 /nfs/dbraw/zinc/46/68/06/1120466806.db2.gz MVPKTANKSYYAFP-JTQLQIEISA-N 1 2 270.785 3.939 20 0 CHADLO CC(C)([NH2+]Cc1ccc(Cl)cc1F)c1nccs1 ZINC000189111413 1120473983 /nfs/dbraw/zinc/47/39/83/1120473983.db2.gz YFVKLBDUYXZIJB-UHFFFAOYSA-N 1 2 284.787 3.961 20 0 CHADLO CCn1cncc1C[N@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000417700909 1120474333 /nfs/dbraw/zinc/47/43/33/1120474333.db2.gz LVASCBLXLZEZHX-DYVFJYSZSA-N 1 2 299.418 3.784 20 0 CHADLO CCn1cncc1C[N@@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000417700909 1120474337 /nfs/dbraw/zinc/47/43/37/1120474337.db2.gz LVASCBLXLZEZHX-DYVFJYSZSA-N 1 2 299.418 3.784 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccccc3Cl)CCC2)[nH]c1C ZINC000657499561 1120475579 /nfs/dbraw/zinc/47/55/79/1120475579.db2.gz BZKWHIJDVGBOPL-UHFFFAOYSA-N 1 2 289.810 3.849 20 0 CHADLO Cc1[nH]c(CNC2(c3ccccc3Cl)CCC2)[nH+]c1C ZINC000657499561 1120475582 /nfs/dbraw/zinc/47/55/82/1120475582.db2.gz BZKWHIJDVGBOPL-UHFFFAOYSA-N 1 2 289.810 3.849 20 0 CHADLO CCn1cc(C[NH2+][C@@H](c2ccco2)c2ccccc2)c(C)n1 ZINC000893323268 1120487461 /nfs/dbraw/zinc/48/74/61/1120487461.db2.gz AAYSFDFGRUOGKX-GOSISDBHSA-N 1 2 295.386 3.684 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2ccc3cccnc3c2)n1 ZINC000922303137 1120505198 /nfs/dbraw/zinc/50/51/98/1120505198.db2.gz SRAHNEKVGZTARD-UHFFFAOYSA-N 1 2 295.386 3.810 20 0 CHADLO FC[C@@H]([NH2+]Cc1cnn(C2CCC2)c1)c1ccc(F)cc1 ZINC000922317530 1120509875 /nfs/dbraw/zinc/50/98/75/1120509875.db2.gz GYRFHBKEYITDQL-MRXNPFEDSA-N 1 2 291.345 3.548 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccccc1C1CC1 ZINC000397961104 1120536729 /nfs/dbraw/zinc/53/67/29/1120536729.db2.gz PJDHMERUPVLKOR-CQSZACIVSA-N 1 2 251.320 3.841 20 0 CHADLO FC(F)(F)c1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000397977633 1120539718 /nfs/dbraw/zinc/53/97/18/1120539718.db2.gz DLSMSZQKKBLXBY-LLVKDONJSA-N 1 2 279.252 3.983 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1cccc(Cl)c1O ZINC000182064202 1128927188 /nfs/dbraw/zinc/92/71/88/1128927188.db2.gz XDYNBVKUFHQFLR-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1cccc(Cl)c1O ZINC000182064202 1128927192 /nfs/dbraw/zinc/92/71/92/1128927192.db2.gz XDYNBVKUFHQFLR-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO COc1ccc2cc(NCCC(F)(F)F)[nH+]cc2c1 ZINC001161820273 1120547167 /nfs/dbraw/zinc/54/71/67/1120547167.db2.gz NMOFCLMQPKOBBH-UHFFFAOYSA-N 1 2 270.254 3.608 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@@H]1c1ccc(-c2ccccc2)cc1 ZINC000629690585 1128925069 /nfs/dbraw/zinc/92/50/69/1128925069.db2.gz MVVYIJZEGNNVJK-GOSISDBHSA-N 1 2 265.356 3.689 20 0 CHADLO C[N@H+]1CCC(=O)C[C@@H]1c1ccc(-c2ccccc2)cc1 ZINC000629690585 1128925073 /nfs/dbraw/zinc/92/50/73/1128925073.db2.gz MVVYIJZEGNNVJK-GOSISDBHSA-N 1 2 265.356 3.689 20 0 CHADLO CC(C)(/C=C\Cl)[NH2+]Cc1ncc(Br)s1 ZINC000922647860 1120571436 /nfs/dbraw/zinc/57/14/36/1120571436.db2.gz CXQKLLUSACJHPW-ARJAWSKDSA-N 1 2 295.633 3.526 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(OC)c(OC)c1 ZINC000398121894 1120572231 /nfs/dbraw/zinc/57/22/31/1120572231.db2.gz QPXCIBXTXNVSMO-IUODEOHRSA-N 1 2 299.361 3.932 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@H]1c1ccc(-c2ccc(F)cc2)cc1 ZINC000629692811 1128925634 /nfs/dbraw/zinc/92/56/34/1128925634.db2.gz VRNJGJSPPNMVBJ-SFHVURJKSA-N 1 2 283.346 3.829 20 0 CHADLO C[N@H+]1CCC(=O)C[C@H]1c1ccc(-c2ccc(F)cc2)cc1 ZINC000629692811 1128925639 /nfs/dbraw/zinc/92/56/39/1128925639.db2.gz VRNJGJSPPNMVBJ-SFHVURJKSA-N 1 2 283.346 3.829 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCC[C@H](C3CC3)C2)c1 ZINC000652069067 1120577589 /nfs/dbraw/zinc/57/75/89/1120577589.db2.gz YKDOYJFTNVPPNX-LSDHHAIUSA-N 1 2 260.381 3.609 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CCCC2(F)F)ccc1Cl ZINC000673618173 1120601269 /nfs/dbraw/zinc/60/12/69/1120601269.db2.gz VFHFNBPOCNPAJE-GFCCVEGCSA-N 1 2 259.727 3.926 20 0 CHADLO Fc1ccc(/C=C/C[NH2+][C@H]2CCCC2(F)F)c(F)c1 ZINC000673617901 1120602586 /nfs/dbraw/zinc/60/25/86/1120602586.db2.gz MUNUONPKQPYFGO-IBUXWKBASA-N 1 2 273.273 3.755 20 0 CHADLO Cc1cccc2c1C[N@H+](C/C(Cl)=C\Cl)CC2 ZINC000759884069 1120605591 /nfs/dbraw/zinc/60/55/91/1120605591.db2.gz WIIDCSBKKSYDBY-KPKJPENVSA-N 1 2 256.176 3.672 20 0 CHADLO Cc1cccc2c1C[N@@H+](C/C(Cl)=C\Cl)CC2 ZINC000759884069 1120605594 /nfs/dbraw/zinc/60/55/94/1120605594.db2.gz WIIDCSBKKSYDBY-KPKJPENVSA-N 1 2 256.176 3.672 20 0 CHADLO Fc1ccc2oc(C[NH2+][C@H]3CCCc4cccnc43)nc2c1 ZINC000922885367 1120626525 /nfs/dbraw/zinc/62/65/25/1120626525.db2.gz PLQIRYOCBDSJEA-ZDUSSCGKSA-N 1 2 297.333 3.529 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC000120803184 1120628329 /nfs/dbraw/zinc/62/83/29/1120628329.db2.gz FBYQLARQFVNQAY-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000120803184 1120628335 /nfs/dbraw/zinc/62/83/35/1120628335.db2.gz FBYQLARQFVNQAY-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO CC(C)(C)c1cccc(C[N@@H+]2CCOC[C@H]2CC(F)F)c1 ZINC000660545907 1120654744 /nfs/dbraw/zinc/65/47/44/1120654744.db2.gz BIOUYDAMAMLEDI-OAHLLOKOSA-N 1 2 297.389 3.840 20 0 CHADLO CC(C)(C)c1cccc(C[N@H+]2CCOC[C@H]2CC(F)F)c1 ZINC000660545907 1120654748 /nfs/dbraw/zinc/65/47/48/1120654748.db2.gz BIOUYDAMAMLEDI-OAHLLOKOSA-N 1 2 297.389 3.840 20 0 CHADLO CCC(C)(C)CC[N@@H+]1CCOC[C@@H]1c1nc(C)cs1 ZINC000432164688 1120660382 /nfs/dbraw/zinc/66/03/82/1120660382.db2.gz FOIGDTIWDCZUSW-CYBMUJFWSA-N 1 2 282.453 3.651 20 0 CHADLO CCC(C)(C)CC[N@H+]1CCOC[C@@H]1c1nc(C)cs1 ZINC000432164688 1120660383 /nfs/dbraw/zinc/66/03/83/1120660383.db2.gz FOIGDTIWDCZUSW-CYBMUJFWSA-N 1 2 282.453 3.651 20 0 CHADLO CC[C@H]1C[N@H+](CC(F)F)CC[C@H]1c1ccccc1 ZINC000673755221 1120669185 /nfs/dbraw/zinc/66/91/85/1120669185.db2.gz XSTZVSVTNPJZTA-GXTWGEPZSA-N 1 2 253.336 3.767 20 0 CHADLO CC[C@H]1C[N@@H+](CC(F)F)CC[C@H]1c1ccccc1 ZINC000673755221 1120669187 /nfs/dbraw/zinc/66/91/87/1120669187.db2.gz XSTZVSVTNPJZTA-GXTWGEPZSA-N 1 2 253.336 3.767 20 0 CHADLO CC[C@@H](C[N@H+](C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1)OC ZINC000660707769 1120680186 /nfs/dbraw/zinc/68/01/86/1120680186.db2.gz JPFVURSPZJITFS-PWSUYJOCSA-N 1 2 295.814 3.634 20 0 CHADLO CC[C@@H](C[N@@H+](C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1)OC ZINC000660707769 1120680191 /nfs/dbraw/zinc/68/01/91/1120680191.db2.gz JPFVURSPZJITFS-PWSUYJOCSA-N 1 2 295.814 3.634 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2cccc3c2CCC3)no1 ZINC000651621849 1120684130 /nfs/dbraw/zinc/68/41/30/1120684130.db2.gz FUYLHHBEHXIRDA-KRWDZBQOSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2cccc3c2CCC3)no1 ZINC000651621849 1120684132 /nfs/dbraw/zinc/68/41/32/1120684132.db2.gz FUYLHHBEHXIRDA-KRWDZBQOSA-N 1 2 297.402 3.594 20 0 CHADLO C[C@@H]1CCCC[C@H]1C[N@H+](C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660768698 1120685470 /nfs/dbraw/zinc/68/54/70/1120685470.db2.gz UBIBXKOIWGLDHC-PVAVHDDUSA-N 1 2 298.434 3.652 20 0 CHADLO C[C@@H]1CCCC[C@H]1C[N@@H+](C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660768698 1120685471 /nfs/dbraw/zinc/68/54/71/1120685471.db2.gz UBIBXKOIWGLDHC-PVAVHDDUSA-N 1 2 298.434 3.652 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)Cc2c[nH]nc21 ZINC000931454825 1120697147 /nfs/dbraw/zinc/69/71/47/1120697147.db2.gz FQQXLXLFCVRJFY-SNVBAGLBSA-N 1 2 295.308 3.548 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)Cc2c[nH]nc21 ZINC000931454825 1120697148 /nfs/dbraw/zinc/69/71/48/1120697148.db2.gz FQQXLXLFCVRJFY-SNVBAGLBSA-N 1 2 295.308 3.548 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)oc1C)c1ccccc1F ZINC000660914652 1120701223 /nfs/dbraw/zinc/70/12/23/1120701223.db2.gz UZZDZIVWAGHTAF-AWEZNQCLSA-N 1 2 262.328 3.671 20 0 CHADLO Fc1ccc([C@@H]2C[N@@H+](C3CCCC3)CCO2)cc1Cl ZINC000092972937 1128934708 /nfs/dbraw/zinc/93/47/08/1128934708.db2.gz FHTICQWCOONTCK-HNNXBMFYSA-N 1 2 283.774 3.795 20 0 CHADLO Fc1ccc([C@@H]2C[N@H+](C3CCCC3)CCO2)cc1Cl ZINC000092972937 1128934711 /nfs/dbraw/zinc/93/47/11/1128934711.db2.gz FHTICQWCOONTCK-HNNXBMFYSA-N 1 2 283.774 3.795 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191477851 1128935101 /nfs/dbraw/zinc/93/51/01/1128935101.db2.gz YGOUKAQNXCFWCB-JTQLQIEISA-N 1 2 294.757 3.773 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191477851 1128935102 /nfs/dbraw/zinc/93/51/02/1128935102.db2.gz YGOUKAQNXCFWCB-JTQLQIEISA-N 1 2 294.757 3.773 20 0 CHADLO C[C@H](c1ccc(OC(F)(F)F)cc1)[N@H+](C)Cc1cnc[nH]1 ZINC000661087308 1120714533 /nfs/dbraw/zinc/71/45/33/1120714533.db2.gz QKVPZTYIQYWALX-SNVBAGLBSA-N 1 2 299.296 3.501 20 0 CHADLO C[C@H](c1ccc(OC(F)(F)F)cc1)[N@@H+](C)Cc1cnc[nH]1 ZINC000661087308 1120714538 /nfs/dbraw/zinc/71/45/38/1120714538.db2.gz QKVPZTYIQYWALX-SNVBAGLBSA-N 1 2 299.296 3.501 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+](C)Cc2cnc[nH]2)cc1 ZINC000661090082 1120715666 /nfs/dbraw/zinc/71/56/66/1120715666.db2.gz LLPFQTFOIMVGRA-UHFFFAOYSA-N 1 2 271.408 3.729 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+](C)Cc2cnc[nH]2)cc1 ZINC000661090082 1120715671 /nfs/dbraw/zinc/71/56/71/1120715671.db2.gz LLPFQTFOIMVGRA-UHFFFAOYSA-N 1 2 271.408 3.729 20 0 CHADLO Cc1cccc(C)c1[C@H]1CCC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000661133051 1120722796 /nfs/dbraw/zinc/72/27/96/1120722796.db2.gz DHNVTJMBTSMYFT-OAHLLOKOSA-N 1 2 297.402 3.901 20 0 CHADLO Cc1cccc(C)c1[C@H]1CCC[N@H+]1Cc1noc(C2CC2)n1 ZINC000661133051 1120722801 /nfs/dbraw/zinc/72/28/01/1120722801.db2.gz DHNVTJMBTSMYFT-OAHLLOKOSA-N 1 2 297.402 3.901 20 0 CHADLO Fc1ccc2c(c1Cl)[C@@H]([NH2+]Cc1ncccc1F)CC2 ZINC000651740280 1120731334 /nfs/dbraw/zinc/73/13/34/1120731334.db2.gz WSJBGRJMALRKEN-LBPRGKRZSA-N 1 2 294.732 3.790 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2ccc(F)c(Cl)c21)c1ccn(C)n1 ZINC000651829830 1120756555 /nfs/dbraw/zinc/75/65/55/1120756555.db2.gz OLQUDEUPHBKREX-RNCFNFMXSA-N 1 2 293.773 3.551 20 0 CHADLO Cc1cccc(Cl)c1C[NH2+][C@H](C)c1cscn1 ZINC000661414331 1120763117 /nfs/dbraw/zinc/76/31/17/1120763117.db2.gz LZEGGXPFJGISNB-SNVBAGLBSA-N 1 2 266.797 3.956 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](c2ccccc2F)C(C)C)[nH]1 ZINC000661482802 1120770069 /nfs/dbraw/zinc/77/00/69/1120770069.db2.gz DMAOMQCDQHBTNV-OAHLLOKOSA-N 1 2 290.386 3.554 20 0 CHADLO CCc1cnc(C[NH2+][C@H](c2ccccc2F)C(C)C)o1 ZINC000661485832 1120771118 /nfs/dbraw/zinc/77/11/18/1120771118.db2.gz LEOHUPHPZPAGRN-INIZCTEOSA-N 1 2 276.355 3.863 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2ccc([C@H]3C[C@@H]3C)o2)o1 ZINC000291704749 1120788370 /nfs/dbraw/zinc/78/83/70/1120788370.db2.gz KNTLALJMQOCPDM-GWCFXTLKSA-N 1 2 274.364 3.552 20 0 CHADLO Fc1ccc([C@@H]2CC[N@H+](Cc3ncc(Cl)s3)C2)cc1 ZINC000473140477 1120800647 /nfs/dbraw/zinc/80/06/47/1120800647.db2.gz LMQIQHCGVDVBRR-LLVKDONJSA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccc([C@@H]2CC[N@@H+](Cc3ncc(Cl)s3)C2)cc1 ZINC000473140477 1120800650 /nfs/dbraw/zinc/80/06/50/1120800650.db2.gz LMQIQHCGVDVBRR-LLVKDONJSA-N 1 2 296.798 3.925 20 0 CHADLO CC[C@@H](Nc1cc(COC)cc[nH+]1)c1ccccc1 ZINC000652329975 1120827689 /nfs/dbraw/zinc/82/76/89/1120827689.db2.gz QBMQGLFFIIKEPQ-OAHLLOKOSA-N 1 2 256.349 3.791 20 0 CHADLO CCc1ccc([C@@H](C)Nc2cc(COC)cc[nH+]2)cc1 ZINC000652329840 1120828012 /nfs/dbraw/zinc/82/80/12/1120828012.db2.gz KWAMFDKCDFFSTK-CYBMUJFWSA-N 1 2 270.376 3.964 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](c2cccnc2)C2CCC2)c1 ZINC000652330797 1120829098 /nfs/dbraw/zinc/82/90/98/1120829098.db2.gz PWCJDKCTFUFOON-QGZVFWFLSA-N 1 2 283.375 3.576 20 0 CHADLO CC(C)c1[nH+]cc(-c2cccc3cccnc32)n1C ZINC000630051057 1128945610 /nfs/dbraw/zinc/94/56/10/1128945610.db2.gz JFSYRMGESZDOIG-UHFFFAOYSA-N 1 2 251.333 3.759 20 0 CHADLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)Nc1ccc2c(c1)C=CCCC2 ZINC000618850535 1128946453 /nfs/dbraw/zinc/94/64/53/1128946453.db2.gz GFIYLHAQWGBBFV-CYBMUJFWSA-N 1 2 295.386 3.577 20 0 CHADLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc2c(c1)C=CCCC2 ZINC000618850535 1128946456 /nfs/dbraw/zinc/94/64/56/1128946456.db2.gz GFIYLHAQWGBBFV-CYBMUJFWSA-N 1 2 295.386 3.577 20 0 CHADLO C[C@H](CC(=O)Nc1ccc2c(c1)C=CCCC2)n1cc[nH+]c1 ZINC000618849709 1128946715 /nfs/dbraw/zinc/94/67/15/1128946715.db2.gz AZRMQDFFQCJMGE-CQSZACIVSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1cc(C)c(/C=C/C[NH2+][C@@H](C)c2csnn2)cc1C ZINC000631158884 1120898362 /nfs/dbraw/zinc/89/83/62/1120898362.db2.gz JDGULBMLFHFWRR-GJBLVYBDSA-N 1 2 287.432 3.827 20 0 CHADLO C[C@@H]1C[N@H+](C/C=C/c2ccccc2)C[C@H](CC(F)(F)F)O1 ZINC000662236028 1120907507 /nfs/dbraw/zinc/90/75/07/1120907507.db2.gz LMFIQDSLZYMNDJ-JGOVJGDKSA-N 1 2 299.336 3.742 20 0 CHADLO C[C@@H]1C[N@@H+](C/C=C/c2ccccc2)C[C@H](CC(F)(F)F)O1 ZINC000662236028 1120907511 /nfs/dbraw/zinc/90/75/11/1120907511.db2.gz LMFIQDSLZYMNDJ-JGOVJGDKSA-N 1 2 299.336 3.742 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)O[C@@H](CC(F)(F)F)C2)cc1 ZINC000662239850 1120908473 /nfs/dbraw/zinc/90/84/73/1120908473.db2.gz XZXDMKALRXMVBJ-OCCSQVGLSA-N 1 2 287.325 3.537 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)O[C@@H](CC(F)(F)F)C2)cc1 ZINC000662239850 1120908475 /nfs/dbraw/zinc/90/84/75/1120908475.db2.gz XZXDMKALRXMVBJ-OCCSQVGLSA-N 1 2 287.325 3.537 20 0 CHADLO CC[C@@H]1CCCCN1c1[nH+]ccc2c(N)cccc21 ZINC000663313368 1120922736 /nfs/dbraw/zinc/92/27/36/1120922736.db2.gz YXYQZGSQYFZDBP-GFCCVEGCSA-N 1 2 255.365 3.586 20 0 CHADLO Fc1cccc(-c2ccc(C[N@@H+]3CCO[C@@H]4C[C@@H]43)s2)c1 ZINC000644839177 1120960562 /nfs/dbraw/zinc/96/05/62/1120960562.db2.gz WNQJCOCRCNPDQM-LSDHHAIUSA-N 1 2 289.375 3.527 20 0 CHADLO Fc1cccc(-c2ccc(C[N@H+]3CCO[C@@H]4C[C@@H]43)s2)c1 ZINC000644839177 1120960566 /nfs/dbraw/zinc/96/05/66/1120960566.db2.gz WNQJCOCRCNPDQM-LSDHHAIUSA-N 1 2 289.375 3.527 20 0 CHADLO COc1cc(Cl)c(C[NH+]2CC(C3CC3)C2)cc1Cl ZINC000643345845 1120970328 /nfs/dbraw/zinc/97/03/28/1120970328.db2.gz PZSNDDAJYRSWEK-UHFFFAOYSA-N 1 2 286.202 3.844 20 0 CHADLO CCCCC[C@@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000030603669 1121001434 /nfs/dbraw/zinc/00/14/34/1121001434.db2.gz NAYCMUOKQZYNQR-CQSZACIVSA-N 1 2 285.391 3.571 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](COCC(C)C)c1ccco1 ZINC000343973906 1121009749 /nfs/dbraw/zinc/00/97/49/1121009749.db2.gz XYPUZOQTGSOPKS-CQSZACIVSA-N 1 2 277.364 3.685 20 0 CHADLO CCN(C)c1ccc(Nc2cncc(C(F)(F)F)c2)c[nH+]1 ZINC001174740160 1121018993 /nfs/dbraw/zinc/01/89/93/1121018993.db2.gz QFAHIBBTUZUFGN-UHFFFAOYSA-N 1 2 296.296 3.695 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+]2Cc2cccc3c2OCCO3)c1 ZINC000674011039 1121039948 /nfs/dbraw/zinc/03/99/48/1121039948.db2.gz UDLSZYSFZYSHBH-MRXNPFEDSA-N 1 2 299.345 3.544 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+]2Cc2cccc3c2OCCO3)c1 ZINC000674011039 1121039957 /nfs/dbraw/zinc/03/99/57/1121039957.db2.gz UDLSZYSFZYSHBH-MRXNPFEDSA-N 1 2 299.345 3.544 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c(C)cn32)cc1C ZINC001174829339 1121048833 /nfs/dbraw/zinc/04/88/33/1121048833.db2.gz JMVMZWUQPJSWLM-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccc(F)cc1 ZINC000223045496 1121062573 /nfs/dbraw/zinc/06/25/73/1121062573.db2.gz KNTSPMHYRAGWFY-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO c1cnc2c(c1)cccc2Nc1ccc(N2CCCC2)[nH+]c1 ZINC001174858741 1121068863 /nfs/dbraw/zinc/06/88/63/1121068863.db2.gz OOMJJMDOAQDXKJ-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2ccccc2c1 ZINC001174872691 1121075146 /nfs/dbraw/zinc/07/51/46/1121075146.db2.gz TXKYVPAIONHGDE-UHFFFAOYSA-N 1 2 250.301 3.987 20 0 CHADLO CSc1cc(Nc2ccc(N(C)C)cc2)cc[nH+]1 ZINC001174879032 1121077674 /nfs/dbraw/zinc/07/76/74/1121077674.db2.gz XCJMCIIZTWXGOY-UHFFFAOYSA-N 1 2 259.378 3.613 20 0 CHADLO CN(C)c1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc1 ZINC001174878720 1121078785 /nfs/dbraw/zinc/07/87/85/1121078785.db2.gz OGFYKMNXVLSXQJ-UHFFFAOYSA-N 1 2 297.280 3.790 20 0 CHADLO Cc1ccc2[nH]c(C3C[C@H](C)O[C@@H](C)C3)[nH+]c2c1C ZINC000724362562 1121083183 /nfs/dbraw/zinc/08/31/83/1121083183.db2.gz LOLUFGJFXOWYDX-QWRGUYRKSA-N 1 2 258.365 3.851 20 0 CHADLO O=C(C/C=C/c1cccc(F)c1)Nc1ccc2[nH+]ccn2c1 ZINC000674092709 1121083429 /nfs/dbraw/zinc/08/34/29/1121083429.db2.gz NLCJANNTRMNSCP-DUXPYHPUSA-N 1 2 295.317 3.515 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc(-n3ccnc3)cc2)CCCC1 ZINC000674132113 1121089319 /nfs/dbraw/zinc/08/93/19/1121089319.db2.gz XXSNLEAEBBWKKM-UHFFFAOYSA-N 1 2 291.345 3.540 20 0 CHADLO COCc1cccc(C[NH2+]C2(C(F)F)CCCC2)c1 ZINC000674135190 1121093890 /nfs/dbraw/zinc/09/38/90/1121093890.db2.gz DYLQJSMGTHUTAV-UHFFFAOYSA-N 1 2 269.335 3.501 20 0 CHADLO COc1ccccc1/C=C\C[NH2+]C1(C(F)F)CCCC1 ZINC000674135399 1121094316 /nfs/dbraw/zinc/09/43/16/1121094316.db2.gz PQTDOCYETQGDCL-VURMDHGXSA-N 1 2 281.346 3.876 20 0 CHADLO c1ccc(C2CC2)c(Nc2ccc(N3CCOCC3)[nH+]c2)c1 ZINC001174976702 1121101349 /nfs/dbraw/zinc/10/13/49/1121101349.db2.gz DFQQEFYLPUYZOM-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO Cc1cc(C)c(Nc2ccc3occc(=O)c3c2)c[nH+]1 ZINC001174944251 1121105555 /nfs/dbraw/zinc/10/55/55/1121105555.db2.gz QITVFOLYWFRHQY-UHFFFAOYSA-N 1 2 266.300 3.548 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+]([C@H](C)c1ccccn1)CC3 ZINC000674250093 1121105781 /nfs/dbraw/zinc/10/57/81/1121105781.db2.gz UXCKZVIUSKWWAJ-CQSZACIVSA-N 1 2 291.398 3.991 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+]([C@H](C)c1ccccn1)CC3 ZINC000674250093 1121105785 /nfs/dbraw/zinc/10/57/85/1121105785.db2.gz UXCKZVIUSKWWAJ-CQSZACIVSA-N 1 2 291.398 3.991 20 0 CHADLO O=c1ccoc2ccc(Nc3[nH+]cccc3C3CC3)cc12 ZINC001174943544 1121106207 /nfs/dbraw/zinc/10/62/07/1121106207.db2.gz CPWBTOSCZOUSJI-UHFFFAOYSA-N 1 2 278.311 3.809 20 0 CHADLO Cc1cc(NC(=O)C[C@@H](C)c2ccccc2F)cc[nH+]1 ZINC000171265576 1121107351 /nfs/dbraw/zinc/10/73/51/1121107351.db2.gz QGMRXJYHMLEOIB-LLVKDONJSA-N 1 2 272.323 3.661 20 0 CHADLO Cc1cc(C)c(Cn2cc[nH+]c2)c(OCc2ccccc2)n1 ZINC000344168585 1121109353 /nfs/dbraw/zinc/10/93/53/1121109353.db2.gz LEUHFSHFXPEFNB-UHFFFAOYSA-N 1 2 293.370 3.522 20 0 CHADLO CN(C)c1cccc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001174962282 1121114260 /nfs/dbraw/zinc/11/42/60/1121114260.db2.gz GFVREACEJCQQMC-UHFFFAOYSA-N 1 2 286.766 3.797 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(N(C)C)c1 ZINC001174964702 1121115188 /nfs/dbraw/zinc/11/51/88/1121115188.db2.gz JVXCRFDSDQHRHB-UHFFFAOYSA-N 1 2 255.365 3.762 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(N(C)C)c2)c1 ZINC001174964939 1121116372 /nfs/dbraw/zinc/11/63/72/1121116372.db2.gz MJKQQKCBDDLSLT-UHFFFAOYSA-N 1 2 255.365 3.844 20 0 CHADLO CCOc1cc(F)cc(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001175001734 1121127495 /nfs/dbraw/zinc/12/74/95/1121127495.db2.gz NLNNWWUMTKKLBJ-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO COc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)ccc1C ZINC001175016287 1121134547 /nfs/dbraw/zinc/13/45/47/1121134547.db2.gz CVRATCZIUFHOEV-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(OC)c(F)c2F)c[nH+]1 ZINC001175019053 1121136512 /nfs/dbraw/zinc/13/65/12/1121136512.db2.gz KECVXMTXUTVKJJ-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO C[NH+](C)c1ccc(NCc2occc2Br)cc1 ZINC000226644529 1121159320 /nfs/dbraw/zinc/15/93/20/1121159320.db2.gz MADPBJBJAUFMKL-UHFFFAOYSA-N 1 2 295.180 3.720 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(-c2ccccc2)cc1 ZINC000172653730 1121159447 /nfs/dbraw/zinc/15/94/47/1121159447.db2.gz JLTDZQIWGCMPQB-UHFFFAOYSA-N 1 2 278.355 3.974 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(-c2ccccc2)cc1 ZINC000172653730 1121159449 /nfs/dbraw/zinc/15/94/49/1121159449.db2.gz JLTDZQIWGCMPQB-UHFFFAOYSA-N 1 2 278.355 3.974 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cc(C)ccc2F)s1 ZINC000226783488 1121163040 /nfs/dbraw/zinc/16/30/40/1121163040.db2.gz MGSSIIQSONZNPU-LLVKDONJSA-N 1 2 264.369 3.750 20 0 CHADLO FC(F)C[N@@H+]1CCC[C@@]2(CCCc3ccccc32)C1 ZINC000674677448 1121170252 /nfs/dbraw/zinc/17/02/52/1121170252.db2.gz XNWKZKMEHSRYTJ-INIZCTEOSA-N 1 2 265.347 3.622 20 0 CHADLO FC(F)C[N@H+]1CCC[C@@]2(CCCc3ccccc32)C1 ZINC000674677448 1121170256 /nfs/dbraw/zinc/17/02/56/1121170256.db2.gz XNWKZKMEHSRYTJ-INIZCTEOSA-N 1 2 265.347 3.622 20 0 CHADLO CCOc1ccc(F)cc1Nc1[nH+]c(C)ccc1C ZINC001175079009 1121174180 /nfs/dbraw/zinc/17/41/80/1121174180.db2.gz CNXXOXKTFIMOAO-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2ccn(-c3ccccc3)n2)o1 ZINC000163224000 1121176858 /nfs/dbraw/zinc/17/68/58/1121176858.db2.gz LDUNGWTUVKZYBS-CQSZACIVSA-N 1 2 295.386 3.879 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cc(F)c(F)cc2F)c1 ZINC001175086200 1121178993 /nfs/dbraw/zinc/17/89/93/1121178993.db2.gz JLIWJFSNMJPGKP-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Fc1cc(Nc2cccc3[nH+]ccn32)ccc1Cl ZINC001175204579 1121207461 /nfs/dbraw/zinc/20/74/61/1121207461.db2.gz NJXBRRAFGPEXLD-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CCOc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)cc1C ZINC001175170555 1121213661 /nfs/dbraw/zinc/21/36/61/1121213661.db2.gz BTHMIAUXXDSDST-UHFFFAOYSA-N 1 2 281.359 3.623 20 0 CHADLO CCOc1ccc(OC)cc1Nc1[nH+]c2ccccc2n1C ZINC001175210850 1121224552 /nfs/dbraw/zinc/22/45/52/1121224552.db2.gz IMYUOQFTMSTGLA-UHFFFAOYSA-N 1 2 297.358 3.724 20 0 CHADLO CCOc1ccc(OC)cc1Nc1[nH+]c(C)ccc1C ZINC001175211958 1121225210 /nfs/dbraw/zinc/22/52/10/1121225210.db2.gz LXJYSEHHDXWSRK-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CCOc1cccc(Nc2cc(C)cc(C)[nH+]2)c1F ZINC001175233875 1121235369 /nfs/dbraw/zinc/23/53/69/1121235369.db2.gz ANOOFZIIEILGOU-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCOc1cccc(Nc2cccn3cc(C)[nH+]c23)c1F ZINC001175234256 1121237294 /nfs/dbraw/zinc/23/72/94/1121237294.db2.gz LGILRLJAEMAEDX-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(OCC)c1F ZINC001175239752 1121239523 /nfs/dbraw/zinc/23/95/23/1121239523.db2.gz DZNNJRMJSDXADI-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO CCCCOc1ccc(N(C)c2[nH+]cccc2N)cc1 ZINC001175241322 1121240523 /nfs/dbraw/zinc/24/05/23/1121240523.db2.gz AKIHTLRPOSIVDM-UHFFFAOYSA-N 1 2 271.364 3.611 20 0 CHADLO CCOc1ccc(Nc2cc(C)[nH+]c(OC)c2)c(F)c1 ZINC001175248159 1121245940 /nfs/dbraw/zinc/24/59/40/1121245940.db2.gz WYSXWJJIPORQJE-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001175274804 1121247957 /nfs/dbraw/zinc/24/79/57/1121247957.db2.gz UEAVFTXXVDMZGV-UHFFFAOYSA-N 1 2 295.317 3.958 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccccc2OC(F)F)s1 ZINC000122920321 1121253452 /nfs/dbraw/zinc/25/34/52/1121253452.db2.gz CKTLUAPIKPLWMS-SNVBAGLBSA-N 1 2 298.358 3.904 20 0 CHADLO Cc1cccc(Nc2ccc(C(=O)OC(C)C)cc2)[nH+]1 ZINC001175257387 1121262973 /nfs/dbraw/zinc/26/29/73/1121262973.db2.gz BPBMYAJDHBJPIB-UHFFFAOYSA-N 1 2 270.332 3.699 20 0 CHADLO Oc1ccc(F)c(Nc2ccc3c[nH+]ccc3c2)c1F ZINC001175265815 1121266936 /nfs/dbraw/zinc/26/69/36/1121266936.db2.gz PSHBDKPBYPURNP-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO CCOc1cc(Nc2c(F)ccc(O)c2F)cc(C)[nH+]1 ZINC001175264153 1121267381 /nfs/dbraw/zinc/26/73/81/1121267381.db2.gz SRUKICKJFPJKKI-UHFFFAOYSA-N 1 2 280.274 3.516 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001175273069 1121272303 /nfs/dbraw/zinc/27/23/03/1121272303.db2.gz KEMUAACQKNNNKZ-UHFFFAOYSA-N 1 2 283.306 3.728 20 0 CHADLO CN(C)c1ccc(Nc2cccc(OC(F)(F)F)c2)c[nH+]1 ZINC001175343056 1121272474 /nfs/dbraw/zinc/27/24/74/1121272474.db2.gz VMDBMCKNGFDTGH-UHFFFAOYSA-N 1 2 297.280 3.790 20 0 CHADLO CN(C)c1ccc(Nc2cncc(C3CCC3)c2)c[nH+]1 ZINC001175341399 1121272684 /nfs/dbraw/zinc/27/26/84/1121272684.db2.gz KBEQYAFMGSUNFT-UHFFFAOYSA-N 1 2 268.364 3.554 20 0 CHADLO COc1ccc(Cl)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175341911 1121272748 /nfs/dbraw/zinc/27/27/48/1121272748.db2.gz NUNLFCWRKCNSIO-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO CC(C)Oc1cccc(F)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001175346053 1121273599 /nfs/dbraw/zinc/27/35/99/1121273599.db2.gz KODGPBOUCJFUKP-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO CN(C)c1ccc(Nc2cc(F)c(F)cc2Cl)c[nH+]1 ZINC001175344779 1121273769 /nfs/dbraw/zinc/27/37/69/1121273769.db2.gz GWDFQYZEBYVWNW-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO CN(C)c1ccc(Nc2cc(C(F)(F)F)ccc2O)c[nH+]1 ZINC001175345272 1121273859 /nfs/dbraw/zinc/27/38/59/1121273859.db2.gz UYQWBBXZKVIURX-UHFFFAOYSA-N 1 2 297.280 3.616 20 0 CHADLO CN(C)c1ccc(Nc2c(Cl)ccc(O)c2Cl)c[nH+]1 ZINC001175345288 1121274521 /nfs/dbraw/zinc/27/45/21/1121274521.db2.gz VRCVFZNLNNJTBT-UHFFFAOYSA-N 1 2 298.173 3.904 20 0 CHADLO CC(=O)c1sccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175347769 1121275878 /nfs/dbraw/zinc/27/58/78/1121275878.db2.gz UWVDVNOKTWEKKU-UHFFFAOYSA-N 1 2 287.388 3.690 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccccc2[S@](C)=O)cc1 ZINC001175313708 1121278878 /nfs/dbraw/zinc/27/88/78/1121278878.db2.gz JEKLEYDKXXIQBN-FQEVSTJZSA-N 1 2 288.416 3.624 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccccc2[S@](C)=O)cc1 ZINC001175313708 1121278883 /nfs/dbraw/zinc/27/88/83/1121278883.db2.gz JEKLEYDKXXIQBN-FQEVSTJZSA-N 1 2 288.416 3.624 20 0 CHADLO Cc1nc(NCCOC2CCCCC2)cc(C(C)C)[nH+]1 ZINC000709784933 1121281066 /nfs/dbraw/zinc/28/10/66/1121281066.db2.gz CYVRNNQPWATIRV-UHFFFAOYSA-N 1 2 277.412 3.670 20 0 CHADLO COc1cc(Nc2cccc(-n3cc[nH+]c3)c2)ccc1F ZINC001175397159 1121291639 /nfs/dbraw/zinc/29/16/39/1121291639.db2.gz YLDBNFHDTKJFLE-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Cc1cnn(C2CCC2)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175350397 1121291877 /nfs/dbraw/zinc/29/18/77/1121291877.db2.gz IVYLJCSLJSWPBV-UHFFFAOYSA-N 1 2 297.406 3.655 20 0 CHADLO CCc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(O)c1 ZINC001175350681 1121292122 /nfs/dbraw/zinc/29/21/22/1121292122.db2.gz HEFAFXGOLRKDIR-UHFFFAOYSA-N 1 2 283.375 3.693 20 0 CHADLO Cc1csc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175350570 1121292368 /nfs/dbraw/zinc/29/23/68/1121292368.db2.gz VXUQQTVAXLKVIX-UHFFFAOYSA-N 1 2 259.378 3.795 20 0 CHADLO CC(=O)c1cc(F)ccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175352591 1121293266 /nfs/dbraw/zinc/29/32/66/1121293266.db2.gz XFPYMXZVHWVYCH-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO c1nc2ccc(Nc3ccc(N4CCCC4)[nH+]c3)cc2o1 ZINC001175351869 1121293844 /nfs/dbraw/zinc/29/38/44/1121293844.db2.gz WHKVAUJJIJACDG-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO Cc1ccc(O)c(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001214218478 1121311567 /nfs/dbraw/zinc/31/15/67/1121311567.db2.gz AOUBMCJXMTUBBI-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO COc1cc(Nc2ccc[nH+]c2N2CCCC2)ccc1F ZINC001175394937 1121312982 /nfs/dbraw/zinc/31/29/82/1121312982.db2.gz CNWXGCMHVPDXEM-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO Cc1c2c[nH]nc2ccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001175465799 1121329010 /nfs/dbraw/zinc/32/90/10/1121329010.db2.gz LGVYTFSVBBERLG-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1c2c[nH]nc2ccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001175469560 1121334236 /nfs/dbraw/zinc/33/42/36/1121334236.db2.gz WUYAXULMUWFNLY-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO CCOc1cc(Nc2cc(C3CC3)c[nH+]c2C)ccn1 ZINC001213491194 1121344378 /nfs/dbraw/zinc/34/43/78/1121344378.db2.gz BHSUTNMCPMUFMW-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nc(F)c(F)cc1F ZINC001213492136 1121345062 /nfs/dbraw/zinc/34/50/62/1121345062.db2.gz WSTPCSBNNLAJQU-UHFFFAOYSA-N 1 2 279.265 3.823 20 0 CHADLO Cc1cc(NC2=CC[N@H+](Cc3ccccc3)CC2)sn1 ZINC001175504788 1121350396 /nfs/dbraw/zinc/35/03/96/1121350396.db2.gz JDHCDIFYYLJMIY-UHFFFAOYSA-N 1 2 285.416 3.653 20 0 CHADLO Cc1cc(NC2=CC[N@@H+](Cc3ccccc3)CC2)sn1 ZINC001175504788 1121350406 /nfs/dbraw/zinc/35/04/06/1121350406.db2.gz JDHCDIFYYLJMIY-UHFFFAOYSA-N 1 2 285.416 3.653 20 0 CHADLO CCOc1ncccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213495235 1121351277 /nfs/dbraw/zinc/35/12/77/1121351277.db2.gz XKLSTPATNCBTBB-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO CSc1ccc(F)cc1Nc1cccn2cc[nH+]c12 ZINC001215446658 1121352388 /nfs/dbraw/zinc/35/23/88/1121352388.db2.gz YZTANCFNHULXAT-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+](C/C(Cl)=C/Cl)C2)c1 ZINC000763533836 1128979907 /nfs/dbraw/zinc/97/99/07/1128979907.db2.gz PYXOXJGKAJEOFU-ZWIPKHHJSA-N 1 2 274.166 3.934 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+](C/C(Cl)=C/Cl)C2)c1 ZINC000763533836 1128979908 /nfs/dbraw/zinc/97/99/08/1128979908.db2.gz PYXOXJGKAJEOFU-ZWIPKHHJSA-N 1 2 274.166 3.934 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C)cc2c[nH]nc21 ZINC001175519542 1121361043 /nfs/dbraw/zinc/36/10/43/1121361043.db2.gz OHJFIEXMIBFSMP-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Cc1ccc(C)c(Nc2c3c[nH]nc3ccc2C)[nH+]1 ZINC001175524207 1121363608 /nfs/dbraw/zinc/36/36/08/1121363608.db2.gz PUKDXRTXBNMGDW-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1ccc(C)c(Nc2c3cn[nH]c3ccc2C)[nH+]1 ZINC001175524207 1121363617 /nfs/dbraw/zinc/36/36/17/1121363617.db2.gz PUKDXRTXBNMGDW-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO FC[C@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC001175716350 1121437027 /nfs/dbraw/zinc/43/70/27/1121437027.db2.gz TXBNPCLGEVCEME-SSDOTTSWSA-N 1 2 268.184 3.846 20 0 CHADLO FC[C@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC001175716350 1121437030 /nfs/dbraw/zinc/43/70/30/1121437030.db2.gz TXBNPCLGEVCEME-SSDOTTSWSA-N 1 2 268.184 3.846 20 0 CHADLO CC(C)Oc1ccc(NCc2c[nH+]cn2C(C)C)cc1 ZINC000090207738 1121373233 /nfs/dbraw/zinc/37/32/33/1121373233.db2.gz OSOJBXWSUIAHHH-UHFFFAOYSA-N 1 2 273.380 3.863 20 0 CHADLO CC[C@H]1CCC[C@H](NC(=O)c2c(C)cc(C)[nH+]c2C)C1 ZINC001126345159 1121373323 /nfs/dbraw/zinc/37/33/23/1121373323.db2.gz CEWRFDANFGBKMB-GJZGRUSLSA-N 1 2 274.408 3.705 20 0 CHADLO CCCC[C@H](C)[C@@H](C)Nc1ccc(N2CCOCC2)c[nH+]1 ZINC000675859110 1121374612 /nfs/dbraw/zinc/37/46/12/1121374612.db2.gz GDDMXPFCRKQPSB-LSDHHAIUSA-N 1 2 291.439 3.545 20 0 CHADLO COc1ccnc([C@H](C)[NH2+]C2(c3ccccc3F)CC2)c1 ZINC000925884086 1121374709 /nfs/dbraw/zinc/37/47/09/1121374709.db2.gz RZRMAHCKCREVBQ-LBPRGKRZSA-N 1 2 286.350 3.569 20 0 CHADLO COc1cc(C)cc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001211726806 1121375449 /nfs/dbraw/zinc/37/54/49/1121375449.db2.gz ZNZOXGUMPFTPCG-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)N(C1CC1)C1CCCCC1 ZINC000675890496 1121376053 /nfs/dbraw/zinc/37/60/53/1121376053.db2.gz NAIVVVHGLLIRCI-UHFFFAOYSA-N 1 2 298.390 3.663 20 0 CHADLO CCCOc1cccc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001175600547 1121380585 /nfs/dbraw/zinc/38/05/85/1121380585.db2.gz ISOXDXXQMHZQDC-UHFFFAOYSA-N 1 2 267.332 3.867 20 0 CHADLO C[N@@H+]1CCCC(=Nc2cc(-c3ccc(Cl)cc3)no2)C1 ZINC001175573390 1121393104 /nfs/dbraw/zinc/39/31/04/1121393104.db2.gz DQMSUIPQAGVSJQ-UHFFFAOYSA-N 1 2 289.766 3.626 20 0 CHADLO C[N@H+]1CCCC(=Nc2cc(-c3ccc(Cl)cc3)no2)C1 ZINC001175573390 1121393113 /nfs/dbraw/zinc/39/31/13/1121393113.db2.gz DQMSUIPQAGVSJQ-UHFFFAOYSA-N 1 2 289.766 3.626 20 0 CHADLO COc1c(F)cccc1C[N@H+](Cc1ccco1)C1CC1 ZINC001143537023 1121426491 /nfs/dbraw/zinc/42/64/91/1121426491.db2.gz NBXPWLRLTNOJPK-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO COc1c(F)cccc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001143537023 1121426493 /nfs/dbraw/zinc/42/64/93/1121426493.db2.gz NBXPWLRLTNOJPK-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO Cc1cc(C)c(N)c(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175713119 1121434625 /nfs/dbraw/zinc/43/46/25/1121434625.db2.gz QPEOSWXAGRUXSY-UHFFFAOYSA-N 1 2 296.296 3.625 20 0 CHADLO COc1cccc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1C ZINC001175717967 1121436586 /nfs/dbraw/zinc/43/65/86/1121436586.db2.gz ZSEZNTLXHJCVMA-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2cnn(C(C)C)c2)c(C)s1 ZINC000676489934 1121438943 /nfs/dbraw/zinc/43/89/43/1121438943.db2.gz NAVOIBAPSYGUJH-MNOVXSKESA-N 1 2 292.452 3.949 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2ccc(Cl)nc2)o1 ZINC000893920820 1121441584 /nfs/dbraw/zinc/44/15/84/1121441584.db2.gz YOBCWAKNPNOJGZ-UHFFFAOYSA-N 1 2 282.796 3.682 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2ccc(Cl)nc2)o1 ZINC000893920820 1121441588 /nfs/dbraw/zinc/44/15/88/1121441588.db2.gz YOBCWAKNPNOJGZ-UHFFFAOYSA-N 1 2 282.796 3.682 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2CCC[C@@H]2c2cccc(C)c2)c1C ZINC000893943983 1121442711 /nfs/dbraw/zinc/44/27/11/1121442711.db2.gz JKGCJMYDSFUHHP-QGZVFWFLSA-N 1 2 269.392 3.672 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CCC[C@@H]2c2cccc(C)c2)c1C ZINC000893943983 1121442714 /nfs/dbraw/zinc/44/27/14/1121442714.db2.gz JKGCJMYDSFUHHP-QGZVFWFLSA-N 1 2 269.392 3.672 20 0 CHADLO CSCCC[N@@H+]1Cc2ccc(Br)cc2C1 ZINC000708017542 1121463520 /nfs/dbraw/zinc/46/35/20/1121463520.db2.gz PSRBRCALAJOKGY-UHFFFAOYSA-N 1 2 286.238 3.518 20 0 CHADLO CSCCC[N@H+]1Cc2ccc(Br)cc2C1 ZINC000708017542 1121463523 /nfs/dbraw/zinc/46/35/23/1121463523.db2.gz PSRBRCALAJOKGY-UHFFFAOYSA-N 1 2 286.238 3.518 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@H+](Cc2cnc(Cl)s2)C1 ZINC000708694845 1121465251 /nfs/dbraw/zinc/46/52/51/1121465251.db2.gz WUQFADIPZOOPFK-VIFPVBQESA-N 1 2 284.734 3.571 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC000708694845 1121465253 /nfs/dbraw/zinc/46/52/53/1121465253.db2.gz WUQFADIPZOOPFK-VIFPVBQESA-N 1 2 284.734 3.571 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1cn(C)cn1 ZINC000710104008 1121473583 /nfs/dbraw/zinc/47/35/83/1121473583.db2.gz KJMZPQGQQKXDJS-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO CCN(C)c1ccc(Nc2cnn(C3CCCCC3)c2)c[nH+]1 ZINC001175878243 1121475311 /nfs/dbraw/zinc/47/53/11/1121475311.db2.gz GFCLVWGVCFYDTJ-UHFFFAOYSA-N 1 2 299.422 3.983 20 0 CHADLO c1cn2cccc(Nc3cnn(C4CCCCC4)c3)c2[nH+]1 ZINC001175880553 1121476695 /nfs/dbraw/zinc/47/66/95/1121476695.db2.gz GIFBJANNZYUBIM-UHFFFAOYSA-N 1 2 281.363 3.780 20 0 CHADLO c1cn2cccc(Nc3ccc4scnc4c3)c2[nH+]1 ZINC001175911247 1121495816 /nfs/dbraw/zinc/49/58/16/1121495816.db2.gz HQTUMCBSXCPDLE-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(C3CCCC3)no2)c1C ZINC000428739685 1121497004 /nfs/dbraw/zinc/49/70/04/1121497004.db2.gz IRVAVKGSGIQCBG-UHFFFAOYSA-N 1 2 299.418 3.976 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(C3CCCC3)no2)c1C ZINC000428739685 1121497008 /nfs/dbraw/zinc/49/70/08/1121497008.db2.gz IRVAVKGSGIQCBG-UHFFFAOYSA-N 1 2 299.418 3.976 20 0 CHADLO Cc1cccc(CCCNc2nc3ccc(N)cc3s2)[nH+]1 ZINC000663900254 1121502007 /nfs/dbraw/zinc/50/20/07/1121502007.db2.gz OHMCPEHMQRRKJH-UHFFFAOYSA-N 1 2 298.415 3.627 20 0 CHADLO COCc1nc(C[N@H+](C)Cc2cc(C)ccc2C)cs1 ZINC000429290130 1121511560 /nfs/dbraw/zinc/51/15/60/1121511560.db2.gz RFDKEXYFQXHLEN-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO COCc1nc(C[N@@H+](C)Cc2cc(C)ccc2C)cs1 ZINC000429290130 1121511564 /nfs/dbraw/zinc/51/15/64/1121511564.db2.gz RFDKEXYFQXHLEN-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO Cc1ccc(Nc2[nH+]c3ccccc3n2C)c(F)c1F ZINC001176091041 1121536588 /nfs/dbraw/zinc/53/65/88/1121536588.db2.gz DRSYEKBBGNWQIO-UHFFFAOYSA-N 1 2 273.286 3.904 20 0 CHADLO COc1cc(Nc2cc(C)cc(C)[nH+]2)c(F)cc1F ZINC001176107636 1121552228 /nfs/dbraw/zinc/55/22/28/1121552228.db2.gz QNSQZGWEYLFQAP-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCOc1cc(Nc2cc(OC)c(F)cc2F)cc(C)[nH+]1 ZINC001176107875 1121552708 /nfs/dbraw/zinc/55/27/08/1121552708.db2.gz USCPHHJGTAPVLB-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO COc1cc[nH+]cc1Nc1c(C)cnn1-c1ccc(C)cc1 ZINC001176121356 1121556838 /nfs/dbraw/zinc/55/68/38/1121556838.db2.gz GXUPRRHXNSYXCZ-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(F)(F)F)c(F)c1 ZINC001176123231 1121558399 /nfs/dbraw/zinc/55/83/99/1121558399.db2.gz RGWOAXSGEXJSFY-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C2CCOCC2)cc1 ZINC001176122623 1121558824 /nfs/dbraw/zinc/55/88/24/1121558824.db2.gz DTBILSHEYFTENN-UHFFFAOYSA-N 1 2 284.359 3.728 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)c(F)cc1OC ZINC001176124153 1121558901 /nfs/dbraw/zinc/55/89/01/1121558901.db2.gz OEUYQCOCXOCXNR-UHFFFAOYSA-N 1 2 282.702 3.635 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2oc(C)cc2c1 ZINC001176124464 1121560217 /nfs/dbraw/zinc/56/02/17/1121560217.db2.gz OMKOYLWEWWVQIG-UHFFFAOYSA-N 1 2 254.289 3.888 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2ccccc2c(OC)c1 ZINC001176124550 1121560677 /nfs/dbraw/zinc/56/06/77/1121560677.db2.gz QVMHOCSAVFHAAR-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@H+](Cc2csc(C(C)C)n2)C1 ZINC000177374581 1121565225 /nfs/dbraw/zinc/56/52/25/1121565225.db2.gz LMJVKQKQNBYCOS-OAHLLOKOSA-N 1 2 296.480 3.904 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@@H+](Cc2csc(C(C)C)n2)C1 ZINC000177374581 1121565232 /nfs/dbraw/zinc/56/52/32/1121565232.db2.gz LMJVKQKQNBYCOS-OAHLLOKOSA-N 1 2 296.480 3.904 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2ncoc2C(C)C)n1 ZINC000092801847 1121575703 /nfs/dbraw/zinc/57/57/03/1121575703.db2.gz QTQNLOWZGCCBHH-INIZCTEOSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2ncoc2C(C)C)n1 ZINC000092801847 1121575707 /nfs/dbraw/zinc/57/57/07/1121575707.db2.gz QTQNLOWZGCCBHH-INIZCTEOSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c(c2)CCC3=O)[nH+]1 ZINC001176231623 1121582221 /nfs/dbraw/zinc/58/22/21/1121582221.db2.gz NRQMOEYNHZLVIC-UHFFFAOYSA-N 1 2 277.327 3.515 20 0 CHADLO Cc1cc(C[NH+]2CCC(c3ccccc3F)CC2)ns1 ZINC001249582852 1121592802 /nfs/dbraw/zinc/59/28/02/1121592802.db2.gz YFRKVBWJTPFEIA-UHFFFAOYSA-N 1 2 290.407 3.970 20 0 CHADLO COc1cc(Nc2ccc(O)cc2C(F)(F)F)cc(C)[nH+]1 ZINC001176339019 1121600170 /nfs/dbraw/zinc/60/01/70/1121600170.db2.gz MEBHWXXFMLUHAL-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc2cc(O)ccc2c1 ZINC001176387125 1121608688 /nfs/dbraw/zinc/60/86/88/1121608688.db2.gz MEMIRHMSANRHMM-UHFFFAOYSA-N 1 2 279.343 3.750 20 0 CHADLO Oc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(F)c1 ZINC001176413686 1121628432 /nfs/dbraw/zinc/62/84/32/1121628432.db2.gz QRQMLKHWGJYALT-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+]([C@@H](C)c1ccccc1)C2 ZINC000411923480 1121630357 /nfs/dbraw/zinc/63/03/57/1121630357.db2.gz KRYGTSDZFAHSRT-ZDUSSCGKSA-N 1 2 265.356 3.966 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+]([C@@H](C)c1ccccc1)C2 ZINC000411923480 1121630360 /nfs/dbraw/zinc/63/03/60/1121630360.db2.gz KRYGTSDZFAHSRT-ZDUSSCGKSA-N 1 2 265.356 3.966 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(OCC2CC2)c1 ZINC001176491956 1121638804 /nfs/dbraw/zinc/63/88/04/1121638804.db2.gz LUHGBEXHXGKDON-UHFFFAOYSA-N 1 2 270.332 3.623 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)Cc1cccs1)C(=O)OC(C)(C)C ZINC000611477102 1121651485 /nfs/dbraw/zinc/65/14/85/1121651485.db2.gz GFDZVDWITZPLPK-GXTWGEPZSA-N 1 2 297.464 3.635 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)Cc1cccs1)C(=O)OC(C)(C)C ZINC000611477103 1121651623 /nfs/dbraw/zinc/65/16/23/1121651623.db2.gz GFDZVDWITZPLPK-TZMCWYRMSA-N 1 2 297.464 3.635 20 0 CHADLO Cc1cc(C)c(Nc2cn(C)nc2-c2ccccc2)c[nH+]1 ZINC001176564728 1121654934 /nfs/dbraw/zinc/65/49/34/1121654934.db2.gz KTSKPPGZGGECPL-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@]3(C)[C@@H](C2)C3(F)F)cs1 ZINC000428753520 1121658209 /nfs/dbraw/zinc/65/82/09/1121658209.db2.gz WUAHDNRFPJXJBB-YPMHNXCESA-N 1 2 286.391 3.573 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@]3(C)[C@@H](C2)C3(F)F)cs1 ZINC000428753520 1121658212 /nfs/dbraw/zinc/65/82/12/1121658212.db2.gz WUAHDNRFPJXJBB-YPMHNXCESA-N 1 2 286.391 3.573 20 0 CHADLO COc1ccc(O)cc1Nc1c(C)cc[nH+]c1C(C)C ZINC001176578618 1121659807 /nfs/dbraw/zinc/65/98/07/1121659807.db2.gz VJZNOBYTSCPRER-UHFFFAOYSA-N 1 2 272.348 3.971 20 0 CHADLO CCc1cc(N2CC(C)(C)[C@@H]2c2ccco2)nc(C)[nH+]1 ZINC000631421625 1129000850 /nfs/dbraw/zinc/00/08/50/1129000850.db2.gz MQIZQYQFGCTVNY-HNNXBMFYSA-N 1 2 271.364 3.528 20 0 CHADLO F[C@H]1CCCN(c2cccc(C3CC3)[nH+]2)CC1(F)F ZINC001164062144 1121667124 /nfs/dbraw/zinc/66/71/24/1121667124.db2.gz JGXKYEFAPJOHMC-LBPRGKRZSA-N 1 2 270.298 3.533 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc(C3CC3)cc2)nc1 ZINC000419338584 1121667299 /nfs/dbraw/zinc/66/72/99/1121667299.db2.gz LKUBIPZUXSOKPY-CYBMUJFWSA-N 1 2 267.376 3.513 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(C2CC2)c1 ZINC001176723621 1121678895 /nfs/dbraw/zinc/67/88/95/1121678895.db2.gz AJLHUMVKIOFEFQ-UHFFFAOYSA-N 1 2 263.344 3.794 20 0 CHADLO CN(c1ccccc1)c1ccc(CNc2cccc[nH+]2)cn1 ZINC000052339602 1121685554 /nfs/dbraw/zinc/68/55/54/1121685554.db2.gz VKWJMSPVQADSIT-UHFFFAOYSA-N 1 2 290.370 3.857 20 0 CHADLO Fc1cnc(Nc2ccc[nH+]c2N2CCCC2)c(Cl)c1 ZINC001176749523 1121687063 /nfs/dbraw/zinc/68/70/63/1121687063.db2.gz GEMVEBMDGMPQJA-UHFFFAOYSA-N 1 2 292.745 3.613 20 0 CHADLO Cc1ncc(Cl)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176750842 1121687522 /nfs/dbraw/zinc/68/75/22/1121687522.db2.gz XWAFJNAJWDNVCF-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO Oc1c(Cl)cccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176750353 1121687987 /nfs/dbraw/zinc/68/79/87/1121687987.db2.gz DFXHWWJEFBEQGB-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO CC(C)[C@@H]1CC[N@@H+]1Cc1ccnc(Cl)c1Cl ZINC000811472194 1121694339 /nfs/dbraw/zinc/69/43/39/1121694339.db2.gz ZSBJSKXNUFUZQC-JTQLQIEISA-N 1 2 259.180 3.619 20 0 CHADLO CC(C)[C@@H]1CC[N@H+]1Cc1ccnc(Cl)c1Cl ZINC000811472194 1121694342 /nfs/dbraw/zinc/69/43/42/1121694342.db2.gz ZSBJSKXNUFUZQC-JTQLQIEISA-N 1 2 259.180 3.619 20 0 CHADLO CC(C)C(=O)OCC(C)(C)[C@@H](Oc1cc[nH+]cc1)C(C)C ZINC001225290810 1121723198 /nfs/dbraw/zinc/72/31/98/1121723198.db2.gz IPDPXNCISJPFIQ-HNNXBMFYSA-N 1 2 293.407 3.710 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)[C@@H](C)c1nc(C(C)C)no1 ZINC000152828430 1129005891 /nfs/dbraw/zinc/00/58/91/1129005891.db2.gz BIZBRWXSLLGIPD-LBPRGKRZSA-N 1 2 291.370 3.915 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)[C@@H](C)c1nc(C(C)C)no1 ZINC000152828430 1129005894 /nfs/dbraw/zinc/00/58/94/1129005894.db2.gz BIZBRWXSLLGIPD-LBPRGKRZSA-N 1 2 291.370 3.915 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@H](c2ccccc2)c2cccnc2)o1 ZINC001177656304 1121744663 /nfs/dbraw/zinc/74/46/63/1121744663.db2.gz JCRNNOPGJHMIBL-GOSISDBHSA-N 1 2 293.370 3.566 20 0 CHADLO Cc1coc(C[NH2+]Cc2csc(-c3ccco3)n2)c1 ZINC001178000159 1121761083 /nfs/dbraw/zinc/76/10/83/1121761083.db2.gz ZOXXPEUAVCRMBK-UHFFFAOYSA-N 1 2 274.345 3.594 20 0 CHADLO CCn1ccnc1C[N@H+]1CC=C(c2ccc(C)cc2)CC1 ZINC000728052398 1121761840 /nfs/dbraw/zinc/76/18/40/1121761840.db2.gz DUULYIPOQLKQPN-UHFFFAOYSA-N 1 2 281.403 3.501 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC=C(c2ccc(C)cc2)CC1 ZINC000728052398 1121761845 /nfs/dbraw/zinc/76/18/45/1121761845.db2.gz DUULYIPOQLKQPN-UHFFFAOYSA-N 1 2 281.403 3.501 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCC[C@H](C(F)F)C2)[nH+]1 ZINC001166772200 1121781767 /nfs/dbraw/zinc/78/17/67/1121781767.db2.gz GCRSJUSNKDWVCX-JTQLQIEISA-N 1 2 274.742 3.833 20 0 CHADLO CC(C)[C@@H](C)N(C(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000894231054 1121830023 /nfs/dbraw/zinc/83/00/23/1121830023.db2.gz VCEMRKIVADVSQG-JKSUJKDBSA-N 1 2 299.418 3.912 20 0 CHADLO c1coc(-c2ncc(CNc3cc4cc[nH]c4c[nH+]3)s2)c1 ZINC001179044370 1121841410 /nfs/dbraw/zinc/84/14/10/1121841410.db2.gz CERQOSUTSJQZQT-UHFFFAOYSA-N 1 2 296.355 3.892 20 0 CHADLO Fc1cc(Br)ccc1CCNc1cccc[nH+]1 ZINC000731029367 1121843740 /nfs/dbraw/zinc/84/37/40/1121843740.db2.gz YYPOWFJWIQTHBI-UHFFFAOYSA-N 1 2 295.155 3.638 20 0 CHADLO Cc1cc(CNc2[nH+]c3ccccc3n2CCC(C)C)on1 ZINC001179489168 1121883157 /nfs/dbraw/zinc/88/31/57/1121883157.db2.gz HKMQZICXYAFKCA-UHFFFAOYSA-N 1 2 298.390 3.991 20 0 CHADLO CCC(CC)CC(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000345583469 1121895400 /nfs/dbraw/zinc/89/54/00/1121895400.db2.gz JBJJQLHETIGQFJ-UHFFFAOYSA-N 1 2 289.354 3.776 20 0 CHADLO CC(C)(F)C[NH2+][C@@H](c1cccnc1)c1ccc(F)cc1F ZINC000631658018 1129018746 /nfs/dbraw/zinc/01/87/46/1129018746.db2.gz IJGUVGJPKZDUMU-HNNXBMFYSA-N 1 2 294.320 3.787 20 0 CHADLO CC[C@H](C)c1noc(-c2cc(C)ccc2-n2cc[nH+]c2)n1 ZINC000345939628 1121929176 /nfs/dbraw/zinc/92/91/76/1121929176.db2.gz UWCFCAXXZOGKJF-LBPRGKRZSA-N 1 2 282.347 3.744 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(F)ccc(F)c2Cl)C[C@H](C)S1 ZINC001180024636 1121938385 /nfs/dbraw/zinc/93/83/85/1121938385.db2.gz OWKTYYUBNKSJNF-DTORHVGOSA-N 1 2 291.794 3.944 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(F)ccc(F)c2Cl)C[C@H](C)S1 ZINC001180024636 1121938389 /nfs/dbraw/zinc/93/83/89/1121938389.db2.gz OWKTYYUBNKSJNF-DTORHVGOSA-N 1 2 291.794 3.944 20 0 CHADLO CC[C@H](CSCc1ccc(-n2cc[nH+]c2)cc1)OC ZINC000419465880 1121946303 /nfs/dbraw/zinc/94/63/03/1121946303.db2.gz PVJWCDGVHXSNSV-OAHLLOKOSA-N 1 2 276.405 3.531 20 0 CHADLO CC[C@@H](Cc1ccc(C)cc1)[NH2+][C@@H](C)C(=O)OC(C)(C)C ZINC001180349617 1121978912 /nfs/dbraw/zinc/97/89/12/1121978912.db2.gz NNSKMKVBQYZURJ-HOCLYGCPSA-N 1 2 291.435 3.636 20 0 CHADLO CC[C@H](Cc1ccc(C)cc1)[NH2+][C@@H](C)C(=O)OC(C)(C)C ZINC001180349616 1121979124 /nfs/dbraw/zinc/97/91/24/1121979124.db2.gz NNSKMKVBQYZURJ-GOEBONIOSA-N 1 2 291.435 3.636 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC001180333068 1121981151 /nfs/dbraw/zinc/98/11/51/1121981151.db2.gz YOHKESNPJLPPEV-RISCZKNCSA-N 1 2 285.790 3.919 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC001180333068 1121981156 /nfs/dbraw/zinc/98/11/56/1121981156.db2.gz YOHKESNPJLPPEV-RISCZKNCSA-N 1 2 285.790 3.919 20 0 CHADLO Fc1ccc(C[N@H+]2CCCC[C@H](F)C2)cc1Cl ZINC001204280897 1122017879 /nfs/dbraw/zinc/01/78/79/1122017879.db2.gz RONDMRBZLLHJNP-NSHDSACASA-N 1 2 259.727 3.803 20 0 CHADLO Fc1ccc(C[N@@H+]2CCCC[C@H](F)C2)cc1Cl ZINC001204280897 1122017885 /nfs/dbraw/zinc/01/78/85/1122017885.db2.gz RONDMRBZLLHJNP-NSHDSACASA-N 1 2 259.727 3.803 20 0 CHADLO CCCn1c[nH+]cc1CN1CC2(CCC2)c2ccccc21 ZINC001180859646 1122020244 /nfs/dbraw/zinc/02/02/44/1122020244.db2.gz ZILDLKDMPIZBER-UHFFFAOYSA-N 1 2 281.403 3.735 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H]2CCc3c2nccc3Cl)n1 ZINC000924925463 1122022868 /nfs/dbraw/zinc/02/28/68/1122022868.db2.gz LXKYTUIJLXXODI-SKDRFNHKSA-N 1 2 293.823 3.838 20 0 CHADLO CCCCC[C@@H]([NH2+]CCc1cc(C)cc(C)c1)C(=O)OC ZINC001180950783 1122026225 /nfs/dbraw/zinc/02/62/25/1122026225.db2.gz DSSFBNCLIXPFRB-QGZVFWFLSA-N 1 2 291.435 3.557 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nn(C)cc2Cl)cc1 ZINC000420909803 1122042651 /nfs/dbraw/zinc/04/26/51/1122042651.db2.gz YRKAWTVREPZICN-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nn(C)cc2Cl)cc1 ZINC000420909803 1122042659 /nfs/dbraw/zinc/04/26/59/1122042659.db2.gz YRKAWTVREPZICN-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)[nH]1 ZINC000421007144 1122061509 /nfs/dbraw/zinc/06/15/09/1122061509.db2.gz KWUMXQZOUSSXOT-GFCCVEGCSA-N 1 2 292.452 3.961 20 0 CHADLO CC(C)c1nnc(C[N@H+](C(C)C)[C@H](C)c2ccsc2)[nH]1 ZINC000421007144 1122061512 /nfs/dbraw/zinc/06/15/12/1122061512.db2.gz KWUMXQZOUSSXOT-GFCCVEGCSA-N 1 2 292.452 3.961 20 0 CHADLO Cc1cnc(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)nc1 ZINC000421016268 1122063168 /nfs/dbraw/zinc/06/31/68/1122063168.db2.gz UJJRWZJHGXEABV-ZDUSSCGKSA-N 1 2 275.421 3.818 20 0 CHADLO Cc1cnc(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)nc1 ZINC000421016268 1122063172 /nfs/dbraw/zinc/06/31/72/1122063172.db2.gz UJJRWZJHGXEABV-ZDUSSCGKSA-N 1 2 275.421 3.818 20 0 CHADLO CC(C)(C)c1ccncc1C[NH2+]Cc1ncc(Cl)s1 ZINC000414494950 1122066650 /nfs/dbraw/zinc/06/66/50/1122066650.db2.gz GCONJRRBMLJZMQ-UHFFFAOYSA-N 1 2 295.839 3.779 20 0 CHADLO CC[C@H](CC(C)C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001181806126 1122080323 /nfs/dbraw/zinc/08/03/23/1122080323.db2.gz PYWQUZUUSXNHLE-CQSZACIVSA-N 1 2 285.391 3.883 20 0 CHADLO CCN(CC)c1ccc(N[C@@H]2CCC[C@H]2CCOC)c[nH+]1 ZINC000502409797 1122081406 /nfs/dbraw/zinc/08/14/06/1122081406.db2.gz YJYQURDTSGWSKN-GOEBONIOSA-N 1 2 291.439 3.545 20 0 CHADLO Cc1ccc(Nc2ccc(C)[nH+]c2C)c(Br)n1 ZINC001203368194 1122083399 /nfs/dbraw/zinc/08/33/99/1122083399.db2.gz VYBLRSBARHRYRV-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1cccc(C2CC2)c1 ZINC000421322547 1122093171 /nfs/dbraw/zinc/09/31/71/1122093171.db2.gz UFKCENHALIZGJN-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1cccc(C2CC2)c1 ZINC000421322547 1122093176 /nfs/dbraw/zinc/09/31/76/1122093176.db2.gz UFKCENHALIZGJN-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO Cc1c(Cl)c(C[NH2+]Cc2cccc(C(C)C)c2)nn1C ZINC000421340960 1122095993 /nfs/dbraw/zinc/09/59/93/1122095993.db2.gz JHUJWMVBLUCPRR-UHFFFAOYSA-N 1 2 291.826 3.795 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2c(C)cccc2C)nn1C ZINC000421348615 1122098147 /nfs/dbraw/zinc/09/81/47/1122098147.db2.gz WNARVMZRIQICOJ-GFCCVEGCSA-N 1 2 291.826 3.850 20 0 CHADLO Fc1cccnc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccccc1 ZINC001182109889 1122110627 /nfs/dbraw/zinc/11/06/27/1122110627.db2.gz USYQRSKQYMINTQ-KRWDZBQOSA-N 1 2 282.362 3.948 20 0 CHADLO Fc1cccnc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccccc1 ZINC001182109889 1122110629 /nfs/dbraw/zinc/11/06/29/1122110629.db2.gz USYQRSKQYMINTQ-KRWDZBQOSA-N 1 2 282.362 3.948 20 0 CHADLO Fc1ccc(C[N@@H+]2CC3(CCC3)[C@H]2C2CC2)c(F)c1F ZINC001182185065 1122117281 /nfs/dbraw/zinc/11/72/81/1122117281.db2.gz XVTSVFXSVLVCDM-OAHLLOKOSA-N 1 2 281.321 3.868 20 0 CHADLO Fc1ccc(C[N@H+]2CC3(CCC3)[C@H]2C2CC2)c(F)c1F ZINC001182185065 1122117285 /nfs/dbraw/zinc/11/72/85/1122117285.db2.gz XVTSVFXSVLVCDM-OAHLLOKOSA-N 1 2 281.321 3.868 20 0 CHADLO FC(F)(F)Sc1cccc(Cn2cc[nH+]c2)c1 ZINC000781334686 1122118357 /nfs/dbraw/zinc/11/83/57/1122118357.db2.gz LHVZKBQCUULBHH-UHFFFAOYSA-N 1 2 258.268 3.543 20 0 CHADLO Cc1ccc(Nc2csc(-c3ccncc3)n2)c(C)[nH+]1 ZINC001203370319 1122120093 /nfs/dbraw/zinc/12/00/93/1122120093.db2.gz ZYBKHNPBZSFEPF-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO Cc1cc(CNC(=O)C[C@H](C)c2ccccc2)cc(C)[nH+]1 ZINC000421560113 1122132730 /nfs/dbraw/zinc/13/27/30/1122132730.db2.gz QCYQDRPKGYCVBZ-ZDUSSCGKSA-N 1 2 282.387 3.508 20 0 CHADLO CC[N@H+](Cc1occc1C)Cc1cccc(O)c1Cl ZINC000414544501 1122147880 /nfs/dbraw/zinc/14/78/80/1122147880.db2.gz CZSFYBQQEZSUOI-UHFFFAOYSA-N 1 2 279.767 3.969 20 0 CHADLO CC[N@@H+](Cc1occc1C)Cc1cccc(O)c1Cl ZINC000414544501 1122147884 /nfs/dbraw/zinc/14/78/84/1122147884.db2.gz CZSFYBQQEZSUOI-UHFFFAOYSA-N 1 2 279.767 3.969 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1CCCOCc1ccccc1 ZINC000502856737 1122152435 /nfs/dbraw/zinc/15/24/35/1122152435.db2.gz AINWDCYXMHFBMW-OAHLLOKOSA-N 1 2 283.362 3.713 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1CCCOCc1ccccc1 ZINC000502856737 1122152438 /nfs/dbraw/zinc/15/24/38/1122152438.db2.gz AINWDCYXMHFBMW-OAHLLOKOSA-N 1 2 283.362 3.713 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[NH2+][C@@H](C)c1csnn1 ZINC000414553639 1122153261 /nfs/dbraw/zinc/15/32/61/1122153261.db2.gz UAQQWCCQPWWWMN-JTQLQIEISA-N 1 2 281.812 3.659 20 0 CHADLO CC(C)(C)OC(=O)CCCC[N@@H+]1CCCC[C@H]1C(F)F ZINC000502862842 1122153335 /nfs/dbraw/zinc/15/33/35/1122153335.db2.gz OJWYSQPWDCHEHO-LBPRGKRZSA-N 1 2 291.382 3.618 20 0 CHADLO CC(C)(C)OC(=O)CCCC[N@H+]1CCCC[C@H]1C(F)F ZINC000502862842 1122153339 /nfs/dbraw/zinc/15/33/39/1122153339.db2.gz OJWYSQPWDCHEHO-LBPRGKRZSA-N 1 2 291.382 3.618 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@H+](C)Cc1cc2ccccc2o1 ZINC000502881184 1122154593 /nfs/dbraw/zinc/15/45/93/1122154593.db2.gz VJRYMTXNFDIAEO-HNNXBMFYSA-N 1 2 289.375 3.596 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@@H+](C)Cc1cc2ccccc2o1 ZINC000502881184 1122154595 /nfs/dbraw/zinc/15/45/95/1122154595.db2.gz VJRYMTXNFDIAEO-HNNXBMFYSA-N 1 2 289.375 3.596 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(C)c(CO)cc2C)c1 ZINC001250061208 1122163604 /nfs/dbraw/zinc/16/36/04/1122163604.db2.gz HYIIVLGOJFWEMK-UHFFFAOYSA-N 1 2 270.376 3.887 20 0 CHADLO CC1(C)c2ccccc2CC[N@H+]1Cc1cc(F)ncc1F ZINC001182508086 1122172160 /nfs/dbraw/zinc/17/21/60/1122172160.db2.gz IWBKYVCJOZIMFA-UHFFFAOYSA-N 1 2 288.341 3.653 20 0 CHADLO CC1(C)c2ccccc2CC[N@@H+]1Cc1cc(F)ncc1F ZINC001182508086 1122172162 /nfs/dbraw/zinc/17/21/62/1122172162.db2.gz IWBKYVCJOZIMFA-UHFFFAOYSA-N 1 2 288.341 3.653 20 0 CHADLO CC[C@@H](C[NH2+][C@H](CC)C(=O)OC(C)(C)C)c1ccccc1 ZINC001182565518 1122175690 /nfs/dbraw/zinc/17/56/90/1122175690.db2.gz ITZURGGRTURUBC-GOEBONIOSA-N 1 2 291.435 3.890 20 0 CHADLO Cc1ccncc1[C@@H](C)Nc1ccc([NH+](C)C)c(C)c1 ZINC000272340690 1122198430 /nfs/dbraw/zinc/19/84/30/1122198430.db2.gz DRVUVPIBZRKZCJ-CQSZACIVSA-N 1 2 269.392 3.938 20 0 CHADLO CC(=O)c1cc(Nc2ccc(C)[nH+]c2C)ccc1F ZINC001203374647 1122203475 /nfs/dbraw/zinc/20/34/75/1122203475.db2.gz MBGJKHRDKGKJKH-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1nc(N[C@H](c2ccccc2F)C(C)C)cc[nH+]1 ZINC000664286362 1122220805 /nfs/dbraw/zinc/22/08/05/1122220805.db2.gz FTJYRSLNBPTEQJ-HNNXBMFYSA-N 1 2 259.328 3.733 20 0 CHADLO Cc1cc(N[C@H]2C[C@@H](OC(C)C)C2(C)C)c[nH+]c1C ZINC001183527391 1122225640 /nfs/dbraw/zinc/22/56/40/1122225640.db2.gz VVDWCSKIXKILMS-LSDHHAIUSA-N 1 2 262.397 3.702 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cc(F)ccc2OC)cs1 ZINC000273276958 1122226733 /nfs/dbraw/zinc/22/67/33/1122226733.db2.gz HPUIMFDPZHPESU-SNVBAGLBSA-N 1 2 294.395 3.704 20 0 CHADLO C1=C[C@H](CCC[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC001183642740 1122231643 /nfs/dbraw/zinc/23/16/43/1122231643.db2.gz LGEYATJVHBSQEU-HOCLYGCPSA-N 1 2 288.439 3.762 20 0 CHADLO Cc1ncccc1CNc1[nH]c2ccc(Cl)cc2[nH+]1 ZINC001203572532 1122232147 /nfs/dbraw/zinc/23/21/47/1122232147.db2.gz PASXQMZFGGHZHC-UHFFFAOYSA-N 1 2 272.739 3.532 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@H](c1nc(C)cs1)C1CC1 ZINC000273446683 1122233157 /nfs/dbraw/zinc/23/31/57/1122233157.db2.gz XIUWLOMECREWAC-AWEZNQCLSA-N 1 2 291.420 3.551 20 0 CHADLO Cc1nc(C(F)(F)F)c(C[N@H+]2CC=C(C)CC2)s1 ZINC000273542490 1122237506 /nfs/dbraw/zinc/23/75/06/1122237506.db2.gz AVWWENVQSIVGMV-UHFFFAOYSA-N 1 2 276.327 3.622 20 0 CHADLO Cc1nc(C(F)(F)F)c(C[N@@H+]2CC=C(C)CC2)s1 ZINC000273542490 1122237509 /nfs/dbraw/zinc/23/75/09/1122237509.db2.gz AVWWENVQSIVGMV-UHFFFAOYSA-N 1 2 276.327 3.622 20 0 CHADLO COC1CC(CNc2ccc3[nH+]c(C)cc(C)c3c2)C1 ZINC001183822765 1122241869 /nfs/dbraw/zinc/24/18/69/1122241869.db2.gz MAUVAJFBZXAOQJ-UHFFFAOYSA-N 1 2 270.376 3.689 20 0 CHADLO Cc1cc(C)c2cc(NCc3ccncc3F)ccc2[nH+]1 ZINC001183822027 1122242408 /nfs/dbraw/zinc/24/24/08/1122242408.db2.gz ABKJQYOPOHRKLD-UHFFFAOYSA-N 1 2 281.334 3.998 20 0 CHADLO Cc1cc(Nc2c[nH+]c(N3CCCC[C@H]3C)c(C)c2)ncn1 ZINC000503276126 1122252747 /nfs/dbraw/zinc/25/27/47/1122252747.db2.gz PTPHDKXGTAYVLU-CQSZACIVSA-N 1 2 297.406 3.611 20 0 CHADLO CCOC(=O)[C@@H](C)[N@H+](C/C(C)=C/c1ccccc1)C1CC1 ZINC000503570274 1122268452 /nfs/dbraw/zinc/26/84/52/1122268452.db2.gz FCXNWZKVAHHYSE-OKFGHLOFSA-N 1 2 287.403 3.506 20 0 CHADLO CCOC(=O)[C@@H](C)[N@@H+](C/C(C)=C/c1ccccc1)C1CC1 ZINC000503570274 1122268457 /nfs/dbraw/zinc/26/84/57/1122268457.db2.gz FCXNWZKVAHHYSE-OKFGHLOFSA-N 1 2 287.403 3.506 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(Cl)nc2C)[nH+]1 ZINC001250150509 1122275562 /nfs/dbraw/zinc/27/55/62/1122275562.db2.gz JNONOJQBZNXFMO-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO C[C@H](C(=O)Nc1cccc2[nH+]ccn21)c1ccccc1Cl ZINC001184472413 1122278096 /nfs/dbraw/zinc/27/80/96/1122278096.db2.gz RVEXJCOUAUYTEV-NSHDSACASA-N 1 2 299.761 3.730 20 0 CHADLO CC[C@](C)(CNc1[nH+]c2ccccc2n1CC1CC1)OC ZINC001184461488 1122278428 /nfs/dbraw/zinc/27/84/28/1122278428.db2.gz BDOFUKROIIFVIJ-QGZVFWFLSA-N 1 2 287.407 3.673 20 0 CHADLO COc1ccc([C@@H]2CCCN2c2cccc[nH+]2)cc1F ZINC000664364667 1122295133 /nfs/dbraw/zinc/29/51/33/1122295133.db2.gz BWRWPKPMJNYIHQ-AWEZNQCLSA-N 1 2 272.323 3.571 20 0 CHADLO CC(=O)c1ccc(C)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001184965467 1122303168 /nfs/dbraw/zinc/30/31/68/1122303168.db2.gz VTVPIPFPJJQMLV-UHFFFAOYSA-N 1 2 295.386 3.936 20 0 CHADLO CC(=O)c1cc(F)ccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001184968482 1122304050 /nfs/dbraw/zinc/30/40/50/1122304050.db2.gz NMCKLGVPFZQUQE-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO COc1cccc([C@@H](C)[NH2+]Cc2ncc(C(C)C)o2)c1 ZINC000774855955 1122331223 /nfs/dbraw/zinc/33/12/23/1122331223.db2.gz OKDYXTAYOJPASB-GFCCVEGCSA-N 1 2 274.364 3.657 20 0 CHADLO Cc1cc(N2CCC(C(C)C)CC2)nc(C2CCC2)[nH+]1 ZINC001185367395 1122337134 /nfs/dbraw/zinc/33/71/34/1122337134.db2.gz VJEOWGBHLSZEIW-UHFFFAOYSA-N 1 2 273.424 3.925 20 0 CHADLO CSC(C)(C)CNc1cc(C)[nH+]c(C2CCC2)n1 ZINC001185527390 1122346656 /nfs/dbraw/zinc/34/66/56/1122346656.db2.gz MHNOOFNDJKPRFA-UHFFFAOYSA-N 1 2 265.426 3.606 20 0 CHADLO Cc1nc(NCC2(CC(C)C)CC2)c2c([nH+]1)CCCC2 ZINC001185775876 1122359787 /nfs/dbraw/zinc/35/97/87/1122359787.db2.gz WQRVRWUEANLESE-UHFFFAOYSA-N 1 2 273.424 3.902 20 0 CHADLO CC[C@H]1CCC[C@H]1Nc1nc2ccccc2n2c[nH+]cc12 ZINC001185801502 1122360688 /nfs/dbraw/zinc/36/06/88/1122360688.db2.gz JLQXFRLUWILBLS-QWHCGFSZSA-N 1 2 280.375 3.873 20 0 CHADLO Cc1nc(NC[C@H]2CCC[C@H]2c2ccccc2)cc[nH+]1 ZINC001186564837 1122397515 /nfs/dbraw/zinc/39/75/15/1122397515.db2.gz GHWALDYRAZJKDZ-CVEARBPZSA-N 1 2 267.376 3.781 20 0 CHADLO CCc1cc(N[C@H]2c3ccccc3O[C@@H]2C)nc(CC)[nH+]1 ZINC001186626024 1122400840 /nfs/dbraw/zinc/40/08/40/1122400840.db2.gz CUSVKOIIUGYNAX-PIGZYNQJSA-N 1 2 283.375 3.536 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccc(C)nc2Cl)c1 ZINC000688603244 1129052201 /nfs/dbraw/zinc/05/22/01/1129052201.db2.gz XMBCKYKXRDDHLB-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccc(C)nc2Cl)c1 ZINC000688603244 1129052203 /nfs/dbraw/zinc/05/22/03/1129052203.db2.gz XMBCKYKXRDDHLB-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cc(CNc2ccnc(-c3ccccc3)n2)cc(C)[nH+]1 ZINC001186739319 1122405736 /nfs/dbraw/zinc/40/57/36/1122405736.db2.gz KITRBKKFTHXVJL-UHFFFAOYSA-N 1 2 290.370 3.768 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc3ccccc3n2C)c1 ZINC001203657660 1122424697 /nfs/dbraw/zinc/42/46/97/1122424697.db2.gz SSPXEQCBUMGQCN-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2C[C@@H](C)CC[C@H]2C)no1 ZINC000435035489 1122428530 /nfs/dbraw/zinc/42/85/30/1122428530.db2.gz QDRDFINDNRYKKV-DZGCQCFKSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2C[C@@H](C)CC[C@H]2C)no1 ZINC000435035489 1122428532 /nfs/dbraw/zinc/42/85/32/1122428532.db2.gz QDRDFINDNRYKKV-DZGCQCFKSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)CCCC(=O)N3)c1 ZINC001203664097 1122431898 /nfs/dbraw/zinc/43/18/98/1122431898.db2.gz XZLGQPAXSMSKCW-UHFFFAOYSA-N 1 2 281.359 3.717 20 0 CHADLO COc1cc(C)[nH+]c(CSCC(=O)c2ccccc2)c1 ZINC000601085623 1122444279 /nfs/dbraw/zinc/44/42/79/1122444279.db2.gz WSRNYYKKVYXAMX-UHFFFAOYSA-N 1 2 287.384 3.515 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2nc3ccccc3[nH]2)cc1 ZINC000505640476 1122447016 /nfs/dbraw/zinc/44/70/16/1122447016.db2.gz UYPSLXHZRADAAI-UHFFFAOYSA-N 1 2 295.386 3.594 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2nc3ccccc3[nH]2)cc1 ZINC000505640476 1122447017 /nfs/dbraw/zinc/44/70/17/1122447017.db2.gz UYPSLXHZRADAAI-UHFFFAOYSA-N 1 2 295.386 3.594 20 0 CHADLO CCNc1ccc(Nc2ncnc3ccc(C)cc32)c[nH+]1 ZINC001187921454 1122447696 /nfs/dbraw/zinc/44/76/96/1122447696.db2.gz WEMSMAMXUGEKOQ-UHFFFAOYSA-N 1 2 279.347 3.509 20 0 CHADLO CSc1cccc(NC(=O)Nc2cccc3[nH+]ccn32)c1 ZINC001188015695 1122452102 /nfs/dbraw/zinc/45/21/02/1122452102.db2.gz LIOJDUQKWNITED-UHFFFAOYSA-N 1 2 298.371 3.700 20 0 CHADLO Cc1ccc2c(c1)N(Cc1c[nH+]cn1C(C)C)C[C@H](C)O2 ZINC000425331582 1122455255 /nfs/dbraw/zinc/45/52/55/1122455255.db2.gz BWGBDRHYZKKJDV-AWEZNQCLSA-N 1 2 285.391 3.560 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCc2[nH]c3ccccc3c2C1 ZINC000425326860 1122456026 /nfs/dbraw/zinc/45/60/26/1122456026.db2.gz OPADOHGRPNUZGT-UHFFFAOYSA-N 1 2 294.402 3.504 20 0 CHADLO Cc1nc(C)c([C@H](C)[N@@H+](C)Cc2cncn2C(C)C)s1 ZINC000425342229 1122458822 /nfs/dbraw/zinc/45/88/22/1122458822.db2.gz YKCIHWSQBFESEG-LBPRGKRZSA-N 1 2 292.452 3.730 20 0 CHADLO Cc1nc(C)c([C@H](C)[N@H+](C)Cc2cncn2C(C)C)s1 ZINC000425342229 1122458824 /nfs/dbraw/zinc/45/88/24/1122458824.db2.gz YKCIHWSQBFESEG-LBPRGKRZSA-N 1 2 292.452 3.730 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@@H]2CCc3c2c(F)ccc3F)n1 ZINC000515154693 1122480581 /nfs/dbraw/zinc/48/05/81/1122480581.db2.gz PBTTXVXAZPUEMK-OAHLLOKOSA-N 1 2 291.345 3.519 20 0 CHADLO CC[C@](C)([NH2+]Cc1nnc(C(C)C)o1)c1ccccc1 ZINC000775389891 1122539525 /nfs/dbraw/zinc/53/95/25/1122539525.db2.gz IWFFWIIBIZOTSS-INIZCTEOSA-N 1 2 273.380 3.608 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(F)cc2[nH]ccc21 ZINC001203729855 1122560833 /nfs/dbraw/zinc/56/08/33/1122560833.db2.gz CWHSFOFFENAIKW-UHFFFAOYSA-N 1 2 280.306 3.537 20 0 CHADLO CC(C)c1cnc(C[NH2+]C(C)(C)c2cccc(F)c2)o1 ZINC000775443146 1122580583 /nfs/dbraw/zinc/58/05/83/1122580583.db2.gz OCFPWWJAHYCJAM-UHFFFAOYSA-N 1 2 276.355 3.962 20 0 CHADLO CC(=O)c1cc(C[N@@H+]([C@H](C)c2ccccc2)C2CC2)on1 ZINC000516781804 1122627083 /nfs/dbraw/zinc/62/70/83/1122627083.db2.gz VWBSJAVDCCRFQY-GFCCVEGCSA-N 1 2 284.359 3.603 20 0 CHADLO CC(=O)c1cc(C[N@H+]([C@H](C)c2ccccc2)C2CC2)on1 ZINC000516781804 1122627087 /nfs/dbraw/zinc/62/70/87/1122627087.db2.gz VWBSJAVDCCRFQY-GFCCVEGCSA-N 1 2 284.359 3.603 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3ccc(F)c(C)c3)ccc2[nH+]1 ZINC001192355596 1122640998 /nfs/dbraw/zinc/64/09/98/1122640998.db2.gz CBSXPKLNZMPMPL-UHFFFAOYSA-N 1 2 298.321 3.734 20 0 CHADLO CC[N@H+](Cc1c(Cl)cnn1C)[C@H](C)c1ccc(F)cc1 ZINC000437584659 1122643160 /nfs/dbraw/zinc/64/31/60/1122643160.db2.gz XTKADCDSPRPBKL-LLVKDONJSA-N 1 2 295.789 3.796 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cnn1C)[C@H](C)c1ccc(F)cc1 ZINC000437584659 1122643163 /nfs/dbraw/zinc/64/31/63/1122643163.db2.gz XTKADCDSPRPBKL-LLVKDONJSA-N 1 2 295.789 3.796 20 0 CHADLO C[C@H]([NH2+]Cc1cnsn1)c1cc2ccccc2s1 ZINC001193448272 1122689679 /nfs/dbraw/zinc/68/96/79/1122689679.db2.gz NCRRNLPZPKWFLP-VIFPVBQESA-N 1 2 275.402 3.604 20 0 CHADLO CC[C@@H]1CC[N@H+]([C@H](C(=O)OC)c2ccc(Cl)cc2)[C@H]1C ZINC001193607010 1122705661 /nfs/dbraw/zinc/70/56/61/1122705661.db2.gz RMPCULMJSNGHDX-ZOWXZIJZSA-N 1 2 295.810 3.675 20 0 CHADLO CC[C@@H]1CC[N@@H+]([C@H](C(=O)OC)c2ccc(Cl)cc2)[C@H]1C ZINC001193607010 1122705663 /nfs/dbraw/zinc/70/56/63/1122705663.db2.gz RMPCULMJSNGHDX-ZOWXZIJZSA-N 1 2 295.810 3.675 20 0 CHADLO Cc1c(F)cccc1-c1ccc(C[N@@H+]2CCO[C@@H](C)C2)o1 ZINC000506261760 1122707183 /nfs/dbraw/zinc/70/71/83/1122707183.db2.gz KOCIPOAUKSQIBF-LBPRGKRZSA-N 1 2 289.350 3.615 20 0 CHADLO Cc1c(F)cccc1-c1ccc(C[N@H+]2CCO[C@@H](C)C2)o1 ZINC000506261760 1122707185 /nfs/dbraw/zinc/70/71/85/1122707185.db2.gz KOCIPOAUKSQIBF-LBPRGKRZSA-N 1 2 289.350 3.615 20 0 CHADLO Cc1cc(F)cc2c1C[N@H+](Cc1cc(F)cc(F)c1)CC2 ZINC001193774301 1122714603 /nfs/dbraw/zinc/71/46/03/1122714603.db2.gz XHRJPMUXHXSCMX-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1cc(F)cc2c1C[N@@H+](Cc1cc(F)cc(F)c1)CC2 ZINC001193774301 1122714606 /nfs/dbraw/zinc/71/46/06/1122714606.db2.gz XHRJPMUXHXSCMX-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO CC(C)c1ccccc1C[N@H+](C)CC(=O)OC(C)(C)C ZINC001193881858 1122724035 /nfs/dbraw/zinc/72/40/35/1122724035.db2.gz UUHSDIMJKAPBDB-UHFFFAOYSA-N 1 2 277.408 3.584 20 0 CHADLO CC(C)c1ccccc1C[N@@H+](C)CC(=O)OC(C)(C)C ZINC001193881858 1122724039 /nfs/dbraw/zinc/72/40/39/1122724039.db2.gz UUHSDIMJKAPBDB-UHFFFAOYSA-N 1 2 277.408 3.584 20 0 CHADLO CCC[C@@H](CC)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000638567018 1122740001 /nfs/dbraw/zinc/74/00/01/1122740001.db2.gz HFEXZGAARYEMCG-KGLIPLIRSA-N 1 2 264.417 3.594 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)nc1 ZINC000518404526 1122753872 /nfs/dbraw/zinc/75/38/72/1122753872.db2.gz XAWYHMCEHRNXCR-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3ccc(Cl)cc3C2)nc1 ZINC000518404526 1122753874 /nfs/dbraw/zinc/75/38/74/1122753874.db2.gz XAWYHMCEHRNXCR-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO Cc1cnccc1C[N@@H+](C)[C@H](C)c1c(F)cccc1F ZINC000518476240 1122759246 /nfs/dbraw/zinc/75/92/46/1122759246.db2.gz ABZSJHWABSVMND-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cnccc1C[N@H+](C)[C@H](C)c1c(F)cccc1F ZINC000518476240 1122759248 /nfs/dbraw/zinc/75/92/48/1122759248.db2.gz ABZSJHWABSVMND-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO COC[C@H]([NH2+]Cc1occc1C)c1cccc(Cl)c1F ZINC000426325752 1122764249 /nfs/dbraw/zinc/76/42/49/1122764249.db2.gz VYNJZQZTVHCNNE-ZDUSSCGKSA-N 1 2 297.757 3.858 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC(C)(C)C2(C)C)cs1 ZINC000079477742 1122783428 /nfs/dbraw/zinc/78/34/28/1122783428.db2.gz GMRTVWDLYLUYIS-UHFFFAOYSA-N 1 2 252.427 3.887 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC(C)(C)C2(C)C)cs1 ZINC000079477742 1122783432 /nfs/dbraw/zinc/78/34/32/1122783432.db2.gz GMRTVWDLYLUYIS-UHFFFAOYSA-N 1 2 252.427 3.887 20 0 CHADLO CCC(CC)COC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC001194685366 1122791840 /nfs/dbraw/zinc/79/18/40/1122791840.db2.gz PSWRALRJIYOITK-UHFFFAOYSA-N 1 2 275.352 3.627 20 0 CHADLO CC[N@H+](CC(C)C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000048621174 1122797496 /nfs/dbraw/zinc/79/74/96/1122797496.db2.gz KMHOXUBAEVPULI-UHFFFAOYSA-N 1 2 290.436 3.543 20 0 CHADLO CC[N@@H+](CC(C)C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000048621174 1122797500 /nfs/dbraw/zinc/79/75/00/1122797500.db2.gz KMHOXUBAEVPULI-UHFFFAOYSA-N 1 2 290.436 3.543 20 0 CHADLO Cc1nc2ccccn2c1C[N@@H+](C)Cc1ccccc1Cl ZINC000506749278 1122823727 /nfs/dbraw/zinc/82/37/27/1122823727.db2.gz AVGUVMZPNPPRQT-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1nc2ccccn2c1C[N@H+](C)Cc1ccccc1Cl ZINC000506749278 1122823732 /nfs/dbraw/zinc/82/37/32/1122823732.db2.gz AVGUVMZPNPPRQT-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)Oc1ccc(F)cc1 ZINC001194998266 1122830770 /nfs/dbraw/zinc/83/07/70/1122830770.db2.gz DPKSXSKZAQHYRR-UHFFFAOYSA-N 1 2 297.289 3.622 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2C[C@H](C)C[C@@H]2c2cccnc2)n1 ZINC000894462567 1122858283 /nfs/dbraw/zinc/85/82/83/1122858283.db2.gz YBRACUIFKWFLOH-CZUORRHYSA-N 1 2 284.407 3.511 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2C[C@H](C)C[C@@H]2c2cccnc2)n1 ZINC000894462567 1122858284 /nfs/dbraw/zinc/85/82/84/1122858284.db2.gz YBRACUIFKWFLOH-CZUORRHYSA-N 1 2 284.407 3.511 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[NH2+]Cc1c[nH]cn1 ZINC000894474660 1122860157 /nfs/dbraw/zinc/86/01/57/1122860157.db2.gz WQRLDIZVBBRUJA-UHFFFAOYSA-N 1 2 295.386 3.640 20 0 CHADLO Cc1cc(NC[C@@H](C)c2ccccn2)nc(C2CCC2)[nH+]1 ZINC000894476198 1122860831 /nfs/dbraw/zinc/86/08/31/1122860831.db2.gz DLTGJTAHUYIGPL-GFCCVEGCSA-N 1 2 282.391 3.663 20 0 CHADLO CC[C@@H](c1cccc(OC)c1)[N@H+](C)Cc1nccn1C1CC1 ZINC000894520304 1122867326 /nfs/dbraw/zinc/86/73/26/1122867326.db2.gz KJQDYYOSTKRPBM-KRWDZBQOSA-N 1 2 299.418 3.810 20 0 CHADLO CC[C@@H](c1cccc(OC)c1)[N@@H+](C)Cc1nccn1C1CC1 ZINC000894520304 1122867330 /nfs/dbraw/zinc/86/73/30/1122867330.db2.gz KJQDYYOSTKRPBM-KRWDZBQOSA-N 1 2 299.418 3.810 20 0 CHADLO Cc1cc(N[C@@H]2C[C@H](O)c3ccccc32)nc(C2CCC2)[nH+]1 ZINC000894549989 1122872578 /nfs/dbraw/zinc/87/25/78/1122872578.db2.gz VRBLHKTVYILDLL-CVEARBPZSA-N 1 2 295.386 3.643 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000664834207 1122880610 /nfs/dbraw/zinc/88/06/10/1122880610.db2.gz QDMFAOSLZMISHG-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000664834207 1122880615 /nfs/dbraw/zinc/88/06/15/1122880615.db2.gz QDMFAOSLZMISHG-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCN(C)[C@@H](C(C)(C)C)C1 ZINC001195677531 1122880722 /nfs/dbraw/zinc/88/07/22/1122880722.db2.gz ODBNGIPMCIZFNV-MRXNPFEDSA-N 1 2 294.870 3.811 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCN(C)[C@@H](C(C)(C)C)C1 ZINC001195677531 1122880729 /nfs/dbraw/zinc/88/07/29/1122880729.db2.gz ODBNGIPMCIZFNV-MRXNPFEDSA-N 1 2 294.870 3.811 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440462067 1122882545 /nfs/dbraw/zinc/88/25/45/1122882545.db2.gz NJNURYTWHWPKAV-UHFFFAOYSA-N 1 2 299.418 3.631 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440462067 1122882552 /nfs/dbraw/zinc/88/25/52/1122882552.db2.gz NJNURYTWHWPKAV-UHFFFAOYSA-N 1 2 299.418 3.631 20 0 CHADLO Cc1cc(N[C@H](C)c2ccns2)nc(C2CCC2)[nH+]1 ZINC000894815535 1122908400 /nfs/dbraw/zinc/90/84/00/1122908400.db2.gz OKFHFZLNVZNKEL-SNVBAGLBSA-N 1 2 274.393 3.682 20 0 CHADLO CCC[N@H+](Cc1ccns1)Cc1ccccc1F ZINC001196166618 1122909687 /nfs/dbraw/zinc/90/96/87/1122909687.db2.gz MJIRDULQZQJLHI-UHFFFAOYSA-N 1 2 264.369 3.694 20 0 CHADLO CCC[N@@H+](Cc1ccns1)Cc1ccccc1F ZINC001196166618 1122909690 /nfs/dbraw/zinc/90/96/90/1122909690.db2.gz MJIRDULQZQJLHI-UHFFFAOYSA-N 1 2 264.369 3.694 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cccc(O)c2Cl)c1 ZINC000506931537 1122913693 /nfs/dbraw/zinc/91/36/93/1122913693.db2.gz XATMUZOHPNLLOC-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cccc(O)c2Cl)c1 ZINC000506931537 1122913695 /nfs/dbraw/zinc/91/36/95/1122913695.db2.gz XATMUZOHPNLLOC-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO Oc1ccc2cc(-c3cccc4[nH+]ccn43)ccc2c1 ZINC001205765121 1122914395 /nfs/dbraw/zinc/91/43/95/1122914395.db2.gz PNYGOKZAXHZWPS-UHFFFAOYSA-N 1 2 260.296 3.860 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc3ccccc3o2)C[C@@](C)(C(F)F)O1 ZINC001196273996 1122915095 /nfs/dbraw/zinc/91/50/95/1122915095.db2.gz CCOXEZQVCVBSFP-ZBEGNZNMSA-N 1 2 295.329 3.677 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc3ccccc3o2)C[C@@](C)(C(F)F)O1 ZINC001196273996 1122915100 /nfs/dbraw/zinc/91/51/00/1122915100.db2.gz CCOXEZQVCVBSFP-ZBEGNZNMSA-N 1 2 295.329 3.677 20 0 CHADLO Cc1nc(NCc2ccsc2)c(Br)c(C)[nH+]1 ZINC000323141963 1122925043 /nfs/dbraw/zinc/92/50/43/1122925043.db2.gz FCKSIFYXMURXTC-UHFFFAOYSA-N 1 2 298.209 3.530 20 0 CHADLO COc1ccc(F)c(C[N@H+](C)Cc2ccc(F)c(F)c2)c1 ZINC000507188777 1122937783 /nfs/dbraw/zinc/93/77/83/1122937783.db2.gz RLGLPPDTHAQDGA-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(F)c(C[N@@H+](C)Cc2ccc(F)c(F)c2)c1 ZINC000507188777 1122937786 /nfs/dbraw/zinc/93/77/86/1122937786.db2.gz RLGLPPDTHAQDGA-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO CC[C@H](F)C[NH2+]C(C)(C)c1nc(C(F)(F)F)cs1 ZINC000440494833 1122947369 /nfs/dbraw/zinc/94/73/69/1122947369.db2.gz ACOHJVMXWPAHEE-ZETCQYMHSA-N 1 2 284.322 3.735 20 0 CHADLO Cc1ccc(C[N@@H+]2CCn3cccc3[C@@H]2c2ccccc2)o1 ZINC000507355338 1122950312 /nfs/dbraw/zinc/95/03/12/1122950312.db2.gz VJDQNEWNRAPPTR-IBGZPJMESA-N 1 2 292.382 3.995 20 0 CHADLO Cc1ccc(C[N@H+]2CCn3cccc3[C@@H]2c2ccccc2)o1 ZINC000507355338 1122950314 /nfs/dbraw/zinc/95/03/14/1122950314.db2.gz VJDQNEWNRAPPTR-IBGZPJMESA-N 1 2 292.382 3.995 20 0 CHADLO Cn1ccnc1C[NH2+][C@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000334321593 1122986738 /nfs/dbraw/zinc/98/67/38/1122986738.db2.gz HZVYBLBHJSUBKE-LBPRGKRZSA-N 1 2 296.201 3.504 20 0 CHADLO Cn1c2ccccc2nc1C[N@H+](C)Cc1cccc(Cl)c1 ZINC000154706154 1129091681 /nfs/dbraw/zinc/09/16/81/1129091681.db2.gz XWRGUZWLXYKPCN-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO Cn1c2ccccc2nc1C[N@@H+](C)Cc1cccc(Cl)c1 ZINC000154706154 1129091685 /nfs/dbraw/zinc/09/16/85/1129091685.db2.gz XWRGUZWLXYKPCN-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO CCc1ccccc1C[N@@H+]1CC(F)(F)CC[C@@H]1C ZINC001198642298 1123003236 /nfs/dbraw/zinc/00/32/36/1123003236.db2.gz YFADFNFUJQZEPN-LBPRGKRZSA-N 1 2 253.336 3.869 20 0 CHADLO CCc1ccccc1C[N@H+]1CC(F)(F)CC[C@@H]1C ZINC001198642298 1123003242 /nfs/dbraw/zinc/00/32/42/1123003242.db2.gz YFADFNFUJQZEPN-LBPRGKRZSA-N 1 2 253.336 3.869 20 0 CHADLO CCc1ccc(NCc2c[nH+]c3c(C)cccn23)cc1 ZINC001199498939 1123030644 /nfs/dbraw/zinc/03/06/44/1123030644.db2.gz WORMVCMJSLTRPJ-UHFFFAOYSA-N 1 2 265.360 3.817 20 0 CHADLO CC[C@H](F)C[N@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000440719302 1123033855 /nfs/dbraw/zinc/03/38/55/1123033855.db2.gz XHNHTKRHPHIEOH-NSHDSACASA-N 1 2 257.299 3.677 20 0 CHADLO CC[C@H](F)C[N@@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000440719302 1123033858 /nfs/dbraw/zinc/03/38/58/1123033858.db2.gz XHNHTKRHPHIEOH-NSHDSACASA-N 1 2 257.299 3.677 20 0 CHADLO CC[C@H](C)[C@H]([NH2+]CCc1cccs1)C(=O)OC(C)(C)C ZINC000776021115 1123038287 /nfs/dbraw/zinc/03/82/87/1123038287.db2.gz IMTBTCRIMTWALM-JSGCOSHPSA-N 1 2 297.464 3.637 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2cc(C)cc(C)c2)o1 ZINC000353709943 1123050668 /nfs/dbraw/zinc/05/06/68/1123050668.db2.gz SXCGVOBUTVQDMS-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2cc(C)cc(C)c2)o1 ZINC000353709943 1123050672 /nfs/dbraw/zinc/05/06/72/1123050672.db2.gz SXCGVOBUTVQDMS-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Clc1ccc2c(C[N@@H+]3Cc4ccncc4C3)c[nH]c2c1 ZINC001141586207 1123062545 /nfs/dbraw/zinc/06/25/45/1123062545.db2.gz XCHIHSRQFRRTGW-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1ccc2c(C[N@H+]3Cc4ccncc4C3)c[nH]c2c1 ZINC001141586207 1123062548 /nfs/dbraw/zinc/06/25/48/1123062548.db2.gz XCHIHSRQFRRTGW-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cc(C)cc(F)c2)no1 ZINC001143580638 1123071378 /nfs/dbraw/zinc/07/13/78/1123071378.db2.gz QGBIAIOXQRHZFC-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cc(C)cc(F)c2)no1 ZINC001143580638 1123071387 /nfs/dbraw/zinc/07/13/87/1123071387.db2.gz QGBIAIOXQRHZFC-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO Nc1ccc(Nc2cccc(CCc3ccccn3)c2)c[nH+]1 ZINC001201284154 1123080451 /nfs/dbraw/zinc/08/04/51/1123080451.db2.gz KOWOLJKWSPJVFY-UHFFFAOYSA-N 1 2 290.370 3.588 20 0 CHADLO Nc1ccc(Nc2cccc3cc(Cl)cnc32)c[nH+]1 ZINC001201285267 1123080713 /nfs/dbraw/zinc/08/07/13/1123080713.db2.gz FPQVAYVDEDHEKQ-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2ccc3cc(Cl)cnc3c2)c[nH+]1 ZINC001201292332 1123083812 /nfs/dbraw/zinc/08/38/12/1123083812.db2.gz VMGJJYSMMNIDOI-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Cc1ccc(CNc2ccc(-n3cc[nH+]c3)c(F)c2)cc1 ZINC001201256515 1123084300 /nfs/dbraw/zinc/08/43/00/1123084300.db2.gz CLQVVOGEYAVALZ-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO CC(C)(C)OC(=O)C[N@@H+]1CCCCC[C@H]1c1ccco1 ZINC000112669604 1123093772 /nfs/dbraw/zinc/09/37/72/1123093772.db2.gz FBDUFMYGGFXDJO-ZDUSSCGKSA-N 1 2 279.380 3.538 20 0 CHADLO CC(C)(C)OC(=O)C[N@H+]1CCCCC[C@H]1c1ccco1 ZINC000112669604 1123093776 /nfs/dbraw/zinc/09/37/76/1123093776.db2.gz FBDUFMYGGFXDJO-ZDUSSCGKSA-N 1 2 279.380 3.538 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2ccnc3cccnc32)cc1 ZINC001201488821 1123108351 /nfs/dbraw/zinc/10/83/51/1123108351.db2.gz JHWFMYIDVRQMSI-UHFFFAOYSA-N 1 2 278.359 3.616 20 0 CHADLO COc1ncc(Nc2ccc([NH2+]C(C)C)cc2)cc1F ZINC001201489527 1123108597 /nfs/dbraw/zinc/10/85/97/1123108597.db2.gz RPTPMYAELITUQO-UHFFFAOYSA-N 1 2 275.327 3.793 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(NC(C)C)cc1 ZINC001201489862 1123108817 /nfs/dbraw/zinc/10/88/17/1123108817.db2.gz VJCRJYDVIAJZEI-UHFFFAOYSA-N 1 2 257.337 3.654 20 0 CHADLO COc1cc(OC)c(Nc2ccc([NH2+]C(C)C)cc2)cn1 ZINC001201492163 1123109333 /nfs/dbraw/zinc/10/93/33/1123109333.db2.gz VRORAXHHWLMKIU-UHFFFAOYSA-N 1 2 287.363 3.663 20 0 CHADLO CC(C)[NH2+]c1ccc(-n2ccnc2-c2ccc(N)cc2)cc1 ZINC001201499507 1123112506 /nfs/dbraw/zinc/11/25/06/1123112506.db2.gz PKHKPCVPMZJGRD-UHFFFAOYSA-N 1 2 292.386 3.942 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1cncc(F)c1 ZINC000188463410 1123115243 /nfs/dbraw/zinc/11/52/43/1123115243.db2.gz LUWDKCFGOJXFDN-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO Cc1ccc(Nc2cncc(OC(C)C)c2)c(C)[nH+]1 ZINC001201535129 1123115860 /nfs/dbraw/zinc/11/58/60/1123115860.db2.gz NFFYLCRJMGZRTF-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO CC(C)Oc1cncc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001201541667 1123117187 /nfs/dbraw/zinc/11/71/87/1123117187.db2.gz UESLJKXIYGOSTH-UHFFFAOYSA-N 1 2 298.390 3.608 20 0 CHADLO CC[C@@H]1C[C@@H](CNc2ccc(N(CC)CC)[nH+]c2)CCO1 ZINC001201573247 1123126870 /nfs/dbraw/zinc/12/68/70/1123126870.db2.gz PTFMXMDUOKLMEI-GOEBONIOSA-N 1 2 291.439 3.545 20 0 CHADLO C=C(Cl)C[N@H+]1CCC[C@@H]1c1cc(OC)ccc1OC ZINC000053099493 1123127248 /nfs/dbraw/zinc/12/72/48/1123127248.db2.gz BFRKOPPWFKQMAH-CQSZACIVSA-N 1 2 281.783 3.593 20 0 CHADLO C=C(Cl)C[N@@H+]1CCC[C@@H]1c1cc(OC)ccc1OC ZINC000053099493 1123127249 /nfs/dbraw/zinc/12/72/49/1123127249.db2.gz BFRKOPPWFKQMAH-CQSZACIVSA-N 1 2 281.783 3.593 20 0 CHADLO CCC[C@H]1CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000155245357 1129100478 /nfs/dbraw/zinc/10/04/78/1129100478.db2.gz VKDGFSPJQJNFHI-HNNXBMFYSA-N 1 2 297.402 3.525 20 0 CHADLO CCCC[C@@H](O)CNc1cc[nH+]c2c(Cl)cccc12 ZINC001252080043 1123130148 /nfs/dbraw/zinc/13/01/48/1123130148.db2.gz DGNJKHBSYUDHGS-LLVKDONJSA-N 1 2 278.783 3.851 20 0 CHADLO C[C@@H]([NH2+]CCC(F)(F)F)c1nc(C2CCCCC2)no1 ZINC000348531188 1123148572 /nfs/dbraw/zinc/14/85/72/1123148572.db2.gz CDUKGNRPYANBKA-SECBINFHSA-N 1 2 291.317 3.720 20 0 CHADLO FC1(F)C[N@H+](CC2CC2)CC[C@H]1c1ccccc1 ZINC001201952525 1123162295 /nfs/dbraw/zinc/16/22/95/1123162295.db2.gz QYSPMKFDVWZZMG-AWEZNQCLSA-N 1 2 251.320 3.521 20 0 CHADLO FC1(F)C[N@@H+](CC2CC2)CC[C@H]1c1ccccc1 ZINC001201952525 1123162296 /nfs/dbraw/zinc/16/22/96/1123162296.db2.gz QYSPMKFDVWZZMG-AWEZNQCLSA-N 1 2 251.320 3.521 20 0 CHADLO COc1cc(Cl)ccc1NCc1cc(C)[nH+]c(C)c1 ZINC001202092829 1123174602 /nfs/dbraw/zinc/17/46/02/1123174602.db2.gz OFLWVEZJGVLPLV-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO Cc1ccc(C)c(SCC(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000215618487 1123179866 /nfs/dbraw/zinc/17/98/66/1123179866.db2.gz ZMJVVEVFGUXBDI-UHFFFAOYSA-N 1 2 286.400 3.738 20 0 CHADLO Cc1nc(-c2cccc(NCc3[nH]c(C)c(C)[nH+]3)c2)oc1C ZINC000520611422 1123187082 /nfs/dbraw/zinc/18/70/82/1123187082.db2.gz LKAKUXYXWKTOQP-UHFFFAOYSA-N 1 2 296.374 3.910 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccccc2F)C2CC2)nc1 ZINC000155711542 1129105202 /nfs/dbraw/zinc/10/52/02/1129105202.db2.gz OPVFAJICZGRIQF-UHFFFAOYSA-N 1 2 270.351 3.694 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccccc2F)C2CC2)nc1 ZINC000155711542 1129105204 /nfs/dbraw/zinc/10/52/04/1129105204.db2.gz OPVFAJICZGRIQF-UHFFFAOYSA-N 1 2 270.351 3.694 20 0 CHADLO CCc1nc(C[NH2+]Cc2nccc3ccccc32)cs1 ZINC000067450707 1123217234 /nfs/dbraw/zinc/21/72/34/1123217234.db2.gz GINFBSZOZVAQJO-UHFFFAOYSA-N 1 2 283.400 3.544 20 0 CHADLO CC[N@H+](Cc1cn2cccc(C)c2n1)Cc1occc1C ZINC000665239465 1123244796 /nfs/dbraw/zinc/24/47/96/1123244796.db2.gz FNSIYDMYWQOUHG-UHFFFAOYSA-N 1 2 283.375 3.566 20 0 CHADLO CC[N@@H+](Cc1cn2cccc(C)c2n1)Cc1occc1C ZINC000665239465 1123244801 /nfs/dbraw/zinc/24/48/01/1123244801.db2.gz FNSIYDMYWQOUHG-UHFFFAOYSA-N 1 2 283.375 3.566 20 0 CHADLO Cc1[nH]c(CNc2ccc(OC(C)C)c(C)c2)[nH+]c1C ZINC000850218226 1123248244 /nfs/dbraw/zinc/24/82/44/1123248244.db2.gz BDOQXVKJYWRVFJ-UHFFFAOYSA-N 1 2 273.380 3.734 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2c(F)cccc2Cl)cn1 ZINC000427816854 1123251272 /nfs/dbraw/zinc/25/12/72/1123251272.db2.gz JVFUVDAVHGYUBE-MNOVXSKESA-N 1 2 293.773 3.989 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)CSCCF ZINC000850449406 1123268931 /nfs/dbraw/zinc/26/89/31/1123268931.db2.gz WWNKXYJZYMGALX-GFCCVEGCSA-N 1 2 270.417 3.564 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000428231077 1123285512 /nfs/dbraw/zinc/28/55/12/1123285512.db2.gz JCWPLCORZMSFMK-LBPRGKRZSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000428231077 1123285514 /nfs/dbraw/zinc/28/55/14/1123285514.db2.gz JCWPLCORZMSFMK-LBPRGKRZSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1cccc2c1CCC[C@H]2[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000850574573 1123296451 /nfs/dbraw/zinc/29/64/51/1123296451.db2.gz ZMJFPDLDTAAGSN-SJORKVTESA-N 1 2 281.403 3.763 20 0 CHADLO CC(C)c1cnc(C[NH2+]Cc2cnc(Cl)s2)s1 ZINC000895154427 1123308495 /nfs/dbraw/zinc/30/84/95/1123308495.db2.gz ZGXDMYZCMFGOJJ-UHFFFAOYSA-N 1 2 287.841 3.666 20 0 CHADLO c1csc(-c2nc(C[N@@H+]3C[C@@H]4C[C@H]3CS4)cs2)c1 ZINC000335159751 1123311976 /nfs/dbraw/zinc/31/19/76/1123311976.db2.gz VPYJONWTBKMBGL-QWRGUYRKSA-N 1 2 294.470 3.561 20 0 CHADLO c1csc(-c2nc(C[N@H+]3C[C@@H]4C[C@H]3CS4)cs2)c1 ZINC000335159751 1123311978 /nfs/dbraw/zinc/31/19/78/1123311978.db2.gz VPYJONWTBKMBGL-QWRGUYRKSA-N 1 2 294.470 3.561 20 0 CHADLO CC(C)(C)c1coc(C[NH+]2CCC3(CC3(F)F)CC2)n1 ZINC000895156842 1123313103 /nfs/dbraw/zinc/31/31/03/1123313103.db2.gz NKDFPKLJDQLMRC-UHFFFAOYSA-N 1 2 284.350 3.593 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CC[C@@H](C2CCC2)C1 ZINC000665288305 1123329997 /nfs/dbraw/zinc/32/99/97/1123329997.db2.gz JMNOZGSFZMGZTP-OAHLLOKOSA-N 1 2 289.423 3.739 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CC[C@@H](C2CCC2)C1 ZINC000665288305 1123330002 /nfs/dbraw/zinc/33/00/02/1123330002.db2.gz JMNOZGSFZMGZTP-OAHLLOKOSA-N 1 2 289.423 3.739 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1ccon1 ZINC000442878631 1123347145 /nfs/dbraw/zinc/34/71/45/1123347145.db2.gz UETPBGMLJRCYJA-MNOVXSKESA-N 1 2 262.378 3.808 20 0 CHADLO CCc1[nH]ncc1C[N@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC001237652985 1129115722 /nfs/dbraw/zinc/11/57/22/1129115722.db2.gz APLYDJCIZOIDEX-LLVKDONJSA-N 1 2 277.799 3.819 20 0 CHADLO CCc1[nH]ncc1C[N@@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC001237652985 1129115724 /nfs/dbraw/zinc/11/57/24/1129115724.db2.gz APLYDJCIZOIDEX-LLVKDONJSA-N 1 2 277.799 3.819 20 0 CHADLO c1cn2c(cccc2CNc2ccc3ccoc3c2)[nH+]1 ZINC000443007844 1123352205 /nfs/dbraw/zinc/35/22/05/1123352205.db2.gz CALVPECVWRTPJM-UHFFFAOYSA-N 1 2 263.300 3.693 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(C(F)(F)F)cc2C)no1 ZINC000191051769 1123357926 /nfs/dbraw/zinc/35/79/26/1123357926.db2.gz VWGBAUAKIUERIF-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(C(F)(F)F)cc2C)no1 ZINC000191051769 1123357928 /nfs/dbraw/zinc/35/79/28/1123357928.db2.gz VWGBAUAKIUERIF-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO c1n[nH]c([C@H]([NH2+]C2(C3CCC3)CCC2)C2CCCCC2)n1 ZINC000639258664 1123368437 /nfs/dbraw/zinc/36/84/37/1123368437.db2.gz CVGHFUURGXQGIN-OAHLLOKOSA-N 1 2 288.439 3.739 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2cncs2)c(F)c1 ZINC000335573399 1123382128 /nfs/dbraw/zinc/38/21/28/1123382128.db2.gz SHGLNYYZHOWOIE-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2cncs2)c(F)c1 ZINC000335573399 1123382132 /nfs/dbraw/zinc/38/21/32/1123382132.db2.gz SHGLNYYZHOWOIE-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C2CC2)no1)c1ccccc1F ZINC000851819923 1123383109 /nfs/dbraw/zinc/38/31/09/1123383109.db2.gz MKZAKIRFFXXHAX-SNVBAGLBSA-N 1 2 260.312 3.542 20 0 CHADLO Cc1cc(C)c(NC(=O)NCc2cc(C)[nH+]c(C)c2)c(C)c1 ZINC000335602490 1123383743 /nfs/dbraw/zinc/38/37/43/1123383743.db2.gz PYYZAPDDGZNUSH-UHFFFAOYSA-N 1 2 297.402 3.945 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]CC=C(Cl)Cl)n1 ZINC000851843665 1123385025 /nfs/dbraw/zinc/38/50/25/1123385025.db2.gz KUONYJJROBMHSV-UHFFFAOYSA-N 1 2 265.209 3.595 20 0 CHADLO Cc1c2ccccc2oc1[C@@H]([NH2+]Cc1cnon1)C(C)C ZINC000851900928 1123387633 /nfs/dbraw/zinc/38/76/33/1123387633.db2.gz APSCILAYTRQKQY-HNNXBMFYSA-N 1 2 285.347 3.611 20 0 CHADLO C(=C/[C@H]1CCCCC[N@H+]1Cc1cnon1)\c1cccs1 ZINC000851922853 1123388952 /nfs/dbraw/zinc/38/89/52/1123388952.db2.gz PCKJFNYDOKGXQP-HSBSLETESA-N 1 2 289.404 3.589 20 0 CHADLO C(=C/[C@H]1CCCCC[N@@H+]1Cc1cnon1)\c1cccs1 ZINC000851922853 1123388954 /nfs/dbraw/zinc/38/89/54/1123388954.db2.gz PCKJFNYDOKGXQP-HSBSLETESA-N 1 2 289.404 3.589 20 0 CHADLO CC[C@]1(C)C[N@H+](Cc2cnc(C3CCCC3)s2)CCO1 ZINC000191151892 1123390382 /nfs/dbraw/zinc/39/03/82/1123390382.db2.gz JZVPEPJAKUGVEY-MRXNPFEDSA-N 1 2 294.464 3.802 20 0 CHADLO CC[C@]1(C)C[N@@H+](Cc2cnc(C3CCCC3)s2)CCO1 ZINC000191151892 1123390385 /nfs/dbraw/zinc/39/03/85/1123390385.db2.gz JZVPEPJAKUGVEY-MRXNPFEDSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2Cc3ccccc3C3(CC3)C2)o1 ZINC000335680116 1123395331 /nfs/dbraw/zinc/39/53/31/1123395331.db2.gz AKMNPDZBXKOQFY-CYBMUJFWSA-N 1 2 268.360 3.591 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2Cc3ccccc3C3(CC3)C2)o1 ZINC000335680116 1123395333 /nfs/dbraw/zinc/39/53/33/1123395333.db2.gz AKMNPDZBXKOQFY-CYBMUJFWSA-N 1 2 268.360 3.591 20 0 CHADLO Fc1cc(C[NH+]2CCC(c3ccco3)CC2)cnc1Cl ZINC000852321546 1123403366 /nfs/dbraw/zinc/40/33/66/1123403366.db2.gz PQUDCDSHXNCLBM-UHFFFAOYSA-N 1 2 294.757 3.847 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398400680 1123403957 /nfs/dbraw/zinc/40/39/57/1123403957.db2.gz WGDSBMQYFTZPOK-VHSXEESVSA-N 1 2 279.434 3.672 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OC1CC1)c1cscn1 ZINC000852417632 1123404536 /nfs/dbraw/zinc/40/45/36/1123404536.db2.gz VQAZDVHBLNHABZ-LLVKDONJSA-N 1 2 274.389 3.535 20 0 CHADLO CC(C)(C)O[C@@H]1C[C@H]([NH2+]CC(F)(F)C(F)F)C12CCC2 ZINC000852586599 1123409808 /nfs/dbraw/zinc/40/98/08/1123409808.db2.gz RQKOHUYJKDHXLO-VHSXEESVSA-N 1 2 297.336 3.603 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2CCc3c2c(F)ccc3F)s1 ZINC000335729095 1123418119 /nfs/dbraw/zinc/41/81/19/1123418119.db2.gz IWHODLKEKYRVHK-LBPRGKRZSA-N 1 2 280.343 3.507 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc3c(n2)CCCC3)c(C)[nH+]1 ZINC000335835971 1123440799 /nfs/dbraw/zinc/44/07/99/1123440799.db2.gz UMWPEGGOYXPFFO-UHFFFAOYSA-N 1 2 295.386 3.533 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1cncs1 ZINC000853639114 1123444716 /nfs/dbraw/zinc/44/47/16/1123444716.db2.gz YITMDYQKXUWVSG-UHFFFAOYSA-N 1 2 286.404 3.753 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC[C@H]3CCC[C@@H]3C2)n1 ZINC001141757124 1123446707 /nfs/dbraw/zinc/44/67/07/1123446707.db2.gz FGHQOKWYBJUZCN-NXEZZACHSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC[C@H]3CCC[C@@H]3C2)n1 ZINC001141757124 1123446708 /nfs/dbraw/zinc/44/67/08/1123446708.db2.gz FGHQOKWYBJUZCN-NXEZZACHSA-N 1 2 290.354 3.784 20 0 CHADLO Cc1ccccc1-n1nccc1C[N@H+](C)CCC(F)(F)F ZINC000474144605 1123468933 /nfs/dbraw/zinc/46/89/33/1123468933.db2.gz KJOVYHQQNFWUQO-UHFFFAOYSA-N 1 2 297.324 3.565 20 0 CHADLO Cc1ccccc1-n1nccc1C[N@@H+](C)CCC(F)(F)F ZINC000474144605 1123468935 /nfs/dbraw/zinc/46/89/35/1123468935.db2.gz KJOVYHQQNFWUQO-UHFFFAOYSA-N 1 2 297.324 3.565 20 0 CHADLO CC1(c2ccccc2)C[NH+](Cc2ccc(Cl)cn2)C1 ZINC000895378203 1123471579 /nfs/dbraw/zinc/47/15/79/1123471579.db2.gz QMDNDIFNKSNYLF-UHFFFAOYSA-N 1 2 272.779 3.509 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(C(C)(C)C)co2)C[C@H](C)C1(F)F ZINC000895551664 1123491279 /nfs/dbraw/zinc/49/12/79/1123491279.db2.gz WCLJDMBGHVIOTD-PHIMTYICSA-N 1 2 286.366 3.695 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(C(C)(C)C)co2)C[C@H](C)C1(F)F ZINC000895551664 1123491280 /nfs/dbraw/zinc/49/12/80/1123491280.db2.gz WCLJDMBGHVIOTD-PHIMTYICSA-N 1 2 286.366 3.695 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccccc2C(C)C)c[nH+]1 ZINC000854887857 1123498309 /nfs/dbraw/zinc/49/83/09/1123498309.db2.gz WRIMPXMAHQSUKP-UHFFFAOYSA-N 1 2 282.387 3.752 20 0 CHADLO CCc1cc(N[C@@H](c2ccccn2)C2CC2)nc(CC)[nH+]1 ZINC000895798237 1123516235 /nfs/dbraw/zinc/51/62/35/1123516235.db2.gz CRJMFQOFLOEPEB-QGZVFWFLSA-N 1 2 282.391 3.560 20 0 CHADLO Cc1cc(C)c2cc(N[C@H](C)[C@H]3CCOC3)ccc2[nH+]1 ZINC000895873477 1123523302 /nfs/dbraw/zinc/52/33/02/1123523302.db2.gz BLTNNQZBRTZDBP-KGLIPLIRSA-N 1 2 270.376 3.689 20 0 CHADLO CSCC1CCN(c2cc(C)[nH+]c(C3CCC3)n2)CC1 ZINC000896209582 1123561601 /nfs/dbraw/zinc/56/16/01/1123561601.db2.gz WZWULGXQJYOHTD-UHFFFAOYSA-N 1 2 291.464 3.632 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nnc(C)s1)c1ccccc1F ZINC000274278281 1129130578 /nfs/dbraw/zinc/13/05/78/1129130578.db2.gz XYDIAZPPYLSCIE-ZANVPECISA-N 1 2 279.384 3.788 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1sc2nc(C)nc(C)c2c1C ZINC000858258433 1123640262 /nfs/dbraw/zinc/64/02/62/1123640262.db2.gz ABJNSGCDGSMPPN-UHFFFAOYSA-N 1 2 299.390 3.751 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2c(C)cccc2F)n1 ZINC000858366699 1123648929 /nfs/dbraw/zinc/64/89/29/1123648929.db2.gz KRKAYKGGNYQKNW-NSHDSACASA-N 1 2 264.369 3.750 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+][C@@H]2CCCc3scnc32)c1 ZINC000858415675 1123651996 /nfs/dbraw/zinc/65/19/96/1123651996.db2.gz VXLWOEGCAVIHGH-LLVKDONJSA-N 1 2 293.823 3.667 20 0 CHADLO CS[C@@H]1CC[C@H](Nc2nc3ccccc3n3c[nH+]cc23)C1 ZINC000858425078 1123652902 /nfs/dbraw/zinc/65/29/02/1123652902.db2.gz DLOHBJIOGLMBBD-NWDGAFQWSA-N 1 2 298.415 3.579 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)s1 ZINC000346174070 1123669804 /nfs/dbraw/zinc/66/98/04/1123669804.db2.gz NHXPINPZAXIHBU-CYBMUJFWSA-N 1 2 275.421 3.932 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)s1 ZINC000346174070 1123669806 /nfs/dbraw/zinc/66/98/06/1123669806.db2.gz NHXPINPZAXIHBU-CYBMUJFWSA-N 1 2 275.421 3.932 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ncc(C(C)C)s2)c1 ZINC000346171022 1123670107 /nfs/dbraw/zinc/67/01/07/1123670107.db2.gz KOUZUPBMFLDHKB-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ncc(C(C)C)s2)c1 ZINC000346171022 1123670111 /nfs/dbraw/zinc/67/01/11/1123670111.db2.gz KOUZUPBMFLDHKB-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO C[C@H](CCC(C)(C)C)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000346191715 1123671198 /nfs/dbraw/zinc/67/11/98/1123671198.db2.gz LFELFBZARPVNTR-CQSZACIVSA-N 1 2 299.418 3.817 20 0 CHADLO CC[C@@H](C)C[C@@H](C)NC(=O)c1cc(C)ccc1-n1cc[nH+]c1 ZINC000346252542 1123675270 /nfs/dbraw/zinc/67/52/70/1123675270.db2.gz TYCDZPQFYMOTHB-UKRRQHHQSA-N 1 2 299.418 3.735 20 0 CHADLO CC[N@H+](Cc1ccnc(F)c1)Cc1ccccc1Cl ZINC000859073260 1123682313 /nfs/dbraw/zinc/68/23/13/1123682313.db2.gz PVQHGFXHCXFLBC-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccnc(F)c1)Cc1ccccc1Cl ZINC000859073260 1123682315 /nfs/dbraw/zinc/68/23/15/1123682315.db2.gz PVQHGFXHCXFLBC-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@H+](Cc1cc(Cl)n(C)n1)[C@@H](C)c1ccc(F)cc1 ZINC000859089264 1123683110 /nfs/dbraw/zinc/68/31/10/1123683110.db2.gz APRUEAOMWVBKMU-NSHDSACASA-N 1 2 295.789 3.796 20 0 CHADLO CC[N@@H+](Cc1cc(Cl)n(C)n1)[C@@H](C)c1ccc(F)cc1 ZINC000859089264 1123683115 /nfs/dbraw/zinc/68/31/15/1123683115.db2.gz APRUEAOMWVBKMU-NSHDSACASA-N 1 2 295.789 3.796 20 0 CHADLO CSCCCCCCC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000859335379 1123695230 /nfs/dbraw/zinc/69/52/30/1123695230.db2.gz VOMWDHZBRFQDRJ-UHFFFAOYSA-N 1 2 291.420 3.586 20 0 CHADLO Cc1ccc2sc(C[N@@H+]3CCC[C@](C)(F)C3)nc2c1 ZINC000859318071 1123695248 /nfs/dbraw/zinc/69/52/48/1123695248.db2.gz BBOPVRIYYJZVTD-HNNXBMFYSA-N 1 2 278.396 3.929 20 0 CHADLO Cc1ccc2sc(C[N@H+]3CCC[C@](C)(F)C3)nc2c1 ZINC000859318071 1123695250 /nfs/dbraw/zinc/69/52/50/1123695250.db2.gz BBOPVRIYYJZVTD-HNNXBMFYSA-N 1 2 278.396 3.929 20 0 CHADLO C[C@H]1C[NH+](Cc2cnc(Cl)s2)C[C@H](C)C1(F)F ZINC000859411482 1123697000 /nfs/dbraw/zinc/69/70/00/1123697000.db2.gz KUBLZHFGHAFWQZ-YUMQZZPRSA-N 1 2 280.771 3.520 20 0 CHADLO CCCCc1ccc([C@H](C)[NH2+][C@H](C)c2ncn(C)n2)cc1 ZINC000275794093 1129140873 /nfs/dbraw/zinc/14/08/73/1129140873.db2.gz XPLXCWNXPOLSDW-UONOGXRCSA-N 1 2 286.423 3.570 20 0 CHADLO CCCn1cc[nH+]c1CCCN(C)C(=O)CCC(C)(C)C ZINC000346992534 1123740734 /nfs/dbraw/zinc/74/07/34/1123740734.db2.gz UQSLVBHOJNAGFT-UHFFFAOYSA-N 1 2 293.455 3.510 20 0 CHADLO CSc1ccc([C@H](C)Nc2cc[nH+]c(C)n2)cc1 ZINC000281914646 1123750430 /nfs/dbraw/zinc/75/04/30/1123750430.db2.gz QAGGMTQZGYSFPJ-JTQLQIEISA-N 1 2 259.378 3.680 20 0 CHADLO C[C@H](c1csnn1)[NH+]1CCC(c2ccsc2)CC1 ZINC000336269888 1123754917 /nfs/dbraw/zinc/75/49/17/1123754917.db2.gz YFEIBNRXAGYMOF-SNVBAGLBSA-N 1 2 279.434 3.540 20 0 CHADLO CC[C@H](CC1CCCCC1)C(=O)NCc1[nH]c(C)c(C)[nH+]1 ZINC000896917470 1123778288 /nfs/dbraw/zinc/77/82/88/1123778288.db2.gz YJPVVQAJHKLQMH-OAHLLOKOSA-N 1 2 291.439 3.639 20 0 CHADLO Cc1[nH]c(CN2CCC[C@H]2c2ccc(F)c(F)c2)[nH+]c1C ZINC000862244746 1123794227 /nfs/dbraw/zinc/79/42/27/1123794227.db2.gz FCPPRURMBBMHFZ-HNNXBMFYSA-N 1 2 291.345 3.642 20 0 CHADLO Cc1[nH]c(CN(C)c2ccc(C(C)C)cc2)[nH+]c1C ZINC000862307173 1123799286 /nfs/dbraw/zinc/79/92/86/1123799286.db2.gz UMZBCIMOWZIARW-UHFFFAOYSA-N 1 2 257.381 3.786 20 0 CHADLO Cc1cccc(CNc2ccc(N3CCCC3)[nH+]c2)c1C ZINC000043313841 1123803227 /nfs/dbraw/zinc/80/32/27/1123803227.db2.gz WNIZEBFRCVERLK-UHFFFAOYSA-N 1 2 281.403 3.911 20 0 CHADLO Oc1cccc2c1CC[N@H+](Cc1cc(Cl)cs1)C2 ZINC000862529486 1123816827 /nfs/dbraw/zinc/81/68/27/1123816827.db2.gz LXZXRUBYFKHUQA-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1cccc2c1CC[N@@H+](Cc1cc(Cl)cs1)C2 ZINC000862529486 1123816830 /nfs/dbraw/zinc/81/68/30/1123816830.db2.gz LXZXRUBYFKHUQA-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO CCc1ccc(NC(=S)NCc2c[nH+]c(C)cc2C)cc1 ZINC000840825913 1123828386 /nfs/dbraw/zinc/82/83/86/1123828386.db2.gz FDMVEISDVNRSKW-UHFFFAOYSA-N 1 2 299.443 3.747 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@@H]3CCC(F)(F)C3)cc2[nH+]1 ZINC000797805102 1123840230 /nfs/dbraw/zinc/84/02/30/1123840230.db2.gz GUPBPYONHOUOQU-JTQLQIEISA-N 1 2 293.317 3.635 20 0 CHADLO C/C=C\C[C@H]1CCC[N@H+](Cn2nc(C)sc2=S)C1 ZINC000863339717 1123861562 /nfs/dbraw/zinc/86/15/62/1123861562.db2.gz GWXKTUITNKATGH-MVZIDQBPSA-N 1 2 283.466 3.618 20 0 CHADLO C/C=C\C[C@H]1CCC[N@@H+](Cn2nc(C)sc2=S)C1 ZINC000863339717 1123861565 /nfs/dbraw/zinc/86/15/65/1123861565.db2.gz GWXKTUITNKATGH-MVZIDQBPSA-N 1 2 283.466 3.618 20 0 CHADLO CC1CCN(c2ccc([NH2+]CC[C@@H]3CCOC3)cc2)CC1 ZINC000783582589 1123872520 /nfs/dbraw/zinc/87/25/20/1123872520.db2.gz OCORMKPBBOZWIT-MRXNPFEDSA-N 1 2 288.435 3.761 20 0 CHADLO Fc1ccc(CCNc2cccc[nH+]2)cc1Br ZINC000301086286 1123873680 /nfs/dbraw/zinc/87/36/80/1123873680.db2.gz LRPGQARMKYWZKC-UHFFFAOYSA-N 1 2 295.155 3.638 20 0 CHADLO Cc1nc(C)c(CCNc2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000301207152 1123880807 /nfs/dbraw/zinc/88/08/07/1123880807.db2.gz QBDKKWUSGSPVFI-UHFFFAOYSA-N 1 2 290.436 3.636 20 0 CHADLO c1ccc(CC[C@H]2CCCN2c2cccc[nH+]2)cc1 ZINC000301220915 1123882766 /nfs/dbraw/zinc/88/27/66/1123882766.db2.gz BQMXQCCZXZFRAK-MRXNPFEDSA-N 1 2 252.361 3.683 20 0 CHADLO CCc1cccc2c(CCNc3cccc[nH+]3)c[nH]c21 ZINC000301235207 1123883011 /nfs/dbraw/zinc/88/30/11/1123883011.db2.gz HELNGRPPIKXKNR-UHFFFAOYSA-N 1 2 265.360 3.780 20 0 CHADLO O[C@H](CNc1cccc[nH+]1)c1c(Cl)cccc1Cl ZINC000301377203 1123896208 /nfs/dbraw/zinc/89/62/08/1123896208.db2.gz WLMXLCTZYYXMHJ-LLVKDONJSA-N 1 2 283.158 3.534 20 0 CHADLO C[C@@H]1CCCN1c1cc[nH+]c2c(Br)cccc12 ZINC000301412936 1123898356 /nfs/dbraw/zinc/89/83/56/1123898356.db2.gz AXXWBFBCGYMLKC-SNVBAGLBSA-N 1 2 291.192 3.986 20 0 CHADLO C[C@@H](CNc1cccc[nH+]1)Oc1ccc(Cl)cc1 ZINC000301418417 1123899622 /nfs/dbraw/zinc/89/96/22/1123899622.db2.gz BUSLCYUJQCNGAW-NSHDSACASA-N 1 2 262.740 3.614 20 0 CHADLO COC(C)(C)C[C@@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000301434475 1123899907 /nfs/dbraw/zinc/89/99/07/1123899907.db2.gz HCMIPOOBASQREU-GFCCVEGCSA-N 1 2 265.401 3.524 20 0 CHADLO Fc1ccc(CN(c2cccc[nH+]2)C2CC2)c(F)c1 ZINC000301506617 1123906451 /nfs/dbraw/zinc/90/64/51/1123906451.db2.gz HBGMAAYONJSSOX-UHFFFAOYSA-N 1 2 260.287 3.529 20 0 CHADLO Cc1cc(N2C[C@@H]3CCCC[C@@H]3C2)nc(C(C)C)[nH+]1 ZINC000301528673 1123909212 /nfs/dbraw/zinc/90/92/12/1123909212.db2.gz GXCMRJSTMQBMKY-OKILXGFUSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1cc(N2C[C@@H]3CCCC[C@H]3C2)nc(C(C)C)[nH+]1 ZINC000301528672 1123909399 /nfs/dbraw/zinc/90/93/99/1123909399.db2.gz GXCMRJSTMQBMKY-KBPBESRZSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1cc(NCc2ncc(C(C)(C)C)o2)nc(C(C)C)[nH+]1 ZINC000301636115 1123919264 /nfs/dbraw/zinc/91/92/64/1123919264.db2.gz FBWNANCAWAMXSH-UHFFFAOYSA-N 1 2 288.395 3.806 20 0 CHADLO Cc1cc([N@H+]2CCCC[C@H]2C)ccc1Nc1ncccn1 ZINC000301634135 1123919571 /nfs/dbraw/zinc/91/95/71/1123919571.db2.gz KJUKHGGKGFXBCN-CQSZACIVSA-N 1 2 282.391 3.907 20 0 CHADLO Cc1cc([N@@H+]2CCCC[C@H]2C)ccc1Nc1ncccn1 ZINC000301634135 1123919575 /nfs/dbraw/zinc/91/95/75/1123919575.db2.gz KJUKHGGKGFXBCN-CQSZACIVSA-N 1 2 282.391 3.907 20 0 CHADLO Cc1cc(N(C)[C@H](C)Cc2ccc(O)cc2)nc(C(C)C)[nH+]1 ZINC000301646889 1123920671 /nfs/dbraw/zinc/92/06/71/1123920671.db2.gz IGMBCZMJDXCHAH-CQSZACIVSA-N 1 2 299.418 3.681 20 0 CHADLO Cc1cc(N[C@@H]2[C@H]3Cc4ccccc4[C@@H]23)nc(C(C)C)[nH+]1 ZINC000301651732 1123921272 /nfs/dbraw/zinc/92/12/72/1123921272.db2.gz GJRBBHFSLROBAK-USXIJHARSA-N 1 2 279.387 3.659 20 0 CHADLO CCCC[N@H+](C)c1ccc(Nc2ncc(F)cn2)cc1 ZINC000301802982 1123934099 /nfs/dbraw/zinc/93/40/99/1123934099.db2.gz XFNZAPBBSAGDLZ-UHFFFAOYSA-N 1 2 274.343 3.596 20 0 CHADLO CCCC[N@@H+](C)c1ccc(Nc2ncc(F)cn2)cc1 ZINC000301802982 1123934101 /nfs/dbraw/zinc/93/41/01/1123934101.db2.gz XFNZAPBBSAGDLZ-UHFFFAOYSA-N 1 2 274.343 3.596 20 0 CHADLO CCN(C[C@H]1CCCO1)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301809875 1123935043 /nfs/dbraw/zinc/93/50/43/1123935043.db2.gz GPIXAMFUNJJSCU-CQSZACIVSA-N 1 2 291.439 3.729 20 0 CHADLO Cc1cc(N[C@@H](C)[C@H]2COc3ccccc32)nc(C(C)C)[nH+]1 ZINC000301813752 1123935911 /nfs/dbraw/zinc/93/59/11/1123935911.db2.gz CKWSCOHUAFLCAZ-DZGCQCFKSA-N 1 2 297.402 3.885 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(NCC3CC3)[nH+]cn2)s1 ZINC000301840286 1123937125 /nfs/dbraw/zinc/93/71/25/1123937125.db2.gz XZXYCFIONOCBFI-LLVKDONJSA-N 1 2 288.420 3.842 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(NCC3CC3)nc[nH+]2)s1 ZINC000301840286 1123937128 /nfs/dbraw/zinc/93/71/28/1123937128.db2.gz XZXYCFIONOCBFI-LLVKDONJSA-N 1 2 288.420 3.842 20 0 CHADLO CS[C@@H](C)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301850648 1123938304 /nfs/dbraw/zinc/93/83/04/1123938304.db2.gz FAIGULSXOLPJME-NSHDSACASA-N 1 2 267.442 3.887 20 0 CHADLO C[C@H]1CCCC[C@H]1Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000301889641 1123941477 /nfs/dbraw/zinc/94/14/77/1123941477.db2.gz NQRHCGCEJWAMHX-WCQYABFASA-N 1 2 287.411 3.572 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[C@H](Nc1cccc[nH+]1)CC3 ZINC000302039395 1123951798 /nfs/dbraw/zinc/95/17/98/1123951798.db2.gz LAKOPQKRPCQONL-CYBMUJFWSA-N 1 2 277.371 3.841 20 0 CHADLO Cc1nc(N2C[C@H](C)[C@@H]2c2ccccc2)c2c([nH+]1)CCCC2 ZINC000302083409 1123954238 /nfs/dbraw/zinc/95/42/38/1123954238.db2.gz JZCPCFLRRGQHSF-SCLBCKFNSA-N 1 2 293.414 3.861 20 0 CHADLO C[C@@H]([NH2+]Cc1cccnc1F)c1ccc(F)cc1Cl ZINC000794826137 1123962746 /nfs/dbraw/zinc/96/27/46/1123962746.db2.gz AHJDMUMMYWJYTG-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO CC(C)c1cc(N[C@H]2CCC[C@@H]2CCO)nc(C(C)C)[nH+]1 ZINC000302428200 1123968469 /nfs/dbraw/zinc/96/84/69/1123968469.db2.gz KNTUIYIKCQBTBA-KGLIPLIRSA-N 1 2 291.439 3.686 20 0 CHADLO COc1cccc2c(NCc3cccc(O)c3)cc[nH+]c12 ZINC000302420644 1123968523 /nfs/dbraw/zinc/96/85/23/1123968523.db2.gz IWIHXTAWYGJXJB-UHFFFAOYSA-N 1 2 280.327 3.561 20 0 CHADLO COc1cccc2c(N3CCCC4(CC4)C3)cc[nH+]c12 ZINC000302533267 1123971462 /nfs/dbraw/zinc/97/14/62/1123971462.db2.gz LYHZHPXGPBJBID-UHFFFAOYSA-N 1 2 268.360 3.624 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1C[C@@H]2OCCC[C@H]12 ZINC000302582391 1123973727 /nfs/dbraw/zinc/97/37/27/1123973727.db2.gz UFMWRTGAOUXFEM-BMFZPTHFSA-N 1 2 268.360 3.523 20 0 CHADLO CC(C)(C)OCCCCn1c[nH+]c(C(C)(C)C)c1 ZINC000865376288 1123976427 /nfs/dbraw/zinc/97/64/27/1123976427.db2.gz HQHIWSPLKNWKCF-UHFFFAOYSA-N 1 2 252.402 3.776 20 0 CHADLO Cc1ccc2c(Cn3c[nH+]c(C(C)(C)C)c3)cc(=O)oc2c1 ZINC000865374726 1123976712 /nfs/dbraw/zinc/97/67/12/1123976712.db2.gz JMMRKDPAVVKGDE-UHFFFAOYSA-N 1 2 296.370 3.644 20 0 CHADLO Cc1ccc(-c2noc(Cn3c[nH+]c(C(C)(C)C)c3)n2)cc1 ZINC000865375947 1123976857 /nfs/dbraw/zinc/97/68/57/1123976857.db2.gz RQGHDELOSMBNEO-UHFFFAOYSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1cccc(C)c1[C@H](C)Nc1cc(N2CCCC2)nc[nH+]1 ZINC000302766618 1123983218 /nfs/dbraw/zinc/98/32/18/1123983218.db2.gz AIAJIMZYKJKTKH-HNNXBMFYSA-N 1 2 296.418 3.867 20 0 CHADLO Cc1cccc(C)c1[C@H](C)Nc1cc(N2CCCC2)[nH+]cn1 ZINC000302766618 1123983222 /nfs/dbraw/zinc/98/32/22/1123983222.db2.gz AIAJIMZYKJKTKH-HNNXBMFYSA-N 1 2 296.418 3.867 20 0 CHADLO CC(C)(C)Oc1cccc(C[NH2+]Cc2cscn2)c1 ZINC000865437615 1123984016 /nfs/dbraw/zinc/98/40/16/1123984016.db2.gz CUTSHVNYULIOMI-UHFFFAOYSA-N 1 2 276.405 3.610 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2cnc(Cl)c(F)c2)n1 ZINC000865493910 1123989395 /nfs/dbraw/zinc/98/93/95/1123989395.db2.gz OVELZHXWECTQHQ-UHFFFAOYSA-N 1 2 299.802 3.744 20 0 CHADLO Cc1scc(C[NH2+]Cc2nnc(C(C)C)s2)c1C ZINC000865487330 1123989877 /nfs/dbraw/zinc/98/98/77/1123989877.db2.gz DEXIDYCMRIIIBP-UHFFFAOYSA-N 1 2 281.450 3.630 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2cccc(CC3CCC3)c2)n1 ZINC000865557816 1123995515 /nfs/dbraw/zinc/99/55/15/1123995515.db2.gz JXYWMAZFBUGCGT-UHFFFAOYSA-N 1 2 299.418 3.746 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(CC2CCC2)c1)c1csnn1 ZINC000865604155 1123997889 /nfs/dbraw/zinc/99/78/89/1123997889.db2.gz QJPSECKFXGTOAH-LBPRGKRZSA-N 1 2 287.432 3.732 20 0 CHADLO CCOc1cc(F)cc(C[NH2+][C@@H](C)c2cscn2)c1 ZINC000865622829 1124000364 /nfs/dbraw/zinc/00/03/64/1124000364.db2.gz GCJCKADOLJCWMU-JTQLQIEISA-N 1 2 280.368 3.532 20 0 CHADLO CC[C@@H]1CCCC[C@H]1C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000475536231 1124006584 /nfs/dbraw/zinc/00/65/84/1124006584.db2.gz IGDXEHUFGZEBCZ-UKRRQHHQSA-N 1 2 285.391 3.798 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccnc(Cl)c2Cl)o1 ZINC000865723403 1124008072 /nfs/dbraw/zinc/00/80/72/1124008072.db2.gz KZRLTGNNVZGPDN-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CCCC4(CC4)C3)cc2[nH+]1 ZINC000665796145 1124014474 /nfs/dbraw/zinc/01/44/74/1124014474.db2.gz NUAOZCSIXNKYLX-LBPRGKRZSA-N 1 2 283.375 3.780 20 0 CHADLO Cn1c(C[NH2+]Cc2ccc(C(C)(C)C)o2)nc2ccccc21 ZINC000865814310 1124016879 /nfs/dbraw/zinc/01/68/79/1124016879.db2.gz IPEXDHVZLIJYFX-UHFFFAOYSA-N 1 2 297.402 3.754 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@](C)(CC)c2nccs2)o1 ZINC000428365230 1124023654 /nfs/dbraw/zinc/02/36/54/1124023654.db2.gz BLLHYWFQUBOCMZ-OAHLLOKOSA-N 1 2 293.436 3.807 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@@H]1F)c1ccccc1 ZINC000866214044 1124037819 /nfs/dbraw/zinc/03/78/19/1124037819.db2.gz GUAMEWCSTCJSNL-YLQAJVPDSA-N 1 2 271.335 3.809 20 0 CHADLO CCc1ccc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)s1 ZINC000866232101 1124039489 /nfs/dbraw/zinc/03/94/89/1124039489.db2.gz LYLSPEOTDBTGNF-HOCLYGCPSA-N 1 2 291.391 3.872 20 0 CHADLO COc1ccccc1[C@H]1CCCN(c2cc[nH+]c(C)n2)CC1 ZINC000866272192 1124045492 /nfs/dbraw/zinc/04/54/92/1124045492.db2.gz KHHNQTFYZNZLBO-HNNXBMFYSA-N 1 2 297.402 3.568 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CCCc2ncccc21 ZINC000866314061 1124050819 /nfs/dbraw/zinc/05/08/19/1124050819.db2.gz CIPKNAJQNNQWOU-GOSISDBHSA-N 1 2 281.403 3.946 20 0 CHADLO Fc1ccc(Cl)cc1C[N@@H+]1CCC[C@H]1c1cn[nH]c1 ZINC000639776588 1124053385 /nfs/dbraw/zinc/05/33/85/1124053385.db2.gz CNLMLSLVFGMUJA-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(Cl)cc1C[N@H+]1CCC[C@H]1c1cn[nH]c1 ZINC000639776588 1124053393 /nfs/dbraw/zinc/05/33/93/1124053393.db2.gz CNLMLSLVFGMUJA-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO C[N@H+](Cc1cc(F)cc(F)c1)Cc1cccc(F)c1F ZINC000444707443 1124056873 /nfs/dbraw/zinc/05/68/73/1124056873.db2.gz PUUVLIQNKZBQNZ-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1cc(F)cc(F)c1)Cc1cccc(F)c1F ZINC000444707443 1124056876 /nfs/dbraw/zinc/05/68/76/1124056876.db2.gz PUUVLIQNKZBQNZ-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nc3ccccc3[nH]2)ccc1F ZINC000444735518 1124057318 /nfs/dbraw/zinc/05/73/18/1124057318.db2.gz OSJVOQMPSLEJNZ-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nc3ccccc3[nH]2)ccc1F ZINC000444735518 1124057323 /nfs/dbraw/zinc/05/73/23/1124057323.db2.gz OSJVOQMPSLEJNZ-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2noc3ccc(F)cc32)o1 ZINC000866512545 1124076819 /nfs/dbraw/zinc/07/68/19/1124076819.db2.gz ABYHSTBSYWLIHV-JTQLQIEISA-N 1 2 274.295 3.719 20 0 CHADLO FC1(F)C[C@H]1CCNc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000621346202 1129166100 /nfs/dbraw/zinc/16/61/00/1129166100.db2.gz GRVCJXDVRLIEJC-SECBINFHSA-N 1 2 288.301 3.568 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+]1CCc2ncsc2C1 ZINC000445846021 1124104986 /nfs/dbraw/zinc/10/49/86/1124104986.db2.gz CNACLUOTUFMECH-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+]1CCc2ncsc2C1 ZINC000445846021 1124104988 /nfs/dbraw/zinc/10/49/88/1124104988.db2.gz CNACLUOTUFMECH-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1sc(C[NH2+][C@@H](C)c2nccnc2C)nc1C(C)C ZINC000446842505 1124125551 /nfs/dbraw/zinc/12/55/51/1124125551.db2.gz GFVSKBXWRFTURR-NSHDSACASA-N 1 2 290.436 3.524 20 0 CHADLO Cc1sc(C[NH2+][C@H](C)c2nccnc2C)nc1C(C)C ZINC000446842504 1124125736 /nfs/dbraw/zinc/12/57/36/1124125736.db2.gz GFVSKBXWRFTURR-LLVKDONJSA-N 1 2 290.436 3.524 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nnc(C(C)C)[nH]2)cc1C ZINC000428459726 1124129450 /nfs/dbraw/zinc/12/94/50/1124129450.db2.gz MGVLHLPVRNWKLY-MRXNPFEDSA-N 1 2 298.434 3.882 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)cc1C ZINC000428459726 1124129452 /nfs/dbraw/zinc/12/94/52/1124129452.db2.gz MGVLHLPVRNWKLY-MRXNPFEDSA-N 1 2 298.434 3.882 20 0 CHADLO Cc1nc([C@H](C)[NH+]2CCC(CCc3ccccc3)CC2)no1 ZINC000429704344 1124133894 /nfs/dbraw/zinc/13/38/94/1124133894.db2.gz VSVZPOHMGSDZJF-AWEZNQCLSA-N 1 2 299.418 3.784 20 0 CHADLO Cc1[nH]c2nc[nH+]c(N3Cc4ccccc4[C@@H]3C)c2c1C ZINC000621375362 1129169013 /nfs/dbraw/zinc/16/90/13/1129169013.db2.gz ZMJLXGIOFUUIIJ-LBPRGKRZSA-N 1 2 278.359 3.656 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)cc1C ZINC000635063208 1129172321 /nfs/dbraw/zinc/17/23/21/1129172321.db2.gz WEAMOKUSPDIBJJ-MRXNPFEDSA-N 1 2 285.391 3.726 20 0 CHADLO Cc1cc(F)ccc1NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000635101049 1129173140 /nfs/dbraw/zinc/17/31/40/1129173140.db2.gz VJMLHWUOFLFRTA-HNNXBMFYSA-N 1 2 289.354 3.557 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+]1CCc2cnccc2C1 ZINC001238779405 1131245293 /nfs/dbraw/zinc/24/52/93/1131245293.db2.gz AHVHTHQHJTZJOP-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+]1CCc2cnccc2C1 ZINC001238779405 1131245297 /nfs/dbraw/zinc/24/52/97/1131245297.db2.gz AHVHTHQHJTZJOP-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+]1CCOC[C@H]1C(C)C ZINC001238785864 1131247667 /nfs/dbraw/zinc/24/76/67/1131247667.db2.gz VRKNGWDHWQDEAV-HNNXBMFYSA-N 1 2 285.790 3.644 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+]1CCOC[C@H]1C(C)C ZINC001238785864 1131247670 /nfs/dbraw/zinc/24/76/70/1131247670.db2.gz VRKNGWDHWQDEAV-HNNXBMFYSA-N 1 2 285.790 3.644 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2CCCC[C@@](C)(F)C2)c(F)cn1 ZINC001238794181 1131249103 /nfs/dbraw/zinc/24/91/03/1131249103.db2.gz MWYXLQGJGXJDHB-MRXNPFEDSA-N 1 2 298.377 3.722 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2CCCC[C@@](C)(F)C2)c(F)cn1 ZINC001238794181 1131249107 /nfs/dbraw/zinc/24/91/07/1131249107.db2.gz MWYXLQGJGXJDHB-MRXNPFEDSA-N 1 2 298.377 3.722 20 0 CHADLO CC(C)(C)n1c2ccccc2[nH+]c1NCc1cc[nH]c1 ZINC001119283675 1131249217 /nfs/dbraw/zinc/24/92/17/1131249217.db2.gz YVXIFPJHRGTTCQ-UHFFFAOYSA-N 1 2 268.364 3.732 20 0 CHADLO CC(C)(C)c1csc(C[N@@H+]2CCc3ccccc3C2)n1 ZINC000447291351 1124461228 /nfs/dbraw/zinc/46/12/28/1124461228.db2.gz DUOGNHNYHHBRLT-UHFFFAOYSA-N 1 2 286.444 3.999 20 0 CHADLO CC(C)(C)c1csc(C[N@H+]2CCc3ccccc3C2)n1 ZINC000447291351 1124461234 /nfs/dbraw/zinc/46/12/34/1124461234.db2.gz DUOGNHNYHHBRLT-UHFFFAOYSA-N 1 2 286.444 3.999 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@H+](CC2(F)CC2)CC1 ZINC000525992049 1124486797 /nfs/dbraw/zinc/48/67/97/1124486797.db2.gz BSUBLWPDOHJRRY-UHFFFAOYSA-N 1 2 267.294 3.556 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@@H+](CC2(F)CC2)CC1 ZINC000525992049 1124486798 /nfs/dbraw/zinc/48/67/98/1124486798.db2.gz BSUBLWPDOHJRRY-UHFFFAOYSA-N 1 2 267.294 3.556 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1Cl)CC1(F)CC1 ZINC000525978686 1124488091 /nfs/dbraw/zinc/48/80/91/1124488091.db2.gz SEFAVDGIJOTUCH-UHFFFAOYSA-N 1 2 262.155 3.927 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1Cl)CC1(F)CC1 ZINC000525978686 1124488098 /nfs/dbraw/zinc/48/80/98/1124488098.db2.gz SEFAVDGIJOTUCH-UHFFFAOYSA-N 1 2 262.155 3.927 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1cc(C(F)(F)F)ccc1F ZINC000525997058 1124487940 /nfs/dbraw/zinc/48/79/40/1124487940.db2.gz UODFEQZWTUZOQS-RKDXNWHRSA-N 1 2 261.262 3.827 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2ccc(C(C)C)nc2C)s1 ZINC000339087226 1124495709 /nfs/dbraw/zinc/49/57/09/1124495709.db2.gz ACISCMPRKYGOGZ-LLVKDONJSA-N 1 2 290.436 3.524 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2C[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000428491006 1124501225 /nfs/dbraw/zinc/50/12/25/1124501225.db2.gz RJOUIBZBDGYVKT-XQQFMLRXSA-N 1 2 299.361 3.594 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2C[C@H](C)O[C@@H](C)[C@H]2C)c1 ZINC000428491006 1124501231 /nfs/dbraw/zinc/50/12/31/1124501231.db2.gz RJOUIBZBDGYVKT-XQQFMLRXSA-N 1 2 299.361 3.594 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000447833935 1124534394 /nfs/dbraw/zinc/53/43/94/1124534394.db2.gz JRUNUTJILPRELO-ABAIWWIYSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000447833935 1124534400 /nfs/dbraw/zinc/53/44/00/1124534400.db2.gz JRUNUTJILPRELO-ABAIWWIYSA-N 1 2 283.362 3.773 20 0 CHADLO Cc1cc(N[C@@H]2CCOC3(CCC3)C2)nc(C2CCC2)[nH+]1 ZINC001119947538 1131266971 /nfs/dbraw/zinc/26/69/71/1131266971.db2.gz VAUCBBCPUHFKJI-CQSZACIVSA-N 1 2 287.407 3.566 20 0 CHADLO Cc1ccccc1-n1nccc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC001205960516 1124565389 /nfs/dbraw/zinc/56/53/89/1124565389.db2.gz SGBMEFROKZYTSR-QGZVFWFLSA-N 1 2 287.382 3.505 20 0 CHADLO Cc1ccccc1-n1nccc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC001205960516 1124565400 /nfs/dbraw/zinc/56/54/00/1124565400.db2.gz SGBMEFROKZYTSR-QGZVFWFLSA-N 1 2 287.382 3.505 20 0 CHADLO FC(F)n1ccnc1C[NH+]1CCC(c2ccccc2)CC1 ZINC000339104835 1124574629 /nfs/dbraw/zinc/57/46/29/1124574629.db2.gz BLGGJCRRWWZSTO-UHFFFAOYSA-N 1 2 291.345 3.658 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2cccc(F)c2F)n1 ZINC000116381751 1124581838 /nfs/dbraw/zinc/58/18/38/1124581838.db2.gz CKAIZPODBNONHP-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC[C@@H]2c2ccccc2)cs1 ZINC000449030988 1124636269 /nfs/dbraw/zinc/63/62/69/1124636269.db2.gz TURSNQKDPYJOIO-MRXNPFEDSA-N 1 2 273.401 3.630 20 0 CHADLO Cc1cc(C[N@H+]2CCOC[C@@H]2c2ccccc2)cs1 ZINC000449030988 1124636271 /nfs/dbraw/zinc/63/62/71/1124636271.db2.gz TURSNQKDPYJOIO-MRXNPFEDSA-N 1 2 273.401 3.630 20 0 CHADLO CC(C)c1ncc(CNc2[nH+]c3ccccc3n2C)s1 ZINC000871866943 1124649307 /nfs/dbraw/zinc/64/93/07/1124649307.db2.gz UXUJLBJACSWVAS-UHFFFAOYSA-N 1 2 286.404 3.765 20 0 CHADLO Cc1ccc2sc(C[N@@H+](C)Cc3cccnc3)nc2c1 ZINC000528418721 1124657602 /nfs/dbraw/zinc/65/76/02/1124657602.db2.gz CWSDRIBOLNXHAP-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccc2sc(C[N@H+](C)Cc3cccnc3)nc2c1 ZINC000528418721 1124657605 /nfs/dbraw/zinc/65/76/05/1124657605.db2.gz CWSDRIBOLNXHAP-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@H](C)c1cccc(O)c1F)CO2 ZINC000872047710 1124665082 /nfs/dbraw/zinc/66/50/82/1124665082.db2.gz CZNOCDQKEBCEKM-RISCZKNCSA-N 1 2 287.334 3.624 20 0 CHADLO C[C@H](CC(C)(C)C)Nc1cc(CSCCO)cc[nH+]1 ZINC000449208652 1124669865 /nfs/dbraw/zinc/66/98/65/1124669865.db2.gz SRNUJFTXONZDGG-GFCCVEGCSA-N 1 2 282.453 3.544 20 0 CHADLO COCC[C@H]([NH2+][C@H](C)c1cccc(O)c1F)c1ccco1 ZINC000872060578 1124670300 /nfs/dbraw/zinc/67/03/00/1124670300.db2.gz RJTFAFCAVJOEMT-YPMHNXCESA-N 1 2 293.338 3.553 20 0 CHADLO CC1(C)C[C@@H]([NH2+]Cc2ncccn2)c2ccccc2S1 ZINC000872087798 1124680623 /nfs/dbraw/zinc/68/06/23/1124680623.db2.gz HRBPLZMEHAQJSF-CYBMUJFWSA-N 1 2 285.416 3.582 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccc(F)cn1 ZINC000872115894 1124687428 /nfs/dbraw/zinc/68/74/28/1124687428.db2.gz NAJYMVWXXQZPOZ-CYBMUJFWSA-N 1 2 299.802 3.818 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000528561531 1124689392 /nfs/dbraw/zinc/68/93/92/1124689392.db2.gz SKJYSEQTVXCKLB-VKUYVZBCSA-N 1 2 250.773 3.607 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000528561531 1124689397 /nfs/dbraw/zinc/68/93/97/1124689397.db2.gz SKJYSEQTVXCKLB-VKUYVZBCSA-N 1 2 250.773 3.607 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000528561640 1124689919 /nfs/dbraw/zinc/68/99/19/1124689919.db2.gz UOPJMSKKQWJZFP-VKUYVZBCSA-N 1 2 250.773 3.607 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000528561640 1124689921 /nfs/dbraw/zinc/68/99/21/1124689921.db2.gz UOPJMSKKQWJZFP-VKUYVZBCSA-N 1 2 250.773 3.607 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@H+](C)Cc1ccncc1F ZINC000449331176 1124691029 /nfs/dbraw/zinc/69/10/29/1124691029.db2.gz NJTHPRBIQLBCAF-INIZCTEOSA-N 1 2 288.366 3.812 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@@H+](C)Cc1ccncc1F ZINC000449331176 1124691031 /nfs/dbraw/zinc/69/10/31/1124691031.db2.gz NJTHPRBIQLBCAF-INIZCTEOSA-N 1 2 288.366 3.812 20 0 CHADLO Cc1cccc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)c1F ZINC000449313564 1124692398 /nfs/dbraw/zinc/69/23/98/1124692398.db2.gz ADTOCYGWLQTECK-OAHLLOKOSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cccc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)c1F ZINC000449313564 1124692402 /nfs/dbraw/zinc/69/24/02/1124692402.db2.gz ADTOCYGWLQTECK-OAHLLOKOSA-N 1 2 273.355 3.584 20 0 CHADLO C[C@@H](Nc1ccc(Cn2cc[nH+]c2)cn1)[C@@H]1CCCC[C@H]1C ZINC000449320730 1124693908 /nfs/dbraw/zinc/69/39/08/1124693908.db2.gz PAIKQVBPHOOPDE-BFYDXBDKSA-N 1 2 298.434 3.953 20 0 CHADLO CCCCc1ccc([C@@H](C)[NH2+]Cc2nonc2C)cc1 ZINC000872138078 1124694500 /nfs/dbraw/zinc/69/45/00/1124694500.db2.gz ZOCPGTHBQBGDCL-GFCCVEGCSA-N 1 2 273.380 3.571 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](C)c1cc(Cl)cc(Cl)c1 ZINC000872137802 1124694778 /nfs/dbraw/zinc/69/47/78/1124694778.db2.gz WSZCXCMRZCQYEC-ZETCQYMHSA-N 1 2 286.162 3.536 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(OCC(F)(F)F)c1)C(F)F ZINC000449358751 1124709478 /nfs/dbraw/zinc/70/94/78/1124709478.db2.gz GIHBUQMHPNYVDP-NSHDSACASA-N 1 2 297.267 3.761 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)C[C@@H]2C)cs1 ZINC000449459705 1124746964 /nfs/dbraw/zinc/74/69/64/1124746964.db2.gz LEYLLOGZBIIZHJ-VHSXEESVSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@@H](C(F)(F)F)C[C@@H]2C)cs1 ZINC000449459705 1124746969 /nfs/dbraw/zinc/74/69/69/1124746969.db2.gz LEYLLOGZBIIZHJ-VHSXEESVSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1ccc(N2C[C@@H](C)C[C@@H]2c2cccnc2)[nH+]c1 ZINC001120833328 1131283912 /nfs/dbraw/zinc/28/39/12/1131283912.db2.gz SUWOBTSJMLSPGJ-XJKSGUPXSA-N 1 2 267.376 3.627 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(N)cc1F ZINC001159836649 1131297530 /nfs/dbraw/zinc/29/75/30/1131297530.db2.gz BMEKIOAYIGIXPC-UHFFFAOYSA-N 1 2 257.312 3.732 20 0 CHADLO Cc1oncc1C[N@@H+]1CCc2cccc(C(F)(F)F)c2C1 ZINC000528792186 1124806960 /nfs/dbraw/zinc/80/69/60/1124806960.db2.gz BJCWTOFSXZXEJQ-UHFFFAOYSA-N 1 2 296.292 3.560 20 0 CHADLO Cc1oncc1C[N@H+]1CCc2cccc(C(F)(F)F)c2C1 ZINC000528792186 1124806966 /nfs/dbraw/zinc/80/69/66/1124806966.db2.gz BJCWTOFSXZXEJQ-UHFFFAOYSA-N 1 2 296.292 3.560 20 0 CHADLO Cn1ccc(C[N@@H+]2CCCC[C@H]2c2ccc(Cl)cc2)n1 ZINC000449573609 1124806979 /nfs/dbraw/zinc/80/69/79/1124806979.db2.gz RJGISEQTPXFNNZ-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1ccc(C[N@H+]2CCCC[C@H]2c2ccc(Cl)cc2)n1 ZINC000449573609 1124806985 /nfs/dbraw/zinc/80/69/85/1124806985.db2.gz RJGISEQTPXFNNZ-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](CC)c2cc(C)ccc2C)n1 ZINC000528798875 1124809233 /nfs/dbraw/zinc/80/92/33/1124809233.db2.gz HTEPUZGDCSPMAQ-HNNXBMFYSA-N 1 2 287.407 3.880 20 0 CHADLO CCc1nocc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000449641787 1124828151 /nfs/dbraw/zinc/82/81/51/1124828151.db2.gz VTAFLUQCLAGYCU-OAHLLOKOSA-N 1 2 272.348 3.557 20 0 CHADLO CCc1nocc1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000449641787 1124828165 /nfs/dbraw/zinc/82/81/65/1124828165.db2.gz VTAFLUQCLAGYCU-OAHLLOKOSA-N 1 2 272.348 3.557 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2cccnc2)c(F)c1 ZINC000449733908 1124852358 /nfs/dbraw/zinc/85/23/58/1124852358.db2.gz QGIROSRQWSTIGS-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2cccnc2)c(F)c1 ZINC000449733908 1124852364 /nfs/dbraw/zinc/85/23/64/1124852364.db2.gz QGIROSRQWSTIGS-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1ccc3nccnc3c1)C2 ZINC001137090108 1124890167 /nfs/dbraw/zinc/89/01/67/1124890167.db2.gz QXSBXHUDROHTFQ-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1ccc3nccnc3c1)C2 ZINC001137090108 1124890178 /nfs/dbraw/zinc/89/01/78/1124890178.db2.gz QXSBXHUDROHTFQ-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cn(C(C)C)nc1C ZINC000282026037 1124909161 /nfs/dbraw/zinc/90/91/61/1124909161.db2.gz WWVBABVKXRUDOL-UHFFFAOYSA-N 1 2 297.406 3.754 20 0 CHADLO CSc1cccc(C[N@@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC000639872881 1124928652 /nfs/dbraw/zinc/92/86/52/1124928652.db2.gz SBSZUHUTSZYGOK-OAHLLOKOSA-N 1 2 285.416 3.536 20 0 CHADLO CSc1cccc(C[N@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC000639872881 1124928659 /nfs/dbraw/zinc/92/86/59/1124928659.db2.gz SBSZUHUTSZYGOK-OAHLLOKOSA-N 1 2 285.416 3.536 20 0 CHADLO FC(F)(F)c1ccc2c(cc[nH+]c2N2CCCC2)c1 ZINC000450208573 1124944672 /nfs/dbraw/zinc/94/46/72/1124944672.db2.gz NZDVYSZRDGABCC-UHFFFAOYSA-N 1 2 266.266 3.854 20 0 CHADLO COc1cnc(F)c(C[N@H+](C)[C@@H](C)c2ccc(F)cc2)c1 ZINC000876554585 1124958450 /nfs/dbraw/zinc/95/84/50/1124958450.db2.gz OUIZWXAZHHZUPS-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1cnc(F)c(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2)c1 ZINC000876554585 1124958452 /nfs/dbraw/zinc/95/84/52/1124958452.db2.gz OUIZWXAZHHZUPS-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)nn2)c1 ZINC000876580508 1124960656 /nfs/dbraw/zinc/96/06/56/1124960656.db2.gz VHDLJUVAFHWSKG-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)nn2)c1 ZINC000876580508 1124960660 /nfs/dbraw/zinc/96/06/60/1124960660.db2.gz VHDLJUVAFHWSKG-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO CCCn1cc(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)nn1 ZINC000876560056 1124961266 /nfs/dbraw/zinc/96/12/66/1124961266.db2.gz CBEMLZDIYBWIQY-ZDUSSCGKSA-N 1 2 298.390 3.627 20 0 CHADLO CCCn1cc(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)nn1 ZINC000876560056 1124961272 /nfs/dbraw/zinc/96/12/72/1124961272.db2.gz CBEMLZDIYBWIQY-ZDUSSCGKSA-N 1 2 298.390 3.627 20 0 CHADLO C[N@H+](Cc1ccc(Cl)nc1)Cc1ccc(C(F)F)nc1 ZINC000876561005 1124961707 /nfs/dbraw/zinc/96/17/07/1124961707.db2.gz IOGFHNGNUAGEBS-UHFFFAOYSA-N 1 2 297.736 3.700 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)nc1)Cc1ccc(C(F)F)nc1 ZINC000876561005 1124961711 /nfs/dbraw/zinc/96/17/11/1124961711.db2.gz IOGFHNGNUAGEBS-UHFFFAOYSA-N 1 2 297.736 3.700 20 0 CHADLO CCCC1CC[NH+](Cc2ncc(Cl)s2)CC1 ZINC001137109269 1124963676 /nfs/dbraw/zinc/96/36/76/1124963676.db2.gz IPAFQSHZNTZONY-UHFFFAOYSA-N 1 2 258.818 3.809 20 0 CHADLO C[N@@H+](CCCOc1ccccc1)Cc1nc(Cl)cs1 ZINC000876613538 1124964128 /nfs/dbraw/zinc/96/41/28/1124964128.db2.gz QQUNZGFCCGFXNH-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO C[N@H+](CCCOc1ccccc1)Cc1nc(Cl)cs1 ZINC000876613538 1124964119 /nfs/dbraw/zinc/96/41/19/1124964119.db2.gz QQUNZGFCCGFXNH-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO O=C(c1cccc(-c2ccc3[nH+]ccn3c2)c1)C(F)(F)F ZINC001239385384 1131321982 /nfs/dbraw/zinc/32/19/82/1131321982.db2.gz BGCUYDZJUUYTJL-UHFFFAOYSA-N 1 2 290.244 3.746 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2F)C[C@H](c2ccsc2)O1 ZINC000120662377 1124966802 /nfs/dbraw/zinc/96/68/02/1124966802.db2.gz FETHUXPSDSWOBI-BLLLJJGKSA-N 1 2 291.391 3.849 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2F)C[C@H](c2ccsc2)O1 ZINC000120662377 1124966806 /nfs/dbraw/zinc/96/68/06/1124966806.db2.gz FETHUXPSDSWOBI-BLLLJJGKSA-N 1 2 291.391 3.849 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+](Cc3nc(Cl)cs3)C2)c1 ZINC000876695295 1124970332 /nfs/dbraw/zinc/97/03/32/1124970332.db2.gz WGIWJNHJLQHKCW-LLVKDONJSA-N 1 2 296.798 3.925 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+](Cc3nc(Cl)cs3)C2)c1 ZINC000876695295 1124970338 /nfs/dbraw/zinc/97/03/38/1124970338.db2.gz WGIWJNHJLQHKCW-LLVKDONJSA-N 1 2 296.798 3.925 20 0 CHADLO C[C@H](c1csnn1)[N@H+](C)CCc1cccc2ccccc21 ZINC000450332510 1124983318 /nfs/dbraw/zinc/98/33/18/1124983318.db2.gz NMLIUGFRMMRULV-CYBMUJFWSA-N 1 2 297.427 3.927 20 0 CHADLO C[C@H](c1csnn1)[N@@H+](C)CCc1cccc2ccccc21 ZINC000450332510 1124983327 /nfs/dbraw/zinc/98/33/27/1124983327.db2.gz NMLIUGFRMMRULV-CYBMUJFWSA-N 1 2 297.427 3.927 20 0 CHADLO Cc1cc(N[C@@H](C)[C@@H]2CC2(F)F)ccc1[NH+](C)C ZINC000876844939 1124985554 /nfs/dbraw/zinc/98/55/54/1124985554.db2.gz LYRLTLSEVAQSRK-JQWIXIFHSA-N 1 2 254.324 3.517 20 0 CHADLO COc1c(C)c[nH+]c(CNc2ccc3oc(C)nc3c2)c1C ZINC000876953382 1124992576 /nfs/dbraw/zinc/99/25/76/1124992576.db2.gz OFNOVRBKUQXMEV-UHFFFAOYSA-N 1 2 297.358 3.769 20 0 CHADLO CC[C@H]1C[N@H+](Cc2c(F)ccc(F)c2Cl)CCCO1 ZINC000529554090 1124995074 /nfs/dbraw/zinc/99/50/74/1124995074.db2.gz ALMCIWWLRSWJNP-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2c(F)ccc(F)c2Cl)CCCO1 ZINC000529554090 1124995081 /nfs/dbraw/zinc/99/50/81/1124995081.db2.gz ALMCIWWLRSWJNP-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO Clc1csc(C[NH2+][C@@H]2CCCOc3ccccc32)n1 ZINC000876999118 1124996542 /nfs/dbraw/zinc/99/65/42/1124996542.db2.gz RPOCRWBGXFBFIN-LLVKDONJSA-N 1 2 294.807 3.800 20 0 CHADLO CCOc1cc(C)ccc1C[NH2+]Cc1nc(Cl)cs1 ZINC000877087397 1125008355 /nfs/dbraw/zinc/00/83/55/1125008355.db2.gz KXMWZQDICHSMBC-UHFFFAOYSA-N 1 2 296.823 3.793 20 0 CHADLO C[N@H+](Cc1nccn1Cc1ccccc1)CC1=CCCCC1 ZINC000450444525 1125027750 /nfs/dbraw/zinc/02/77/50/1125027750.db2.gz YWWQTXWDZWSIIF-UHFFFAOYSA-N 1 2 295.430 3.864 20 0 CHADLO C[N@@H+](Cc1nccn1Cc1ccccc1)CC1=CCCCC1 ZINC000450444525 1125027759 /nfs/dbraw/zinc/02/77/59/1125027759.db2.gz YWWQTXWDZWSIIF-UHFFFAOYSA-N 1 2 295.430 3.864 20 0 CHADLO CC(C)([NH2+]Cc1nc(Cl)cs1)c1ccccc1F ZINC000877546640 1125043031 /nfs/dbraw/zinc/04/30/31/1125043031.db2.gz VGPPOARVTGSTQI-UHFFFAOYSA-N 1 2 284.787 3.961 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000450485661 1125043943 /nfs/dbraw/zinc/04/39/43/1125043943.db2.gz JXTYCIRCNSPWRP-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000450485661 1125043949 /nfs/dbraw/zinc/04/39/49/1125043949.db2.gz JXTYCIRCNSPWRP-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cccc(OCCC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000121109901 1125049166 /nfs/dbraw/zinc/04/91/66/1125049166.db2.gz PXQBKKBYRQUTRZ-UHFFFAOYSA-N 1 2 298.386 3.723 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2cccc(Cl)c2C)n1 ZINC000877619395 1125055800 /nfs/dbraw/zinc/05/58/00/1125055800.db2.gz XWUAQVIKWLHMPJ-SNVBAGLBSA-N 1 2 279.771 3.533 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2cccc(Cl)c2C)n1 ZINC000877619395 1125055808 /nfs/dbraw/zinc/05/58/08/1125055808.db2.gz XWUAQVIKWLHMPJ-SNVBAGLBSA-N 1 2 279.771 3.533 20 0 CHADLO C[C@H](c1ccccc1)[C@H](O)C[N@H+](CC(F)F)CC1CCC1 ZINC000450638907 1125076613 /nfs/dbraw/zinc/07/66/13/1125076613.db2.gz USUKQLJXJSPIED-CZUORRHYSA-N 1 2 297.389 3.518 20 0 CHADLO C[C@H](c1ccccc1)[C@H](O)C[N@@H+](CC(F)F)CC1CCC1 ZINC000450638907 1125076619 /nfs/dbraw/zinc/07/66/19/1125076619.db2.gz USUKQLJXJSPIED-CZUORRHYSA-N 1 2 297.389 3.518 20 0 CHADLO Clc1nc(NCCNc2cccc[nH+]2)cc2ccccc21 ZINC000022374103 1125100407 /nfs/dbraw/zinc/10/04/07/1125100407.db2.gz WVRLULQFXFSTMC-UHFFFAOYSA-N 1 2 298.777 3.807 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1scnc1Cl ZINC000877918786 1125102624 /nfs/dbraw/zinc/10/26/24/1125102624.db2.gz VKSIOVMRQYSHBL-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1scnc1Cl ZINC000877918786 1125102625 /nfs/dbraw/zinc/10/26/25/1125102625.db2.gz VKSIOVMRQYSHBL-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc3c(o2)CCCC3)Cc2ccccc21 ZINC000877937932 1125104496 /nfs/dbraw/zinc/10/44/96/1125104496.db2.gz VBVCENLSIXPIEE-ZDUSSCGKSA-N 1 2 282.387 3.673 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc3c(o2)CCCC3)Cc2ccccc21 ZINC000877937932 1125104499 /nfs/dbraw/zinc/10/44/99/1125104499.db2.gz VBVCENLSIXPIEE-ZDUSSCGKSA-N 1 2 282.387 3.673 20 0 CHADLO Cc1conc1C[N@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC000877973746 1125108883 /nfs/dbraw/zinc/10/88/83/1125108883.db2.gz ZNAVOBFWBKVUCL-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1conc1C[N@@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC000877973746 1125108886 /nfs/dbraw/zinc/10/88/86/1125108886.db2.gz ZNAVOBFWBKVUCL-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@H](C)c2ccc3ccccc3c2)n1 ZINC000053642925 1125110437 /nfs/dbraw/zinc/11/04/37/1125110437.db2.gz QLWGMKZEUPYVTI-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1noc(C[N@H+](C)[C@H](C)c2ccc3ccccc3c2)n1 ZINC000053642925 1125110440 /nfs/dbraw/zinc/11/04/40/1125110440.db2.gz QLWGMKZEUPYVTI-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO Clc1cccc([C@@H]2CC[N@H+](Cc3cc[nH]n3)C2)c1Cl ZINC001137129973 1125132889 /nfs/dbraw/zinc/13/28/89/1125132889.db2.gz KGIKYUCUYLJTCK-SNVBAGLBSA-N 1 2 296.201 3.706 20 0 CHADLO Clc1cccc([C@@H]2CC[N@@H+](Cc3cc[nH]n3)C2)c1Cl ZINC001137129973 1125132892 /nfs/dbraw/zinc/13/28/92/1125132892.db2.gz KGIKYUCUYLJTCK-SNVBAGLBSA-N 1 2 296.201 3.706 20 0 CHADLO FC(F)(F)c1coc(C[N@H+](Cc2cccnc2)C2CC2)c1 ZINC000878310437 1125139328 /nfs/dbraw/zinc/13/93/28/1125139328.db2.gz ZCQFFQWKMJDUCV-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1coc(C[N@@H+](Cc2cccnc2)C2CC2)c1 ZINC000878310437 1125139329 /nfs/dbraw/zinc/13/93/29/1125139329.db2.gz ZCQFFQWKMJDUCV-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO CC[C@H]([NH2+]Cc1nocc1C)c1ccccc1OC(F)F ZINC000878353115 1125143559 /nfs/dbraw/zinc/14/35/59/1125143559.db2.gz RYJYMZLRVMTCDY-LBPRGKRZSA-N 1 2 296.317 3.825 20 0 CHADLO CC[C@H]([NH2+]Cc1nocc1C)c1ccc(F)cc1F ZINC000878366199 1125146202 /nfs/dbraw/zinc/14/62/02/1125146202.db2.gz XTQYGFAYRMRTIV-ZDUSSCGKSA-N 1 2 266.291 3.502 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2scnc2Cl)C2CC2)n1 ZINC000878377755 1125146298 /nfs/dbraw/zinc/14/62/98/1125146298.db2.gz GWPTWTUCCNVVNY-SNVBAGLBSA-N 1 2 299.852 3.802 20 0 CHADLO FC(F)O[C@@H]1CCC[N@H+](Cc2cc(C(F)(F)F)co2)C1 ZINC000878393358 1125149041 /nfs/dbraw/zinc/14/90/41/1125149041.db2.gz CKMKUNJPZLNNBX-SECBINFHSA-N 1 2 299.239 3.502 20 0 CHADLO FC(F)O[C@@H]1CCC[N@@H+](Cc2cc(C(F)(F)F)co2)C1 ZINC000878393358 1125149042 /nfs/dbraw/zinc/14/90/42/1125149042.db2.gz CKMKUNJPZLNNBX-SECBINFHSA-N 1 2 299.239 3.502 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2c(C)ccc3cc(C)ccc32)n1 ZINC000878416390 1125151850 /nfs/dbraw/zinc/15/18/50/1125151850.db2.gz ACZZXPYGJUJWHS-CYBMUJFWSA-N 1 2 295.386 3.999 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@@H]1C[C@H]3CCC[C@H]3O1)C2 ZINC000878619271 1125166119 /nfs/dbraw/zinc/16/61/19/1125166119.db2.gz VCFVFIQUCBHGJI-PVXIVEMSSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@@H]1C[C@H]3CCC[C@H]3O1)C2 ZINC000878619271 1125166124 /nfs/dbraw/zinc/16/61/24/1125166124.db2.gz VCFVFIQUCBHGJI-PVXIVEMSSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@H]1CCC3(CCC3)O1)C2 ZINC000878617849 1125166223 /nfs/dbraw/zinc/16/62/23/1125166223.db2.gz BIZHXGUNZQYARU-CYBMUJFWSA-N 1 2 277.795 3.757 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@H]1CCC3(CCC3)O1)C2 ZINC000878617849 1125166226 /nfs/dbraw/zinc/16/62/26/1125166226.db2.gz BIZHXGUNZQYARU-CYBMUJFWSA-N 1 2 277.795 3.757 20 0 CHADLO C[C@H]1C[NH+](Cc2scnc2Cl)C[C@H](C)C1(F)F ZINC000879030430 1125192868 /nfs/dbraw/zinc/19/28/68/1125192868.db2.gz JZVOMVFLJWNKIV-YUMQZZPRSA-N 1 2 280.771 3.520 20 0 CHADLO C[C@H]1[C@H](c2ccccc2)CC[N@@H+]1Cc1nnsc1Cl ZINC000531441227 1125219237 /nfs/dbraw/zinc/21/92/37/1125219237.db2.gz XPQLRYMEMJJFIY-CMPLNLGQSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@H]1[C@H](c2ccccc2)CC[N@H+]1Cc1nnsc1Cl ZINC000531441227 1125219242 /nfs/dbraw/zinc/21/92/42/1125219242.db2.gz XPQLRYMEMJJFIY-CMPLNLGQSA-N 1 2 293.823 3.570 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cccc(F)c2)nc2ccccc12 ZINC000532218520 1125240611 /nfs/dbraw/zinc/24/06/11/1125240611.db2.gz AKRGLYXQBOVTSI-LBPRGKRZSA-N 1 2 295.361 3.928 20 0 CHADLO COc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001239486570 1131342122 /nfs/dbraw/zinc/34/21/22/1131342122.db2.gz XDTOWUXMRDQNFO-UHFFFAOYSA-N 1 2 264.328 3.607 20 0 CHADLO CC(C)OC(=O)[C@@H](C)[N@H+](C)Cc1ccc2ccccc2c1 ZINC000532352852 1125250622 /nfs/dbraw/zinc/25/06/22/1125250622.db2.gz BRSVTBPVHULMRP-CQSZACIVSA-N 1 2 285.387 3.612 20 0 CHADLO CC(C)OC(=O)[C@@H](C)[N@@H+](C)Cc1ccc2ccccc2c1 ZINC000532352852 1125250627 /nfs/dbraw/zinc/25/06/27/1125250627.db2.gz BRSVTBPVHULMRP-CQSZACIVSA-N 1 2 285.387 3.612 20 0 CHADLO CC(C)c1ccc(C[NH2+]C2(c3ncccn3)CCC2)cc1 ZINC000880363974 1125267024 /nfs/dbraw/zinc/26/70/24/1125267024.db2.gz MTXOAHHNLKAPOT-UHFFFAOYSA-N 1 2 281.403 3.769 20 0 CHADLO Cc1cc(Cl)ccc1C[NH2+]C1(c2ncccn2)CCC1 ZINC000880366888 1125268269 /nfs/dbraw/zinc/26/82/69/1125268269.db2.gz YKGPDAAJYJJZKA-UHFFFAOYSA-N 1 2 287.794 3.607 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCc3ccsc3[C@H]2C)o1 ZINC000880378070 1125269811 /nfs/dbraw/zinc/26/98/11/1125269811.db2.gz NGSSHHQWTVWKQY-LLVKDONJSA-N 1 2 276.405 3.979 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCc3ccsc3[C@H]2C)o1 ZINC000880378070 1125269813 /nfs/dbraw/zinc/26/98/13/1125269813.db2.gz NGSSHHQWTVWKQY-LLVKDONJSA-N 1 2 276.405 3.979 20 0 CHADLO CC(C)([NH2+]Cc1ncccn1)c1ccc(C(F)(F)F)cc1 ZINC000880385519 1125270378 /nfs/dbraw/zinc/27/03/78/1125270378.db2.gz ODGFWSJNNCQUEU-UHFFFAOYSA-N 1 2 295.308 3.520 20 0 CHADLO CCc1cccc(Cl)c1C[N@H+](C)Cc1ccc[nH]1 ZINC000475708058 1125271575 /nfs/dbraw/zinc/27/15/75/1125271575.db2.gz FQTJXAFOVWAMSI-UHFFFAOYSA-N 1 2 262.784 3.863 20 0 CHADLO CCc1cccc(Cl)c1C[N@@H+](C)Cc1ccc[nH]1 ZINC000475708058 1125271580 /nfs/dbraw/zinc/27/15/80/1125271580.db2.gz FQTJXAFOVWAMSI-UHFFFAOYSA-N 1 2 262.784 3.863 20 0 CHADLO FC(F)[C@@H]([NH2+]CC1CCOCC1)c1cccc(Cl)c1 ZINC000880535316 1125277510 /nfs/dbraw/zinc/27/75/10/1125277510.db2.gz CAYWNFNSMGASNA-ZDUSSCGKSA-N 1 2 289.753 3.662 20 0 CHADLO OCCCCCC[NH2+][C@@H](c1cccc(Cl)c1)C(F)F ZINC000880536277 1125279496 /nfs/dbraw/zinc/27/94/96/1125279496.db2.gz HERHXVZIJSQCJK-ZDUSSCGKSA-N 1 2 291.769 3.789 20 0 CHADLO C[N@H+](CC[C@@H]1CCCO1)[C@H](CCc1ccccc1)C(F)F ZINC000626136899 1125299793 /nfs/dbraw/zinc/29/97/93/1125299793.db2.gz LZQPNLBCFUDOSS-JKSUJKDBSA-N 1 2 297.389 3.754 20 0 CHADLO C[N@@H+](CC[C@@H]1CCCO1)[C@H](CCc1ccccc1)C(F)F ZINC000626136899 1125299799 /nfs/dbraw/zinc/29/97/99/1125299799.db2.gz LZQPNLBCFUDOSS-JKSUJKDBSA-N 1 2 297.389 3.754 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000628431310 1125311158 /nfs/dbraw/zinc/31/11/58/1125311158.db2.gz SURPBYFCBPXBMC-DZGCQCFKSA-N 1 2 297.402 3.598 20 0 CHADLO CSc1ccc(-c2ccc(Cn3cc[nH+]c3)cn2)cc1 ZINC001239496562 1131346957 /nfs/dbraw/zinc/34/69/57/1131346957.db2.gz ISQRRJSFNFKIGK-UHFFFAOYSA-N 1 2 281.384 3.715 20 0 CHADLO Clc1ccccc1C[NH2+]Cc1nccn1-c1ccccc1 ZINC000190668606 1125318504 /nfs/dbraw/zinc/31/85/04/1125318504.db2.gz NKQCZAMCDYJFEZ-UHFFFAOYSA-N 1 2 297.789 3.816 20 0 CHADLO C[N@H+](Cc1nccn1-c1ccccc1)Cc1ccc(F)cc1 ZINC000191178930 1125321436 /nfs/dbraw/zinc/32/14/36/1125321436.db2.gz ULLXBXSLXDUURC-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO C[N@@H+](Cc1nccn1-c1ccccc1)Cc1ccc(F)cc1 ZINC000191178930 1125321439 /nfs/dbraw/zinc/32/14/39/1125321439.db2.gz ULLXBXSLXDUURC-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO CCCCC[N@H+](Cc1ccc(F)cc1)Cc1ncccn1 ZINC000191879484 1125328370 /nfs/dbraw/zinc/32/83/70/1125328370.db2.gz QNGCVQJPYICFFV-UHFFFAOYSA-N 1 2 287.382 3.808 20 0 CHADLO CCCCC[N@@H+](Cc1ccc(F)cc1)Cc1ncccn1 ZINC000191879484 1125328371 /nfs/dbraw/zinc/32/83/71/1125328371.db2.gz QNGCVQJPYICFFV-UHFFFAOYSA-N 1 2 287.382 3.808 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCc2c(cccc2C(C)C)C1 ZINC000191891122 1125328434 /nfs/dbraw/zinc/32/84/34/1125328434.db2.gz NXSPVWWCFBUJNN-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO CCn1ccnc1C[N@H+]1CCc2c(cccc2C(C)C)C1 ZINC000191891122 1125328439 /nfs/dbraw/zinc/32/84/39/1125328439.db2.gz NXSPVWWCFBUJNN-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2ncccn2)o1 ZINC000192036234 1125330117 /nfs/dbraw/zinc/33/01/17/1125330117.db2.gz XYRCVUNLYTVEFW-OAHLLOKOSA-N 1 2 285.391 3.749 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@H+]2Cc2ncccn2)o1 ZINC000192036234 1125330120 /nfs/dbraw/zinc/33/01/20/1125330120.db2.gz XYRCVUNLYTVEFW-OAHLLOKOSA-N 1 2 285.391 3.749 20 0 CHADLO CC(C)CCOc1ccc([C@@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000192155273 1125331113 /nfs/dbraw/zinc/33/11/13/1125331113.db2.gz SAXVXAKSWWIEIP-OAHLLOKOSA-N 1 2 299.418 3.752 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2cccc3cccnc32)C1 ZINC001137172073 1125331651 /nfs/dbraw/zinc/33/16/51/1125331651.db2.gz RAOCERIPNXKECY-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2cccc3cccnc32)C1 ZINC001137172073 1125331653 /nfs/dbraw/zinc/33/16/53/1125331653.db2.gz RAOCERIPNXKECY-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@H](c3nccs3)C2)c1 ZINC000882855975 1125358124 /nfs/dbraw/zinc/35/81/24/1125358124.db2.gz YCQMBSMUBAQUQH-LBPRGKRZSA-N 1 2 299.374 3.824 20 0 CHADLO CC[C@H](C)CCC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882872207 1125359158 /nfs/dbraw/zinc/35/91/58/1125359158.db2.gz ZIPQSFXUCKSBKE-RDJZCZTQSA-N 1 2 299.418 3.567 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCO[C@H](CCF)C2)s1 ZINC000628458161 1125368232 /nfs/dbraw/zinc/36/82/32/1125368232.db2.gz FVXPARFWODZEQH-GFCCVEGCSA-N 1 2 285.428 3.606 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCO[C@H](CCF)C2)s1 ZINC000628458161 1125368233 /nfs/dbraw/zinc/36/82/33/1125368233.db2.gz FVXPARFWODZEQH-GFCCVEGCSA-N 1 2 285.428 3.606 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](CC)c2sccc2Cl)[nH]1 ZINC000883208189 1125378129 /nfs/dbraw/zinc/37/81/29/1125378129.db2.gz AQEKWKBRANOXDN-WPRPVWTQSA-N 1 2 298.843 3.884 20 0 CHADLO C[C@H]1[N@H+](Cc2cccc(C(F)(F)F)c2)CCOC1(C)C ZINC000532422969 1125379300 /nfs/dbraw/zinc/37/93/00/1125379300.db2.gz ZXODWRNXPVGVML-LLVKDONJSA-N 1 2 287.325 3.705 20 0 CHADLO C[C@H]1[N@@H+](Cc2cccc(C(F)(F)F)c2)CCOC1(C)C ZINC000532422969 1125379304 /nfs/dbraw/zinc/37/93/04/1125379304.db2.gz ZXODWRNXPVGVML-LLVKDONJSA-N 1 2 287.325 3.705 20 0 CHADLO C/C=C/C[C@H]1CCC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000626162833 1125379887 /nfs/dbraw/zinc/37/98/87/1125379887.db2.gz ZONCLFNLVAWLRC-GFUIURDCSA-N 1 2 277.412 3.545 20 0 CHADLO C/C=C/C[C@H]1CCC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000626162833 1125379890 /nfs/dbraw/zinc/37/98/90/1125379890.db2.gz ZONCLFNLVAWLRC-GFUIURDCSA-N 1 2 277.412 3.545 20 0 CHADLO CC[C@H]([NH2+][C@@H]1C[C@H](C)n2ncnc21)c1sccc1Cl ZINC000883234337 1125381556 /nfs/dbraw/zinc/38/15/56/1125381556.db2.gz CEWXYMVYIWJGMX-INTQDDNPSA-N 1 2 296.827 3.740 20 0 CHADLO c1cc(C[NH2+][C@@H](c2ccccc2)C2CCCCC2)[nH]n1 ZINC000041014759 1125388331 /nfs/dbraw/zinc/38/83/31/1125388331.db2.gz VELJKBDJWJKYAN-KRWDZBQOSA-N 1 2 269.392 3.821 20 0 CHADLO c1cnc(C2([NH2+]Cc3ccc4c(c3)CCCC4)CCC2)nc1 ZINC000883305914 1125392778 /nfs/dbraw/zinc/39/27/78/1125392778.db2.gz JBQUBVPGSYQMIX-UHFFFAOYSA-N 1 2 293.414 3.524 20 0 CHADLO Cc1cc(NCc2cn(C)nc2-c2cccs2)c[nH+]c1C ZINC000883341079 1125398050 /nfs/dbraw/zinc/39/80/50/1125398050.db2.gz HTDYYSPNEFOTCR-UHFFFAOYSA-N 1 2 298.415 3.773 20 0 CHADLO CC(=O)Nc1cccc([C@@H](C)Nc2c[nH+]c(C)c(C)c2)c1 ZINC000883341605 1125398385 /nfs/dbraw/zinc/39/83/85/1125398385.db2.gz VDIDUQNTXBZVQJ-CYBMUJFWSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc(Cl)cn2)c[nH+]c1C ZINC000883342812 1125398404 /nfs/dbraw/zinc/39/84/04/1125398404.db2.gz NCFXIVQYSINBNP-LLVKDONJSA-N 1 2 261.756 3.920 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2cccc(F)c2)C(C)(C)C)nc1 ZINC000342247462 1125401683 /nfs/dbraw/zinc/40/16/83/1125401683.db2.gz TUVYIOMTCQDMCJ-INIZCTEOSA-N 1 2 287.382 3.801 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@H]1F)c1ccoc1 ZINC000883376238 1125404064 /nfs/dbraw/zinc/40/40/64/1125404064.db2.gz GSYRETOKDUJREM-IUIKQTSFSA-N 1 2 259.324 3.956 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+](C)Cc1cccnc1 ZINC000171146527 1125404393 /nfs/dbraw/zinc/40/43/93/1125404393.db2.gz BMJRPOQHNQRJID-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+](C)Cc1cccnc1 ZINC000171146527 1125404395 /nfs/dbraw/zinc/40/43/95/1125404395.db2.gz BMJRPOQHNQRJID-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ncc(C)s1 ZINC000883377531 1125404683 /nfs/dbraw/zinc/40/46/83/1125404683.db2.gz KEVDGYFYKOIPBO-UHFFFAOYSA-N 1 2 279.434 3.712 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1csc(C)n1 ZINC000883378373 1125404917 /nfs/dbraw/zinc/40/49/17/1125404917.db2.gz JOSYAPHKAPGIIL-UHFFFAOYSA-N 1 2 279.434 3.712 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccncc1C ZINC000883377890 1125404932 /nfs/dbraw/zinc/40/49/32/1125404932.db2.gz SXLWIEHFKVKJHM-UHFFFAOYSA-N 1 2 273.405 3.650 20 0 CHADLO Cc1nc(C)c(CNc2[nH]c3cc(C)ccc3[nH+]2)s1 ZINC000883408206 1125409621 /nfs/dbraw/zinc/40/96/21/1125409621.db2.gz QJBJNZUMJGXSOC-UHFFFAOYSA-N 1 2 272.377 3.557 20 0 CHADLO Cc1ccc2c(Nc3cc(C4CC4)nn3C)cccc2[nH+]1 ZINC001212794132 1125410265 /nfs/dbraw/zinc/41/02/65/1125410265.db2.gz LGZJLYZCGUXBLU-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO CC1(C)Nc2ccccc2[C@@H]1[NH2+]Cc1csc(Cl)n1 ZINC000883464065 1125411796 /nfs/dbraw/zinc/41/17/96/1125411796.db2.gz DUXIDVKRNGVKFL-LBPRGKRZSA-N 1 2 293.823 3.832 20 0 CHADLO Cc1ccc2c(Nc3cn4ccccc4n3)cccc2[nH+]1 ZINC001212795958 1125418132 /nfs/dbraw/zinc/41/81/32/1125418132.db2.gz KYCFLXHOJOIXOZ-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1ccc2[nH+]c(CSc3ncccc3Cl)cn2c1 ZINC000043895966 1125424821 /nfs/dbraw/zinc/42/48/21/1125424821.db2.gz VNACRWGRKOFVRK-UHFFFAOYSA-N 1 2 289.791 3.983 20 0 CHADLO Cc1cc(N[C@H]2C[C@@H](CO)C2)[nH+]c2c1cccc2C(C)C ZINC001168242527 1125428928 /nfs/dbraw/zinc/42/89/28/1125428928.db2.gz DEZQRDXAJRHJFK-OKILXGFUSA-N 1 2 284.403 3.849 20 0 CHADLO CC(C)c1noc([C@H](C)[NH2+][C@@H](C)c2ccccc2)n1 ZINC000107070509 1125446226 /nfs/dbraw/zinc/44/62/26/1125446226.db2.gz UGDFAWYYNIJGAD-RYUDHWBXSA-N 1 2 259.353 3.605 20 0 CHADLO c1cn(CCOc2ccccc2NC[C@H]2CC=CCC2)c[nH+]1 ZINC000125264620 1125446391 /nfs/dbraw/zinc/44/63/91/1125446391.db2.gz JIOCLDREBZAINE-INIZCTEOSA-N 1 2 297.402 3.730 20 0 CHADLO COCC[N@H+](Cc1ccc(C)o1)Cc1ccc(F)cc1F ZINC000125368209 1125447974 /nfs/dbraw/zinc/44/79/74/1125447974.db2.gz JCADCMXMFMMYCR-UHFFFAOYSA-N 1 2 295.329 3.515 20 0 CHADLO COCC[N@@H+](Cc1ccc(C)o1)Cc1ccc(F)cc1F ZINC000125368209 1125447979 /nfs/dbraw/zinc/44/79/79/1125447979.db2.gz JCADCMXMFMMYCR-UHFFFAOYSA-N 1 2 295.329 3.515 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2cn(C(C)(C)C)nc2C)no1 ZINC000282861153 1125448773 /nfs/dbraw/zinc/44/87/73/1125448773.db2.gz URZFLNJOUDPPJX-WCQYABFASA-N 1 2 290.411 3.655 20 0 CHADLO CCOc1cncc(C[N@H+](C)[C@H](C)c2ccccc2F)c1 ZINC000621711107 1129183318 /nfs/dbraw/zinc/18/33/18/1129183318.db2.gz FLCZVRZNYRVPRL-CYBMUJFWSA-N 1 2 288.366 3.812 20 0 CHADLO CCOc1cncc(C[N@@H+](C)[C@H](C)c2ccccc2F)c1 ZINC000621711107 1129183321 /nfs/dbraw/zinc/18/33/21/1129183321.db2.gz FLCZVRZNYRVPRL-CYBMUJFWSA-N 1 2 288.366 3.812 20 0 CHADLO CCOc1cncc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)c1 ZINC000621718312 1129185217 /nfs/dbraw/zinc/18/52/17/1129185217.db2.gz CZXUYAQOYXKHJE-KRWDZBQOSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1cncc(C[N@H+]2CC[C@H]2c2cccc(F)c2)c1 ZINC000621718312 1129185219 /nfs/dbraw/zinc/18/52/19/1129185219.db2.gz CZXUYAQOYXKHJE-KRWDZBQOSA-N 1 2 286.350 3.566 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc([NH+](C)C)cc2)C12CCC2 ZINC000087709467 1129191268 /nfs/dbraw/zinc/19/12/68/1129191268.db2.gz ARNDIANCXOIFIY-JKSUJKDBSA-N 1 2 274.408 3.512 20 0 CHADLO C[C@H]([NH2+]Cc1cc2ccccc2[nH]1)c1nc(C(C)(C)C)no1 ZINC000621811690 1129198381 /nfs/dbraw/zinc/19/83/81/1129198381.db2.gz BKZWDCXCLMIFNQ-NSHDSACASA-N 1 2 298.390 3.699 20 0 CHADLO Cc1coc(C[NH2+][C@H](C)c2c(F)cccc2F)c1 ZINC000718131521 1129204648 /nfs/dbraw/zinc/20/46/48/1129204648.db2.gz DYDVQTGMOSFZBT-SNVBAGLBSA-N 1 2 251.276 3.717 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1ccns1 ZINC000637901555 1129235891 /nfs/dbraw/zinc/23/58/91/1129235891.db2.gz MKMVLCIQFQBNAF-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1ccns1 ZINC000637901555 1129235894 /nfs/dbraw/zinc/23/58/94/1129235894.db2.gz MKMVLCIQFQBNAF-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc2ccccc2o1)c1ccccn1 ZINC000638534388 1129261859 /nfs/dbraw/zinc/26/18/59/1129261859.db2.gz AHXMDUUAMXBFHM-ZDUSSCGKSA-N 1 2 281.359 3.854 20 0 CHADLO C[C@H]([NH2+][C@H](c1ncn[nH]1)C1CCCCC1)C1CCCC1 ZINC000638636183 1129264442 /nfs/dbraw/zinc/26/44/42/1129264442.db2.gz QRTJTXQQPBQPAK-WFASDCNBSA-N 1 2 276.428 3.594 20 0 CHADLO CC[C@@H]([NH2+][C@@H](c1nnc[nH]1)C1CCCCC1)c1ccncc1 ZINC000638678846 1129265722 /nfs/dbraw/zinc/26/57/22/1129265722.db2.gz UPUHWIPZZRSKGO-HZPDHXFCSA-N 1 2 299.422 3.562 20 0 CHADLO CC(C)n1cncc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000090208255 1129275179 /nfs/dbraw/zinc/27/51/79/1129275179.db2.gz NRCRMTFWONWPTP-UHFFFAOYSA-N 1 2 284.407 3.676 20 0 CHADLO Cc1cccc2c1OCC[C@H]2[NH2+]Cc1nc2ccccc2o1 ZINC000639096751 1129280513 /nfs/dbraw/zinc/28/05/13/1129280513.db2.gz JQOHDNGPHSGRIS-CQSZACIVSA-N 1 2 294.354 3.750 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)c2nccn21 ZINC000379173996 1129286051 /nfs/dbraw/zinc/28/60/51/1129286051.db2.gz PYGCGFMCWOBSTL-CZUORRHYSA-N 1 2 282.391 3.601 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nnc[nH]1)C1CCCCC1)c1ccsc1 ZINC000639142162 1129286804 /nfs/dbraw/zinc/28/68/04/1129286804.db2.gz VUFCIQQDMAQYDG-BXUZGUMPSA-N 1 2 290.436 3.838 20 0 CHADLO CO[C@H]1CCC[C@@H]([NH2+]c2ccc(N(C)C)cc2)CC1 ZINC000718859182 1129291549 /nfs/dbraw/zinc/29/15/49/1129291549.db2.gz LIWSZQXIRZOXGO-CJNGLKHVSA-N 1 2 262.397 3.512 20 0 CHADLO CO[C@H]1CCC[C@@H](Nc2ccc([NH+](C)C)cc2)CC1 ZINC000718859182 1129291552 /nfs/dbraw/zinc/29/15/52/1129291552.db2.gz LIWSZQXIRZOXGO-CJNGLKHVSA-N 1 2 262.397 3.512 20 0 CHADLO c1n[nH]c([C@@H]([NH2+][C@H](C2CC2)C2CCC2)C2CCCCC2)n1 ZINC000639246753 1129296766 /nfs/dbraw/zinc/29/67/66/1129296766.db2.gz KNASJEMHAPPBRF-HOTGVXAUSA-N 1 2 288.439 3.594 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccccc1 ZINC000639439781 1129314079 /nfs/dbraw/zinc/31/40/79/1129314079.db2.gz IZSQHVZXVQMVOX-KRWDZBQOSA-N 1 2 285.391 3.509 20 0 CHADLO O=C(C[N@@H+]1CCC[C@@H]1c1ccsc1)c1ccccc1F ZINC000639451904 1129316260 /nfs/dbraw/zinc/31/62/60/1129316260.db2.gz WANKIWHCJMFWJE-OAHLLOKOSA-N 1 2 289.375 3.907 20 0 CHADLO O=C(C[N@H+]1CCC[C@@H]1c1ccsc1)c1ccccc1F ZINC000639451904 1129316263 /nfs/dbraw/zinc/31/62/63/1129316263.db2.gz WANKIWHCJMFWJE-OAHLLOKOSA-N 1 2 289.375 3.907 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C2CCC2)no1)c1ccc(C)cc1 ZINC000639560720 1129323961 /nfs/dbraw/zinc/32/39/61/1129323961.db2.gz HBWAZKUBGPOXTO-OAHLLOKOSA-N 1 2 285.391 3.886 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccc(C)cc1 ZINC000639560231 1129324188 /nfs/dbraw/zinc/32/41/88/1129324188.db2.gz BBWWTCIWOVDTCY-HNNXBMFYSA-N 1 2 285.391 3.571 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nc(C2CCC2)no1 ZINC000639633745 1129327240 /nfs/dbraw/zinc/32/72/40/1129327240.db2.gz QKYISXWLLNGHIV-KAMYIIQDSA-N 1 2 297.402 3.872 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nc(C2CCC2)no1 ZINC000639633745 1129327244 /nfs/dbraw/zinc/32/72/44/1129327244.db2.gz QKYISXWLLNGHIV-KAMYIIQDSA-N 1 2 297.402 3.872 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3nc(C4CCC4)no3)CCC2)c1 ZINC000639692010 1129330204 /nfs/dbraw/zinc/33/02/04/1129330204.db2.gz CODQPYCHFVGIMA-UHFFFAOYSA-N 1 2 297.402 3.815 20 0 CHADLO CCCc1noc(C[NH2+][C@](C)(CC)c2ccc(F)cc2)n1 ZINC000639930504 1129340248 /nfs/dbraw/zinc/34/02/48/1129340248.db2.gz XLGRTYBIRDQXQR-MRXNPFEDSA-N 1 2 291.370 3.576 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1cnc(C(C)(C)C)s1 ZINC000091812780 1129371023 /nfs/dbraw/zinc/37/10/23/1129371023.db2.gz FVFSYJSEZRECTI-UHFFFAOYSA-N 1 2 293.436 3.677 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1cnc(C(C)(C)C)s1 ZINC000091812780 1129371026 /nfs/dbraw/zinc/37/10/26/1129371026.db2.gz FVFSYJSEZRECTI-UHFFFAOYSA-N 1 2 293.436 3.677 20 0 CHADLO C[C@@H](Nc1cc(CO)cc[nH+]1)c1cccc(C(F)(F)F)c1 ZINC000641259291 1129377885 /nfs/dbraw/zinc/37/78/85/1129377885.db2.gz OXNXLRBVZWYZAF-SNVBAGLBSA-N 1 2 296.292 3.766 20 0 CHADLO COCOc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001212899198 1129383732 /nfs/dbraw/zinc/38/37/32/1129383732.db2.gz FLISRIRHJWFUFR-UHFFFAOYSA-N 1 2 295.342 3.599 20 0 CHADLO CC(C)[C@H](Nc1cc(CO)cc[nH+]1)c1ccccc1F ZINC000641658375 1129385719 /nfs/dbraw/zinc/38/57/19/1129385719.db2.gz GLQUSJWPAYZMSR-INIZCTEOSA-N 1 2 274.339 3.522 20 0 CHADLO Cc1ncc(COc2cc(C)[nH+]c3c(C)cccc23)o1 ZINC000641718769 1129388390 /nfs/dbraw/zinc/38/83/90/1129388390.db2.gz YUYVUDRJZKCDGQ-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO CC(C)[C@H](C[NH2+][C@H](C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000738418236 1129394037 /nfs/dbraw/zinc/39/40/37/1129394037.db2.gz UOMZCRWKZPVPOO-ZBFHGGJFSA-N 1 2 291.435 3.746 20 0 CHADLO NC(=[NH+]OCc1ccc2ccccc2c1)c1ccccc1 ZINC000739900138 1129408764 /nfs/dbraw/zinc/40/87/64/1129408764.db2.gz ZEGXOYDOETXLDK-UHFFFAOYSA-N 1 2 276.339 3.887 20 0 CHADLO CC[C@@H]1CCC[C@H]1CNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001273370308 1129414040 /nfs/dbraw/zinc/41/40/40/1129414040.db2.gz RNCGOJCGQMIKCV-CABCVRRESA-N 1 2 274.408 3.563 20 0 CHADLO CC[C@@H]1CCC[C@@H]1CNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001273370310 1129414264 /nfs/dbraw/zinc/41/42/64/1129414264.db2.gz RNCGOJCGQMIKCV-HUUCEWRRSA-N 1 2 274.408 3.563 20 0 CHADLO C[C@H]1C[C@H](C)[N@H+](Cn2nc(-c3ccccc3)oc2=S)C1 ZINC000740860507 1129420693 /nfs/dbraw/zinc/42/06/93/1129420693.db2.gz PYFLYAPKKUZUIS-RYUDHWBXSA-N 1 2 289.404 3.560 20 0 CHADLO C[C@H]1C[C@H](C)[N@@H+](Cn2nc(-c3ccccc3)oc2=S)C1 ZINC000740860507 1129420695 /nfs/dbraw/zinc/42/06/95/1129420695.db2.gz PYFLYAPKKUZUIS-RYUDHWBXSA-N 1 2 289.404 3.560 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)OCc1cccc(C)c1)c1ccccc1 ZINC000741151260 1129430405 /nfs/dbraw/zinc/43/04/05/1129430405.db2.gz YWSZAYUELQROQD-GOSISDBHSA-N 1 2 297.398 3.731 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)OCc1cccc(C)c1)c1ccccc1 ZINC000741151260 1129430406 /nfs/dbraw/zinc/43/04/06/1129430406.db2.gz YWSZAYUELQROQD-GOSISDBHSA-N 1 2 297.398 3.731 20 0 CHADLO CC(C)=C[C@H]1[C@H](C(=O)NCc2cc(C)[nH+]c(C)c2)C1(C)C ZINC000643318607 1129438567 /nfs/dbraw/zinc/43/85/67/1129438567.db2.gz UGODCLWCJZCTJO-JKSUJKDBSA-N 1 2 286.419 3.553 20 0 CHADLO COc1ccc([C@H]([NH2+][C@H](C)c2cn[nH]c2C)C2CC2)cc1 ZINC000180027265 1129446195 /nfs/dbraw/zinc/44/61/95/1129446195.db2.gz ONVFNKWACOYLLH-PIGZYNQJSA-N 1 2 285.391 3.529 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](c1ccccc1)C1CC1 ZINC000180032924 1129447452 /nfs/dbraw/zinc/44/74/52/1129447452.db2.gz WTHARVWQLXPFLX-BZNIZROVSA-N 1 2 255.365 3.520 20 0 CHADLO CCC(=CC(=O)Nc1cc[nH+]c(C)c1)c1ccc(C)cc1 ZINC000741691801 1129448844 /nfs/dbraw/zinc/44/88/44/1129448844.db2.gz VCOJRWDMCYDTEG-QINSGFPZSA-N 1 2 280.371 3.552 20 0 CHADLO CC(C)=C[C@H]1[C@H](C(=O)Nc2cccc3[nH+]ccn32)C1(C)C ZINC000741740123 1129450117 /nfs/dbraw/zinc/45/01/17/1129450117.db2.gz LQABPNUVHIKLAI-SWLSCSKDSA-N 1 2 283.375 3.511 20 0 CHADLO CCc1cc(C[NH2+][C@H](C)c2ccc(OC)cc2Cl)on1 ZINC000643883339 1129453529 /nfs/dbraw/zinc/45/35/29/1129453529.db2.gz VUHRHQOAOFFXSR-SNVBAGLBSA-N 1 2 294.782 3.750 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2Cc3ccccc3C[C@@H]2C)o1 ZINC000741797155 1129453892 /nfs/dbraw/zinc/45/38/92/1129453892.db2.gz HNJHZNPOSFAQPG-ZDUSSCGKSA-N 1 2 270.376 3.745 20 0 CHADLO CC(C)c1cnc(C[N@H+]2Cc3ccccc3C[C@@H]2C)o1 ZINC000741797155 1129453894 /nfs/dbraw/zinc/45/38/94/1129453894.db2.gz HNJHZNPOSFAQPG-ZDUSSCGKSA-N 1 2 270.376 3.745 20 0 CHADLO O=C(/C=C/Sc1ccccc1)Nc1cccc2[nH+]ccn21 ZINC000741969910 1129463692 /nfs/dbraw/zinc/46/36/92/1129463692.db2.gz QPFJYLRJOSQBEF-FMIVXFBMSA-N 1 2 295.367 3.579 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H](c3ccn[nH]3)C2)ccc1Cl ZINC000180423776 1129475244 /nfs/dbraw/zinc/47/52/44/1129475244.db2.gz ZOMZXZCGRLHHMJ-CQSZACIVSA-N 1 2 289.810 3.751 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)ccc1Cl ZINC000180423776 1129475246 /nfs/dbraw/zinc/47/52/46/1129475246.db2.gz ZOMZXZCGRLHHMJ-CQSZACIVSA-N 1 2 289.810 3.751 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1cc(C)cc(F)c1)CC2 ZINC001143619510 1129478434 /nfs/dbraw/zinc/47/84/34/1129478434.db2.gz SAUIVBQAFDTUMN-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1cc(C)cc(F)c1)CC2 ZINC001143619510 1129478436 /nfs/dbraw/zinc/47/84/36/1129478436.db2.gz SAUIVBQAFDTUMN-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO Cc1cc(OCC[N@@H+]2Cc3cccnc3C2)ccc1C(C)C ZINC000644933805 1129481280 /nfs/dbraw/zinc/48/12/80/1129481280.db2.gz GUCGIHUKODUZBW-UHFFFAOYSA-N 1 2 296.414 3.908 20 0 CHADLO Cc1cc(OCC[N@H+]2Cc3cccnc3C2)ccc1C(C)C ZINC000644933805 1129481285 /nfs/dbraw/zinc/48/12/85/1129481285.db2.gz GUCGIHUKODUZBW-UHFFFAOYSA-N 1 2 296.414 3.908 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1ccncc1F ZINC001241226083 1129489274 /nfs/dbraw/zinc/48/92/74/1129489274.db2.gz KSBPZHGSPGSQEX-UHFFFAOYSA-N 1 2 271.339 3.581 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+]Cc1cccc(O)c1Cl)CO2 ZINC000645163884 1129489533 /nfs/dbraw/zinc/48/95/33/1129489533.db2.gz LZUQWRIRBJKKFT-CYBMUJFWSA-N 1 2 289.762 3.577 20 0 CHADLO CCc1sc(-c2nnc(C[N@@H+]3C[C@H](C)[C@@H]3C)o2)cc1C ZINC000645216267 1129492638 /nfs/dbraw/zinc/49/26/38/1129492638.db2.gz QZUDFJZBQXVOCW-QWRGUYRKSA-N 1 2 291.420 3.509 20 0 CHADLO CCc1sc(-c2nnc(C[N@H+]3C[C@H](C)[C@@H]3C)o2)cc1C ZINC000645216267 1129492640 /nfs/dbraw/zinc/49/26/40/1129492640.db2.gz QZUDFJZBQXVOCW-QWRGUYRKSA-N 1 2 291.420 3.509 20 0 CHADLO COc1ccccc1C1([NH2+]Cc2nc(C)c(C)s2)CC1 ZINC000646000322 1129515884 /nfs/dbraw/zinc/51/58/84/1129515884.db2.gz GILXVSAVBCMXSL-UHFFFAOYSA-N 1 2 288.416 3.547 20 0 CHADLO C[C@@H]1[C@@H](c2cccc(F)c2)OCC[N@@H+]1Cc1cccs1 ZINC000646063971 1129520139 /nfs/dbraw/zinc/52/01/39/1129520139.db2.gz WVKPFSQFAFBJJF-WBMJQRKESA-N 1 2 291.391 3.849 20 0 CHADLO C[C@@H]1[C@@H](c2cccc(F)c2)OCC[N@H+]1Cc1cccs1 ZINC000646063971 1129520142 /nfs/dbraw/zinc/52/01/42/1129520142.db2.gz WVKPFSQFAFBJJF-WBMJQRKESA-N 1 2 291.391 3.849 20 0 CHADLO Oc1cccc(C[NH+]2CC(c3ccccc3F)C2)c1Cl ZINC000646205940 1129528936 /nfs/dbraw/zinc/52/89/36/1129528936.db2.gz NVKWGPMGKPYVNU-UHFFFAOYSA-N 1 2 291.753 3.784 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](F)C[C@H]2C)ccc1Br ZINC001137613415 1131385170 /nfs/dbraw/zinc/38/51/70/1131385170.db2.gz YMQCTXQFFOKBQU-ZYHUDNBSSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](F)C[C@H]2C)ccc1Br ZINC001137613415 1131385174 /nfs/dbraw/zinc/38/51/74/1131385174.db2.gz YMQCTXQFFOKBQU-ZYHUDNBSSA-N 1 2 286.188 3.690 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@@H]2C[C@@H]2C)o1)c1cc2n(n1)CCCC2 ZINC000647283458 1129564354 /nfs/dbraw/zinc/56/43/54/1129564354.db2.gz AFSBXVWRPQJRNV-HEHGZKQESA-N 1 2 299.418 3.787 20 0 CHADLO C[C@H]1C[C@H]([NH2+]C2(c3ccccc3F)CCC2)c2nccn21 ZINC000647332623 1129570704 /nfs/dbraw/zinc/57/07/04/1129570704.db2.gz BCWVKYYPSCFYJX-WFASDCNBSA-N 1 2 285.366 3.697 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2nonc2C)o1 ZINC000744427938 1129576785 /nfs/dbraw/zinc/57/67/85/1129576785.db2.gz BABKIYSKUUZRRV-NHYWBVRUSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2nonc2C)o1 ZINC000744427938 1129576786 /nfs/dbraw/zinc/57/67/86/1129576786.db2.gz BABKIYSKUUZRRV-NHYWBVRUSA-N 1 2 289.379 3.507 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+]1CCc2nc[nH]c2C1 ZINC000647933218 1129588380 /nfs/dbraw/zinc/58/83/80/1129588380.db2.gz GITZTPSNLSLWCK-HNNXBMFYSA-N 1 2 275.783 3.573 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+]1CCc2nc[nH]c2C1 ZINC000647933218 1129588383 /nfs/dbraw/zinc/58/83/83/1129588383.db2.gz GITZTPSNLSLWCK-HNNXBMFYSA-N 1 2 275.783 3.573 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnn(-c2ccccc2C)c1 ZINC000648038428 1129592953 /nfs/dbraw/zinc/59/29/53/1129592953.db2.gz ZNNYZUQXJWVBTG-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnn(-c2ccccc2C)c1 ZINC000648038428 1129592955 /nfs/dbraw/zinc/59/29/55/1129592955.db2.gz ZNNYZUQXJWVBTG-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO O=C(Oc1ccccc1)[C@H]1CCCC[N@@H+]1Cc1ccccc1 ZINC000746104405 1129625688 /nfs/dbraw/zinc/62/56/88/1129625688.db2.gz OCKQFUNJHUHVFO-GOSISDBHSA-N 1 2 295.382 3.647 20 0 CHADLO O=C(Oc1ccccc1)[C@H]1CCCC[N@H+]1Cc1ccccc1 ZINC000746104405 1129625692 /nfs/dbraw/zinc/62/56/92/1129625692.db2.gz OCKQFUNJHUHVFO-GOSISDBHSA-N 1 2 295.382 3.647 20 0 CHADLO CCCCOC(=O)C[N@H+](CC)[C@H](C)c1ccc(F)cc1 ZINC000065433149 1129628460 /nfs/dbraw/zinc/62/84/60/1129628460.db2.gz LABZXEPSCACDNJ-CYBMUJFWSA-N 1 2 281.371 3.552 20 0 CHADLO CCCCOC(=O)C[N@@H+](CC)[C@H](C)c1ccc(F)cc1 ZINC000065433149 1129628461 /nfs/dbraw/zinc/62/84/61/1129628461.db2.gz LABZXEPSCACDNJ-CYBMUJFWSA-N 1 2 281.371 3.552 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(Cl)c(Cl)c2)on1 ZINC000184415561 1129631201 /nfs/dbraw/zinc/63/12/01/1129631201.db2.gz QEIGJPXFEZRBTC-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(Cl)c(Cl)c2)on1 ZINC000184415561 1129631203 /nfs/dbraw/zinc/63/12/03/1129631203.db2.gz QEIGJPXFEZRBTC-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](C)CSc1ccc(C)cc1 ZINC000186472992 1129633534 /nfs/dbraw/zinc/63/35/34/1129633534.db2.gz DVRASRFURCPDFX-CHWSQXEVSA-N 1 2 289.448 3.858 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2noc(C(C)(C)C)n2)cc1 ZINC001212968226 1129637000 /nfs/dbraw/zinc/63/70/00/1129637000.db2.gz PSYHTCFWRDGEQR-UHFFFAOYSA-N 1 2 288.395 3.957 20 0 CHADLO CCC(CC)[C@@H](NC(=O)CCc1c[nH]c[nH+]1)c1ccccc1 ZINC000193376772 1129644889 /nfs/dbraw/zinc/64/48/89/1129644889.db2.gz NXZDMVKXHRNQTQ-GOSISDBHSA-N 1 2 299.418 3.636 20 0 CHADLO CCC(CC)[C@@H](NC(=O)CCc1c[nH+]c[nH]1)c1ccccc1 ZINC000193376772 1129644891 /nfs/dbraw/zinc/64/48/91/1129644891.db2.gz NXZDMVKXHRNQTQ-GOSISDBHSA-N 1 2 299.418 3.636 20 0 CHADLO CCC(CC)[C@H](NC(=O)CCc1c[nH]c[nH+]1)c1ccccc1 ZINC000193376760 1129645030 /nfs/dbraw/zinc/64/50/30/1129645030.db2.gz NXZDMVKXHRNQTQ-SFHVURJKSA-N 1 2 299.418 3.636 20 0 CHADLO CCC(CC)[C@H](NC(=O)CCc1c[nH+]c[nH]1)c1ccccc1 ZINC000193376760 1129645031 /nfs/dbraw/zinc/64/50/31/1129645031.db2.gz NXZDMVKXHRNQTQ-SFHVURJKSA-N 1 2 299.418 3.636 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+](CC(=O)OC(C)(C)C)C(C)C ZINC001459764298 1129646783 /nfs/dbraw/zinc/64/67/83/1129646783.db2.gz QCTYCQPGLRZMKV-UHFFFAOYSA-N 1 2 295.398 3.686 20 0 CHADLO Cc1ccc(F)cc1C[N@H+](CC(=O)OC(C)(C)C)C(C)C ZINC001459764298 1129646786 /nfs/dbraw/zinc/64/67/86/1129646786.db2.gz QCTYCQPGLRZMKV-UHFFFAOYSA-N 1 2 295.398 3.686 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H](C)n2cc[nH+]c2)c(Cl)c1 ZINC000649501867 1129654019 /nfs/dbraw/zinc/65/40/19/1129654019.db2.gz SNDCDFPNYMZFAX-GFCCVEGCSA-N 1 2 291.782 3.743 20 0 CHADLO CC[C@@H](OCC[N@H+](C)Cc1nccs1)c1ccccc1 ZINC000649546201 1129656450 /nfs/dbraw/zinc/65/64/50/1129656450.db2.gz IMWABJOCTGINPL-OAHLLOKOSA-N 1 2 290.432 3.743 20 0 CHADLO CC[C@@H](OCC[N@@H+](C)Cc1nccs1)c1ccccc1 ZINC000649546201 1129656451 /nfs/dbraw/zinc/65/64/51/1129656451.db2.gz IMWABJOCTGINPL-OAHLLOKOSA-N 1 2 290.432 3.743 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2ccc(Cl)c(F)c2)s1 ZINC000391827579 1129658886 /nfs/dbraw/zinc/65/88/86/1129658886.db2.gz CVPOBYYNPYPCMW-MRVPVSSYSA-N 1 2 299.802 3.744 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(OC(C)C)ccn1)c1nccs1 ZINC000649583572 1129660488 /nfs/dbraw/zinc/66/04/88/1129660488.db2.gz SAGDAESIZZDVCJ-AWEZNQCLSA-N 1 2 291.420 3.566 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000766416432 1129663691 /nfs/dbraw/zinc/66/36/91/1129663691.db2.gz NDJQJJMKXQAEQP-NWDGAFQWSA-N 1 2 289.427 3.818 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000766416433 1129663736 /nfs/dbraw/zinc/66/37/36/1129663736.db2.gz NDJQJJMKXQAEQP-RYUDHWBXSA-N 1 2 289.427 3.818 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(SCC(F)F)cc1 ZINC000766422246 1129664633 /nfs/dbraw/zinc/66/46/33/1129664633.db2.gz SZUDDDJUMGIJPR-JTQLQIEISA-N 1 2 298.358 3.883 20 0 CHADLO FC1(F)CCC[N@H+](CCCCOc2ccccc2)C1 ZINC000649693442 1129671041 /nfs/dbraw/zinc/67/10/41/1129671041.db2.gz DKGZQIJOCUBHRC-UHFFFAOYSA-N 1 2 269.335 3.577 20 0 CHADLO FC1(F)CCC[N@@H+](CCCCOc2ccccc2)C1 ZINC000649693442 1129671043 /nfs/dbraw/zinc/67/10/43/1129671043.db2.gz DKGZQIJOCUBHRC-UHFFFAOYSA-N 1 2 269.335 3.577 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(C)c(F)c1 ZINC001168826672 1129674514 /nfs/dbraw/zinc/67/45/14/1129674514.db2.gz XCSGUFYYYLIWML-GXFFZTMASA-N 1 2 279.384 3.788 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1csnn1)c1ccc(C)c(F)c1 ZINC001168826675 1129674613 /nfs/dbraw/zinc/67/46/13/1129674613.db2.gz XCSGUFYYYLIWML-ZWNOBZJWSA-N 1 2 279.384 3.788 20 0 CHADLO CCn1cc(C[N@H+](Cc2cccc(F)c2F)C(C)C)cn1 ZINC000649811239 1129679029 /nfs/dbraw/zinc/67/90/29/1129679029.db2.gz KLRHGLZGDVCBJA-UHFFFAOYSA-N 1 2 293.361 3.592 20 0 CHADLO CCn1cc(C[N@@H+](Cc2cccc(F)c2F)C(C)C)cn1 ZINC000649811239 1129679032 /nfs/dbraw/zinc/67/90/32/1129679032.db2.gz KLRHGLZGDVCBJA-UHFFFAOYSA-N 1 2 293.361 3.592 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2oc3c(cccc3C)c2C)o1 ZINC000649819512 1129679853 /nfs/dbraw/zinc/67/98/53/1129679853.db2.gz ZIGAIPMAHNSZHU-GFCCVEGCSA-N 1 2 299.374 3.934 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2oc3c(cccc3C)c2C)o1 ZINC000649819512 1129679855 /nfs/dbraw/zinc/67/98/55/1129679855.db2.gz ZIGAIPMAHNSZHU-GFCCVEGCSA-N 1 2 299.374 3.934 20 0 CHADLO Cc1ccc([C@@H](C)Nc2nc(C)[nH+]c3c2CCCC3)nc1 ZINC001168850972 1129680302 /nfs/dbraw/zinc/68/03/02/1129680302.db2.gz OJDJULKWLYHSIC-GFCCVEGCSA-N 1 2 282.391 3.540 20 0 CHADLO Cc1cc(NCCC2CCC2)cc(OC(F)F)[nH+]1 ZINC001168891155 1129680765 /nfs/dbraw/zinc/68/07/65/1129680765.db2.gz ROBALWUNFNXBPU-UHFFFAOYSA-N 1 2 256.296 3.594 20 0 CHADLO COc1c(Cl)ccc(Nc2ccc(N(C)C)[nH+]c2)c1F ZINC001212582314 1129682618 /nfs/dbraw/zinc/68/26/18/1129682618.db2.gz LYSYFZSBIWCKEB-UHFFFAOYSA-N 1 2 295.745 3.692 20 0 CHADLO COc1c(Cl)ccc(Nc2cccn3cc[nH+]c23)c1F ZINC001212582712 1129684087 /nfs/dbraw/zinc/68/40/87/1129684087.db2.gz WQFVPPWQQQIXJG-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO FC(F)c1cccc(NCCc2cn3ccccc3[nH+]2)c1 ZINC001169004387 1129689055 /nfs/dbraw/zinc/68/90/55/1129689055.db2.gz GYIBEZBBIJLZMD-UHFFFAOYSA-N 1 2 287.313 3.927 20 0 CHADLO Cc1cccn2cc(CNc3ccc([NH+](C)C)c(C)c3)nc12 ZINC001169021754 1129691274 /nfs/dbraw/zinc/69/12/74/1129691274.db2.gz KXJUVBMXUHGFSA-UHFFFAOYSA-N 1 2 294.402 3.629 20 0 CHADLO COc1ccc(N(C)C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000650211130 1129700386 /nfs/dbraw/zinc/70/03/86/1129700386.db2.gz QYJBNQHCTXSTQF-UHFFFAOYSA-N 1 2 299.374 3.684 20 0 CHADLO COCc1cc[nH+]c(NCc2ccsc2Cl)c1 ZINC000650243830 1129702626 /nfs/dbraw/zinc/70/26/26/1129702626.db2.gz QVPIQOUPDLHITN-UHFFFAOYSA-N 1 2 268.769 3.555 20 0 CHADLO FC1(F)CCC[C@H](Nc2[nH+]cccc2-n2cccc2)C1 ZINC001169214692 1129708650 /nfs/dbraw/zinc/70/86/50/1129708650.db2.gz IIEBZQYUEPZIHT-LBPRGKRZSA-N 1 2 277.318 3.862 20 0 CHADLO CCCc1cc(NCCc2cc(C)ccn2)nc(CCC)[nH+]1 ZINC001169315268 1129716782 /nfs/dbraw/zinc/71/67/82/1129716782.db2.gz KYPOZKPFHBLDPH-UHFFFAOYSA-N 1 2 298.434 3.740 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc(N(C)C)c(C)c1 ZINC001242242664 1129719788 /nfs/dbraw/zinc/71/97/88/1129719788.db2.gz WXBUPTQYJZTHBC-UHFFFAOYSA-N 1 2 265.360 3.684 20 0 CHADLO Cc1ccc(CNc2cc[nH+]c(C)n2)c2ccccc12 ZINC001169416697 1129725154 /nfs/dbraw/zinc/72/51/54/1129725154.db2.gz IMQGEIDXRRFJRA-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO Cc1cc(NC[C@H](C)c2ccc(O)cc2)[nH+]c2cc[nH]c21 ZINC001169542630 1129735229 /nfs/dbraw/zinc/73/52/29/1129735229.db2.gz AHHYPEKYDLMLEI-LBPRGKRZSA-N 1 2 281.359 3.793 20 0 CHADLO Fc1c[nH+]ccc1NC1(c2ccccc2C(F)(F)F)CC1 ZINC001169635041 1129742455 /nfs/dbraw/zinc/74/24/55/1129742455.db2.gz KTZRQSJHOZEHNL-UHFFFAOYSA-N 1 2 296.267 3.763 20 0 CHADLO FC(F)(F)c1cccc2cc[nH+]c(N[C@H]3CCCOC3)c21 ZINC001169664224 1129745023 /nfs/dbraw/zinc/74/50/23/1129745023.db2.gz ZQVRNDZDDKPQFG-NSHDSACASA-N 1 2 296.292 3.845 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2C[C@H](c3ccccc3)[C@H]2C)c1 ZINC000652068502 1129757251 /nfs/dbraw/zinc/75/72/51/1129757251.db2.gz FWQDILNQKCGJCJ-XOKHGSTOSA-N 1 2 282.387 3.832 20 0 CHADLO Fc1ccc(N[C@@H]2C[C@H]2c2ccccc2)cc1-n1cc[nH+]c1 ZINC001169816539 1129759390 /nfs/dbraw/zinc/75/93/90/1129759390.db2.gz QDHSWHRVJLCWKR-DOTOQJQBSA-N 1 2 293.345 3.979 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cc(Cl)nc(Cl)c1 ZINC000796511658 1129767308 /nfs/dbraw/zinc/76/73/08/1129767308.db2.gz ZUZOJZNIDXYZPP-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cc(Cl)nc(Cl)c1 ZINC000796511658 1129767312 /nfs/dbraw/zinc/76/73/12/1129767312.db2.gz ZUZOJZNIDXYZPP-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cc(C)c(CNC(=O)Nc2ccc(C3CC3)cc2)c[nH+]1 ZINC000652817791 1129770351 /nfs/dbraw/zinc/77/03/51/1129770351.db2.gz FQSNSTBJJXYVCK-UHFFFAOYSA-N 1 2 295.386 3.898 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C)c2ccsc2)c(C)[nH+]1 ZINC000653187680 1129777859 /nfs/dbraw/zinc/77/78/59/1129777859.db2.gz DEOHMJPTODFRHM-LLVKDONJSA-N 1 2 289.404 3.951 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[NH+]1CCC(F)(F)CC1 ZINC000796552002 1129778181 /nfs/dbraw/zinc/77/81/81/1129778181.db2.gz PSTZTVMMHJFOHJ-UHFFFAOYSA-N 1 2 298.358 3.943 20 0 CHADLO COc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1F ZINC001239580708 1131397416 /nfs/dbraw/zinc/39/74/16/1131397416.db2.gz IWOBJEUVZPBNEO-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO Cc1cc(NC(=O)CCC(C)(F)F)ccc1-n1cc[nH+]c1 ZINC001136624672 1131397698 /nfs/dbraw/zinc/39/76/98/1131397698.db2.gz FFNAEYAUWJYNIF-UHFFFAOYSA-N 1 2 293.317 3.555 20 0 CHADLO Cc1cc(C[NH2+]Cc2c(F)c(F)cc(F)c2F)c(C)o1 ZINC000767373077 1129789919 /nfs/dbraw/zinc/78/99/19/1129789919.db2.gz MYKRNHMCZQSTTA-UHFFFAOYSA-N 1 2 287.256 3.743 20 0 CHADLO O=C(CC[N@H+]1CCc2c(Cl)cc(Cl)cc2C1)C1CC1 ZINC000767417113 1129791645 /nfs/dbraw/zinc/79/16/45/1129791645.db2.gz HRKMHIWNFYHTPM-UHFFFAOYSA-N 1 2 298.213 3.721 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H](OC3CCC3)C2)cs1 ZINC000653814210 1129796076 /nfs/dbraw/zinc/79/60/76/1129796076.db2.gz KVLKTNUQRJNSRH-HNNXBMFYSA-N 1 2 294.464 3.800 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H](OC3CCC3)C2)cs1 ZINC000653814210 1129796080 /nfs/dbraw/zinc/79/60/80/1129796080.db2.gz KVLKTNUQRJNSRH-HNNXBMFYSA-N 1 2 294.464 3.800 20 0 CHADLO Cc1ncc(C[NH2+][C@@H](Cc2ccccc2)c2ncco2)s1 ZINC000653912965 1129802639 /nfs/dbraw/zinc/80/26/39/1129802639.db2.gz WPPZGHVOFTWCNN-HNNXBMFYSA-N 1 2 299.399 3.513 20 0 CHADLO CC[C@@H](CO)[N@H+](C/C(Cl)=C/Cl)Cc1ccccc1 ZINC000767825932 1129815693 /nfs/dbraw/zinc/81/56/93/1129815693.db2.gz JCOFLZKLINLTOX-MQJVFOOVSA-N 1 2 288.218 3.579 20 0 CHADLO CC[C@@H](CO)[N@@H+](C/C(Cl)=C/Cl)Cc1ccccc1 ZINC000767825932 1129815697 /nfs/dbraw/zinc/81/56/97/1129815697.db2.gz JCOFLZKLINLTOX-MQJVFOOVSA-N 1 2 288.218 3.579 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C3CC3)C3CCCCC3)ccn12 ZINC000654583339 1129829006 /nfs/dbraw/zinc/82/90/06/1129829006.db2.gz ARXYXZCTRVFUNF-UHFFFAOYSA-N 1 2 297.402 3.580 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cncc2ccccc21 ZINC000768160918 1129837243 /nfs/dbraw/zinc/83/72/43/1129837243.db2.gz CHUJYACSLZYWIW-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cncc2ccccc21 ZINC000768160918 1129837245 /nfs/dbraw/zinc/83/72/45/1129837245.db2.gz CHUJYACSLZYWIW-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1c(Cl)nc2ccccn21 ZINC000768160766 1129837986 /nfs/dbraw/zinc/83/79/86/1129837986.db2.gz OWDFERQTOYUSBL-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1c(Cl)nc2ccccn21 ZINC000768160766 1129837989 /nfs/dbraw/zinc/83/79/89/1129837989.db2.gz OWDFERQTOYUSBL-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO C[C@@H]([NH2+]C/C(Cl)=C/Cl)c1cccc(F)c1F ZINC000381456165 1129839955 /nfs/dbraw/zinc/83/99/55/1129839955.db2.gz JNGXADRBGAPJDI-BESBCXERSA-N 1 2 266.118 3.934 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1cccs1 ZINC000724389001 1129852688 /nfs/dbraw/zinc/85/26/88/1129852688.db2.gz VFUGDBYSRDSSDP-SNVBAGLBSA-N 1 2 264.394 3.884 20 0 CHADLO CCC[C@H]1CCCC[N@@H+]1Cc1csc(Cl)n1 ZINC000393817048 1129854544 /nfs/dbraw/zinc/85/45/44/1129854544.db2.gz DPCHVNZXQMSXCT-NSHDSACASA-N 1 2 258.818 3.951 20 0 CHADLO CCC[C@H]1CCCC[N@H+]1Cc1csc(Cl)n1 ZINC000393817048 1129854549 /nfs/dbraw/zinc/85/45/49/1129854549.db2.gz DPCHVNZXQMSXCT-NSHDSACASA-N 1 2 258.818 3.951 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1CCC[C@H](F)CC1 ZINC001139047954 1129855677 /nfs/dbraw/zinc/85/56/77/1129855677.db2.gz VJQKCKAJSZTREF-JTQLQIEISA-N 1 2 277.717 3.942 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1CCC[C@H](F)CC1 ZINC001139047954 1129855679 /nfs/dbraw/zinc/85/56/79/1129855679.db2.gz VJQKCKAJSZTREF-JTQLQIEISA-N 1 2 277.717 3.942 20 0 CHADLO CCCC[C@@H](C)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000800278378 1129862987 /nfs/dbraw/zinc/86/29/87/1129862987.db2.gz SGBPOSQUTKAALS-CQSZACIVSA-N 1 2 286.375 3.742 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2cc(C)cc(Cl)n2)c(C)s1 ZINC000800493309 1129879033 /nfs/dbraw/zinc/87/90/33/1129879033.db2.gz VTWPRYRNXFLCCW-SECBINFHSA-N 1 2 295.839 3.968 20 0 CHADLO Cc1cc(F)cc2c1C[N@H+](Cc1n[nH]c3ccccc31)CC2 ZINC000800536295 1129882722 /nfs/dbraw/zinc/88/27/22/1129882722.db2.gz OESUISJVCWHOAZ-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1cc(F)cc2c1C[N@@H+](Cc1n[nH]c3ccccc31)CC2 ZINC000800536295 1129882726 /nfs/dbraw/zinc/88/27/26/1129882726.db2.gz OESUISJVCWHOAZ-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Br)cc1)c1ncco1 ZINC000886530275 1129885405 /nfs/dbraw/zinc/88/54/05/1129885405.db2.gz HMGZLAGYIDJTAF-UWVGGRQHSA-N 1 2 295.180 3.849 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+][C@@H]1CC[C@H]1C1CCC1 ZINC000800976990 1129901580 /nfs/dbraw/zinc/90/15/80/1129901580.db2.gz LDVPJHJJPNELSB-ZWKOTPCHSA-N 1 2 286.419 3.514 20 0 CHADLO CCC[C@H]1CCC[N@H+](Cc2c(Cl)nc(Cl)n2C)C1 ZINC000769503335 1129913643 /nfs/dbraw/zinc/91/36/43/1129913643.db2.gz HBUUUBLBAHZPFZ-JTQLQIEISA-N 1 2 290.238 3.739 20 0 CHADLO CCC[C@H]1CCC[N@@H+](Cc2c(Cl)nc(Cl)n2C)C1 ZINC000769503335 1129913644 /nfs/dbraw/zinc/91/36/44/1129913644.db2.gz HBUUUBLBAHZPFZ-JTQLQIEISA-N 1 2 290.238 3.739 20 0 CHADLO CCn1ccc(C[N@H+](C)Cc2ccc(Cl)nc2Cl)c1 ZINC000801549008 1129926813 /nfs/dbraw/zinc/92/68/13/1129926813.db2.gz ARUCBWDFRXFFJT-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCn1ccc(C[N@@H+](C)Cc2ccc(Cl)nc2Cl)c1 ZINC000801549008 1129926817 /nfs/dbraw/zinc/92/68/17/1129926817.db2.gz ARUCBWDFRXFFJT-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CC(C)c1cccc2c1OCCC[C@H]2[NH2+]Cc1ccon1 ZINC000769871183 1129930044 /nfs/dbraw/zinc/93/00/44/1129930044.db2.gz CRZKPSHPAARLPJ-MRXNPFEDSA-N 1 2 286.375 3.802 20 0 CHADLO Cc1ccc(-c2cc[nH+]c3c2CCN3)c(C(F)(F)F)c1 ZINC001242562404 1129935362 /nfs/dbraw/zinc/93/53/62/1129935362.db2.gz WUFNYHTYFJJQSU-UHFFFAOYSA-N 1 2 278.277 3.687 20 0 CHADLO C[C@@H]1C[C@H](Cn2c[nH+]c(Cc3ccccc3)c2)C[C@H](C)O1 ZINC000901592265 1129938210 /nfs/dbraw/zinc/93/82/10/1129938210.db2.gz AUCPWNQHIZSSAQ-QLPKVWCKSA-N 1 2 284.403 3.678 20 0 CHADLO C[C@@H](CC1CCCC1)C(=O)OCc1cccc2[nH+]ccn21 ZINC000770928245 1129963426 /nfs/dbraw/zinc/96/34/26/1129963426.db2.gz KXVGHGNBTITDMJ-ZDUSSCGKSA-N 1 2 286.375 3.594 20 0 CHADLO CC(C)Oc1cc(NCCC(=O)c2ccccc2)cc[nH+]1 ZINC001170020654 1129970274 /nfs/dbraw/zinc/97/02/74/1129970274.db2.gz SGKZXCWKRLJYEP-UHFFFAOYSA-N 1 2 284.359 3.554 20 0 CHADLO CC(C)c1cccc(C(C)C)c1NC(=O)Cc1[nH]cc[nH+]1 ZINC000823044475 1131411250 /nfs/dbraw/zinc/41/12/50/1131411250.db2.gz GDDSJCIOMPVSCH-UHFFFAOYSA-N 1 2 285.391 3.838 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1cn(CC)nc1C ZINC000902038432 1129973174 /nfs/dbraw/zinc/97/31/74/1129973174.db2.gz TZQIXPWRQSXUOD-UHFFFAOYSA-N 1 2 297.406 3.583 20 0 CHADLO CC[N@H+](Cc1ccc(F)nc1)Cc1cccc(F)c1F ZINC001137742718 1131411732 /nfs/dbraw/zinc/41/17/32/1131411732.db2.gz GLLJTZQFCTXFOZ-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1ccc(F)nc1)Cc1cccc(F)c1F ZINC001137742718 1131411734 /nfs/dbraw/zinc/41/17/34/1131411734.db2.gz GLLJTZQFCTXFOZ-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CCn1cnc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)c1 ZINC000902255443 1129994191 /nfs/dbraw/zinc/99/41/91/1129994191.db2.gz MQHUKPJEKKSOQN-JTQLQIEISA-N 1 2 281.762 3.546 20 0 CHADLO CCn1cnc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)c1 ZINC000902255444 1129994250 /nfs/dbraw/zinc/99/42/50/1129994250.db2.gz MQHUKPJEKKSOQN-SNVBAGLBSA-N 1 2 281.762 3.546 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H]2c2ccccc2)cnc1Cl ZINC000771938459 1129997400 /nfs/dbraw/zinc/99/74/00/1129997400.db2.gz LWFHJAXKMIHMCG-OAHLLOKOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H]2c2ccccc2)cnc1Cl ZINC000771938459 1129997402 /nfs/dbraw/zinc/99/74/02/1129997402.db2.gz LWFHJAXKMIHMCG-OAHLLOKOSA-N 1 2 272.779 3.990 20 0 CHADLO C[NH+](C)[C@H](C(=O)OC/C=C/c1ccccc1)c1ccccc1 ZINC000780090053 1129998122 /nfs/dbraw/zinc/99/81/22/1129998122.db2.gz RGCNHQGFIYADMR-PEKVBPLLSA-N 1 2 295.382 3.546 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(C(C)(C)C)nc1 ZINC000902302938 1129998838 /nfs/dbraw/zinc/99/88/38/1129998838.db2.gz YPRYHRJZORGICW-UHFFFAOYSA-N 1 2 272.396 3.769 20 0 CHADLO CC(C)c1ccc(-c2c[nH+]c3c(c2)CCCN3)cc1 ZINC001239626726 1130020447 /nfs/dbraw/zinc/02/04/47/1130020447.db2.gz KDIJDRWFPHWAOP-UHFFFAOYSA-N 1 2 252.361 3.873 20 0 CHADLO CC(C)c1ccc(-c2ccn3cc(N)[nH+]c3c2)cc1 ZINC001239626372 1130020902 /nfs/dbraw/zinc/02/09/02/1130020902.db2.gz PHXSXYTTXJVIAE-UHFFFAOYSA-N 1 2 251.333 3.707 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccccc1NC ZINC000902645529 1130033754 /nfs/dbraw/zinc/03/37/54/1130033754.db2.gz WTSHIDXYFJCEES-UHFFFAOYSA-N 1 2 287.432 3.989 20 0 CHADLO CCOC(=O)c1c[nH+]c(-c2cccc(C)c2C)cc1N(C)C ZINC001239714085 1130068224 /nfs/dbraw/zinc/06/82/24/1130068224.db2.gz HTXGSNWHJQBOHY-UHFFFAOYSA-N 1 2 298.386 3.608 20 0 CHADLO O=C(Nc1ccc(C2CCC2)cc1)c1cccc2[nH+]ccn21 ZINC000785947005 1130070197 /nfs/dbraw/zinc/07/01/97/1130070197.db2.gz HQFDHIXILJAWQX-UHFFFAOYSA-N 1 2 291.354 3.854 20 0 CHADLO COc1ccc(F)cc1-c1ccc(-n2cc[nH+]c2)cc1OC ZINC001239724726 1130075889 /nfs/dbraw/zinc/07/58/89/1130075889.db2.gz YKUCGOGGFGHDFF-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+]C1C[C@@H]2CCC[C@H]2C1 ZINC000902897967 1130080557 /nfs/dbraw/zinc/08/05/57/1130080557.db2.gz LGOVZLNQEFQKLY-GJZGRUSLSA-N 1 2 286.419 3.514 20 0 CHADLO CC(=O)Nc1ccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001239757072 1130094716 /nfs/dbraw/zinc/09/47/16/1130094716.db2.gz UTTHBHUUYKBMGD-UHFFFAOYSA-N 1 2 277.327 3.702 20 0 CHADLO CC(=O)Nc1ccccc1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001239782769 1130106910 /nfs/dbraw/zinc/10/69/10/1130106910.db2.gz XVENMYAOJORWSO-UHFFFAOYSA-N 1 2 291.354 3.713 20 0 CHADLO C/C(=C\c1ccc(F)cc1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000749391742 1130109826 /nfs/dbraw/zinc/10/98/26/1130109826.db2.gz YCWQWGMHGDHYOD-ZRDIBKRKSA-N 1 2 295.317 3.515 20 0 CHADLO COC(C)(C)CCO[NH+]=C(N)c1cccc2ccccc21 ZINC000786744474 1130114451 /nfs/dbraw/zinc/11/44/51/1130114451.db2.gz SWIFKJWLPMSKBI-UHFFFAOYSA-N 1 2 286.375 3.501 20 0 CHADLO CCOC(=O)c1c[nH+]c(-c2ccc(C)cc2C)cc1N(C)C ZINC001239807758 1130116898 /nfs/dbraw/zinc/11/68/98/1130116898.db2.gz ANPSVFRSPVGMQK-UHFFFAOYSA-N 1 2 298.386 3.608 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1cnn(CC2CCC2)c1 ZINC000903142386 1130119012 /nfs/dbraw/zinc/11/90/12/1130119012.db2.gz JDPPUFYODOGSGF-CQSZACIVSA-N 1 2 298.434 3.922 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cccc(OC)c2OC)cc1 ZINC001239817491 1130122242 /nfs/dbraw/zinc/12/22/42/1130122242.db2.gz DKEFIFPFXFZDLL-UHFFFAOYSA-N 1 2 285.343 3.733 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cscn1)c1cnn(CC2CCC2)c1 ZINC000903199261 1130129310 /nfs/dbraw/zinc/12/93/10/1130129310.db2.gz JLYILQCGJRRUFD-RYUDHWBXSA-N 1 2 290.436 3.552 20 0 CHADLO COc1ccc2cc(-c3cc[nH+]c(N(C)C)c3)ccc2c1 ZINC001239840806 1130132213 /nfs/dbraw/zinc/13/22/13/1130132213.db2.gz RHAVMOTUIWPFQJ-UHFFFAOYSA-N 1 2 278.355 3.976 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+][C@H]1CCCc2ncccc21 ZINC000903265441 1130139556 /nfs/dbraw/zinc/13/95/56/1130139556.db2.gz DVUBQRSNYZYCBJ-HFTRVMKXSA-N 1 2 298.361 3.520 20 0 CHADLO CC(C)c1ccccc1-c1ccc(C[NH+]2CCOCC2)cn1 ZINC001239864359 1130139844 /nfs/dbraw/zinc/13/98/44/1130139844.db2.gz CJMLMAORUGBPBT-UHFFFAOYSA-N 1 2 296.414 3.704 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1C[C@H](O)c1c(F)cccc1F ZINC000746668980 1130144643 /nfs/dbraw/zinc/14/46/43/1130144643.db2.gz CAYSWSDUNVLLBF-BZNIZROVSA-N 1 2 289.325 3.575 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1C[C@H](O)c1c(F)cccc1F ZINC000746668980 1130144644 /nfs/dbraw/zinc/14/46/44/1130144644.db2.gz CAYSWSDUNVLLBF-BZNIZROVSA-N 1 2 289.325 3.575 20 0 CHADLO CC1(C)Cc2occc2[C@H]([NH2+][C@@H](c2ncccn2)C2CC2)C1 ZINC000903324263 1130155120 /nfs/dbraw/zinc/15/51/20/1130155120.db2.gz CYYIUPDFWXHPNY-GDBMZVCRSA-N 1 2 297.402 3.824 20 0 CHADLO CC[C@@H]([NH2+][C@H](c1ncccn1)C1CC1)c1ccccc1F ZINC000903325947 1130155368 /nfs/dbraw/zinc/15/53/68/1130155368.db2.gz JIVAGAUGKUWBFU-CVEARBPZSA-N 1 2 285.366 3.808 20 0 CHADLO COCc1ccccc1-c1ccc(-n2cc[nH+]c2)cc1OC ZINC001239955825 1130170157 /nfs/dbraw/zinc/17/01/57/1130170157.db2.gz WXSFARACSAFIHT-UHFFFAOYSA-N 1 2 294.354 3.694 20 0 CHADLO Cc1ccncc1-c1c[nH+]c(N2CCCCC2)cc1C ZINC001239974075 1130179678 /nfs/dbraw/zinc/17/96/78/1130179678.db2.gz MWSMFEBXGDYRGF-UHFFFAOYSA-N 1 2 267.376 3.751 20 0 CHADLO COc1cc(F)ccc1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001239998713 1130186714 /nfs/dbraw/zinc/18/67/14/1130186714.db2.gz IILZXURDIKLXIW-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc(Cl)cn1)c1cc(F)ccc1F ZINC000788488332 1130189917 /nfs/dbraw/zinc/18/99/17/1130189917.db2.gz KPHUNCJSMRZUIJ-ZDUSSCGKSA-N 1 2 297.736 3.649 20 0 CHADLO Fc1cc2[nH]ccc2c(-c2[nH+]ccc3[nH]ccc32)c1 ZINC001240018647 1130194551 /nfs/dbraw/zinc/19/45/51/1130194551.db2.gz WHPUOEHPHBVQFW-UHFFFAOYSA-N 1 2 251.264 3.850 20 0 CHADLO Fc1cc2[nH]ccc2c(-c2cc3[nH]ccc3c[nH+]2)c1 ZINC001240018799 1130194684 /nfs/dbraw/zinc/19/46/84/1130194684.db2.gz ZNADGMZVQGHUGE-UHFFFAOYSA-N 1 2 251.264 3.850 20 0 CHADLO Cc1cc(-c2ccc(C(=O)NC(C)C)cc2)cc(C)[nH+]1 ZINC001240023717 1130197187 /nfs/dbraw/zinc/19/71/87/1130197187.db2.gz PEOLDNBDJHNFNT-UHFFFAOYSA-N 1 2 268.360 3.504 20 0 CHADLO COc1ccc(-c2cn3cc[nH+]c3cc2C)cc1Cl ZINC001240030869 1130199783 /nfs/dbraw/zinc/19/97/83/1130199783.db2.gz FSGMQLNJMNAQIX-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO CCOc1c(F)cc(-c2c[nH+]cn2C)cc1C(F)(F)F ZINC001243070891 1130232795 /nfs/dbraw/zinc/23/27/95/1130232795.db2.gz ASKHFRAQICSVJV-UHFFFAOYSA-N 1 2 288.244 3.644 20 0 CHADLO COc1ccc2ccnc(-c3ccc4[nH+]ccn4c3)c2c1 ZINC001240215634 1130234746 /nfs/dbraw/zinc/23/47/46/1130234746.db2.gz ZZAHVORVRBYDOC-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO C[C@@H]1CCCCN1c1cccc(-c2ccc3[nH+]ccn3c2)n1 ZINC001240217831 1130235760 /nfs/dbraw/zinc/23/57/60/1130235760.db2.gz XNEKCNTXBYEWAU-CQSZACIVSA-N 1 2 292.386 3.775 20 0 CHADLO Cc1ccc(-c2ccc(-c3ccc4[nH+]ccn4c3)nn2)cc1 ZINC001240217034 1130235861 /nfs/dbraw/zinc/23/58/61/1130235861.db2.gz YFXYKIJCUNCZAX-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO COc1cc2ccnc(-c3ccc4[nH+]ccn4c3)c2cc1F ZINC001240217212 1130235987 /nfs/dbraw/zinc/23/59/87/1130235987.db2.gz HRRFVVXXJVOOOQ-UHFFFAOYSA-N 1 2 293.301 3.697 20 0 CHADLO FC(F)(F)Oc1ccccc1-c1ccc2[nH+]ccn2c1 ZINC001240217412 1130235998 /nfs/dbraw/zinc/23/59/98/1130235998.db2.gz BTOZFAJGLNFJFM-UHFFFAOYSA-N 1 2 278.233 3.900 20 0 CHADLO Cc1noc(-c2ccc(-c3ccc4[nH+]ccn4c3)cc2)c1N ZINC001240218448 1130237249 /nfs/dbraw/zinc/23/72/49/1130237249.db2.gz NWIQZXTZWVBAJA-UHFFFAOYSA-N 1 2 290.326 3.547 20 0 CHADLO CC(C)Oc1cc(F)cc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218619 1130237396 /nfs/dbraw/zinc/23/73/96/1130237396.db2.gz GKOKZKPXLRWYPJ-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO Fc1cc(-c2ccc3[nH+]ccn3c2)cc(N2CCCC2)c1 ZINC001240219122 1130237932 /nfs/dbraw/zinc/23/79/32/1130237932.db2.gz AMPASOULHACPNE-UHFFFAOYSA-N 1 2 281.334 3.741 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CC[C@H](C)SC1 ZINC000689479784 1130258639 /nfs/dbraw/zinc/25/86/39/1130258639.db2.gz ABNVREGCTGXNFR-QWHCGFSZSA-N 1 2 264.438 3.757 20 0 CHADLO Cc1c(Cl)cnn1C[N@@H+]1CCC=C(c2ccccc2)C1 ZINC000789545285 1130259391 /nfs/dbraw/zinc/25/93/91/1130259391.db2.gz IGOIYKTYHXQTPA-UHFFFAOYSA-N 1 2 287.794 3.592 20 0 CHADLO Cc1c(Cl)cnn1C[N@H+]1CCC=C(c2ccccc2)C1 ZINC000789545285 1130259394 /nfs/dbraw/zinc/25/93/94/1130259394.db2.gz IGOIYKTYHXQTPA-UHFFFAOYSA-N 1 2 287.794 3.592 20 0 CHADLO CC(C)COC(=O)[C@H](C)[NH2+][C@@H]1CC[C@H](c2ccccc2)C1 ZINC000789577142 1130259917 /nfs/dbraw/zinc/25/99/17/1130259917.db2.gz KBBIVJUPJHZTAY-BHYGNILZSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1cc(-c2ccc(-c3cnn(C)c3)cc2)cc(C)[nH+]1 ZINC001240362865 1130278178 /nfs/dbraw/zinc/27/81/78/1130278178.db2.gz CIPPOGOCHBWLCE-UHFFFAOYSA-N 1 2 263.344 3.766 20 0 CHADLO Cc1c(-c2ccc(-c3cnn(C)c3)cc2)ccc2[nH+]ccn21 ZINC001240367173 1130280856 /nfs/dbraw/zinc/28/08/56/1130280856.db2.gz UGLZDWLQVIYJGM-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO Cc1c(CN2Cc3ccc(Cl)cc3C2)[nH+]c2ccccn12 ZINC001137274003 1130281953 /nfs/dbraw/zinc/28/19/53/1130281953.db2.gz BKEWYIABJFHZBI-UHFFFAOYSA-N 1 2 297.789 3.812 20 0 CHADLO CC(C)c1cc(C[NH2+][C@@H]2CCc3c2c(F)ccc3F)on1 ZINC000677129843 1130291501 /nfs/dbraw/zinc/29/15/01/1130291501.db2.gz FDGAVCVUGRUWNP-CQSZACIVSA-N 1 2 292.329 3.853 20 0 CHADLO Cc1c[nH]cc1C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000746884944 1130293800 /nfs/dbraw/zinc/29/38/00/1130293800.db2.gz YSCRAEIIPIDNJG-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO Cc1nc(N2Cc3ccccc3C(C)(C)C2)c(C)c(C)[nH+]1 ZINC000677509673 1130313758 /nfs/dbraw/zinc/31/37/58/1130313758.db2.gz JYPFLWQYKHLSQM-UHFFFAOYSA-N 1 2 281.403 3.700 20 0 CHADLO C[C@H](CC1CC1)[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790501774 1130316713 /nfs/dbraw/zinc/31/67/13/1130316713.db2.gz ZOCLNTFQURFVJR-KFWWJZLASA-N 1 2 288.435 3.901 20 0 CHADLO C[C@H]1CC[C@@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)C1 ZINC000790504408 1130317315 /nfs/dbraw/zinc/31/73/15/1130317315.db2.gz FRMJJXITTKHQOJ-LJIGWXMPSA-N 1 2 288.435 3.901 20 0 CHADLO C[C@H]1CCC[C@H]1[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790504713 1130318449 /nfs/dbraw/zinc/31/84/49/1130318449.db2.gz IUMZWJNGFGJWAA-OIPACUDHSA-N 1 2 288.435 3.901 20 0 CHADLO Cc1ccc2[nH+]cc(CN3CCCC[C@@H]3C(F)(F)F)n2c1 ZINC001137308702 1130321550 /nfs/dbraw/zinc/32/15/50/1130321550.db2.gz ADCNYSYVLSZIEB-CYBMUJFWSA-N 1 2 297.324 3.560 20 0 CHADLO Fc1cccc(Cl)c1CC[NH+]1CC2(C1)CC(F)(F)C2 ZINC000677732994 1130327492 /nfs/dbraw/zinc/32/74/92/1130327492.db2.gz IRJTXSZPOUASRI-UHFFFAOYSA-N 1 2 289.728 3.753 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[NH+]1CC2(C1)CC(F)(F)C2 ZINC000677736297 1130327817 /nfs/dbraw/zinc/32/78/17/1130327817.db2.gz MERSHTCZXDMACE-SECBINFHSA-N 1 2 273.273 3.757 20 0 CHADLO Fc1cccc2ccc(-c3ccn4cc[nH+]c4c3)nc12 ZINC001240496322 1130328380 /nfs/dbraw/zinc/32/83/80/1130328380.db2.gz AXNVZSNZTGOBOP-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CC(C)c1cc(N[C@H](C)C[C@H]2CCOC2)nc(C(C)C)[nH+]1 ZINC000677745482 1130329207 /nfs/dbraw/zinc/32/92/07/1130329207.db2.gz BNMMSKDFJOEVMT-ZIAGYGMSSA-N 1 2 291.439 3.950 20 0 CHADLO Cc1sccc1-c1cc(C[NH+]2CCOCC2)ccc1F ZINC001240501888 1130331924 /nfs/dbraw/zinc/33/19/24/1130331924.db2.gz JJXLXCSOFYZQKF-UHFFFAOYSA-N 1 2 291.391 3.695 20 0 CHADLO CCc1cc2c(ccnc2-c2ccn3cc[nH+]c3c2)o1 ZINC001240503662 1130332778 /nfs/dbraw/zinc/33/27/78/1130332778.db2.gz QVJLAUSVHTYCRH-UHFFFAOYSA-N 1 2 263.300 3.705 20 0 CHADLO c1cn2ccc(-c3ccc(-c4ccsc4)nn3)cc2[nH+]1 ZINC001240503147 1130333019 /nfs/dbraw/zinc/33/30/19/1130333019.db2.gz HTQMXGLTHDOGJB-UHFFFAOYSA-N 1 2 278.340 3.520 20 0 CHADLO Cc1cc(C(F)(F)F)ncc1-c1ccn2cc[nH+]c2c1 ZINC001240504055 1130333261 /nfs/dbraw/zinc/33/32/61/1130333261.db2.gz WPMYYKAMVGQANA-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Fc1ccc(-c2ccn3cc[nH+]c3c2)c2occc21 ZINC001240509388 1130334390 /nfs/dbraw/zinc/33/43/90/1130334390.db2.gz YGMIGUNBVNCELM-UHFFFAOYSA-N 1 2 252.248 3.887 20 0 CHADLO Fc1cccc2c(-c3ccn4cc[nH+]c4c3)ccnc12 ZINC001240511983 1130336653 /nfs/dbraw/zinc/33/66/53/1130336653.db2.gz NTFPCVOGHMBDBP-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CCCOc1ccc(-c2ccn3cc[nH+]c3c2)c(F)c1 ZINC001240513360 1130336788 /nfs/dbraw/zinc/33/67/88/1130336788.db2.gz NVFODULBEVWYKS-UHFFFAOYSA-N 1 2 270.307 3.929 20 0 CHADLO c1cn2ccc(-c3ccccc3OC3CCC3)cc2[nH+]1 ZINC001240512689 1130337206 /nfs/dbraw/zinc/33/72/06/1130337206.db2.gz WXCCFAQVXKIRDQ-UHFFFAOYSA-N 1 2 264.328 3.933 20 0 CHADLO c1cn2ccc(-c3ccnc4[nH]c(C5CC5)cc43)cc2[nH+]1 ZINC001240512555 1130337703 /nfs/dbraw/zinc/33/77/03/1130337703.db2.gz VQKKTRDTPFKSRK-UHFFFAOYSA-N 1 2 274.327 3.755 20 0 CHADLO CC1(C)COC(c2ccccc2-c2ccn3cc[nH+]c3c2)=N1 ZINC001240513472 1130338068 /nfs/dbraw/zinc/33/80/68/1130338068.db2.gz UCXWGCWWONFOOR-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO CCN(CC)c1cc(F)cc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240518254 1130340444 /nfs/dbraw/zinc/34/04/44/1130340444.db2.gz MSDWCQWWHNOSDI-UHFFFAOYSA-N 1 2 283.350 3.987 20 0 CHADLO COc1cc(-c2ccn3cc[nH+]c3c2)ccc1OC(C)C ZINC001240518584 1130341291 /nfs/dbraw/zinc/34/12/91/1130341291.db2.gz QSOYTMQLUKYODR-UHFFFAOYSA-N 1 2 282.343 3.797 20 0 CHADLO Nc1ccc2cc(-c3ccn4cc[nH+]c4c3)ccc2c1 ZINC001240517818 1130341533 /nfs/dbraw/zinc/34/15/33/1130341533.db2.gz HLQUMRFIDINIPR-UHFFFAOYSA-N 1 2 259.312 3.737 20 0 CHADLO C[N@H+](Cc1cc2ccccc2[nH]1)Cc1nc2ccccc2o1 ZINC001137319479 1130344703 /nfs/dbraw/zinc/34/47/03/1130344703.db2.gz AIZWQUFEALYVDO-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO C[N@@H+](Cc1cc2ccccc2[nH]1)Cc1nc2ccccc2o1 ZINC001137319479 1130344709 /nfs/dbraw/zinc/34/47/09/1130344709.db2.gz AIZWQUFEALYVDO-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO Fc1cncc(F)c1C[N@@H+]1CCC=C(c2ccccc2)C1 ZINC001170148170 1130349987 /nfs/dbraw/zinc/34/99/87/1130349987.db2.gz GMHXZDHIUUCDFS-UHFFFAOYSA-N 1 2 286.325 3.649 20 0 CHADLO Fc1cncc(F)c1C[N@H+]1CCC=C(c2ccccc2)C1 ZINC001170148170 1130349992 /nfs/dbraw/zinc/34/99/92/1130349992.db2.gz GMHXZDHIUUCDFS-UHFFFAOYSA-N 1 2 286.325 3.649 20 0 CHADLO COCC[N@H+](CCF)Cc1ccc(-c2ccc(F)cc2)o1 ZINC000827062246 1130380588 /nfs/dbraw/zinc/38/05/88/1130380588.db2.gz VYXHNUHKKXFWFH-UHFFFAOYSA-N 1 2 295.329 3.504 20 0 CHADLO COCC[N@@H+](CCF)Cc1ccc(-c2ccc(F)cc2)o1 ZINC000827062246 1130380593 /nfs/dbraw/zinc/38/05/93/1130380593.db2.gz VYXHNUHKKXFWFH-UHFFFAOYSA-N 1 2 295.329 3.504 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cnc(Cl)s1 ZINC000791585879 1130386894 /nfs/dbraw/zinc/38/68/94/1130386894.db2.gz UXCMFMVVUGWSKV-XPUUQOCRSA-N 1 2 284.734 3.569 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1cnc(Cl)s1 ZINC000791585879 1130386899 /nfs/dbraw/zinc/38/68/99/1130386899.db2.gz UXCMFMVVUGWSKV-XPUUQOCRSA-N 1 2 284.734 3.569 20 0 CHADLO CCc1ncc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)s1 ZINC000678284120 1130390958 /nfs/dbraw/zinc/39/09/58/1130390958.db2.gz VRAXFPMTSBEWSI-AWEZNQCLSA-N 1 2 276.380 3.792 20 0 CHADLO CCc1ncc(C[N@H+]2CC[C@H]2c2cccc(F)c2)s1 ZINC000678284120 1130390964 /nfs/dbraw/zinc/39/09/64/1130390964.db2.gz VRAXFPMTSBEWSI-AWEZNQCLSA-N 1 2 276.380 3.792 20 0 CHADLO Cn1ncc2c1CCC[C@H]2[N@H+](C)Cc1cscc1Cl ZINC000678296257 1130394626 /nfs/dbraw/zinc/39/46/26/1130394626.db2.gz YTOXBSYPDJTSBF-CYBMUJFWSA-N 1 2 295.839 3.644 20 0 CHADLO Cn1ncc2c1CCC[C@H]2[N@@H+](C)Cc1cscc1Cl ZINC000678296257 1130394630 /nfs/dbraw/zinc/39/46/30/1130394630.db2.gz YTOXBSYPDJTSBF-CYBMUJFWSA-N 1 2 295.839 3.644 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1csc(C2CC2)n1 ZINC000678361602 1130407820 /nfs/dbraw/zinc/40/78/20/1130407820.db2.gz HYVGJBZDSWVBAT-NSHDSACASA-N 1 2 273.405 3.575 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1csc(C(C)(C)C)n1 ZINC000678362945 1130408889 /nfs/dbraw/zinc/40/88/89/1130408889.db2.gz UHEKVSUMDRQDKS-LBPRGKRZSA-N 1 2 289.448 3.995 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1nccs1)c1ccccn1 ZINC000678544571 1130425869 /nfs/dbraw/zinc/42/58/69/1130425869.db2.gz QZTCKAOQFXNAMS-AAEUAGOBSA-N 1 2 261.394 3.730 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1nc(C)sc1C)c1ccccc1 ZINC000678587866 1130431594 /nfs/dbraw/zinc/43/15/94/1130431594.db2.gz FLGNMWZTVDBAQM-NHYWBVRUSA-N 1 2 290.432 3.798 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2COc3ccc(C)cc32)c(C)s1 ZINC000678596799 1130435659 /nfs/dbraw/zinc/43/56/59/1130435659.db2.gz QBDVYZOQJMSSNX-QMTHXVAHSA-N 1 2 288.416 3.853 20 0 CHADLO CCc1noc(C[NH2+][C@H](CC)c2cccc(Cl)c2)n1 ZINC000678736339 1130448764 /nfs/dbraw/zinc/44/87/64/1130448764.db2.gz KGMMXNIIHNNMGR-GFCCVEGCSA-N 1 2 279.771 3.526 20 0 CHADLO CC(C)c1noc(C[NH2+][C@H](CC2CC2)c2ccccc2)n1 ZINC000678778169 1130453429 /nfs/dbraw/zinc/45/34/29/1130453429.db2.gz YEEYHYQBOXJNKG-OAHLLOKOSA-N 1 2 285.391 3.824 20 0 CHADLO CCc1noc(C[NH2+][C@H](c2ccccc2C)C(C)(C)C)n1 ZINC000678785548 1130454847 /nfs/dbraw/zinc/45/48/47/1130454847.db2.gz JPRARJIYRSAGQP-MRXNPFEDSA-N 1 2 287.407 3.817 20 0 CHADLO COc1cc2c(cc1OC)C[NH+](Cc1ccc(C)s1)C2 ZINC001204034990 1130455989 /nfs/dbraw/zinc/45/59/89/1130455989.db2.gz ZPLLPXQOTUTNEO-UHFFFAOYSA-N 1 2 289.400 3.590 20 0 CHADLO c1ccc([C@H]2CC[N@H+]2Cc2nc3c(s2)CCC3)cc1 ZINC000678809767 1130458688 /nfs/dbraw/zinc/45/86/88/1130458688.db2.gz OEUJLCLDDZKWIE-CQSZACIVSA-N 1 2 270.401 3.579 20 0 CHADLO c1ccc([C@H]2CC[N@@H+]2Cc2nc3c(s2)CCC3)cc1 ZINC000678809767 1130458691 /nfs/dbraw/zinc/45/86/91/1130458691.db2.gz OEUJLCLDDZKWIE-CQSZACIVSA-N 1 2 270.401 3.579 20 0 CHADLO Cn1ccc2c[nH+]c(-c3ccc(C(F)F)cc3)nc21 ZINC001240765420 1130460646 /nfs/dbraw/zinc/46/06/46/1130460646.db2.gz PTOKBTZZERTHGE-UHFFFAOYSA-N 1 2 259.259 3.573 20 0 CHADLO FC(F)c1ccc(-c2ccc(Cn3cc[nH+]c3)cn2)cc1 ZINC001240767071 1130461031 /nfs/dbraw/zinc/46/10/31/1130461031.db2.gz GLWBQWAMRBNNND-UHFFFAOYSA-N 1 2 285.297 3.931 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1nc2c(s1)CCC2 ZINC000678827502 1130461881 /nfs/dbraw/zinc/46/18/81/1130461881.db2.gz AXUCHTICGFEYQA-NSHDSACASA-N 1 2 288.416 3.530 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1nc2c(s1)CCC2 ZINC000678827502 1130461885 /nfs/dbraw/zinc/46/18/85/1130461885.db2.gz AXUCHTICGFEYQA-NSHDSACASA-N 1 2 288.416 3.530 20 0 CHADLO CO[C@@H]1CCC[N@H+](Cc2c(Cl)ccc(F)c2Cl)C1 ZINC001137353144 1130465711 /nfs/dbraw/zinc/46/57/11/1130465711.db2.gz IOIAHNRLYPBTLI-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@@H]1CCC[N@@H+](Cc2c(Cl)ccc(F)c2Cl)C1 ZINC001137353144 1130465717 /nfs/dbraw/zinc/46/57/17/1130465717.db2.gz IOIAHNRLYPBTLI-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO CNc1cc(-c2ccc(C(F)(F)F)cc2)cc[nH+]1 ZINC001240820976 1130485932 /nfs/dbraw/zinc/48/59/32/1130485932.db2.gz GYWMTEAGXBCFBP-UHFFFAOYSA-N 1 2 252.239 3.809 20 0 CHADLO c1nc(C[NH2+][C@H]2CCCOc3cc4c(cc32)CCCC4)co1 ZINC000679049869 1130487897 /nfs/dbraw/zinc/48/78/97/1130487897.db2.gz PYZIPDVXBBHGLO-KRWDZBQOSA-N 1 2 298.386 3.557 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@@H](C)c1nc2ccccc2o1 ZINC000679184299 1130500772 /nfs/dbraw/zinc/50/07/72/1130500772.db2.gz CDJCOBRFWDZTOX-ONGXEEELSA-N 1 2 285.347 3.844 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+][C@@H](C)c1nc2ccccc2o1 ZINC000679184296 1130500864 /nfs/dbraw/zinc/50/08/64/1130500864.db2.gz CDJCOBRFWDZTOX-KOLCDFICSA-N 1 2 285.347 3.844 20 0 CHADLO CC(C)Cn1cc(-c2ccc(-c3c[nH+]cn3C)cc2)cn1 ZINC001240887451 1130502372 /nfs/dbraw/zinc/50/23/72/1130502372.db2.gz JFXMKPMGSWLRPT-UHFFFAOYSA-N 1 2 280.375 3.607 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CC[C@H](C)[C@H](F)C1 ZINC000679220446 1130503331 /nfs/dbraw/zinc/50/33/31/1130503331.db2.gz XZFFAWICHZITPH-GXFFZTMASA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CC[C@H](C)[C@H](F)C1 ZINC000679220446 1130503337 /nfs/dbraw/zinc/50/33/37/1130503337.db2.gz XZFFAWICHZITPH-GXFFZTMASA-N 1 2 271.763 3.529 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)o1 ZINC000792914553 1130506881 /nfs/dbraw/zinc/50/68/81/1130506881.db2.gz PORWLQSPYORWQH-UMNHJUIQSA-N 1 2 289.206 3.560 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC[C@@]3(C)[C@@H](C2)C3(Cl)Cl)o1 ZINC000792914553 1130506882 /nfs/dbraw/zinc/50/68/82/1130506882.db2.gz PORWLQSPYORWQH-UMNHJUIQSA-N 1 2 289.206 3.560 20 0 CHADLO CC(C)c1ccc(-c2noc(C[N@H+]3CC=CCC3)n2)cc1 ZINC000679323620 1130514700 /nfs/dbraw/zinc/51/47/00/1130514700.db2.gz FWGINAAHJFZTCJ-UHFFFAOYSA-N 1 2 283.375 3.622 20 0 CHADLO CC(C)c1ccc(-c2noc(C[N@@H+]3CC=CCC3)n2)cc1 ZINC000679323620 1130514705 /nfs/dbraw/zinc/51/47/05/1130514705.db2.gz FWGINAAHJFZTCJ-UHFFFAOYSA-N 1 2 283.375 3.622 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](c1ccccc1C)C1CC1 ZINC000679342258 1130517752 /nfs/dbraw/zinc/51/77/52/1130517752.db2.gz NLFRLMUKKWGPAN-PXAZEXFGSA-N 1 2 269.392 3.828 20 0 CHADLO CSc1cc(Br)ccc1C[N@H+]1CC=CCC1 ZINC000679358227 1130520043 /nfs/dbraw/zinc/52/00/43/1130520043.db2.gz FMSXYAYOASDPLD-UHFFFAOYSA-N 1 2 298.249 3.933 20 0 CHADLO CSc1cc(Br)ccc1C[N@@H+]1CC=CCC1 ZINC000679358227 1130520047 /nfs/dbraw/zinc/52/00/47/1130520047.db2.gz FMSXYAYOASDPLD-UHFFFAOYSA-N 1 2 298.249 3.933 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)CCC(F)F)c2)[nH+]c1C ZINC000793166071 1130524207 /nfs/dbraw/zinc/52/42/07/1130524207.db2.gz JEFFRDNYMZGKJB-UHFFFAOYSA-N 1 2 293.317 3.677 20 0 CHADLO Cc1cc(-c2cccc(C(=O)OC(C)C)c2)c2[nH+]ccn2c1 ZINC001240945746 1130528035 /nfs/dbraw/zinc/52/80/35/1130528035.db2.gz FOBANVKNWPMXFV-UHFFFAOYSA-N 1 2 294.354 3.875 20 0 CHADLO COCc1ccc(-c2ccc(-n3cc[nH+]c3)cc2OC)cc1 ZINC001240954815 1130533952 /nfs/dbraw/zinc/53/39/52/1130533952.db2.gz VYWPOVWGBZANPQ-UHFFFAOYSA-N 1 2 294.354 3.694 20 0 CHADLO COc1cc(F)c(-c2[nH+]c(C)cc3[nH]ccc32)cc1F ZINC001240955118 1130534415 /nfs/dbraw/zinc/53/44/15/1130534415.db2.gz KPDGEFSBZJDZOL-UHFFFAOYSA-N 1 2 274.270 3.825 20 0 CHADLO COc1cc(F)c(-c2cccc3[nH+]c(C)cn32)cc1F ZINC001240956420 1130534956 /nfs/dbraw/zinc/53/49/56/1130534956.db2.gz ZFUROQWBIMUMED-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO Fc1ncccc1C[NH2+]Cc1csc(Cl)c1Cl ZINC000793286601 1130537512 /nfs/dbraw/zinc/53/75/12/1130537512.db2.gz RRTHKHGISMNQHH-UHFFFAOYSA-N 1 2 291.178 3.879 20 0 CHADLO CCC[C@](C)(CC)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000793298480 1130538323 /nfs/dbraw/zinc/53/83/23/1130538323.db2.gz ICQRDINISZVWIW-KRWDZBQOSA-N 1 2 292.423 3.540 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)s1 ZINC000679546774 1130539285 /nfs/dbraw/zinc/53/92/85/1130539285.db2.gz UFSLJIGMHBFDLY-PSASIEDQSA-N 1 2 278.343 3.614 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)n1 ZINC000679547013 1130539672 /nfs/dbraw/zinc/53/96/72/1130539672.db2.gz YCUSNTVJAMNEDF-RYUDHWBXSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)n1 ZINC000679547013 1130539676 /nfs/dbraw/zinc/53/96/76/1130539676.db2.gz YCUSNTVJAMNEDF-RYUDHWBXSA-N 1 2 289.345 3.627 20 0 CHADLO Fc1ncccc1C[NH2+]Cc1ccc(Oc2ccccc2)o1 ZINC000793282878 1130540752 /nfs/dbraw/zinc/54/07/52/1130540752.db2.gz XXNLKAMRGTYZJH-UHFFFAOYSA-N 1 2 298.317 3.896 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1cc(C(C)C)no1 ZINC000679574013 1130540856 /nfs/dbraw/zinc/54/08/56/1130540856.db2.gz CQKNUANHGUXWQV-GFCCVEGCSA-N 1 2 273.380 3.606 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cc(F)c(F)c(F)c1 ZINC001240981014 1130548610 /nfs/dbraw/zinc/54/86/10/1130548610.db2.gz VSUQXKXLDQFASI-UHFFFAOYSA-N 1 2 278.233 3.656 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cc(F)c(F)c(F)c1 ZINC001240980379 1130548982 /nfs/dbraw/zinc/54/89/82/1130548982.db2.gz TYSCMUCUTNBUDU-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO COc1cc([NH2+]C[C@H]2CC=CCC2)c(OC)c(C)c1OC ZINC000793396602 1130553591 /nfs/dbraw/zinc/55/35/91/1130553591.db2.gz VULLFLSARSKNCL-ZDUSSCGKSA-N 1 2 291.391 3.789 20 0 CHADLO Cc1cc2[nH]ccc2c(-c2ccc(F)c(F)c2F)[nH+]1 ZINC001240988753 1130554370 /nfs/dbraw/zinc/55/43/70/1130554370.db2.gz WNFCNXRVZPCFAP-UHFFFAOYSA-N 1 2 262.234 3.956 20 0 CHADLO Cc1oc(-c2ccsc2)nc1C[NH2+][C@H](C)c1ccccn1 ZINC000679937207 1130563274 /nfs/dbraw/zinc/56/32/74/1130563274.db2.gz QEUASCVJAGVEBS-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Fc1ccccc1-c1csc(C[NH2+]Cc2ccoc2)n1 ZINC000811496883 1130613282 /nfs/dbraw/zinc/61/32/82/1130613282.db2.gz CADFPKHZQCPCEK-UHFFFAOYSA-N 1 2 288.347 3.832 20 0 CHADLO CSC1(CNc2ccc([NH+](C)C)c(C)c2)CCC1 ZINC000811470293 1130614469 /nfs/dbraw/zinc/61/44/69/1130614469.db2.gz XOANFRPTIJJKLV-UHFFFAOYSA-N 1 2 264.438 3.759 20 0 CHADLO CSC1(C[NH2+]c2ccc(N(C)C)c(C)c2)CCC1 ZINC000811470293 1130614473 /nfs/dbraw/zinc/61/44/73/1130614473.db2.gz XOANFRPTIJJKLV-UHFFFAOYSA-N 1 2 264.438 3.759 20 0 CHADLO C[N@H+](Cc1ccc(O)cc1)Cc1ccnc(Cl)c1Cl ZINC000811507314 1130617745 /nfs/dbraw/zinc/61/77/45/1130617745.db2.gz YFHMRIFKNNGRDA-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1ccc(O)cc1)Cc1ccnc(Cl)c1Cl ZINC000811507314 1130617748 /nfs/dbraw/zinc/61/77/48/1130617748.db2.gz YFHMRIFKNNGRDA-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO CSc1c(Cl)cccc1OC1C[NH+](C(C)C)C1 ZINC001234247470 1130623805 /nfs/dbraw/zinc/62/38/05/1130623805.db2.gz NPMUENHNFOBNJR-UHFFFAOYSA-N 1 2 271.813 3.533 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1csc(C3CC3)n1)C2 ZINC000759144566 1130638784 /nfs/dbraw/zinc/63/87/84/1130638784.db2.gz NGWUHBOAECXVRD-UHFFFAOYSA-N 1 2 284.428 3.887 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1csc(C3CC3)n1)C2 ZINC000759144566 1130638788 /nfs/dbraw/zinc/63/87/88/1130638788.db2.gz NGWUHBOAECXVRD-UHFFFAOYSA-N 1 2 284.428 3.887 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1nc(Cl)cs1 ZINC000759184095 1130642070 /nfs/dbraw/zinc/64/20/70/1130642070.db2.gz HEYPDHPVEOFTSQ-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO C[C@@H]1C[N@H+](Cn2ccc(-c3cccs3)n2)CCC1(F)F ZINC000811780479 1130643633 /nfs/dbraw/zinc/64/36/33/1130643633.db2.gz YDRWCMRRGOBSKL-LLVKDONJSA-N 1 2 297.374 3.546 20 0 CHADLO C[C@@H]1C[N@@H+](Cn2ccc(-c3cccs3)n2)CCC1(F)F ZINC000811780479 1130643638 /nfs/dbraw/zinc/64/36/38/1130643638.db2.gz YDRWCMRRGOBSKL-LLVKDONJSA-N 1 2 297.374 3.546 20 0 CHADLO CC(C)n1c2ccccc2nc1[C@H]1CCC[N@@H+]1CCF ZINC000759344103 1130651660 /nfs/dbraw/zinc/65/16/60/1130651660.db2.gz BWHOOSZYNDMBFM-OAHLLOKOSA-N 1 2 275.371 3.724 20 0 CHADLO CC(C)n1c2ccccc2nc1[C@H]1CCC[N@H+]1CCF ZINC000759344103 1130651663 /nfs/dbraw/zinc/65/16/63/1130651663.db2.gz BWHOOSZYNDMBFM-OAHLLOKOSA-N 1 2 275.371 3.724 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H]2CCc3cccnc32)cc1 ZINC001234588360 1130659560 /nfs/dbraw/zinc/65/95/60/1130659560.db2.gz MVHRSYWTHZWCHA-HNNXBMFYSA-N 1 2 282.343 3.510 20 0 CHADLO c1cc(C[NH2+][C@H]2CCCc3cn(C4CCCC4)nc32)co1 ZINC000780765473 1130690954 /nfs/dbraw/zinc/69/09/54/1130690954.db2.gz DAAFLKNVLRRTSL-INIZCTEOSA-N 1 2 285.391 3.758 20 0 CHADLO Cc1cc(Cl)c(C[N@@H+](C)[C@H]2CCCOC2)c(Cl)c1 ZINC001235184067 1130721721 /nfs/dbraw/zinc/72/17/21/1130721721.db2.gz WXJQIUFRSNVDBZ-NSHDSACASA-N 1 2 288.218 3.913 20 0 CHADLO Cc1cc(Cl)c(C[N@H+](C)[C@H]2CCCOC2)c(Cl)c1 ZINC001235184067 1130721727 /nfs/dbraw/zinc/72/17/27/1130721727.db2.gz WXJQIUFRSNVDBZ-NSHDSACASA-N 1 2 288.218 3.913 20 0 CHADLO CC[N@H+](C/C(Cl)=C/Cl)[C@H](C)[C@H](O)c1ccccc1 ZINC000812848569 1130721982 /nfs/dbraw/zinc/72/19/82/1130721982.db2.gz KXDGOPHIGURSIA-SYKXKWPBSA-N 1 2 288.218 3.749 20 0 CHADLO CC[N@@H+](C/C(Cl)=C/Cl)[C@H](C)[C@H](O)c1ccccc1 ZINC000812848569 1130721985 /nfs/dbraw/zinc/72/19/85/1130721985.db2.gz KXDGOPHIGURSIA-SYKXKWPBSA-N 1 2 288.218 3.749 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)Cc2ccccc2)c(F)c1 ZINC001235193382 1130722815 /nfs/dbraw/zinc/72/28/15/1130722815.db2.gz NYZQKWAJHKAYAU-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)Cc2ccccc2)c(F)c1 ZINC001235193382 1130722819 /nfs/dbraw/zinc/72/28/19/1130722819.db2.gz NYZQKWAJHKAYAU-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Fc1cc(C[NH+]2CCC3(CC3)CC2)c(F)c(F)c1F ZINC001235343976 1130735381 /nfs/dbraw/zinc/73/53/81/1130735381.db2.gz ATHHMBHLKZSSNU-UHFFFAOYSA-N 1 2 273.273 3.619 20 0 CHADLO Fc1cc(C[N@@H+]2CC3CCC2CC3)c(F)c(F)c1F ZINC001235347288 1130735483 /nfs/dbraw/zinc/73/54/83/1130735483.db2.gz AMEZAQOSYYBAKM-UHFFFAOYSA-N 1 2 273.273 3.617 20 0 CHADLO Fc1cc(C[N@H+]2CC3CCC2CC3)c(F)c(F)c1F ZINC001235347288 1130735487 /nfs/dbraw/zinc/73/54/87/1130735487.db2.gz AMEZAQOSYYBAKM-UHFFFAOYSA-N 1 2 273.273 3.617 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(F)c(F)c(F)c2F)[C@H]1C ZINC001235355529 1130736068 /nfs/dbraw/zinc/73/60/68/1130736068.db2.gz UGKIXSXZXMJQGW-BDAKNGLRSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(F)c(F)c(F)c2F)[C@H]1C ZINC001235355529 1130736076 /nfs/dbraw/zinc/73/60/76/1130736076.db2.gz UGKIXSXZXMJQGW-BDAKNGLRSA-N 1 2 275.289 3.863 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@H](c3ccccc3)C2)nc1 ZINC001235412074 1130740325 /nfs/dbraw/zinc/74/03/25/1130740325.db2.gz QQWDLJJVXMBQRN-AWEZNQCLSA-N 1 2 287.794 3.510 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@H](c3ccccc3)C2)nc1 ZINC001235412074 1130740330 /nfs/dbraw/zinc/74/03/30/1130740330.db2.gz QQWDLJJVXMBQRN-AWEZNQCLSA-N 1 2 287.794 3.510 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2ncc(Cl)cn2)c1 ZINC001235416522 1130740745 /nfs/dbraw/zinc/74/07/45/1130740745.db2.gz KWBGDMVCYPNDFL-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2ncc(Cl)cn2)c1 ZINC001235416522 1130740749 /nfs/dbraw/zinc/74/07/49/1130740749.db2.gz KWBGDMVCYPNDFL-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Fc1cc(Cl)ccc1C[N@@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000781904126 1130747479 /nfs/dbraw/zinc/74/74/79/1130747479.db2.gz MGOIZJVKVPQUFL-SECBINFHSA-N 1 2 281.680 3.863 20 0 CHADLO Fc1cc(Cl)ccc1C[N@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000781904126 1130747484 /nfs/dbraw/zinc/74/74/84/1130747484.db2.gz MGOIZJVKVPQUFL-SECBINFHSA-N 1 2 281.680 3.863 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC001235541244 1130753672 /nfs/dbraw/zinc/75/36/72/1130753672.db2.gz SDWGNKAERMLKNI-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC001235541244 1130753678 /nfs/dbraw/zinc/75/36/78/1130753678.db2.gz SDWGNKAERMLKNI-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)c1 ZINC001235535592 1130754485 /nfs/dbraw/zinc/75/44/85/1130754485.db2.gz UUNJIUNRWVWCBP-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)c1 ZINC001235535592 1130754490 /nfs/dbraw/zinc/75/44/90/1130754490.db2.gz UUNJIUNRWVWCBP-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO C[C@]1(O)CC[N@H+](Cc2cc(Cl)c(Cl)c(Cl)c2)C1 ZINC001235589955 1130756925 /nfs/dbraw/zinc/75/69/25/1130756925.db2.gz GSJSYHHQVGEVNE-LBPRGKRZSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@]1(O)CC[N@@H+](Cc2cc(Cl)c(Cl)c(Cl)c2)C1 ZINC001235589955 1130756930 /nfs/dbraw/zinc/75/69/30/1130756930.db2.gz GSJSYHHQVGEVNE-LBPRGKRZSA-N 1 2 294.609 3.604 20 0 CHADLO COCC[N@H+](Cc1c(F)cc(Cl)cc1Cl)C(C)C ZINC001235746910 1130775226 /nfs/dbraw/zinc/77/52/26/1130775226.db2.gz NPOGBWBWHIBYKF-UHFFFAOYSA-N 1 2 294.197 3.989 20 0 CHADLO COCC[N@@H+](Cc1c(F)cc(Cl)cc1Cl)C(C)C ZINC001235746910 1130775234 /nfs/dbraw/zinc/77/52/34/1130775234.db2.gz NPOGBWBWHIBYKF-UHFFFAOYSA-N 1 2 294.197 3.989 20 0 CHADLO COc1nccc(C[N@H+](C)Cc2ccc(C)cc2)c1Cl ZINC001235833314 1130784528 /nfs/dbraw/zinc/78/45/28/1130784528.db2.gz NYNWACSZSASOFB-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1nccc(C[N@@H+](C)Cc2ccc(C)cc2)c1Cl ZINC001235833314 1130784531 /nfs/dbraw/zinc/78/45/31/1130784531.db2.gz NYNWACSZSASOFB-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)[C@H]1C ZINC000813871737 1130792280 /nfs/dbraw/zinc/79/22/80/1130792280.db2.gz SCVFBYWJRLRHBP-KAYRBKQESA-N 1 2 288.435 3.757 20 0 CHADLO CCc1cccc(CO[NH+]=C(N)Cc2cccc(F)c2)c1 ZINC000783146194 1130798453 /nfs/dbraw/zinc/79/84/53/1130798453.db2.gz ADEDFEDBFHDHAJ-UHFFFAOYSA-N 1 2 286.350 3.629 20 0 CHADLO Cc1ccc(C)c(CO[NH+]=C(N)Cc2cccc(F)c2)c1 ZINC000783159603 1130799572 /nfs/dbraw/zinc/79/95/72/1130799572.db2.gz ZFKQAHODXXMCMQ-UHFFFAOYSA-N 1 2 286.350 3.684 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OCC1CCCCCC1 ZINC000783155432 1130799778 /nfs/dbraw/zinc/79/97/78/1130799778.db2.gz BRXWZGMRJMHUAM-UHFFFAOYSA-N 1 2 278.371 3.837 20 0 CHADLO COC[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)C1CCCC1 ZINC000783279977 1130810810 /nfs/dbraw/zinc/81/08/10/1130810810.db2.gz HYJLFWQGWVSWBT-QGZVFWFLSA-N 1 2 288.435 3.686 20 0 CHADLO COC[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)C1CCCC1 ZINC000783279977 1130810815 /nfs/dbraw/zinc/81/08/15/1130810815.db2.gz HYJLFWQGWVSWBT-QGZVFWFLSA-N 1 2 288.435 3.686 20 0 CHADLO COc1cc[nH+]cc1N[C@@H](C)c1ccc(C)cc1C ZINC001170727109 1130826047 /nfs/dbraw/zinc/82/60/47/1130826047.db2.gz ZGWAXALUXYLTMB-ZDUSSCGKSA-N 1 2 256.349 3.880 20 0 CHADLO Clc1cc([NH2+]CC[C@H]2CCOC2)ccc1N1CCCC1 ZINC000783586522 1130841182 /nfs/dbraw/zinc/84/11/82/1130841182.db2.gz VXMIPHJKFULURQ-ZDUSSCGKSA-N 1 2 294.826 3.779 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3cccc(C4CC4)c3)nn2)c1C ZINC001236084323 1130852991 /nfs/dbraw/zinc/85/29/91/1130852991.db2.gz UWNDDJMFWBVVFA-UHFFFAOYSA-N 1 2 290.370 3.824 20 0 CHADLO C[C@H]([NH2+]C[C@@H](c1ccccc1)C1CC1)C(=O)OC(C)(C)C ZINC000814248436 1130855511 /nfs/dbraw/zinc/85/55/11/1130855511.db2.gz VFXQONKTNVZEKL-BBRMVZONSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1c(-c2ccc(NC(=O)C(C)C)cc2)ccc2[nH+]ccn21 ZINC001236271214 1130910104 /nfs/dbraw/zinc/91/01/04/1130910104.db2.gz IMXOGEFSRPOKHQ-UHFFFAOYSA-N 1 2 293.370 3.904 20 0 CHADLO CC(C)(c1cc(-c2ccc(N)[nH+]c2)ccn1)C(F)(F)F ZINC001236317168 1130913157 /nfs/dbraw/zinc/91/31/57/1130913157.db2.gz QQENXUMBRGSXNS-UHFFFAOYSA-N 1 2 281.281 3.566 20 0 CHADLO COC(=[NH2+])c1cccc(-c2ccc(C(C)C)nc2OC)c1 ZINC001236445626 1130944607 /nfs/dbraw/zinc/94/46/07/1130944607.db2.gz CPHSSQNVEAMTQG-UHFFFAOYSA-N 1 2 284.359 3.852 20 0 CHADLO CC(C)Nc1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001236484725 1130952895 /nfs/dbraw/zinc/95/28/95/1130952895.db2.gz MACLEJWTMPTTET-UHFFFAOYSA-N 1 2 251.333 3.822 20 0 CHADLO Cc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)c2c[nH]nc21 ZINC001236492106 1130955334 /nfs/dbraw/zinc/95/53/34/1130955334.db2.gz HKCGRVNIYBKAJL-UHFFFAOYSA-N 1 2 274.327 3.724 20 0 CHADLO CC[C@H]([NH2+]Cc1cnn(C)c1Cl)c1cc(F)ccc1F ZINC000815125777 1130960154 /nfs/dbraw/zinc/96/01/54/1130960154.db2.gz NECQSPUVHPXXOB-ZDUSSCGKSA-N 1 2 299.752 3.593 20 0 CHADLO Fc1ccc(C[NH2+]Cc2c(F)cc(F)cc2F)c(F)c1 ZINC000815152732 1130961463 /nfs/dbraw/zinc/96/14/63/1130961463.db2.gz UGVNXTJZRADBRB-UHFFFAOYSA-N 1 2 287.231 3.672 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1ccnc(Cl)c1 ZINC000797128438 1130964106 /nfs/dbraw/zinc/96/41/06/1130964106.db2.gz SAYGSTMUKPLEBA-IUCAKERBSA-N 1 2 297.736 3.820 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cnc(Cl)c(C(F)(F)F)c2)C1 ZINC001236619008 1130985208 /nfs/dbraw/zinc/98/52/08/1130985208.db2.gz KLCMDAVCXPHGBN-SECBINFHSA-N 1 2 292.732 3.986 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cnc(Cl)c(C(F)(F)F)c2)C1 ZINC001236619008 1130985213 /nfs/dbraw/zinc/98/52/13/1130985213.db2.gz KLCMDAVCXPHGBN-SECBINFHSA-N 1 2 292.732 3.986 20 0 CHADLO Cc1[nH+]c(-c2cc(F)cc(N)c2Cl)cc2[nH]ccc21 ZINC001245465377 1130987047 /nfs/dbraw/zinc/98/70/47/1130987047.db2.gz TYLQAGSAHJARKE-UHFFFAOYSA-N 1 2 275.714 3.913 20 0 CHADLO COC[C@@H]1CCC[N@@H+]1Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236656748 1130989710 /nfs/dbraw/zinc/98/97/10/1130989710.db2.gz UDSQGIUDJGFTCF-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@@H]1CCC[N@H+]1Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236656748 1130989715 /nfs/dbraw/zinc/98/97/15/1130989715.db2.gz UDSQGIUDJGFTCF-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO CC1=C(Br)C[N@H+](Cc2cccc(F)c2)CC1 ZINC000797528435 1130989958 /nfs/dbraw/zinc/98/99/58/1130989958.db2.gz RBDBHYJSIZOSFD-UHFFFAOYSA-N 1 2 284.172 3.700 20 0 CHADLO CC1=C(Br)C[N@@H+](Cc2cccc(F)c2)CC1 ZINC000797528435 1130989962 /nfs/dbraw/zinc/98/99/62/1130989962.db2.gz RBDBHYJSIZOSFD-UHFFFAOYSA-N 1 2 284.172 3.700 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cccc2sccc21 ZINC001236687112 1130994512 /nfs/dbraw/zinc/99/45/12/1130994512.db2.gz OKFLOZAMVRPMAJ-RYUDHWBXSA-N 1 2 267.344 3.783 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1cccc2sccc21 ZINC001236687112 1130994513 /nfs/dbraw/zinc/99/45/13/1130994513.db2.gz OKFLOZAMVRPMAJ-RYUDHWBXSA-N 1 2 267.344 3.783 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cccc3ccoc32)CC1(F)F ZINC001236730563 1131000405 /nfs/dbraw/zinc/00/04/05/1131000405.db2.gz QWBSBZMAGCSTQE-LLVKDONJSA-N 1 2 265.303 3.910 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cccc3ccoc32)CC1(F)F ZINC001236730563 1131000410 /nfs/dbraw/zinc/00/04/10/1131000410.db2.gz QWBSBZMAGCSTQE-LLVKDONJSA-N 1 2 265.303 3.910 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@]1(C)CCCO1 ZINC000815601735 1131003956 /nfs/dbraw/zinc/00/39/56/1131003956.db2.gz DLNIIABJQLIXIN-YOEHRIQHSA-N 1 2 274.408 3.656 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccncc2)c1Cl ZINC001236849684 1131021061 /nfs/dbraw/zinc/02/10/61/1131021061.db2.gz ANWBUFIWGHDNPG-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccncc2)c1Cl ZINC001236849684 1131021066 /nfs/dbraw/zinc/02/10/66/1131021066.db2.gz ANWBUFIWGHDNPG-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO CC(C)c1ccc2occ(C(=O)OCCn3cc[nH+]c3)c2c1 ZINC000805596112 1131022705 /nfs/dbraw/zinc/02/27/05/1131022705.db2.gz YFKUIGXIMNPXAC-UHFFFAOYSA-N 1 2 298.342 3.610 20 0 CHADLO Cc1cc(-c2cc(F)c(C)cc2F)c2[nH+]ccn2c1 ZINC001245545594 1131030696 /nfs/dbraw/zinc/03/06/96/1131030696.db2.gz XITQLJPQMGVDPN-UHFFFAOYSA-N 1 2 258.271 3.896 20 0 CHADLO CC(C)(C)Oc1ccccc1C[N@@H+]1CCOCC12CCC2 ZINC001237031435 1131038642 /nfs/dbraw/zinc/03/86/42/1131038642.db2.gz LBKVMVIPYBRADY-UHFFFAOYSA-N 1 2 289.419 3.619 20 0 CHADLO CC(C)(C)Oc1ccccc1C[N@H+]1CCOCC12CCC2 ZINC001237031435 1131038644 /nfs/dbraw/zinc/03/86/44/1131038644.db2.gz LBKVMVIPYBRADY-UHFFFAOYSA-N 1 2 289.419 3.619 20 0 CHADLO CC(C)(C)Oc1ccccc1C[N@@H+]1CCCCC12COC2 ZINC001237032722 1131039020 /nfs/dbraw/zinc/03/90/20/1131039020.db2.gz WRVVXMSHEXLDLT-UHFFFAOYSA-N 1 2 289.419 3.619 20 0 CHADLO CC(C)(C)Oc1ccccc1C[N@H+]1CCCCC12COC2 ZINC001237032722 1131039026 /nfs/dbraw/zinc/03/90/26/1131039026.db2.gz WRVVXMSHEXLDLT-UHFFFAOYSA-N 1 2 289.419 3.619 20 0 CHADLO CC(C)C[C@H](C(=O)NC1CCCCCCC1)n1cc[nH+]c1 ZINC001135373566 1131052025 /nfs/dbraw/zinc/05/20/25/1131052025.db2.gz UJRAJSHDKNPZIT-MRXNPFEDSA-N 1 2 291.439 3.699 20 0 CHADLO Cc1c(C[NH+]2Cc3ccccc3C2)ccc(F)c1F ZINC001237155234 1131059985 /nfs/dbraw/zinc/05/99/85/1131059985.db2.gz QDFNLXKWWOSNOT-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO Cc1c(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@H]32)ccc(F)c1F ZINC001237155268 1131060132 /nfs/dbraw/zinc/06/01/32/1131060132.db2.gz QLLRJJALHBZOTR-DZGCQCFKSA-N 1 2 293.357 3.607 20 0 CHADLO Cc1c(C[N@H+]2CCC(=O)[C@H]3CCCC[C@H]32)ccc(F)c1F ZINC001237155268 1131060138 /nfs/dbraw/zinc/06/01/38/1131060138.db2.gz QLLRJJALHBZOTR-DZGCQCFKSA-N 1 2 293.357 3.607 20 0 CHADLO Cc1c(C[NH+]2CC3(C2)CC(F)(F)C3)ccc(F)c1F ZINC001237152744 1131060315 /nfs/dbraw/zinc/06/03/15/1131060315.db2.gz AKXLCDJWOKPJIN-UHFFFAOYSA-N 1 2 273.273 3.504 20 0 CHADLO Cc1c(C[N@@H+]2CCc3c(F)cccc3C2)ccc(F)c1F ZINC001237167743 1131065422 /nfs/dbraw/zinc/06/54/22/1131065422.db2.gz RGMAVQIWLKKOEO-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(C[N@H+]2CCc3c(F)cccc3C2)ccc(F)c1F ZINC001237167743 1131065427 /nfs/dbraw/zinc/06/54/27/1131065427.db2.gz RGMAVQIWLKKOEO-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccc2cccccc1-2 ZINC001237193159 1131068177 /nfs/dbraw/zinc/06/81/77/1131068177.db2.gz NJEDHFSRRMOJPQ-UHFFFAOYSA-N 1 2 268.385 3.880 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccc2cccccc1-2 ZINC001237193159 1131068184 /nfs/dbraw/zinc/06/81/84/1131068184.db2.gz NJEDHFSRRMOJPQ-UHFFFAOYSA-N 1 2 268.385 3.880 20 0 CHADLO Cc1cc(C)cc(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)c1 ZINC001135544300 1131080931 /nfs/dbraw/zinc/08/09/31/1131080931.db2.gz XRVGPGQMOOHZLI-INIZCTEOSA-N 1 2 285.391 3.726 20 0 CHADLO CCc1cccc(C)c1C[N@@H+]1CCOC[C@@H]1c1cccnc1 ZINC001237330397 1131085791 /nfs/dbraw/zinc/08/57/91/1131085791.db2.gz QQSMGELHZDMXEL-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO CCc1cccc(C)c1C[N@H+]1CCOC[C@@H]1c1cccnc1 ZINC001237330397 1131085797 /nfs/dbraw/zinc/08/57/97/1131085797.db2.gz QQSMGELHZDMXEL-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO COC[C@@H]1CC[N@@H+]1Cc1c(Cl)ccc(C)c1Cl ZINC001237339953 1131088219 /nfs/dbraw/zinc/08/82/19/1131088219.db2.gz QWZMDCROFHVHHF-JTQLQIEISA-N 1 2 274.191 3.523 20 0 CHADLO COC[C@@H]1CC[N@H+]1Cc1c(Cl)ccc(C)c1Cl ZINC001237339953 1131088225 /nfs/dbraw/zinc/08/82/25/1131088225.db2.gz QWZMDCROFHVHHF-JTQLQIEISA-N 1 2 274.191 3.523 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1cc(Cl)nc(C(F)(F)F)c1 ZINC001237491451 1131104621 /nfs/dbraw/zinc/10/46/21/1131104621.db2.gz OMIAHYNTFLZDBN-MRVPVSSYSA-N 1 2 278.705 3.738 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1cc(Cl)nc(C(F)(F)F)c1 ZINC001237491451 1131104624 /nfs/dbraw/zinc/10/46/24/1131104624.db2.gz OMIAHYNTFLZDBN-MRVPVSSYSA-N 1 2 278.705 3.738 20 0 CHADLO CCOc1cccc(C[N@@H+]2CCC=C(Br)C2)c1 ZINC000799735618 1131107046 /nfs/dbraw/zinc/10/70/46/1131107046.db2.gz BCGRQTOUMNYMFD-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO CCOc1cccc(C[N@H+]2CCC=C(Br)C2)c1 ZINC000799735618 1131107048 /nfs/dbraw/zinc/10/70/48/1131107048.db2.gz BCGRQTOUMNYMFD-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO COc1ccc(OC)c(C[N@@H+]2CCCC[C@@](C)(F)C2)c1F ZINC001237572185 1131113513 /nfs/dbraw/zinc/11/35/13/1131113513.db2.gz MAZKAKOCMUDPMB-MRXNPFEDSA-N 1 2 299.361 3.557 20 0 CHADLO COc1ccc(OC)c(C[N@H+]2CCCC[C@@](C)(F)C2)c1F ZINC001237572185 1131113517 /nfs/dbraw/zinc/11/35/17/1131113517.db2.gz MAZKAKOCMUDPMB-MRXNPFEDSA-N 1 2 299.361 3.557 20 0 CHADLO C[N@H+](Cc1c(Cl)cc(F)cc1Cl)[C@@H]1CCCOC1 ZINC001237603383 1131118709 /nfs/dbraw/zinc/11/87/09/1131118709.db2.gz RYBJLENWPJRZOD-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO C[N@@H+](Cc1c(Cl)cc(F)cc1Cl)[C@@H]1CCCOC1 ZINC001237603383 1131118710 /nfs/dbraw/zinc/11/87/10/1131118710.db2.gz RYBJLENWPJRZOD-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[N@@H+]1C[C@@H](C)[C@H]1C ZINC000816649171 1131121182 /nfs/dbraw/zinc/12/11/82/1131121182.db2.gz VGPQCRKQRLBKEU-RKDXNWHRSA-N 1 2 274.191 3.842 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[N@H+]1C[C@@H](C)[C@H]1C ZINC000816649171 1131121186 /nfs/dbraw/zinc/12/11/86/1131121186.db2.gz VGPQCRKQRLBKEU-RKDXNWHRSA-N 1 2 274.191 3.842 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ccc(C)nc2)c(F)c1 ZINC001237631840 1131121887 /nfs/dbraw/zinc/12/18/87/1131121887.db2.gz FCSWZPGPDDCWNI-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ccc(C)nc2)c(F)c1 ZINC001237631840 1131121890 /nfs/dbraw/zinc/12/18/90/1131121890.db2.gz FCSWZPGPDDCWNI-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCC[C@@](C)(F)C2)c(F)c1 ZINC001237638556 1131123053 /nfs/dbraw/zinc/12/30/53/1131123053.db2.gz PSARQBJBQSUUNX-OAHLLOKOSA-N 1 2 269.335 3.548 20 0 CHADLO CCOc1ccc(C[N@H+]2CCC[C@@](C)(F)C2)c(F)c1 ZINC001237638556 1131123054 /nfs/dbraw/zinc/12/30/54/1131123054.db2.gz PSARQBJBQSUUNX-OAHLLOKOSA-N 1 2 269.335 3.548 20 0 CHADLO COc1cccc([C@H]2CCCC[N@@H+]2Cc2nc(C)co2)c1 ZINC001237641816 1131123867 /nfs/dbraw/zinc/12/38/67/1131123867.db2.gz AKGYZTQQGYUDGS-MRXNPFEDSA-N 1 2 286.375 3.719 20 0 CHADLO COc1cccc([C@H]2CCCC[N@H+]2Cc2nc(C)co2)c1 ZINC001237641816 1131123868 /nfs/dbraw/zinc/12/38/68/1131123868.db2.gz AKGYZTQQGYUDGS-MRXNPFEDSA-N 1 2 286.375 3.719 20 0 CHADLO C[N@H+](Cc1c(F)cc(C(F)(F)F)cc1F)C1CC1 ZINC001237703726 1131130744 /nfs/dbraw/zinc/13/07/44/1131130744.db2.gz APUJVFLVUMXHHT-UHFFFAOYSA-N 1 2 265.225 3.578 20 0 CHADLO Cc1cccc(F)c1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001116269832 1131137526 /nfs/dbraw/zinc/13/75/26/1131137526.db2.gz NZOVHTDMIWBCOK-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1c(F)cc(C(=O)OC(C)(C)C)cc1F ZINC001237864853 1131145000 /nfs/dbraw/zinc/14/50/00/1131145000.db2.gz IQMVJICRUYRBOL-JTQLQIEISA-N 1 2 297.345 3.514 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1c(F)cc(C(=O)OC(C)(C)C)cc1F ZINC001237864853 1131145003 /nfs/dbraw/zinc/14/50/03/1131145003.db2.gz IQMVJICRUYRBOL-JTQLQIEISA-N 1 2 297.345 3.514 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2Cc2ccccc2Cl)n[nH]1 ZINC001116440498 1131145391 /nfs/dbraw/zinc/14/53/91/1131145391.db2.gz KEASNKXVCSZAQY-OAHLLOKOSA-N 1 2 289.810 3.579 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2Cc2ccccc2Cl)n[nH]1 ZINC001116440498 1131145395 /nfs/dbraw/zinc/14/53/95/1131145395.db2.gz KEASNKXVCSZAQY-OAHLLOKOSA-N 1 2 289.810 3.579 20 0 CHADLO Cc1ccc(N[C@H](C)c2ccc([S@@](C)=O)cc2)c(C)[nH+]1 ZINC001116496132 1131151196 /nfs/dbraw/zinc/15/11/96/1131151196.db2.gz SMQNAQSIHUGEIZ-MPBGBICISA-N 1 2 288.416 3.609 20 0 CHADLO CCOc1cc(F)cc(C[NH+]2Cc3ccccc3C2)c1 ZINC001237915007 1131152248 /nfs/dbraw/zinc/15/22/48/1131152248.db2.gz UKAGAJHMDFNGGS-UHFFFAOYSA-N 1 2 271.335 3.740 20 0 CHADLO Oc1c(F)cc(C[NH+]2CCC3(CCC3)CC2)cc1Cl ZINC001237985371 1131158373 /nfs/dbraw/zinc/15/83/73/1131158373.db2.gz WGBBEHGNGLVTBL-UHFFFAOYSA-N 1 2 283.774 3.951 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CC[C@H]3CCC[C@H]3C2)cc1Cl ZINC001237984063 1131158645 /nfs/dbraw/zinc/15/86/45/1131158645.db2.gz LGYYVFJFDOLWEQ-NEPJUHHUSA-N 1 2 283.774 3.807 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CC[C@H]3CCC[C@H]3C2)cc1Cl ZINC001237984063 1131158646 /nfs/dbraw/zinc/15/86/46/1131158646.db2.gz LGYYVFJFDOLWEQ-NEPJUHHUSA-N 1 2 283.774 3.807 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1cnc(F)cc1Cl ZINC001238025021 1131161988 /nfs/dbraw/zinc/16/19/88/1131161988.db2.gz QCFXDXXXIACKRO-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1cnc(F)cc1Cl ZINC001238025021 1131161991 /nfs/dbraw/zinc/16/19/91/1131161991.db2.gz QCFXDXXXIACKRO-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)OC[C@@H]2C)cc(C)c1Cl ZINC001238093482 1131170426 /nfs/dbraw/zinc/17/04/26/1131170426.db2.gz RIZWWWSMMOPMDZ-QWHCGFSZSA-N 1 2 267.800 3.566 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)OC[C@@H]2C)cc(C)c1Cl ZINC001238093482 1131170428 /nfs/dbraw/zinc/17/04/28/1131170428.db2.gz RIZWWWSMMOPMDZ-QWHCGFSZSA-N 1 2 267.800 3.566 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cc(C)c(Cl)c(C)c1 ZINC001238094911 1131170889 /nfs/dbraw/zinc/17/08/89/1131170889.db2.gz GJCPYUMHTUATHK-HNNXBMFYSA-N 1 2 279.811 3.900 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cc(C)c(Cl)c(C)c1 ZINC001238094911 1131170892 /nfs/dbraw/zinc/17/08/92/1131170892.db2.gz GJCPYUMHTUATHK-HNNXBMFYSA-N 1 2 279.811 3.900 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238144585 1131173700 /nfs/dbraw/zinc/17/37/00/1131173700.db2.gz WBXDGWTYMQZXCJ-AOOOYVTPSA-N 1 2 271.763 3.948 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238144585 1131173705 /nfs/dbraw/zinc/17/37/05/1131173705.db2.gz WBXDGWTYMQZXCJ-AOOOYVTPSA-N 1 2 271.763 3.948 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238141903 1131174136 /nfs/dbraw/zinc/17/41/36/1131174136.db2.gz ARKSARJCCWVSBI-SECBINFHSA-N 1 2 257.736 3.559 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238141903 1131174140 /nfs/dbraw/zinc/17/41/40/1131174140.db2.gz ARKSARJCCWVSBI-SECBINFHSA-N 1 2 257.736 3.559 20 0 CHADLO CCC=CNc1cc2cc(OC)c(OC)cc2c[nH+]1 ZINC001159668472 1131174793 /nfs/dbraw/zinc/17/47/93/1131174793.db2.gz BCOFGBFNPWOJAL-AATRIKPKSA-N 1 2 258.321 3.588 20 0 CHADLO Cc1ncncc1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)s1 ZINC001238170783 1131176223 /nfs/dbraw/zinc/17/62/23/1131176223.db2.gz QRWRUEUMZABEBV-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1ncncc1C[N@H+]1CCC[C@@H]1c1ccc(Cl)s1 ZINC001238170783 1131176229 /nfs/dbraw/zinc/17/62/29/1131176229.db2.gz QRWRUEUMZABEBV-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238155261 1131176479 /nfs/dbraw/zinc/17/64/79/1131176479.db2.gz NMEXZMFBGMLRGV-CYBMUJFWSA-N 1 2 271.763 3.805 20 0 CHADLO CC(C)[C@H]1CCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238155261 1131176482 /nfs/dbraw/zinc/17/64/82/1131176482.db2.gz NMEXZMFBGMLRGV-CYBMUJFWSA-N 1 2 271.763 3.805 20 0 CHADLO C[N@H+](Cc1cncc(C2CC2)c1)Cc1ccc(F)cc1F ZINC001238194702 1131178821 /nfs/dbraw/zinc/17/88/21/1131178821.db2.gz RORMPKSAIPTAIJ-UHFFFAOYSA-N 1 2 288.341 3.869 20 0 CHADLO C[N@@H+](Cc1cncc(C2CC2)c1)Cc1ccc(F)cc1F ZINC001238194702 1131178824 /nfs/dbraw/zinc/17/88/24/1131178824.db2.gz RORMPKSAIPTAIJ-UHFFFAOYSA-N 1 2 288.341 3.869 20 0 CHADLO Cc1nc(N[C@@H](c2ccccc2)C(F)(F)F)cc[nH+]1 ZINC001170881599 1131185646 /nfs/dbraw/zinc/18/56/46/1131185646.db2.gz QTZKPIHVEJEPEH-LBPRGKRZSA-N 1 2 267.254 3.501 20 0 CHADLO CN(C)c1[nH+]cccc1/C=C/c1nc2ccccc2s1 ZINC001117392109 1131187869 /nfs/dbraw/zinc/18/78/69/1131187869.db2.gz NSVFBJRKJHPHQH-MDZDMXLPSA-N 1 2 281.384 3.928 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cnc(-c3ccccc3)s2)no1 ZINC001117670581 1131191073 /nfs/dbraw/zinc/19/10/73/1131191073.db2.gz DMIWRSYUPFFDPC-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO COc1cc(C[NH+]2CC3(C2)CCCCC3)c(Cl)cn1 ZINC001238355480 1131192501 /nfs/dbraw/zinc/19/25/01/1131192501.db2.gz VQDBZOKMJDBOOH-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1cc(OC)ncc1Cl ZINC001238353766 1131193333 /nfs/dbraw/zinc/19/33/33/1131193333.db2.gz ILTHOHJMRSTDIH-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1cc(OC)ncc1Cl ZINC001238353766 1131193337 /nfs/dbraw/zinc/19/33/37/1131193337.db2.gz ILTHOHJMRSTDIH-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCOC[C@@H]2C(C)C)c(Cl)c1 ZINC001238402168 1131196194 /nfs/dbraw/zinc/19/61/94/1131196194.db2.gz FZDMWJZLXSQDLI-MRXNPFEDSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@H+]2CCOC[C@@H]2C(C)C)c(Cl)c1 ZINC001238402168 1131196198 /nfs/dbraw/zinc/19/61/98/1131196198.db2.gz FZDMWJZLXSQDLI-MRXNPFEDSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c(Cl)c1 ZINC001238395479 1131196429 /nfs/dbraw/zinc/19/64/29/1131196429.db2.gz PJNUPLBAMHZCBR-CORIIIEPSA-N 1 2 283.774 3.529 20 0 CHADLO CCOc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c(Cl)c1 ZINC001238395479 1131196433 /nfs/dbraw/zinc/19/64/33/1131196433.db2.gz PJNUPLBAMHZCBR-CORIIIEPSA-N 1 2 283.774 3.529 20 0 CHADLO CCCCOc1ncc(C[N@@H+]2CCC[C@H](F)C2)cc1C ZINC001238489362 1131209405 /nfs/dbraw/zinc/20/94/05/1131209405.db2.gz PHEAANRADFEKTO-HNNXBMFYSA-N 1 2 280.387 3.503 20 0 CHADLO CCCCOc1ncc(C[N@H+]2CCC[C@H](F)C2)cc1C ZINC001238489362 1131209410 /nfs/dbraw/zinc/20/94/10/1131209410.db2.gz PHEAANRADFEKTO-HNNXBMFYSA-N 1 2 280.387 3.503 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3ncccc3C2)cc1C1CC1 ZINC001238510387 1131210227 /nfs/dbraw/zinc/21/02/27/1131210227.db2.gz CTLHRZQZQZOSSJ-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3ncccc3C2)cc1C1CC1 ZINC001238510387 1131210229 /nfs/dbraw/zinc/21/02/29/1131210229.db2.gz CTLHRZQZQZOSSJ-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO Cc1cc(N2CCC[C@H]2[C@H]2CCCOC2)[nH+]c2ccccc12 ZINC001118125312 1131211500 /nfs/dbraw/zinc/21/15/00/1131211500.db2.gz SRBLLLCUFYAUKG-YJBOKZPZSA-N 1 2 296.414 3.939 20 0 CHADLO CC(C)Cn1nccc1C[N@H+](C)Cc1cc2ccccc2[nH]1 ZINC001118200431 1131215128 /nfs/dbraw/zinc/21/51/28/1131215128.db2.gz BZKCXXVLCKSYTE-UHFFFAOYSA-N 1 2 296.418 3.652 20 0 CHADLO CC(C)Cn1nccc1C[N@@H+](C)Cc1cc2ccccc2[nH]1 ZINC001118200431 1131215133 /nfs/dbraw/zinc/21/51/33/1131215133.db2.gz BZKCXXVLCKSYTE-UHFFFAOYSA-N 1 2 296.418 3.652 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)c1 ZINC001238561299 1131215984 /nfs/dbraw/zinc/21/59/84/1131215984.db2.gz SVJOTKLSKZKDAO-GDBMZVCRSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)c1 ZINC001238561299 1131215986 /nfs/dbraw/zinc/21/59/86/1131215986.db2.gz SVJOTKLSKZKDAO-GDBMZVCRSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCc3ncsc3C2)c1 ZINC001238568086 1131216334 /nfs/dbraw/zinc/21/63/34/1131216334.db2.gz BLAIAAWFMGZEBP-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCc3ncsc3C2)c1 ZINC001238568086 1131216340 /nfs/dbraw/zinc/21/63/40/1131216340.db2.gz BLAIAAWFMGZEBP-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@H]1COCC[N@@H+]1Cc1ccc(F)c(-c2ccccc2)c1 ZINC001238560128 1131216644 /nfs/dbraw/zinc/21/66/44/1131216644.db2.gz HUGWZWHWADNZRL-AWEZNQCLSA-N 1 2 285.362 3.713 20 0 CHADLO C[C@H]1COCC[N@H+]1Cc1ccc(F)c(-c2ccccc2)c1 ZINC001238560128 1131216647 /nfs/dbraw/zinc/21/66/47/1131216647.db2.gz HUGWZWHWADNZRL-AWEZNQCLSA-N 1 2 285.362 3.713 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001238672501 1131229404 /nfs/dbraw/zinc/22/94/04/1131229404.db2.gz RSCZYAWCGOYNKX-BXKDBHETSA-N 1 2 273.804 3.994 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001238672501 1131229405 /nfs/dbraw/zinc/22/94/05/1131229405.db2.gz RSCZYAWCGOYNKX-BXKDBHETSA-N 1 2 273.804 3.994 20 0 CHADLO CN(C)c1cc[nH+]c(NC(=O)c2cccc(C(C)(C)C)c2)c1 ZINC001137800211 1131417893 /nfs/dbraw/zinc/41/78/93/1131417893.db2.gz XXTZHKOWCCNDOA-UHFFFAOYSA-N 1 2 297.402 3.697 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c(Br)c1 ZINC001137804569 1131418043 /nfs/dbraw/zinc/41/80/43/1131418043.db2.gz SISDNNOYJDBGFU-SGMGOOAPSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c(Br)c1 ZINC001137804569 1131418044 /nfs/dbraw/zinc/41/80/44/1131418044.db2.gz SISDNNOYJDBGFU-SGMGOOAPSA-N 1 2 298.199 3.547 20 0 CHADLO CC(C)[N@H+](Cc1ccccn1)Cc1ccnc(Cl)c1F ZINC000823413765 1131423569 /nfs/dbraw/zinc/42/35/69/1131423569.db2.gz LQBFOTJVGBOQJF-UHFFFAOYSA-N 1 2 293.773 3.680 20 0 CHADLO CC(C)[N@@H+](Cc1ccccn1)Cc1ccnc(Cl)c1F ZINC000823413765 1131423571 /nfs/dbraw/zinc/42/35/71/1131423571.db2.gz LQBFOTJVGBOQJF-UHFFFAOYSA-N 1 2 293.773 3.680 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2cc(F)ncc2F)cc1 ZINC000823387403 1131425138 /nfs/dbraw/zinc/42/51/38/1131425138.db2.gz ZNJIXUVZYAIIPU-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2cc(F)ncc2F)cc1 ZINC000823387403 1131425141 /nfs/dbraw/zinc/42/51/41/1131425141.db2.gz ZNJIXUVZYAIIPU-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1cc(F)ncc1F)C1CC1 ZINC000823399532 1131425324 /nfs/dbraw/zinc/42/53/24/1131425324.db2.gz IMKVXLWPNYQMFG-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1cc(F)ncc1F)C1CC1 ZINC000823399532 1131425328 /nfs/dbraw/zinc/42/53/28/1131425328.db2.gz IMKVXLWPNYQMFG-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1cc(F)cc(F)c1F ZINC001137867389 1131426336 /nfs/dbraw/zinc/42/63/36/1131426336.db2.gz TXFOFWBNELNUIP-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1cc(F)cc(F)c1F ZINC001137867389 1131426338 /nfs/dbraw/zinc/42/63/38/1131426338.db2.gz TXFOFWBNELNUIP-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cc(F)cc(F)c2F)c1 ZINC001137872266 1131427093 /nfs/dbraw/zinc/42/70/93/1131427093.db2.gz IZAWUSANUCBTEW-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cc(F)cc(F)c2F)c1 ZINC001137872266 1131427094 /nfs/dbraw/zinc/42/70/94/1131427094.db2.gz IZAWUSANUCBTEW-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1C[C@H]2CC[C@@H]1C2 ZINC001137883021 1131428693 /nfs/dbraw/zinc/42/86/93/1131428693.db2.gz PSWBYYUUZIJXRT-DTWKUNHWSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1C[C@H]2CC[C@@H]1C2 ZINC001137883021 1131428697 /nfs/dbraw/zinc/42/86/97/1131428697.db2.gz PSWBYYUUZIJXRT-DTWKUNHWSA-N 1 2 257.711 3.603 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(Cl)c1F)C[C@H]1CCCCO1 ZINC001137883094 1131429245 /nfs/dbraw/zinc/42/92/45/1131429245.db2.gz SULNBQPBGOGPRI-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO C[N@H+](Cc1c(F)ccc(Cl)c1F)C[C@H]1CCCCO1 ZINC001137883094 1131429248 /nfs/dbraw/zinc/42/92/48/1131429248.db2.gz SULNBQPBGOGPRI-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1)C1CCC1 ZINC000823554693 1131433656 /nfs/dbraw/zinc/43/36/56/1131433656.db2.gz MTVYYVOKZKLQJP-KBXCAEBGSA-N 1 2 288.435 3.902 20 0 CHADLO Cc1ccc(SCc2cc[nH+]c(N(C)C)c2)s1 ZINC000830057986 1131443714 /nfs/dbraw/zinc/44/37/14/1131443714.db2.gz XEFBKDDLQAXUJG-UHFFFAOYSA-N 1 2 264.419 3.810 20 0 CHADLO CCc1cc(C[NH2+]Cc2cc(Cl)sc2Cl)[nH]n1 ZINC000823860975 1131445033 /nfs/dbraw/zinc/44/50/33/1131445033.db2.gz RNTGUCDWNIPMEU-UHFFFAOYSA-N 1 2 290.219 3.630 20 0 CHADLO COc1ccc(F)c(C[N@@H+]2Cc3cccc(C)c3C2)c1 ZINC001137991280 1131447186 /nfs/dbraw/zinc/44/71/86/1131447186.db2.gz CKJWUWBFKHRDNE-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(F)c(C[N@H+]2Cc3cccc(C)c3C2)c1 ZINC001137991280 1131447188 /nfs/dbraw/zinc/44/71/88/1131447188.db2.gz CKJWUWBFKHRDNE-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCCC23CC3)c1Cl ZINC001137999789 1131449153 /nfs/dbraw/zinc/44/91/53/1131449153.db2.gz YCYVBHHRRGOQQU-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCCC23CC3)c1Cl ZINC001137999789 1131449156 /nfs/dbraw/zinc/44/91/56/1131449156.db2.gz YCYVBHHRRGOQQU-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1ccc(O)c3ccccc13)C2 ZINC001138061298 1131460652 /nfs/dbraw/zinc/46/06/52/1131460652.db2.gz JKPPADNRPXCVOJ-UHFFFAOYSA-N 1 2 290.366 3.765 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1ccc(O)c3ccccc13)C2 ZINC001138061298 1131460655 /nfs/dbraw/zinc/46/06/55/1131460655.db2.gz JKPPADNRPXCVOJ-UHFFFAOYSA-N 1 2 290.366 3.765 20 0 CHADLO Fc1ccc(C(F)(F)F)c(C[NH+]2CC3(CCC3)C2)c1 ZINC001138087759 1131463577 /nfs/dbraw/zinc/46/35/77/1131463577.db2.gz JPNLZLMAILEPBW-UHFFFAOYSA-N 1 2 273.273 3.830 20 0 CHADLO C[C@@]1(C2CCCCC2)CCN1C(=O)c1cccc2[nH+]ccn21 ZINC000831201844 1131479370 /nfs/dbraw/zinc/47/93/70/1131479370.db2.gz LMXPCPOXZQMVBO-SFHVURJKSA-N 1 2 297.402 3.519 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138236338 1131480611 /nfs/dbraw/zinc/48/06/11/1131480611.db2.gz WAYUVMPPNCYIPK-SECBINFHSA-N 1 2 275.289 3.865 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138236338 1131480612 /nfs/dbraw/zinc/48/06/12/1131480612.db2.gz WAYUVMPPNCYIPK-SECBINFHSA-N 1 2 275.289 3.865 20 0 CHADLO C[C@@H](CCNC(=O)c1cc2c[nH+]ccc2[nH]1)CC(C)(C)C ZINC001138250203 1131482317 /nfs/dbraw/zinc/48/23/17/1131482317.db2.gz RHOKYOGGZDDXMP-LBPRGKRZSA-N 1 2 287.407 3.755 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(SC)c(F)c2)co1 ZINC000825061968 1131496621 /nfs/dbraw/zinc/49/66/21/1131496621.db2.gz MCRYBYPAGXDSLZ-SNVBAGLBSA-N 1 2 294.395 3.949 20 0 CHADLO CCc1nc(C[NH2+]Cc2c(C)oc3ccccc32)co1 ZINC000825058310 1131496791 /nfs/dbraw/zinc/49/67/91/1131496791.db2.gz VOZYRZBOCUXVGO-UHFFFAOYSA-N 1 2 270.332 3.581 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001138409750 1131500231 /nfs/dbraw/zinc/50/02/31/1131500231.db2.gz FWDZIMKQOVEUBX-CVEARBPZSA-N 1 2 296.336 3.887 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001138409750 1131500236 /nfs/dbraw/zinc/50/02/36/1131500236.db2.gz FWDZIMKQOVEUBX-CVEARBPZSA-N 1 2 296.336 3.887 20 0 CHADLO COc1c(F)cc(C[N@@H+]2CCc3ccccc3C2)cc1F ZINC001138420998 1131502462 /nfs/dbraw/zinc/50/24/62/1131502462.db2.gz VTOAIJFFWGTEFD-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1c(F)cc(C[N@H+]2CCc3ccccc3C2)cc1F ZINC001138420998 1131502466 /nfs/dbraw/zinc/50/24/66/1131502466.db2.gz VTOAIJFFWGTEFD-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO FC(F)(F)c1cnc(C[NH+]2CCC3(CCCC3)CC2)[nH]1 ZINC001137012112 1131506543 /nfs/dbraw/zinc/50/65/43/1131506543.db2.gz RAMWNGFTCWADEE-UHFFFAOYSA-N 1 2 287.329 3.585 20 0 CHADLO COc1c(Cl)cc(C[N@@H+]2CC[C@H]2C)cc1Cl ZINC001138473045 1131510849 /nfs/dbraw/zinc/51/08/49/1131510849.db2.gz NKACEIQFJKBBOE-MRVPVSSYSA-N 1 2 260.164 3.596 20 0 CHADLO COc1c(Cl)cc(C[N@H+]2CC[C@H]2C)cc1Cl ZINC001138473045 1131510852 /nfs/dbraw/zinc/51/08/52/1131510852.db2.gz NKACEIQFJKBBOE-MRVPVSSYSA-N 1 2 260.164 3.596 20 0 CHADLO O=C(/C=C/C1CCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000843524503 1131516589 /nfs/dbraw/zinc/51/65/89/1131516589.db2.gz FGLZSELPOQHXDQ-BJMVGYQFSA-N 1 2 281.359 3.557 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)c(Cl)c2F)CCCO1 ZINC001143626313 1131521142 /nfs/dbraw/zinc/52/11/42/1131521142.db2.gz QCPYKYJAEXPRHQ-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)c(Cl)c2F)CCCO1 ZINC001143626313 1131521147 /nfs/dbraw/zinc/52/11/47/1131521147.db2.gz QCPYKYJAEXPRHQ-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c1 ZINC001143672365 1131523181 /nfs/dbraw/zinc/52/31/81/1131523181.db2.gz DPBKWBBXHVHKLY-VHRBIJSZSA-N 1 2 287.300 3.804 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c1 ZINC001143672365 1131523183 /nfs/dbraw/zinc/52/31/83/1131523183.db2.gz DPBKWBBXHVHKLY-VHRBIJSZSA-N 1 2 287.300 3.804 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccccc1N1CCCC1)C2 ZINC001138611587 1131526933 /nfs/dbraw/zinc/52/69/33/1131526933.db2.gz SJHCRBLKIBMQPR-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccccc1N1CCCC1)C2 ZINC001138611587 1131526936 /nfs/dbraw/zinc/52/69/36/1131526936.db2.gz SJHCRBLKIBMQPR-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO Fc1ccccc1-n1cccc1C[N@H+]1CCC[C@@H](F)C1 ZINC001138632733 1131529811 /nfs/dbraw/zinc/52/98/11/1131529811.db2.gz DGRXMJQHQUCIHG-CYBMUJFWSA-N 1 2 276.330 3.550 20 0 CHADLO Fc1ccccc1-n1cccc1C[N@@H+]1CCC[C@@H](F)C1 ZINC001138632733 1131529815 /nfs/dbraw/zinc/52/98/15/1131529815.db2.gz DGRXMJQHQUCIHG-CYBMUJFWSA-N 1 2 276.330 3.550 20 0 CHADLO CCSc1ncc(C[N@@H+]2CCc3ccccc3[C@H]2C)cn1 ZINC001138650064 1131532558 /nfs/dbraw/zinc/53/25/58/1131532558.db2.gz NXZILBOINNIROZ-CYBMUJFWSA-N 1 2 299.443 3.708 20 0 CHADLO CCSc1ncc(C[N@H+]2CCc3ccccc3[C@H]2C)cn1 ZINC001138650064 1131532562 /nfs/dbraw/zinc/53/25/62/1131532562.db2.gz NXZILBOINNIROZ-CYBMUJFWSA-N 1 2 299.443 3.708 20 0 CHADLO C[N@H+](Cc1cc(F)ccc1Cl)C1CC(F)(F)C1 ZINC001138671381 1131535396 /nfs/dbraw/zinc/53/53/96/1131535396.db2.gz ZBBAGDBJGSLOSP-UHFFFAOYSA-N 1 2 263.690 3.709 20 0 CHADLO C[N@@H+](Cc1cc(F)ccc1Cl)C1CC(F)(F)C1 ZINC001138671381 1131535401 /nfs/dbraw/zinc/53/54/01/1131535401.db2.gz ZBBAGDBJGSLOSP-UHFFFAOYSA-N 1 2 263.690 3.709 20 0 CHADLO CC(C)(C)SCC[N@@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC000832124484 1131540109 /nfs/dbraw/zinc/54/01/09/1131540109.db2.gz MBSWLCAPAGEVMW-HNNXBMFYSA-N 1 2 297.439 3.731 20 0 CHADLO CC(C)(C)SCC[N@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC000832124484 1131540113 /nfs/dbraw/zinc/54/01/13/1131540113.db2.gz MBSWLCAPAGEVMW-HNNXBMFYSA-N 1 2 297.439 3.731 20 0 CHADLO CC(C)(C)SCC[N@@H+]1CCOC[C@@H]1c1ccc(F)cc1 ZINC000832124485 1131540187 /nfs/dbraw/zinc/54/01/87/1131540187.db2.gz MBSWLCAPAGEVMW-OAHLLOKOSA-N 1 2 297.439 3.731 20 0 CHADLO CC(C)(C)SCC[N@H+]1CCOC[C@@H]1c1ccc(F)cc1 ZINC000832124485 1131540189 /nfs/dbraw/zinc/54/01/89/1131540189.db2.gz MBSWLCAPAGEVMW-OAHLLOKOSA-N 1 2 297.439 3.731 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001138718269 1131540336 /nfs/dbraw/zinc/54/03/36/1131540336.db2.gz KZBQEPXTVUEQTA-XJKSGUPXSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001138718269 1131540339 /nfs/dbraw/zinc/54/03/39/1131540339.db2.gz KZBQEPXTVUEQTA-XJKSGUPXSA-N 1 2 291.822 3.982 20 0 CHADLO COc1cc(C)c(C[N@@H+]2Cc3ccncc3C2)c(C)c1C ZINC001138728636 1131542244 /nfs/dbraw/zinc/54/22/44/1131542244.db2.gz IPJKNPLGNOBWTG-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO COc1cc(C)c(C[N@H+]2Cc3ccncc3C2)c(C)c1C ZINC001138728636 1131542249 /nfs/dbraw/zinc/54/22/49/1131542249.db2.gz IPJKNPLGNOBWTG-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO COc1cc(C)c(C[N@H+](C)Cc2nccs2)c(C)c1C ZINC001138725120 1131542776 /nfs/dbraw/zinc/54/27/76/1131542776.db2.gz QLFJLQIPECTSSK-UHFFFAOYSA-N 1 2 290.432 3.709 20 0 CHADLO COc1cc(C)c(C[N@@H+](C)Cc2nccs2)c(C)c1C ZINC001138725120 1131542780 /nfs/dbraw/zinc/54/27/80/1131542780.db2.gz QLFJLQIPECTSSK-UHFFFAOYSA-N 1 2 290.432 3.709 20 0 CHADLO O=C1C[C@H]2CCC[C@@H](C1)[N@H+]2Cc1ccc2occc2c1 ZINC001138824350 1131552790 /nfs/dbraw/zinc/55/27/90/1131552790.db2.gz GPCDMFYQQOWKDO-GASCZTMLSA-N 1 2 269.344 3.519 20 0 CHADLO O=C1C[C@H]2CCC[C@@H](C1)[N@@H+]2Cc1ccc2occc2c1 ZINC001138824350 1131552795 /nfs/dbraw/zinc/55/27/95/1131552795.db2.gz GPCDMFYQQOWKDO-GASCZTMLSA-N 1 2 269.344 3.519 20 0 CHADLO CCOc1ccc(F)c(C[N@H+](C)Cc2ccccc2)c1F ZINC001143929741 1131553067 /nfs/dbraw/zinc/55/30/67/1131553067.db2.gz ZPTQKCOSPLJZHD-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1ccc(F)c(C[N@@H+](C)Cc2ccccc2)c1F ZINC001143929741 1131553070 /nfs/dbraw/zinc/55/30/70/1131553070.db2.gz ZPTQKCOSPLJZHD-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO Cc1c(C[N@@H+]2CC[C@@H](F)C2)cccc1C(F)(F)F ZINC001143921473 1131554875 /nfs/dbraw/zinc/55/48/75/1131554875.db2.gz CQBXSSLOUIMAMF-LLVKDONJSA-N 1 2 261.262 3.558 20 0 CHADLO Cc1c(C[N@H+]2CC[C@@H](F)C2)cccc1C(F)(F)F ZINC001143921473 1131554879 /nfs/dbraw/zinc/55/48/79/1131554879.db2.gz CQBXSSLOUIMAMF-LLVKDONJSA-N 1 2 261.262 3.558 20 0 CHADLO Clc1cccc2c(C[N@@H+]3CCOCC34CCC4)c[nH]c21 ZINC001138833817 1131555184 /nfs/dbraw/zinc/55/51/84/1131555184.db2.gz LGESHHQLZFEJGV-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1cccc2c(C[N@H+]3CCOCC34CCC4)c[nH]c21 ZINC001138833817 1131555186 /nfs/dbraw/zinc/55/51/86/1131555186.db2.gz LGESHHQLZFEJGV-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO CCc1cccc(NC(=O)Nc2cccc3[nH+]c(C)cn32)c1 ZINC001202874970 1131559071 /nfs/dbraw/zinc/55/90/71/1131559071.db2.gz BEYPLZVBKKLCBD-UHFFFAOYSA-N 1 2 294.358 3.849 20 0 CHADLO CCc1cccc(NC(=O)Nc2cccc3[nH+]ccn32)c1 ZINC001202875778 1131559520 /nfs/dbraw/zinc/55/95/20/1131559520.db2.gz QWEASXYMCWQIJN-UHFFFAOYSA-N 1 2 280.331 3.541 20 0 CHADLO CC(C)c1ccc(N(C)C(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000844429550 1131562907 /nfs/dbraw/zinc/56/29/07/1131562907.db2.gz SCLWBKDWSZQCLR-CQSZACIVSA-N 1 2 285.391 3.621 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1c(F)cc(Cl)cc1F ZINC001139047562 1131576353 /nfs/dbraw/zinc/57/63/53/1131576353.db2.gz MEKYPQKSJOHVAC-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1c(F)cc(Cl)cc1F ZINC001139047562 1131576356 /nfs/dbraw/zinc/57/63/56/1131576356.db2.gz MEKYPQKSJOHVAC-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CC[N@H+](Cc1ccccc1Oc1ccccc1)C1COC1 ZINC001139056557 1131577452 /nfs/dbraw/zinc/57/74/52/1131577452.db2.gz XAGUHDMAFIIFBF-UHFFFAOYSA-N 1 2 283.371 3.700 20 0 CHADLO CC[N@@H+](Cc1ccccc1Oc1ccccc1)C1COC1 ZINC001139056557 1131577455 /nfs/dbraw/zinc/57/74/55/1131577455.db2.gz XAGUHDMAFIIFBF-UHFFFAOYSA-N 1 2 283.371 3.700 20 0 CHADLO CCCN(C(=O)C[C@@H](C)n1cc[nH+]c1)c1ccc(CC)cc1 ZINC000844555929 1131577998 /nfs/dbraw/zinc/57/79/98/1131577998.db2.gz JKCXOGZTBKZSRL-OAHLLOKOSA-N 1 2 299.418 3.840 20 0 CHADLO Cc1c(O)cccc1C[N@@H+](C)Cc1cccc(F)c1F ZINC001144121790 1131587787 /nfs/dbraw/zinc/58/77/87/1131587787.db2.gz QZZNSMRKEDOSEL-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1c(O)cccc1C[N@H+](C)Cc1cccc(F)c1F ZINC001144121790 1131587792 /nfs/dbraw/zinc/58/77/92/1131587792.db2.gz QZZNSMRKEDOSEL-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Fc1cc(F)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@H]3F)cc1Cl ZINC001144129370 1131590758 /nfs/dbraw/zinc/59/07/58/1131590758.db2.gz FYKQHBMQYUQTAF-OVYXKVPISA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@H]3F)cc1Cl ZINC001144129370 1131590762 /nfs/dbraw/zinc/59/07/62/1131590762.db2.gz FYKQHBMQYUQTAF-OVYXKVPISA-N 1 2 275.701 3.551 20 0 CHADLO C[N@H+](Cc1n[nH]c2ccc(F)cc21)[C@H]1CCc2ccccc21 ZINC001144205990 1131600345 /nfs/dbraw/zinc/60/03/45/1131600345.db2.gz SWRPUALEOOTNBD-SFHVURJKSA-N 1 2 295.361 3.821 20 0 CHADLO C[N@@H+](Cc1n[nH]c2ccc(F)cc21)[C@H]1CCc2ccccc21 ZINC001144205990 1131600348 /nfs/dbraw/zinc/60/03/48/1131600348.db2.gz SWRPUALEOOTNBD-SFHVURJKSA-N 1 2 295.361 3.821 20 0 CHADLO Oc1ccc2cc(C[N@@H+]3CCC(F)(F)[C@@H](F)C3)ccc2c1 ZINC001139337170 1131602623 /nfs/dbraw/zinc/60/26/23/1131602623.db2.gz LMHJLQUACBZZGI-HNNXBMFYSA-N 1 2 295.304 3.725 20 0 CHADLO Oc1ccc2cc(C[N@H+]3CCC(F)(F)[C@@H](F)C3)ccc2c1 ZINC001139337170 1131602624 /nfs/dbraw/zinc/60/26/24/1131602624.db2.gz LMHJLQUACBZZGI-HNNXBMFYSA-N 1 2 295.304 3.725 20 0 CHADLO FC1(F)C[C@]12CC[N@H+](Cc1cc(Cl)cnc1Cl)C2 ZINC001139355832 1131604185 /nfs/dbraw/zinc/60/41/85/1131604185.db2.gz ZDVQPDVKEXNVKR-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@]12CC[N@@H+](Cc1cc(Cl)cnc1Cl)C2 ZINC001139355832 1131604186 /nfs/dbraw/zinc/60/41/86/1131604186.db2.gz ZDVQPDVKEXNVKR-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccc(-n3ccnc3)cc1)C2 ZINC001139361919 1131604855 /nfs/dbraw/zinc/60/48/55/1131604855.db2.gz DSBMSOYHQXXIJL-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccc(-n3ccnc3)cc1)C2 ZINC001139361919 1131604857 /nfs/dbraw/zinc/60/48/57/1131604857.db2.gz DSBMSOYHQXXIJL-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Cc1ccc(C[N@H+](C)CC(=O)c2ccccc2F)cc1C ZINC001171155583 1131608540 /nfs/dbraw/zinc/60/85/40/1131608540.db2.gz GVTBVUHFWWGPEP-UHFFFAOYSA-N 1 2 285.362 3.757 20 0 CHADLO Cc1ccc(C[N@@H+](C)CC(=O)c2ccccc2F)cc1C ZINC001171155583 1131608542 /nfs/dbraw/zinc/60/85/42/1131608542.db2.gz GVTBVUHFWWGPEP-UHFFFAOYSA-N 1 2 285.362 3.757 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1ccc(O)cn1 ZINC001144263276 1131609170 /nfs/dbraw/zinc/60/91/70/1131609170.db2.gz RUNCTKOYYUJLDH-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1ccc(O)cn1 ZINC001144263276 1131609172 /nfs/dbraw/zinc/60/91/72/1131609172.db2.gz RUNCTKOYYUJLDH-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@](C)(F)C2)cnc1Cl ZINC001139541241 1131614736 /nfs/dbraw/zinc/61/47/36/1131614736.db2.gz QDFKAZQCEPMYRA-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@](C)(F)C2)cnc1Cl ZINC001139541241 1131614740 /nfs/dbraw/zinc/61/47/40/1131614740.db2.gz QDFKAZQCEPMYRA-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC001139553221 1131615859 /nfs/dbraw/zinc/61/58/59/1131615859.db2.gz PEMGRCUOLXVSJL-KRWDZBQOSA-N 1 2 287.382 3.791 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC001139553221 1131615860 /nfs/dbraw/zinc/61/58/60/1131615860.db2.gz PEMGRCUOLXVSJL-KRWDZBQOSA-N 1 2 287.382 3.791 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCCC(=O)[C@@H](C)C2)cc1Cl ZINC001139592829 1131619240 /nfs/dbraw/zinc/61/92/40/1131619240.db2.gz IWRHGGFUCRZMBH-LBPRGKRZSA-N 1 2 295.810 3.540 20 0 CHADLO CCOc1ccc(C[N@H+]2CCCC(=O)[C@@H](C)C2)cc1Cl ZINC001139592829 1131619242 /nfs/dbraw/zinc/61/92/42/1131619242.db2.gz IWRHGGFUCRZMBH-LBPRGKRZSA-N 1 2 295.810 3.540 20 0 CHADLO Cc1nc(C[N@H+](C)CCC(=O)c2cccs2)sc1C ZINC001144374918 1131622387 /nfs/dbraw/zinc/62/23/87/1131622387.db2.gz HAFSVGVIOXSHCC-UHFFFAOYSA-N 1 2 294.445 3.526 20 0 CHADLO Cc1nc(C[N@@H+](C)CCC(=O)c2cccs2)sc1C ZINC001144374918 1131622391 /nfs/dbraw/zinc/62/23/91/1131622391.db2.gz HAFSVGVIOXSHCC-UHFFFAOYSA-N 1 2 294.445 3.526 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2nccs2)cc1CC ZINC001139624150 1131624334 /nfs/dbraw/zinc/62/43/34/1131624334.db2.gz RVDNZGODRJEYJI-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2nccs2)cc1CC ZINC001139624150 1131624338 /nfs/dbraw/zinc/62/43/38/1131624338.db2.gz RVDNZGODRJEYJI-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO C[C@@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1ccc(Cl)cc1 ZINC000845282693 1131624430 /nfs/dbraw/zinc/62/44/30/1131624430.db2.gz VBWMAXKQTBRMKS-NWDGAFQWSA-N 1 2 292.766 3.792 20 0 CHADLO CCc1nc(SCc2cc[nH+]c(N(C)C)c2)sc1C ZINC000845326974 1131626118 /nfs/dbraw/zinc/62/61/18/1131626118.db2.gz PWTQLGXOVATJMS-UHFFFAOYSA-N 1 2 293.461 3.767 20 0 CHADLO C[N@H+](Cc1cnc2cccnc2c1)Cc1ccc(F)cc1F ZINC001139743892 1131634228 /nfs/dbraw/zinc/63/42/28/1131634228.db2.gz UJKVCXIRUGVLKV-UHFFFAOYSA-N 1 2 299.324 3.540 20 0 CHADLO C[N@@H+](Cc1cnc2cccnc2c1)Cc1ccc(F)cc1F ZINC001139743892 1131634231 /nfs/dbraw/zinc/63/42/31/1131634231.db2.gz UJKVCXIRUGVLKV-UHFFFAOYSA-N 1 2 299.324 3.540 20 0 CHADLO Clc1cnccc1C[N@@H+]1CCSc2ccccc2C1 ZINC001139850129 1131641359 /nfs/dbraw/zinc/64/13/59/1131641359.db2.gz YCUGUEDGIOXBJX-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1cnccc1C[N@H+]1CCSc2ccccc2C1 ZINC001139850129 1131641362 /nfs/dbraw/zinc/64/13/62/1131641362.db2.gz YCUGUEDGIOXBJX-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Cn1ncc2ccc(C[N@@H+]3Cc4cccc(Cl)c4C3)cc21 ZINC001139908092 1131650005 /nfs/dbraw/zinc/65/00/05/1131650005.db2.gz ZDSYXYZSFSDSIQ-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1ncc2ccc(C[N@H+]3Cc4cccc(Cl)c4C3)cc21 ZINC001139908092 1131650006 /nfs/dbraw/zinc/65/00/06/1131650006.db2.gz ZDSYXYZSFSDSIQ-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO COc1c(Cl)cccc1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC001140061982 1131659984 /nfs/dbraw/zinc/65/99/84/1131659984.db2.gz FVBJNSFEJYBXIA-LBPRGKRZSA-N 1 2 290.794 3.727 20 0 CHADLO COc1c(Cl)cccc1C[N@H+]1CCn2cccc2[C@@H]1C ZINC001140061982 1131659986 /nfs/dbraw/zinc/65/99/86/1131659986.db2.gz FVBJNSFEJYBXIA-LBPRGKRZSA-N 1 2 290.794 3.727 20 0 CHADLO COc1c(Cl)cccc1C[N@@H+]1CCCC[C@H](F)C1 ZINC001140057535 1131660092 /nfs/dbraw/zinc/66/00/92/1131660092.db2.gz YAMOMTJXFPRYNF-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO COc1c(Cl)cccc1C[N@H+]1CCCC[C@H](F)C1 ZINC001140057535 1131660095 /nfs/dbraw/zinc/66/00/95/1131660095.db2.gz YAMOMTJXFPRYNF-LBPRGKRZSA-N 1 2 271.763 3.673 20 0 CHADLO Oc1ccc(C[N@@H+]2CCc3c(F)cccc3C2)c(Cl)c1 ZINC001140084342 1131662807 /nfs/dbraw/zinc/66/28/07/1131662807.db2.gz XJRNJVWJJKHRKU-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc(C[N@H+]2CCc3c(F)cccc3C2)c(Cl)c1 ZINC001140084342 1131662810 /nfs/dbraw/zinc/66/28/10/1131662810.db2.gz XJRNJVWJJKHRKU-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO C[N@H+](Cc1cc(C(F)(F)F)ccn1)Cc1ccccc1F ZINC001140108403 1131664459 /nfs/dbraw/zinc/66/44/59/1131664459.db2.gz WIOYUOVVLNOEKM-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cc(C(F)(F)F)ccn1)Cc1ccccc1F ZINC001140108403 1131664460 /nfs/dbraw/zinc/66/44/60/1131664460.db2.gz WIOYUOVVLNOEKM-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO CN(CCc1ccccc1Cl)Cc1[nH+]cn2ccccc12 ZINC001144624277 1131667183 /nfs/dbraw/zinc/66/71/83/1131667183.db2.gz BYPSQDFKFILAJD-UHFFFAOYSA-N 1 2 299.805 3.662 20 0 CHADLO CC[C@@H]1c2ccccc2CCN1Cc1[nH+]cn2ccccc12 ZINC001144625468 1131668202 /nfs/dbraw/zinc/66/82/02/1131668202.db2.gz NAQZUDXUCBSPGU-GOSISDBHSA-N 1 2 291.398 3.844 20 0 CHADLO c1cnc2c(c1)C[N@@H+](Cc1ccc(-c3ccncc3)cc1)C2 ZINC001140167951 1131670394 /nfs/dbraw/zinc/67/03/94/1131670394.db2.gz NBNOCABRBLEGJB-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1cnc2c(c1)C[N@H+](Cc1ccc(-c3ccncc3)cc1)C2 ZINC001140167951 1131670396 /nfs/dbraw/zinc/67/03/96/1131670396.db2.gz NBNOCABRBLEGJB-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO CCN(Cc1[nH+]cn2ccccc12)Cc1ccc(C)c(C)c1 ZINC001144627919 1131671014 /nfs/dbraw/zinc/67/10/14/1131671014.db2.gz ZZINXOVEIIBTGP-UHFFFAOYSA-N 1 2 293.414 3.973 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)CSC(C)(C)C)c1 ZINC000846117315 1131688223 /nfs/dbraw/zinc/68/82/23/1131688223.db2.gz MMNQNAGHEQEGCG-NSHDSACASA-N 1 2 268.426 3.560 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc3scnc3c2)C[C@@H]1F ZINC001144733042 1131696896 /nfs/dbraw/zinc/69/68/96/1131696896.db2.gz UXHQFYGGDVKUEL-UONOGXRCSA-N 1 2 282.359 3.568 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc3scnc3c2)C[C@@H]1F ZINC001144733042 1131696897 /nfs/dbraw/zinc/69/68/97/1131696897.db2.gz UXHQFYGGDVKUEL-UONOGXRCSA-N 1 2 282.359 3.568 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2C[C@H](OC)C23CCC3)c1 ZINC000834733362 1131699106 /nfs/dbraw/zinc/69/91/06/1131699106.db2.gz GEBTVIJDMYICNP-KBPBESRZSA-N 1 2 292.448 3.704 20 0 CHADLO Cc1ccc2[nH]nc(C[N@H+](C)Cc3ccsc3)c2c1 ZINC001140330434 1131700517 /nfs/dbraw/zinc/70/05/17/1131700517.db2.gz ZZBOWEPRDSFCKW-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc2[nH]nc(C[N@@H+](C)Cc3ccsc3)c2c1 ZINC001140330434 1131700519 /nfs/dbraw/zinc/70/05/19/1131700519.db2.gz ZZBOWEPRDSFCKW-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc2[nH]nc(C[N@H+](C)Cc3cccs3)c2c1 ZINC001140331046 1131700558 /nfs/dbraw/zinc/70/05/58/1131700558.db2.gz UNDYADCBIQSYFL-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc2[nH]nc(C[N@@H+](C)Cc3cccs3)c2c1 ZINC001140331046 1131700559 /nfs/dbraw/zinc/70/05/59/1131700559.db2.gz UNDYADCBIQSYFL-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccc2scnc2c1 ZINC001144743649 1131703553 /nfs/dbraw/zinc/70/35/53/1131703553.db2.gz UKPXKMOSLACHSN-LBPRGKRZSA-N 1 2 283.400 3.675 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccc2scnc2c1 ZINC001144743649 1131703556 /nfs/dbraw/zinc/70/35/56/1131703556.db2.gz UKPXKMOSLACHSN-LBPRGKRZSA-N 1 2 283.400 3.675 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)CCc2[nH]cc[nH+]2)c(C)c1 ZINC000846301786 1131705859 /nfs/dbraw/zinc/70/58/59/1131705859.db2.gz ZACNNXKAACSMOD-UHFFFAOYSA-N 1 2 299.418 3.648 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCn2ccnc21)c1ccc(-c2ccccc2)o1 ZINC000834837037 1131710444 /nfs/dbraw/zinc/71/04/44/1131710444.db2.gz RHLARWPYVGRTMP-HIFRSBDPSA-N 1 2 293.370 3.939 20 0 CHADLO Fc1c(Cl)cccc1Nc1cccn2cc[nH+]c12 ZINC001203032747 1131713647 /nfs/dbraw/zinc/71/36/47/1131713647.db2.gz UYVVCVZUINFYTI-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(OC)c(Cl)c2)co1 ZINC000834899861 1131724270 /nfs/dbraw/zinc/72/42/70/1131724270.db2.gz LGJNEHAXLQPSTE-JTQLQIEISA-N 1 2 294.782 3.750 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CC3(CCC3)Oc3ccccc32)co1 ZINC000834899519 1131724386 /nfs/dbraw/zinc/72/43/86/1131724386.db2.gz ICFQKPPEMDPWMX-OAHLLOKOSA-N 1 2 298.386 3.773 20 0 CHADLO COc1ccc([NH2+][C@H]2CCC[C@@H](SC)C2)c(OC)c1 ZINC000846749531 1131727834 /nfs/dbraw/zinc/72/78/34/1131727834.db2.gz FEWZKVFQSXNQPS-WCQYABFASA-N 1 2 281.421 3.790 20 0 CHADLO Clc1cccc2[nH]cc(C[N@@H+]3CCOCC34CCC4)c21 ZINC001140446853 1131740011 /nfs/dbraw/zinc/74/00/11/1131740011.db2.gz OZIYZEJXFHXVIL-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1cccc2[nH]cc(C[N@H+]3CCOCC34CCC4)c21 ZINC001140446853 1131740017 /nfs/dbraw/zinc/74/00/17/1131740017.db2.gz OZIYZEJXFHXVIL-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Cc1ccccc1[C@H](CC(C)C)[NH2+]Cc1cnsn1 ZINC000846843838 1131748483 /nfs/dbraw/zinc/74/84/83/1131748483.db2.gz IKUCDBDEOCWSJK-HNNXBMFYSA-N 1 2 275.421 3.724 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cnc(Cl)c(F)c2)c1 ZINC000846878765 1131753940 /nfs/dbraw/zinc/75/39/40/1131753940.db2.gz SKGHOTOFBBDWGS-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cnc(Cl)c(F)c2)c1 ZINC000846878765 1131753946 /nfs/dbraw/zinc/75/39/46/1131753946.db2.gz SKGHOTOFBBDWGS-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Oc1cccc(C[NH+]2CC3(C2)CCCC3)c1Br ZINC001140547858 1131788572 /nfs/dbraw/zinc/78/85/72/1131788572.db2.gz RVHNZIJKXJKKDT-UHFFFAOYSA-N 1 2 296.208 3.531 20 0 CHADLO CC(C)(C)CCOC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835193456 1131790102 /nfs/dbraw/zinc/79/01/02/1131790102.db2.gz RLZVUVVVMAFYDO-UHFFFAOYSA-N 1 2 286.375 3.524 20 0 CHADLO Fc1cccc(C[N@H+]2CCCC[C@H](F)C2)c1Cl ZINC001140560356 1131793702 /nfs/dbraw/zinc/79/37/02/1131793702.db2.gz ZBEGQYIIFNWMBC-NSHDSACASA-N 1 2 259.727 3.803 20 0 CHADLO Fc1cccc(C[N@@H+]2CCCC[C@H](F)C2)c1Cl ZINC001140560356 1131793705 /nfs/dbraw/zinc/79/37/05/1131793705.db2.gz ZBEGQYIIFNWMBC-NSHDSACASA-N 1 2 259.727 3.803 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(F)c2Cl)cn1 ZINC001140564247 1131795578 /nfs/dbraw/zinc/79/55/78/1131795578.db2.gz YFQHCMVXWXAYDF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(F)c2Cl)cn1 ZINC001140564247 1131795584 /nfs/dbraw/zinc/79/55/84/1131795584.db2.gz YFQHCMVXWXAYDF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3ccc(Cl)cc3C2)ccc1O ZINC001140577237 1131803532 /nfs/dbraw/zinc/80/35/32/1131803532.db2.gz DZTICCPYUXYYHY-UHFFFAOYSA-N 1 2 273.763 3.870 20 0 CHADLO Cc1cc(C[N@H+]2Cc3ccc(Cl)cc3C2)ccc1O ZINC001140577237 1131803534 /nfs/dbraw/zinc/80/35/34/1131803534.db2.gz DZTICCPYUXYYHY-UHFFFAOYSA-N 1 2 273.763 3.870 20 0 CHADLO COc1cc2c(cc1O[C@H](C)c1ccc(F)cc1)C=[NH+]CC2 ZINC001228089031 1131805564 /nfs/dbraw/zinc/80/55/64/1131805564.db2.gz JQDISNOEAIXVRO-GFCCVEGCSA-N 1 2 299.345 3.949 20 0 CHADLO COc1cc2c(cc1O[C@H](C)c1ccc(C)nc1)C=[NH+]CC2 ZINC001228086854 1131806528 /nfs/dbraw/zinc/80/65/28/1131806528.db2.gz CAMXIKSCIHWHIY-CYBMUJFWSA-N 1 2 296.370 3.514 20 0 CHADLO Cc1ccsc1C(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001147113067 1131810185 /nfs/dbraw/zinc/81/01/85/1131810185.db2.gz BOLPMFYMZFSACI-UHFFFAOYSA-N 1 2 297.383 3.554 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)[C@H]1CO ZINC001140585163 1131810407 /nfs/dbraw/zinc/81/04/07/1131810407.db2.gz WOXXEDMISOGFHL-WPRPVWTQSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)[C@H]1CO ZINC001140585163 1131810413 /nfs/dbraw/zinc/81/04/13/1131810413.db2.gz WOXXEDMISOGFHL-WPRPVWTQSA-N 1 2 294.247 3.648 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3cccc(F)c3C2)c(C)c1 ZINC001140678082 1131856279 /nfs/dbraw/zinc/85/62/79/1131856279.db2.gz NUFIMYQEWCJFLR-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(C[N@H+]2Cc3cccc(F)c3C2)c(C)c1 ZINC001140678082 1131856287 /nfs/dbraw/zinc/85/62/87/1131856287.db2.gz NUFIMYQEWCJFLR-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO CCCC(=O)[C@@H](CCC)Oc1ccc2[nH]c(C)[nH+]c2c1 ZINC001228325623 1131859852 /nfs/dbraw/zinc/85/98/52/1131859852.db2.gz BPJNLGOHRCNROW-MRXNPFEDSA-N 1 2 274.364 3.788 20 0 CHADLO Cc1cc(Br)c(F)cc1C[NH+]1CC2(CCC2)C1 ZINC001140791292 1131919429 /nfs/dbraw/zinc/91/94/29/1131919429.db2.gz HCBNPJNYCYOFAS-UHFFFAOYSA-N 1 2 298.199 3.883 20 0 CHADLO Cc1cc(C)c(CNC(=O)NC2CCCCCCC2)c[nH+]1 ZINC000836197330 1131951527 /nfs/dbraw/zinc/95/15/27/1131951527.db2.gz PKWNCNZNSHRBSO-UHFFFAOYSA-N 1 2 289.423 3.611 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cc1)Cc1ccc(O)c(F)c1F ZINC001140893754 1131960304 /nfs/dbraw/zinc/96/03/04/1131960304.db2.gz HFNVDFGGGNWUDL-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cc1)Cc1ccc(O)c(F)c1F ZINC001140893754 1131960313 /nfs/dbraw/zinc/96/03/13/1131960313.db2.gz HFNVDFGGGNWUDL-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1cc(F)c(O)c(F)c1 ZINC001140990698 1131978862 /nfs/dbraw/zinc/97/88/62/1131978862.db2.gz NKIXZRRYEVKYPM-LLVKDONJSA-N 1 2 289.325 3.617 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1cc(F)c(O)c(F)c1 ZINC001140990698 1131978869 /nfs/dbraw/zinc/97/88/69/1131978869.db2.gz NKIXZRRYEVKYPM-LLVKDONJSA-N 1 2 289.325 3.617 20 0 CHADLO Cc1nnc(-c2ccc(Nc3[nH+]cc(C)cc3C)cc2)o1 ZINC001203088230 1131989208 /nfs/dbraw/zinc/98/92/08/1131989208.db2.gz IVJNQCMHKJRJJV-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1ccncc1 ZINC000037102337 1131994501 /nfs/dbraw/zinc/99/45/01/1131994501.db2.gz UTFVABHHTILHNZ-CQSZACIVSA-N 1 2 267.376 3.855 20 0 CHADLO CCCn1cc([C@H](C)[NH2+][C@@H](C)c2ccccc2Cl)nn1 ZINC000715877905 1131994846 /nfs/dbraw/zinc/99/48/46/1131994846.db2.gz POIPPYITDSZGRL-RYUDHWBXSA-N 1 2 292.814 3.753 20 0 CHADLO C[C@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1ccns1 ZINC000716023734 1132001390 /nfs/dbraw/zinc/00/13/90/1132001390.db2.gz YRXLAAQBMGQIAV-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO CCCCc1ncc(C[N@H+]2Cc3ccccc3[C@@H](C)C2)[nH]1 ZINC001141067903 1132002187 /nfs/dbraw/zinc/00/21/87/1132002187.db2.gz QXUYVCMOGKJADE-AWEZNQCLSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1ncc(C[N@@H+]2Cc3ccccc3[C@@H](C)C2)[nH]1 ZINC001141067903 1132002191 /nfs/dbraw/zinc/00/21/91/1132002191.db2.gz QXUYVCMOGKJADE-AWEZNQCLSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1nc(C[N@H+]2Cc3ccccc3[C@@H](C)C2)c[nH]1 ZINC001141067903 1132002195 /nfs/dbraw/zinc/00/21/95/1132002195.db2.gz QXUYVCMOGKJADE-AWEZNQCLSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1nc(C[N@@H+]2Cc3ccccc3[C@@H](C)C2)c[nH]1 ZINC001141067903 1132002199 /nfs/dbraw/zinc/00/21/99/1132002199.db2.gz QXUYVCMOGKJADE-AWEZNQCLSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1ncc(C[N@H+]2Cc3ccccc3C[C@H]2C)[nH]1 ZINC001141071094 1132002917 /nfs/dbraw/zinc/00/29/17/1132002917.db2.gz AWGWOONJXZIMSJ-CQSZACIVSA-N 1 2 283.419 3.699 20 0 CHADLO CCCCc1nc(C[N@@H+]2Cc3ccccc3C[C@H]2C)c[nH]1 ZINC001141071094 1132002898 /nfs/dbraw/zinc/00/28/98/1132002898.db2.gz AWGWOONJXZIMSJ-CQSZACIVSA-N 1 2 283.419 3.699 20 0 CHADLO CCCCc1nc(C[N@H+]2Cc3ccccc3C[C@H]2C)c[nH]1 ZINC001141071094 1132002904 /nfs/dbraw/zinc/00/29/04/1132002904.db2.gz AWGWOONJXZIMSJ-CQSZACIVSA-N 1 2 283.419 3.699 20 0 CHADLO CCCCc1ncc(C[N@@H+]2Cc3ccccc3C[C@H]2C)[nH]1 ZINC001141071094 1132002912 /nfs/dbraw/zinc/00/29/12/1132002912.db2.gz AWGWOONJXZIMSJ-CQSZACIVSA-N 1 2 283.419 3.699 20 0 CHADLO CCCCc1[nH]cc(CN(C)Cc2ccccc2F)[nH+]1 ZINC001141069976 1132003259 /nfs/dbraw/zinc/00/32/59/1132003259.db2.gz KDRGYDPZUPLFQE-UHFFFAOYSA-N 1 2 275.371 3.523 20 0 CHADLO CCCCc1[nH]c(CN(C)Cc2ccccc2F)c[nH+]1 ZINC001141069976 1132003267 /nfs/dbraw/zinc/00/32/67/1132003267.db2.gz KDRGYDPZUPLFQE-UHFFFAOYSA-N 1 2 275.371 3.523 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC[C@@H](F)C2)c1Br ZINC001141091726 1132011914 /nfs/dbraw/zinc/01/19/14/1132011914.db2.gz RFJAOQPFKWYIHT-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cccc(C[N@H+]2CCC[C@@H](F)C2)c1Br ZINC001141091726 1132011918 /nfs/dbraw/zinc/01/19/18/1132011918.db2.gz RFJAOQPFKWYIHT-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO O=C1CC[NH+](Cc2ccccc2-c2cccs2)CC1 ZINC001141093475 1132013109 /nfs/dbraw/zinc/01/31/09/1132013109.db2.gz BMQZXAJVFAIQKN-UHFFFAOYSA-N 1 2 271.385 3.580 20 0 CHADLO C[C@H]1CC(=O)CC[N@@H+]1Cc1cccc(C(C)(C)C)c1 ZINC001141102623 1132014842 /nfs/dbraw/zinc/01/48/42/1132014842.db2.gz ITWUQVLXFYTMAC-ZDUSSCGKSA-N 1 2 259.393 3.538 20 0 CHADLO C[C@H]1CC(=O)CC[N@H+]1Cc1cccc(C(C)(C)C)c1 ZINC001141102623 1132014849 /nfs/dbraw/zinc/01/48/49/1132014849.db2.gz ITWUQVLXFYTMAC-ZDUSSCGKSA-N 1 2 259.393 3.538 20 0 CHADLO CC[N@H+](Cc1cocn1)Cc1cccc(C(F)(F)F)c1 ZINC001141132489 1132024437 /nfs/dbraw/zinc/02/44/37/1132024437.db2.gz NRHMRIFEJBMUNQ-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO CC[N@@H+](Cc1cocn1)Cc1cccc(C(F)(F)F)c1 ZINC001141132489 1132024446 /nfs/dbraw/zinc/02/44/46/1132024446.db2.gz NRHMRIFEJBMUNQ-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO CCC[C@@H]1CCCC[N@@H+]1Cc1ncc(Cl)nc1Cl ZINC001141135562 1132026403 /nfs/dbraw/zinc/02/64/03/1132026403.db2.gz COFMFUWQJJTCMQ-SNVBAGLBSA-N 1 2 288.222 3.938 20 0 CHADLO CCC[C@@H]1CCCC[N@H+]1Cc1ncc(Cl)nc1Cl ZINC001141135562 1132026409 /nfs/dbraw/zinc/02/64/09/1132026409.db2.gz COFMFUWQJJTCMQ-SNVBAGLBSA-N 1 2 288.222 3.938 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCc3ccccc3C2)cc1 ZINC000037270245 1132027601 /nfs/dbraw/zinc/02/76/01/1132027601.db2.gz UHDQCADXOHAWMA-KRWDZBQOSA-N 1 2 266.388 3.722 20 0 CHADLO CN(C)c1ccc([NH2+][C@H]2CCc3ccccc3C2)cc1 ZINC000037270245 1132027606 /nfs/dbraw/zinc/02/76/06/1132027606.db2.gz UHDQCADXOHAWMA-KRWDZBQOSA-N 1 2 266.388 3.722 20 0 CHADLO Cc1cc2cc(NCc3cccc4ncoc43)[nH+]cc2[nH]1 ZINC001171453468 1132032532 /nfs/dbraw/zinc/03/25/32/1132032532.db2.gz WSXWRNQAWCHQOX-UHFFFAOYSA-N 1 2 278.315 3.625 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NC(C)(C)c2ccncc2)c1 ZINC001171517245 1132048843 /nfs/dbraw/zinc/04/88/43/1132048843.db2.gz PPRJYJZIEJWRFX-UHFFFAOYSA-N 1 2 292.386 3.829 20 0 CHADLO CC(C)(Nc1ccc(F)c(-n2cc[nH+]c2)c1)c1ccncc1 ZINC001171519414 1132049938 /nfs/dbraw/zinc/04/99/38/1132049938.db2.gz UCFGTPSFBXSJDF-UHFFFAOYSA-N 1 2 296.349 3.754 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(F)cc2Cl)[C@@H](CC)CO1 ZINC001171778594 1132095326 /nfs/dbraw/zinc/09/53/26/1132095326.db2.gz OKKRLOIYEMSMGI-UONOGXRCSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(F)cc2Cl)[C@@H](CC)CO1 ZINC001171778594 1132095335 /nfs/dbraw/zinc/09/53/35/1132095335.db2.gz OKKRLOIYEMSMGI-UONOGXRCSA-N 1 2 285.790 3.869 20 0 CHADLO c1cn(-c2ccc(O[C@H]3COCc4ccccc43)cc2)c[nH+]1 ZINC001229954872 1132137399 /nfs/dbraw/zinc/13/73/99/1132137399.db2.gz VEBVFZKDQLMRQT-SFHVURJKSA-N 1 2 292.338 3.523 20 0 CHADLO Cc1ccc2cc(C[N@@H+]3CCC(F)(F)[C@H](F)C3)ccc2n1 ZINC001141472883 1132138419 /nfs/dbraw/zinc/13/84/19/1132138419.db2.gz NYHCBNYBBVKKDR-OAHLLOKOSA-N 1 2 294.320 3.722 20 0 CHADLO Cc1ccc2cc(C[N@H+]3CCC(F)(F)[C@H](F)C3)ccc2n1 ZINC001141472883 1132138424 /nfs/dbraw/zinc/13/84/24/1132138424.db2.gz NYHCBNYBBVKKDR-OAHLLOKOSA-N 1 2 294.320 3.722 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccc(C)nc2Cl)s1 ZINC000838929040 1132159853 /nfs/dbraw/zinc/15/98/53/1132159853.db2.gz IHQHHTVMUQMVOW-SNVBAGLBSA-N 1 2 281.812 3.659 20 0 CHADLO Cc1nc([C@@H]2COCC[N@H+]2[C@@H]2CC[C@@H](C)[C@H](C)C2)cs1 ZINC001172373312 1132168303 /nfs/dbraw/zinc/16/83/03/1132168303.db2.gz LQROXTZVKZULMS-NCZKRNLISA-N 1 2 294.464 3.650 20 0 CHADLO Cc1nc([C@@H]2COCC[N@@H+]2[C@@H]2CC[C@@H](C)[C@H](C)C2)cs1 ZINC001172373312 1132168306 /nfs/dbraw/zinc/16/83/06/1132168306.db2.gz LQROXTZVKZULMS-NCZKRNLISA-N 1 2 294.464 3.650 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1c(F)ccc(C2OCCO2)c1Cl ZINC000839065990 1132178403 /nfs/dbraw/zinc/17/84/03/1132178403.db2.gz BELBDAUGMPNGSX-NXEZZACHSA-N 1 2 299.773 3.507 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccnc(Cl)c2Cl)nc1C ZINC000839165084 1132187244 /nfs/dbraw/zinc/18/72/44/1132187244.db2.gz KILYAFJZUFFMAD-UHFFFAOYSA-N 1 2 296.201 3.690 20 0 CHADLO CCCCCC[C@H](CCC)[N@@H+]1CCC(=O)[C@@H](F)C1 ZINC001172386301 1132211759 /nfs/dbraw/zinc/21/17/59/1132211759.db2.gz LVWJREIHWSLJPZ-KBPBESRZSA-N 1 2 257.393 3.738 20 0 CHADLO CCCCCC[C@H](CCC)[N@H+]1CCC(=O)[C@@H](F)C1 ZINC001172386301 1132211766 /nfs/dbraw/zinc/21/17/66/1132211766.db2.gz LVWJREIHWSLJPZ-KBPBESRZSA-N 1 2 257.393 3.738 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cc3cccnc3cc2F)C[C@@H]1F ZINC001141623388 1132213831 /nfs/dbraw/zinc/21/38/31/1132213831.db2.gz FPXKPSNLJCWOKW-HOTGVXAUSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cc3cccnc3cc2F)C[C@@H]1F ZINC001141623388 1132213833 /nfs/dbraw/zinc/21/38/33/1132213833.db2.gz FPXKPSNLJCWOKW-HOTGVXAUSA-N 1 2 294.320 3.646 20 0 CHADLO CCOC(=O)c1coc2c1C[N@@H+]([C@@H](CC)CC(C)C)C2 ZINC001172401197 1132223298 /nfs/dbraw/zinc/22/32/98/1132223298.db2.gz HFHSHINJNJTCOX-LBPRGKRZSA-N 1 2 279.380 3.597 20 0 CHADLO CCOC(=O)c1coc2c1C[N@H+]([C@@H](CC)CC(C)C)C2 ZINC001172401197 1132223302 /nfs/dbraw/zinc/22/33/02/1132223302.db2.gz HFHSHINJNJTCOX-LBPRGKRZSA-N 1 2 279.380 3.597 20 0 CHADLO CCOC(=O)c1coc2c1C[N@@H+]([C@H](CC)CC(C)C)C2 ZINC001172401187 1132223552 /nfs/dbraw/zinc/22/35/52/1132223552.db2.gz HFHSHINJNJTCOX-GFCCVEGCSA-N 1 2 279.380 3.597 20 0 CHADLO CCOC(=O)c1coc2c1C[N@H+]([C@H](CC)CC(C)C)C2 ZINC001172401187 1132223557 /nfs/dbraw/zinc/22/35/57/1132223557.db2.gz HFHSHINJNJTCOX-GFCCVEGCSA-N 1 2 279.380 3.597 20 0 CHADLO C[C@@H]1CC[C@H](Oc2cc3c(cc2O)C=[NH+]CC3)C[C@@H]1C ZINC001230920345 1132227386 /nfs/dbraw/zinc/22/73/86/1132227386.db2.gz MHQYOPMHZZZEIU-XUJVJEKNSA-N 1 2 273.376 3.571 20 0 CHADLO CC[C@@H](Oc1cc2c(cc1O)C=[NH+]CC2)c1ccccc1 ZINC001230920237 1132228450 /nfs/dbraw/zinc/22/84/50/1132228450.db2.gz KHPIFWQJZXTBMT-QGZVFWFLSA-N 1 2 281.355 3.897 20 0 CHADLO C[C@@H]1CC[C@H](Oc2ccc(-c3c[nH+]cn3C)cc2)C1 ZINC001231078420 1132252311 /nfs/dbraw/zinc/25/23/11/1132252311.db2.gz BMYDGDPPPWLEBD-DOMZBBRYSA-N 1 2 256.349 3.655 20 0 CHADLO C[C@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccncc1F ZINC001231082326 1132253064 /nfs/dbraw/zinc/25/30/64/1132253064.db2.gz UZPKBBMSSYKMQH-NSHDSACASA-N 1 2 283.306 3.751 20 0 CHADLO CC1(C)CC(=O)CC[C@@H]1Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231082001 1132253384 /nfs/dbraw/zinc/25/33/84/1132253384.db2.gz QDIVCSYKLYOCKB-HNNXBMFYSA-N 1 2 284.359 3.603 20 0 CHADLO C[C@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccncc1 ZINC001231081463 1132253522 /nfs/dbraw/zinc/25/35/22/1132253522.db2.gz LTQNAWMQWLIRRD-LBPRGKRZSA-N 1 2 265.316 3.612 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@H]2CCCC[C@H]2F)cc1 ZINC001231083224 1132253577 /nfs/dbraw/zinc/25/35/77/1132253577.db2.gz GGYCYBDZECXPQA-ZBFHGGJFSA-N 1 2 274.339 3.747 20 0 CHADLO CCCCOC[C@H](C)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231080176 1132253587 /nfs/dbraw/zinc/25/35/87/1132253587.db2.gz AEOBXQMSXDALBU-ZDUSSCGKSA-N 1 2 274.364 3.661 20 0 CHADLO CC[C@@H](C)[C@H](C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231083052 1132253953 /nfs/dbraw/zinc/25/39/53/1132253953.db2.gz BIYCVWFSZNJBIC-OLZOCXBDSA-N 1 2 258.365 3.901 20 0 CHADLO c1c[nH+]c(-c2ccc(O[C@@H]3C[C@@H]4CC[C@H]3C4)cc2)[nH]1 ZINC001231084750 1132255045 /nfs/dbraw/zinc/25/50/45/1132255045.db2.gz OYQUHFPXHACLDM-OSAQELSMSA-N 1 2 254.333 3.644 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(C(C)(F)F)cc2)[nH+]1 ZINC001212673425 1132285726 /nfs/dbraw/zinc/28/57/26/1132285726.db2.gz MCBMXNZCLFJENP-UHFFFAOYSA-N 1 2 264.275 3.951 20 0 CHADLO CC[N@H+](Cc1sccc1Oc1ccccc1)C1COC1 ZINC001142001370 1132290832 /nfs/dbraw/zinc/29/08/32/1132290832.db2.gz NAKIXJKNXBIJNY-UHFFFAOYSA-N 1 2 289.400 3.761 20 0 CHADLO CC[N@@H+](Cc1sccc1Oc1ccccc1)C1COC1 ZINC001142001370 1132290835 /nfs/dbraw/zinc/29/08/35/1132290835.db2.gz NAKIXJKNXBIJNY-UHFFFAOYSA-N 1 2 289.400 3.761 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)cc1Cl ZINC001231418636 1132291049 /nfs/dbraw/zinc/29/10/49/1132291049.db2.gz YILZQNHKLHWLDY-HOCLYGCPSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)cc1Cl ZINC001231418636 1132291061 /nfs/dbraw/zinc/29/10/61/1132291061.db2.gz YILZQNHKLHWLDY-HOCLYGCPSA-N 1 2 291.822 3.982 20 0 CHADLO CN(C)c1ccc2cc(C[N@H+](C)Cc3ccon3)ccc2c1 ZINC001231442534 1132295819 /nfs/dbraw/zinc/29/58/19/1132295819.db2.gz QURSUHRUMMMXBE-UHFFFAOYSA-N 1 2 295.386 3.526 20 0 CHADLO CN(C)c1ccc2cc(C[N@@H+](C)Cc3ccon3)ccc2c1 ZINC001231442534 1132295825 /nfs/dbraw/zinc/29/58/25/1132295825.db2.gz QURSUHRUMMMXBE-UHFFFAOYSA-N 1 2 295.386 3.526 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCOC[C@H]2c2cccnc2)cc1C ZINC001231485876 1132301108 /nfs/dbraw/zinc/30/11/08/1132301108.db2.gz OFIPTDPFQUHMJA-IBGZPJMESA-N 1 2 296.414 3.580 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCOC[C@H]2c2cccnc2)cc1C ZINC001231485876 1132301116 /nfs/dbraw/zinc/30/11/16/1132301116.db2.gz OFIPTDPFQUHMJA-IBGZPJMESA-N 1 2 296.414 3.580 20 0 CHADLO Cc1ccc2c(c1)C[C@H]([N@@H+]1CC[C@@H](CF)C(F)(F)C1)CC2 ZINC001172826713 1132324113 /nfs/dbraw/zinc/32/41/13/1132324113.db2.gz FJHAXMJONXBDSA-JKSUJKDBSA-N 1 2 297.364 3.779 20 0 CHADLO Cc1ccc2c(c1)C[C@H]([N@H+]1CC[C@@H](CF)C(F)(F)C1)CC2 ZINC001172826713 1132324120 /nfs/dbraw/zinc/32/41/20/1132324120.db2.gz FJHAXMJONXBDSA-JKSUJKDBSA-N 1 2 297.364 3.779 20 0 CHADLO COc1cc(C)c(C[N@H+](C)Cc2ncccn2)cc1C(C)C ZINC001231625480 1132328639 /nfs/dbraw/zinc/32/86/39/1132328639.db2.gz YCAHMAYJEGHRIS-UHFFFAOYSA-N 1 2 299.418 3.549 20 0 CHADLO COc1cc(C)c(C[N@@H+](C)Cc2ncccn2)cc1C(C)C ZINC001231625480 1132328646 /nfs/dbraw/zinc/32/86/46/1132328646.db2.gz YCAHMAYJEGHRIS-UHFFFAOYSA-N 1 2 299.418 3.549 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCC[C@H](F)C1 ZINC001172853144 1132339725 /nfs/dbraw/zinc/33/97/25/1132339725.db2.gz JAJRMARUCRVQGQ-QWRGUYRKSA-N 1 2 273.754 3.844 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCC[C@H](F)C1 ZINC001172853144 1132339735 /nfs/dbraw/zinc/33/97/35/1132339735.db2.gz JAJRMARUCRVQGQ-QWRGUYRKSA-N 1 2 273.754 3.844 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2nc(-c3ccccc3)cs2)C1 ZINC001231680696 1132345374 /nfs/dbraw/zinc/34/53/74/1132345374.db2.gz UFFDFLVQUPWUHK-JSGCOSHPSA-N 1 2 290.407 3.990 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2nc(-c3ccccc3)cs2)C1 ZINC001231680696 1132345382 /nfs/dbraw/zinc/34/53/82/1132345382.db2.gz UFFDFLVQUPWUHK-JSGCOSHPSA-N 1 2 290.407 3.990 20 0 CHADLO CCCCc1ccc(C[N@@H+]2CCc3oc(C)nc3C2)cc1 ZINC001231783990 1132360991 /nfs/dbraw/zinc/36/09/91/1132360991.db2.gz LFZJRLIEHPVPIH-UHFFFAOYSA-N 1 2 284.403 3.884 20 0 CHADLO CCCCc1ccc(C[N@H+]2CCc3oc(C)nc3C2)cc1 ZINC001231783990 1132361000 /nfs/dbraw/zinc/36/10/00/1132361000.db2.gz LFZJRLIEHPVPIH-UHFFFAOYSA-N 1 2 284.403 3.884 20 0 CHADLO CSc1ccccc1C[NH+]1CC(OCc2ccccc2)C1 ZINC001231785463 1132363059 /nfs/dbraw/zinc/36/30/59/1132363059.db2.gz PBVIWLPIIJVJBL-UHFFFAOYSA-N 1 2 299.439 3.810 20 0 CHADLO c1cn(Cc2ccc(Nc3nc4c(s3)CCC4)cc2)c[nH+]1 ZINC001212692419 1132370942 /nfs/dbraw/zinc/37/09/42/1132370942.db2.gz SEIIJDPNBMWCLH-UHFFFAOYSA-N 1 2 296.399 3.620 20 0 CHADLO Cc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(C)cc1O ZINC001212696674 1132375511 /nfs/dbraw/zinc/37/55/11/1132375511.db2.gz DQXZPYBDSZJAPY-UHFFFAOYSA-N 1 2 293.370 3.997 20 0 CHADLO Cc1ccc2ccccc2c1C[NH+]1C[C@@H](F)[C@H](F)C1 ZINC001142372268 1132378118 /nfs/dbraw/zinc/37/81/18/1132378118.db2.gz XKPHOCBIOJXODA-HZPDHXFCSA-N 1 2 261.315 3.640 20 0 CHADLO Fc1c(C[NH+]2CCC(F)CC2)ccc(C(F)(F)F)c1F ZINC001231854857 1132379429 /nfs/dbraw/zinc/37/94/29/1132379429.db2.gz DNRDMURCNVOZCV-UHFFFAOYSA-N 1 2 297.242 3.918 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1ccc(OC2CCCCC2)nc1 ZINC001231873695 1132385914 /nfs/dbraw/zinc/38/59/14/1132385914.db2.gz ONSDIQYTQKPURU-UKRRQHHQSA-N 1 2 292.398 3.725 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1ccc(OC2CCCCC2)nc1 ZINC001231873695 1132385922 /nfs/dbraw/zinc/38/59/22/1132385922.db2.gz ONSDIQYTQKPURU-UKRRQHHQSA-N 1 2 292.398 3.725 20 0 CHADLO Cn1cc2c(cccc2C[N@@H+]2Cc3ccc(Cl)cc3C2)n1 ZINC001142465603 1132397903 /nfs/dbraw/zinc/39/79/03/1132397903.db2.gz WWVMEASOINAERE-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1cc2c(cccc2C[N@H+]2Cc3ccc(Cl)cc3C2)n1 ZINC001142465603 1132397909 /nfs/dbraw/zinc/39/79/09/1132397909.db2.gz WWVMEASOINAERE-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nc(Cl)ccc2F)CCC1(F)F ZINC001232156034 1132429707 /nfs/dbraw/zinc/42/97/07/1132429707.db2.gz WBZSBANHAPYUNJ-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nc(Cl)ccc2F)CCC1(F)F ZINC001232156034 1132429711 /nfs/dbraw/zinc/42/97/11/1132429711.db2.gz WBZSBANHAPYUNJ-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO CC(=O)Nc1ccc(C[N@@H+]2Cc3ccc(F)cc3C2)c(C)c1 ZINC001232188139 1132434252 /nfs/dbraw/zinc/43/42/52/1132434252.db2.gz GSGRBMJCKTVHHL-UHFFFAOYSA-N 1 2 298.361 3.608 20 0 CHADLO CC(=O)Nc1ccc(C[N@H+]2Cc3ccc(F)cc3C2)c(C)c1 ZINC001232188139 1132434256 /nfs/dbraw/zinc/43/42/56/1132434256.db2.gz GSGRBMJCKTVHHL-UHFFFAOYSA-N 1 2 298.361 3.608 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccnc(C(C)(C)C)c1 ZINC001232217720 1132438486 /nfs/dbraw/zinc/43/84/86/1132438486.db2.gz NYWDCFRKVINUHM-AWEZNQCLSA-N 1 2 283.419 3.757 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccnc(C(C)(C)C)c1 ZINC001232217720 1132438490 /nfs/dbraw/zinc/43/84/90/1132438490.db2.gz NYWDCFRKVINUHM-AWEZNQCLSA-N 1 2 283.419 3.757 20 0 CHADLO CC(C)Cn1nccc1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000842670554 1132449011 /nfs/dbraw/zinc/44/90/11/1132449011.db2.gz IHZXWMYCUKZSNW-GFCCVEGCSA-N 1 2 293.361 3.668 20 0 CHADLO CSc1ccc(C[N@@H+]2CCc3ccc(F)cc3C2)cn1 ZINC001232330896 1132456489 /nfs/dbraw/zinc/45/64/89/1132456489.db2.gz AZORSCCBULWHFP-UHFFFAOYSA-N 1 2 288.391 3.501 20 0 CHADLO CSc1ccc(C[N@H+]2CCc3ccc(F)cc3C2)cn1 ZINC001232330896 1132456494 /nfs/dbraw/zinc/45/64/94/1132456494.db2.gz AZORSCCBULWHFP-UHFFFAOYSA-N 1 2 288.391 3.501 20 0 CHADLO CC(C)=CCC[C@@H](C)CC(=O)OCCCc1[nH+]ccn1C ZINC000842768665 1132459659 /nfs/dbraw/zinc/45/96/59/1132459659.db2.gz CJGYBSYLZHFSFB-OAHLLOKOSA-N 1 2 292.423 3.669 20 0 CHADLO CCOc1ccc(OC)cc1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001232381328 1132461648 /nfs/dbraw/zinc/46/16/48/1132461648.db2.gz WIOQZMCHLJVPJR-UHFFFAOYSA-N 1 2 297.398 3.918 20 0 CHADLO CCOc1ccc(OC)cc1C[N@H+]1Cc2cccc(C)c2C1 ZINC001232381328 1132461650 /nfs/dbraw/zinc/46/16/50/1132461650.db2.gz WIOQZMCHLJVPJR-UHFFFAOYSA-N 1 2 297.398 3.918 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCc3cc(O)ccc3C2)cc1F ZINC001232421917 1132466820 /nfs/dbraw/zinc/46/68/20/1132466820.db2.gz RXVBAZRPQNOQOY-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCc3cc(O)ccc3C2)cc1F ZINC001232421917 1132466823 /nfs/dbraw/zinc/46/68/23/1132466823.db2.gz RXVBAZRPQNOQOY-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cccc(F)c1C[NH2+][C@H](C)c1cc2n(n1)CCCC2 ZINC000842844415 1132467864 /nfs/dbraw/zinc/46/78/64/1132467864.db2.gz SSMNPRFXTSXTBK-CYBMUJFWSA-N 1 2 287.382 3.518 20 0 CHADLO Clc1ccnc2[nH]cc(C[NH+]3Cc4ccccc4C3)c21 ZINC001232455338 1132474321 /nfs/dbraw/zinc/47/43/21/1132474321.db2.gz ZAQQANSBQRFXOC-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2c(C)cccc2F)no1 ZINC001232481312 1132477152 /nfs/dbraw/zinc/47/71/52/1132477152.db2.gz DANYVGCULWQICW-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2c(C)cccc2F)no1 ZINC001232481312 1132477154 /nfs/dbraw/zinc/47/71/54/1132477154.db2.gz DANYVGCULWQICW-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO CC[N@H+](Cc1nc2ccccc2o1)Cc1ccccc1F ZINC001232558802 1132487747 /nfs/dbraw/zinc/48/77/47/1132487747.db2.gz WIVNSRLUSDTFCS-UHFFFAOYSA-N 1 2 284.334 3.989 20 0 CHADLO CC[N@@H+](Cc1nc2ccccc2o1)Cc1ccccc1F ZINC001232558802 1132487750 /nfs/dbraw/zinc/48/77/50/1132487750.db2.gz WIVNSRLUSDTFCS-UHFFFAOYSA-N 1 2 284.334 3.989 20 0 CHADLO C[C@@H]1C(=O)CC[N@H+](Cc2cc3ccoc3cn2)C12CCCC2 ZINC001232555304 1132488124 /nfs/dbraw/zinc/48/81/24/1132488124.db2.gz TWYWNNCCMHWITC-CYBMUJFWSA-N 1 2 298.386 3.552 20 0 CHADLO C[C@@H]1C(=O)CC[N@@H+](Cc2cc3ccoc3cn2)C12CCCC2 ZINC001232555304 1132488129 /nfs/dbraw/zinc/48/81/29/1132488129.db2.gz TWYWNNCCMHWITC-CYBMUJFWSA-N 1 2 298.386 3.552 20 0 CHADLO C[N@H+](Cc1c(Cl)cc(Cl)nc1Cl)CC1CC1 ZINC001232847392 1132529365 /nfs/dbraw/zinc/52/93/65/1132529365.db2.gz WFAPMYGDXDYHFV-UHFFFAOYSA-N 1 2 279.598 3.884 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)Cc1ccc2ccsc2c1 ZINC001232612139 1132493626 /nfs/dbraw/zinc/49/36/26/1132493626.db2.gz YEOBTSVVTXXUPB-UHFFFAOYSA-N 1 2 291.416 3.675 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc2ccsc2c1 ZINC001232612139 1132493632 /nfs/dbraw/zinc/49/36/32/1132493632.db2.gz YEOBTSVVTXXUPB-UHFFFAOYSA-N 1 2 291.416 3.675 20 0 CHADLO CCCC1CC[NH+](Cc2c(Cl)ccnc2F)CC1 ZINC001232616649 1132494521 /nfs/dbraw/zinc/49/45/21/1132494521.db2.gz ILKJGJMHIGQRRH-UHFFFAOYSA-N 1 2 270.779 3.886 20 0 CHADLO FC1C[C@@H]2COC[C@H](C1)[N@@H+]2Cc1ccc2ccsc2c1 ZINC001232614383 1132494660 /nfs/dbraw/zinc/49/46/60/1132494660.db2.gz FHBCZBOCJQGMDL-GOOCMWNKSA-N 1 2 291.391 3.603 20 0 CHADLO FC1C[C@@H]2COC[C@H](C1)[N@H+]2Cc1ccc2ccsc2c1 ZINC001232614383 1132494666 /nfs/dbraw/zinc/49/46/66/1132494666.db2.gz FHBCZBOCJQGMDL-GOOCMWNKSA-N 1 2 291.391 3.603 20 0 CHADLO C[N@H+](CCc1ccc(F)cc1)Cc1c(Cl)ccnc1F ZINC001232618204 1132495315 /nfs/dbraw/zinc/49/53/15/1132495315.db2.gz MKMLVUUPQHYGLD-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1ccc(F)cc1)Cc1c(Cl)ccnc1F ZINC001232618204 1132495318 /nfs/dbraw/zinc/49/53/18/1132495318.db2.gz MKMLVUUPQHYGLD-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2occc2Br)C1 ZINC001143116861 1132504779 /nfs/dbraw/zinc/50/47/79/1132504779.db2.gz VRZAFVJBZFUCTM-GFCCVEGCSA-N 1 2 290.176 3.756 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2occc2Br)C1 ZINC001143116861 1132504786 /nfs/dbraw/zinc/50/47/86/1132504786.db2.gz VRZAFVJBZFUCTM-GFCCVEGCSA-N 1 2 290.176 3.756 20 0 CHADLO COC1CC[NH+](Cc2cc(F)c(Cl)cc2Cl)CC1 ZINC001232698378 1132507502 /nfs/dbraw/zinc/50/75/02/1132507502.db2.gz LSXDSHALWXHDNK-UHFFFAOYSA-N 1 2 292.181 3.743 20 0 CHADLO COc1cc(C)cc(C[N@@H+]2Cc3cccc(F)c3C2)c1 ZINC001143156540 1132508955 /nfs/dbraw/zinc/50/89/55/1132508955.db2.gz KNVDKDGSERMNAA-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cc(C)cc(C[N@H+]2Cc3cccc(F)c3C2)c1 ZINC001143156540 1132508960 /nfs/dbraw/zinc/50/89/60/1132508960.db2.gz KNVDKDGSERMNAA-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO C[N@H+](Cc1cc2ccc(Cl)cc2[nH]1)Cc1cncs1 ZINC001232734824 1132512588 /nfs/dbraw/zinc/51/25/88/1132512588.db2.gz JWECTJWEEXKKIN-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cc2ccc(Cl)cc2[nH]1)Cc1cncs1 ZINC001232734824 1132512595 /nfs/dbraw/zinc/51/25/95/1132512595.db2.gz JWECTJWEEXKKIN-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO Cc1ccccc1Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC001173815323 1132520745 /nfs/dbraw/zinc/52/07/45/1132520745.db2.gz NFSRSZFYYLDYPQ-GASCZTMLSA-N 1 2 297.402 3.747 20 0 CHADLO CCOC(=O)c1ccc2[nH+]ccc(Nc3ccsc3)c2c1 ZINC001173818980 1132522896 /nfs/dbraw/zinc/52/28/96/1132522896.db2.gz XCQJENQZIZPFIP-UHFFFAOYSA-N 1 2 298.367 3.639 20 0 CHADLO CCCCOC1C[NH+](Cc2ccc(C(F)F)cc2)C1 ZINC001143251698 1132524134 /nfs/dbraw/zinc/52/41/34/1132524134.db2.gz WQBHCXJNLDBYMX-UHFFFAOYSA-N 1 2 269.335 3.625 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1ccc(C(F)F)cc1 ZINC001143252278 1132525054 /nfs/dbraw/zinc/52/50/54/1132525054.db2.gz XYEDUYQILDMNOD-UHFFFAOYSA-N 1 2 299.361 3.788 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc(C(F)F)cc1 ZINC001143252278 1132525059 /nfs/dbraw/zinc/52/50/59/1132525059.db2.gz XYEDUYQILDMNOD-UHFFFAOYSA-N 1 2 299.361 3.788 20 0 CHADLO CCOc1ccc(C[NH+]2CC3(C2)CCCC3)c(F)c1F ZINC001143269131 1132526851 /nfs/dbraw/zinc/52/68/51/1132526851.db2.gz JXWZWDIAEZKVFJ-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)cc(OC(F)(F)F)c2)C[C@@H]1F ZINC001143256634 1132527276 /nfs/dbraw/zinc/52/72/76/1132527276.db2.gz PLSYROHTXOIIRU-PELKAZGASA-N 1 2 295.251 3.514 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(F)cc(OC(F)(F)F)c2)C[C@@H]1F ZINC001143256634 1132527281 /nfs/dbraw/zinc/52/72/81/1132527281.db2.gz PLSYROHTXOIIRU-PELKAZGASA-N 1 2 295.251 3.514 20 0 CHADLO C[N@@H+](Cc1c(Cl)cc(Cl)nc1Cl)CC1CC1 ZINC001232847392 1132529363 /nfs/dbraw/zinc/52/93/63/1132529363.db2.gz WFAPMYGDXDYHFV-UHFFFAOYSA-N 1 2 279.598 3.884 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875555 1132532522 /nfs/dbraw/zinc/53/25/22/1132532522.db2.gz AICBVWLAPAPALO-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875555 1132532531 /nfs/dbraw/zinc/53/25/31/1132532531.db2.gz AICBVWLAPAPALO-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)[C@@H]1[N@H+](Cc2cc(C(F)(F)F)n[nH]2)CC12CC=CC2 ZINC000843432485 1132535762 /nfs/dbraw/zinc/53/57/62/1132535762.db2.gz XMZJHODYZCFHMM-ZDUSSCGKSA-N 1 2 299.340 3.605 20 0 CHADLO CC(C)[C@@H]1[N@@H+](Cc2cc(C(F)(F)F)n[nH]2)CC12CC=CC2 ZINC000843432485 1132535769 /nfs/dbraw/zinc/53/57/69/1132535769.db2.gz XMZJHODYZCFHMM-ZDUSSCGKSA-N 1 2 299.340 3.605 20 0 CHADLO CC[N@H+](Cc1c(Br)ccc(F)c1F)C(C)C ZINC001143329348 1132535983 /nfs/dbraw/zinc/53/59/83/1132535983.db2.gz OZRBVZDPUIZMRG-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO CC[N@@H+](Cc1c(Br)ccc(F)c1F)C(C)C ZINC001143329348 1132535992 /nfs/dbraw/zinc/53/59/92/1132535992.db2.gz OZRBVZDPUIZMRG-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO COc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001173803294 1132537162 /nfs/dbraw/zinc/53/71/62/1132537162.db2.gz FNCWETLRFPACJM-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO C[N@H+](C/C=C/c1ccccc1)Cc1ccc(F)c(O)c1F ZINC001232937892 1132544295 /nfs/dbraw/zinc/54/42/95/1132544295.db2.gz VXTLFWBPLOGJEM-VMPITWQZSA-N 1 2 289.325 3.816 20 0 CHADLO C[N@@H+](C/C=C/c1ccccc1)Cc1ccc(F)c(O)c1F ZINC001232937892 1132544302 /nfs/dbraw/zinc/54/43/02/1132544302.db2.gz VXTLFWBPLOGJEM-VMPITWQZSA-N 1 2 289.325 3.816 20 0 CHADLO Oc1c(F)ccc(C[N@@H+]2CCC23CCCCC3)c1F ZINC001232932503 1132546201 /nfs/dbraw/zinc/54/62/01/1132546201.db2.gz ZVYZTNVDMJTNSA-UHFFFAOYSA-N 1 2 267.319 3.579 20 0 CHADLO Oc1c(F)ccc(C[N@H+]2CCC23CCCCC3)c1F ZINC001232932503 1132546208 /nfs/dbraw/zinc/54/62/08/1132546208.db2.gz ZVYZTNVDMJTNSA-UHFFFAOYSA-N 1 2 267.319 3.579 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccc(F)c(O)c2F)c1 ZINC001232931192 1132546426 /nfs/dbraw/zinc/54/64/26/1132546426.db2.gz LDEZAWWSPBGODG-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccc(F)c(O)c2F)c1 ZINC001232931192 1132546431 /nfs/dbraw/zinc/54/64/31/1132546431.db2.gz LDEZAWWSPBGODG-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Fc1ccccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001173848535 1132551335 /nfs/dbraw/zinc/55/13/35/1132551335.db2.gz YFHHSWVLORYSQX-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO c1[nH+]cc(N[C@@H]2CC[C@@H]2C2CCC2)c2c1CCCC2 ZINC001206636584 1132563114 /nfs/dbraw/zinc/56/31/14/1132563114.db2.gz POUUIHCOKARMRQ-HZPDHXFCSA-N 1 2 256.393 3.951 20 0 CHADLO C[C@]1(Br)C[C@H]1CNc1c[nH+]cc2c1CCCC2 ZINC001206637302 1132565534 /nfs/dbraw/zinc/56/55/34/1132565534.db2.gz ZIGFJGMXHRTNCW-FZMZJTMJSA-N 1 2 295.224 3.546 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(F)c2F)cnc1C ZINC001233162722 1132573741 /nfs/dbraw/zinc/57/37/41/1132573741.db2.gz JYTUICCGRNDZBR-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(F)c2F)cnc1C ZINC001233162722 1132573745 /nfs/dbraw/zinc/57/37/45/1132573745.db2.gz JYTUICCGRNDZBR-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nc3ccccc3s2)cnc1C ZINC001233156449 1132574508 /nfs/dbraw/zinc/57/45/08/1132574508.db2.gz OPXICJVQPGWNDJ-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nc3ccccc3s2)cnc1C ZINC001233156449 1132574512 /nfs/dbraw/zinc/57/45/12/1132574512.db2.gz OPXICJVQPGWNDJ-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1csc(C[N@@H+]2CCC[C@@H]2c2ccccc2F)n1 ZINC001204377889 1132577474 /nfs/dbraw/zinc/57/74/74/1132577474.db2.gz HOIWMDBWWNCBQC-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1csc(C[N@H+]2CCC[C@@H]2c2ccccc2F)n1 ZINC001204377889 1132577480 /nfs/dbraw/zinc/57/74/80/1132577480.db2.gz HOIWMDBWWNCBQC-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1ccc(Nc2cccc3c2C(=O)CC3)c(C)[nH+]1 ZINC001203375709 1132581980 /nfs/dbraw/zinc/58/19/80/1132581980.db2.gz AEKDGQBQZCGZPY-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO O=C(c1c[nH]c2cc[nH+]cc12)N1CCC[C@H]1C1CCCCC1 ZINC001152977058 1132587955 /nfs/dbraw/zinc/58/79/55/1132587955.db2.gz IOYGQWODVIDBDE-KRWDZBQOSA-N 1 2 297.402 3.748 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(NC(C)=O)c2)c1 ZINC001173834548 1132589121 /nfs/dbraw/zinc/58/91/21/1132589121.db2.gz YNWWGWKMEJGOMY-UHFFFAOYSA-N 1 2 269.348 3.736 20 0 CHADLO Cc1cc(N2CCO[C@@H](c3ccco3)C2)[nH+]c2ccccc12 ZINC000133420671 1132598340 /nfs/dbraw/zinc/59/83/40/1132598340.db2.gz MENZSJHTWWZTJG-QGZVFWFLSA-N 1 2 294.354 3.714 20 0 CHADLO CC(=O)c1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1 ZINC001173894594 1132598823 /nfs/dbraw/zinc/59/88/23/1132598823.db2.gz TVDFBWDTRYEKLN-UHFFFAOYSA-N 1 2 281.359 3.628 20 0 CHADLO CSc1cc(Nc2ccc(C(C)=O)cc2)cc[nH+]1 ZINC001173896320 1132600154 /nfs/dbraw/zinc/60/01/54/1132600154.db2.gz RFMGYEQDTLFLDK-UHFFFAOYSA-N 1 2 258.346 3.750 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(C(C)=O)cc1 ZINC001173896401 1132600316 /nfs/dbraw/zinc/60/03/16/1132600316.db2.gz UFIWJZDBSLACRA-UHFFFAOYSA-N 1 2 254.333 3.899 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2ccc(F)cc2)cc1F ZINC001233340421 1132604127 /nfs/dbraw/zinc/60/41/27/1132604127.db2.gz CEVQJHQYNIBNJA-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2ccc(F)cc2)cc1F ZINC001233340421 1132604131 /nfs/dbraw/zinc/60/41/31/1132604131.db2.gz CEVQJHQYNIBNJA-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO Cc1cn2cccc(Nc3cc(F)cc(F)c3)c2[nH+]1 ZINC001173913004 1132608247 /nfs/dbraw/zinc/60/82/47/1132608247.db2.gz QUSRVPFLSWQWRF-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO C[N@H+](Cc1ccc(Cl)nc1)Cc1ccc(N)cc1Cl ZINC001233376766 1132612077 /nfs/dbraw/zinc/61/20/77/1132612077.db2.gz ZIAJBCCESKEQOB-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)nc1)Cc1ccc(N)cc1Cl ZINC001233376766 1132612082 /nfs/dbraw/zinc/61/20/82/1132612082.db2.gz ZIAJBCCESKEQOB-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(F)cc2F)[nH+]1 ZINC001173925504 1132618705 /nfs/dbraw/zinc/61/87/05/1132618705.db2.gz VHHVCMVTTKXNBP-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cn2cccc(Cl)c2n1 ZINC001233423042 1132620575 /nfs/dbraw/zinc/62/05/75/1132620575.db2.gz FOLYXRLKWHZPGI-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cn2cccc(Cl)c2n1 ZINC001233423042 1132620581 /nfs/dbraw/zinc/62/05/81/1132620581.db2.gz FOLYXRLKWHZPGI-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO Cc1ccnc(CNc2cc(C)c3cccc(C)c3[nH+]2)n1 ZINC001154819878 1132625565 /nfs/dbraw/zinc/62/55/65/1132625565.db2.gz SGSBMVMVVLEBBE-UHFFFAOYSA-N 1 2 278.359 3.562 20 0 CHADLO CCOc1cc(Nc2ccc(OC)cc2OC)cc(C)[nH+]1 ZINC001173890846 1132628551 /nfs/dbraw/zinc/62/85/51/1132628551.db2.gz WGWHOIMTRMVGCH-UHFFFAOYSA-N 1 2 288.347 3.550 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1NC[C@H]1CCCC[C@H]1C ZINC001155075872 1132640520 /nfs/dbraw/zinc/64/05/20/1132640520.db2.gz AOAWITIWOCQEOY-ZIAGYGMSSA-N 1 2 292.423 3.920 20 0 CHADLO Cc1c(F)cc[nH+]c1NCCc1ccc(C(F)(F)F)nc1 ZINC001155138880 1132642657 /nfs/dbraw/zinc/64/26/57/1132642657.db2.gz HSHKEPKJWIPNNQ-UHFFFAOYSA-N 1 2 299.271 3.598 20 0 CHADLO Clc1cccc2nc(C[NH+]3CC(c4ccccc4)C3)cn21 ZINC001233598941 1132645910 /nfs/dbraw/zinc/64/59/10/1132645910.db2.gz BNZBRTNKUYIQHS-UHFFFAOYSA-N 1 2 297.789 3.587 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1ncnc2sc3c(c21)CCC3 ZINC001155180205 1132646449 /nfs/dbraw/zinc/64/64/49/1132646449.db2.gz LTSXBPXNMGULNK-UHFFFAOYSA-N 1 2 274.393 3.615 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1cn(C)c2ccccc12 ZINC001155287280 1132651637 /nfs/dbraw/zinc/65/16/37/1132651637.db2.gz ZJYQTUUOVAHWMV-UHFFFAOYSA-N 1 2 269.323 3.633 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(F)c2F)[nH+]1 ZINC001173947863 1132655545 /nfs/dbraw/zinc/65/55/45/1132655545.db2.gz YKWVSOKXVGXCHC-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ncc(Cl)cc3C2)sc1C ZINC001233701023 1132665972 /nfs/dbraw/zinc/66/59/72/1132665972.db2.gz WEUYGBJRVJCUHR-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ncc(Cl)cc3C2)sc1C ZINC001233701023 1132665974 /nfs/dbraw/zinc/66/59/74/1132665974.db2.gz WEUYGBJRVJCUHR-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(Cl)c(C)c(NCCc2cccc(O)c2)[nH+]1 ZINC001155865198 1132668172 /nfs/dbraw/zinc/66/81/72/1132668172.db2.gz QOUWJSYAZNTXTP-UHFFFAOYSA-N 1 2 276.767 3.712 20 0 CHADLO [NH2+]=C(Nc1cc2c(nn1)CCC2)C12CC3CC(CC(C3)C1)C2 ZINC001155962654 1132673347 /nfs/dbraw/zinc/67/33/47/1132673347.db2.gz YEZLBRKWDSFGNC-UHFFFAOYSA-N 1 2 296.418 3.571 20 0 CHADLO CC(C)c1ccc(N(C)CCC(=O)c2cccs2)[nH+]c1 ZINC001155966716 1132673744 /nfs/dbraw/zinc/67/37/44/1132673744.db2.gz REPZKVFAYJCZLF-UHFFFAOYSA-N 1 2 288.416 3.976 20 0 CHADLO COc1c[nH+]c(NCc2cc3ccccc3n2C)c(C)c1 ZINC001156015607 1132676631 /nfs/dbraw/zinc/67/66/31/1132676631.db2.gz ZOYDXBYELHZFGH-UHFFFAOYSA-N 1 2 281.359 3.502 20 0 CHADLO CNc1ccc(CNc2cc(CSC)cc[nH+]2)cc1 ZINC001156142293 1132684719 /nfs/dbraw/zinc/68/47/19/1132684719.db2.gz PJIDFXMVCUMPMT-UHFFFAOYSA-N 1 2 273.405 3.598 20 0 CHADLO CNc1ccc(CNc2cc(C)[nH+]c(C(C)(C)C)n2)cc1 ZINC001156142242 1132684780 /nfs/dbraw/zinc/68/47/80/1132684780.db2.gz OJNVQHUXASWXFG-UHFFFAOYSA-N 1 2 284.407 3.736 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1cnc2ccccc2c1 ZINC001156176788 1132686163 /nfs/dbraw/zinc/68/61/63/1132686163.db2.gz UYQYGIOTGFHQFQ-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO Cc1nc(NCCSCc2ccco2)cc(C(C)C)[nH+]1 ZINC001156188275 1132687635 /nfs/dbraw/zinc/68/76/35/1132687635.db2.gz SXMMBVZDWARDAH-UHFFFAOYSA-N 1 2 291.420 3.847 20 0 CHADLO CC(=O)c1c(F)cc(F)cc1Nc1ccc(C)[nH+]c1C ZINC001203376959 1132691353 /nfs/dbraw/zinc/69/13/53/1132691353.db2.gz XOPNSZQMBAOCJZ-UHFFFAOYSA-N 1 2 276.286 3.923 20 0 CHADLO O=C1CC(Nc2cc(SCc3ccccc3)cc[nH+]2)C1 ZINC001156617612 1132705560 /nfs/dbraw/zinc/70/55/60/1132705560.db2.gz BQQVTBRSZUJLRK-UHFFFAOYSA-N 1 2 284.384 3.517 20 0 CHADLO CN(Cc1ccc(-n2cc[nH+]c2)cc1)c1ccc(Cl)cn1 ZINC001156775515 1132708694 /nfs/dbraw/zinc/70/86/94/1132708694.db2.gz JMKLAZAXFRZFQU-UHFFFAOYSA-N 1 2 298.777 3.557 20 0 CHADLO Cn1ccc2cc(Nc3cccc4[nH+]ccn43)ccc21 ZINC001174096561 1132713673 /nfs/dbraw/zinc/71/36/73/1132713673.db2.gz VMSZBAZDWZYSAP-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc2c(ccn2C)c1 ZINC001174097079 1132714316 /nfs/dbraw/zinc/71/43/16/1132714316.db2.gz TUMAXZKPFNZGDG-UHFFFAOYSA-N 1 2 251.333 3.879 20 0 CHADLO Cc1cn2cccc(Nc3cncc4ccccc43)c2[nH+]1 ZINC001174098519 1132715840 /nfs/dbraw/zinc/71/58/40/1132715840.db2.gz NIHIHDWLTPTRCB-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO COC(=O)c1cc(F)c(Nc2ccc(C)[nH+]c2C)cc1F ZINC001203379548 1132720776 /nfs/dbraw/zinc/72/07/76/1132720776.db2.gz CGJBDBAHUIDGSW-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO CCCOCCNc1[nH+]ccc2cc(Cl)ccc21 ZINC001157086709 1132721372 /nfs/dbraw/zinc/72/13/72/1132721372.db2.gz KFRNNOPPHQYOGD-UHFFFAOYSA-N 1 2 264.756 3.727 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)[C@@H](c2ccccc2)C(C)C)[nH+]1 ZINC001157119575 1132722765 /nfs/dbraw/zinc/72/27/65/1132722765.db2.gz NABGYERJXXIXJC-MRXNPFEDSA-N 1 2 299.418 3.589 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)[C@@H](c2ccccc2)C(C)C)c[nH+]1 ZINC001157119575 1132722770 /nfs/dbraw/zinc/72/27/70/1132722770.db2.gz NABGYERJXXIXJC-MRXNPFEDSA-N 1 2 299.418 3.589 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H]1C[C@H]1c1ccc(F)c(F)c1 ZINC001157301546 1132726300 /nfs/dbraw/zinc/72/63/00/1132726300.db2.gz LCCITQODTUUBEP-SMDDNHRTSA-N 1 2 290.313 3.645 20 0 CHADLO COc1ccc(C)[nH+]c1N[C@@H](c1ccccn1)C(F)(F)F ZINC001157684223 1132739429 /nfs/dbraw/zinc/73/94/29/1132739429.db2.gz LFWVRNHIQAOBOL-LBPRGKRZSA-N 1 2 297.280 3.509 20 0 CHADLO c1cn2c(cccc2Nc2cccc3cnccc32)[nH+]1 ZINC001174134712 1132742144 /nfs/dbraw/zinc/74/21/44/1132742144.db2.gz PDVBFCTYOYFJRU-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1cc(N[C@@H]2CCNc3ccccc32)nc(C(C)C)[nH+]1 ZINC001157838076 1132747942 /nfs/dbraw/zinc/74/79/42/1132747942.db2.gz VSJCSFCAQDTLCX-OAHLLOKOSA-N 1 2 282.391 3.877 20 0 CHADLO CSc1cc[nH+]c(N[C@@H]2CCCc3cccnc32)c1 ZINC001157847269 1132748832 /nfs/dbraw/zinc/74/88/32/1132748832.db2.gz LZYYRAVRJFOXJL-CYBMUJFWSA-N 1 2 271.389 3.688 20 0 CHADLO CCCCNC(=O)c1cccc(Nc2cccc(CC)[nH+]2)c1 ZINC001174190542 1132754815 /nfs/dbraw/zinc/75/48/15/1132754815.db2.gz VHXVWFZNVCABLU-UHFFFAOYSA-N 1 2 297.402 3.918 20 0 CHADLO Cc1ccc(C(C)(C)Nc2cc(N)cc(Cl)[nH+]2)cc1 ZINC001157974251 1132757758 /nfs/dbraw/zinc/75/77/58/1132757758.db2.gz GOMNRSABWZWRKB-UHFFFAOYSA-N 1 2 275.783 3.973 20 0 CHADLO COCc1cc[nH+]c(NC(C)(C)c2ccc(C)cc2)c1 ZINC001157974493 1132758578 /nfs/dbraw/zinc/75/85/78/1132758578.db2.gz UEZYMMWNPDMXHI-UHFFFAOYSA-N 1 2 270.376 3.884 20 0 CHADLO C=Cc1ccc(NCCCCc2ccccn2)[nH+]c1 ZINC001157975252 1132758712 /nfs/dbraw/zinc/75/87/12/1132758712.db2.gz HYWAYOVUSXEWKE-UHFFFAOYSA-N 1 2 253.349 3.554 20 0 CHADLO COCc1cc[nH+]c(NCc2c(Cl)cncc2Cl)c1 ZINC001158302043 1132769447 /nfs/dbraw/zinc/76/94/47/1132769447.db2.gz YOLBNLBIJGQROA-UHFFFAOYSA-N 1 2 298.173 3.542 20 0 CHADLO OCc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1Cl ZINC001212763269 1132769808 /nfs/dbraw/zinc/76/98/08/1132769808.db2.gz MOLPLOKMHXDGQW-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO COc1cccnc1CNc1cc(-c2ccccc2)cc[nH+]1 ZINC001158320722 1132769856 /nfs/dbraw/zinc/76/98/56/1132769856.db2.gz JKYRMAOWXANYMF-UHFFFAOYSA-N 1 2 291.354 3.764 20 0 CHADLO Cc1nc(N[C@H]2C[C@@H](C)c3ccccc32)c2c([nH+]1)CCC2 ZINC001158472869 1132779195 /nfs/dbraw/zinc/77/91/95/1132779195.db2.gz NRJJSSZGAPHJFN-DIFFPNOSSA-N 1 2 279.387 3.934 20 0 CHADLO CCc1cccc(Nc2cccc(-n3cccn3)c2)[nH+]1 ZINC001174300297 1132779396 /nfs/dbraw/zinc/77/93/96/1132779396.db2.gz XOGOTJMMJCMYOS-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO CCOC(=O)C1(CNc2ccc(C(C)(C)C)c[nH+]2)CCC1 ZINC001158623187 1132787751 /nfs/dbraw/zinc/78/77/51/1132787751.db2.gz SUYKYZMDYKKWCE-UHFFFAOYSA-N 1 2 290.407 3.524 20 0 CHADLO Cc1nc2[nH]ccc2c(NCCc2coc3ccccc23)[nH+]1 ZINC001158659158 1132787921 /nfs/dbraw/zinc/78/79/21/1132787921.db2.gz OOKOBDASFDJBOP-UHFFFAOYSA-N 1 2 292.342 3.619 20 0 CHADLO O[C@H]1c2ccccc2CC[C@@H]1Nc1cc2ccccc2c[nH+]1 ZINC001158676390 1132788716 /nfs/dbraw/zinc/78/87/16/1132788716.db2.gz VDYYTXPOMAVSSF-HKUYNNGSSA-N 1 2 290.366 3.695 20 0 CHADLO Cc1c[nH+]c(Nc2ccnc(OCC3CC3)c2)c(C)c1 ZINC001213015080 1132788749 /nfs/dbraw/zinc/78/87/49/1132788749.db2.gz BAUZYQFPDCMIMS-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO CCN(C)C(=O)c1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001174319346 1132792136 /nfs/dbraw/zinc/79/21/36/1132792136.db2.gz GDBVGBGONSEXIO-UHFFFAOYSA-N 1 2 283.375 3.534 20 0 CHADLO CCCc1cc(N(C)[C@H]2CCc3ccccc32)nc(C)[nH+]1 ZINC001158921979 1132798545 /nfs/dbraw/zinc/79/85/45/1132798545.db2.gz PAXBEPOYXQGLDI-KRWDZBQOSA-N 1 2 281.403 3.861 20 0 CHADLO Cc1cccc2c(C)cc(N3C[C@H]4CCCC(=O)[C@@H]4C3)[nH+]c12 ZINC001159001913 1132803503 /nfs/dbraw/zinc/80/35/03/1132803503.db2.gz USCQQMPVTUKZNM-GDBMZVCRSA-N 1 2 294.398 3.657 20 0 CHADLO COc1cc2ccccc2cc1Nc1ccc(N)[nH+]c1 ZINC001159281964 1132823130 /nfs/dbraw/zinc/82/31/30/1132823130.db2.gz JYMBOMPYYVGMTD-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO CCOc1ccc2ccccc2c1Nc1ccc(N)[nH+]c1 ZINC001159281428 1132823516 /nfs/dbraw/zinc/82/35/16/1132823516.db2.gz YWALQGJTJDERNJ-UHFFFAOYSA-N 1 2 279.343 3.959 20 0 CHADLO Cc1nc2c(cc(Nc3ccc(N)[nH+]c3)cc2F)n1C(C)C ZINC001159282573 1132825434 /nfs/dbraw/zinc/82/54/34/1132825434.db2.gz YHZQSMTYDCFNMB-UHFFFAOYSA-N 1 2 299.353 3.786 20 0 CHADLO Cc1cc(C)c(Nc2ccc(-c3cnn(C)c3)cc2)c[nH+]1 ZINC001174377018 1132826598 /nfs/dbraw/zinc/82/65/98/1132826598.db2.gz OUPKVMJVFWTCKX-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO COC(=O)c1occc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174381876 1132828378 /nfs/dbraw/zinc/82/83/78/1132828378.db2.gz RSLLEVOWXKCQMM-UHFFFAOYSA-N 1 2 299.330 3.740 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc2cc(N)ccc2c1 ZINC001159366471 1132834474 /nfs/dbraw/zinc/83/44/74/1132834474.db2.gz YVJOZFRCGODFHL-UHFFFAOYSA-N 1 2 278.359 3.627 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1ccc(SC)cc1 ZINC001204141177 1132847877 /nfs/dbraw/zinc/84/78/77/1132847877.db2.gz JLFRBNDPROEALD-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc(SC)cc1 ZINC001204141177 1132847886 /nfs/dbraw/zinc/84/78/86/1132847886.db2.gz JLFRBNDPROEALD-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO COCc1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1F ZINC001174413042 1132851517 /nfs/dbraw/zinc/85/15/17/1132851517.db2.gz GYZVRMNYMAALKF-UHFFFAOYSA-N 1 2 271.295 3.544 20 0 CHADLO CNc1ccc(Nc2c(C)cccc2Br)c[nH+]1 ZINC001159490190 1132854712 /nfs/dbraw/zinc/85/47/12/1132854712.db2.gz KXLBAZLYLBFBOH-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO Cc1c[nH+]c(Nc2cc(F)ccc2C(F)(F)F)c(N)c1 ZINC001174485069 1132857123 /nfs/dbraw/zinc/85/71/23/1132857123.db2.gz FEAXPUCIVOMPAV-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1[nH+]ccn1-c1ccc(Nc2ccc3c[nH]nc3c2)cc1 ZINC001174500239 1132861544 /nfs/dbraw/zinc/86/15/44/1132861544.db2.gz MBAHDRVAKLHVPP-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)ccc1N ZINC001159590377 1132869009 /nfs/dbraw/zinc/86/90/09/1132869009.db2.gz KZRXEIISMLLNTR-UHFFFAOYSA-N 1 2 257.312 3.732 20 0 CHADLO Cc1sccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001174458700 1132869985 /nfs/dbraw/zinc/86/99/85/1132869985.db2.gz IAMUQUVZFBYRNF-UHFFFAOYSA-N 1 2 259.378 3.795 20 0 CHADLO COc1cc(Nc2ccc3c(c2)NCCC3)cc(C)[nH+]1 ZINC001159604320 1132872901 /nfs/dbraw/zinc/87/29/01/1132872901.db2.gz LPGLKIUXUIANSA-UHFFFAOYSA-N 1 2 269.348 3.500 20 0 CHADLO CNc1ccc(Nc2cc(C)c(F)cc2Cl)c[nH+]1 ZINC001159662252 1132885706 /nfs/dbraw/zinc/88/57/06/1132885706.db2.gz UCVFBYSYKQFRLD-UHFFFAOYSA-N 1 2 265.719 3.968 20 0 CHADLO CSc1cc(Nc2cccc(-c3cc[nH]n3)c2)cc[nH+]1 ZINC001174506309 1132903853 /nfs/dbraw/zinc/90/38/53/1132903853.db2.gz DYCFLYNUKFPQKH-UHFFFAOYSA-N 1 2 282.372 3.937 20 0 CHADLO CCSc1cc[nH+]c(NCC(F)(F)c2ccccn2)c1 ZINC001159794521 1132910075 /nfs/dbraw/zinc/91/00/75/1132910075.db2.gz RCFRGGXZTVMSNN-UHFFFAOYSA-N 1 2 295.358 3.793 20 0 CHADLO FC(F)(CNc1cc2ccccc2c[nH+]1)c1ccccn1 ZINC001159793062 1132910504 /nfs/dbraw/zinc/91/05/04/1132910504.db2.gz KNXAGHMCCIHVPE-UHFFFAOYSA-N 1 2 285.297 3.834 20 0 CHADLO COc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1O ZINC001174522646 1132912911 /nfs/dbraw/zinc/91/29/11/1132912911.db2.gz RFBZHNIDCCVGLI-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnc(C3CC3)nc2)cc1 ZINC001174618037 1132928336 /nfs/dbraw/zinc/92/83/36/1132928336.db2.gz KTLUCCQYNBSUIX-UHFFFAOYSA-N 1 2 268.364 3.554 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnc(C3CC3)nc2)cc1 ZINC001174618037 1132928343 /nfs/dbraw/zinc/92/83/43/1132928343.db2.gz KTLUCCQYNBSUIX-UHFFFAOYSA-N 1 2 268.364 3.554 20 0 CHADLO [NH3+][C@H]1CCCN(c2ccc(Nc3cccc(S)c3)cc2)C1 ZINC001159987122 1132932312 /nfs/dbraw/zinc/93/23/12/1132932312.db2.gz TXSIKQLXSDDEBJ-ZDUSSCGKSA-N 1 2 299.443 3.646 20 0 CHADLO [NH3+][C@@H]1CCCN(c2ccc(Nc3cccc(S)c3)cc2)C1 ZINC001159987121 1132932565 /nfs/dbraw/zinc/93/25/65/1132932565.db2.gz TXSIKQLXSDDEBJ-CYBMUJFWSA-N 1 2 299.443 3.646 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(C)c(C)c1 ZINC001174634117 1132935751 /nfs/dbraw/zinc/93/57/51/1132935751.db2.gz ROGMYTUKICJDFC-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1cc(N[C@@H]2COCc3ccccc32)nc(C(C)(C)C)[nH+]1 ZINC001160124660 1132941290 /nfs/dbraw/zinc/94/12/90/1132941290.db2.gz SIJCSRBGQXIBER-OAHLLOKOSA-N 1 2 297.402 3.766 20 0 CHADLO Cc1cc(NCc2cccc3ncoc32)nc(C(C)C)[nH+]1 ZINC001160256539 1132952587 /nfs/dbraw/zinc/95/25/87/1132952587.db2.gz VEBYYTPBTVBISH-UHFFFAOYSA-N 1 2 282.347 3.662 20 0 CHADLO CCSc1cc[nH+]c(NCCOC2CCCCC2)c1 ZINC001160281735 1132956937 /nfs/dbraw/zinc/95/69/37/1132956937.db2.gz SLWQYFWFKABIAN-UHFFFAOYSA-N 1 2 280.437 3.955 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)ccc(F)c1OC ZINC001174661858 1132954135 /nfs/dbraw/zinc/95/41/35/1132954135.db2.gz BTCVWKQYFQNDCM-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO Cc1cc(NCCOC2CCCCC2)nc(C(C)(C)C)[nH+]1 ZINC001160281885 1132956879 /nfs/dbraw/zinc/95/68/79/1132956879.db2.gz YFCBRKOPLWVILK-UHFFFAOYSA-N 1 2 291.439 3.844 20 0 CHADLO CCO[C@@H](C)n1cc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001174701180 1132959291 /nfs/dbraw/zinc/95/92/91/1132959291.db2.gz YVVLMXVIEUYTRU-LBPRGKRZSA-N 1 2 282.347 3.730 20 0 CHADLO CN(C)c1ccc(Nc2ccc(C(F)F)cc2)c[nH+]1 ZINC001174711270 1132961047 /nfs/dbraw/zinc/96/10/47/1132961047.db2.gz XOBBNGDROKTVLR-UHFFFAOYSA-N 1 2 263.291 3.829 20 0 CHADLO FC1(F)C[NH2+]CC[C@@H]1Oc1ccc(NC2=CCCC2)cc1 ZINC001160341280 1132965467 /nfs/dbraw/zinc/96/54/67/1132965467.db2.gz OLDSVFVHJCUGTI-HNNXBMFYSA-N 1 2 294.345 3.542 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2c[nH]c3cnccc23)cc1 ZINC001160371397 1132967486 /nfs/dbraw/zinc/96/74/86/1132967486.db2.gz DRKMIJMKYLFYNP-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2c[nH]c3cnccc23)cc1 ZINC001160371397 1132967490 /nfs/dbraw/zinc/96/74/90/1132967490.db2.gz DRKMIJMKYLFYNP-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO Cc1ccc(Nc2ccc(N)c(Br)c2)c(C)[nH+]1 ZINC001160375981 1132967510 /nfs/dbraw/zinc/96/75/10/1132967510.db2.gz MDFGBSMQAUBHHR-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Oc1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc1F ZINC001174735447 1132967729 /nfs/dbraw/zinc/96/77/29/1132967729.db2.gz SKGDIHUNGQNUCY-UHFFFAOYSA-N 1 2 288.200 3.569 20 0 CHADLO Cc1cc(Cl)c(C)c(NCC2Oc3ccccc3O2)[nH+]1 ZINC001160407944 1132970040 /nfs/dbraw/zinc/97/00/40/1132970040.db2.gz VXIUPVWFMOLPAL-UHFFFAOYSA-N 1 2 290.750 3.561 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H](CC(C)C)c2ccccn2)[nH+]1 ZINC001160482547 1132971206 /nfs/dbraw/zinc/97/12/06/1132971206.db2.gz LAQMDBINEPKREO-OAHLLOKOSA-N 1 2 295.390 3.861 20 0 CHADLO CC(C)C[C@H](C(=O)NCC1(C)CCCCC1)n1cc[nH+]c1 ZINC001160483366 1132971466 /nfs/dbraw/zinc/97/14/66/1132971466.db2.gz BVINXHBZJXARTD-OAHLLOKOSA-N 1 2 291.439 3.557 20 0 CHADLO CSc1cc[nH+]c(NCc2noc3ccccc23)c1 ZINC001160584906 1132975679 /nfs/dbraw/zinc/97/56/79/1132975679.db2.gz JGPYPJOLKFFHPN-UHFFFAOYSA-N 1 2 271.345 3.557 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3cc(C(C)C)ncn3)c[nH+]c12 ZINC001160683335 1132979107 /nfs/dbraw/zinc/97/91/07/1132979107.db2.gz LQPWNIMGUVCEIZ-ZDUSSCGKSA-N 1 2 295.390 3.729 20 0 CHADLO FC(F)(F)c1cc(Nc2cccc3[nH+]c[nH]c32)ccn1 ZINC001213026008 1132980212 /nfs/dbraw/zinc/98/02/12/1132980212.db2.gz DRCZBQJDANCQNS-UHFFFAOYSA-N 1 2 278.237 3.720 20 0 CHADLO CCOC(=O)c1ccsc1Nc1cccc2[nH+]c[nH]c21 ZINC001213026392 1132981663 /nfs/dbraw/zinc/98/16/63/1132981663.db2.gz XAHGCAOIYOKHEB-UHFFFAOYSA-N 1 2 287.344 3.545 20 0 CHADLO COc1c[nH+]c(NCc2cc(C)c(OC)cc2C)c(C)c1 ZINC001160777250 1132981869 /nfs/dbraw/zinc/98/18/69/1132981869.db2.gz OITIXHKZGKZNLV-UHFFFAOYSA-N 1 2 286.375 3.636 20 0 CHADLO c1nc2c(cccc2Nc2ccc[nH+]c2N2CCCCC2)[nH]1 ZINC001213027005 1132984457 /nfs/dbraw/zinc/98/44/57/1132984457.db2.gz CWWUFQGIEVBQIP-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO c1nc(CNc2ccc(Nc3ccccc3)c[nH+]2)cs1 ZINC001203195074 1132985285 /nfs/dbraw/zinc/98/52/85/1132985285.db2.gz XNVUVVWGVGRBRE-UHFFFAOYSA-N 1 2 282.372 3.894 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1N[C@H]1CCC(C)(C)C1 ZINC001161033948 1132991259 /nfs/dbraw/zinc/99/12/59/1132991259.db2.gz UQXRNJZFQYDBPN-LBPRGKRZSA-N 1 2 278.396 3.672 20 0 CHADLO C[C@H]1CCc2cc(N)ccc2N1c1cccc2[nH+]c[nH]c21 ZINC001213030537 1132994054 /nfs/dbraw/zinc/99/40/54/1132994054.db2.gz DNURBVWPYKVRSE-NSHDSACASA-N 1 2 278.359 3.618 20 0 CHADLO CC(=O)c1oc2cccnc2c1Nc1cccc2[nH+]c[nH]c21 ZINC001213029940 1132994855 /nfs/dbraw/zinc/99/48/55/1132994855.db2.gz ZKGVXQCECFKMEW-UHFFFAOYSA-N 1 2 292.298 3.650 20 0 CHADLO c1ncc(-c2ccc(Nc3cccc4[nH+]c[nH]c43)cc2)o1 ZINC001213031988 1132998058 /nfs/dbraw/zinc/99/80/58/1132998058.db2.gz SMLMUHIAJKRWMZ-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO Cc1nc(NC[C@@H]2CCOC(C)(C)C2)cc(C(C)C)[nH+]1 ZINC001161422979 1133000483 /nfs/dbraw/zinc/00/04/83/1133000483.db2.gz HEGWIMDOAMFALV-CYBMUJFWSA-N 1 2 277.412 3.526 20 0 CHADLO Cc1c(F)ccc(Nc2cccc3[nH+]c[nH]c32)c1F ZINC001213033536 1133004782 /nfs/dbraw/zinc/00/47/82/1133004782.db2.gz LPUGZLORGBNLIJ-UHFFFAOYSA-N 1 2 259.259 3.893 20 0 CHADLO COC(=O)c1c(F)ccc(Nc2cccc3[nH+]c[nH]c32)c1C ZINC001213033549 1133005146 /nfs/dbraw/zinc/00/51/46/1133005146.db2.gz MFUWVAAUETYXRD-UHFFFAOYSA-N 1 2 299.305 3.541 20 0 CHADLO Cc1nc2c(ccn2C)c(N[C@H](C)CCc2ccccc2)[nH+]1 ZINC001161611565 1133008094 /nfs/dbraw/zinc/00/80/94/1133008094.db2.gz LQNAEUACZBFBBR-CYBMUJFWSA-N 1 2 294.402 3.710 20 0 CHADLO Clc1ncnc2ccc(Nc3cccc4[nH+]c[nH]c43)cc12 ZINC001213034629 1133015710 /nfs/dbraw/zinc/01/57/10/1133015710.db2.gz UMHWDGGOFHKCNF-UHFFFAOYSA-N 1 2 295.733 3.903 20 0 CHADLO c1cn2ccc(N[C@@H](c3ccccc3)C3CC3)cc2[nH+]1 ZINC001161958381 1133042624 /nfs/dbraw/zinc/04/26/24/1133042624.db2.gz ORZOEIBTIYQKGY-KRWDZBQOSA-N 1 2 263.344 3.898 20 0 CHADLO CCc1cc(N(C)Cc2ccccc2C)nc(CC)[nH+]1 ZINC001162032432 1133049558 /nfs/dbraw/zinc/04/95/58/1133049558.db2.gz PHDFYGUKFZXXTJ-UHFFFAOYSA-N 1 2 269.392 3.546 20 0 CHADLO CCc1cc(NCc2cccc(C)c2)nc(CC)[nH+]1 ZINC001162083669 1133049844 /nfs/dbraw/zinc/04/98/44/1133049844.db2.gz BTLDKYJOFBMVCW-UHFFFAOYSA-N 1 2 255.365 3.522 20 0 CHADLO CCc1coc(CNc2cc(C)c(C(F)(F)F)c[nH+]2)n1 ZINC001162136291 1133053970 /nfs/dbraw/zinc/05/39/70/1133053970.db2.gz WZRGDLOHZOISLC-UHFFFAOYSA-N 1 2 285.269 3.571 20 0 CHADLO COc1ccc[nH+]c1NCC(C)(C)Cc1ccccc1F ZINC001162133370 1133054063 /nfs/dbraw/zinc/05/40/63/1133054063.db2.gz XWSFCIIWRBMTEQ-UHFFFAOYSA-N 1 2 288.366 3.910 20 0 CHADLO COc1cccc2[nH+]c(NCc3cnc(C)s3)ccc21 ZINC001162154830 1133055068 /nfs/dbraw/zinc/05/50/68/1133055068.db2.gz MCNUHWOYVICTOJ-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO CCOc1ccc[nH+]c1NCC(C)(C)CC(F)(F)F ZINC001162254083 1133065024 /nfs/dbraw/zinc/06/50/24/1133065024.db2.gz FJZRSHPONBSAKU-UHFFFAOYSA-N 1 2 276.302 3.871 20 0 CHADLO COc1ccc2[nH+]c(N[C@@H]3C[C@]34CCCOC4)c(C)cc2c1 ZINC001162370061 1133073449 /nfs/dbraw/zinc/07/34/49/1133073449.db2.gz ZWASNYHPJDJLTH-AEFFLSMTSA-N 1 2 298.386 3.533 20 0 CHADLO CC(C)(Nc1cc(-c2ccncc2)cc[nH+]1)C1CC1 ZINC001162514476 1133083777 /nfs/dbraw/zinc/08/37/77/1133083777.db2.gz JRWQQHPJMLCMFA-UHFFFAOYSA-N 1 2 253.349 3.744 20 0 CHADLO Cc1[nH+]c(C(C)(C)C)nc(NC(C)(C)C2CC2)c1C ZINC001162517572 1133085613 /nfs/dbraw/zinc/08/56/13/1133085613.db2.gz WXGSRDAWTQGFMN-UHFFFAOYSA-N 1 2 261.413 3.991 20 0 CHADLO CCC[C@@H](Nc1cc(N(C)C)nc[nH+]1)c1ccc(C)cc1 ZINC001162627760 1133096426 /nfs/dbraw/zinc/09/64/26/1133096426.db2.gz QJNQZTWRJHKXBL-OAHLLOKOSA-N 1 2 284.407 3.804 20 0 CHADLO CCC[C@@H](Nc1cc(N(C)C)[nH+]cn1)c1ccc(C)cc1 ZINC001162627760 1133096432 /nfs/dbraw/zinc/09/64/32/1133096432.db2.gz QJNQZTWRJHKXBL-OAHLLOKOSA-N 1 2 284.407 3.804 20 0 CHADLO Cc1cc(NC[C@H]2CCC(F)(F)C2)cc(OC(F)F)[nH+]1 ZINC001162655357 1133101080 /nfs/dbraw/zinc/10/10/80/1133101080.db2.gz KJKDXXOOVRUGER-VIFPVBQESA-N 1 2 292.276 3.839 20 0 CHADLO Cn1cccc1CNc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001162709605 1133104919 /nfs/dbraw/zinc/10/49/19/1133104919.db2.gz UDLCAABOAKKSBY-UHFFFAOYSA-N 1 2 295.308 3.626 20 0 CHADLO CSc1nc(N[C@H]2CCc3ccc(C)cc32)cc(C)[nH+]1 ZINC001162823261 1133114326 /nfs/dbraw/zinc/11/43/26/1133114326.db2.gz URENQVFGKRWDAG-AWEZNQCLSA-N 1 2 285.416 3.915 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc4c(c3)OCC4)cc2)c[nH+]1 ZINC001206678687 1133115339 /nfs/dbraw/zinc/11/53/39/1133115339.db2.gz OAQJGFUPQGMCFW-UHFFFAOYSA-N 1 2 291.354 3.610 20 0 CHADLO CNc1ccc(Nc2nc(C3CCCCC3)ns2)c[nH+]1 ZINC001162832995 1133116320 /nfs/dbraw/zinc/11/63/20/1133116320.db2.gz MCTGNCGKPXROPS-UHFFFAOYSA-N 1 2 289.408 3.766 20 0 CHADLO Nc1[nH+]c(N[C@H]2CCCc3cc(F)ccc32)ccc1Cl ZINC001162975581 1133125040 /nfs/dbraw/zinc/12/50/40/1133125040.db2.gz KXVUVGQBRSILAG-ZDUSSCGKSA-N 1 2 291.757 3.946 20 0 CHADLO Fc1cccc2c1ccnc2NCc1ccc2[nH+]ccn2c1 ZINC001163138906 1133131138 /nfs/dbraw/zinc/13/11/38/1133131138.db2.gz DLJZUCPQSGDJDK-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO Cc1cc(N[C@H]2CCCc3ccncc32)nc(C(C)(C)C)[nH+]1 ZINC001163171886 1133133015 /nfs/dbraw/zinc/13/30/15/1133133015.db2.gz JEXHDLKEQJSACZ-HNNXBMFYSA-N 1 2 296.418 3.967 20 0 CHADLO Cc1nc(N[C@@H](C)C2CCCC2)c2c([nH+]1)CCCC2 ZINC001163480903 1133151791 /nfs/dbraw/zinc/15/17/91/1133151791.db2.gz ROLAHCWSLBEWFY-NSHDSACASA-N 1 2 259.397 3.654 20 0 CHADLO Oc1cccc(CCNc2cc3cc(F)ccc3c[nH+]2)c1 ZINC001163698880 1133166616 /nfs/dbraw/zinc/16/66/16/1133166616.db2.gz WIRQSXSFKWKRAO-UHFFFAOYSA-N 1 2 282.318 3.734 20 0 CHADLO CCc1cc(N(CCC2CC2)CC2CC2)nc(CC)[nH+]1 ZINC001163779279 1133174932 /nfs/dbraw/zinc/17/49/32/1133174932.db2.gz ALEDSKQKGNJCPM-UHFFFAOYSA-N 1 2 273.424 3.618 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001163795729 1133175763 /nfs/dbraw/zinc/17/57/63/1133175763.db2.gz RUOALVYBGINUFP-IRXDYDNUSA-N 1 2 294.398 3.881 20 0 CHADLO CC(C)(C)c1ccc(N2CCC(=O)[C@H]3CCCC[C@H]32)[nH+]c1 ZINC001163796337 1133175850 /nfs/dbraw/zinc/17/58/50/1133175850.db2.gz XZODKFMRXMKRJO-LSDHHAIUSA-N 1 2 286.419 3.717 20 0 CHADLO Cc1cc(NCc2ccccc2N)[nH+]cc1C(F)(F)F ZINC001163880940 1133182222 /nfs/dbraw/zinc/18/22/22/1133182222.db2.gz NNTJAWGZUURAMS-UHFFFAOYSA-N 1 2 281.281 3.603 20 0 CHADLO CC[C@@H](Cc1cn(C)c2ccccc12)Nc1cc[nH+]c(C)n1 ZINC001163873563 1133182288 /nfs/dbraw/zinc/18/22/88/1133182288.db2.gz NTNLWQBWBHVYKJ-HNNXBMFYSA-N 1 2 294.402 3.710 20 0 CHADLO Cc1scc(CNc2nc(C)[nH+]c3c2CCCC3)c1C ZINC001163881892 1133183118 /nfs/dbraw/zinc/18/31/18/1133183118.db2.gz CDACRPPGHISUDN-UHFFFAOYSA-N 1 2 287.432 3.954 20 0 CHADLO CC(C)c1cc(N[C@H]2C[C@@H](C)O[C@H]2C)nc(C(C)C)[nH+]1 ZINC001163906268 1133183957 /nfs/dbraw/zinc/18/39/57/1133183957.db2.gz BSAFUKIGABTTJX-DYEKYZERSA-N 1 2 277.412 3.701 20 0 CHADLO CC(C)c1ccc(N2CC[C@@H](CF)C(F)(F)C2)[nH+]c1 ZINC001164093699 1133196902 /nfs/dbraw/zinc/19/69/02/1133196902.db2.gz DSCFNXCLBCGFFQ-LBPRGKRZSA-N 1 2 272.314 3.636 20 0 CHADLO C[C@H](Nc1cc[nH+]cc1F)c1ccc2ccccc2c1 ZINC001164146606 1133200348 /nfs/dbraw/zinc/20/03/48/1133200348.db2.gz ZXOSMVBSHJOCJP-LBPRGKRZSA-N 1 2 266.319 3.969 20 0 CHADLO FC(F)(F)[C@@H](Nc1cc2cc[nH]c2c[nH+]1)c1cccnc1 ZINC001164173345 1133202563 /nfs/dbraw/zinc/20/25/63/1133202563.db2.gz FIQUMMHYKLFRND-ZDUSSCGKSA-N 1 2 292.264 3.673 20 0 CHADLO COc1ccc(N[C@H](c2cccnc2)C(F)(F)F)[nH+]c1C ZINC001164188860 1133204408 /nfs/dbraw/zinc/20/44/08/1133204408.db2.gz MVGFHRBLVQMURD-CYBMUJFWSA-N 1 2 297.280 3.509 20 0 CHADLO c1coc(CSCCNc2c[nH+]c3c(c2)CCC3)c1 ZINC001164224385 1133208528 /nfs/dbraw/zinc/20/85/28/1133208528.db2.gz QNKMALNDWPKCAF-UHFFFAOYSA-N 1 2 274.389 3.509 20 0 CHADLO Cc1cc[nH+]cc1NCCCOc1ccc(Cl)cc1 ZINC001164235204 1133210044 /nfs/dbraw/zinc/21/00/44/1133210044.db2.gz VUUAWWAXCDTDFT-UHFFFAOYSA-N 1 2 276.767 3.924 20 0 CHADLO COc1cc2cc[nH+]c(N3C[C@@H](C)C[C@H]3CF)c2cc1F ZINC001164259977 1133210746 /nfs/dbraw/zinc/21/07/46/1133210746.db2.gz DEDWTDDSUQHCGX-JQWIXIFHSA-N 1 2 292.329 3.567 20 0 CHADLO Cc1cc(N2C[C@@H](C)C[C@H]2CF)[nH+]c2ccccc12 ZINC001164258887 1133210768 /nfs/dbraw/zinc/21/07/68/1133210768.db2.gz OLERYXGFOHNHPP-AAEUAGOBSA-N 1 2 258.340 3.728 20 0 CHADLO CCNc1ccc(Nc2ncncc2-c2ccccc2)c[nH+]1 ZINC001164394448 1133217519 /nfs/dbraw/zinc/21/75/19/1133217519.db2.gz OZBCFKJEQKAJFA-UHFFFAOYSA-N 1 2 291.358 3.714 20 0 CHADLO CCNc1ccc(Nc2ncnc3scc(C)c32)c[nH+]1 ZINC001164395291 1133218168 /nfs/dbraw/zinc/21/81/68/1133218168.db2.gz YHMZGHPPFUTVSW-UHFFFAOYSA-N 1 2 285.376 3.570 20 0 CHADLO C[C@]1(CF)CC(F)(F)CN1c1[nH+]ccc2ccccc21 ZINC001164557611 1133222547 /nfs/dbraw/zinc/22/25/47/1133222547.db2.gz KLEMVABYFFGYJT-CQSZACIVSA-N 1 2 280.293 3.808 20 0 CHADLO C[C@@]1(CF)CC(F)(F)CN1c1cccc(C2CC2)[nH+]1 ZINC001164568369 1133223306 /nfs/dbraw/zinc/22/33/06/1133223306.db2.gz VFOZUQRTQXTKLY-ZDUSSCGKSA-N 1 2 270.298 3.533 20 0 CHADLO FC1(F)C[C@@H]2CN(c3[nH+]ccc4cc(Cl)ccc43)C[C@@H]21 ZINC001165294614 1133237665 /nfs/dbraw/zinc/23/76/65/1133237665.db2.gz GRINVEHVYFZAQV-MFKMUULPSA-N 1 2 294.732 3.980 20 0 CHADLO C[C@H]1CC[C@@](C)(CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1(C)C ZINC001165833174 1133253312 /nfs/dbraw/zinc/25/33/12/1133253312.db2.gz MQCIKQRYNAFBQU-SGTLLEGYSA-N 1 2 299.418 3.755 20 0 CHADLO Cc1cc(N2CCCC[C@H]2c2cccnc2)nc(C2CC2)[nH+]1 ZINC001166577016 1133272483 /nfs/dbraw/zinc/27/24/83/1133272483.db2.gz KPRCMCVSURQKRL-INIZCTEOSA-N 1 2 294.402 3.789 20 0 CHADLO Cc1cccc2c(C)cc(N(C)CCc3ccncc3)[nH+]c12 ZINC001166625759 1133279016 /nfs/dbraw/zinc/27/90/16/1133279016.db2.gz IIXWJOKUFIYLEZ-UHFFFAOYSA-N 1 2 291.398 3.926 20 0 CHADLO c1ccc([C@H]2CN(c3cccc(C4CC4)[nH+]3)CCO2)cc1 ZINC001166681494 1133281719 /nfs/dbraw/zinc/28/17/19/1133281719.db2.gz PWLSNDOSVMMTBJ-QGZVFWFLSA-N 1 2 280.371 3.537 20 0 CHADLO COc1ccc(CCN(C)c2ccc(C(C)C)c[nH+]2)cc1 ZINC001166825776 1133291515 /nfs/dbraw/zinc/29/15/15/1133291515.db2.gz YUAKZLWCXUPDER-UHFFFAOYSA-N 1 2 284.403 3.893 20 0 CHADLO COc1cccc2c(NCCc3ccsc3)cc[nH+]c12 ZINC001166849494 1133292188 /nfs/dbraw/zinc/29/21/88/1133292188.db2.gz APKYUWQNKOIREJ-UHFFFAOYSA-N 1 2 284.384 3.960 20 0 CHADLO Fc1ccc(NCCc2ccsc2)cc1-n1cc[nH+]c1 ZINC001166864489 1133292508 /nfs/dbraw/zinc/29/25/08/1133292508.db2.gz CYKDHOYIDBLSDB-UHFFFAOYSA-N 1 2 287.363 3.728 20 0 CHADLO COc1ccccc1Cc1cn(C[C@@H]2CC[C@H]3C[C@H]3C2)c[nH+]1 ZINC001166964664 1133295097 /nfs/dbraw/zinc/29/50/97/1133295097.db2.gz SGZUXTABIBIUDD-HLLBOEOZSA-N 1 2 296.414 3.919 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cnc3ccccn13)CC2 ZINC001203157053 1133303173 /nfs/dbraw/zinc/30/31/73/1133303173.db2.gz GCQYHQUWWIKTQG-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cnc3ccccn13)CC2 ZINC001203157053 1133303176 /nfs/dbraw/zinc/30/31/76/1133303176.db2.gz GCQYHQUWWIKTQG-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@@H+]1Cc1ccco1 ZINC001203283394 1133309797 /nfs/dbraw/zinc/30/97/97/1133309797.db2.gz GMUBILMNNCAAEF-CQSZACIVSA-N 1 2 263.287 3.895 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@H+]1Cc1ccco1 ZINC001203283394 1133309802 /nfs/dbraw/zinc/30/98/02/1133309802.db2.gz GMUBILMNNCAAEF-CQSZACIVSA-N 1 2 263.287 3.895 20 0 CHADLO Cc1ccc(Nc2ncc(F)cc2Br)c(C)[nH+]1 ZINC001203367559 1133316508 /nfs/dbraw/zinc/31/65/08/1133316508.db2.gz MESPTGAWZKQKKC-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Fc1c(F)c(F)c(C[NH+]2C[C@@H]3CCC[C@H]3C2)c(F)c1F ZINC001203361035 1133317340 /nfs/dbraw/zinc/31/73/40/1133317340.db2.gz JXKMBNSWRWTNCQ-YUMQZZPRSA-N 1 2 291.263 3.614 20 0 CHADLO Cc1ccc(Nc2cnc(OC(C)C)c(C)c2)c(C)[nH+]1 ZINC001203368914 1133318514 /nfs/dbraw/zinc/31/85/14/1133318514.db2.gz HEIGHCDNSRVORC-UHFFFAOYSA-N 1 2 271.364 3.933 20 0 CHADLO CCOC(=O)c1ccc(F)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203373643 1133319893 /nfs/dbraw/zinc/31/98/93/1133319893.db2.gz AVJUTUFBJACLJA-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO COc1c(F)cc(F)cc1Nc1ccc(C)[nH+]c1C ZINC001203374921 1133320530 /nfs/dbraw/zinc/32/05/30/1133320530.db2.gz OISFYVFSMBQMDM-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CNc1ccc(Nc2nc3cc(Cl)ccc3o2)c[nH+]1 ZINC001203447746 1133329447 /nfs/dbraw/zinc/32/94/47/1133329447.db2.gz OKDLXZADXZLQDG-UHFFFAOYSA-N 1 2 274.711 3.662 20 0 CHADLO CNc1ccc(Nc2cc(-c3ccc(C)cc3)nn2C)c[nH+]1 ZINC001203454305 1133329833 /nfs/dbraw/zinc/32/98/33/1133329833.db2.gz BCXXSDPVWLXONS-UHFFFAOYSA-N 1 2 293.374 3.576 20 0 CHADLO CNc1ccc(Nc2cccc(C(F)(F)F)c2O)c[nH+]1 ZINC001203462443 1133330581 /nfs/dbraw/zinc/33/05/81/1133330581.db2.gz RKYMZMATTWZEPD-UHFFFAOYSA-N 1 2 283.253 3.591 20 0 CHADLO CNc1ccc(Nc2cccc3cncc(F)c32)c[nH+]1 ZINC001203462057 1133330742 /nfs/dbraw/zinc/33/07/42/1133330742.db2.gz HQEUITXWNPWWEM-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO Cc1ccc2[nH+]c(NC[C@H]3CCOc4ccccc43)[nH]c2c1 ZINC001203479691 1133333086 /nfs/dbraw/zinc/33/30/86/1133333086.db2.gz WILMIKODDOWWPV-CYBMUJFWSA-N 1 2 293.370 3.850 20 0 CHADLO CN(Cc1ccccc1)c1ccc(Nc2cncs2)c[nH+]1 ZINC001203513082 1133333792 /nfs/dbraw/zinc/33/37/92/1133333792.db2.gz JJKKCCVLZRHBRM-UHFFFAOYSA-N 1 2 296.399 3.918 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(Cl)cnc2Cl)c1 ZINC001203657605 1133349079 /nfs/dbraw/zinc/34/90/79/1133349079.db2.gz RDTKOVVVBJPNIL-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(Cl)nc(C)nc2Cl)c1 ZINC001203656208 1133349866 /nfs/dbraw/zinc/34/98/66/1133349866.db2.gz MJRHXFQJTOOVNW-UHFFFAOYSA-N 1 2 283.162 3.847 20 0 CHADLO COc1cc(OC)c(Nc2cc(C)c[nH+]c2C)cc1F ZINC001203662977 1133350344 /nfs/dbraw/zinc/35/03/44/1133350344.db2.gz BIVKWKXLOODFOJ-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO Cc1c[nH+]c(C)c(-n2ccc3c2cc(Cl)cc3N)c1 ZINC001203663502 1133350621 /nfs/dbraw/zinc/35/06/21/1133350621.db2.gz MYWUDJXHLKMBSI-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(-c3ccncn3)cc2)c1 ZINC001203664090 1133350641 /nfs/dbraw/zinc/35/06/41/1133350641.db2.gz XSLRIFLHEUEXIC-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(-n3cccn3)c2)c1 ZINC001203663364 1133350740 /nfs/dbraw/zinc/35/07/40/1133350740.db2.gz OGBRIFCCXCWNHK-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc3[nH]c(=O)ccc23)c1 ZINC001203663376 1133350833 /nfs/dbraw/zinc/35/08/33/1133350833.db2.gz IZJPKNCOTROILN-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(OC3CCOCC3)cc2)c1 ZINC001203663515 1133350847 /nfs/dbraw/zinc/35/08/47/1133350847.db2.gz NRMHYTJTWKOOJP-UHFFFAOYSA-N 1 2 298.386 4.000 20 0 CHADLO COc1cc(Nc2cc(C)c[nH+]c2C)cc2cccnc21 ZINC001203666713 1133350891 /nfs/dbraw/zinc/35/08/91/1133350891.db2.gz CLHFCNLNFMTZAS-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COC(=O)c1cc(F)c(Nc2cc(C)c[nH+]c2C)cc1F ZINC001203666737 1133350902 /nfs/dbraw/zinc/35/09/02/1133350902.db2.gz DPWGXYXMJXSPMO-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO CC(=O)c1cc(Nc2cc(C)c[nH+]c2C)cc(C(C)=O)c1 ZINC001203667124 1133350989 /nfs/dbraw/zinc/35/09/89/1133350989.db2.gz HXNZNUPCJBALAI-UHFFFAOYSA-N 1 2 282.343 3.847 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(F)c(F)c2F)c1 ZINC001203663854 1133351839 /nfs/dbraw/zinc/35/18/39/1133351839.db2.gz XIBUEMOPBMKCRH-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Fc1ccnc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001203713026 1133357766 /nfs/dbraw/zinc/35/77/66/1133357766.db2.gz TYKKHGYGNCJCMI-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(N3CCCCC3)cc2)[nH+]1 ZINC001203712573 1133357900 /nfs/dbraw/zinc/35/79/00/1133357900.db2.gz KKULHUZKNIDNPY-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1cnc(Nc2ccc([NH+]3CCCCC3)cc2)o1 ZINC001203712077 1133357918 /nfs/dbraw/zinc/35/79/18/1133357918.db2.gz BICKAJRVIHRKDH-UHFFFAOYSA-N 1 2 257.337 3.717 20 0 CHADLO CN(C)c1ccc(Nc2cc(F)cc3[nH]ccc32)c[nH+]1 ZINC001203725924 1133360140 /nfs/dbraw/zinc/36/01/40/1133360140.db2.gz PEDOWWWUMFAOIR-UHFFFAOYSA-N 1 2 270.311 3.512 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3cccc(C)c3C2)cc1F ZINC001203790830 1133370041 /nfs/dbraw/zinc/37/00/41/1133370041.db2.gz TUOWDBMANDLILP-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(C[N@H+]2Cc3cccc(C)c3C2)cc1F ZINC001203790830 1133370045 /nfs/dbraw/zinc/37/00/45/1133370045.db2.gz TUOWDBMANDLILP-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc(F)c1C[NH2+]Cc1ccc(F)c(F)c1F ZINC001203856650 1133377551 /nfs/dbraw/zinc/37/75/51/1133377551.db2.gz NRSIFMUSTXJXLD-UHFFFAOYSA-N 1 2 299.267 3.541 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)CCCC1=O ZINC001203884370 1133379082 /nfs/dbraw/zinc/37/90/82/1133379082.db2.gz ADVDHPYWQLRBHW-NSHDSACASA-N 1 2 285.309 3.506 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)CCCC1=O ZINC001203884370 1133379089 /nfs/dbraw/zinc/37/90/89/1133379089.db2.gz ADVDHPYWQLRBHW-NSHDSACASA-N 1 2 285.309 3.506 20 0 CHADLO COc1ccc2ccccc2c1C[N@@H+]1C[C@@H](F)C[C@H]1CF ZINC001203985979 1133393913 /nfs/dbraw/zinc/39/39/13/1133393913.db2.gz CDQCXRLNSIDSFG-KBPBESRZSA-N 1 2 291.341 3.730 20 0 CHADLO COc1ccc2ccccc2c1C[N@H+]1C[C@@H](F)C[C@H]1CF ZINC001203985979 1133393918 /nfs/dbraw/zinc/39/39/18/1133393918.db2.gz CDQCXRLNSIDSFG-KBPBESRZSA-N 1 2 291.341 3.730 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3nc(Cl)ccc3C2)cc1 ZINC001204177558 1133415289 /nfs/dbraw/zinc/41/52/89/1133415289.db2.gz UPUQMLKRCADHDZ-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3nc(Cl)ccc3C2)cc1 ZINC001204177558 1133415294 /nfs/dbraw/zinc/41/52/94/1133415294.db2.gz UPUQMLKRCADHDZ-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc(F)c(F)c1)CC2 ZINC001204224304 1133421128 /nfs/dbraw/zinc/42/11/28/1133421128.db2.gz SHPVBOAMGUSHKR-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc(F)c(F)c1)CC2 ZINC001204224304 1133421131 /nfs/dbraw/zinc/42/11/31/1133421131.db2.gz SHPVBOAMGUSHKR-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCCCCCOc1ccccc1C[N@@H+]1CCO[C@H](C)C1 ZINC001204298711 1133432307 /nfs/dbraw/zinc/43/23/07/1133432307.db2.gz URCAFWAKQODGCC-MRXNPFEDSA-N 1 2 291.435 3.866 20 0 CHADLO CCCCCCOc1ccccc1C[N@H+]1CCO[C@H](C)C1 ZINC001204298711 1133432315 /nfs/dbraw/zinc/43/23/15/1133432315.db2.gz URCAFWAKQODGCC-MRXNPFEDSA-N 1 2 291.435 3.866 20 0 CHADLO CCCCCOc1ccc(C[N@@H+]2CCC(=O)[C@@H](C)C2)cc1 ZINC001204311050 1133435634 /nfs/dbraw/zinc/43/56/34/1133435634.db2.gz RUYOZVYIPQKJHX-HNNXBMFYSA-N 1 2 289.419 3.667 20 0 CHADLO CCCCCOc1ccc(C[N@H+]2CCC(=O)[C@@H](C)C2)cc1 ZINC001204311050 1133435636 /nfs/dbraw/zinc/43/56/36/1133435636.db2.gz RUYOZVYIPQKJHX-HNNXBMFYSA-N 1 2 289.419 3.667 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC[C@@H]2c2cnccn2)s1 ZINC001204445822 1133449219 /nfs/dbraw/zinc/44/92/19/1133449219.db2.gz VNZNUYLEFJOLKO-GFCCVEGCSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc(C[N@H+]2CCC[C@@H]2c2cnccn2)s1 ZINC001204445822 1133449220 /nfs/dbraw/zinc/44/92/20/1133449220.db2.gz VNZNUYLEFJOLKO-GFCCVEGCSA-N 1 2 279.796 3.529 20 0 CHADLO COCOc1cc(C)c(-c2c(C)cc[nH+]c2C)c(C)c1 ZINC001204605481 1133467067 /nfs/dbraw/zinc/46/70/67/1133467067.db2.gz OGCCUSMJVORLFL-UHFFFAOYSA-N 1 2 271.360 3.965 20 0 CHADLO Cc1ccc2nc(Nc3ccn4cc[nH+]c4c3)ccc2c1 ZINC001204950675 1133505543 /nfs/dbraw/zinc/50/55/43/1133505543.db2.gz DWVXSODTRQHQMM-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO c1cn2ccc(Nc3ccc4ccccc4n3)cc2[nH+]1 ZINC001204950686 1133505573 /nfs/dbraw/zinc/50/55/73/1133505573.db2.gz FLYGLRUNTGVKOT-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO c1csc(-c2cc(Nc3ccn4cc[nH+]c4c3)on2)c1 ZINC001204965626 1133509041 /nfs/dbraw/zinc/50/90/41/1133509041.db2.gz FEHJMNMPTHVDFF-UHFFFAOYSA-N 1 2 282.328 3.794 20 0 CHADLO Cc1cc(CC(C)C)ncc1Nc1ccn2cc[nH+]c2c1 ZINC001204972038 1133509913 /nfs/dbraw/zinc/50/99/13/1133509913.db2.gz VGOQENJZSGQFRB-UHFFFAOYSA-N 1 2 280.375 3.980 20 0 CHADLO COc1ccc(Cl)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204971653 1133510308 /nfs/dbraw/zinc/51/03/08/1133510308.db2.gz MBDPFLHLKSDHPA-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO Cc1cccc(-n2nccc2Nc2ccn3cc[nH+]c3c2)c1 ZINC001204971373 1133510414 /nfs/dbraw/zinc/51/04/14/1133510414.db2.gz JZJQNYMPMFCUGV-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO c1cn2ccc(Nc3cccnc3C3CCC3)cc2[nH+]1 ZINC001204971812 1133510544 /nfs/dbraw/zinc/51/05/44/1133510544.db2.gz RPLJAQFFIXXCBQ-UHFFFAOYSA-N 1 2 264.332 3.740 20 0 CHADLO COc1ccc(Cl)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204972297 1133510825 /nfs/dbraw/zinc/51/08/25/1133510825.db2.gz YRZZBLCGAZZVOU-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO Cc1ccc2cccnc2c1Nc1ccn2cc[nH+]c2c1 ZINC001204978313 1133511753 /nfs/dbraw/zinc/51/17/53/1133511753.db2.gz SBFRIVCGAKFAGH-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO COc1cc(Nc2ccn3cc[nH+]c3c2)cc2cccnc21 ZINC001204997253 1133514995 /nfs/dbraw/zinc/51/49/95/1133514995.db2.gz HJLMRNYNCUOKOW-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO COc1cc(F)c(Cl)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204997257 1133515002 /nfs/dbraw/zinc/51/50/02/1133515002.db2.gz HJYDRGLXHMJBHC-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO COC(=[NH2+])c1cccc(-c2cc(Cl)cc(OC)c2)c1 ZINC001205140012 1133534970 /nfs/dbraw/zinc/53/49/70/1133534970.db2.gz CNCZZHXTJSTFNO-UHFFFAOYSA-N 1 2 275.735 3.987 20 0 CHADLO COc1cc(Cl)cc(-c2cccc3[nH+]ccn32)c1 ZINC001205135338 1133535600 /nfs/dbraw/zinc/53/56/00/1133535600.db2.gz GFYXKOHQWUPYJV-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO CCCn1c[nH+]cc1CN(C)Cc1c(F)cccc1Cl ZINC001205208550 1133546168 /nfs/dbraw/zinc/54/61/68/1133546168.db2.gz MBTNXXGYCBUVDF-UHFFFAOYSA-N 1 2 295.789 3.718 20 0 CHADLO Fc1ccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)c2c[nH]nc21 ZINC001205348541 1133566290 /nfs/dbraw/zinc/56/62/90/1133566290.db2.gz AZFUZMXMIFGVIC-UHFFFAOYSA-N 1 2 278.290 3.759 20 0 CHADLO Clc1ccc2c(n1)CC[N@@H+](Cc1ccc3[nH]ccc3c1)C2 ZINC001205593653 1133592472 /nfs/dbraw/zinc/59/24/72/1133592472.db2.gz GQLACJFUKXHQOW-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2c(n1)CC[N@H+](Cc1ccc3[nH]ccc3c1)C2 ZINC001205593653 1133592474 /nfs/dbraw/zinc/59/24/74/1133592474.db2.gz GQLACJFUKXHQOW-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCC[C@@H]2c2nccs2)c1 ZINC001205655108 1133599783 /nfs/dbraw/zinc/59/97/83/1133599783.db2.gz JKSGSPJJPRYTAC-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCC[C@@H]2c2nccs2)c1 ZINC001205655108 1133599784 /nfs/dbraw/zinc/59/97/84/1133599784.db2.gz JKSGSPJJPRYTAC-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO CSc1ccc(F)cc1-c1ccc(Cn2cc[nH+]c2)cn1 ZINC001205962820 1133635455 /nfs/dbraw/zinc/63/54/55/1133635455.db2.gz PNZNKISTLIJYJH-UHFFFAOYSA-N 1 2 299.374 3.854 20 0 CHADLO Cc1c[nH]c2ncc(Nc3c[nH+]c(C)cc3C)cc12 ZINC001206027540 1133644349 /nfs/dbraw/zinc/64/43/49/1133644349.db2.gz AYMOMDKRVYCKOF-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO CSc1ccc(-c2c[nH+]c3c(c2)CCCN3)c(C)c1 ZINC001206101720 1133657501 /nfs/dbraw/zinc/65/75/01/1133657501.db2.gz TUWPYLFKGAZLDR-UHFFFAOYSA-N 1 2 270.401 3.780 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCc3cc(F)c(F)cc3C2)s1 ZINC001206192730 1133668999 /nfs/dbraw/zinc/66/89/99/1133668999.db2.gz ZDNPLPUYRMHYAK-UHFFFAOYSA-N 1 2 294.370 3.596 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCc3cc(F)c(F)cc3C2)s1 ZINC001206192730 1133669002 /nfs/dbraw/zinc/66/90/02/1133669002.db2.gz ZDNPLPUYRMHYAK-UHFFFAOYSA-N 1 2 294.370 3.596 20 0 CHADLO Cc1c(Cl)ccc(-c2c[nH+]c3c(c2)CCCN3)c1F ZINC001206291245 1133684309 /nfs/dbraw/zinc/68/43/09/1133684309.db2.gz QEWQBMMXTLBFQP-UHFFFAOYSA-N 1 2 276.742 3.850 20 0 CHADLO COCc1cc(C)c(-c2cccn3cc[nH+]c23)c(C)c1 ZINC001206318004 1133689232 /nfs/dbraw/zinc/68/92/32/1133689232.db2.gz KEUVNWIUTUJPTF-UHFFFAOYSA-N 1 2 266.344 3.765 20 0 CHADLO CC(C)[C@@H]1CCC[C@@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC001206377215 1133695347 /nfs/dbraw/zinc/69/53/47/1133695347.db2.gz QIQSOUIGJLTDHR-HOTGVXAUSA-N 1 2 273.424 3.918 20 0 CHADLO Fc1cc(-c2ccccc2Cn2cc[nH+]c2)cc2n[nH]cc21 ZINC001206586996 1133723081 /nfs/dbraw/zinc/72/30/81/1133723081.db2.gz HONXCXWHKOOMEL-UHFFFAOYSA-N 1 2 292.317 3.614 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc2cnn([C@@H]3CCCCO3)c2c1 ZINC001206657926 1133730938 /nfs/dbraw/zinc/73/09/38/1133730938.db2.gz YNQGFFNCKASSRG-FTZAFMICSA-N 1 2 283.375 3.611 20 0 CHADLO Oc1cc(F)cc(Nc2cc[nH+]c3ccc(Cl)cc23)c1 ZINC001206760032 1133743837 /nfs/dbraw/zinc/74/38/37/1133743837.db2.gz CHSIEUPKLXXQDG-UHFFFAOYSA-N 1 2 288.709 3.898 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2ncc3ccccn32)cc1 ZINC001207057962 1133763006 /nfs/dbraw/zinc/76/30/06/1133763006.db2.gz UFCVZJZKBYMSEA-CQSZACIVSA-N 1 2 295.386 3.536 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2ncc3ccccn32)cc1 ZINC001207057962 1133763012 /nfs/dbraw/zinc/76/30/12/1133763012.db2.gz UFCVZJZKBYMSEA-CQSZACIVSA-N 1 2 295.386 3.536 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cccc(C(F)F)c2)C[C@H]1F ZINC001207127280 1133770898 /nfs/dbraw/zinc/77/08/98/1133770898.db2.gz YLWUSXRJHWLVNZ-TZMCWYRMSA-N 1 2 275.289 3.896 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cccc(C(F)F)c2)C[C@H]1F ZINC001207127280 1133770904 /nfs/dbraw/zinc/77/09/04/1133770904.db2.gz YLWUSXRJHWLVNZ-TZMCWYRMSA-N 1 2 275.289 3.896 20 0 CHADLO CCCC[N@H+](CC(=O)OCC)Cc1cccc(C(F)F)c1 ZINC001207132170 1133770949 /nfs/dbraw/zinc/77/09/49/1133770949.db2.gz RAZKETNFUFXJBB-UHFFFAOYSA-N 1 2 299.361 3.789 20 0 CHADLO CCCC[N@@H+](CC(=O)OCC)Cc1cccc(C(F)F)c1 ZINC001207132170 1133770955 /nfs/dbraw/zinc/77/09/55/1133770955.db2.gz RAZKETNFUFXJBB-UHFFFAOYSA-N 1 2 299.361 3.789 20 0 CHADLO FC1(C2CC2)C[NH+](C[C@@H]2C[C@@H]2c2ccc(Cl)cc2)C1 ZINC001207180365 1133775795 /nfs/dbraw/zinc/77/57/95/1133775795.db2.gz HRRJHZWFYJDIOP-SWLSCSKDSA-N 1 2 279.786 3.877 20 0 CHADLO Cc1cc(N[C@H]2CS[C@H](C(C)C)C2)c[nH+]c1C ZINC001207325169 1133791470 /nfs/dbraw/zinc/79/14/70/1133791470.db2.gz BFOGIOWQEYQQBJ-KGLIPLIRSA-N 1 2 250.411 3.640 20 0 CHADLO FC(F)[C@H]([NH2+][C@H]1CCCOCC1)c1cccc(Cl)c1 ZINC001207552559 1133818343 /nfs/dbraw/zinc/81/83/43/1133818343.db2.gz AEEYNSWFJPRSFQ-QWHCGFSZSA-N 1 2 289.753 3.805 20 0 CHADLO F[C@@H]1C[N@@H+](CCCc2cccc(C(F)(F)F)c2)C[C@@H]1F ZINC001207842343 1133853410 /nfs/dbraw/zinc/85/34/10/1133853410.db2.gz WHYAIXZIAHPRIH-BETUJISGSA-N 1 2 293.279 3.630 20 0 CHADLO F[C@@H]1C[N@H+](CCCc2cccc(C(F)(F)F)c2)C[C@@H]1F ZINC001207842343 1133853416 /nfs/dbraw/zinc/85/34/16/1133853416.db2.gz WHYAIXZIAHPRIH-BETUJISGSA-N 1 2 293.279 3.630 20 0 CHADLO C[C@@H](C[N@@H+]1CC[C@H](CF)C(F)(F)C1)c1ccccc1 ZINC001207899896 1133860247 /nfs/dbraw/zinc/86/02/47/1133860247.db2.gz OPIWHJHDVYYYCD-GXTWGEPZSA-N 1 2 271.326 3.717 20 0 CHADLO CC/C=C\CCCCC[N@@H+]1CC(F)(F)C[C@@]1(C)CO ZINC001208191970 1133903996 /nfs/dbraw/zinc/90/39/96/1133903996.db2.gz VUSUYKONLNTRSS-WSNITJDQSA-N 1 2 275.383 3.605 20 0 CHADLO CC/C=C\CCCCC[N@H+]1CC(F)(F)C[C@@]1(C)CO ZINC001208191970 1133903999 /nfs/dbraw/zinc/90/39/99/1133903999.db2.gz VUSUYKONLNTRSS-WSNITJDQSA-N 1 2 275.383 3.605 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1CCc1c(Cl)cccc1Cl ZINC001209104405 1133976575 /nfs/dbraw/zinc/97/65/75/1133976575.db2.gz BKUBVRYEKDCYTC-NXEZZACHSA-N 1 2 276.182 3.968 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1CCc1c(Cl)cccc1Cl ZINC001209104405 1133976582 /nfs/dbraw/zinc/97/65/82/1133976582.db2.gz BKUBVRYEKDCYTC-NXEZZACHSA-N 1 2 276.182 3.968 20 0 CHADLO Fc1cc(F)cc(CC[N@@H+]2Cc3cccc(F)c3C2)c1 ZINC001209141397 1133980681 /nfs/dbraw/zinc/98/06/81/1133980681.db2.gz WROKGNSTHJVCSF-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1cc(F)cc(CC[N@H+]2Cc3cccc(F)c3C2)c1 ZINC001209141397 1133980684 /nfs/dbraw/zinc/98/06/84/1133980684.db2.gz WROKGNSTHJVCSF-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO F[C@@H]1CCC[N@H+](CCc2ccccc2C(F)(F)F)C1 ZINC001209286206 1134001947 /nfs/dbraw/zinc/00/19/47/1134001947.db2.gz VLCWQKJSWUKFDY-GFCCVEGCSA-N 1 2 275.289 3.682 20 0 CHADLO F[C@@H]1CCC[N@@H+](CCc2ccccc2C(F)(F)F)C1 ZINC001209286206 1134001951 /nfs/dbraw/zinc/00/19/51/1134001951.db2.gz VLCWQKJSWUKFDY-GFCCVEGCSA-N 1 2 275.289 3.682 20 0 CHADLO Cc1cc(Cl)ncc1C[N@@H+](C)Cc1ccccc1F ZINC001209637181 1134043883 /nfs/dbraw/zinc/04/38/83/1134043883.db2.gz RGCMVKXPTNKKQL-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(Cl)ncc1C[N@H+](C)Cc1ccccc1F ZINC001209637181 1134043890 /nfs/dbraw/zinc/04/38/90/1134043890.db2.gz RGCMVKXPTNKKQL-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(C)c1cccc(Nc2ccc3c(c2)NCCO3)[nH+]1 ZINC001209793505 1134064542 /nfs/dbraw/zinc/06/45/42/1134064542.db2.gz BGYJLXOXAZVPFT-UHFFFAOYSA-N 1 2 269.348 3.753 20 0 CHADLO c1cc(Nc2ccc3c(c2)NCCO3)[nH+]c(C2CC2)c1 ZINC001209795648 1134066155 /nfs/dbraw/zinc/06/61/55/1134066155.db2.gz VTXBMNDEZOWVAE-UHFFFAOYSA-N 1 2 267.332 3.507 20 0 CHADLO COCCCN(c1cc(C)c(C)cc1C)c1[nH+]cccc1N ZINC001209832108 1134072927 /nfs/dbraw/zinc/07/29/27/1134072927.db2.gz YYLOGPWQSQSTIE-UHFFFAOYSA-N 1 2 299.418 3.764 20 0 CHADLO CC(C)Oc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(F)c1 ZINC001209833324 1134074120 /nfs/dbraw/zinc/07/41/20/1134074120.db2.gz YZZFNXYOVLTYOS-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnc(OC)cc2C)cc1 ZINC001209873351 1134092018 /nfs/dbraw/zinc/09/20/18/1134092018.db2.gz QXUHPYOGMXNWPP-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnc(OC)cc2C)cc1 ZINC001209873351 1134092026 /nfs/dbraw/zinc/09/20/26/1134092026.db2.gz QXUHPYOGMXNWPP-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N2CCCCC2)ccc1O ZINC001209975598 1134114689 /nfs/dbraw/zinc/11/46/89/1134114689.db2.gz QXPJCXPPWCVIRV-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Oc1ccc(Nc2cccn3cc[nH+]c23)cc1C(F)(F)F ZINC001209984884 1134117108 /nfs/dbraw/zinc/11/71/08/1134117108.db2.gz WQOHLVGBJAWVQB-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO CN(C)c1ccccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001209990494 1134117441 /nfs/dbraw/zinc/11/74/41/1134117441.db2.gz ANIGKCIHRPBKCP-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc2c(c1)OCCCO2 ZINC001209999063 1134120230 /nfs/dbraw/zinc/12/02/30/1134120230.db2.gz JGTOXYJJSIAVCN-UHFFFAOYSA-N 1 2 270.332 3.549 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(F)cc2O)cc1 ZINC001210020851 1134124617 /nfs/dbraw/zinc/12/46/17/1134124617.db2.gz OVXXQUUWPCRUJL-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(F)cc2O)cc1 ZINC001210020851 1134124619 /nfs/dbraw/zinc/12/46/19/1134124619.db2.gz OVXXQUUWPCRUJL-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO Cc1cc(Nc2ccc3[nH]c(=O)oc3c2)ccc1[NH+](C)C ZINC001210041282 1134131353 /nfs/dbraw/zinc/13/13/53/1134131353.db2.gz JTYPLAKFJFVKHN-UHFFFAOYSA-N 1 2 283.331 3.651 20 0 CHADLO COC(=O)Cc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1 ZINC001210041850 1134131925 /nfs/dbraw/zinc/13/19/25/1134131925.db2.gz TXLHPTSINLIOKZ-UHFFFAOYSA-N 1 2 298.386 3.520 20 0 CHADLO Cc1c2ccc(Nc3ccc([NH+](C)C)c(C)c3)cc2nn1C ZINC001210042973 1134132178 /nfs/dbraw/zinc/13/21/78/1134132178.db2.gz GQXSKHBTYYVGTF-UHFFFAOYSA-N 1 2 294.402 4.000 20 0 CHADLO Cc1ccc(Nc2cc3c[nH]nc3cc2C)c(C)[nH+]1 ZINC001210100171 1134145620 /nfs/dbraw/zinc/14/56/20/1134145620.db2.gz HXWUSCZHKGOONI-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1cn2c(cccc2Nc2cc3c[nH]nc3cc2C)[nH+]1 ZINC001210100181 1134146008 /nfs/dbraw/zinc/14/60/08/1134146008.db2.gz IMTHRLFSPPDCPC-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc4cc[nH]c43)ccc21 ZINC001210213347 1134167536 /nfs/dbraw/zinc/16/75/36/1134167536.db2.gz SECJQYUMBZWWLQ-UHFFFAOYSA-N 1 2 262.316 3.798 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cccc(Cl)c1O ZINC001210216688 1134169372 /nfs/dbraw/zinc/16/93/72/1134169372.db2.gz NFDNECSNIROHNT-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO c1cc2c(ncnc2Nc2cccc3cc[nH+]cc32)s1 ZINC001210222311 1134170016 /nfs/dbraw/zinc/17/00/16/1134170016.db2.gz AHRTXLXCJDIXBG-UHFFFAOYSA-N 1 2 278.340 3.983 20 0 CHADLO Cn1cc(Nc2cccc3cc[nH+]cc32)c(C(F)(F)F)n1 ZINC001210223640 1134171750 /nfs/dbraw/zinc/17/17/50/1134171750.db2.gz BRUFRESULCSVDV-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO Cc1cnc(Nc2cccc3cc[nH+]cc32)c(Cl)n1 ZINC001210224269 1134171869 /nfs/dbraw/zinc/17/18/69/1134171869.db2.gz VPDMVJNEOOWPLX-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO c1cnc2c(c1)nsc2Nc1cccc2cc[nH+]cc21 ZINC001210225493 1134172267 /nfs/dbraw/zinc/17/22/67/1134172267.db2.gz IAODVGLURWOQDW-UHFFFAOYSA-N 1 2 278.340 3.983 20 0 CHADLO CCOC(=O)c1cccc(Nc2cccc3cc[nH+]cc32)n1 ZINC001210224646 1134172488 /nfs/dbraw/zinc/17/24/88/1134172488.db2.gz UODQOHYOSUAVTC-UHFFFAOYSA-N 1 2 293.326 3.550 20 0 CHADLO Cn1nc2c(c1Nc1cccc3cc[nH+]cc31)CCCC2 ZINC001210224469 1134172545 /nfs/dbraw/zinc/17/25/45/1134172545.db2.gz NYIUQFUUSJDAOX-UHFFFAOYSA-N 1 2 278.359 3.591 20 0 CHADLO CCCCC[C@@H](OCC[NH+]1CCOCC1)c1ccccc1 ZINC001210224738 1134172971 /nfs/dbraw/zinc/17/29/71/1134172971.db2.gz GFLAQGXLYGKGAO-GOSISDBHSA-N 1 2 291.435 3.657 20 0 CHADLO CCOC(=O)c1ncccc1Nc1cccc2cc[nH+]cc21 ZINC001210224542 1134173031 /nfs/dbraw/zinc/17/30/31/1134173031.db2.gz RFCIEYFIJKWZJA-UHFFFAOYSA-N 1 2 293.326 3.550 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Oc3ccccc3)nc2)cc1N ZINC001210286629 1134185316 /nfs/dbraw/zinc/18/53/16/1134185316.db2.gz BEGTUPILNFPICX-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO Cc1[nH+]cc(Nc2cncc(-c3ccccc3)c2)cc1N ZINC001210287303 1134185655 /nfs/dbraw/zinc/18/56/55/1134185655.db2.gz QKZHRLWKEZFTSU-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1[nH+]cc(Nc2c(Cl)ccc3cccnc32)cc1N ZINC001210289470 1134186424 /nfs/dbraw/zinc/18/64/24/1134186424.db2.gz MYQOHNUCZYYMNV-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cccc3ccc(O)cc32)cc1N ZINC001210290243 1134186966 /nfs/dbraw/zinc/18/69/66/1134186966.db2.gz GUSPIXCEEMMFJA-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(F)c1OC ZINC001210408059 1134210090 /nfs/dbraw/zinc/21/00/90/1134210090.db2.gz MVBADLVTCLRDFH-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO COc1cc(Nc2ccc(-c3nccn3C)cc2)cc(C)[nH+]1 ZINC001210431575 1134213665 /nfs/dbraw/zinc/21/36/65/1134213665.db2.gz NRKHRSUDIIJEMR-UHFFFAOYSA-N 1 2 294.358 3.543 20 0 CHADLO c1cn(-c2ccc(Nc3ccc4ncncc4c3)cc2)c[nH+]1 ZINC001210457401 1134219104 /nfs/dbraw/zinc/21/91/04/1134219104.db2.gz MABASTKCXRZRNF-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO CC(C)n1nccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001210516097 1134236905 /nfs/dbraw/zinc/23/69/05/1134236905.db2.gz JROJHHARITXNTP-UHFFFAOYSA-N 1 2 285.395 3.593 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccnn2C(C)C)cc1 ZINC001210524669 1134240438 /nfs/dbraw/zinc/24/04/38/1134240438.db2.gz OLKGRAMNVSDPBN-UHFFFAOYSA-N 1 2 258.369 3.664 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccnn2C(C)C)cc1 ZINC001210524669 1134240445 /nfs/dbraw/zinc/24/04/45/1134240445.db2.gz OLKGRAMNVSDPBN-UHFFFAOYSA-N 1 2 258.369 3.664 20 0 CHADLO c1cn(-c2ccccc2Nc2ccc3ocnc3c2)c[nH+]1 ZINC001210571870 1134252345 /nfs/dbraw/zinc/25/23/45/1134252345.db2.gz JWSWVWSCJQZTDG-UHFFFAOYSA-N 1 2 276.299 3.757 20 0 CHADLO CCOc1cc(Nc2cncc(N3CCCC3)c2)cc(C)[nH+]1 ZINC001210608813 1134259928 /nfs/dbraw/zinc/25/99/28/1134259928.db2.gz ZGFBEBKEBPKUQW-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(C(C)(C)O)cc3)ccc21 ZINC001210617813 1134261657 /nfs/dbraw/zinc/26/16/57/1134261657.db2.gz LQDFISXWUSNQRT-UHFFFAOYSA-N 1 2 281.359 3.544 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(NC(=O)N(C)C)cc1 ZINC001210632787 1134263875 /nfs/dbraw/zinc/26/38/75/1134263875.db2.gz KWNZVIQFLDOHDX-UHFFFAOYSA-N 1 2 298.390 3.790 20 0 CHADLO C[C@H](O)c1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1 ZINC001210643803 1134266486 /nfs/dbraw/zinc/26/64/86/1134266486.db2.gz GNKBESXFQSTCRZ-JTQLQIEISA-N 1 2 287.750 3.785 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccccc3-n3cccn3)ccc21 ZINC001210734512 1134285731 /nfs/dbraw/zinc/28/57/31/1134285731.db2.gz XUJLQHIQZBVPEM-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccnc(OC2CC2)c1 ZINC001210746607 1134287876 /nfs/dbraw/zinc/28/78/76/1134287876.db2.gz DYQKXGHKRPXQKU-UHFFFAOYSA-N 1 2 269.348 3.632 20 0 CHADLO COc1cc(Nc2cccc(-c3cnco3)c2)cc(C)[nH+]1 ZINC001210780530 1134295404 /nfs/dbraw/zinc/29/54/04/1134295404.db2.gz CXMIDYMBAJTZEH-UHFFFAOYSA-N 1 2 281.315 3.797 20 0 CHADLO COc1cc(Nc2ccnn2-c2ccc(C)cc2)cc(C)[nH+]1 ZINC001210779800 1134296046 /nfs/dbraw/zinc/29/60/46/1134296046.db2.gz ZDMJZEIYCDLZKH-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COc1cc(Nc2c(C)c(C)c(N)c(C)c2C)cc(C)[nH+]1 ZINC001210783612 1134296054 /nfs/dbraw/zinc/29/60/54/1134296054.db2.gz GTQJJXNVDDOFJK-UHFFFAOYSA-N 1 2 285.391 3.958 20 0 CHADLO Cc1ccc(C)c(Nc2ccccc2OC2CC2)[nH+]1 ZINC001210834686 1134307638 /nfs/dbraw/zinc/30/76/38/1134307638.db2.gz GAFXEKCYVCDPAH-UHFFFAOYSA-N 1 2 254.333 3.983 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)c(N)c(F)c1 ZINC001210907575 1134325431 /nfs/dbraw/zinc/32/54/31/1134325431.db2.gz PLWKQDJPCDGPJM-UHFFFAOYSA-N 1 2 263.291 3.556 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(N2CCOCC2)c(C)c1 ZINC001210972598 1134341164 /nfs/dbraw/zinc/34/11/64/1134341164.db2.gz ZWVCDBJBLAMKNK-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO COc1ccc(Nc2ccc(C)c[nH+]2)cc1Br ZINC001211014053 1134350411 /nfs/dbraw/zinc/35/04/11/1134350411.db2.gz UGOQNURJRJUWCI-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO CSc1cncc(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001211069543 1134362233 /nfs/dbraw/zinc/36/22/33/1134362233.db2.gz TVPRWUBSJGGEMS-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO Nc1ccc(C(F)(F)F)cc1Nc1cccn2cc[nH+]c12 ZINC001211148560 1134381727 /nfs/dbraw/zinc/38/17/27/1134381727.db2.gz RKYJEKCYXXWKFK-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO Cc1c(CO)cccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001211164047 1134383126 /nfs/dbraw/zinc/38/31/26/1134383126.db2.gz VKYACHZHKXEMBO-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO Clc1cc(Nc2cnccc2Cl)cn2cc[nH+]c12 ZINC001211209950 1134391747 /nfs/dbraw/zinc/39/17/47/1134391747.db2.gz OMZHACJINCYJRF-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO CCCCOc1ccc(Nc2[nH+]cccc2N)c(C)c1 ZINC001211282736 1134402784 /nfs/dbraw/zinc/40/27/84/1134402784.db2.gz IJNLTQSNSWBBET-UHFFFAOYSA-N 1 2 271.364 3.895 20 0 CHADLO CCCOc1ccccc1Nc1cccn2cc[nH+]c12 ZINC001211378380 1134414007 /nfs/dbraw/zinc/41/40/07/1134414007.db2.gz PPLXPAMCSJVZEE-UHFFFAOYSA-N 1 2 267.332 3.867 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2nc(C)oc2c1 ZINC001213064092 1134418151 /nfs/dbraw/zinc/41/81/51/1134418151.db2.gz VMOGBUCMGWMVRX-UHFFFAOYSA-N 1 2 269.304 3.674 20 0 CHADLO Cc1cc(N)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1F ZINC001213088820 1134424018 /nfs/dbraw/zinc/42/40/18/1134424018.db2.gz GAODBPNVOSXTLE-UHFFFAOYSA-N 1 2 282.322 3.850 20 0 CHADLO Cc1nc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)sc1C ZINC001213085787 1134424753 /nfs/dbraw/zinc/42/47/53/1134424753.db2.gz XCLQYDDYFJTHND-UHFFFAOYSA-N 1 2 270.361 3.894 20 0 CHADLO Clc1cc(Nc2ccc3c(c2)NCC3)cn2cc[nH+]c12 ZINC001213149669 1134431084 /nfs/dbraw/zinc/43/10/84/1134431084.db2.gz GCVBHEKFZLOVCX-UHFFFAOYSA-N 1 2 284.750 3.699 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1noc2cccnc21 ZINC001213489653 1134453782 /nfs/dbraw/zinc/45/37/82/1134453782.db2.gz VADYJJVQXWSGKG-UHFFFAOYSA-N 1 2 266.304 3.547 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cn(CC2CC2)cn1 ZINC001213494952 1134453967 /nfs/dbraw/zinc/45/39/67/1134453967.db2.gz NYUXWYAMXSNRII-UHFFFAOYSA-N 1 2 268.364 3.618 20 0 CHADLO CSc1nccc(Nc2cc(C3CC3)c[nH+]c2C)n1 ZINC001213489917 1134453987 /nfs/dbraw/zinc/45/39/87/1134453987.db2.gz WFSBKSYMMDENKE-UHFFFAOYSA-N 1 2 272.377 3.523 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(Cl)ncc1CO ZINC001213494864 1134454121 /nfs/dbraw/zinc/45/41/21/1134454121.db2.gz JVVNUZZNRANKKZ-UHFFFAOYSA-N 1 2 289.766 3.552 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nnccc1C(F)(F)F ZINC001213497515 1134454994 /nfs/dbraw/zinc/45/49/94/1134454994.db2.gz SLLQTUGEMANENQ-UHFFFAOYSA-N 1 2 294.280 3.820 20 0 CHADLO CCOc1ccc(N)cc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213499706 1134455052 /nfs/dbraw/zinc/45/50/52/1134455052.db2.gz WVHCQYICDKYOJM-UHFFFAOYSA-N 1 2 283.375 3.992 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cn(CC(C)C)cn1 ZINC001213497593 1134455233 /nfs/dbraw/zinc/45/52/33/1134455233.db2.gz WUPDQWOSVWSXOZ-UHFFFAOYSA-N 1 2 270.380 3.864 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1N1CCc2c1cccc2N ZINC001213503631 1134456076 /nfs/dbraw/zinc/45/60/76/1134456076.db2.gz PVLVUAXDNGSMOC-UHFFFAOYSA-N 1 2 265.360 3.544 20 0 CHADLO COc1cccc(CO)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213501296 1134456219 /nfs/dbraw/zinc/45/62/19/1134456219.db2.gz RJSRGDMRKSUSOE-UHFFFAOYSA-N 1 2 284.359 3.512 20 0 CHADLO Cc1cc(C)c(Nc2c(Cl)cncc2Cl)c[nH+]1 ZINC001213503500 1134456561 /nfs/dbraw/zinc/45/65/61/1134456561.db2.gz JPGNJVIDDIWWJU-UHFFFAOYSA-N 1 2 268.147 3.566 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1CCO2 ZINC001213500939 1134456759 /nfs/dbraw/zinc/45/67/59/1134456759.db2.gz DWJMAPPXZGVICU-UHFFFAOYSA-N 1 2 266.344 3.946 20 0 CHADLO CNC(=O)c1ccc(Nc2cc(C3CC3)c[nH+]c2C)c(F)c1 ZINC001213503739 1134456816 /nfs/dbraw/zinc/45/68/16/1134456816.db2.gz VQQDMPYKVFOVOY-UHFFFAOYSA-N 1 2 299.349 3.510 20 0 CHADLO COC(=O)c1c(C)csc1Nc1c[nH+]c(C)cc1C ZINC001213508617 1134456823 /nfs/dbraw/zinc/45/68/23/1134456823.db2.gz XQJVHJLISSBRGR-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1cc(C)c(Nc2cnc(OC(C)C)c(C)c2)c[nH+]1 ZINC001213510417 1134457323 /nfs/dbraw/zinc/45/73/23/1134457323.db2.gz BOOOQNMXGYMTFO-UHFFFAOYSA-N 1 2 271.364 3.933 20 0 CHADLO Cc1cc(C)c(Nc2ccnn2-c2cccc(F)c2)c[nH+]1 ZINC001213511027 1134457494 /nfs/dbraw/zinc/45/74/94/1134457494.db2.gz RTPAXBLENGUXEL-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO Cc1cc(C)c(Nc2ccc(-n3ccnc3)cc2)c[nH+]1 ZINC001213513554 1134457793 /nfs/dbraw/zinc/45/77/93/1134457793.db2.gz UZBOMSFIABCGBD-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1cc(C)c(Nc2c(F)c(F)cc(F)c2F)c[nH+]1 ZINC001213513357 1134458097 /nfs/dbraw/zinc/45/80/97/1134458097.db2.gz FFZZLMONTLDAEA-UHFFFAOYSA-N 1 2 270.229 3.998 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)N(C)C(=O)C3(C)C)c[nH+]1 ZINC001213520734 1134459088 /nfs/dbraw/zinc/45/90/88/1134459088.db2.gz GXBHUGNBIJXANB-UHFFFAOYSA-N 1 2 295.386 3.696 20 0 CHADLO CCOc1cc(Nc2c[nH+]cc(C)c2C)cc(C)n1 ZINC001213527610 1134459928 /nfs/dbraw/zinc/45/99/28/1134459928.db2.gz VOWUYIQULJJOJJ-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Br)cnc2C)c1C ZINC001213525034 1134460336 /nfs/dbraw/zinc/46/03/36/1134460336.db2.gz LOGHHLKHRNUURL-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(O)c(Br)c2)c1C ZINC001213530673 1134461218 /nfs/dbraw/zinc/46/12/18/1134461218.db2.gz KKVOBDZHXJNPBL-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1nc2c(cccc2Nc2c[nH+]cc(C)c2C)o1 ZINC001213530493 1134461456 /nfs/dbraw/zinc/46/14/56/1134461456.db2.gz CVGROOCMZYVQIA-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO c1cn2c(cccc2Nc2ccccc2N2CCCC2)[nH+]1 ZINC001213599513 1134467291 /nfs/dbraw/zinc/46/72/91/1134467291.db2.gz KODZOLCWMMNZIM-UHFFFAOYSA-N 1 2 278.359 3.678 20 0 CHADLO Fc1cc(N2CCCC2)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001213849648 1134491035 /nfs/dbraw/zinc/49/10/35/1134491035.db2.gz LJGYRILVUPAXBW-UHFFFAOYSA-N 1 2 296.349 3.817 20 0 CHADLO CCOc1cc(Nc2cnccc2C2CC2)cc(C)[nH+]1 ZINC001213871017 1134493142 /nfs/dbraw/zinc/49/31/42/1134493142.db2.gz DBWFHGYOLUUXJO-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cnccc1C1CC1 ZINC001213869407 1134493311 /nfs/dbraw/zinc/49/33/11/1134493311.db2.gz UOCCDTORDUISHX-UHFFFAOYSA-N 1 2 264.332 3.589 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc3[nH]c(=O)ccc23)[nH+]1 ZINC001213968055 1134502483 /nfs/dbraw/zinc/50/24/83/1134502483.db2.gz WVGQLZROZDHWTG-UHFFFAOYSA-N 1 2 290.326 3.640 20 0 CHADLO Nc1ccc(F)cc1Nc1cc[nH+]c2ccc(Cl)cc12 ZINC001214196586 1134520448 /nfs/dbraw/zinc/52/04/48/1134520448.db2.gz FZEHNPCJBUELSY-UHFFFAOYSA-N 1 2 287.725 3.775 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2C(C)C)c(CO)c1 ZINC001214455331 1134539652 /nfs/dbraw/zinc/53/96/52/1134539652.db2.gz NBRAPANCMQEMKW-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO COc1c(C)ccc(F)c1Nc1cccc2[nH+]c(C)cn21 ZINC001214527768 1134547029 /nfs/dbraw/zinc/54/70/29/1134547029.db2.gz MOODWJPLKXNGSR-UHFFFAOYSA-N 1 2 285.322 3.842 20 0 CHADLO Cc1cn2cccc(Nc3c(Cl)ccc(O)c3F)c2[nH+]1 ZINC001214556080 1134551424 /nfs/dbraw/zinc/55/14/24/1134551424.db2.gz JIZJXZKFFGGYKO-UHFFFAOYSA-N 1 2 291.713 3.884 20 0 CHADLO COC(=O)c1c(F)cc(Nc2[nH+]c(C)ccc2C)cc1F ZINC001214715661 1134566628 /nfs/dbraw/zinc/56/66/28/1134566628.db2.gz FFLXODRATYMTEL-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO CC(=O)c1cc(Nc2ccc(N3CCCC3)[nH+]c2)cs1 ZINC001214778442 1134571297 /nfs/dbraw/zinc/57/12/97/1134571297.db2.gz TVWZHRYWECHWCL-UHFFFAOYSA-N 1 2 287.388 3.690 20 0 CHADLO Cc1cc(CO)cc(C)c1Nc1[nH+]cccc1C1CC1 ZINC001214951613 1134585418 /nfs/dbraw/zinc/58/54/18/1134585418.db2.gz CJLCFAMWHUOAAG-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO c1cc(N2CCCCC2)ccc1[NH2+]CC[C@H]1CCOC1 ZINC000400616207 1134594744 /nfs/dbraw/zinc/59/47/44/1134594744.db2.gz NFBRJMSTVQRLAX-HNNXBMFYSA-N 1 2 274.408 3.515 20 0 CHADLO Cc1ccc(Nc2ccc(CO)c(C)c2C)c(C)[nH+]1 ZINC001215233592 1134608166 /nfs/dbraw/zinc/60/81/66/1134608166.db2.gz HKOJJBYWSDBQKQ-UHFFFAOYSA-N 1 2 256.349 3.551 20 0 CHADLO CCOc1cc(Nc2ccc(CO)c(C)c2C)cc(C)[nH+]1 ZINC001215238182 1134609411 /nfs/dbraw/zinc/60/94/11/1134609411.db2.gz HETYRWVYFCOMMX-UHFFFAOYSA-N 1 2 286.375 3.641 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)s1 ZINC000401610310 1134617774 /nfs/dbraw/zinc/61/77/74/1134617774.db2.gz MKZCUYNZARGMTE-CMPLNLGQSA-N 1 2 261.394 3.508 20 0 CHADLO COc1cc[nH+]c(Nc2cc(F)ccc2SC)c1 ZINC001215446262 1134628214 /nfs/dbraw/zinc/62/82/14/1134628214.db2.gz KGWFLMOSZJPXSE-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO CCCOc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)c(F)c1 ZINC001215491383 1134632523 /nfs/dbraw/zinc/63/25/23/1134632523.db2.gz RNGAZBVDOKIKBH-UHFFFAOYSA-N 1 2 299.349 3.844 20 0 CHADLO CCOc1cc(Nc2cc(C)cc(O)c2)cc(C)[nH+]1 ZINC001215509560 1134635106 /nfs/dbraw/zinc/63/51/06/1134635106.db2.gz KPODRDWPHFUDMP-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO Oc1cc(F)c(Nc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC001215571389 1134644871 /nfs/dbraw/zinc/64/48/71/1134644871.db2.gz BMCVDDRYMVZBJZ-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1Nc1[nH+]cccc1N ZINC001215687417 1134659952 /nfs/dbraw/zinc/65/99/52/1134659952.db2.gz XPQMUGWXLWLQBY-UHFFFAOYSA-N 1 2 271.364 3.811 20 0 CHADLO COc1cc(C)cc(F)c1Nc1c[nH+]c(C)cc1C ZINC001215705744 1134662899 /nfs/dbraw/zinc/66/28/99/1134662899.db2.gz JCZVMALXDGTBOO-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO Cc1ccc(F)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1O ZINC001215740480 1134670162 /nfs/dbraw/zinc/67/01/62/1134670162.db2.gz JMFUZHGOEFQOFE-UHFFFAOYSA-N 1 2 297.333 3.828 20 0 CHADLO CCOCc1cncc(Nc2c(C)cc[nH+]c2CC)c1 ZINC001215788360 1134686665 /nfs/dbraw/zinc/68/66/65/1134686665.db2.gz HTZKXOQBIADCQZ-UHFFFAOYSA-N 1 2 271.364 3.628 20 0 CHADLO COc1cccc(Nc2cccn3cc(C)[nH+]c23)c1C ZINC001215798107 1134689896 /nfs/dbraw/zinc/68/98/96/1134689896.db2.gz PHZROOATNKPWQT-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CSc1cc(F)c(Nc2ccc(N(C)C)[nH+]c2)c(F)c1 ZINC001215840643 1134700381 /nfs/dbraw/zinc/70/03/81/1134700381.db2.gz FGPFOYNFIGAEPS-UHFFFAOYSA-N 1 2 295.358 3.891 20 0 CHADLO Cc1nc(OC(C)C)ccc1Nc1cccc2[nH+]ccn21 ZINC001215917249 1134718631 /nfs/dbraw/zinc/71/86/31/1134718631.db2.gz PBKAKTFLIBSBKQ-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO CSc1ncc(Cl)cc1Nc1cccn2cc[nH+]c12 ZINC001215915117 1134718825 /nfs/dbraw/zinc/71/88/25/1134718825.db2.gz JWYKFKGVDPAQSK-UHFFFAOYSA-N 1 2 290.779 3.848 20 0 CHADLO CSc1c(F)cc(Nc2[nH+]cc(C)cc2N)cc1F ZINC001215923241 1134721744 /nfs/dbraw/zinc/72/17/44/1134721744.db2.gz ZAOCAUWQWSZWKM-UHFFFAOYSA-N 1 2 281.331 3.716 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2N(C)C)c(C)c1C ZINC001215973232 1134736376 /nfs/dbraw/zinc/73/63/76/1134736376.db2.gz AXSMRVZGYASMQG-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]ccn32)c(C)c1C ZINC001215971883 1134736877 /nfs/dbraw/zinc/73/68/77/1134736877.db2.gz JBXWKXPYPDAMRP-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OC)cc1COC ZINC001215978679 1134739338 /nfs/dbraw/zinc/73/93/38/1134739338.db2.gz RMPODBNPFKOIJY-UHFFFAOYSA-N 1 2 286.375 3.851 20 0 CHADLO COCc1cc(OC)ccc1Nc1cccc(C2CC2)[nH+]1 ZINC001215978623 1134739612 /nfs/dbraw/zinc/73/96/12/1134739612.db2.gz LFJCRCXYSBFMBC-UHFFFAOYSA-N 1 2 284.359 3.858 20 0 CHADLO Oc1cccc(Cl)c1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001215986144 1134741110 /nfs/dbraw/zinc/74/11/10/1134741110.db2.gz MZVUQAFYXJZGKN-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Cc1cc[nH+]c(Nc2cc(OC(F)(F)F)ccc2N)c1 ZINC001216012087 1134749849 /nfs/dbraw/zinc/74/98/49/1134749849.db2.gz GJKTXCRXOYSRIN-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO CN(C)c1ccc(Nc2ccn3cc[nH+]c3c2)cc1Cl ZINC001216061707 1134765646 /nfs/dbraw/zinc/76/56/46/1134765646.db2.gz ZNKFKGLUMQXANC-UHFFFAOYSA-N 1 2 286.766 3.797 20 0 CHADLO Cc1cc(C)c(N)c(Nc2[nH+]cccc2C2CC2)c1 ZINC001216098107 1134776477 /nfs/dbraw/zinc/77/64/77/1134776477.db2.gz HOJVUWKAMIFCEO-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO CNc1cc(F)cc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001216186542 1134800819 /nfs/dbraw/zinc/80/08/19/1134800819.db2.gz HAIPKRMINRNYPE-UHFFFAOYSA-N 1 2 296.349 3.856 20 0 CHADLO Cc1c(F)cncc1Nc1cccc2cc[nH+]cc21 ZINC001216194895 1134805236 /nfs/dbraw/zinc/80/52/36/1134805236.db2.gz ZNQORQKDNSGHPR-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO CSc1ncc(C)cc1Nc1cccn2cc[nH+]c12 ZINC001216241154 1134820978 /nfs/dbraw/zinc/82/09/78/1134820978.db2.gz KZYGQWRNJBHWCH-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO COc1cc(Nc2[nH+]c(C)ccc2O)ccc1C1CC1 ZINC001216248174 1134825759 /nfs/dbraw/zinc/82/57/59/1134825759.db2.gz GUEUEVVAGHEVIM-UHFFFAOYSA-N 1 2 270.332 3.725 20 0 CHADLO COc1cc(Nc2ccn3cc[nH+]c3c2)ccc1C1CC1 ZINC001216251347 1134827045 /nfs/dbraw/zinc/82/70/45/1134827045.db2.gz PYQBHGUCAMMENV-UHFFFAOYSA-N 1 2 279.343 3.964 20 0 CHADLO Cc1cc(Cl)ncc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001216280204 1134835467 /nfs/dbraw/zinc/83/54/67/1134835467.db2.gz IBJIATWVDJNYJK-UHFFFAOYSA-N 1 2 284.750 3.973 20 0 CHADLO Fc1cccc2oc(Nc3ccn4cc[nH+]c4c3)cc21 ZINC001216293026 1134838604 /nfs/dbraw/zinc/83/86/04/1134838604.db2.gz LSDBYWJZDGFLQC-UHFFFAOYSA-N 1 2 267.263 3.963 20 0 CHADLO Fc1ccc(Nc2ccn3cc[nH+]c3c2)c2occc21 ZINC001216296247 1134839771 /nfs/dbraw/zinc/83/97/71/1134839771.db2.gz DUVCPZDFCRZQMA-UHFFFAOYSA-N 1 2 267.263 3.963 20 0 CHADLO Cc1c(O)cccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001216320321 1134853128 /nfs/dbraw/zinc/85/31/28/1134853128.db2.gz ZYZGMRUYCTVCQI-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO COc1cc[nH+]cc1Nc1c(Cl)cc(CO)cc1Cl ZINC001216360628 1134868347 /nfs/dbraw/zinc/86/83/47/1134868347.db2.gz PJJOGUPYSCREDH-UHFFFAOYSA-N 1 2 299.157 3.633 20 0 CHADLO COc1cc(C)c(C)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001216376220 1134874010 /nfs/dbraw/zinc/87/40/10/1134874010.db2.gz ZVHHVROZZFTTIA-UHFFFAOYSA-N 1 2 281.359 3.942 20 0 CHADLO Fc1ccc(Cl)c(Nc2cccc3[nH+]ccn32)c1 ZINC001216477027 1134901844 /nfs/dbraw/zinc/90/18/44/1134901844.db2.gz WQXMVPRRXHOTDS-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO Cc1ccc(Nc2cncc(OCC3CC3)c2)c(C)[nH+]1 ZINC001216595524 1134928272 /nfs/dbraw/zinc/92/82/72/1134928272.db2.gz KPXSCEUTWWVQKI-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO O=Nc1c(O[C@H]2CC[NH2+]CC2(F)F)ccc2ccccc21 ZINC001218007555 1135041825 /nfs/dbraw/zinc/04/18/25/1135041825.db2.gz ROTQZKRPVISLOH-ZDUSSCGKSA-N 1 2 292.285 3.614 20 0 CHADLO CC[C@H](C)c1ccccc1O[C@@H]1CC[NH2+]CC1(F)F ZINC001218006625 1135041875 /nfs/dbraw/zinc/04/18/75/1135041875.db2.gz MXHJTOMTDRMTQL-SMDDNHRTSA-N 1 2 269.335 3.576 20 0 CHADLO C[C@H](Oc1cc(N)cc[nH+]1)c1cccc2ccccc21 ZINC001218211610 1135069660 /nfs/dbraw/zinc/06/96/60/1135069660.db2.gz IAUFGCKTYPGJRV-LBPRGKRZSA-N 1 2 264.328 3.957 20 0 CHADLO Nc1cc[nH+]c(OC2c3ccccc3Oc3ccccc32)c1 ZINC001218320241 1135087899 /nfs/dbraw/zinc/08/78/99/1135087899.db2.gz RSZKKIJOVHUCHG-UHFFFAOYSA-N 1 2 290.322 3.938 20 0 CHADLO Nc1[nH+]cccc1O[C@@H]1CCc2cc(C(F)(F)F)ccc21 ZINC001218461075 1135108724 /nfs/dbraw/zinc/10/87/24/1135108724.db2.gz YVYNNVXWUSSFCD-GFCCVEGCSA-N 1 2 294.276 3.749 20 0 CHADLO Cc1cc(NCc2ccccc2N)[nH+]c2ccccc12 ZINC000312496366 1135133717 /nfs/dbraw/zinc/13/37/17/1135133717.db2.gz PZYJVHZFGCJNTA-UHFFFAOYSA-N 1 2 263.344 3.738 20 0 CHADLO c1cn(CCO[C@H]2CCCC[C@@H]2c2ccccc2)c[nH+]1 ZINC001222139152 1135198689 /nfs/dbraw/zinc/19/86/89/1135198689.db2.gz OVMKPSTVXWUHSC-SJORKVTESA-N 1 2 270.376 3.626 20 0 CHADLO Cc1cc(N2CCOCC2)[nH+]cc1-c1ccccc1Cl ZINC001222431959 1135241669 /nfs/dbraw/zinc/24/16/69/1135241669.db2.gz XTCLKFBUNKDAIZ-UHFFFAOYSA-N 1 2 288.778 3.547 20 0 CHADLO C[C@H](OCc1c[nH+]cn1C)c1ccccc1C(F)(F)F ZINC001222595299 1135253655 /nfs/dbraw/zinc/25/36/55/1135253655.db2.gz WCFXKHOFWPKIQR-JTQLQIEISA-N 1 2 284.281 3.717 20 0 CHADLO CCC[C@H](OCc1c[nH+]cn1C)C1CCCCC1 ZINC001222594617 1135254245 /nfs/dbraw/zinc/25/42/45/1135254245.db2.gz HSMODRUOYGHABC-HNNXBMFYSA-N 1 2 250.386 3.686 20 0 CHADLO CCCC(=O)[C@H](CCC)OCc1cc(C)[nH+]c(C)c1 ZINC001223420115 1135342369 /nfs/dbraw/zinc/34/23/69/1135342369.db2.gz IAWASUFKMWQDBU-INIZCTEOSA-N 1 2 263.381 3.753 20 0 CHADLO Cc1cc(CO[C@H]2CCC(=O)c3ccccc32)cc(C)[nH+]1 ZINC001223421956 1135343082 /nfs/dbraw/zinc/34/30/82/1135343082.db2.gz SVUHTFJXDCBSHP-SFHVURJKSA-N 1 2 281.355 3.933 20 0 CHADLO Cc1cc(CO[C@H]2CCCc3cccnc32)cc(C)[nH+]1 ZINC001223421790 1135343902 /nfs/dbraw/zinc/34/39/02/1135343902.db2.gz QVWMEQGZQIBJKZ-INIZCTEOSA-N 1 2 268.360 3.688 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](C)c1ccccc1OC ZINC001224963220 1135497088 /nfs/dbraw/zinc/49/70/88/1135497088.db2.gz JTIIDNJZEHYTDW-CYBMUJFWSA-N 1 2 279.380 3.957 20 0 CHADLO CC[NH+]1CC(Oc2ccccc2Oc2ccccc2)C1 ZINC001225508554 1135579956 /nfs/dbraw/zinc/57/99/56/1135579956.db2.gz CUQHWKAQKQVMEQ-UHFFFAOYSA-N 1 2 269.344 3.562 20 0 CHADLO CC(C)(C)[NH+]1CC(Oc2cccc(C(F)(F)F)c2F)C1 ZINC001225925259 1135639313 /nfs/dbraw/zinc/63/93/13/1135639313.db2.gz WDFAOMWGIHKJMC-UHFFFAOYSA-N 1 2 291.288 3.706 20 0 CHADLO CCCCC(=O)c1ccc(OC2C[NH+](C(C)(C)C)C2)cc1 ZINC001226772422 1135739707 /nfs/dbraw/zinc/73/97/07/1135739707.db2.gz WMAZNDVIVSFJNA-UHFFFAOYSA-N 1 2 289.419 3.921 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H](C)c1cccnc1Cl ZINC001227260683 1135810770 /nfs/dbraw/zinc/81/07/70/1135810770.db2.gz TWDZSGREFNTPSQ-NSHDSACASA-N 1 2 262.740 3.887 20 0 CHADLO COc1ccccc1[C@H](C)Oc1c(C)cc[nH+]c1C ZINC001227260501 1135811487 /nfs/dbraw/zinc/81/14/87/1135811487.db2.gz RTRMLOSJIFVWTJ-ZDUSSCGKSA-N 1 2 257.333 3.847 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H]1CCc2c1nccc2Cl ZINC001227264118 1135811878 /nfs/dbraw/zinc/81/18/78/1135811878.db2.gz JBRDSEZUIHXPOQ-CYBMUJFWSA-N 1 2 274.751 3.813 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H](C)COc1ccccc1 ZINC001227264667 1135811959 /nfs/dbraw/zinc/81/19/59/1135811959.db2.gz PULLNNCGZMZOCM-CYBMUJFWSA-N 1 2 257.333 3.545 20 0 CHADLO CCc1ccc(OC2C[NH+](Cc3ccccc3)C2)c(OC)c1 ZINC001227404228 1135829023 /nfs/dbraw/zinc/82/90/23/1135829023.db2.gz LBFHUSWLCHLILE-UHFFFAOYSA-N 1 2 297.398 3.521 20 0 CHADLO Cc1cc(-c2cc(Cl)cc(Cl)c2)c[nH+]c1N ZINC000082730073 178247307 /nfs/dbraw/zinc/24/73/07/178247307.db2.gz SQUUQJHTRJEOIH-UHFFFAOYSA-N 1 2 253.132 3.946 20 0 CHADLO Cc1cc[nH+]cc1NCc1cccc(Cl)c1F ZINC000083383911 178387064 /nfs/dbraw/zinc/38/70/64/178387064.db2.gz LSTLGNMKPVCMJU-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(F)cc1Cl ZINC000083383910 178387345 /nfs/dbraw/zinc/38/73/45/178387345.db2.gz UCOGJHZHCVWNIT-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CC[C@@H]1C[C@@H](C)CN1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334712559 529422188 /nfs/dbraw/zinc/42/21/88/529422188.db2.gz QAXHVQZEQOQMFG-QMTHXVAHSA-N 1 2 275.396 3.659 20 0 CHADLO CC[C@@H](C)n1ncc(NCc2c[nH+]cn2CC)c1C1CC1 ZINC000417967414 529443705 /nfs/dbraw/zinc/44/37/05/529443705.db2.gz OFCCLGFDMXSWFM-GFCCVEGCSA-N 1 2 287.411 3.560 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@@H+]1Cc1ccn(C(F)F)n1 ZINC000344874247 529462979 /nfs/dbraw/zinc/46/29/79/529462979.db2.gz BGJOAICOMHZZBM-OAHLLOKOSA-N 1 2 291.345 3.615 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@H+]1Cc1ccn(C(F)F)n1 ZINC000344874247 529462980 /nfs/dbraw/zinc/46/29/80/529462980.db2.gz BGJOAICOMHZZBM-OAHLLOKOSA-N 1 2 291.345 3.615 20 0 CHADLO CC[C@@H](C[C@@H](C)CO)Nc1[nH+]ccc2ccc(F)cc21 ZINC000354547510 529536839 /nfs/dbraw/zinc/53/68/39/529536839.db2.gz WDWMWQFSAZZUEO-RISCZKNCSA-N 1 2 276.355 3.583 20 0 CHADLO CC[C@H]([NH2+]Cc1c(F)cccc1SC)c1ccn(C)n1 ZINC000353860649 529623119 /nfs/dbraw/zinc/62/31/19/529623119.db2.gz YJKZLKAYLHKZHJ-ZDUSSCGKSA-N 1 2 293.411 3.522 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ccccc2Cl)cn1 ZINC000347652530 529636452 /nfs/dbraw/zinc/63/64/52/529636452.db2.gz MTILWZNEGGAEJE-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ccccc2Cl)cn1 ZINC000347652530 529636454 /nfs/dbraw/zinc/63/64/54/529636454.db2.gz MTILWZNEGGAEJE-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1ccc(C[N@@H+](CC)Cc2c(F)cccc2F)cn1 ZINC000347804463 529637068 /nfs/dbraw/zinc/63/70/68/529637068.db2.gz FLUXWBZCLUDNMY-UHFFFAOYSA-N 1 2 290.357 3.944 20 0 CHADLO CCc1ccc(C[N@H+](CC)Cc2c(F)cccc2F)cn1 ZINC000347804463 529637069 /nfs/dbraw/zinc/63/70/69/529637069.db2.gz FLUXWBZCLUDNMY-UHFFFAOYSA-N 1 2 290.357 3.944 20 0 CHADLO CCc1nc(C[N@H+](C)C2CCC(C(C)(C)C)CC2)no1 ZINC000353707406 529735364 /nfs/dbraw/zinc/73/53/64/529735364.db2.gz FEFLODVXHORLGX-UHFFFAOYSA-N 1 2 279.428 3.669 20 0 CHADLO CCc1nc(C[N@@H+](C)C2CCC(C(C)(C)C)CC2)no1 ZINC000353707406 529735365 /nfs/dbraw/zinc/73/53/65/529735365.db2.gz FEFLODVXHORLGX-UHFFFAOYSA-N 1 2 279.428 3.669 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(Cl)o2)cs1 ZINC000344485892 529745180 /nfs/dbraw/zinc/74/51/80/529745180.db2.gz PXZVFWZLPMMBNP-MRVPVSSYSA-N 1 2 270.785 3.803 20 0 CHADLO FC(F)(F)C1C[NH+](CCOc2ccc3ccccc3c2)C1 ZINC000573554585 334946403 /nfs/dbraw/zinc/94/64/03/334946403.db2.gz OTOLIZRECARWSB-UHFFFAOYSA-N 1 2 295.304 3.713 20 0 CHADLO Cn1cccc1C[N@H+](C)Cc1csc(-c2ccccc2)n1 ZINC000353816877 530019087 /nfs/dbraw/zinc/01/90/87/530019087.db2.gz RGVFVSXXDFOCHZ-UHFFFAOYSA-N 1 2 297.427 3.781 20 0 CHADLO Cn1cccc1C[N@@H+](C)Cc1csc(-c2ccccc2)n1 ZINC000353816877 530019088 /nfs/dbraw/zinc/01/90/88/530019088.db2.gz RGVFVSXXDFOCHZ-UHFFFAOYSA-N 1 2 297.427 3.781 20 0 CHADLO CCc1cc(N2C[C@@H](C)C[C@H]2c2ccccc2)nc(C)[nH+]1 ZINC000574063086 334989377 /nfs/dbraw/zinc/98/93/77/334989377.db2.gz IHVKZHYSUXWEFJ-GUYCJALGSA-N 1 2 281.403 3.935 20 0 CHADLO F[C@@]1(c2ccccc2)CCN(c2[nH]c3ccccc3[nH+]2)C1 ZINC000574341166 335012402 /nfs/dbraw/zinc/01/24/02/335012402.db2.gz SGRVJNLLYNRHJO-KRWDZBQOSA-N 1 2 281.334 3.638 20 0 CHADLO CC(C)CN(C(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000574403507 335016998 /nfs/dbraw/zinc/01/69/98/335016998.db2.gz FUYXUXJSHFEFOQ-HNNXBMFYSA-N 1 2 285.391 3.523 20 0 CHADLO Cc1ccc(NC(=O)NC2(C)CCCCC2)c(C)[nH+]1 ZINC000176717790 261306625 /nfs/dbraw/zinc/30/66/25/261306625.db2.gz FRXPVIVYKAWCDZ-UHFFFAOYSA-N 1 2 261.369 3.543 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]CC(F)(F)c1ccc(F)cc1 ZINC000574412423 335017759 /nfs/dbraw/zinc/01/77/59/335017759.db2.gz APAHAMBASBGFRU-LBPRGKRZSA-N 1 2 294.320 3.972 20 0 CHADLO FC(F)O[C@H]1CC[N@H+](Cc2cc(Cl)ccc2Cl)C1 ZINC000574416237 335018234 /nfs/dbraw/zinc/01/82/34/335018234.db2.gz LIPGXPMNTLWCLJ-JTQLQIEISA-N 1 2 296.144 3.807 20 0 CHADLO FC(F)O[C@H]1CC[N@@H+](Cc2cc(Cl)ccc2Cl)C1 ZINC000574416237 335018235 /nfs/dbraw/zinc/01/82/35/335018235.db2.gz LIPGXPMNTLWCLJ-JTQLQIEISA-N 1 2 296.144 3.807 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc(Cl)s1)c1nc(C)cs1 ZINC000184407419 262019115 /nfs/dbraw/zinc/01/91/15/262019115.db2.gz CRIUYINKZFFABW-VIFPVBQESA-N 1 2 287.841 3.802 20 0 CHADLO CC[C@H](C)[C@H](C)N(C(=O)c1ccn2c(C)c[nH+]c2c1)C1CC1 ZINC000574820674 335050497 /nfs/dbraw/zinc/05/04/97/335050497.db2.gz RCAZTRMRJMESQN-JSGCOSHPSA-N 1 2 299.418 3.682 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@@H](C)c2nc3ccccc3o2)ccn1 ZINC000347565017 533120190 /nfs/dbraw/zinc/12/01/90/533120190.db2.gz QJFHYZDURMEBOR-NEPJUHHUSA-N 1 2 297.358 3.643 20 0 CHADLO COc1cccc(Cl)c1C[N@H+](C)Cc1ccco1 ZINC000179670015 260063415 /nfs/dbraw/zinc/06/34/15/260063415.db2.gz CDQVJVCPPYTNOT-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+](C)Cc1ccco1 ZINC000179670015 260063417 /nfs/dbraw/zinc/06/34/17/260063417.db2.gz CDQVJVCPPYTNOT-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC[C@H]2CCC[C@@H]2C1)c1ccsc1 ZINC000352810768 533353795 /nfs/dbraw/zinc/35/37/95/533353795.db2.gz PFCANZQLKRTAMH-QHSBEEBCSA-N 1 2 293.432 3.521 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2ccc(Cl)cc21)c1nccs1 ZINC000335970495 533530098 /nfs/dbraw/zinc/53/00/98/533530098.db2.gz UQAPYWLTLAPSMZ-LDYMZIIASA-N 1 2 280.780 3.581 20 0 CHADLO C[C@@H](CC1CCCCC1)C(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000348018287 533508531 /nfs/dbraw/zinc/50/85/31/533508531.db2.gz ZKKOYWLCXDVBMY-ZFWWWQNUSA-N 1 2 289.423 3.680 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2cc(C)cc(C)c2)o1 ZINC000341741595 130015000 /nfs/dbraw/zinc/01/50/00/130015000.db2.gz FMJTXDNHHOTDLE-OLZOCXBDSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1cc(CNc2cc[nH+]c3c(Cl)cccc23)on1 ZINC000341780937 130043021 /nfs/dbraw/zinc/04/30/21/130043021.db2.gz PWOPFTMNRDCVNW-UHFFFAOYSA-N 1 2 273.723 3.797 20 0 CHADLO CCn1cc[nH+]c1CN(C)Cc1c(Cl)cccc1Cl ZINC000341824111 130057911 /nfs/dbraw/zinc/05/79/11/130057911.db2.gz BLAOERABTXBAGI-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CC[C@@H](C)[C@H]([NH2+]Cc1nnc(C)o1)c1ccc(Cl)cc1 ZINC000341849048 130091726 /nfs/dbraw/zinc/09/17/26/130091726.db2.gz VSQVCIIALMLFFY-BMIGLBTASA-N 1 2 293.798 3.908 20 0 CHADLO Clc1ccc(C2([NH2+]Cc3ncc(C4CC4)o3)CC2)cc1 ZINC000341876708 130102054 /nfs/dbraw/zinc/10/20/54/130102054.db2.gz MJVZDWJAIPYFID-UHFFFAOYSA-N 1 2 288.778 3.984 20 0 CHADLO Cn1c2ccccc2nc1SCc1cn2ccccc2[nH+]1 ZINC000008807840 170356731 /nfs/dbraw/zinc/35/67/31/170356731.db2.gz DDXDEFNHHZCRIY-UHFFFAOYSA-N 1 2 294.383 3.513 20 0 CHADLO c1coc([C@H]([NH2+]Cc2cccnc2)c2ccccc2)c1 ZINC000020141098 171027733 /nfs/dbraw/zinc/02/77/33/171027733.db2.gz BPMREKXTDBEZNR-QGZVFWFLSA-N 1 2 264.328 3.554 20 0 CHADLO COc1ccccc1CNc1ccc2ccccc2[nH+]1 ZINC000021816571 171332568 /nfs/dbraw/zinc/33/25/68/171332568.db2.gz BTPGRHKUDKKUHH-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO c1coc(-c2cc(C[N@@H+]3CCc4sccc4C3)no2)c1 ZINC000022549837 171390872 /nfs/dbraw/zinc/39/08/72/171390872.db2.gz UCTWELJXOMJRFQ-UHFFFAOYSA-N 1 2 286.356 3.554 20 0 CHADLO c1coc(-c2cc(C[N@H+]3CCc4sccc4C3)no2)c1 ZINC000022549837 171390873 /nfs/dbraw/zinc/39/08/73/171390873.db2.gz UCTWELJXOMJRFQ-UHFFFAOYSA-N 1 2 286.356 3.554 20 0 CHADLO O=C(Nc1cccc(Oc2cc[nH+]cc2)c1)c1ccoc1 ZINC000078651575 177366763 /nfs/dbraw/zinc/36/67/63/177366763.db2.gz JVZSBKZUZDRFRC-UHFFFAOYSA-N 1 2 280.283 3.719 20 0 CHADLO CCCCC[N@@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000078774891 177385919 /nfs/dbraw/zinc/38/59/19/177385919.db2.gz ACJITNKMKKNBTJ-HNNXBMFYSA-N 1 2 269.335 3.528 20 0 CHADLO CCCCC[N@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000078774891 177385921 /nfs/dbraw/zinc/38/59/21/177385921.db2.gz ACJITNKMKKNBTJ-HNNXBMFYSA-N 1 2 269.335 3.528 20 0 CHADLO C[C@H](c1csnn1)[N@@H+]1CC[C@H](C(F)(F)F)C(C)(C)C1 ZINC000334620322 225158859 /nfs/dbraw/zinc/15/88/59/225158859.db2.gz OCYCCQLNROCNEP-SCZZXKLOSA-N 1 2 293.358 3.510 20 0 CHADLO C[C@H](c1csnn1)[N@H+]1CC[C@H](C(F)(F)F)C(C)(C)C1 ZINC000334620322 225158864 /nfs/dbraw/zinc/15/88/64/225158864.db2.gz OCYCCQLNROCNEP-SCZZXKLOSA-N 1 2 293.358 3.510 20 0 CHADLO Cc1nnc(C[N@@H+]2CC3(CCCCC3)[C@H]2c2ccccc2)o1 ZINC000334782964 225319254 /nfs/dbraw/zinc/31/92/54/225319254.db2.gz MIHKTIVUXJTOBJ-QGZVFWFLSA-N 1 2 297.402 3.885 20 0 CHADLO Cc1nnc(C[N@H+]2CC3(CCCCC3)[C@H]2c2ccccc2)o1 ZINC000334782964 225319257 /nfs/dbraw/zinc/31/92/57/225319257.db2.gz MIHKTIVUXJTOBJ-QGZVFWFLSA-N 1 2 297.402 3.885 20 0 CHADLO Cc1ccc(N[C@H](C[C@H]2CCOC2)c2ccccc2)c[nH+]1 ZINC000347150424 226061004 /nfs/dbraw/zinc/06/10/04/226061004.db2.gz NYJJQNTUIYXXGH-CRAIPNDOSA-N 1 2 282.387 3.970 20 0 CHADLO Cc1ccc(C[N@@H+]2Cc3cccc(Cl)c3C2)c(C)n1 ZINC000347466823 226163773 /nfs/dbraw/zinc/16/37/73/226163773.db2.gz ZCUBLUYMBUXYOP-UHFFFAOYSA-N 1 2 272.779 3.868 20 0 CHADLO Cc1ccc(C[N@H+]2Cc3cccc(Cl)c3C2)c(C)n1 ZINC000347466823 226163775 /nfs/dbraw/zinc/16/37/75/226163775.db2.gz ZCUBLUYMBUXYOP-UHFFFAOYSA-N 1 2 272.779 3.868 20 0 CHADLO CCOc1nnc(C[N@@H+]2CC[C@@H](C(C)(C)C)C[C@@H]2C)s1 ZINC000347607989 226199114 /nfs/dbraw/zinc/19/91/14/226199114.db2.gz WUOABTQLBCMILE-NWDGAFQWSA-N 1 2 297.468 3.583 20 0 CHADLO CCOc1nnc(C[N@H+]2CC[C@@H](C(C)(C)C)C[C@@H]2C)s1 ZINC000347607989 226199117 /nfs/dbraw/zinc/19/91/17/226199117.db2.gz WUOABTQLBCMILE-NWDGAFQWSA-N 1 2 297.468 3.583 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc3occc3c2)cs1 ZINC000347673780 226217761 /nfs/dbraw/zinc/21/77/61/226217761.db2.gz MDTSQYCAOUQVSD-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc3occc3c2)cs1 ZINC000347673780 226217766 /nfs/dbraw/zinc/21/77/66/226217766.db2.gz MDTSQYCAOUQVSD-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(Cl)oc3ccccc32)C[C@H](C)O1 ZINC000347641826 226224406 /nfs/dbraw/zinc/22/44/06/226224406.db2.gz BVTZRPSDQPNERC-PHIMTYICSA-N 1 2 279.767 3.695 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(Cl)oc3ccccc32)C[C@H](C)O1 ZINC000347641826 226224410 /nfs/dbraw/zinc/22/44/10/226224410.db2.gz BVTZRPSDQPNERC-PHIMTYICSA-N 1 2 279.767 3.695 20 0 CHADLO Cc1ccc(CCCNc2cccc(N(C)C)c2C)c[nH+]1 ZINC000353943297 226837092 /nfs/dbraw/zinc/83/70/92/226837092.db2.gz HRPBBZNOGNZFFR-UHFFFAOYSA-N 1 2 283.419 3.809 20 0 CHADLO Cc1ccc(C(=O)N2C[C@@H](C)[C@@H]2C)cc1Oc1cc[nH+]cc1 ZINC000336436895 227009298 /nfs/dbraw/zinc/00/92/98/227009298.db2.gz RKJQLEMYHPFDOJ-KGLIPLIRSA-N 1 2 296.370 3.663 20 0 CHADLO Cc1ccc(CCCNc2cccc3c2OCCC3)c[nH+]1 ZINC000354517557 227018894 /nfs/dbraw/zinc/01/88/94/227018894.db2.gz YHVLOGBXCAFBJD-UHFFFAOYSA-N 1 2 282.387 3.760 20 0 CHADLO Cc1cc(CNc2[nH+]cccc2OCc2ccccc2)on1 ZINC000354523219 227020091 /nfs/dbraw/zinc/02/00/91/227020091.db2.gz QAIYVWLCZBBLNX-UHFFFAOYSA-N 1 2 295.342 3.569 20 0 CHADLO CO[C@H]1C[C@H](Nc2[nH+]ccc3ccc(F)cc32)C1(C)C ZINC000354536555 227025011 /nfs/dbraw/zinc/02/50/11/227025011.db2.gz JJDWDJDXZQXJFO-KBPBESRZSA-N 1 2 274.339 3.599 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2c(C)cccc2C)o1 ZINC000354543530 227027043 /nfs/dbraw/zinc/02/70/43/227027043.db2.gz XJQUTRHKCHPBJQ-OLZOCXBDSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1ncc(CN(C)c2[nH+]ccc3ccc(F)cc32)s1 ZINC000354560099 227032933 /nfs/dbraw/zinc/03/29/33/227032933.db2.gz FNSIXYGMSBVZFA-UHFFFAOYSA-N 1 2 287.363 3.775 20 0 CHADLO COCC[NH+](Cc1ccccc1F)Cc1ccccc1F ZINC000350661465 227050808 /nfs/dbraw/zinc/05/08/08/227050808.db2.gz QCRLLKHSFDGLGJ-UHFFFAOYSA-N 1 2 291.341 3.613 20 0 CHADLO Cc1cc(N2C[C@H](C)C[C@@H](C)[C@H]2C)nc(C2CC2)[nH+]1 ZINC000352594471 227091076 /nfs/dbraw/zinc/09/10/76/227091076.db2.gz HTYOLGFWJRAJPZ-NQBHXWOUSA-N 1 2 259.397 3.533 20 0 CHADLO O=C(Nc1csc2ccccc12)c1ccc2[nH+]ccn2c1 ZINC000352598880 227100672 /nfs/dbraw/zinc/10/06/72/227100672.db2.gz VRIIQWZHZCMPNY-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000336545907 227110312 /nfs/dbraw/zinc/11/03/12/227110312.db2.gz JCCCOSKAJFPGFL-WBMJQRKESA-N 1 2 287.794 3.946 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000336545907 227110317 /nfs/dbraw/zinc/11/03/17/227110317.db2.gz JCCCOSKAJFPGFL-WBMJQRKESA-N 1 2 287.794 3.946 20 0 CHADLO Cc1cscc1NC(=O)Nc1ccc(C)[nH+]c1C ZINC000334152838 227335810 /nfs/dbraw/zinc/33/58/10/227335810.db2.gz YWUYIBFFJMKQKL-UHFFFAOYSA-N 1 2 261.350 3.712 20 0 CHADLO Cc1cc(N(C)[C@H](C)Cc2ccc(Cl)cc2)nc[nH+]1 ZINC000301328895 227511022 /nfs/dbraw/zinc/51/10/22/227511022.db2.gz JXLXXFJGKNQJCN-GFCCVEGCSA-N 1 2 275.783 3.506 20 0 CHADLO Cc1ccc(Cc2nc(-c3ccc4[nH]ccc4c3)no2)c[nH+]1 ZINC000355833506 228014593 /nfs/dbraw/zinc/01/45/93/228014593.db2.gz CLAXUEADKVKMOR-UHFFFAOYSA-N 1 2 290.326 3.512 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000353469903 228107492 /nfs/dbraw/zinc/10/74/92/228107492.db2.gz HDRMTAODIUVOIL-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000353469903 228107493 /nfs/dbraw/zinc/10/74/93/228107493.db2.gz HDRMTAODIUVOIL-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO FC(F)(F)c1ccccc1OCCOc1cc[nH+]cc1 ZINC000356089205 228116396 /nfs/dbraw/zinc/11/63/96/228116396.db2.gz FTZOZEBHZZKODV-UHFFFAOYSA-N 1 2 283.249 3.558 20 0 CHADLO CCc1nocc1C[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000353531446 228116405 /nfs/dbraw/zinc/11/64/05/228116405.db2.gz OXIFYZXODONZQN-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO CCc1nocc1C[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000353531446 228116406 /nfs/dbraw/zinc/11/64/06/228116406.db2.gz OXIFYZXODONZQN-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO C[C@H](c1ccc(F)cc1)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000112963959 228037442 /nfs/dbraw/zinc/03/74/42/228037442.db2.gz FKZTZNZDHRPCOV-LLVKDONJSA-N 1 2 271.339 3.691 20 0 CHADLO Cc1cc(N2CCC[C@H]2c2ccco2)nc(C(C)C)[nH+]1 ZINC000116262410 228057958 /nfs/dbraw/zinc/05/79/58/228057958.db2.gz ZMBBSBUSXKRNGI-ZDUSSCGKSA-N 1 2 271.364 3.843 20 0 CHADLO Cc1ccc(NCc2ccc(F)c3cccnc23)c(C)[nH+]1 ZINC000353225472 228071489 /nfs/dbraw/zinc/07/14/89/228071489.db2.gz WNZHQMKGIJBVAQ-UHFFFAOYSA-N 1 2 281.334 3.998 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1cc2cccc(F)c2o1 ZINC000353232150 228072443 /nfs/dbraw/zinc/07/24/43/228072443.db2.gz KQNUQTUMZQCWMK-SECBINFHSA-N 1 2 276.336 3.879 20 0 CHADLO Fc1cc(C[NH+]2Cc3ccccc3C2)ccc1Cl ZINC000353396660 228095388 /nfs/dbraw/zinc/09/53/88/228095388.db2.gz UKTFINJTWYNORJ-UHFFFAOYSA-N 1 2 261.727 3.995 20 0 CHADLO COc1ccncc1C[NH2+]Cc1cc(Cl)ccc1Cl ZINC000353607574 228129841 /nfs/dbraw/zinc/12/98/41/228129841.db2.gz AJVOUFMTINODAC-UHFFFAOYSA-N 1 2 297.185 3.687 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@H](C)OC1CCCCCC1 ZINC000332044506 228144950 /nfs/dbraw/zinc/14/49/50/228144950.db2.gz WDKZSTPMTBFSKF-AWEZNQCLSA-N 1 2 290.407 3.765 20 0 CHADLO Clc1oc2ccccc2c1C[N@@H+]1CCOC2(CCC2)C1 ZINC000353748031 228150568 /nfs/dbraw/zinc/15/05/68/228150568.db2.gz OYTJAQZGEAOCFO-UHFFFAOYSA-N 1 2 291.778 3.841 20 0 CHADLO Clc1oc2ccccc2c1C[N@H+]1CCOC2(CCC2)C1 ZINC000353748031 228150570 /nfs/dbraw/zinc/15/05/70/228150570.db2.gz OYTJAQZGEAOCFO-UHFFFAOYSA-N 1 2 291.778 3.841 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H]1c2ccccc2C[C@@H]1C ZINC000351944382 228168073 /nfs/dbraw/zinc/16/80/73/228168073.db2.gz SNSKCHHZEMULPX-YVEFUNNKSA-N 1 2 295.386 3.753 20 0 CHADLO FC1=CCC[N@H+](Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000351988698 228171169 /nfs/dbraw/zinc/17/11/69/228171169.db2.gz KTTZCMAGISPLNF-UHFFFAOYSA-N 1 2 275.245 3.644 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccc(OC(F)(F)F)cc2)C1 ZINC000351988698 228171170 /nfs/dbraw/zinc/17/11/70/228171170.db2.gz KTTZCMAGISPLNF-UHFFFAOYSA-N 1 2 275.245 3.644 20 0 CHADLO FC1=CCC[N@H+](Cc2ccccc2OCc2ccncc2)C1 ZINC000351990387 228171627 /nfs/dbraw/zinc/17/16/27/228171627.db2.gz ZVXCESXXNYMUKC-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccccc2OCc2ccncc2)C1 ZINC000351990387 228171628 /nfs/dbraw/zinc/17/16/28/228171628.db2.gz ZVXCESXXNYMUKC-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO O=C(c1ccccc1Oc1cc[nH+]cc1)N1CCC=C(F)C1 ZINC000336021993 228179002 /nfs/dbraw/zinc/17/90/02/228179002.db2.gz SLJIJSLGNKVXIU-UHFFFAOYSA-N 1 2 298.317 3.573 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cc(C(N)=O)cs1 ZINC000336147636 228197672 /nfs/dbraw/zinc/19/76/72/228197672.db2.gz LUGMVWZMCFRQKE-VIFPVBQESA-N 1 2 292.429 3.812 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cc(C(N)=O)cs1 ZINC000336147636 228197673 /nfs/dbraw/zinc/19/76/73/228197673.db2.gz LUGMVWZMCFRQKE-VIFPVBQESA-N 1 2 292.429 3.812 20 0 CHADLO c1nc(-c2csc(Cc3cn4ccccc4[nH+]3)n2)cs1 ZINC000352207462 228199250 /nfs/dbraw/zinc/19/92/50/228199250.db2.gz YCBIDHDUCMELKO-UHFFFAOYSA-N 1 2 298.396 3.505 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(C)c(C)c2ncccc12 ZINC000417962112 228206683 /nfs/dbraw/zinc/20/66/83/228206683.db2.gz CBILMHRHNRCXEV-UHFFFAOYSA-N 1 2 280.375 3.680 20 0 CHADLO Fc1ccccc1C[NH2+]Cc1csc(-c2ccccn2)n1 ZINC000035054532 260006831 /nfs/dbraw/zinc/00/68/31/260006831.db2.gz DTMFFTDDPPFVQY-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO Cc1[nH]c(C(=O)N[C@H](c2ccc(C(C)C)cc2)C(C)C)c[nH+]1 ZINC000521359998 260085535 /nfs/dbraw/zinc/08/55/35/260085535.db2.gz QNUQFNSTAHPQAC-KRWDZBQOSA-N 1 2 299.418 3.969 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2ccn(C3CCCC3)n2)c1 ZINC000069994188 260151669 /nfs/dbraw/zinc/15/16/69/260151669.db2.gz IAWBDGXAOLHVBK-UHFFFAOYSA-N 1 2 291.345 3.566 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@H](C)c1nccs1 ZINC000080265774 260179289 /nfs/dbraw/zinc/17/92/89/260179289.db2.gz GKEUHEWJXHURRW-VXGBXAGGSA-N 1 2 276.405 3.872 20 0 CHADLO Cc1csc([C@@H](C)Nc2[nH+]c3ccccc3n2C)n1 ZINC000080321254 260180335 /nfs/dbraw/zinc/18/03/35/260180335.db2.gz GCQVGJMGKVFFBO-SNVBAGLBSA-N 1 2 272.377 3.511 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](C)CC(=O)OC(C)(C)C ZINC000312933584 260223062 /nfs/dbraw/zinc/22/30/62/260223062.db2.gz OTLYJQZXTXIHBT-LLVKDONJSA-N 1 2 283.799 3.675 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](C)CC(=O)OC(C)(C)C ZINC000312933584 260223064 /nfs/dbraw/zinc/22/30/64/260223064.db2.gz OTLYJQZXTXIHBT-LLVKDONJSA-N 1 2 283.799 3.675 20 0 CHADLO CCC[C@H](C)C(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000069536583 260261734 /nfs/dbraw/zinc/26/17/34/260261734.db2.gz KSLQJPCQQXREKG-LBPRGKRZSA-N 1 2 295.452 3.504 20 0 CHADLO CCCC[C@@H](C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000517964867 260327833 /nfs/dbraw/zinc/32/78/33/260327833.db2.gz WBJQQTCQXWTUQI-GFCCVEGCSA-N 1 2 271.364 3.842 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)c3cc[nH]c3C(C)C)ccc2n1C ZINC000518148746 260346076 /nfs/dbraw/zinc/34/60/76/260346076.db2.gz YMUACHXBAZPSGI-UHFFFAOYSA-N 1 2 296.374 3.586 20 0 CHADLO COc1cc(CNc2ccc(C)[nH+]c2)ccc1OC(C)C ZINC000128067070 260372386 /nfs/dbraw/zinc/37/23/86/260372386.db2.gz RNDQHTJOUDFGHJ-UHFFFAOYSA-N 1 2 286.375 3.798 20 0 CHADLO CC[C@@](C)([NH2+]C/C(Cl)=C/Cl)c1nccs1 ZINC000128547584 260376092 /nfs/dbraw/zinc/37/60/92/260376092.db2.gz ODBIRLXKXMUNDE-BJPMOSCESA-N 1 2 265.209 3.677 20 0 CHADLO CCC(CC)[N@H+](Cc1noc(Cc2ccccc2)n1)C1CC1 ZINC000518333052 260379104 /nfs/dbraw/zinc/37/91/04/260379104.db2.gz HADBKJJXNIUFFK-UHFFFAOYSA-N 1 2 299.418 3.813 20 0 CHADLO CCC(CC)[N@@H+](Cc1noc(Cc2ccccc2)n1)C1CC1 ZINC000518333052 260379105 /nfs/dbraw/zinc/37/91/05/260379105.db2.gz HADBKJJXNIUFFK-UHFFFAOYSA-N 1 2 299.418 3.813 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@H+](Cc2ccncc2F)C1 ZINC000449308787 260389045 /nfs/dbraw/zinc/38/90/45/260389045.db2.gz ZTPXTTGIORUGNQ-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@@H+](Cc2ccncc2F)C1 ZINC000449308787 260389047 /nfs/dbraw/zinc/38/90/47/260389047.db2.gz ZTPXTTGIORUGNQ-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cc(F)ccc2F)s1 ZINC000130437876 260396299 /nfs/dbraw/zinc/39/62/99/260396299.db2.gz YJPIPBIOMVRUKJ-SECBINFHSA-N 1 2 282.359 3.835 20 0 CHADLO Fc1cc(F)c(CN2CCCC[C@@H]2c2[nH]cc[nH+]2)cc1F ZINC000092729906 260499755 /nfs/dbraw/zinc/49/97/55/260499755.db2.gz MRGDQDYSXXZIMY-CQSZACIVSA-N 1 2 295.308 3.554 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)C1CCCCCC1 ZINC000101855084 260963925 /nfs/dbraw/zinc/96/39/25/260963925.db2.gz AMXOAMGMJGOTOI-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO CC[C@@](C)([NH2+]CCCCC1CCOCC1)C(F)(F)F ZINC000525709359 261056713 /nfs/dbraw/zinc/05/67/13/261056713.db2.gz VIENROPKGOOQEQ-CYBMUJFWSA-N 1 2 281.362 3.904 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)cc1 ZINC000152042700 261059036 /nfs/dbraw/zinc/05/90/36/261059036.db2.gz SEVLKJOGKXDQJP-MRXNPFEDSA-N 1 2 285.391 3.536 20 0 CHADLO CCOc1ccc(C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)cc1 ZINC000152042700 261059038 /nfs/dbraw/zinc/05/90/38/261059038.db2.gz SEVLKJOGKXDQJP-MRXNPFEDSA-N 1 2 285.391 3.536 20 0 CHADLO Cc1ccc(NC(=O)N(Cc2ccccc2)C2CC2)c(C)[nH+]1 ZINC000152165607 261060525 /nfs/dbraw/zinc/06/05/25/261060525.db2.gz MNXDROPTCZOTHL-UHFFFAOYSA-N 1 2 295.386 3.895 20 0 CHADLO CC[C@H]1CCCN(C(=O)Nc2ccc(C)[nH+]c2C)CC1 ZINC000152283662 261062697 /nfs/dbraw/zinc/06/26/97/261062697.db2.gz WEZOKLVZTDFTFY-AWEZNQCLSA-N 1 2 275.396 3.742 20 0 CHADLO Cc1ccc(NC(=O)N2[C@@H](C)C[C@@H]3CCCC[C@@H]32)c(C)[nH+]1 ZINC000152399831 261064006 /nfs/dbraw/zinc/06/40/06/261064006.db2.gz BANPOBXUAIYKFF-NOLJZWGESA-N 1 2 287.407 3.883 20 0 CHADLO Cc1nc(CC(C)C)c(C(=O)Nc2cc[nH+]cc2C)s1 ZINC000152875259 261070631 /nfs/dbraw/zinc/07/06/31/261070631.db2.gz UKTYLZLFOCVQHI-UHFFFAOYSA-N 1 2 289.404 3.606 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000154344919 261090746 /nfs/dbraw/zinc/09/07/46/261090746.db2.gz KPAQGOVMPZCWSP-ZDUSSCGKSA-N 1 2 299.418 3.923 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2nccn2C(F)F)cc1C ZINC000154965592 261096344 /nfs/dbraw/zinc/09/63/44/261096344.db2.gz UUBSFJRFDSRRDN-UHFFFAOYSA-N 1 2 293.361 3.835 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2nccn2C(F)F)cc1C ZINC000154965592 261096346 /nfs/dbraw/zinc/09/63/46/261096346.db2.gz UUBSFJRFDSRRDN-UHFFFAOYSA-N 1 2 293.361 3.835 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@H](C)c3cncs3)co2)cc1 ZINC000155294201 261100556 /nfs/dbraw/zinc/10/05/56/261100556.db2.gz VQFNAWXDHUDIMY-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](c3ccccc3F)C2)cs1 ZINC000449020903 261102583 /nfs/dbraw/zinc/10/25/83/261102583.db2.gz XMJWKTHUAWQGIR-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](c3ccccc3F)C2)cs1 ZINC000449020903 261102584 /nfs/dbraw/zinc/10/25/84/261102584.db2.gz XMJWKTHUAWQGIR-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)[NH+]2Cc3ccccc3C2)c(C)c1 ZINC000155493002 261102725 /nfs/dbraw/zinc/10/27/25/261102725.db2.gz YYBZOYBYRXHLJU-HNNXBMFYSA-N 1 2 294.398 3.646 20 0 CHADLO FC(F)(F)CCCC[N@@H+]1CCO[C@H](c2cccs2)C1 ZINC000155635902 261105277 /nfs/dbraw/zinc/10/52/77/261105277.db2.gz KVBYRQJRQVNBLJ-NSHDSACASA-N 1 2 293.354 3.854 20 0 CHADLO FC(F)(F)CCCC[N@H+]1CCO[C@H](c2cccs2)C1 ZINC000155635902 261105279 /nfs/dbraw/zinc/10/52/79/261105279.db2.gz KVBYRQJRQVNBLJ-NSHDSACASA-N 1 2 293.354 3.854 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1cc(F)ccc1F)c1ccc(C)o1 ZINC000156384869 261121737 /nfs/dbraw/zinc/12/17/37/261121737.db2.gz PHRWCSZANVADSH-XHDPSFHLSA-N 1 2 295.329 3.905 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1ccc(F)cc1F)c1ccc(C)o1 ZINC000156407267 261122128 /nfs/dbraw/zinc/12/21/28/261122128.db2.gz UVBUOOJBTKOZKN-IAQYHMDHSA-N 1 2 295.329 3.905 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccc(C)o1 ZINC000156471069 261123754 /nfs/dbraw/zinc/12/37/54/261123754.db2.gz KRUMJZGIJAAINU-FZMZJTMJSA-N 1 2 295.329 3.905 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1ccc(C)o1)c1ccc(C)o1 ZINC000156516790 261124319 /nfs/dbraw/zinc/12/43/19/261124319.db2.gz RYVYEXJWSKPMQY-STQMWFEESA-N 1 2 263.337 3.528 20 0 CHADLO COCc1ccc(CNc2c[nH+]ccc2OC(C)(C)C)o1 ZINC000158434288 261164579 /nfs/dbraw/zinc/16/45/79/261164579.db2.gz PFZMLYHZRRWNNG-UHFFFAOYSA-N 1 2 290.363 3.610 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1Cl)c1cscn1 ZINC000161129675 261237784 /nfs/dbraw/zinc/23/77/84/261237784.db2.gz IPSJFFSRCOEMKS-SECBINFHSA-N 1 2 252.770 3.647 20 0 CHADLO Cc1cccc2[nH+]c(CNC(=O)CC3CCCCCC3)cn21 ZINC000176392176 261282042 /nfs/dbraw/zinc/28/20/42/261282042.db2.gz BRNYHPHCSJGXMY-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO CC(C)c1cc(N2C[C@@H](C)O[C@@H](C)[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000445663131 261293227 /nfs/dbraw/zinc/29/32/27/261293227.db2.gz WWZUXXJYMYAWQE-RDBSUJKOSA-N 1 2 291.439 3.726 20 0 CHADLO Cc1cc(NC[C@H](c2cccnc2)C(C)C)nc(C2CC2)[nH+]1 ZINC000176747510 261308025 /nfs/dbraw/zinc/30/80/25/261308025.db2.gz VZQHMDRCPKFNCQ-INIZCTEOSA-N 1 2 296.418 3.909 20 0 CHADLO CC(C)[C@@H](c1ccccc1Cl)[N@H+](C)Cc1ncccn1 ZINC000361826139 261397503 /nfs/dbraw/zinc/39/75/03/261397503.db2.gz SONHXIHIRXXLAP-INIZCTEOSA-N 1 2 289.810 3.959 20 0 CHADLO CC(C)[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1ncccn1 ZINC000361826139 261397506 /nfs/dbraw/zinc/39/75/06/261397506.db2.gz SONHXIHIRXXLAP-INIZCTEOSA-N 1 2 289.810 3.959 20 0 CHADLO Cc1cccc(C)c1NC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000175305647 261602642 /nfs/dbraw/zinc/60/26/42/261602642.db2.gz FJFNITYNBXXSLE-CQSZACIVSA-N 1 2 298.390 3.786 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C)o1)c1ccc(F)cc1F ZINC000179629394 261804566 /nfs/dbraw/zinc/80/45/66/261804566.db2.gz ZXOHNRJXRBDUAI-ZDUSSCGKSA-N 1 2 266.291 3.502 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(Cl)n1)c1nc(C)cs1 ZINC000184406526 262019452 /nfs/dbraw/zinc/01/94/52/262019452.db2.gz SQHWGHMFXOMNAJ-LLVKDONJSA-N 1 2 281.812 3.741 20 0 CHADLO Cc1cc[nH+]c(NC[C@@H]2CCCSC2)c1Cl ZINC000309875341 262043331 /nfs/dbraw/zinc/04/33/31/262043331.db2.gz SIZSGWCMRVTAIH-JTQLQIEISA-N 1 2 256.802 3.599 20 0 CHADLO FC(F)n1c2ccccc2nc1C[N@@H+]1CCCC2(CC2)C1 ZINC000187006412 262120837 /nfs/dbraw/zinc/12/08/37/262120837.db2.gz YDEGDUHNROWPDY-UHFFFAOYSA-N 1 2 291.345 3.807 20 0 CHADLO FC(F)n1c2ccccc2nc1C[N@H+]1CCCC2(CC2)C1 ZINC000187006412 262120840 /nfs/dbraw/zinc/12/08/40/262120840.db2.gz YDEGDUHNROWPDY-UHFFFAOYSA-N 1 2 291.345 3.807 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)c2ccc3ccccc3c2)cc[nH+]1 ZINC000500313340 262143374 /nfs/dbraw/zinc/14/33/74/262143374.db2.gz UITBSGMBDIMCLN-CQSZACIVSA-N 1 2 290.366 3.707 20 0 CHADLO CCCN(CCC)c1cc(CC)[nH+]c(-c2ccncc2)n1 ZINC000498836152 262194709 /nfs/dbraw/zinc/19/47/09/262194709.db2.gz KMQJNZTZFNFZCB-UHFFFAOYSA-N 1 2 284.407 3.727 20 0 CHADLO C(=C\c1ccccc1)\C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC000525781303 262226874 /nfs/dbraw/zinc/22/68/74/262226874.db2.gz SANGPSDMJXXRSC-JNLAIUADSA-N 1 2 279.383 3.773 20 0 CHADLO C(=C\c1ccccc1)\C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC000525781303 262226878 /nfs/dbraw/zinc/22/68/78/262226878.db2.gz SANGPSDMJXXRSC-JNLAIUADSA-N 1 2 279.383 3.773 20 0 CHADLO Cc1cnc(Nc2c[nH+]c(N3CCCC[C@H]3C)c(C)c2)nc1 ZINC000503279363 262244739 /nfs/dbraw/zinc/24/47/39/262244739.db2.gz HSEHJNKHOYVQJL-CQSZACIVSA-N 1 2 297.406 3.611 20 0 CHADLO C[C@H]([NH2+]Cc1nc2ccccc2[nH]1)c1c(F)cccc1F ZINC000054375434 262268332 /nfs/dbraw/zinc/26/83/32/262268332.db2.gz JMONJSXBQFHEPE-JTQLQIEISA-N 1 2 287.313 3.692 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@@H](C)[C@H]2C)nc(-c2cccnc2)[nH+]1 ZINC000521402536 262300856 /nfs/dbraw/zinc/30/08/56/262300856.db2.gz ODNJCOHKPVFRHG-XNRPHZJLSA-N 1 2 296.418 3.506 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@H](C)[C@H]2C)nc(-c2cccnc2)[nH+]1 ZINC000521402535 262300927 /nfs/dbraw/zinc/30/09/27/262300927.db2.gz ODNJCOHKPVFRHG-JGGQBBKZSA-N 1 2 296.418 3.506 20 0 CHADLO CCn1cc[nH+]c1CNc1ccccc1N1CCCCC1 ZINC000060392863 262392117 /nfs/dbraw/zinc/39/21/17/262392117.db2.gz UFJLJKHVDCEUFI-UHFFFAOYSA-N 1 2 284.407 3.505 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000413668412 262776212 /nfs/dbraw/zinc/77/62/12/262776212.db2.gz PEPLBHKFACHDQI-CABCVRRESA-N 1 2 289.423 3.680 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1cc2n(n1)CCC2 ZINC000414053881 262797960 /nfs/dbraw/zinc/79/79/60/262797960.db2.gz MKOSPFDOPXTBDI-QWRGUYRKSA-N 1 2 291.345 3.519 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccsc1 ZINC000414310028 262840736 /nfs/dbraw/zinc/84/07/36/262840736.db2.gz VRGWIETVEUFYQA-GXSJLCMTSA-N 1 2 267.423 3.792 20 0 CHADLO Cc1occc1C[N@@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000414387670 262889274 /nfs/dbraw/zinc/88/92/74/262889274.db2.gz YXMAGEGHVSGEKS-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1occc1C[N@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000414387670 262889275 /nfs/dbraw/zinc/88/92/75/262889275.db2.gz YXMAGEGHVSGEKS-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1c(C(=O)Nc2cc[nH+]c(C)c2)cnn1C1CCCCC1 ZINC000272818100 263008982 /nfs/dbraw/zinc/00/89/82/263008982.db2.gz WWMLGLDKEPVVEW-UHFFFAOYSA-N 1 2 298.390 3.652 20 0 CHADLO CCCc1noc(C[NH2+][C@H](C)c2ccc(SC)cc2)n1 ZINC000281222040 263067267 /nfs/dbraw/zinc/06/72/67/263067267.db2.gz BTFXINSAIUYVRT-LLVKDONJSA-N 1 2 291.420 3.595 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1csc(Cl)n1)C(C)C ZINC000289954352 263113543 /nfs/dbraw/zinc/11/35/43/263113543.db2.gz IDNYLVDAGVRHOM-GFCCVEGCSA-N 1 2 295.839 3.987 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cc(OC)ccc2F)cs1 ZINC000293608218 263144155 /nfs/dbraw/zinc/14/41/55/263144155.db2.gz PKHTYWQIBHNEAC-JTQLQIEISA-N 1 2 294.395 3.704 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1cnn(-c2ccccc2)c1C ZINC000296581140 263193358 /nfs/dbraw/zinc/19/33/58/263193358.db2.gz VYUDZSISLXKMRV-CYBMUJFWSA-N 1 2 295.390 3.570 20 0 CHADLO CCc1nc2cc(N[C@@H](C)c3[nH+]ccn3CC)ccc2o1 ZINC000296701402 263195866 /nfs/dbraw/zinc/19/58/66/263195866.db2.gz HQUCIEQZVOLLHL-NSHDSACASA-N 1 2 284.363 3.780 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cccc([C@H](C)OC)c1 ZINC000453189474 263201331 /nfs/dbraw/zinc/20/13/31/263201331.db2.gz RVHLQBUUYPZNOK-STQMWFEESA-N 1 2 273.380 3.784 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(F)c(F)c(F)c1)C(F)F ZINC000453252743 263209735 /nfs/dbraw/zinc/20/97/35/263209735.db2.gz VORNTUZKERNMGW-WKEGUHRASA-N 1 2 267.241 3.798 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCSc2ccc(F)cc21)C(C)(F)F ZINC000453277540 263216150 /nfs/dbraw/zinc/21/61/50/263216150.db2.gz DJTJQUYYKHQBEJ-LDYMZIIASA-N 1 2 275.339 3.996 20 0 CHADLO CCCS(=O)(=O)Nc1ccc([NH2+]CCC(C)(C)C)cc1 ZINC000187580977 263262445 /nfs/dbraw/zinc/26/24/45/263262445.db2.gz GUXDHFBBFXCYOV-UHFFFAOYSA-N 1 2 298.452 3.686 20 0 CHADLO C[C@H](O)C[C@H](C)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000342380903 263427428 /nfs/dbraw/zinc/42/74/28/263427428.db2.gz PAUKNZQEDXDQRI-QWRGUYRKSA-N 1 2 278.783 3.707 20 0 CHADLO CCc1ccc([C@H]2CCCCN2Cc2[nH+]cc(C)n2C)o1 ZINC000342799000 263488367 /nfs/dbraw/zinc/48/83/67/263488367.db2.gz LYHOSDMUKBQZMV-OAHLLOKOSA-N 1 2 287.407 3.611 20 0 CHADLO CCc1ccc([C@H]2CCCC[N@@H+]2Cc2ncc(C)n2C)o1 ZINC000342799000 263488369 /nfs/dbraw/zinc/48/83/69/263488369.db2.gz LYHOSDMUKBQZMV-OAHLLOKOSA-N 1 2 287.407 3.611 20 0 CHADLO CCc1ccc([C@H]2CCCC[N@H+]2Cc2ncc(C)n2C)o1 ZINC000342799000 263488372 /nfs/dbraw/zinc/48/83/72/263488372.db2.gz LYHOSDMUKBQZMV-OAHLLOKOSA-N 1 2 287.407 3.611 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2ccc(Cl)cn2)C1 ZINC000334172303 263497913 /nfs/dbraw/zinc/49/79/13/263497913.db2.gz BRDQPXRNKZGCKJ-VIFPVBQESA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2ccc(Cl)cn2)C1 ZINC000334172303 263497916 /nfs/dbraw/zinc/49/79/16/263497916.db2.gz BRDQPXRNKZGCKJ-VIFPVBQESA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)C1([NH2+][C@@H]2CCSc3ccccc32)CC1 ZINC000334191084 263502871 /nfs/dbraw/zinc/50/28/71/263502871.db2.gz IEUFEMWPITVIJQ-SNVBAGLBSA-N 1 2 255.333 3.611 20 0 CHADLO Cc1ccc(NC(=O)C2(C(F)(F)F)CCCC2)c(C)[nH+]1 ZINC000415278246 263625592 /nfs/dbraw/zinc/62/55/92/263625592.db2.gz AVQOFCCURHSZBN-UHFFFAOYSA-N 1 2 286.297 3.760 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CC(C)(C)C(F)(F)F)cc2[nH+]1 ZINC000331076687 264115123 /nfs/dbraw/zinc/11/51/23/264115123.db2.gz ISUJDSXLRSGOMA-UHFFFAOYSA-N 1 2 299.296 3.788 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1CCCC[C@@]1(C)C(N)=O ZINC000331106569 264125533 /nfs/dbraw/zinc/12/55/33/264125533.db2.gz GTBBWCGWLLJBNT-HNNXBMFYSA-N 1 2 296.798 3.628 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1CCCC[C@@]1(C)C(N)=O ZINC000331106569 264125534 /nfs/dbraw/zinc/12/55/34/264125534.db2.gz GTBBWCGWLLJBNT-HNNXBMFYSA-N 1 2 296.798 3.628 20 0 CHADLO CC(C)[C@H](NC(=O)[C@H]1C[C@@H](C)CC(C)(C)C1)c1[nH]cc[nH+]1 ZINC000331204159 264160855 /nfs/dbraw/zinc/16/08/55/264160855.db2.gz YEPKNKBEBCNLRH-RDBSUJKOSA-N 1 2 291.439 3.685 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C(C)(C)C)[nH]1)c1ccc(Cl)s1 ZINC000331209885 264162547 /nfs/dbraw/zinc/16/25/47/264162547.db2.gz XDBGWUNVSJLJDE-MRVPVSSYSA-N 1 2 298.843 3.668 20 0 CHADLO CS[C@@H]1CC[C@H]1Nc1ccc(-n2c[nH+]c(C)c2C)cc1 ZINC000425377787 264216769 /nfs/dbraw/zinc/21/67/69/264216769.db2.gz IFORVUUUZFXSLU-HZPDHXFCSA-N 1 2 287.432 3.795 20 0 CHADLO CS[C@@H]1CC[C@@H]1Nc1ccc(-n2c[nH+]c(C)c2C)cc1 ZINC000425377788 264216920 /nfs/dbraw/zinc/21/69/20/264216920.db2.gz IFORVUUUZFXSLU-JKSUJKDBSA-N 1 2 287.432 3.795 20 0 CHADLO Cc1cccc2nc(N[C@@H](c3[nH+]ccn3C)C3CC3)sc21 ZINC000343258602 264224883 /nfs/dbraw/zinc/22/48/83/264224883.db2.gz VUBVZQMQCFZRCM-CYBMUJFWSA-N 1 2 298.415 3.901 20 0 CHADLO Cc1csc([C@@H]2COCC[N@H+]2C[C@@H]2CCC[C@H](C)C2)n1 ZINC000188598042 264237242 /nfs/dbraw/zinc/23/72/42/264237242.db2.gz YKFQMJDGMJULBP-CFVMTHIKSA-N 1 2 294.464 3.651 20 0 CHADLO Cc1csc([C@@H]2COCC[N@@H+]2C[C@@H]2CCC[C@H](C)C2)n1 ZINC000188598042 264237245 /nfs/dbraw/zinc/23/72/45/264237245.db2.gz YKFQMJDGMJULBP-CFVMTHIKSA-N 1 2 294.464 3.651 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1ccn(-c2ccccc2F)n1 ZINC000188889760 264255245 /nfs/dbraw/zinc/25/52/45/264255245.db2.gz CALPDAKZQIREER-ZDUSSCGKSA-N 1 2 299.349 3.797 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1ccn(-c2ccccc2F)n1 ZINC000188889760 264255247 /nfs/dbraw/zinc/25/52/47/264255247.db2.gz CALPDAKZQIREER-ZDUSSCGKSA-N 1 2 299.349 3.797 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1cc(F)ccc1Cl ZINC000189107840 264272883 /nfs/dbraw/zinc/27/28/83/264272883.db2.gz BEBZJDBMVUROOS-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1cc(F)ccc1Cl ZINC000189107840 264272884 /nfs/dbraw/zinc/27/28/84/264272884.db2.gz BEBZJDBMVUROOS-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CCCc3sc(Cl)cc32)no1 ZINC000128172962 264282035 /nfs/dbraw/zinc/28/20/35/264282035.db2.gz PLBNDFMSBBRSJQ-LLVKDONJSA-N 1 2 282.796 3.865 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)NCc1ccc(Cl)cc1F ZINC000189260372 264288943 /nfs/dbraw/zinc/28/89/43/264288943.db2.gz CGLRXYKMFGUGIM-UHFFFAOYSA-N 1 2 293.729 3.504 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1CCCCC1 ZINC000074829950 264310966 /nfs/dbraw/zinc/31/09/66/264310966.db2.gz GHOHFLVKULUYGH-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1ccccc1 ZINC000425427337 264314793 /nfs/dbraw/zinc/31/47/93/264314793.db2.gz SJDPZIKZZQGMNR-LBPRGKRZSA-N 1 2 295.333 3.884 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC000425442999 264324146 /nfs/dbraw/zinc/32/41/46/264324146.db2.gz KZWLFRUQMZOCHW-MRVPVSSYSA-N 1 2 299.346 3.580 20 0 CHADLO Cc1ccc(C[N@H+](Cc2c(Cl)cnn2C)C(C)C)s1 ZINC000189796599 264326632 /nfs/dbraw/zinc/32/66/32/264326632.db2.gz PLRBHMDHXGKZEP-UHFFFAOYSA-N 1 2 297.855 3.854 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2c(Cl)cnn2C)C(C)C)s1 ZINC000189796599 264326634 /nfs/dbraw/zinc/32/66/34/264326634.db2.gz PLRBHMDHXGKZEP-UHFFFAOYSA-N 1 2 297.855 3.854 20 0 CHADLO CC(C)c1noc([C@H](C)[NH+]2CCC(OC(C)(C)C)CC2)n1 ZINC000162631526 264327315 /nfs/dbraw/zinc/32/73/15/264327315.db2.gz OXWNKRHMLZQIPN-LBPRGKRZSA-N 1 2 295.427 3.534 20 0 CHADLO CC1(C)C[NH+](Cc2nc3ccccc3s2)CC(C)(C)O1 ZINC000190550058 264396837 /nfs/dbraw/zinc/39/68/37/264396837.db2.gz JGXUMFRPMUISQV-UHFFFAOYSA-N 1 2 290.432 3.686 20 0 CHADLO Cc1cc(NCC2(CCO)CCC2)c2cccc(F)c2[nH+]1 ZINC000132645578 264398328 /nfs/dbraw/zinc/39/83/28/264398328.db2.gz AGYUALCSBFIPCS-UHFFFAOYSA-N 1 2 288.366 3.647 20 0 CHADLO CCCOc1cccc(C(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000425585855 264947130 /nfs/dbraw/zinc/94/71/30/264947130.db2.gz JBNPBAZPDVMLFA-UHFFFAOYSA-N 1 2 284.359 3.740 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@H](C)c2ccncc2)c(C)[nH+]1 ZINC000335587184 265159900 /nfs/dbraw/zinc/15/99/00/265159900.db2.gz ZSITXEXCDQFDEZ-CQSZACIVSA-N 1 2 298.390 3.627 20 0 CHADLO CCc1cc(N[C@H]2CCC[C@@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000518843366 265180196 /nfs/dbraw/zinc/18/01/96/265180196.db2.gz FZHGNNHWCAHWEW-CJNGLKHVSA-N 1 2 296.418 3.514 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3[nH]c4ccc(F)cc4c3C2)o1 ZINC000351821709 265912894 /nfs/dbraw/zinc/91/28/94/265912894.db2.gz UCBFBMHGJSHWKS-UHFFFAOYSA-N 1 2 284.334 3.767 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3[nH]c4ccc(F)cc4c3C2)o1 ZINC000351821709 265912899 /nfs/dbraw/zinc/91/28/99/265912899.db2.gz UCBFBMHGJSHWKS-UHFFFAOYSA-N 1 2 284.334 3.767 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H](C)c2cc(C)ccn2)n1 ZINC000353504221 266039174 /nfs/dbraw/zinc/03/91/74/266039174.db2.gz QVMPXYILLQKWLI-NEPJUHHUSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1ccc(Cc2noc([C@H](C)Oc3ccccc3)n2)c[nH+]1 ZINC000356130891 266056591 /nfs/dbraw/zinc/05/65/91/266056591.db2.gz STXXQMKUWDWWOV-ZDUSSCGKSA-N 1 2 295.342 3.504 20 0 CHADLO Cc1ccc(Cc2noc(C[C@@H](C)CC(C)C)n2)c[nH+]1 ZINC000356161938 266060057 /nfs/dbraw/zinc/06/00/57/266060057.db2.gz DLFQCYDNNCCHDY-LBPRGKRZSA-N 1 2 273.380 3.589 20 0 CHADLO C[C@H]1CCC[C@@H](c2noc(C[N@H+](C)Cc3ccccc3)n2)C1 ZINC000356195278 266068542 /nfs/dbraw/zinc/06/85/42/266068542.db2.gz PUUGHMRPYUXHIO-GOEBONIOSA-N 1 2 299.418 3.995 20 0 CHADLO C[C@H]1CCC[C@@H](c2noc(C[N@@H+](C)Cc3ccccc3)n2)C1 ZINC000356195278 266068546 /nfs/dbraw/zinc/06/85/46/266068546.db2.gz PUUGHMRPYUXHIO-GOEBONIOSA-N 1 2 299.418 3.995 20 0 CHADLO CC1CCC(c2noc(C[N@H+](C)Cc3ccccc3)n2)CC1 ZINC000356219751 266075240 /nfs/dbraw/zinc/07/52/40/266075240.db2.gz OJCCTJJXERZQAK-UHFFFAOYSA-N 1 2 299.418 3.995 20 0 CHADLO CC1CCC(c2noc(C[N@@H+](C)Cc3ccccc3)n2)CC1 ZINC000356219751 266075243 /nfs/dbraw/zinc/07/52/43/266075243.db2.gz OJCCTJJXERZQAK-UHFFFAOYSA-N 1 2 299.418 3.995 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCC(=O)N1[C@@H](C)CCC[C@@H]1C ZINC000356294196 266090212 /nfs/dbraw/zinc/09/02/12/266090212.db2.gz BCBBHVCWLIAAHS-KBPBESRZSA-N 1 2 299.418 3.524 20 0 CHADLO CCC[C@@H]1CCCCN1C(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000356757035 266170518 /nfs/dbraw/zinc/17/05/18/266170518.db2.gz AOYSGYUSWGQKHM-OAHLLOKOSA-N 1 2 299.418 3.677 20 0 CHADLO CC1(CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCCCC1 ZINC000356772323 266172445 /nfs/dbraw/zinc/17/24/45/266172445.db2.gz WKFSXXBLHJXVFN-UHFFFAOYSA-N 1 2 297.402 3.573 20 0 CHADLO Cc1cc(N2CC[C@H](C)C3(CCC3)C2)nc(C2CC2)[nH+]1 ZINC000356801829 266177339 /nfs/dbraw/zinc/17/73/39/266177339.db2.gz XQFSAHJZEPIJFT-LBPRGKRZSA-N 1 2 271.408 3.679 20 0 CHADLO C[C@@H]1CCN(c2[nH]c3ccccc3[nH+]2)CC12CCC2 ZINC000356797998 266177636 /nfs/dbraw/zinc/17/76/36/266177636.db2.gz REYVKQIKFNHIJN-GFCCVEGCSA-N 1 2 255.365 3.579 20 0 CHADLO Cc1[nH+]c2ccccn2c1CN1CC2(CC2)c2ccccc21 ZINC000356923558 266212401 /nfs/dbraw/zinc/21/24/01/266212401.db2.gz WHHLSHGBYLODJS-UHFFFAOYSA-N 1 2 289.382 3.695 20 0 CHADLO Clc1cccc2c1CCN2Cc1cccc2[nH+]ccn21 ZINC000356947981 266216780 /nfs/dbraw/zinc/21/67/80/266216780.db2.gz OAHBIDGSRHMAOP-UHFFFAOYSA-N 1 2 283.762 3.550 20 0 CHADLO Clc1ccc2c(c1)N(Cc1cccc3[nH+]ccn31)CC2 ZINC000356965254 266219563 /nfs/dbraw/zinc/21/95/63/266219563.db2.gz ZCNLXZRFKVBVDS-UHFFFAOYSA-N 1 2 283.762 3.550 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@@H+]1CCOCC12CCC2 ZINC000356977949 266222440 /nfs/dbraw/zinc/22/24/40/266222440.db2.gz DAUABFFRYGUMPG-UHFFFAOYSA-N 1 2 299.370 3.827 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@H+]1CCOCC12CCC2 ZINC000356977949 266222442 /nfs/dbraw/zinc/22/24/42/266222442.db2.gz DAUABFFRYGUMPG-UHFFFAOYSA-N 1 2 299.370 3.827 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccc(C)s2)o1 ZINC000356975279 266222720 /nfs/dbraw/zinc/22/27/20/266222720.db2.gz FDKPXOQQMNHJHR-LLVKDONJSA-N 1 2 250.367 3.546 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccc(C)s2)o1 ZINC000356975279 266222724 /nfs/dbraw/zinc/22/27/24/266222724.db2.gz FDKPXOQQMNHJHR-LLVKDONJSA-N 1 2 250.367 3.546 20 0 CHADLO Cn1cc[nH+]c1[C@H](Nc1ccc(F)cc1)c1cccc(F)c1 ZINC000356980922 266224452 /nfs/dbraw/zinc/22/44/52/266224452.db2.gz GCFYDVAVHZPUTM-MRXNPFEDSA-N 1 2 299.324 3.900 20 0 CHADLO CCOc1ccc(C[N@H+](C)[C@@H](C)c2ncc(C)o2)cc1 ZINC000356986908 266225938 /nfs/dbraw/zinc/22/59/38/266225938.db2.gz YFFIFZBAISPYOU-ZDUSSCGKSA-N 1 2 274.364 3.575 20 0 CHADLO CCOc1ccc(C[N@@H+](C)[C@@H](C)c2ncc(C)o2)cc1 ZINC000356986908 266225940 /nfs/dbraw/zinc/22/59/40/266225940.db2.gz YFFIFZBAISPYOU-ZDUSSCGKSA-N 1 2 274.364 3.575 20 0 CHADLO C[N@H+](CCC1CCCCC1)Cc1ncn(-c2ccccc2)n1 ZINC000357000884 266229127 /nfs/dbraw/zinc/22/91/27/266229127.db2.gz LZCMNOVMDOJQHS-UHFFFAOYSA-N 1 2 298.434 3.670 20 0 CHADLO C[N@@H+](CCC1CCCCC1)Cc1ncn(-c2ccccc2)n1 ZINC000357000884 266229131 /nfs/dbraw/zinc/22/91/31/266229131.db2.gz LZCMNOVMDOJQHS-UHFFFAOYSA-N 1 2 298.434 3.670 20 0 CHADLO COc1c(C)cc(C[N@H+](C)[C@@H](C)c2ncc(C)o2)cc1C ZINC000357003840 266230722 /nfs/dbraw/zinc/23/07/22/266230722.db2.gz OLNAQFPPHZQLOK-AWEZNQCLSA-N 1 2 288.391 3.801 20 0 CHADLO COc1c(C)cc(C[N@@H+](C)[C@@H](C)c2ncc(C)o2)cc1C ZINC000357003840 266230725 /nfs/dbraw/zinc/23/07/25/266230725.db2.gz OLNAQFPPHZQLOK-AWEZNQCLSA-N 1 2 288.391 3.801 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CCC[C@@H](C)C3)cc2[nH+]1 ZINC000357024470 266234547 /nfs/dbraw/zinc/23/45/47/266234547.db2.gz DUMPORWUVYKXLW-PWSUYJOCSA-N 1 2 271.364 3.636 20 0 CHADLO COc1cc(C[N@@H+]2CCC=C(F)C2)ccc1OC(C)C ZINC000357214937 266266218 /nfs/dbraw/zinc/26/62/18/266266218.db2.gz PDWBWBNVXLDSLF-UHFFFAOYSA-N 1 2 279.355 3.542 20 0 CHADLO COc1cc(C[N@H+]2CCC=C(F)C2)ccc1OC(C)C ZINC000357214937 266266220 /nfs/dbraw/zinc/26/62/20/266266220.db2.gz PDWBWBNVXLDSLF-UHFFFAOYSA-N 1 2 279.355 3.542 20 0 CHADLO CC[C@H]1CC[C@@H](C)N1c1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000357281219 266271954 /nfs/dbraw/zinc/27/19/54/266271954.db2.gz BEBOSYMTUYQSDT-HIFRSBDPSA-N 1 2 282.391 3.614 20 0 CHADLO Cc1cc(N2CCC[C@H]2c2cccnc2)nc(C(C)C)[nH+]1 ZINC000357469126 266303680 /nfs/dbraw/zinc/30/36/80/266303680.db2.gz GONKAGNMLGXIIQ-HNNXBMFYSA-N 1 2 282.391 3.645 20 0 CHADLO COCc1cc(N2CC[C@@H](C)[C@H]2C)c2cc(F)ccc2[nH+]1 ZINC000357516280 266314256 /nfs/dbraw/zinc/31/42/56/266314256.db2.gz QOKJLLAAJOKDTP-VXGBXAGGSA-N 1 2 288.366 3.755 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3nccc(C)n3)CC2)cc1 ZINC000357534401 266317204 /nfs/dbraw/zinc/31/72/04/266317204.db2.gz ZVKILIYAYSCBJH-UHFFFAOYSA-N 1 2 293.414 3.637 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3nccc(C)n3)CC2)cc1 ZINC000357534401 266317206 /nfs/dbraw/zinc/31/72/06/266317206.db2.gz ZVKILIYAYSCBJH-UHFFFAOYSA-N 1 2 293.414 3.637 20 0 CHADLO CSCCCN(C)c1[nH+]ccc2ccc(F)cc21 ZINC000357690114 266347754 /nfs/dbraw/zinc/34/77/54/266347754.db2.gz YZDWHWWTPSPUHP-UHFFFAOYSA-N 1 2 264.369 3.563 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H](C)C(F)(F)F ZINC000357941759 266387856 /nfs/dbraw/zinc/38/78/56/266387856.db2.gz SWBHRLNEUHGXLJ-VIFPVBQESA-N 1 2 297.280 3.522 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H](C)CC3CCCC3)ccc2[nH+]1 ZINC000357971405 266392784 /nfs/dbraw/zinc/39/27/84/266392784.db2.gz JTIMIYCMBHUXJH-GFCCVEGCSA-N 1 2 285.391 3.798 20 0 CHADLO CCC[C@H](Cc1ccccc1)Nc1cc[nH+]c(C)n1 ZINC000360986420 266763460 /nfs/dbraw/zinc/76/34/60/266763460.db2.gz KMTFLFAXVSMQLJ-OAHLLOKOSA-N 1 2 255.365 3.608 20 0 CHADLO Cc1nc(N[C@H](Cc2ccc(F)cc2)C(C)C)cc[nH+]1 ZINC000361275125 266813996 /nfs/dbraw/zinc/81/39/96/266813996.db2.gz HNGQMDIULOXJIC-OAHLLOKOSA-N 1 2 273.355 3.603 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1ccc(C2CCC2)cc1 ZINC000361953003 266911106 /nfs/dbraw/zinc/91/11/06/266911106.db2.gz VXDJUJWGJFSRFH-UHFFFAOYSA-N 1 2 291.354 3.854 20 0 CHADLO CCc1cnc(C[N@@H+]2CCc3c(C)cc(C)cc3C2)s1 ZINC000362530138 267001815 /nfs/dbraw/zinc/00/18/15/267001815.db2.gz VCWQNMFIQXHEEZ-UHFFFAOYSA-N 1 2 286.444 3.881 20 0 CHADLO CCc1cnc(C[N@H+]2CCc3c(C)cc(C)cc3C2)s1 ZINC000362530138 267001818 /nfs/dbraw/zinc/00/18/18/267001818.db2.gz VCWQNMFIQXHEEZ-UHFFFAOYSA-N 1 2 286.444 3.881 20 0 CHADLO CC[C@@H](C)NC(=O)c1ccc(C)c(Oc2cc[nH+]cc2)c1 ZINC000114994816 267026014 /nfs/dbraw/zinc/02/60/14/267026014.db2.gz NNGFDNYWUQSFKC-CYBMUJFWSA-N 1 2 284.359 3.711 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2coc3ccccc23)[nH]1 ZINC000362987884 267070583 /nfs/dbraw/zinc/07/05/83/267070583.db2.gz VZXQZSUNUXSCNQ-NWDGAFQWSA-N 1 2 298.390 3.915 20 0 CHADLO CCc1cc(C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)oc1CC ZINC000362991238 267072069 /nfs/dbraw/zinc/07/20/69/267072069.db2.gz VVMFBFVNISGWOA-UHFFFAOYSA-N 1 2 297.358 3.841 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)CC(C)C)c2)[nH+]c1C ZINC000363185839 267079295 /nfs/dbraw/zinc/07/92/95/267079295.db2.gz GVXIVVIRPMEWNF-UHFFFAOYSA-N 1 2 271.364 3.678 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1F)c1nccn1-c1ccccc1 ZINC000367882174 267124013 /nfs/dbraw/zinc/12/40/13/267124013.db2.gz AXTYMQJZNPFWIW-AWEZNQCLSA-N 1 2 295.361 3.862 20 0 CHADLO Clc1nccc(C[N@@H+]2C[C@H]3CCCC[C@H]32)c1Cl ZINC000367955368 267130899 /nfs/dbraw/zinc/13/08/99/267130899.db2.gz IGBBCWHOAVOKIJ-MWLCHTKSSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1nccc(C[N@H+]2C[C@H]3CCCC[C@H]32)c1Cl ZINC000367955368 267130901 /nfs/dbraw/zinc/13/09/01/267130901.db2.gz IGBBCWHOAVOKIJ-MWLCHTKSSA-N 1 2 271.191 3.763 20 0 CHADLO CC(C)c1nc(N2CC(C)(C)[C@@H]2c2cccnc2)cc[nH+]1 ZINC000368064225 267143632 /nfs/dbraw/zinc/14/36/32/267143632.db2.gz NAWSHFZBJVBPJY-HNNXBMFYSA-N 1 2 282.391 3.583 20 0 CHADLO Cc1ccc(NC(=O)c2c(F)c(F)cc(F)c2F)c(C)[nH+]1 ZINC000119038801 267294586 /nfs/dbraw/zinc/29/45/86/267294586.db2.gz KUADSIOSYBQYBF-UHFFFAOYSA-N 1 2 298.239 3.507 20 0 CHADLO CC(C)SCC[N@@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000370148102 267295370 /nfs/dbraw/zinc/29/53/70/267295370.db2.gz IWCRGFIZSVFBAN-ZDUSSCGKSA-N 1 2 295.452 3.616 20 0 CHADLO CC(C)SCC[N@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000370148102 267295372 /nfs/dbraw/zinc/29/53/72/267295372.db2.gz IWCRGFIZSVFBAN-ZDUSSCGKSA-N 1 2 295.452 3.616 20 0 CHADLO Cc1cc[nH]c1C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000370473214 267321118 /nfs/dbraw/zinc/32/11/18/267321118.db2.gz XVKFLQAZTZNTEE-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO C[C@H](N[C@H](c1[nH+]ccn1C)c1ccccc1F)c1ccoc1 ZINC000370669297 267336416 /nfs/dbraw/zinc/33/64/16/267336416.db2.gz IOBODYLAUWJZNL-LRDDRELGSA-N 1 2 299.349 3.592 20 0 CHADLO Fc1ccccc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000121828029 267747983 /nfs/dbraw/zinc/74/79/83/267747983.db2.gz DNAOKRCWCSPRGP-UHFFFAOYSA-N 1 2 267.307 3.624 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@H]2C[C@@H]2C(C)C)[nH+]1 ZINC000376910180 268028901 /nfs/dbraw/zinc/02/89/01/268028901.db2.gz IMQQUBQJJDPCBS-KGLIPLIRSA-N 1 2 283.375 3.616 20 0 CHADLO FC1(c2cccc(Cl)c2)CC[NH+](Cc2ccon2)CC1 ZINC000377149981 268051635 /nfs/dbraw/zinc/05/16/35/268051635.db2.gz CYBOOLUUNLCMRR-UHFFFAOYSA-N 1 2 294.757 3.789 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CCCc2cc(F)c(F)cc21 ZINC000170958177 327679673 /nfs/dbraw/zinc/67/96/73/327679673.db2.gz UYNUXAZFAJLINT-UHFFFAOYSA-N 1 2 291.345 3.695 20 0 CHADLO Fc1cc2[nH+]cn(CCCCC3CCOCC3)c2cc1F ZINC000313768416 327769998 /nfs/dbraw/zinc/76/99/98/327769998.db2.gz CZBQSDJHPKNGBD-UHFFFAOYSA-N 1 2 294.345 3.911 20 0 CHADLO C[C@H](CCC(C)(C)C)[NH2+]c1ccc(NS(C)(=O)=O)cc1 ZINC000380208359 327783090 /nfs/dbraw/zinc/78/30/90/327783090.db2.gz HFOLTTGDCSMEMW-GFCCVEGCSA-N 1 2 298.452 3.685 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2nnc(C(C)C)o2)c1 ZINC000179593364 327802505 /nfs/dbraw/zinc/80/25/05/327802505.db2.gz BEIWIAIDHCGHMI-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1cc2ccccc2o1 ZINC000182625047 327814540 /nfs/dbraw/zinc/81/45/40/327814540.db2.gz LTXKCROHRRCACA-SNVBAGLBSA-N 1 2 283.331 3.544 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(F)cc2Cl)c(C)[nH+]1 ZINC000119209666 328949465 /nfs/dbraw/zinc/94/94/65/328949465.db2.gz NIRWLMXTTRPKFK-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO C[C@]12C[C@H]1CCC[C@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000502410326 327877455 /nfs/dbraw/zinc/87/74/55/327877455.db2.gz VMIBRPHXZUKAPV-UNEWFSDZSA-N 1 2 271.408 3.672 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1cc2ccccc2o1 ZINC000040421071 327906672 /nfs/dbraw/zinc/90/66/72/327906672.db2.gz KLOIIZUPQCVBBO-SNVBAGLBSA-N 1 2 258.346 3.740 20 0 CHADLO Cc1ccc(NC(=O)C2(C3CCC3)CCC2)c(C)[nH+]1 ZINC000410565175 327929130 /nfs/dbraw/zinc/92/91/30/327929130.db2.gz UKIDGWJAPKOLGW-UHFFFAOYSA-N 1 2 258.365 3.607 20 0 CHADLO Fc1ccc(COc2ccccc2-n2cc[nH+]c2)c(F)c1 ZINC000531486242 327942210 /nfs/dbraw/zinc/94/22/10/327942210.db2.gz QYHHCIPOMPEULG-UHFFFAOYSA-N 1 2 286.281 3.730 20 0 CHADLO C[C@H](CC(=O)N[C@H]1CCCC[C@@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000563210507 327965534 /nfs/dbraw/zinc/96/55/34/327965534.db2.gz GGHWHGSJDYJKOQ-ILXRZTDVSA-N 1 2 291.439 3.555 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccsc2Cl)CC2(CCC2)O1 ZINC000583694321 329004596 /nfs/dbraw/zinc/00/45/96/329004596.db2.gz SMOXMMVRVRIVLB-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccsc2Cl)CC2(CCC2)O1 ZINC000583694321 329004598 /nfs/dbraw/zinc/00/45/98/329004598.db2.gz SMOXMMVRVRIVLB-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC[C@@H]1Cc1ccccc1 ZINC000534244935 328041672 /nfs/dbraw/zinc/04/16/72/328041672.db2.gz UTGBINWFXPDCCW-MRXNPFEDSA-N 1 2 291.398 3.785 20 0 CHADLO CCCOc1ccc(NC(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000534347722 328047412 /nfs/dbraw/zinc/04/74/12/328047412.db2.gz ZIGZBPYCRKHVAA-UHFFFAOYSA-N 1 2 299.374 3.553 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2csc(CC)n2)s1 ZINC000534349376 328047754 /nfs/dbraw/zinc/04/77/54/328047754.db2.gz SLJWOOOJGARPOH-UHFFFAOYSA-N 1 2 280.462 3.961 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2csc(CC)n2)s1 ZINC000534349376 328047755 /nfs/dbraw/zinc/04/77/55/328047755.db2.gz SLJWOOOJGARPOH-UHFFFAOYSA-N 1 2 280.462 3.961 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc(-c2ccccc2)o1 ZINC000563503311 328070569 /nfs/dbraw/zinc/07/05/69/328070569.db2.gz SFKIAUGQARJAOD-UHFFFAOYSA-N 1 2 251.276 3.691 20 0 CHADLO Cc1ccc(NC(=O)NCCCC(C)(C)C)c(C)[nH+]1 ZINC000152245513 328082723 /nfs/dbraw/zinc/08/27/23/328082723.db2.gz BKPKYAUMJMNBRN-UHFFFAOYSA-N 1 2 263.385 3.646 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2[C@H](C)[C@H]2c2ccccc2)c(C)[nH+]1 ZINC000430131343 328137252 /nfs/dbraw/zinc/13/72/52/328137252.db2.gz LNOJWQBOGYNBPG-XOKHGSTOSA-N 1 2 294.398 3.995 20 0 CHADLO CC(C)SCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000336715548 328137762 /nfs/dbraw/zinc/13/77/62/328137762.db2.gz SQIIPRQKNQOOBO-UHFFFAOYSA-N 1 2 264.316 3.654 20 0 CHADLO Cc1cc2nc(N[C@H]3C[C@H](C)n4cc[nH+]c43)oc2c(C)c1 ZINC000571630579 328150434 /nfs/dbraw/zinc/15/04/34/328150434.db2.gz AVQIYMCLWWQKQS-AAEUAGOBSA-N 1 2 282.347 3.759 20 0 CHADLO COCC1CCC(Nc2[nH+]ccc(C)c2Cl)CC1 ZINC000413528540 328148691 /nfs/dbraw/zinc/14/86/91/328148691.db2.gz DUBQCWHZAYXMBL-UHFFFAOYSA-N 1 2 268.788 3.661 20 0 CHADLO CCN(Cc1ccccc1)C(=O)Nc1c(C)cc[nH+]c1C ZINC000287501385 328251514 /nfs/dbraw/zinc/25/15/14/328251514.db2.gz LLCRAWXQJIQVQO-UHFFFAOYSA-N 1 2 283.375 3.752 20 0 CHADLO Fc1ccc(C[NH2+]Cc2ncc(Cl)s2)cc1Cl ZINC000225335667 329251058 /nfs/dbraw/zinc/25/10/58/329251058.db2.gz GHWAQACFOXFCJQ-UHFFFAOYSA-N 1 2 291.178 3.879 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(-c3ccoc3)n2)CCC1(F)F ZINC000289949487 328256912 /nfs/dbraw/zinc/25/69/12/328256912.db2.gz VYCIAZFROIYFAZ-JTQLQIEISA-N 1 2 298.358 3.880 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(-c3ccoc3)n2)CCC1(F)F ZINC000289949487 328256914 /nfs/dbraw/zinc/25/69/14/328256914.db2.gz VYCIAZFROIYFAZ-JTQLQIEISA-N 1 2 298.358 3.880 20 0 CHADLO c1nnsc1C[N@@H+]1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000294881357 328283667 /nfs/dbraw/zinc/28/36/67/328283667.db2.gz FYPFRVATRXJCAT-INIZCTEOSA-N 1 2 298.415 3.747 20 0 CHADLO c1nnsc1C[N@H+]1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000294881357 328283668 /nfs/dbraw/zinc/28/36/68/328283668.db2.gz FYPFRVATRXJCAT-INIZCTEOSA-N 1 2 298.415 3.747 20 0 CHADLO Cc1[nH]c(CNc2ccc(C(C)(C)C)cc2)[nH+]c1C ZINC000582975851 329297936 /nfs/dbraw/zinc/29/79/36/329297936.db2.gz LELOHONZZZYWDD-UHFFFAOYSA-N 1 2 257.381 3.936 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1ccc(OC(C)C)cc1 ZINC000296739964 328291891 /nfs/dbraw/zinc/29/18/91/328291891.db2.gz JIVZWCKRKSYJAO-ZDUSSCGKSA-N 1 2 273.380 3.863 20 0 CHADLO Cc1cn2cc(NC(=O)C(C)(C)C3CCCC3)ccc2[nH+]1 ZINC000534549456 328306042 /nfs/dbraw/zinc/30/60/42/328306042.db2.gz MMCYGBCGMBLXNP-UHFFFAOYSA-N 1 2 285.391 3.798 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(Cl)c1Cl)c1cnccn1 ZINC000531950044 328327449 /nfs/dbraw/zinc/32/74/49/328327449.db2.gz KJVWQOSFPMMEQB-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO Cc1nc(N2CCCC[C@H]2CCc2ccccc2)cc[nH+]1 ZINC000534826646 328364488 /nfs/dbraw/zinc/36/44/88/328364488.db2.gz SCXPDQORNVFSCJ-KRWDZBQOSA-N 1 2 281.403 3.777 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCOC1CCCC1 ZINC000111639179 328369907 /nfs/dbraw/zinc/36/99/07/328369907.db2.gz DGZPWRUDEJUADM-UHFFFAOYSA-N 1 2 270.376 3.914 20 0 CHADLO CCCCOc1ccc(C(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000535036176 328379334 /nfs/dbraw/zinc/37/93/34/328379334.db2.gz KTQLZARABHEVRS-UHFFFAOYSA-N 1 2 298.386 3.552 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@@H+](C)Cc2cccnc2)o1 ZINC000535052164 328380804 /nfs/dbraw/zinc/38/08/04/328380804.db2.gz VWKOTYBEWCEQGB-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@H+](C)Cc2cccnc2)o1 ZINC000535052164 328380806 /nfs/dbraw/zinc/38/08/06/328380806.db2.gz VWKOTYBEWCEQGB-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO CSc1ccc(F)cc1C[NH2+][C@H](C)c1cscn1 ZINC000535063647 328382260 /nfs/dbraw/zinc/38/22/60/328382260.db2.gz AZUWKOURIYGAHF-SECBINFHSA-N 1 2 282.409 3.855 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1cc2ccccc2o1 ZINC000532013938 328391894 /nfs/dbraw/zinc/39/18/94/328391894.db2.gz OUXFUGSHNFDNAG-LBPRGKRZSA-N 1 2 289.375 3.595 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1cc2ccccc2o1 ZINC000532013938 328391895 /nfs/dbraw/zinc/39/18/95/328391895.db2.gz OUXFUGSHNFDNAG-LBPRGKRZSA-N 1 2 289.375 3.595 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)Cc1c(F)cccc1Cl ZINC000425318723 328454149 /nfs/dbraw/zinc/45/41/49/328454149.db2.gz BQNHAENQJRPXSS-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC[C@@H]1CCC[C@@H]1c1nc(CCc2[nH+]cccc2C)no1 ZINC000563862686 328529120 /nfs/dbraw/zinc/52/91/20/328529120.db2.gz UZYHBIJOSPPAPY-KGLIPLIRSA-N 1 2 285.391 3.852 20 0 CHADLO CC[C@@H](C)CN(CC)C(=O)c1cc(C)ccc1-n1cc[nH+]c1 ZINC000344066402 328556004 /nfs/dbraw/zinc/55/60/04/328556004.db2.gz MFXDNYYRJNDDBP-CQSZACIVSA-N 1 2 299.418 3.689 20 0 CHADLO CCN(Cc1cn2c(cccc2C)[nH+]1)c1ccccc1OC ZINC000533485944 326841201 /nfs/dbraw/zinc/84/12/01/326841201.db2.gz XTUCLKDTEUVMRH-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1ccccc1C ZINC000037216334 326841460 /nfs/dbraw/zinc/84/14/60/326841460.db2.gz XTPKDWKRYQSHBA-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO FC(F)(F)c1cc(C[NH+]2CCSCC2)ccc1Cl ZINC000506358125 332770923 /nfs/dbraw/zinc/77/09/23/332770923.db2.gz UISJJBFAHMXMMZ-UHFFFAOYSA-N 1 2 295.757 3.908 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCc2c(OC)cccc21)C(F)F ZINC000556455242 326943142 /nfs/dbraw/zinc/94/31/42/326943142.db2.gz DZAMCIDKVTYNGV-QWHCGFSZSA-N 1 2 269.335 3.706 20 0 CHADLO CCOc1cc(NCc2cc(OC)cc(C)[nH+]2)ccc1C ZINC000556610088 326954295 /nfs/dbraw/zinc/95/42/95/326954295.db2.gz SSEQJPWNZRLWKE-UHFFFAOYSA-N 1 2 286.375 3.718 20 0 CHADLO CCn1nccc1C[NH2+]Cc1cc(Cl)sc1Cl ZINC000312786988 326969966 /nfs/dbraw/zinc/96/99/66/326969966.db2.gz WALRONBEYKZKRH-UHFFFAOYSA-N 1 2 290.219 3.561 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ccccc2C)c1 ZINC000533806392 326979970 /nfs/dbraw/zinc/97/99/70/326979970.db2.gz CPGRPXAMJPYQHN-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCC[C@H]3CCCC[C@H]32)c(C)c[nH+]1 ZINC000556935704 326984604 /nfs/dbraw/zinc/98/46/04/326984604.db2.gz HDCOTNLXDOGSFY-BZUAXINKSA-N 1 2 286.419 3.665 20 0 CHADLO CCC[N@@H+]1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 ZINC000557377298 327003369 /nfs/dbraw/zinc/00/33/69/327003369.db2.gz SGOVJIQJZMDYKQ-ZDUSSCGKSA-N 1 2 292.181 3.916 20 0 CHADLO CCC[N@H+]1CCO[C@H](c2cc(F)c(Cl)cc2Cl)C1 ZINC000557377298 327003371 /nfs/dbraw/zinc/00/33/71/327003371.db2.gz SGOVJIQJZMDYKQ-ZDUSSCGKSA-N 1 2 292.181 3.916 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)C1CCCCC1)c1ccc(F)cc1 ZINC000557600821 327015980 /nfs/dbraw/zinc/01/59/80/327015980.db2.gz QWKRGOYRUSCAHJ-LRDDRELGSA-N 1 2 293.382 3.598 20 0 CHADLO Cc1cc(N[C@@H]2CSC[C@H]2C)c2cccc(F)c2[nH+]1 ZINC000557737044 327023808 /nfs/dbraw/zinc/02/38/08/327023808.db2.gz OBKJEDDNZYPMAH-YMTOWFKASA-N 1 2 276.380 3.846 20 0 CHADLO CCc1cc(OCc2cncc(OC)c2)c2ccccc2[nH+]1 ZINC000558072074 327056035 /nfs/dbraw/zinc/05/60/35/327056035.db2.gz RKQPBUCPBSOXOI-UHFFFAOYSA-N 1 2 294.354 3.780 20 0 CHADLO Cc1ccc([C@@H](C)c2noc(Cc3ccc[nH+]c3C)n2)cc1 ZINC000558327988 327087380 /nfs/dbraw/zinc/08/73/80/327087380.db2.gz NSPAGFGMEOULIH-CYBMUJFWSA-N 1 2 293.370 3.824 20 0 CHADLO CC(C)c1nc(N2CC[C@@H](Cc3ccc(F)cc3)C2)cc[nH+]1 ZINC000558367969 327089003 /nfs/dbraw/zinc/08/90/03/327089003.db2.gz RYFLRTLTGDBMMZ-HNNXBMFYSA-N 1 2 299.393 3.808 20 0 CHADLO C[C@@H]1C[C@@H](C[N@@H+]2CCCCc3ccccc32)CCO1 ZINC000558407056 327091293 /nfs/dbraw/zinc/09/12/93/327091293.db2.gz BUIXMFCNFKJHDO-CABCVRRESA-N 1 2 259.393 3.644 20 0 CHADLO C[C@@H]1C[C@@H](C[N@H+]2CCCCc3ccccc32)CCO1 ZINC000558407056 327091294 /nfs/dbraw/zinc/09/12/94/327091294.db2.gz BUIXMFCNFKJHDO-CABCVRRESA-N 1 2 259.393 3.644 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCc1ccc([C@H]2C[C@H]2C)o1 ZINC000558449808 327095239 /nfs/dbraw/zinc/09/52/39/327095239.db2.gz RQLAYYBYUPFFBH-RISCZKNCSA-N 1 2 299.374 3.737 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCc2ccccc2)c1 ZINC000090802826 327100536 /nfs/dbraw/zinc/10/05/36/327100536.db2.gz VJQITOGKKFIBIA-UHFFFAOYSA-N 1 2 266.266 3.755 20 0 CHADLO c1[nH]cc(N[C@@H]2CCC[C@H]2CCc2ccccc2)[nH+]1 ZINC000558575286 327106687 /nfs/dbraw/zinc/10/66/87/327106687.db2.gz KHQQORCJUPUVDQ-LSDHHAIUSA-N 1 2 255.365 3.623 20 0 CHADLO CCc1cnc(C[NH2+][C@@]2(c3ccc(Cl)cc3)C[C@H]2C)o1 ZINC000558627755 327111132 /nfs/dbraw/zinc/11/11/32/327111132.db2.gz VVZUGPWMAKAENN-BZNIZROVSA-N 1 2 290.794 3.915 20 0 CHADLO Cc1c[nH+]c(C)nc1N[C@@H]1CCCc2sccc21 ZINC000584241964 327125592 /nfs/dbraw/zinc/12/55/92/327125592.db2.gz BJROEYBRLLCRJM-GFCCVEGCSA-N 1 2 259.378 3.644 20 0 CHADLO CCc1cc(OCc2nc(C)c(C)o2)c2ccccc2[nH+]1 ZINC000092333994 327192314 /nfs/dbraw/zinc/19/23/14/327192314.db2.gz XNBJHHODRWXAMU-UHFFFAOYSA-N 1 2 282.343 3.981 20 0 CHADLO CCc1cc(OCCCCOC)c2ccccc2[nH+]1 ZINC000092334079 327194048 /nfs/dbraw/zinc/19/40/48/327194048.db2.gz NGIVFDPXHCQSFK-UHFFFAOYSA-N 1 2 259.349 3.603 20 0 CHADLO CC1(C)C(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)C1(C)C ZINC000531052280 327232146 /nfs/dbraw/zinc/23/21/46/327232146.db2.gz BISALXDCNFXKNM-UHFFFAOYSA-N 1 2 283.375 3.697 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1c(Cl)cccc1Cl ZINC000559400079 327243766 /nfs/dbraw/zinc/24/37/66/327243766.db2.gz CFHSJHSIRVYNON-SECBINFHSA-N 1 2 262.155 3.927 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1c(Cl)cccc1Cl ZINC000559400079 327243767 /nfs/dbraw/zinc/24/37/67/327243767.db2.gz CFHSJHSIRVYNON-SECBINFHSA-N 1 2 262.155 3.927 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCCOc2ccc(Cl)cc21 ZINC000559877929 327267112 /nfs/dbraw/zinc/26/71/12/327267112.db2.gz IYFHMVSEVDUHOZ-NSHDSACASA-N 1 2 275.726 3.799 20 0 CHADLO CC(C)(C)C1CC([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000559878534 327267758 /nfs/dbraw/zinc/26/77/58/327267758.db2.gz MENCHWUAFUEDFA-NRXISQOPSA-N 1 2 290.455 3.840 20 0 CHADLO CC[N@H+](C)[C@H](c1nc(CC2CCCC2)no1)c1ccccc1 ZINC000560033841 327279138 /nfs/dbraw/zinc/27/91/38/327279138.db2.gz HGHOFYUNWAECNA-KRWDZBQOSA-N 1 2 299.418 3.843 20 0 CHADLO CC[N@@H+](C)[C@H](c1nc(CC2CCCC2)no1)c1ccccc1 ZINC000560033841 327279140 /nfs/dbraw/zinc/27/91/40/327279140.db2.gz HGHOFYUNWAECNA-KRWDZBQOSA-N 1 2 299.418 3.843 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CC[C@H](c2cccc(F)c2)C1 ZINC000560255183 327293822 /nfs/dbraw/zinc/29/38/22/327293822.db2.gz IULGKQOVYPSJKV-AWEZNQCLSA-N 1 2 295.361 3.706 20 0 CHADLO c1coc([C@H]2[N@H+](Cc3ccc4c(n3)CCC4)CC23CCC3)c1 ZINC000560610457 327330859 /nfs/dbraw/zinc/33/08/59/327330859.db2.gz KBUSLGARPYFOHO-GOSISDBHSA-N 1 2 294.398 3.891 20 0 CHADLO c1coc([C@H]2[N@@H+](Cc3ccc4c(n3)CCC4)CC23CCC3)c1 ZINC000560610457 327330860 /nfs/dbraw/zinc/33/08/60/327330860.db2.gz KBUSLGARPYFOHO-GOSISDBHSA-N 1 2 294.398 3.891 20 0 CHADLO C[C@@H]1C[C@H](CC(=O)NC(C)(C)c2[nH]cc[nH+]2)CC(C)(C)C1 ZINC000566363875 327338365 /nfs/dbraw/zinc/33/83/65/327338365.db2.gz DJGJXRJIABWKIQ-CHWSQXEVSA-N 1 2 291.439 3.614 20 0 CHADLO CC(C)C[C@@H]1CCCC[N@@H+]1Cc1nnsc1Cl ZINC000123563129 327375855 /nfs/dbraw/zinc/37/58/55/327375855.db2.gz IIWMTQXYGZVAFG-JTQLQIEISA-N 1 2 273.833 3.592 20 0 CHADLO CC(C)C[C@@H]1CCCC[N@H+]1Cc1nnsc1Cl ZINC000123563129 327375857 /nfs/dbraw/zinc/37/58/57/327375857.db2.gz IIWMTQXYGZVAFG-JTQLQIEISA-N 1 2 273.833 3.592 20 0 CHADLO C[C@H]([NH2+]Cc1noc(C2CC2)n1)c1ccc(C2CC2)cc1 ZINC000561251813 327379562 /nfs/dbraw/zinc/37/95/62/327379562.db2.gz AAMUQONPGOKCIS-NSHDSACASA-N 1 2 283.375 3.675 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](C)c1ccccc1C(C)(C)C ZINC000561328519 327386150 /nfs/dbraw/zinc/38/61/50/327386150.db2.gz TZNPZUMPRBQEJU-ZDUSSCGKSA-N 1 2 271.408 3.866 20 0 CHADLO CSc1ccc(NCC2=Cc3ccccc3OC2)[nH+]c1 ZINC000150880918 327395081 /nfs/dbraw/zinc/39/50/81/327395081.db2.gz PHZNNUHMOWSORZ-UHFFFAOYSA-N 1 2 284.384 3.691 20 0 CHADLO CC[C@H]1CCC[C@@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000562637928 327501241 /nfs/dbraw/zinc/50/12/41/327501241.db2.gz GUJLGPCFIGNEAH-WFASDCNBSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)C[C@H]1CCCC[C@@H]1C ZINC000570040721 327564605 /nfs/dbraw/zinc/56/46/05/327564605.db2.gz KNKILXYUVUYAFL-SMDDNHRTSA-N 1 2 260.381 3.853 20 0 CHADLO CCOc1cc(C)ccc1C[NH2+][C@H](C)c1cscn1 ZINC000535777139 328630501 /nfs/dbraw/zinc/63/05/01/328630501.db2.gz MXVJRGWVXKQNFU-GFCCVEGCSA-N 1 2 276.405 3.701 20 0 CHADLO CCc1nc2sccc2c(N[C@@H]2C[C@H](C)n3cc[nH+]c32)n1 ZINC000520727490 328730857 /nfs/dbraw/zinc/73/08/57/328730857.db2.gz GVCNRRLLEQUVQB-GXSJLCMTSA-N 1 2 299.403 3.568 20 0 CHADLO CCC[C@@](C)([NH2+]C[C@H](C)c1cccc(Cl)c1)C(=O)OC ZINC000526124045 328751965 /nfs/dbraw/zinc/75/19/65/328751965.db2.gz HVKRVISCFZXTHU-BLLLJJGKSA-N 1 2 297.826 3.765 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1cc2ccccc2o1 ZINC000526949597 328816175 /nfs/dbraw/zinc/81/61/75/328816175.db2.gz HEEUIBWJNHVBKE-SNVBAGLBSA-N 1 2 283.331 3.544 20 0 CHADLO C[C@H]([NH2+]Cc1csc(Br)c1)C(C)(F)F ZINC000389478028 328850418 /nfs/dbraw/zinc/85/04/18/328850418.db2.gz QWMNIJFUFQCPMT-LURJTMIESA-N 1 2 284.169 3.644 20 0 CHADLO Cc1cccc(CCSCCOc2cccc(F)c2)[nH+]1 ZINC000563957259 328840528 /nfs/dbraw/zinc/84/05/28/328840528.db2.gz BZQXHRPKJGJNNF-UHFFFAOYSA-N 1 2 291.391 3.884 20 0 CHADLO Cc1ccc(CSc2nccn2C(C)C)c(C)[nH+]1 ZINC000358595651 328888909 /nfs/dbraw/zinc/88/89/09/328888909.db2.gz RWILVQJWFQAEMJ-UHFFFAOYSA-N 1 2 261.394 3.768 20 0 CHADLO Cc1nc(N(C)Cc2cccc(Cl)c2Cl)cc[nH+]1 ZINC000361149935 328914891 /nfs/dbraw/zinc/91/48/91/328914891.db2.gz NKPGBIKXDQWYMS-UHFFFAOYSA-N 1 2 282.174 3.728 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](c2ccccc2)c2ccncc2)no1 ZINC000368113600 328936192 /nfs/dbraw/zinc/93/61/92/328936192.db2.gz RZGWBHGNUSBXEE-KBXCAEBGSA-N 1 2 293.370 3.818 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2coc(C)c2)[nH+]1 ZINC000375257556 329002286 /nfs/dbraw/zinc/00/22/86/329002286.db2.gz YXIIRZSIUVTIER-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO CCCCC[N@@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000377794613 329029804 /nfs/dbraw/zinc/02/98/04/329029804.db2.gz KQPVFGITZOLFMY-ZDUSSCGKSA-N 1 2 263.385 3.664 20 0 CHADLO CCCCC[N@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000377794613 329029805 /nfs/dbraw/zinc/02/98/05/329029805.db2.gz KQPVFGITZOLFMY-ZDUSSCGKSA-N 1 2 263.385 3.664 20 0 CHADLO c1coc(CNc2cccc3c2CN(c2cccc[nH+]2)C3)c1 ZINC000378276192 329044917 /nfs/dbraw/zinc/04/49/17/329044917.db2.gz XWZMLXKHMSGAKA-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO O=C(c1cc2ccccc2s1)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000378408289 329049551 /nfs/dbraw/zinc/04/95/51/329049551.db2.gz IWEACIDMSHBJSY-GFCCVEGCSA-N 1 2 297.383 3.602 20 0 CHADLO COc1ncccc1C[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000193528501 329058832 /nfs/dbraw/zinc/05/88/32/329058832.db2.gz BVIBLJJFXTZHDS-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO CCc1ccc([C@H](C)N[C@H]2C[N@H+](C)Cc3ccccc32)o1 ZINC000360053346 329070737 /nfs/dbraw/zinc/07/07/37/329070737.db2.gz DDGTYGBSPXMUPB-GUYCJALGSA-N 1 2 284.403 3.679 20 0 CHADLO CCc1ccc([C@H](C)N[C@H]2C[N@@H+](C)Cc3ccccc32)o1 ZINC000360053346 329070739 /nfs/dbraw/zinc/07/07/39/329070739.db2.gz DDGTYGBSPXMUPB-GUYCJALGSA-N 1 2 284.403 3.679 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1ccc(C2CCC2)cc1 ZINC000190802266 329076632 /nfs/dbraw/zinc/07/66/32/329076632.db2.gz ZAINCWAXLCRSJR-UHFFFAOYSA-N 1 2 282.343 3.610 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2cc(C)sc2C)o1 ZINC000172027081 329083423 /nfs/dbraw/zinc/08/34/23/329083423.db2.gz ALVPQIPRUAGYQH-HNNXBMFYSA-N 1 2 291.416 3.840 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2cc(C)sc2C)o1 ZINC000172027081 329083425 /nfs/dbraw/zinc/08/34/25/329083425.db2.gz ALVPQIPRUAGYQH-HNNXBMFYSA-N 1 2 291.416 3.840 20 0 CHADLO CC[C@@H]1CCCCCN1C(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000172438017 329135396 /nfs/dbraw/zinc/13/53/96/329135396.db2.gz IMUQESNPOFMXES-OAHLLOKOSA-N 1 2 299.418 3.526 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nc(C(C)C)no2)C2CC2)cc1C ZINC000172665505 329136528 /nfs/dbraw/zinc/13/65/28/329136528.db2.gz BXTXFURAXORJJH-UHFFFAOYSA-N 1 2 299.418 3.974 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nc(C(C)C)no2)C2CC2)cc1C ZINC000172665505 329136529 /nfs/dbraw/zinc/13/65/29/329136529.db2.gz BXTXFURAXORJJH-UHFFFAOYSA-N 1 2 299.418 3.974 20 0 CHADLO Cc1cc(N2C[C@H](C)[C@@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000172789215 329138810 /nfs/dbraw/zinc/13/88/10/329138810.db2.gz YZROCJCPVDDDCP-YVEFUNNKSA-N 1 2 279.387 3.860 20 0 CHADLO O=C(C1CCCCCCC1)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000172884352 329139731 /nfs/dbraw/zinc/13/97/31/329139731.db2.gz PHVVVYXTLCPBOE-OAHLLOKOSA-N 1 2 289.423 3.824 20 0 CHADLO Cc1cc(NC(=O)c2c[nH]cc2-c2ccc(F)cc2)cc[nH+]1 ZINC000173687979 329150666 /nfs/dbraw/zinc/15/06/66/329150666.db2.gz NZVYWPVSXMICFR-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cc(-c3cccs3)on2)CCS1 ZINC000174355675 329157811 /nfs/dbraw/zinc/15/78/11/329157811.db2.gz XCIMDNVUJPASGS-LBPRGKRZSA-N 1 2 294.445 3.731 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cc(-c3cccs3)on2)CCS1 ZINC000174355675 329157813 /nfs/dbraw/zinc/15/78/13/329157813.db2.gz XCIMDNVUJPASGS-LBPRGKRZSA-N 1 2 294.445 3.731 20 0 CHADLO CC(C)c1nc(N2C[C@@H](c3ccccc3)OC[C@H]2C)cc[nH+]1 ZINC000174922620 329161838 /nfs/dbraw/zinc/16/18/38/329161838.db2.gz ZRYMDPCSXZRHIE-ZBFHGGJFSA-N 1 2 297.402 3.566 20 0 CHADLO Cc1[nH+]c2ccccn2c1CN1c2ccccc2C[C@@H]1C ZINC000469104931 329164309 /nfs/dbraw/zinc/16/43/09/329164309.db2.gz VZMFEJCKFLAKJB-ZDUSSCGKSA-N 1 2 277.371 3.594 20 0 CHADLO COc1cc[nH+]cc1NC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC000176222359 329172539 /nfs/dbraw/zinc/17/25/39/329172539.db2.gz AOLQKCVHZAGXQN-NSHDSACASA-N 1 2 296.326 3.579 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2CCCc3ccccc32)c(C)[nH+]1 ZINC000176725806 329176501 /nfs/dbraw/zinc/17/65/01/329176501.db2.gz NFGVEKRHSUMADN-KRWDZBQOSA-N 1 2 295.386 3.898 20 0 CHADLO Fc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1cccs1)CC3 ZINC000179682646 329211341 /nfs/dbraw/zinc/21/13/41/329211341.db2.gz AZXNLEXSKWAHDJ-UHFFFAOYSA-N 1 2 286.375 3.927 20 0 CHADLO Fc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1cccs1)CC3 ZINC000179682646 329211342 /nfs/dbraw/zinc/21/13/42/329211342.db2.gz AZXNLEXSKWAHDJ-UHFFFAOYSA-N 1 2 286.375 3.927 20 0 CHADLO Cc1cccc2[nH+]c(CNc3cc(F)c(F)cc3F)cn21 ZINC000180409149 329216142 /nfs/dbraw/zinc/21/61/42/329216142.db2.gz UTJFBNFATONBLI-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3cn[nH]c3C)CC2)cc1 ZINC000180784780 329223198 /nfs/dbraw/zinc/22/31/98/329223198.db2.gz YWAFFUYEIHFOQX-UHFFFAOYSA-N 1 2 281.403 3.570 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3cn[nH]c3C)CC2)cc1 ZINC000180784780 329223199 /nfs/dbraw/zinc/22/31/99/329223199.db2.gz YWAFFUYEIHFOQX-UHFFFAOYSA-N 1 2 281.403 3.570 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)cc1Cl)c1cccnc1 ZINC000181656429 329235583 /nfs/dbraw/zinc/23/55/83/329235583.db2.gz AZSYMYHOGNDLJV-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)cc1 ZINC000182489777 329242283 /nfs/dbraw/zinc/24/22/83/329242283.db2.gz FJZCGUAKGBEMHZ-BBWFWOEESA-N 1 2 286.419 3.512 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2[C@@H]3CCO[C@@H]3C23CCCC3)cc1 ZINC000182489777 329242285 /nfs/dbraw/zinc/24/22/85/329242285.db2.gz FJZCGUAKGBEMHZ-BBWFWOEESA-N 1 2 286.419 3.512 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccc(F)c(F)c1 ZINC000225293830 329248111 /nfs/dbraw/zinc/24/81/11/329248111.db2.gz CBRIYICFTGFIHG-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@@H]1CC(C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C[C@@H](C)C1 ZINC000183532303 329248398 /nfs/dbraw/zinc/24/83/98/329248398.db2.gz NMSOCJFZEFXZSV-KCQAQPDRSA-N 1 2 289.423 3.536 20 0 CHADLO Cc1csc(CSCc2ccc(C)[nH+]c2C)n1 ZINC000184829716 329263182 /nfs/dbraw/zinc/26/31/82/329263182.db2.gz GURLREIZHVCZDW-UHFFFAOYSA-N 1 2 264.419 3.897 20 0 CHADLO Cc1ccc(NCc2cc(F)cc3cccnc32)c(C)[nH+]1 ZINC000184897653 329263801 /nfs/dbraw/zinc/26/38/01/329263801.db2.gz OKZRHSSKNWQHJA-UHFFFAOYSA-N 1 2 281.334 3.998 20 0 CHADLO Cc1ccc(NCc2c[nH]nc2-c2ccc(F)cc2)c(C)[nH+]1 ZINC000184900153 329263852 /nfs/dbraw/zinc/26/38/52/329263852.db2.gz VYNYCBDOBXBEGH-UHFFFAOYSA-N 1 2 296.349 3.840 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1cc(F)cc(Br)c1 ZINC000429290644 329265060 /nfs/dbraw/zinc/26/50/60/329265060.db2.gz RUNUMVHDVBFWDS-LBPRGKRZSA-N 1 2 272.161 3.573 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1cc(F)cc(Br)c1 ZINC000429290644 329265061 /nfs/dbraw/zinc/26/50/61/329265061.db2.gz RUNUMVHDVBFWDS-LBPRGKRZSA-N 1 2 272.161 3.573 20 0 CHADLO Cc1ccc(C[NH+]2CCN([C@H](C)c3ccsc3)CC2)o1 ZINC000184970747 329266161 /nfs/dbraw/zinc/26/61/61/329266161.db2.gz DSYURBOYCLAZAP-CQSZACIVSA-N 1 2 290.432 3.528 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](C)c1cn(C)c2ccccc12 ZINC000185345075 329270957 /nfs/dbraw/zinc/27/09/57/329270957.db2.gz FSNMSCKZZNLSGT-CYBMUJFWSA-N 1 2 293.370 3.624 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000582963429 329290783 /nfs/dbraw/zinc/29/07/83/329290783.db2.gz ZPWLBUGCCDJIHK-NWDGAFQWSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000582963429 329290785 /nfs/dbraw/zinc/29/07/85/329290785.db2.gz ZPWLBUGCCDJIHK-NWDGAFQWSA-N 1 2 281.346 3.669 20 0 CHADLO Cc1cc(C)cc(CSCCCn2cc[nH+]c2)c1 ZINC000185564386 329326539 /nfs/dbraw/zinc/32/65/39/329326539.db2.gz ZLPHTUNPNCIXMT-UHFFFAOYSA-N 1 2 260.406 3.823 20 0 CHADLO CC(C)[C@@]1(C)C[C@@H]1C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000185722627 329330835 /nfs/dbraw/zinc/33/08/35/329330835.db2.gz WCWICOBRULRWIE-CRAIPNDOSA-N 1 2 297.402 3.708 20 0 CHADLO Cn1c2cccc(Cl)c2[nH+]c1NCc1ccsc1 ZINC000185853947 329333596 /nfs/dbraw/zinc/33/35/96/329333596.db2.gz REYHMHVUGCAZAK-UHFFFAOYSA-N 1 2 277.780 3.900 20 0 CHADLO Cc1ccsc1C[NH2+]Cc1ncc(-c2ccccc2)cn1 ZINC000185877852 329334238 /nfs/dbraw/zinc/33/42/38/329334238.db2.gz VEINQJYCVQOJET-UHFFFAOYSA-N 1 2 295.411 3.803 20 0 CHADLO Fc1ccc2cc[nH+]c(NCCOCC3CCC3)c2c1 ZINC000583177453 329340551 /nfs/dbraw/zinc/34/05/51/329340551.db2.gz NHGJJZKSSYDQDR-UHFFFAOYSA-N 1 2 274.339 3.603 20 0 CHADLO Cc1ccc(OC(F)F)c(CNc2cc[nH+]cc2F)c1 ZINC000186426673 329341997 /nfs/dbraw/zinc/34/19/97/329341997.db2.gz NWBAQTZGEDGPPM-UHFFFAOYSA-N 1 2 282.265 3.743 20 0 CHADLO C[C@H]([NH2+][C@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccoc1 ZINC000186646641 329346944 /nfs/dbraw/zinc/34/69/44/329346944.db2.gz XXRFHZFPTYIFPX-SJCJKPOMSA-N 1 2 299.349 3.592 20 0 CHADLO Cc1ccc(C[S@](=O)C/C=C/c2ccccc2)c(C)[nH+]1 ZINC000187131154 329353528 /nfs/dbraw/zinc/35/35/28/329353528.db2.gz OBQNIYYNAHVEGJ-AQDCRGGLSA-N 1 2 285.412 3.661 20 0 CHADLO Cc1cncc(C[N@@H+]2CCc3cccc(Cl)c3C2)c1 ZINC000459691828 329360512 /nfs/dbraw/zinc/36/05/12/329360512.db2.gz UWJNNJYLZJDCJF-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cncc(C[N@H+]2CCc3cccc(Cl)c3C2)c1 ZINC000459691828 329360514 /nfs/dbraw/zinc/36/05/14/329360514.db2.gz UWJNNJYLZJDCJF-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCCn1cc(C[N@@H+]2CCc3cccc(Cl)c3C2)cn1 ZINC000459711145 329365968 /nfs/dbraw/zinc/36/59/68/329365968.db2.gz QQJZVOYAVBWFIK-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO CCCn1cc(C[N@H+]2CCc3cccc(Cl)c3C2)cn1 ZINC000459711145 329365970 /nfs/dbraw/zinc/36/59/70/329365970.db2.gz QQJZVOYAVBWFIK-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2c1c(F)ccc2F)c1cscn1 ZINC000583215060 329370389 /nfs/dbraw/zinc/37/03/89/329370389.db2.gz LWUUBJKEFUJXPE-UFBFGSQYSA-N 1 2 280.343 3.759 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSCCCF ZINC000190979099 329403993 /nfs/dbraw/zinc/40/39/93/329403993.db2.gz PBRYUGPDOZAHGJ-UHFFFAOYSA-N 1 2 264.369 3.774 20 0 CHADLO CCN(C)c1ccc(CNc2cc(C)ccc2F)c[nH+]1 ZINC000191510968 329416976 /nfs/dbraw/zinc/41/69/76/329416976.db2.gz OAVJTUKNRSHNAW-UHFFFAOYSA-N 1 2 273.355 3.597 20 0 CHADLO O=C(C[NH+]1C2CCC1CC2)Nc1ccc(Cl)cc1Cl ZINC000532916452 329424516 /nfs/dbraw/zinc/42/45/16/329424516.db2.gz ZZWCIICONSIFAJ-UHFFFAOYSA-N 1 2 299.201 3.559 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccn1)c1ccc(Cl)c(Cl)c1 ZINC000192147153 329429422 /nfs/dbraw/zinc/42/94/22/329429422.db2.gz TXJPHUSNVNUGJX-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO FC1=CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000584546979 329517263 /nfs/dbraw/zinc/51/72/63/329517263.db2.gz BGGDOULDAOQJDB-UHFFFAOYSA-N 1 2 262.715 3.952 20 0 CHADLO c1c[nH+]c(CNc2cccc(CSc3ccccn3)c2)[nH]1 ZINC000125075680 329577337 /nfs/dbraw/zinc/57/73/37/329577337.db2.gz WIAXRWKWNRGRRP-UHFFFAOYSA-N 1 2 296.399 3.709 20 0 CHADLO Cc1ccc(NC(=O)C2(CC(C)C)CCC2)c(C)[nH+]1 ZINC000127461801 329600007 /nfs/dbraw/zinc/60/00/07/329600007.db2.gz QIUVYDLVCQEXTH-UHFFFAOYSA-N 1 2 260.381 3.853 20 0 CHADLO COc1c(C)c[nH+]c(CSCc2ccco2)c1C ZINC000128576008 329609605 /nfs/dbraw/zinc/60/96/05/329609605.db2.gz UFQRDADZUZPTLW-UHFFFAOYSA-N 1 2 263.362 3.733 20 0 CHADLO Cc1cccn2cc(-c3ccc(NC(=O)C4CC4)cc3)[nH+]c12 ZINC000047505228 329619536 /nfs/dbraw/zinc/61/95/36/329619536.db2.gz KZHOSCJYHRQJJI-UHFFFAOYSA-N 1 2 291.354 3.658 20 0 CHADLO Clc1cc2c(s1)CC[C@H]2Nc1cccc[nH+]1 ZINC000134153379 329657490 /nfs/dbraw/zinc/65/74/90/329657490.db2.gz WJTWXUOWGQLIRN-SECBINFHSA-N 1 2 250.754 3.896 20 0 CHADLO Cc1noc(CSCc2ccc(C(C)C)[nH+]c2C)n1 ZINC000419353038 329719568 /nfs/dbraw/zinc/71/95/68/329719568.db2.gz BHQJAVBVHIVVHQ-UHFFFAOYSA-N 1 2 277.393 3.638 20 0 CHADLO Cc1ccc(CSCC2CC(F)(F)C2)c(C)[nH+]1 ZINC000419463987 329732188 /nfs/dbraw/zinc/73/21/88/329732188.db2.gz ODGRAZGDNZDEBC-UHFFFAOYSA-N 1 2 257.349 3.977 20 0 CHADLO CCc1nnc(CSCc2ccc(C(C)C)[nH+]c2C)o1 ZINC000419490762 329737123 /nfs/dbraw/zinc/73/71/23/329737123.db2.gz INYMRUFDKYYSTH-UHFFFAOYSA-N 1 2 291.420 3.892 20 0 CHADLO Cc1cc(N2CC[C@@H](c3ccccc3)C2(C)C)nc[nH+]1 ZINC000420632923 329780066 /nfs/dbraw/zinc/78/00/66/329780066.db2.gz BGHFUNCWIXGENT-HNNXBMFYSA-N 1 2 267.376 3.558 20 0 CHADLO Cc1cc(N2CC[C@H](c3ccccc3)C2(C)C)nc[nH+]1 ZINC000420632927 329780227 /nfs/dbraw/zinc/78/02/27/329780227.db2.gz BGHFUNCWIXGENT-OAHLLOKOSA-N 1 2 267.376 3.558 20 0 CHADLO CCC[C@@H](NC(=O)NCc1cc(C)[nH+]c(C)c1)C(C)(C)C ZINC000420836106 329786143 /nfs/dbraw/zinc/78/61/43/329786143.db2.gz DNECEJDMZWYTMR-OAHLLOKOSA-N 1 2 291.439 3.712 20 0 CHADLO Cc1ccc(NC(=O)N2CC[C@H](C3CCCC3)C2)c(C)[nH+]1 ZINC000421069752 329801809 /nfs/dbraw/zinc/80/18/09/329801809.db2.gz PUWHREAQAVRLJO-HNNXBMFYSA-N 1 2 287.407 3.742 20 0 CHADLO CCc1cccc(NC(=O)NCc2cc(C)[nH+]c(C)c2)c1 ZINC000421046189 329803211 /nfs/dbraw/zinc/80/32/11/329803211.db2.gz WFYLFNQHJYMCDG-UHFFFAOYSA-N 1 2 283.375 3.583 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CC23CC3)c[nH+]c1N1CCCC[C@H]1C ZINC000421507725 329840251 /nfs/dbraw/zinc/84/02/51/329840251.db2.gz LFDNOOQJASJJPA-HIFRSBDPSA-N 1 2 299.418 3.507 20 0 CHADLO Cc1cc(CNC(=O)C2(C)CCCCCC2)cc(C)[nH+]1 ZINC000421578730 329843415 /nfs/dbraw/zinc/84/34/15/329843415.db2.gz RPPSEPNHRNEUOG-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO Cc1cc(CNC(=O)CC[C@H](C)c2ccccc2)cc(C)[nH+]1 ZINC000421581832 329843740 /nfs/dbraw/zinc/84/37/40/329843740.db2.gz ZJLPVYZRQXRJSY-AWEZNQCLSA-N 1 2 296.414 3.899 20 0 CHADLO Cc1cc(NC(=O)c2cc(Cl)cc3cccnc32)cc[nH+]1 ZINC000077664444 329931646 /nfs/dbraw/zinc/93/16/46/329931646.db2.gz PQPYMTNUYQEWMM-UHFFFAOYSA-N 1 2 297.745 3.844 20 0 CHADLO C[C@H]1CCC[C@]1(C)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000572233858 329959383 /nfs/dbraw/zinc/95/93/83/329959383.db2.gz RBBNONGVXKLITO-UGSOOPFHSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(Cl)s2)[C@@H]1c1ccccc1 ZINC000079326997 330022262 /nfs/dbraw/zinc/02/22/62/330022262.db2.gz BIGMOXJAPZCDPD-MFKMUULPSA-N 1 2 278.808 3.990 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(Cl)s2)[C@@H]1c1ccccc1 ZINC000079326997 330022264 /nfs/dbraw/zinc/02/22/64/330022264.db2.gz BIGMOXJAPZCDPD-MFKMUULPSA-N 1 2 278.808 3.990 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H](C)c2ccncc2Cl)n1 ZINC000421827898 330084125 /nfs/dbraw/zinc/08/41/25/330084125.db2.gz ZYOWTIMUFSULAI-ZJUUUORDSA-N 1 2 281.812 3.912 20 0 CHADLO CC(C)Cc1noc(C[N@H+](C)Cc2cc3ccccc3o2)n1 ZINC000080070199 330089450 /nfs/dbraw/zinc/08/94/50/330089450.db2.gz SYHOPDPUNYSHDE-UHFFFAOYSA-N 1 2 299.374 3.646 20 0 CHADLO CC(C)Cc1noc(C[N@@H+](C)Cc2cc3ccccc3o2)n1 ZINC000080070199 330089452 /nfs/dbraw/zinc/08/94/52/330089452.db2.gz SYHOPDPUNYSHDE-UHFFFAOYSA-N 1 2 299.374 3.646 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncc1Cl)c1c(F)cncc1F ZINC000421857563 330089711 /nfs/dbraw/zinc/08/97/11/330089711.db2.gz QAXDIRINFUSXNY-DTWKUNHWSA-N 1 2 297.736 3.820 20 0 CHADLO Cc1c(N[C@H]2CCc3ccc[nH+]c3CC2)cnn1CC(C)C ZINC000421831594 330090956 /nfs/dbraw/zinc/09/09/56/330090956.db2.gz FMQWTCXGTQEBGP-INIZCTEOSA-N 1 2 298.434 3.602 20 0 CHADLO FCCC[C@H]1CCC[C@H]1[NH2+]c1ccc2c(c1)CCN2 ZINC000423212617 330178120 /nfs/dbraw/zinc/17/81/20/330178120.db2.gz IQLKPEQXNQBGND-MLGOLLRUSA-N 1 2 262.372 3.985 20 0 CHADLO FCCC[C@H]1CCC[C@H]1Nc1ccc2c(c1)CC[NH2+]2 ZINC000423212617 330178122 /nfs/dbraw/zinc/17/81/22/330178122.db2.gz IQLKPEQXNQBGND-MLGOLLRUSA-N 1 2 262.372 3.985 20 0 CHADLO Clc1ccc2c(c1)C[C@H](Nc1ccc3c(c1)CC[NH2+]3)C2 ZINC000423213958 330178472 /nfs/dbraw/zinc/17/84/72/330178472.db2.gz KTWAYCNSYQJYHX-MRXNPFEDSA-N 1 2 284.790 3.887 20 0 CHADLO Clc1ccc2c(c1)C[C@H]([NH2+]c1ccc3c(c1)CCN3)C2 ZINC000423213958 330178474 /nfs/dbraw/zinc/17/84/74/330178474.db2.gz KTWAYCNSYQJYHX-MRXNPFEDSA-N 1 2 284.790 3.887 20 0 CHADLO COc1ccc(OC)c([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)c1 ZINC000423215833 330178814 /nfs/dbraw/zinc/17/88/14/330178814.db2.gz NQHIALXQXMWNFK-LBPRGKRZSA-N 1 2 298.386 3.845 20 0 CHADLO C[C@@H](CCC(F)(F)F)[NH2+]c1ccc2c(c1)CCN2 ZINC000423219301 330179039 /nfs/dbraw/zinc/17/90/39/330179039.db2.gz SZUNICAJFZXIPC-VIFPVBQESA-N 1 2 258.287 3.798 20 0 CHADLO C[C@@H](CCC(F)(F)F)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423219301 330179041 /nfs/dbraw/zinc/17/90/41/330179041.db2.gz SZUNICAJFZXIPC-VIFPVBQESA-N 1 2 258.287 3.798 20 0 CHADLO COc1sc(C[NH+]2[C@H](C)C[C@H]2C)cc1Br ZINC000527238588 330240695 /nfs/dbraw/zinc/24/06/95/330240695.db2.gz IDADEKXMHAVRAS-HTQZYQBOSA-N 1 2 290.226 3.502 20 0 CHADLO CSc1ccc(NCc2ccc(C(F)F)cc2)[nH+]c1 ZINC000527522615 330256692 /nfs/dbraw/zinc/25/66/92/330256692.db2.gz ZBAGLFOIIVFBMN-UHFFFAOYSA-N 1 2 280.343 3.775 20 0 CHADLO FC(F)(F)c1ccc(-c2ccc(N3CCCC3)[nH+]c2)cn1 ZINC000527588257 330264568 /nfs/dbraw/zinc/26/45/68/330264568.db2.gz PPFWYHDDCXJCQA-UHFFFAOYSA-N 1 2 293.292 3.763 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2ccncc2Cl)o1 ZINC000527640079 330268081 /nfs/dbraw/zinc/26/80/81/330268081.db2.gz BGUHMMPRUAOXAQ-GXFFZTMASA-N 1 2 276.767 3.741 20 0 CHADLO CCn1cc[nH+]c1CNc1cc2c(cc1Cl)CCC2 ZINC000527808393 330284694 /nfs/dbraw/zinc/28/46/94/330284694.db2.gz NFRYUZFPTJENIC-UHFFFAOYSA-N 1 2 275.783 3.657 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@H](C)[C@H]1CC12CC2 ZINC000527875276 330291054 /nfs/dbraw/zinc/29/10/54/330291054.db2.gz CLMIPBMGYMXWRT-ZIAGYGMSSA-N 1 2 275.392 3.621 20 0 CHADLO CC[C@H](C)c1ccc([C@H](C)[NH2+][C@H](C)c2ncn(C)n2)cc1 ZINC000527892858 330292467 /nfs/dbraw/zinc/29/24/67/330292467.db2.gz LQSDDGITOJBQSO-MELADBBJSA-N 1 2 286.423 3.740 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@@H](C)c1ncccc1F ZINC000528035151 330302513 /nfs/dbraw/zinc/30/25/13/330302513.db2.gz CZCTVVCGIUZYOW-RYUDHWBXSA-N 1 2 274.339 3.641 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+][C@@H](C)c1ncccc1F ZINC000528036533 330302994 /nfs/dbraw/zinc/30/29/94/330302994.db2.gz RPRNKLCQTZUVIV-STQMWFEESA-N 1 2 288.366 3.950 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC(OC2CCC2)CC1 ZINC000528036937 330303108 /nfs/dbraw/zinc/30/31/08/330303108.db2.gz XXWMIBWARCDARS-UHFFFAOYSA-N 1 2 282.387 3.773 20 0 CHADLO COCCCC1CCN(c2[nH+]ccc3ccccc32)CC1 ZINC000528054259 330306338 /nfs/dbraw/zinc/30/63/38/330306338.db2.gz OKWGKPASROWDKN-UHFFFAOYSA-N 1 2 284.403 3.878 20 0 CHADLO COCCC1CCN(c2[nH+]c3ccccc3cc2C)CC1 ZINC000528089543 330307514 /nfs/dbraw/zinc/30/75/14/330307514.db2.gz PHTVHQKUEIJKDR-UHFFFAOYSA-N 1 2 284.403 3.796 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H](c2ccccn2)C2CCC2)[nH+]1 ZINC000528143337 330309567 /nfs/dbraw/zinc/30/95/67/330309567.db2.gz ZLQLLYSBNBXMEK-OAHLLOKOSA-N 1 2 293.374 3.615 20 0 CHADLO CCc1ccc(NCc2c[nH+]cn2C(C)C)cc1OC ZINC000424180658 330318969 /nfs/dbraw/zinc/31/89/69/330318969.db2.gz OVOCLRPVWDTNIY-UHFFFAOYSA-N 1 2 273.380 3.647 20 0 CHADLO FC(F)(F)c1ncccc1C[N@@H+]1CCc2sccc2C1 ZINC000528345370 330321041 /nfs/dbraw/zinc/32/10/41/330321041.db2.gz PLTOPKXWTKNMNI-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1ncccc1C[N@H+]1CCc2sccc2C1 ZINC000528345370 330321042 /nfs/dbraw/zinc/32/10/42/330321042.db2.gz PLTOPKXWTKNMNI-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H]2C[C@]2(F)c2ccccc2)s1 ZINC000424189419 330322155 /nfs/dbraw/zinc/32/21/55/330322155.db2.gz DMUIDPMBCZQASJ-HOCLYGCPSA-N 1 2 290.407 3.993 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1C[C@@H](c2ccccc2)O[C@@H](C)C1 ZINC000528361251 330322403 /nfs/dbraw/zinc/32/24/03/330322403.db2.gz ZDJKBDGKVFOIRN-QFGWSVGLSA-N 1 2 265.784 3.591 20 0 CHADLO C/C(Cl)=C/C[N@H+]1C[C@@H](c2ccccc2)O[C@@H](C)C1 ZINC000528361251 330322404 /nfs/dbraw/zinc/32/24/04/330322404.db2.gz ZDJKBDGKVFOIRN-QFGWSVGLSA-N 1 2 265.784 3.591 20 0 CHADLO Cc1ccn(-c2ccc(NCc3c[nH+]cn3C(C)C)cc2)n1 ZINC000424190063 330322926 /nfs/dbraw/zinc/32/29/26/330322926.db2.gz LRCGYXSPZCIPAB-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO COCc1cc(Cl)ccc1NCc1c[nH+]cn1C(C)C ZINC000424202194 330327018 /nfs/dbraw/zinc/32/70/18/330327018.db2.gz ITYBOASRSCXKLB-UHFFFAOYSA-N 1 2 293.798 3.876 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@]1(F)c1ccccc1)c1ccc(F)cn1 ZINC000424329875 330341934 /nfs/dbraw/zinc/34/19/34/330341934.db2.gz SUOXHCQFUISKOF-KNXALSJPSA-N 1 2 274.314 3.509 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2ccc(OC)cc2Cl)o1 ZINC000424351865 330345559 /nfs/dbraw/zinc/34/55/59/330345559.db2.gz PKYIQIBTCRAKOW-SNVBAGLBSA-N 1 2 294.782 3.750 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1ccc2c(c1)COC2 ZINC000533204174 330353363 /nfs/dbraw/zinc/35/33/63/330353363.db2.gz SNEGPFZFTIWZEY-UHFFFAOYSA-N 1 2 290.366 3.607 20 0 CHADLO Cc1cc(N2C[C@H](C)[C@@H]3CCCC[C@H]32)nc(C2CC2)[nH+]1 ZINC000542965236 330368104 /nfs/dbraw/zinc/36/81/04/330368104.db2.gz KEHUTHUVDJVOKM-TUKIKUTGSA-N 1 2 271.408 3.677 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(C)c(Cl)c2)c(C)[nH+]1 ZINC000119047922 330369468 /nfs/dbraw/zinc/36/94/68/330369468.db2.gz JWFCOUKSTSWEQQ-UHFFFAOYSA-N 1 2 274.751 3.913 20 0 CHADLO Cc1ccc(NC(=O)C2(c3cccc(F)c3)CCC2)c(C)[nH+]1 ZINC000119103818 330370258 /nfs/dbraw/zinc/37/02/58/330370258.db2.gz YVFJGMCCAJZWFG-UHFFFAOYSA-N 1 2 298.361 3.898 20 0 CHADLO Cc1ccc(NC(=O)c2ccc3c(c2)CCCC3)c(C)[nH+]1 ZINC000119160131 330370531 /nfs/dbraw/zinc/37/05/31/330370531.db2.gz OCABMQCEUXHCQV-UHFFFAOYSA-N 1 2 280.371 3.830 20 0 CHADLO CCCCN(CCCC)C(=O)Nc1cc[nH+]c(C)c1 ZINC000119165530 330370569 /nfs/dbraw/zinc/37/05/69/330370569.db2.gz CSDFPLMNLKXKKY-UHFFFAOYSA-N 1 2 263.385 3.824 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)CC2CCCC2)c(C)[nH+]1 ZINC000119126130 330371109 /nfs/dbraw/zinc/37/11/09/330371109.db2.gz ZOIVOOZZUBFGIB-NSHDSACASA-N 1 2 260.381 3.853 20 0 CHADLO CC(C)(C)c1[nH]c2ccc(NC(=O)[C@@]3(C)C[C@H]3F)cc2[nH+]1 ZINC000424567116 330379381 /nfs/dbraw/zinc/37/93/81/330379381.db2.gz QLIMKHIKZIBALY-WBMJQRKESA-N 1 2 289.354 3.547 20 0 CHADLO Cc1cc(NC(=O)N[C@H](C)[C@H](C)c2ccccc2)cc[nH+]1 ZINC000120183124 330386167 /nfs/dbraw/zinc/38/61/67/330386167.db2.gz KVSUZTWSFDSOCV-UONOGXRCSA-N 1 2 283.375 3.704 20 0 CHADLO c1cn(CCSCc2csc(-c3ccoc3)n2)c[nH+]1 ZINC000090201262 330391329 /nfs/dbraw/zinc/39/13/29/330391329.db2.gz UBTRRPQTXHEUFV-UHFFFAOYSA-N 1 2 291.401 3.533 20 0 CHADLO CCC[C@H]1CCC[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000120930130 330393263 /nfs/dbraw/zinc/39/32/63/330393263.db2.gz UYDRFOUSSGDGPP-INIZCTEOSA-N 1 2 299.418 3.673 20 0 CHADLO CCC[C@H]1CCC[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000120930130 330393264 /nfs/dbraw/zinc/39/32/64/330393264.db2.gz UYDRFOUSSGDGPP-INIZCTEOSA-N 1 2 299.418 3.673 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nnsc1Cl)C(C)C ZINC000537060865 330395908 /nfs/dbraw/zinc/39/59/08/330395908.db2.gz UBYUOMAHCZPPLA-CYBMUJFWSA-N 1 2 295.839 3.987 20 0 CHADLO CCOC(=O)C[NH2+][C@H](c1ccc(Cl)cc1)[C@H](C)CC ZINC000537086274 330397640 /nfs/dbraw/zinc/39/76/40/330397640.db2.gz KKBDLSFZOVIBBK-ABAIWWIYSA-N 1 2 283.799 3.580 20 0 CHADLO Cc1cccc2c1CC[C@H]2NC(=O)Nc1cc[nH+]cc1C ZINC000121279076 330399216 /nfs/dbraw/zinc/39/92/16/330399216.db2.gz XDNJSVDUGBCPLD-MRXNPFEDSA-N 1 2 281.359 3.507 20 0 CHADLO c1[nH+]c(-c2ccc(OCC3CC3)cc2)n2c1CCCC2 ZINC000514946803 330467709 /nfs/dbraw/zinc/46/77/09/330467709.db2.gz WMZJNKIQSZBUPC-UHFFFAOYSA-N 1 2 268.360 3.675 20 0 CHADLO CC[C@H]([NH2+]Cc1ccn(C(C)C)n1)c1ccccc1F ZINC000516104420 330486482 /nfs/dbraw/zinc/48/64/82/330486482.db2.gz HJYSIIOLSOASGQ-INIZCTEOSA-N 1 2 275.371 3.844 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)CC1CC1)c1ccc2ccccc2c1 ZINC000428378107 330521815 /nfs/dbraw/zinc/52/18/15/330521815.db2.gz HRCQAVCUWCHCOY-FZKQIMNGSA-N 1 2 297.398 3.832 20 0 CHADLO COc1ccc(C[NH2+]Cc2c(F)cc(F)c(F)c2F)cc1 ZINC000430032798 330557713 /nfs/dbraw/zinc/55/77/13/330557713.db2.gz HWPMNIRCOIKXRN-UHFFFAOYSA-N 1 2 299.267 3.541 20 0 CHADLO CCC(CC)n1nccc1C(=O)Nc1ccc(C)[nH+]c1C ZINC000431484728 330585426 /nfs/dbraw/zinc/58/54/26/330585426.db2.gz MMZXPYXEBGRRLK-UHFFFAOYSA-N 1 2 286.379 3.508 20 0 CHADLO Fc1cc(C(F)(F)F)c(F)cc1COc1cc[nH+]cc1 ZINC000431514900 330586137 /nfs/dbraw/zinc/58/61/37/330586137.db2.gz VEWQLBDOJSYMMZ-UHFFFAOYSA-N 1 2 289.203 3.958 20 0 CHADLO CO[C@@H](C[NH2+][C@@H](c1ccccc1)C(F)F)c1ccccc1 ZINC000433043528 330614139 /nfs/dbraw/zinc/61/41/39/330614139.db2.gz JHBSNJNVSGUACH-HOTGVXAUSA-N 1 2 291.341 3.970 20 0 CHADLO O[C@@H](C[NH2+][C@@H](c1ccccc1)C(F)F)CC1CCCC1 ZINC000433047133 330614334 /nfs/dbraw/zinc/61/43/34/330614334.db2.gz JSIOZVZXIANWCQ-CABCVRRESA-N 1 2 283.362 3.524 20 0 CHADLO Cc1cccc(OCC[NH2+][C@@H](c2ccccc2)C(F)F)c1 ZINC000433078116 330616490 /nfs/dbraw/zinc/61/64/90/330616490.db2.gz UQALNUQQILSMRV-INIZCTEOSA-N 1 2 291.341 3.970 20 0 CHADLO COC(=O)[C@@H]([NH2+]C1CCC2(CC2)CC1)c1ccccc1C ZINC000434631363 330641965 /nfs/dbraw/zinc/64/19/65/330641965.db2.gz PTTGHQHNBDCEKB-INIZCTEOSA-N 1 2 287.403 3.522 20 0 CHADLO Cc1cccc(CCNC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000436497429 330678699 /nfs/dbraw/zinc/67/86/99/330678699.db2.gz SMNSYIJWLTUPRQ-UHFFFAOYSA-N 1 2 297.402 3.679 20 0 CHADLO CCc1ccccc1Cn1c[nH+]c2cc(F)c(F)cc21 ZINC000437614771 330705121 /nfs/dbraw/zinc/70/51/21/330705121.db2.gz FVVUYBHWTRRKAW-UHFFFAOYSA-N 1 2 272.298 3.925 20 0 CHADLO CC1(C)CCCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000438411188 330723940 /nfs/dbraw/zinc/72/39/40/330723940.db2.gz NOJPVIIRDUWXHL-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO CCC[C@@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000572487540 330749027 /nfs/dbraw/zinc/74/90/27/330749027.db2.gz JKILJKLJONCOLA-GDBMZVCRSA-N 1 2 291.439 3.699 20 0 CHADLO CC[C@@H](F)C[NH2+]C(C)(C)c1nc(C(F)(F)F)cs1 ZINC000440494831 330749212 /nfs/dbraw/zinc/74/92/12/330749212.db2.gz ACOHJVMXWPAHEE-SSDOTTSWSA-N 1 2 284.322 3.735 20 0 CHADLO COc1cccc2c(N[C@@H]3CCCC[C@@H]3OC)cc[nH+]c12 ZINC000440566686 330750760 /nfs/dbraw/zinc/75/07/60/330750760.db2.gz MALJRAPFMGLFCY-CABCVRRESA-N 1 2 286.375 3.613 20 0 CHADLO CCc1ccc([C@@H](C)CC(=O)N[C@@H](CC)c2[nH]cc[nH+]2)cc1 ZINC000443104438 330795145 /nfs/dbraw/zinc/79/51/45/330795145.db2.gz AQURLHILMGNVBD-BBRMVZONSA-N 1 2 299.418 3.733 20 0 CHADLO Cc1ccccc1[C@H](CC(F)(F)F)[NH2+]Cc1ncc[nH]1 ZINC000444011540 330809297 /nfs/dbraw/zinc/80/92/97/330809297.db2.gz QKRUWFRAQVKNEN-LBPRGKRZSA-N 1 2 283.297 3.501 20 0 CHADLO Cc1cccc(CN(C)c2cc[nH+]c3ccncc32)c1 ZINC000444108637 330810240 /nfs/dbraw/zinc/81/02/40/330810240.db2.gz DBWKYDYWIFBWFI-UHFFFAOYSA-N 1 2 263.344 3.575 20 0 CHADLO C[C@@H]1CC[C@@H](NC(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000444491845 330813487 /nfs/dbraw/zinc/81/34/87/330813487.db2.gz YHZJYZUXEHOYOJ-UKRRQHHQSA-N 1 2 296.370 3.792 20 0 CHADLO CC(C)(c1ccccc1)[S@](=O)Cc1cn2ccccc2[nH+]1 ZINC000447141359 330861994 /nfs/dbraw/zinc/86/19/94/330861994.db2.gz YPHRXXGAKOIYFA-OAQYLSRUSA-N 1 2 298.411 3.518 20 0 CHADLO Cc1ccc(NC(=O)NC[C@H]2CCCC[C@H]2C)c(C)[nH+]1 ZINC000447169808 330862383 /nfs/dbraw/zinc/86/23/83/330862383.db2.gz RLKWMPTXIHNPKY-BXUZGUMPSA-N 1 2 275.396 3.646 20 0 CHADLO C[C@@H]1CC=C(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)CC1 ZINC000575006929 330866754 /nfs/dbraw/zinc/86/67/54/330866754.db2.gz SNOPJQAWHBJYHB-GFCCVEGCSA-N 1 2 281.359 3.762 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccc(OC)cc2Cl)o1 ZINC000449615064 330940068 /nfs/dbraw/zinc/94/00/68/330940068.db2.gz XZDBOTWFBKSKOW-UHFFFAOYSA-N 1 2 294.782 3.579 20 0 CHADLO COc1cccc2c1cc[nH+]c2N1CCC(C(F)F)CC1 ZINC000450387228 330975748 /nfs/dbraw/zinc/97/57/48/330975748.db2.gz GNPROVXOPGLIIT-UHFFFAOYSA-N 1 2 292.329 3.725 20 0 CHADLO Fc1cccc2nc(N3CCC[C@@H]3c3[nH]cc[nH+]3)sc21 ZINC000450424351 330978390 /nfs/dbraw/zinc/97/83/90/330978390.db2.gz KHMLHADBPSXLQL-LLVKDONJSA-N 1 2 288.351 3.500 20 0 CHADLO Cc1cc2nc(N[C@H](c3[nH]cc[nH+]3)C(C)C)oc2c(C)c1 ZINC000450512453 330984478 /nfs/dbraw/zinc/98/44/78/330984478.db2.gz SZIZJDSIQSDNSL-ZDUSSCGKSA-N 1 2 284.363 3.977 20 0 CHADLO COc1cccc2c1cc[nH+]c2N1CCCc2occc2C1 ZINC000450533483 330985200 /nfs/dbraw/zinc/98/52/00/330985200.db2.gz VDTOQGOHSDLKEF-UHFFFAOYSA-N 1 2 294.354 3.789 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@H]1CCC[C@H]1F ZINC000450544617 330985665 /nfs/dbraw/zinc/98/56/65/330985665.db2.gz PYRPGEFRIWOTNW-OLZOCXBDSA-N 1 2 260.312 3.546 20 0 CHADLO CC(C)c1nnc(C[NH2+]C2(c3ccc(F)cc3)CC2)s1 ZINC000450554865 330986257 /nfs/dbraw/zinc/98/62/57/330986257.db2.gz LFCFJUNRUCKPQZ-UHFFFAOYSA-N 1 2 291.395 3.580 20 0 CHADLO C[C@]1(F)CCCN(c2[nH+]ccc3ccc(F)cc32)C1 ZINC000450566880 330987051 /nfs/dbraw/zinc/98/70/51/330987051.db2.gz XVGPIGDCVOYGEA-HNNXBMFYSA-N 1 2 262.303 3.702 20 0 CHADLO Cc1cc(N[C@@H](C)CCCCO)c2cccc(F)c2[nH+]1 ZINC000450645679 330991029 /nfs/dbraw/zinc/99/10/29/330991029.db2.gz GFNOIXYGOGMWRK-NSHDSACASA-N 1 2 276.355 3.645 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+][C@@H](C)c1csnn1 ZINC000450662400 330991862 /nfs/dbraw/zinc/99/18/62/330991862.db2.gz IOAKHHNEFCEOOZ-AAEUAGOBSA-N 1 2 291.420 3.739 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2cccc(F)c2o1)c1csnn1 ZINC000450835850 331001877 /nfs/dbraw/zinc/00/18/77/331001877.db2.gz PMYIVBSDUHBDKW-BDAKNGLRSA-N 1 2 291.351 3.835 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1csnn1)c1ccc(F)cc1 ZINC000450925734 331007436 /nfs/dbraw/zinc/00/74/36/331007436.db2.gz ZJWDMMZCECJPGE-HZMBPMFUSA-N 1 2 279.384 3.725 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+]1CCC[C@@](C)(F)C1 ZINC000451109076 331015798 /nfs/dbraw/zinc/01/57/98/331015798.db2.gz AENXQEAWMWJKJM-XHDPSFHLSA-N 1 2 268.376 3.857 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+]1CCC[C@@](C)(F)C1 ZINC000451109076 331015799 /nfs/dbraw/zinc/01/57/99/331015799.db2.gz AENXQEAWMWJKJM-XHDPSFHLSA-N 1 2 268.376 3.857 20 0 CHADLO Cc1ccc(-c2cnc(C[N@@H+]3CCC[C@](C)(F)C3)o2)cc1 ZINC000451115258 331016075 /nfs/dbraw/zinc/01/60/75/331016075.db2.gz NUHHKTMGAJQPEW-KRWDZBQOSA-N 1 2 288.366 3.974 20 0 CHADLO Cc1ccc(-c2cnc(C[N@H+]3CCC[C@](C)(F)C3)o2)cc1 ZINC000451115258 331016076 /nfs/dbraw/zinc/01/60/76/331016076.db2.gz NUHHKTMGAJQPEW-KRWDZBQOSA-N 1 2 288.366 3.974 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC[C@](C)(F)C2)n1 ZINC000451115936 331016194 /nfs/dbraw/zinc/01/61/94/331016194.db2.gz PYQFQDVDZDSZQN-HNNXBMFYSA-N 1 2 267.392 3.568 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC[C@](C)(F)C2)n1 ZINC000451115936 331016195 /nfs/dbraw/zinc/01/61/95/331016195.db2.gz PYQFQDVDZDSZQN-HNNXBMFYSA-N 1 2 267.392 3.568 20 0 CHADLO C[C@H](c1nc(-c2cccs2)no1)[N@@H+]1CCC[C@@](C)(F)C1 ZINC000451121031 331016406 /nfs/dbraw/zinc/01/64/06/331016406.db2.gz MVSSGCREXHOFAT-QMTHXVAHSA-N 1 2 295.383 3.683 20 0 CHADLO C[C@H](c1nc(-c2cccs2)no1)[N@H+]1CCC[C@@](C)(F)C1 ZINC000451121031 331016407 /nfs/dbraw/zinc/01/64/07/331016407.db2.gz MVSSGCREXHOFAT-QMTHXVAHSA-N 1 2 295.383 3.683 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC000451122753 331016475 /nfs/dbraw/zinc/01/64/75/331016475.db2.gz SOYKZTJJZOPBJU-MRXNPFEDSA-N 1 2 274.339 3.666 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC000451122753 331016476 /nfs/dbraw/zinc/01/64/76/331016476.db2.gz SOYKZTJJZOPBJU-MRXNPFEDSA-N 1 2 274.339 3.666 20 0 CHADLO CCCn1cc[nH+]c1CCCN(C)C(=O)CCCC(C)C ZINC000451179608 331019074 /nfs/dbraw/zinc/01/90/74/331019074.db2.gz DYOIIKYLBYCUAS-UHFFFAOYSA-N 1 2 293.455 3.510 20 0 CHADLO F[C@@H]1CC[N@H+](Cc2ncc(-c3cccc(Cl)c3)o2)C1 ZINC000451183587 331019189 /nfs/dbraw/zinc/01/91/89/331019189.db2.gz JBXSBXDRGLRBKH-GFCCVEGCSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@@H]1CC[N@@H+](Cc2ncc(-c3cccc(Cl)c3)o2)C1 ZINC000451183587 331019190 /nfs/dbraw/zinc/01/91/90/331019190.db2.gz JBXSBXDRGLRBKH-GFCCVEGCSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@@H]1CC[N@H+](Cc2coc(-c3cccc(Cl)c3)n2)C1 ZINC000451196358 331020115 /nfs/dbraw/zinc/02/01/15/331020115.db2.gz ZIDQKDPTHOWQNU-GFCCVEGCSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@@H]1CC[N@@H+](Cc2coc(-c3cccc(Cl)c3)n2)C1 ZINC000451196358 331020116 /nfs/dbraw/zinc/02/01/16/331020116.db2.gz ZIDQKDPTHOWQNU-GFCCVEGCSA-N 1 2 280.730 3.539 20 0 CHADLO Cc1ccc(-c2csc(C[N@@H+]3CC[C@H](F)C3)n2)cc1 ZINC000451200785 331020371 /nfs/dbraw/zinc/02/03/71/331020371.db2.gz TXMITWGFJXJJHL-ZDUSSCGKSA-N 1 2 276.380 3.662 20 0 CHADLO Cc1ccc(-c2csc(C[N@H+]3CC[C@H](F)C3)n2)cc1 ZINC000451200785 331020372 /nfs/dbraw/zinc/02/03/72/331020372.db2.gz TXMITWGFJXJJHL-ZDUSSCGKSA-N 1 2 276.380 3.662 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(F)cc1Cl ZINC000163322237 331021249 /nfs/dbraw/zinc/02/12/49/331021249.db2.gz FMLRQYMNPFVNSX-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO c1sc(C[N@@H+]2CCOCC23CCCCC3)nc1C1CC1 ZINC000451813467 331043431 /nfs/dbraw/zinc/04/34/31/331043431.db2.gz JBUAAKKVCAXXIH-UHFFFAOYSA-N 1 2 292.448 3.556 20 0 CHADLO c1sc(C[N@H+]2CCOCC23CCCCC3)nc1C1CC1 ZINC000451813467 331043432 /nfs/dbraw/zinc/04/34/32/331043432.db2.gz JBUAAKKVCAXXIH-UHFFFAOYSA-N 1 2 292.448 3.556 20 0 CHADLO CC(C)c1nc(C[N@@H+](C(C)C)C2CC(C)(C)C2)no1 ZINC000452109664 331054631 /nfs/dbraw/zinc/05/46/31/331054631.db2.gz ZAGQKQWPTLHSKC-UHFFFAOYSA-N 1 2 265.401 3.592 20 0 CHADLO CC(C)c1nc(C[N@H+](C(C)C)C2CC(C)(C)C2)no1 ZINC000452109664 331054632 /nfs/dbraw/zinc/05/46/32/331054632.db2.gz ZAGQKQWPTLHSKC-UHFFFAOYSA-N 1 2 265.401 3.592 20 0 CHADLO CC(C)[C@@H](C(=O)Nc1c[nH+]c2n1CCCC2)c1ccccc1 ZINC000452333560 331062566 /nfs/dbraw/zinc/06/25/66/331062566.db2.gz JXBQQXFMNCIUKA-QGZVFWFLSA-N 1 2 297.402 3.598 20 0 CHADLO O=C(Nc1c[nH+]c2n1CCCC2)c1cc2ccccc2s1 ZINC000452334165 331062578 /nfs/dbraw/zinc/06/25/78/331062578.db2.gz LUEDWXGAKMLBPH-UHFFFAOYSA-N 1 2 297.383 3.686 20 0 CHADLO CCC[C@@H](C(=O)Nc1c[nH+]c2n1CCCC2)c1ccccc1 ZINC000452346330 331062992 /nfs/dbraw/zinc/06/29/92/331062992.db2.gz RJNMSCMQPCNUQD-OAHLLOKOSA-N 1 2 297.402 3.742 20 0 CHADLO Cc1ccc(/C=C/CC(=O)Nc2c[nH+]c3n2CCCC3)cc1 ZINC000452763935 331075406 /nfs/dbraw/zinc/07/54/06/331075406.db2.gz ZUEVFUVUNNDGNF-SNAWJCMRSA-N 1 2 295.386 3.570 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1ccc2c(c1)CCCC2 ZINC000453129978 331092337 /nfs/dbraw/zinc/09/23/37/331092337.db2.gz BPABZLQWFWKKKL-UHFFFAOYSA-N 1 2 269.392 3.955 20 0 CHADLO C[C@@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000453143288 331093848 /nfs/dbraw/zinc/09/38/48/331093848.db2.gz DRKXMDVZXROZLD-RBZYPMLTSA-N 1 2 277.318 3.518 20 0 CHADLO CCc1ccc(CC)c(N[C@H]2CCn3cc[nH+]c32)c1 ZINC000453186589 331098694 /nfs/dbraw/zinc/09/86/94/331098694.db2.gz AXJYNZQKCBTRHZ-AWEZNQCLSA-N 1 2 255.365 3.565 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(CCCC(C)C)n1 ZINC000453218849 331102835 /nfs/dbraw/zinc/10/28/35/331102835.db2.gz HEGWENMJGVNBTN-UHFFFAOYSA-N 1 2 273.380 3.537 20 0 CHADLO C[C@@H](CCCc1cccnc1)[NH2+][C@H](C1CC1)C(F)(F)F ZINC000453223548 331103371 /nfs/dbraw/zinc/10/33/71/331103371.db2.gz LNKKMUYGEFLUTR-SMDDNHRTSA-N 1 2 286.341 3.723 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)CCCc1cccnc1)C(F)(F)F ZINC000453223099 331103415 /nfs/dbraw/zinc/10/34/15/331103415.db2.gz PEFDQOWNSZQUOR-OCCSQVGLSA-N 1 2 288.357 3.969 20 0 CHADLO CC[C@H](C)CCc1nc(CCc2[nH+]cccc2C)no1 ZINC000453228548 331104175 /nfs/dbraw/zinc/10/41/75/331104175.db2.gz QGZAGFWWLITPHT-LBPRGKRZSA-N 1 2 273.380 3.537 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cnn(C(C)(C)C)c1C)C(F)F ZINC000453228545 331104192 /nfs/dbraw/zinc/10/41/92/331104192.db2.gz BWFXSUVXZBSIKW-CABZTGNLSA-N 1 2 273.371 3.641 20 0 CHADLO CCCC[C@@H](C)c1nc(CCc2[nH+]cccc2C)no1 ZINC000453229746 331104361 /nfs/dbraw/zinc/10/43/61/331104361.db2.gz RPLZCXMIBGGPEP-CYBMUJFWSA-N 1 2 273.380 3.852 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(OC)cc1F)C(F)F ZINC000453246168 331106810 /nfs/dbraw/zinc/10/68/10/331106810.db2.gz MNONFWWNNCOCEE-PRHODGIISA-N 1 2 261.287 3.529 20 0 CHADLO CCc1nc(C)c([C@@H](C)[NH2+][C@@H](CC)C(F)F)s1 ZINC000453250726 331107690 /nfs/dbraw/zinc/10/76/90/331107690.db2.gz SNHYNIVGANKGGT-APPZFPTMSA-N 1 2 262.369 3.708 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cccc(N2CCCC2=O)c1)C(F)F ZINC000453252117 331107793 /nfs/dbraw/zinc/10/77/93/331107793.db2.gz USDLSHFIEJUUFI-RISCZKNCSA-N 1 2 296.361 3.508 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(F)c(F)c(F)c1)C(F)F ZINC000453252741 331107854 /nfs/dbraw/zinc/10/78/54/331107854.db2.gz VORNTUZKERNMGW-QUBYGPBYSA-N 1 2 267.241 3.798 20 0 CHADLO CCc1nc2c(s1)[C@@H]([NH2+][C@H](C)C(C)(F)F)CCC2 ZINC000453280680 331110452 /nfs/dbraw/zinc/11/04/52/331110452.db2.gz HETYUEKKOKJKPS-BDAKNGLRSA-N 1 2 274.380 3.716 20 0 CHADLO Cc1nn(C)c2sc([C@H](C)[NH2+][C@@H](C)C(C)(F)F)cc12 ZINC000453291561 331111845 /nfs/dbraw/zinc/11/18/45/331111845.db2.gz NOFLIWKFTBTBFF-IUCAKERBSA-N 1 2 287.379 3.638 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc2ccccc2n1 ZINC000453298996 331112802 /nfs/dbraw/zinc/11/28/02/331112802.db2.gz TXDHDUHHOMPRSC-MNOVXSKESA-N 1 2 264.319 3.929 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2nn(C)cc2Cl)C2CCC2)o1 ZINC000453322025 331116471 /nfs/dbraw/zinc/11/64/71/331116471.db2.gz QGZFNJLKZACNOU-OAHLLOKOSA-N 1 2 293.798 3.606 20 0 CHADLO C[C@@H]1C[C@@H](N[C@@H](c2ccccc2)C(F)(F)F)c2[nH+]ccn21 ZINC000453341898 331119304 /nfs/dbraw/zinc/11/93/04/331119304.db2.gz HKXNDLIFXRHIDK-RTXFEEFZSA-N 1 2 295.308 3.782 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2cnc(C3CC3)o2)o1 ZINC000453350943 331120645 /nfs/dbraw/zinc/12/06/45/331120645.db2.gz KDJLTSNRMMPJNI-SNVBAGLBSA-N 1 2 260.337 3.558 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H](C)c2ccc(F)cc2F)o1 ZINC000453370434 331123922 /nfs/dbraw/zinc/12/39/22/331123922.db2.gz HYXKMAIKTVSFSQ-JTQLQIEISA-N 1 2 280.318 3.756 20 0 CHADLO CCc1ccc(N[C@H]2C[C@H](C)n3cc[nH+]c32)cc1OC ZINC000453389289 331127014 /nfs/dbraw/zinc/12/70/14/331127014.db2.gz XGGWOUAEIBKNAU-FZMZJTMJSA-N 1 2 271.364 3.572 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2ccccc2Cl)o1 ZINC000453391340 331127406 /nfs/dbraw/zinc/12/74/06/331127406.db2.gz YTDMGVOUYWUHIZ-JTQLQIEISA-N 1 2 264.756 3.741 20 0 CHADLO C[C@H]1COCC[C@H]1[NH2+]c1ccc(OC2CCCC2)cc1 ZINC000195053079 331133741 /nfs/dbraw/zinc/13/37/41/331133741.db2.gz MYJKSVPYLFAYEZ-SUMWQHHRSA-N 1 2 275.392 3.845 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3sccc3CC2(C)C)cs1 ZINC000453620587 331137002 /nfs/dbraw/zinc/13/70/02/331137002.db2.gz LOPXZGYFLNESGB-UHFFFAOYSA-N 1 2 278.446 3.850 20 0 CHADLO Cc1nc(C[N@H+]2Cc3sccc3CC2(C)C)cs1 ZINC000453620587 331137003 /nfs/dbraw/zinc/13/70/03/331137003.db2.gz LOPXZGYFLNESGB-UHFFFAOYSA-N 1 2 278.446 3.850 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3(C4CCC4)CCC3)cc2[nH+]1 ZINC000454441557 331146241 /nfs/dbraw/zinc/14/62/41/331146241.db2.gz TZIRUYNRMWKABN-UHFFFAOYSA-N 1 2 283.375 3.780 20 0 CHADLO CCCC[C@H](CCC)NC(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000454616232 331149858 /nfs/dbraw/zinc/14/98/58/331149858.db2.gz LVNLUTYFYIJXKS-KBPBESRZSA-N 1 2 294.443 3.622 20 0 CHADLO CC[C@@H]1CCC[C@H]1CNC(=O)Nc1c(C)cc[nH+]c1C ZINC000455436181 331169863 /nfs/dbraw/zinc/16/98/63/331169863.db2.gz JAXIYMMFPOGHDC-KGLIPLIRSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1cccc(C[NH2+][C@H](c2ccccc2)c2ccccn2)n1 ZINC000195325991 331170612 /nfs/dbraw/zinc/17/06/12/331170612.db2.gz XJKBJDBHIAYAIG-LJQANCHMSA-N 1 2 289.382 3.664 20 0 CHADLO Cc1cc(C)c(NC(=O)NC(C)(C)C(C)(C)C)c(C)[nH+]1 ZINC000455530949 331172383 /nfs/dbraw/zinc/17/23/83/331172383.db2.gz RSVLSDPUOZWUDQ-UHFFFAOYSA-N 1 2 277.412 3.953 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC(C)(C)[C@H]2C(C)C)c(C)[nH+]1 ZINC000455537332 331172622 /nfs/dbraw/zinc/17/26/22/331172622.db2.gz WIONDTWNHQKKPV-OAHLLOKOSA-N 1 2 289.423 3.905 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2CCC[C@H]2C)c(C)[nH+]1 ZINC000455543059 331172737 /nfs/dbraw/zinc/17/27/37/331172737.db2.gz ZSBPDJJTUSWVBC-YGRLFVJLSA-N 1 2 275.396 3.565 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2CCC[C@@H]2C)c(C)[nH+]1 ZINC000455543047 331172749 /nfs/dbraw/zinc/17/27/49/331172749.db2.gz ZSBPDJJTUSWVBC-HZMBPMFUSA-N 1 2 275.396 3.565 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1ccc(C2CCC2)cc1 ZINC000456337577 331190313 /nfs/dbraw/zinc/19/03/13/331190313.db2.gz BBZXFWIMBSNMGT-ZDUSSCGKSA-N 1 2 297.402 3.662 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C(CC(C)C)CC(C)C ZINC000456353920 331190566 /nfs/dbraw/zinc/19/05/66/331190566.db2.gz OUTNMDZOPXAXLB-AWEZNQCLSA-N 1 2 293.455 3.789 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000456354045 331190623 /nfs/dbraw/zinc/19/06/23/331190623.db2.gz OZZIZAKQLFVTJE-KBPBESRZSA-N 1 2 279.428 3.543 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C[C@@H]1CCCCC1(C)C ZINC000456356445 331190694 /nfs/dbraw/zinc/19/06/94/331190694.db2.gz OWBZGDQUIIHNBM-KBPBESRZSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1ccc(C(C)C)cc1 ZINC000456365525 331191195 /nfs/dbraw/zinc/19/11/95/331191195.db2.gz YVMJRQWJXGMXRH-ZDUSSCGKSA-N 1 2 285.391 3.517 20 0 CHADLO Cc1ccc(C[C@@H](C)C(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000456842554 331207357 /nfs/dbraw/zinc/20/73/57/331207357.db2.gz PVVAQDQNGZTFSC-OAHLLOKOSA-N 1 2 296.414 3.502 20 0 CHADLO CCc1ccc(CCC(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000456864353 331207816 /nfs/dbraw/zinc/20/78/16/331207816.db2.gz SHCGCUHSXKUVSD-UHFFFAOYSA-N 1 2 296.414 3.510 20 0 CHADLO CCC1CN(C(=O)c2ccc(C)c(Oc3cc[nH+]cc3)c2)C1 ZINC000457276317 331217797 /nfs/dbraw/zinc/21/77/97/331217797.db2.gz MDXOPLZLFSDUNY-UHFFFAOYSA-N 1 2 296.370 3.664 20 0 CHADLO CC(C)c1cccc(CC(=O)Nc2c[nH+]c3n2CCCC3)c1 ZINC000457844741 331236437 /nfs/dbraw/zinc/23/64/37/331236437.db2.gz MNPGXENFFSTPBT-UHFFFAOYSA-N 1 2 297.402 3.524 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)Cc2ccc[nH]2)c(Cl)c1 ZINC000459343482 331277104 /nfs/dbraw/zinc/27/71/04/331277104.db2.gz HMDFCNZRHAINRF-UHFFFAOYSA-N 1 2 266.747 3.748 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)Cc2ccc[nH]2)c(Cl)c1 ZINC000459343482 331277105 /nfs/dbraw/zinc/27/71/05/331277105.db2.gz HMDFCNZRHAINRF-UHFFFAOYSA-N 1 2 266.747 3.748 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+]C1CC2(CCC2)C1 ZINC000459367885 331278636 /nfs/dbraw/zinc/27/86/36/331278636.db2.gz VPWMSCITDQNADB-UHFFFAOYSA-N 1 2 286.419 3.576 20 0 CHADLO Cc1noc(C)c1C[NH2+]Cc1c(F)cc(C)cc1Cl ZINC000459470688 331283309 /nfs/dbraw/zinc/28/33/09/331283309.db2.gz NBEPOLLSATUCEN-UHFFFAOYSA-N 1 2 282.746 3.682 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(C)nc2scc(C3CC3)n12)C(F)F ZINC000459577726 331289061 /nfs/dbraw/zinc/28/90/61/331289061.db2.gz WJPVIFVSPKKAAQ-SNVBAGLBSA-N 1 2 299.390 3.715 20 0 CHADLO FC(F)(F)c1ncc(C[NH+]2CC3(C2)CCCCC3)s1 ZINC000459592998 331289667 /nfs/dbraw/zinc/28/96/67/331289667.db2.gz IGQUECKJLJCSFS-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO Cc1ccc(F)c(C[NH+]2CC(C)(CC(F)(F)F)C2)c1 ZINC000459630934 331291106 /nfs/dbraw/zinc/29/11/06/331291106.db2.gz BRPJGDSDGXDSTJ-UHFFFAOYSA-N 1 2 275.289 3.908 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1nc2c(s1)CCC2 ZINC000459638394 331291519 /nfs/dbraw/zinc/29/15/19/331291519.db2.gz ZVSUJMFEWRJMRN-ZETCQYMHSA-N 1 2 299.852 3.593 20 0 CHADLO Cc1ccc(-c2ccc(C[NH2+][C@H](C)c3ccn(C)n3)o2)cc1 ZINC000459750195 331298166 /nfs/dbraw/zinc/29/81/66/331298166.db2.gz KZVSFWLGLLMGKW-CQSZACIVSA-N 1 2 295.386 3.839 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(Cl)c1Cl)c1ccn(C)n1 ZINC000459762643 331299250 /nfs/dbraw/zinc/29/92/50/331299250.db2.gz UBTFJVYJISIQFH-SSDOTTSWSA-N 1 2 290.219 3.639 20 0 CHADLO C[C@@H](C(=O)Nc1cccc2[nH+]ccn21)c1cccc(Cl)c1 ZINC000460324216 331309138 /nfs/dbraw/zinc/30/91/38/331309138.db2.gz LGJAQAZORCBJNE-LLVKDONJSA-N 1 2 299.761 3.730 20 0 CHADLO CC(C)(Cc1ccccc1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000460334785 331309229 /nfs/dbraw/zinc/30/92/29/331309229.db2.gz ZMZCEEUYENQWGB-UHFFFAOYSA-N 1 2 293.370 3.542 20 0 CHADLO OCc1cccc(NCc2ccc(C3CCCC3)cc2)[nH+]1 ZINC000461221175 331311976 /nfs/dbraw/zinc/31/19/76/331311976.db2.gz QMXNLVNBRGFUAF-UHFFFAOYSA-N 1 2 282.387 3.844 20 0 CHADLO Cc1ccc([NH2+]CCC(C)(C)O)c(OCC(F)(F)F)c1 ZINC000463227829 331343064 /nfs/dbraw/zinc/34/30/64/331343064.db2.gz CLMSQOYADPNLKN-UHFFFAOYSA-N 1 2 291.313 3.509 20 0 CHADLO Cc1cc(N2Cc3ccccc3C[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000463476109 331348365 /nfs/dbraw/zinc/34/83/65/331348365.db2.gz OPCDSDMFPSCBDQ-AWEZNQCLSA-N 1 2 281.403 3.860 20 0 CHADLO COC(=O)C[N@H+](C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464764886 331366656 /nfs/dbraw/zinc/36/66/56/331366656.db2.gz YBXUYYARSJQXPN-CQSZACIVSA-N 1 2 283.371 3.519 20 0 CHADLO COC(=O)C[N@@H+](C)[C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464764886 331366657 /nfs/dbraw/zinc/36/66/57/331366657.db2.gz YBXUYYARSJQXPN-CQSZACIVSA-N 1 2 283.371 3.519 20 0 CHADLO CCOC(=O)C[N@H+](C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464761212 331366667 /nfs/dbraw/zinc/36/66/67/331366667.db2.gz MNWCZGFVTTYPOK-HNNXBMFYSA-N 1 2 297.398 3.910 20 0 CHADLO CCOC(=O)C[N@@H+](C)[C@@H](C)c1ccc(-c2ccccc2)cc1 ZINC000464761212 331366668 /nfs/dbraw/zinc/36/66/68/331366668.db2.gz MNWCZGFVTTYPOK-HNNXBMFYSA-N 1 2 297.398 3.910 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2ccc(F)cc21)c1ccc(F)cc1F ZINC000467004426 331410207 /nfs/dbraw/zinc/41/02/07/331410207.db2.gz CNGKGYJKZXSVAF-RFAUZJTJSA-N 1 2 293.288 3.888 20 0 CHADLO Cc1[nH+]c2ccccn2c1CN1CCc2cccc(C)c21 ZINC000469289349 331449029 /nfs/dbraw/zinc/44/90/29/331449029.db2.gz FBSDRYZIWKTWMM-UHFFFAOYSA-N 1 2 277.371 3.514 20 0 CHADLO Cc1cccc(CC[C@@H](C)[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1 ZINC000470338177 331479844 /nfs/dbraw/zinc/47/98/44/331479844.db2.gz PKBBPKRUOOHIOU-CABCVRRESA-N 1 2 291.435 3.636 20 0 CHADLO CCCC1(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CC1 ZINC000471784120 331520057 /nfs/dbraw/zinc/52/00/57/331520057.db2.gz ZNJHPZLTRIFUFP-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO CC(C)C1CC[NH+](Cc2ncc(Cl)s2)CC1 ZINC000473148773 331549726 /nfs/dbraw/zinc/54/97/26/331549726.db2.gz UOVFEGKXBKIXNC-UHFFFAOYSA-N 1 2 258.818 3.665 20 0 CHADLO COc1c2ccccc2oc1C[NH2+]Cc1ccc(Cl)o1 ZINC000474022903 331562781 /nfs/dbraw/zinc/56/27/81/331562781.db2.gz QNTGHCWSDAFGCC-UHFFFAOYSA-N 1 2 291.734 3.978 20 0 CHADLO C[C@H]1[C@@H](Cc2ccccc2)CCN1c1cccc[nH+]1 ZINC000474172091 331574299 /nfs/dbraw/zinc/57/42/99/331574299.db2.gz HQYWJQOHJWQSSI-GOEBONIOSA-N 1 2 252.361 3.539 20 0 CHADLO CCc1ccc(NCCCc2ccc(C)[nH+]c2)cc1 ZINC000474374721 331582642 /nfs/dbraw/zinc/58/26/42/331582642.db2.gz JSEWYXCCKCDBSY-UHFFFAOYSA-N 1 2 254.377 3.997 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)C1CCCC1)c1ccc(Cl)cc1 ZINC000474931065 331604789 /nfs/dbraw/zinc/60/47/89/331604789.db2.gz YLQOXIWZCRAQSS-IAQYHMDHSA-N 1 2 295.810 3.722 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1cscn1)c1cc(F)ccc1F ZINC000479065329 331719576 /nfs/dbraw/zinc/71/95/76/331719576.db2.gz GXGWXYBAAULYQQ-CQSZACIVSA-N 1 2 282.359 3.908 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@@H](C)[C@@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000480392349 331771415 /nfs/dbraw/zinc/77/14/15/331771415.db2.gz GXSULKHNDUMGJI-IVMMDQJWSA-N 1 2 296.418 3.506 20 0 CHADLO CC(C)C[C@@H]1OCCC[C@H]1Nc1[nH+]c2ccccc2n1C ZINC000480528389 331776309 /nfs/dbraw/zinc/77/63/09/331776309.db2.gz ZYOLRRPKVSEVKK-ZBFHGGJFSA-N 1 2 287.407 3.579 20 0 CHADLO Cc1cc(N[C@H](CO)CC2CCC2)c2cccc(F)c2[nH+]1 ZINC000480835251 331789524 /nfs/dbraw/zinc/78/95/24/331789524.db2.gz ACHXXIBDYZRGDB-ZDUSSCGKSA-N 1 2 288.366 3.645 20 0 CHADLO CC(C)(C)[C@@H]1CCCN(c2cc[nH+]c3ccncc32)C1 ZINC000481051120 331796431 /nfs/dbraw/zinc/79/64/31/331796431.db2.gz BXDAYDLFLLZHKT-CYBMUJFWSA-N 1 2 269.392 3.892 20 0 CHADLO Fc1cc(Cl)ccc1C[NH+]1CC2(C1)CCCC2 ZINC000485299664 331911502 /nfs/dbraw/zinc/91/15/02/331911502.db2.gz HHHKSSLTVQPPGY-UHFFFAOYSA-N 1 2 253.748 3.855 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)COc1ccc(C(C)C)cc1 ZINC000485479708 331915300 /nfs/dbraw/zinc/91/53/00/331915300.db2.gz OTQYNGNFKNUIPX-UHFFFAOYSA-N 1 2 298.386 3.839 20 0 CHADLO COc1cc(C)nc(COc2cc(C)[nH+]c3ccccc32)c1 ZINC000486203260 331933366 /nfs/dbraw/zinc/93/33/66/331933366.db2.gz LMBORPXNMHHBMA-UHFFFAOYSA-N 1 2 294.354 3.834 20 0 CHADLO CCOC(=O)[C@@H](C)[NH2+][C@H](C)c1ccc(F)c2ccccc21 ZINC000487914465 331983952 /nfs/dbraw/zinc/98/39/52/331983952.db2.gz KBIGMHSXVLGMMI-VXGBXAGGSA-N 1 2 289.350 3.581 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(F)c2ccccc21)C(=O)OC ZINC000487922822 331984470 /nfs/dbraw/zinc/98/44/70/331984470.db2.gz RQTXWXWRHYDURL-BZNIZROVSA-N 1 2 289.350 3.581 20 0 CHADLO CC(C)[C@@H]1C[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)CS1 ZINC000488343948 332000927 /nfs/dbraw/zinc/00/09/27/332000927.db2.gz BLCYSJWUADGKKJ-ZBFHGGJFSA-N 1 2 287.432 3.814 20 0 CHADLO Fc1ccc2cc(C[N@@H+]3CCCC[C@@H]3c3ncc[nH]3)[nH]c2c1 ZINC000488342276 332000949 /nfs/dbraw/zinc/00/09/49/332000949.db2.gz BCQWMUSZQRSJAS-MRXNPFEDSA-N 1 2 298.365 3.757 20 0 CHADLO Fc1ccc2cc(C[N@H+]3CCCC[C@@H]3c3ncc[nH]3)[nH]c2c1 ZINC000488342276 332000950 /nfs/dbraw/zinc/00/09/50/332000950.db2.gz BCQWMUSZQRSJAS-MRXNPFEDSA-N 1 2 298.365 3.757 20 0 CHADLO Fc1ccc2cc(CN3CCCC[C@@H]3c3[nH]cc[nH+]3)[nH]c2c1 ZINC000488342276 332000951 /nfs/dbraw/zinc/00/09/51/332000951.db2.gz BCQWMUSZQRSJAS-MRXNPFEDSA-N 1 2 298.365 3.757 20 0 CHADLO CC(C)C1CC(Nc2cccc(-c3[nH+]ccn3C)c2)C1 ZINC000488357774 332001641 /nfs/dbraw/zinc/00/16/41/332001641.db2.gz PNMIHPAMEMYKGT-UHFFFAOYSA-N 1 2 269.392 3.934 20 0 CHADLO CC(C)[C@@H]1C[C@H](Nc2ccc(N3CCCC3)[nH+]c2)CS1 ZINC000488444203 332009410 /nfs/dbraw/zinc/00/94/10/332009410.db2.gz WIPPHSVXXYOGPH-GJZGRUSLSA-N 1 2 291.464 3.624 20 0 CHADLO Cc1c[nH+]cc(N[C@@H]2CS[C@H](C(C)(C)C)C2)c1 ZINC000488449195 332010084 /nfs/dbraw/zinc/01/00/84/332010084.db2.gz NPSLPXDSXKPLIL-STQMWFEESA-N 1 2 250.411 3.722 20 0 CHADLO FC1(F)CCCC[C@H](CNc2cc[nH+]c3ccncc23)C1 ZINC000488467308 332011994 /nfs/dbraw/zinc/01/19/94/332011994.db2.gz QYFZCZVSZDDYKY-LBPRGKRZSA-N 1 2 291.345 3.679 20 0 CHADLO Cc1cc(NCc2ccc(-c3ccccc3)cc2F)nc[nH+]1 ZINC000488684636 332021494 /nfs/dbraw/zinc/02/14/94/332021494.db2.gz KGCBYMZGMUERKT-UHFFFAOYSA-N 1 2 293.345 3.625 20 0 CHADLO Cc1cc(N2Cc3ccccc3O[C@@H](C)C2)nc(C(C)C)[nH+]1 ZINC000488741499 332023658 /nfs/dbraw/zinc/02/36/58/332023658.db2.gz CBTKUVUZJQPBMC-AWEZNQCLSA-N 1 2 297.402 3.696 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+]1CCOc2ccc(F)cc2C1 ZINC000489198290 332043260 /nfs/dbraw/zinc/04/32/60/332043260.db2.gz YTASLERUPZIIBZ-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+]1CCOc2ccc(F)cc2C1 ZINC000489198290 332043261 /nfs/dbraw/zinc/04/32/61/332043261.db2.gz YTASLERUPZIIBZ-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO FC(F)(F)[C@H]([NH2+][C@@H]1CCC[C@@H]([C@H]2CCOC2)C1)C1CC1 ZINC000489829219 332069677 /nfs/dbraw/zinc/06/96/77/332069677.db2.gz RHCCVJGCBJWFAP-XJFOESAGSA-N 1 2 291.357 3.512 20 0 CHADLO O=C(/C=C\c1ccsc1)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000491506903 332225420 /nfs/dbraw/zinc/22/54/20/332225420.db2.gz QOHRFFSWFWKWTE-PLNGDYQASA-N 1 2 295.367 3.790 20 0 CHADLO CCOC(=O)C(C)(C)[N@H+](C)Cc1cccc(SCC)c1 ZINC000575341811 335095705 /nfs/dbraw/zinc/09/57/05/335095705.db2.gz GGMYABGWSZWZGK-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO CCOC(=O)C(C)(C)[N@@H+](C)Cc1cccc(SCC)c1 ZINC000575341811 335095706 /nfs/dbraw/zinc/09/57/06/335095706.db2.gz GGMYABGWSZWZGK-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO CC1(C)CC(c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)C1 ZINC000575555376 335107030 /nfs/dbraw/zinc/10/70/30/335107030.db2.gz IOLBSLFAYRJDFM-UHFFFAOYSA-N 1 2 294.358 3.826 20 0 CHADLO Cc1nc2ccc(CNc3ccc(N(C)C)[nH+]c3)cc2s1 ZINC000492263233 332389706 /nfs/dbraw/zinc/38/97/06/332389706.db2.gz ZKIAONTZTRVWAQ-UHFFFAOYSA-N 1 2 298.415 3.678 20 0 CHADLO C[C@H](NC(=O)C=Cc1c[nH]c[nH+]1)c1cc2ccccc2s1 ZINC000492766454 332412734 /nfs/dbraw/zinc/41/27/34/332412734.db2.gz MQBFEBOYOSKCBM-ZADCQDASSA-N 1 2 297.383 3.515 20 0 CHADLO Cc1ccc(N[C@H](C)c2[nH+]ccn2C)cc1OC(C)C ZINC000494471425 332474608 /nfs/dbraw/zinc/47/46/08/332474608.db2.gz GBUMUDGTGYMMAP-CYBMUJFWSA-N 1 2 273.380 3.689 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2cocn2)c2ccccc2)cc1 ZINC000495008976 332499856 /nfs/dbraw/zinc/49/98/56/332499856.db2.gz OGKDNMVYRCRAJM-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1cc(N[C@H]2CCCC[C@H]2c2ccccc2)nc[nH+]1 ZINC000495479649 332520204 /nfs/dbraw/zinc/52/02/04/332520204.db2.gz KGUKZJQRENZJEV-HOTGVXAUSA-N 1 2 267.376 3.923 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C(C)C)n1)c1ccc(OC)cc1 ZINC000498827139 332573846 /nfs/dbraw/zinc/57/38/46/332573846.db2.gz JLCFIVZAGSCBHJ-HNNXBMFYSA-N 1 2 285.391 3.594 20 0 CHADLO CCCCc1[nH+]c2ccccc2n1CC1(O)CCCC1 ZINC000504400473 332711050 /nfs/dbraw/zinc/71/10/50/332711050.db2.gz DCGAURPSTYNTMB-UHFFFAOYSA-N 1 2 272.392 3.684 20 0 CHADLO COC(=O)[C@@H](C)[NH2+]Cc1sc2c(cccc2Cl)c1C ZINC000513392307 333017029 /nfs/dbraw/zinc/01/70/29/333017029.db2.gz OUBKHXLFAKSYHT-SECBINFHSA-N 1 2 297.807 3.514 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](CC(C)C)c1ccccc1C)C1CC1 ZINC000513451583 333020502 /nfs/dbraw/zinc/02/05/02/333020502.db2.gz IPCHHFRXNKSPHE-IAGOWNOFSA-N 1 2 289.419 3.623 20 0 CHADLO CCCCOCC[N@H+](CC)Cc1c(F)cccc1F ZINC000513955721 333054575 /nfs/dbraw/zinc/05/45/75/333054575.db2.gz KNIUWZWHQVNTIT-UHFFFAOYSA-N 1 2 271.351 3.603 20 0 CHADLO CCCCOCC[N@@H+](CC)Cc1c(F)cccc1F ZINC000513955721 333054577 /nfs/dbraw/zinc/05/45/77/333054577.db2.gz KNIUWZWHQVNTIT-UHFFFAOYSA-N 1 2 271.351 3.603 20 0 CHADLO CCOc1cc(NCc2c(C)[nH+]c3ccccn32)ccc1C ZINC000517251527 333095911 /nfs/dbraw/zinc/09/59/11/333095911.db2.gz XXVWIXROBQRDRC-UHFFFAOYSA-N 1 2 295.386 3.962 20 0 CHADLO Fc1cccnc1C[NH2+]Cc1cscc1C(F)(F)F ZINC000517631395 333104680 /nfs/dbraw/zinc/10/46/80/333104680.db2.gz UYCDXZYPQHKBTL-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO Clc1ccc(C[N@@H+]2CCO[C@H](C3CCCCC3)C2)nc1 ZINC000520893582 333107304 /nfs/dbraw/zinc/10/73/04/333107304.db2.gz YEYDUSNHIQNGDW-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccc(C[N@H+]2CCO[C@H](C3CCCCC3)C2)nc1 ZINC000520893582 333107305 /nfs/dbraw/zinc/10/73/05/333107305.db2.gz YEYDUSNHIQNGDW-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO CC[C@@H](C)[C@H](C)Nc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000336851078 335121322 /nfs/dbraw/zinc/12/13/22/335121322.db2.gz DQZRDWBCRFHSSY-OLZOCXBDSA-N 1 2 295.390 3.662 20 0 CHADLO CCCc1nc(C[N@H+](CC)[C@@H](CC)c2ccccc2)no1 ZINC000521197452 333114554 /nfs/dbraw/zinc/11/45/54/333114554.db2.gz LSTITBNFJXWDSL-HNNXBMFYSA-N 1 2 287.407 3.995 20 0 CHADLO CCCc1nc(C[N@@H+](CC)[C@@H](CC)c2ccccc2)no1 ZINC000521197452 333114556 /nfs/dbraw/zinc/11/45/56/333114556.db2.gz LSTITBNFJXWDSL-HNNXBMFYSA-N 1 2 287.407 3.995 20 0 CHADLO CC[C@H](C)[C@H](C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000183543432 333121239 /nfs/dbraw/zinc/12/12/39/333121239.db2.gz LBAHJKMZOWMESE-RYUDHWBXSA-N 1 2 271.364 3.697 20 0 CHADLO C[C@H](SCc1ccc(-n2cc[nH+]c2)cc1)c1cnccn1 ZINC000185702172 333146888 /nfs/dbraw/zinc/14/68/88/333146888.db2.gz BVPBOSWTIVGBRP-ZDUSSCGKSA-N 1 2 296.399 3.657 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)Nc1cccc2ccn(C)c21 ZINC000189755449 333198698 /nfs/dbraw/zinc/19/86/98/333198698.db2.gz CIAGEHFNXLQBEG-UHFFFAOYSA-N 1 2 280.331 3.526 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2cnn(C(C)C)c2C)cs1 ZINC000191275540 333217653 /nfs/dbraw/zinc/21/76/53/333217653.db2.gz JKGMWDPVQBVAOP-LLVKDONJSA-N 1 2 292.452 3.642 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ncc(Cl)cc2Cl)CCS1 ZINC000192181678 333231822 /nfs/dbraw/zinc/23/18/22/333231822.db2.gz CTJVHFOTFSPIQM-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ncc(Cl)cc2Cl)CCS1 ZINC000192181678 333231823 /nfs/dbraw/zinc/23/18/23/333231823.db2.gz CTJVHFOTFSPIQM-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccc1F)c1nc(C(C)(C)C)no1 ZINC000192621855 333237014 /nfs/dbraw/zinc/23/70/14/333237014.db2.gz NPIVHCRSYKHXIU-QWRGUYRKSA-N 1 2 291.370 3.918 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)[C@@H]3CC=CCC3)ccc2[nH+]1 ZINC000195058152 333244109 /nfs/dbraw/zinc/24/41/09/333244109.db2.gz YMJDNDWQBRVMJI-CYBMUJFWSA-N 1 2 295.386 3.596 20 0 CHADLO Cc1cc2[nH+]cn(Cc3nc4ccccc4o3)c2cc1C ZINC000195209302 333248068 /nfs/dbraw/zinc/24/80/68/333248068.db2.gz NLSBMCWBMOOVGF-UHFFFAOYSA-N 1 2 277.327 3.843 20 0 CHADLO Cc1ccc(F)c(CNc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000227599301 333286624 /nfs/dbraw/zinc/28/66/24/333286624.db2.gz IUEJWFMXOIRMQS-UHFFFAOYSA-N 1 2 285.366 3.741 20 0 CHADLO Cc1cc(N2CCC[C@@H](C(C)C)CC2)nc(C2CC2)[nH+]1 ZINC000522566450 333290285 /nfs/dbraw/zinc/29/02/85/333290285.db2.gz IELJPDNEXWEQQZ-CQSZACIVSA-N 1 2 273.424 3.925 20 0 CHADLO CCc1ccc(CNc2[nH+]c3ccccc3n2C)s1 ZINC000230465341 333318852 /nfs/dbraw/zinc/31/88/52/333318852.db2.gz WFOUUEMVQNQENL-UHFFFAOYSA-N 1 2 271.389 3.809 20 0 CHADLO C[C@@H](CNc1cc(C(F)(F)F)cc[nH+]1)c1nccs1 ZINC000230611223 333318927 /nfs/dbraw/zinc/31/89/27/333318927.db2.gz OINQUILDOWDYQJ-QMMMGPOBSA-N 1 2 287.310 3.773 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccccc2OC(C)C)s1 ZINC000230686131 333320843 /nfs/dbraw/zinc/32/08/43/333320843.db2.gz YRGNYSNVVWOPNC-UHFFFAOYSA-N 1 2 276.405 3.529 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)CO)c1ccc(-c2ccccc2)cc1 ZINC000231793291 333329729 /nfs/dbraw/zinc/32/97/29/333329729.db2.gz PYERVWHUXUQBNL-CYBMUJFWSA-N 1 2 291.341 3.632 20 0 CHADLO CC(C)C[C@H]1COCC[N@@H+]1Cc1ccccc1OC(F)F ZINC000523671337 333336809 /nfs/dbraw/zinc/33/68/09/333336809.db2.gz CHEMWIGGGFRKJG-AWEZNQCLSA-N 1 2 299.361 3.535 20 0 CHADLO CC(C)C[C@H]1COCC[N@H+]1Cc1ccccc1OC(F)F ZINC000523671337 333336810 /nfs/dbraw/zinc/33/68/10/333336810.db2.gz CHEMWIGGGFRKJG-AWEZNQCLSA-N 1 2 299.361 3.535 20 0 CHADLO CC[C@H](CC(=O)NCc1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000523733338 333342929 /nfs/dbraw/zinc/34/29/29/333342929.db2.gz WQFGFTNJEKKBRT-MRXNPFEDSA-N 1 2 299.418 3.561 20 0 CHADLO CCOc1cccc(CNc2[nH]c3cc(F)ccc3[nH+]2)c1 ZINC000233815554 333355185 /nfs/dbraw/zinc/35/51/85/333355185.db2.gz RAJLXYIJKKRDPQ-UHFFFAOYSA-N 1 2 285.322 3.713 20 0 CHADLO CSCCCCNc1[nH+]ccc(C)c1Br ZINC000235043799 333365000 /nfs/dbraw/zinc/36/50/00/333365000.db2.gz MWWQGCYQSLETHW-UHFFFAOYSA-N 1 2 289.242 3.708 20 0 CHADLO CCCc1noc(C[N@H+](C)[C@H](C)c2cc(C)ccc2C)n1 ZINC000524592746 333367674 /nfs/dbraw/zinc/36/76/74/333367674.db2.gz RMFUTWYTHAWHGC-CQSZACIVSA-N 1 2 287.407 3.832 20 0 CHADLO CCCc1noc(C[N@@H+](C)[C@H](C)c2cc(C)ccc2C)n1 ZINC000524592746 333367675 /nfs/dbraw/zinc/36/76/75/333367675.db2.gz RMFUTWYTHAWHGC-CQSZACIVSA-N 1 2 287.407 3.832 20 0 CHADLO CCc1cc(NCCC2CCCC2)nc(-c2ccncc2)[nH+]1 ZINC000524685290 333371172 /nfs/dbraw/zinc/37/11/72/333371172.db2.gz RVFYPYUAGMELPP-UHFFFAOYSA-N 1 2 296.418 3.515 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H](C)CCc1ccccc1)c1ccccc1 ZINC000237097445 333377571 /nfs/dbraw/zinc/37/75/71/333377571.db2.gz VSJCMHAGFLROBR-QAPCUYQASA-N 1 2 297.398 3.512 20 0 CHADLO CCc1ccc(-c2ccc(C[NH2+]Cc3cc[nH]n3)o2)cc1 ZINC000524773332 333387827 /nfs/dbraw/zinc/38/78/27/333387827.db2.gz FHAHLTWUNMZXJQ-UHFFFAOYSA-N 1 2 281.359 3.522 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1cc(Cl)ccc1Cl ZINC000525120423 333399692 /nfs/dbraw/zinc/39/96/92/333399692.db2.gz VTXGKKBARYEZSF-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1cc(Cl)ccc1Cl ZINC000525120423 333399693 /nfs/dbraw/zinc/39/96/93/333399693.db2.gz VTXGKKBARYEZSF-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2ncccc2Cl)sc1C ZINC000528425812 333436367 /nfs/dbraw/zinc/43/63/67/333436367.db2.gz XTETXMXONMNOFX-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO Cc1ccc2sc(C[NH+]3CCC(F)(F)CC3)nc2c1 ZINC000528485514 333441091 /nfs/dbraw/zinc/44/10/91/333441091.db2.gz LBWYQKPJKSLSKC-UHFFFAOYSA-N 1 2 282.359 3.836 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@H](C)c1ccc(SC)cc1 ZINC000036980562 333448569 /nfs/dbraw/zinc/44/85/69/333448569.db2.gz HVGWDSKQHHPAOH-IUODEOHRSA-N 1 2 295.448 3.647 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccccc1 ZINC000036982236 333449137 /nfs/dbraw/zinc/44/91/37/333449137.db2.gz CQSWBDFYYNGCHJ-AWEZNQCLSA-N 1 2 267.376 3.855 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1)c1nc(Cc2ccccc2)no1 ZINC000173791543 335141013 /nfs/dbraw/zinc/14/10/13/335141013.db2.gz SCMAJNKREVYKEA-CQSZACIVSA-N 1 2 293.370 3.511 20 0 CHADLO Cc1ccccc1CNc1ccc(N2CCCCC2)[nH+]c1 ZINC000036988533 333452271 /nfs/dbraw/zinc/45/22/71/333452271.db2.gz QSPYSZISROHCKY-UHFFFAOYSA-N 1 2 281.403 3.992 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2CC[C@@H]3C[C@@H]3C2)c(C)[nH+]1 ZINC000529102483 333472447 /nfs/dbraw/zinc/47/24/47/333472447.db2.gz HWBWKMZPVBELKZ-KFWWJZLASA-N 1 2 287.407 3.565 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1[C@H](C2CC2)[C@@H]1C ZINC000529225771 333478156 /nfs/dbraw/zinc/47/81/56/333478156.db2.gz MIYXRHHSKFZRGG-KNXALSJPSA-N 1 2 295.386 3.616 20 0 CHADLO CCc1cc2c(ccn(Cc3ccc(C)[nH+]c3C)c2=O)s1 ZINC000530122388 333524032 /nfs/dbraw/zinc/52/40/32/333524032.db2.gz XEKUBPINOLBODF-UHFFFAOYSA-N 1 2 298.411 3.686 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+][C@@H](C)C(=O)OC(C)(C)C)C1CC1 ZINC000538389544 333587917 /nfs/dbraw/zinc/58/79/17/333587917.db2.gz GMPXLVLKEIUJJW-BBRMVZONSA-N 1 2 289.419 3.766 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCCC3(CC3)C2)c1 ZINC000564205393 333594254 /nfs/dbraw/zinc/59/42/54/333594254.db2.gz OAFZAVOBRUEXLX-UHFFFAOYSA-N 1 2 256.324 3.754 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ccno2)c2ccccc2)cc1 ZINC000225772247 333632610 /nfs/dbraw/zinc/63/26/10/333632610.db2.gz WUSNIDJHNHSGPW-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO CCOC(=O)[C@@H](CC)[NH2+][C@H](c1ccc(F)c(C)c1)C1CC1 ZINC000539424009 333670931 /nfs/dbraw/zinc/67/09/31/333670931.db2.gz KEHYBOASJQNITE-CVEARBPZSA-N 1 2 293.382 3.517 20 0 CHADLO Fc1cccc(F)c1Cn1c[nH+]c2cc(F)c(F)cc21 ZINC000129878201 333797490 /nfs/dbraw/zinc/79/74/90/333797490.db2.gz CQRJIOFAIQQXTN-UHFFFAOYSA-N 1 2 280.224 3.641 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1ccccc1F ZINC000070984379 333841108 /nfs/dbraw/zinc/84/11/08/333841108.db2.gz FMOWSDCMEMHFLT-ZJUUUORDSA-N 1 2 250.342 3.694 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@@H](c2cccs2)C2CC2)no1 ZINC000543438184 333874797 /nfs/dbraw/zinc/87/47/97/333874797.db2.gz CISKRNOBDMTYDJ-CYBMUJFWSA-N 1 2 291.420 3.670 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1ccc(F)c(Cl)c1 ZINC000543573985 333881555 /nfs/dbraw/zinc/88/15/55/333881555.db2.gz IBOSPBYLEWIQON-BDAKNGLRSA-N 1 2 268.719 3.879 20 0 CHADLO C/C=C/CSCc1[nH+]ccn1Cc1ccccc1 ZINC000072702245 333953029 /nfs/dbraw/zinc/95/30/29/333953029.db2.gz KDLQEALHUNCUIR-NSCUHMNNSA-N 1 2 258.390 3.741 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3ccsc3C2)nc2ccccc12 ZINC000132840941 334007525 /nfs/dbraw/zinc/00/75/25/334007525.db2.gz TWKQQQKLTDBADG-UHFFFAOYSA-N 1 2 295.411 3.558 20 0 CHADLO Cc1nc(C[N@H+]2CCc3ccsc3C2)nc2ccccc12 ZINC000132840941 334007526 /nfs/dbraw/zinc/00/75/26/334007526.db2.gz TWKQQQKLTDBADG-UHFFFAOYSA-N 1 2 295.411 3.558 20 0 CHADLO COC[C@@H](C)Nc1ccc(-c2cn3cccc(C)c3[nH+]2)cc1 ZINC000545954629 334009194 /nfs/dbraw/zinc/00/91/94/334009194.db2.gz XENYEOASBVPVOY-CQSZACIVSA-N 1 2 295.386 3.757 20 0 CHADLO Cc1ccc(Cc2noc(-c3ccc4c(c3)CCC4)n2)c[nH+]1 ZINC000546340155 334028216 /nfs/dbraw/zinc/02/82/16/334028216.db2.gz WHWPJPOJSMNHKV-UHFFFAOYSA-N 1 2 291.354 3.520 20 0 CHADLO Clc1cnccc1C[N@H+](Cc1ccccc1)C1CC1 ZINC000075596598 334046193 /nfs/dbraw/zinc/04/61/93/334046193.db2.gz SWFBUIBROZWRAD-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO Clc1cnccc1C[N@@H+](Cc1ccccc1)C1CC1 ZINC000075596598 334046195 /nfs/dbraw/zinc/04/61/95/334046195.db2.gz SWFBUIBROZWRAD-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO C[C@H]1CCC[C@H]1CC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000546720486 334047988 /nfs/dbraw/zinc/04/79/88/334047988.db2.gz KAYPSBUNXIRUOD-JSGCOSHPSA-N 1 2 283.375 3.842 20 0 CHADLO CC(C)(C)CCCc1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000547037014 334063430 /nfs/dbraw/zinc/06/34/30/334063430.db2.gz NKQGLHGNMUHOHR-UHFFFAOYSA-N 1 2 298.390 3.677 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cccc(F)c3C)cn2c1 ZINC000134060262 334079151 /nfs/dbraw/zinc/07/91/51/334079151.db2.gz ZULWDQJNPSWNFM-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO CC(C)n1cccc1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000134358597 334088772 /nfs/dbraw/zinc/08/87/72/334088772.db2.gz YVXHTJLJFAWWGF-UHFFFAOYSA-N 1 2 294.358 3.507 20 0 CHADLO COCCCCNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000134645686 334098712 /nfs/dbraw/zinc/09/87/12/334098712.db2.gz FDTKLRVPRBCLIU-UHFFFAOYSA-N 1 2 265.401 3.562 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2nc3c(s2)CCC3)cs1 ZINC000134910546 334108094 /nfs/dbraw/zinc/10/80/94/334108094.db2.gz MYWICACJCBDXNP-VIFPVBQESA-N 1 2 293.461 3.502 20 0 CHADLO Cc1cnc([C@@H](C)Nc2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000134933777 334108885 /nfs/dbraw/zinc/10/88/85/334108885.db2.gz MVWSSNNSFGPULO-LLVKDONJSA-N 1 2 276.409 3.846 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1ccc(F)c(Br)c1 ZINC000547769879 334114520 /nfs/dbraw/zinc/11/45/20/334114520.db2.gz WLXCPYUWRVZETQ-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1ccc(F)c(Br)c1 ZINC000547769879 334114522 /nfs/dbraw/zinc/11/45/22/334114522.db2.gz WLXCPYUWRVZETQ-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1ccc(Br)c(F)c1 ZINC000547770020 334116317 /nfs/dbraw/zinc/11/63/17/334116317.db2.gz SZSYRBPYHOLADM-IUCAKERBSA-N 1 2 272.161 3.571 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@@H]3CCCC3(C)C)ccc2n1C ZINC000547797271 334119542 /nfs/dbraw/zinc/11/95/42/334119542.db2.gz OTPFWWMXFTWUJJ-ZDUSSCGKSA-N 1 2 285.391 3.647 20 0 CHADLO CCc1csc(N[C@@H](c2[nH+]ccn2C)c2ccccc2)n1 ZINC000547824382 334123400 /nfs/dbraw/zinc/12/34/00/334123400.db2.gz AGLCPEPYAGVGFV-CQSZACIVSA-N 1 2 298.415 3.641 20 0 CHADLO C[C@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1nccs1 ZINC000078612464 334137311 /nfs/dbraw/zinc/13/73/11/334137311.db2.gz UFWBOAKXIIRVDK-ZETCQYMHSA-N 1 2 273.283 3.730 20 0 CHADLO Cn1ccnc1C[N@H+](C)C1c2ccccc2-c2ccccc21 ZINC000078721235 334139441 /nfs/dbraw/zinc/13/94/41/334139441.db2.gz LNXQQJKJUSMLKJ-UHFFFAOYSA-N 1 2 289.382 3.622 20 0 CHADLO Cn1ccnc1C[N@@H+](C)C1c2ccccc2-c2ccccc21 ZINC000078721235 334139442 /nfs/dbraw/zinc/13/94/42/334139442.db2.gz LNXQQJKJUSMLKJ-UHFFFAOYSA-N 1 2 289.382 3.622 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3ccc(Cl)cc3)n2c1 ZINC000135846156 334140244 /nfs/dbraw/zinc/14/02/44/334140244.db2.gz QTXAZVQRTCPCCM-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO Cn1c2ccccc2[nH+]c1NCc1ccc(Cl)s1 ZINC000079047626 334146581 /nfs/dbraw/zinc/14/65/81/334146581.db2.gz ZGOMBTDYOLKGPQ-UHFFFAOYSA-N 1 2 277.780 3.900 20 0 CHADLO CC/C=C\CC[N@@H+]1CCO[C@H](c2ccc(F)cc2F)C1 ZINC000548300354 334149556 /nfs/dbraw/zinc/14/95/56/334149556.db2.gz CDBPOWHEKWZZNP-QGZUEGPWSA-N 1 2 281.346 3.694 20 0 CHADLO CC/C=C\CC[N@H+]1CCO[C@H](c2ccc(F)cc2F)C1 ZINC000548300354 334149557 /nfs/dbraw/zinc/14/95/57/334149557.db2.gz CDBPOWHEKWZZNP-QGZUEGPWSA-N 1 2 281.346 3.694 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N(C)c1ccc[nH+]c1N(C)C ZINC000079722169 334161005 /nfs/dbraw/zinc/16/10/05/334161005.db2.gz QJFTXCZHAMTHCO-CQSZACIVSA-N 1 2 291.439 3.717 20 0 CHADLO CC(C)(C)OC1CC(Nc2c[nH+]c3c(c2)CCCC3)C1 ZINC000548504539 334161250 /nfs/dbraw/zinc/16/12/50/334161250.db2.gz QSADWXNAJKVIDC-UHFFFAOYSA-N 1 2 274.408 3.718 20 0 CHADLO Cc1cc(NC(=O)[C@H](c2ccccc2)C(C)C)cc[nH+]1 ZINC000080220922 334167786 /nfs/dbraw/zinc/16/77/86/334167786.db2.gz IYFNNHKFTIFRLA-INIZCTEOSA-N 1 2 268.360 3.768 20 0 CHADLO C[C@H](C(=O)OC(C)(C)C)[N@H+](Cc1cccc(F)c1)C1CC1 ZINC000564358497 334175633 /nfs/dbraw/zinc/17/56/33/334175633.db2.gz VXJTYFHTWCIRFJ-GFCCVEGCSA-N 1 2 293.382 3.520 20 0 CHADLO C[C@H](C(=O)OC(C)(C)C)[N@@H+](Cc1cccc(F)c1)C1CC1 ZINC000564358497 334175634 /nfs/dbraw/zinc/17/56/34/334175634.db2.gz VXJTYFHTWCIRFJ-GFCCVEGCSA-N 1 2 293.382 3.520 20 0 CHADLO FC(F)O[C@H]1CC[N@H+](Cc2ccc(Cl)cc2Cl)C1 ZINC000549416489 334193280 /nfs/dbraw/zinc/19/32/80/334193280.db2.gz WWTCFCZANMYUGY-JTQLQIEISA-N 1 2 296.144 3.807 20 0 CHADLO FC(F)O[C@H]1CC[N@@H+](Cc2ccc(Cl)cc2Cl)C1 ZINC000549416489 334193281 /nfs/dbraw/zinc/19/32/81/334193281.db2.gz WWTCFCZANMYUGY-JTQLQIEISA-N 1 2 296.144 3.807 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(-c3ccoc3)no2)[C@@H]1c1ccccc1 ZINC000081574031 334197570 /nfs/dbraw/zinc/19/75/70/334197570.db2.gz YQMOMHZKXUENJY-LRDDRELGSA-N 1 2 295.342 3.523 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(-c3ccoc3)no2)[C@@H]1c1ccccc1 ZINC000081574031 334197571 /nfs/dbraw/zinc/19/75/71/334197571.db2.gz YQMOMHZKXUENJY-LRDDRELGSA-N 1 2 295.342 3.523 20 0 CHADLO FC[C@H]1CCC[N@@H+]1CCc1cc(Cl)cc(Cl)c1 ZINC000550010293 334211421 /nfs/dbraw/zinc/21/14/21/334211421.db2.gz DLYQYIOVUUHTJC-CYBMUJFWSA-N 1 2 276.182 3.970 20 0 CHADLO FC[C@H]1CCC[N@H+]1CCc1cc(Cl)cc(Cl)c1 ZINC000550010293 334211422 /nfs/dbraw/zinc/21/14/22/334211422.db2.gz DLYQYIOVUUHTJC-CYBMUJFWSA-N 1 2 276.182 3.970 20 0 CHADLO Cc1sccc1C[NH2+][C@@H](CC(C)C)C(=O)OC(C)C ZINC000549756055 334204028 /nfs/dbraw/zinc/20/40/28/334204028.db2.gz XSVLSHZKWFZMFU-AWEZNQCLSA-N 1 2 283.437 3.512 20 0 CHADLO Cc1cc(NC(=O)C/C=C/c2ccc(F)cc2)cc[nH+]1 ZINC000081721890 334205156 /nfs/dbraw/zinc/20/51/56/334205156.db2.gz QBABBUMKVMEPSD-NSCUHMNNSA-N 1 2 270.307 3.571 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cnc(-c2ccccc2)s1 ZINC000549863066 334207237 /nfs/dbraw/zinc/20/72/37/334207237.db2.gz PDBLKBRKWGZQNI-UHFFFAOYSA-N 1 2 268.332 3.555 20 0 CHADLO Cc1ccc(-c2ccc(C[NH2+]CC(C)(F)F)o2)cc1 ZINC000549865901 334207425 /nfs/dbraw/zinc/20/74/25/334207425.db2.gz FRPYYPLDRYGQEC-UHFFFAOYSA-N 1 2 265.303 4.000 20 0 CHADLO CCOC(=O)C(C)(C)[N@H+](C)C/C=C\c1ccc(Cl)cc1 ZINC000550527804 334224083 /nfs/dbraw/zinc/22/40/83/334224083.db2.gz UTTYSBNSAZMXPE-SREVYHEPSA-N 1 2 295.810 3.627 20 0 CHADLO CCOC(=O)C(C)(C)[N@@H+](C)C/C=C\c1ccc(Cl)cc1 ZINC000550527804 334224085 /nfs/dbraw/zinc/22/40/85/334224085.db2.gz UTTYSBNSAZMXPE-SREVYHEPSA-N 1 2 295.810 3.627 20 0 CHADLO CC[C@H]([NH2+]CC(C)(F)F)c1ccc(OC(F)F)cc1 ZINC000550861051 334230145 /nfs/dbraw/zinc/23/01/45/334230145.db2.gz UXVJMQFLICVEQN-NSHDSACASA-N 1 2 279.277 3.984 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@@H]3CC4CCC3CC4)ccc2n1C ZINC000551599457 334255680 /nfs/dbraw/zinc/25/56/80/334255680.db2.gz TXMKONDUTLNZLV-SSDMNJCBSA-N 1 2 297.402 3.647 20 0 CHADLO CC(C)c1ccc(CN(C)C(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000551759903 334259983 /nfs/dbraw/zinc/25/99/83/334259983.db2.gz OEHOYXGPHNEOEF-OAHLLOKOSA-N 1 2 299.418 3.616 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](c3ccccc3)[C@@H](C)C2)no1 ZINC000246650125 334266300 /nfs/dbraw/zinc/26/63/00/334266300.db2.gz IPGXFYRFTGLEFU-GOEBONIOSA-N 1 2 299.418 3.819 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](c3ccccc3)[C@@H](C)C2)no1 ZINC000246650125 334266302 /nfs/dbraw/zinc/26/63/02/334266302.db2.gz IPGXFYRFTGLEFU-GOEBONIOSA-N 1 2 299.418 3.819 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+](C)C[C@H]1CCC[NH+](C)C1 ZINC000246766059 334269243 /nfs/dbraw/zinc/26/92/43/334269243.db2.gz GRATUCOPKOSWEC-SUMWQHHRSA-N 1 2 296.405 3.690 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[NH+](C)C[C@H]1CCC[N@H+](C)C1 ZINC000246766059 334269244 /nfs/dbraw/zinc/26/92/44/334269244.db2.gz GRATUCOPKOSWEC-SUMWQHHRSA-N 1 2 296.405 3.690 20 0 CHADLO CC(C)[C@H]1C[N@@H+]([C@H](C)c2nc(C(C)(C)C)no2)CCS1 ZINC000247541706 334284927 /nfs/dbraw/zinc/28/49/27/334284927.db2.gz PEPNJCLAYAMVHK-VXGBXAGGSA-N 1 2 297.468 3.502 20 0 CHADLO CC(C)[C@H]1C[N@H+]([C@H](C)c2nc(C(C)(C)C)no2)CCS1 ZINC000247541706 334284928 /nfs/dbraw/zinc/28/49/28/334284928.db2.gz PEPNJCLAYAMVHK-VXGBXAGGSA-N 1 2 297.468 3.502 20 0 CHADLO CC[N@H+](CCCNC(=O)CC1CCCC1)c1ccccc1 ZINC000248277914 334297962 /nfs/dbraw/zinc/29/79/62/334297962.db2.gz ZIKSYHHRLLXRTJ-UHFFFAOYSA-N 1 2 288.435 3.600 20 0 CHADLO CC[N@@H+](CCCNC(=O)CC1CCCC1)c1ccccc1 ZINC000248277914 334297964 /nfs/dbraw/zinc/29/79/64/334297964.db2.gz ZIKSYHHRLLXRTJ-UHFFFAOYSA-N 1 2 288.435 3.600 20 0 CHADLO Cn1c2ccc(NC(=O)C3CCC3)cc2[nH+]c1C(C)(C)C ZINC000089870873 334352709 /nfs/dbraw/zinc/35/27/09/334352709.db2.gz WSCOFGRVLCLTSP-UHFFFAOYSA-N 1 2 285.391 3.609 20 0 CHADLO Clc1cccc2c(NCCc3cscn3)cc[nH+]c12 ZINC000553098704 334361228 /nfs/dbraw/zinc/36/12/28/334361228.db2.gz RQZSOTQSHRGTNQ-UHFFFAOYSA-N 1 2 289.791 3.999 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1cc(C(F)(F)F)cc[nH+]1)CO2 ZINC000553480669 334387441 /nfs/dbraw/zinc/38/74/41/334387441.db2.gz BPXHNVLYNVDYQO-GFCCVEGCSA-N 1 2 294.276 3.954 20 0 CHADLO Clc1cccc2c(N[C@H]3CCCOCC3)cc[nH+]c12 ZINC000553516979 334389752 /nfs/dbraw/zinc/38/97/52/334389752.db2.gz HQSZSZDTZMTYSW-NSHDSACASA-N 1 2 276.767 3.869 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CC[C@@H](COC(F)F)C1 ZINC000553650749 334394598 /nfs/dbraw/zinc/39/45/98/334394598.db2.gz AVXAVEGORHCLNM-GFCCVEGCSA-N 1 2 292.329 3.609 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cn1)c1c(F)cccc1F ZINC000553777513 334398426 /nfs/dbraw/zinc/39/84/26/334398426.db2.gz YRNCNLVYJOPOIO-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO CCCCc1[nH+]c2ccccc2n1C[C@@H](O)C(C)(C)C ZINC000554786748 334452362 /nfs/dbraw/zinc/45/23/62/334452362.db2.gz XQFUSRONFLZPBJ-OAHLLOKOSA-N 1 2 274.408 3.786 20 0 CHADLO COc1cc(F)ccc1N[C@H](C)c1cn2ccccc2[nH+]1 ZINC000556264493 334505169 /nfs/dbraw/zinc/50/51/69/334505169.db2.gz QCKFUYLBIUVBEA-LLVKDONJSA-N 1 2 285.322 3.655 20 0 CHADLO Cc1nc(C)c(CSCCc2cccc(C)[nH+]2)s1 ZINC000564980503 334531778 /nfs/dbraw/zinc/53/17/78/334531778.db2.gz GQYXUUNCUHGGJG-UHFFFAOYSA-N 1 2 278.446 3.939 20 0 CHADLO Cc1cc(CNC(=O)C[C@@H]2CCCC[C@@H]2C)cc(C)[nH+]1 ZINC000566080343 334620999 /nfs/dbraw/zinc/62/09/99/334620999.db2.gz DKIUQFNKYDSVFH-LRDDRELGSA-N 1 2 274.408 3.531 20 0 CHADLO CCC[C@@](C)(CC)c1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000566643454 334658946 /nfs/dbraw/zinc/65/89/46/334658946.db2.gz IGQJUOGIKLIAMC-QGZVFWFLSA-N 1 2 298.390 3.776 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CC[C@@H](c2ccc(Cl)cc2)C1 ZINC000566688520 334660519 /nfs/dbraw/zinc/66/05/19/334660519.db2.gz BYEPXGDNRRJJSW-CQSZACIVSA-N 1 2 287.794 3.741 20 0 CHADLO CC(C)=CCC[C@@H](C)CC(=O)NCc1cn2ccccc2[nH+]1 ZINC000567274173 334700078 /nfs/dbraw/zinc/70/00/78/334700078.db2.gz NDFXVEFQCDEQBH-OAHLLOKOSA-N 1 2 299.418 3.723 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1-n1cc[nH+]c1)c1cccs1 ZINC000155840870 334730643 /nfs/dbraw/zinc/73/06/43/334730643.db2.gz VCCVIPCIJLQDKK-GFCCVEGCSA-N 1 2 297.383 3.676 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)s1 ZINC000273021901 334759757 /nfs/dbraw/zinc/75/97/57/334759757.db2.gz BZSKHQYRDRLOQD-QMMMGPOBSA-N 1 2 299.802 3.744 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C(C)(C)C(C)(C)C)n1 ZINC000567845507 334767542 /nfs/dbraw/zinc/76/75/42/334767542.db2.gz HAKJPFZBYROIJJ-UHFFFAOYSA-N 1 2 287.407 3.882 20 0 CHADLO CCc1c2ccccc2oc1[C@@H](C)[NH2+][C@@H](C)c1ncn(C)n1 ZINC000275692633 334793598 /nfs/dbraw/zinc/79/35/98/334793598.db2.gz JQFWJQOBVFXMFQ-NEPJUHHUSA-N 1 2 298.390 3.536 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)c(Cl)c1)c1ncn(C)n1 ZINC000275749692 334794361 /nfs/dbraw/zinc/79/43/61/334794361.db2.gz QQWCRUFEEZNTPG-IUCAKERBSA-N 1 2 299.205 3.534 20 0 CHADLO CCc1c2cc(C)ccc2oc1[C@H](C)[NH2+]Cc1ncccn1 ZINC000568883568 334845217 /nfs/dbraw/zinc/84/52/17/334845217.db2.gz MMKYJVHVCRYISI-ZDUSSCGKSA-N 1 2 295.386 3.944 20 0 CHADLO COC(=O)CCC[C@@H]1CCC[C@@H](Nc2ccc(C)[nH+]c2)C1 ZINC000572861911 334885483 /nfs/dbraw/zinc/88/54/83/334885483.db2.gz GEXMECLLGPEPBK-LSDHHAIUSA-N 1 2 290.407 3.704 20 0 CHADLO Cc1[nH+]cccc1Cc1nc([C@H]2CCCC[C@@H]2C)no1 ZINC000576312298 335188371 /nfs/dbraw/zinc/18/83/71/335188371.db2.gz SINKKQNVDJLSJO-FZMZJTMJSA-N 1 2 271.364 3.658 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(C(C)(C)C)cs2)CCC1(F)F ZINC000576434761 335203073 /nfs/dbraw/zinc/20/30/73/335203073.db2.gz MSQMFQLFDJWGOQ-JTQLQIEISA-N 1 2 288.407 3.918 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(C(C)(C)C)cs2)CCC1(F)F ZINC000576434761 335203075 /nfs/dbraw/zinc/20/30/75/335203075.db2.gz MSQMFQLFDJWGOQ-JTQLQIEISA-N 1 2 288.407 3.918 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CC3CCC2CC3)c(C)[nH+]1 ZINC000576592985 335223777 /nfs/dbraw/zinc/22/37/77/335223777.db2.gz BKNBKMHJLWWLAS-YMAMQOFZSA-N 1 2 287.407 3.707 20 0 CHADLO Cc1nnsc1C[N@@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000576759223 335244621 /nfs/dbraw/zinc/24/46/21/335244621.db2.gz VINFTLNPSUITNM-ZDUSSCGKSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1nnsc1C[N@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000576759223 335244622 /nfs/dbraw/zinc/24/46/22/335244622.db2.gz VINFTLNPSUITNM-ZDUSSCGKSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CC[C@H](CSc2ccccc2)C1 ZINC000580659355 335315062 /nfs/dbraw/zinc/31/50/62/335315062.db2.gz OZMQLGKMIXVOBP-HNNXBMFYSA-N 1 2 299.443 3.712 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@@H](C(C)(C)C)C3)ccn12 ZINC000577504548 335361089 /nfs/dbraw/zinc/36/10/89/335361089.db2.gz IZGOGZWYDQNDFW-OAHLLOKOSA-N 1 2 299.418 3.541 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)CCc1ccccc1)C(=O)OC(C)(C)C ZINC000578385763 335624605 /nfs/dbraw/zinc/62/46/05/335624605.db2.gz GERHUEFXQCNENA-GDBMZVCRSA-N 1 2 291.435 3.718 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C(C)(C)C(C)(C)C)ccc2n1C ZINC000578611046 335653551 /nfs/dbraw/zinc/65/35/51/335653551.db2.gz JZBOUQIACPHXLH-UHFFFAOYSA-N 1 2 287.407 3.893 20 0 CHADLO CC(C)CC1(C)CCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000578899553 335687366 /nfs/dbraw/zinc/68/73/66/335687366.db2.gz WXKWXGCXUCSGGJ-HNNXBMFYSA-N 1 2 291.439 3.509 20 0 CHADLO CCCS(=O)(=O)Nc1ccc([NH2+][C@@H](C)[C@H](C)CC)cc1 ZINC000181147938 335752255 /nfs/dbraw/zinc/75/22/55/335752255.db2.gz YMABJMNMADTUDK-OLZOCXBDSA-N 1 2 298.452 3.685 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(-c2ccc(F)cc2)o1)c1cccnc1 ZINC000181361948 335759352 /nfs/dbraw/zinc/75/93/52/335759352.db2.gz GZFBSZYJNATJAJ-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CCCn1cc(CNc2[nH+]c3ccccc3n2CCC)cn1 ZINC000181479358 335763384 /nfs/dbraw/zinc/76/33/84/335763384.db2.gz KEJLHQVPZFHQDG-UHFFFAOYSA-N 1 2 297.406 3.665 20 0 CHADLO C[C@@H]([NH2+]Cc1ccncc1Cl)c1cccc(F)c1F ZINC000181987153 335793525 /nfs/dbraw/zinc/79/35/25/335793525.db2.gz GMGDAPXLZHBUQT-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOCC2)cc1C)C(C)C ZINC000182606694 335830090 /nfs/dbraw/zinc/83/00/90/335830090.db2.gz ZYJXMMLBCUNFJN-INIZCTEOSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1COc2ccccc21 ZINC000581331676 336051278 /nfs/dbraw/zinc/05/12/78/336051278.db2.gz CGTKBHBICHPFDD-OAHLLOKOSA-N 1 2 290.366 3.553 20 0 CHADLO Cc1cc(N2CCC[C@@H](F)C2)c2cccc(F)c2[nH+]1 ZINC000581343182 336053101 /nfs/dbraw/zinc/05/31/01/336053101.db2.gz DSRKHNSKBDJJBD-LLVKDONJSA-N 1 2 262.303 3.621 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCCOC(C)(C)C)c2c1 ZINC000195720829 336058903 /nfs/dbraw/zinc/05/89/03/336058903.db2.gz WDIBVMDULRWDJY-UHFFFAOYSA-N 1 2 289.375 3.746 20 0 CHADLO Cc1cc(C[NH2+]CC(F)(F)c2ccccc2)cs1 ZINC000381404315 336061502 /nfs/dbraw/zinc/06/15/02/336061502.db2.gz QKSFRLUZESDXTF-UHFFFAOYSA-N 1 2 267.344 3.938 20 0 CHADLO CC[C@@H](CC(C)C)c1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000581926135 336169593 /nfs/dbraw/zinc/16/95/93/336169593.db2.gz LJIRTMJGLYOPFW-ZDUSSCGKSA-N 1 2 298.390 3.848 20 0 CHADLO CC1=CCC[N@H+](CC(=O)Nc2cccc(Cl)c2Cl)C1 ZINC000280802198 520861437 /nfs/dbraw/zinc/86/14/37/520861437.db2.gz KVXOTJJTFKHUMY-UHFFFAOYSA-N 1 2 299.201 3.584 20 0 CHADLO CC1=CCC[N@@H+](CC(=O)Nc2cccc(Cl)c2Cl)C1 ZINC000280802198 520861444 /nfs/dbraw/zinc/86/14/44/520861444.db2.gz KVXOTJJTFKHUMY-UHFFFAOYSA-N 1 2 299.201 3.584 20 0 CHADLO CCCc1nc(C[N@H+](C)[C@@H](C)c2ccccn2)cs1 ZINC000128001202 521504385 /nfs/dbraw/zinc/50/43/85/521504385.db2.gz PLQZSZJPEXIEKR-LBPRGKRZSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1nc(C[N@@H+](C)[C@@H](C)c2ccccn2)cs1 ZINC000128001202 521504394 /nfs/dbraw/zinc/50/43/94/521504394.db2.gz PLQZSZJPEXIEKR-LBPRGKRZSA-N 1 2 275.421 3.684 20 0 CHADLO C[C@H](CC1CCCCC1)C(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000348018284 533775782 /nfs/dbraw/zinc/77/57/82/533775782.db2.gz ZKKOYWLCXDVBMY-HIFRSBDPSA-N 1 2 289.423 3.680 20 0 CHADLO COc1ccc(Cl)c(NCc2cccc3[nH+]ccn32)c1 ZINC000354281383 533827050 /nfs/dbraw/zinc/82/70/50/533827050.db2.gz JTXDBHPCJOVYKV-UHFFFAOYSA-N 1 2 287.750 3.608 20 0 CHADLO Cc1c[nH]nc1C[N@H+](Cc1ccco1)Cc1ccccc1 ZINC000356091559 533990652 /nfs/dbraw/zinc/99/06/52/533990652.db2.gz SMPHRRRWTDZION-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](Cc1ccco1)Cc1ccccc1 ZINC000356091559 533990657 /nfs/dbraw/zinc/99/06/57/533990657.db2.gz SMPHRRRWTDZION-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Cc1ccc(CSCC[C@@H]2CCCO2)c(C)[nH+]1 ZINC000344872522 534104823 /nfs/dbraw/zinc/10/48/23/534104823.db2.gz LUAKWIFJTAPZQG-AWEZNQCLSA-N 1 2 251.395 3.501 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1cc(C(N)=O)cs1 ZINC000336147635 534259318 /nfs/dbraw/zinc/25/93/18/534259318.db2.gz LUGMVWZMCFRQKE-SECBINFHSA-N 1 2 292.429 3.812 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cc(C(N)=O)cs1 ZINC000336147635 534259320 /nfs/dbraw/zinc/25/93/20/534259320.db2.gz LUGMVWZMCFRQKE-SECBINFHSA-N 1 2 292.429 3.812 20 0 CHADLO Cc1ccc(C(=O)NC2CC(C)C2)cc1Oc1cc[nH+]cc1 ZINC000352281520 534263210 /nfs/dbraw/zinc/26/32/10/534263210.db2.gz ZTEHUCHGKVIKJO-UHFFFAOYSA-N 1 2 296.370 3.711 20 0 CHADLO C[C@@H]1CC[C@]2(CC[N@H+](Cc3ncc(Cl)s3)C2)C1 ZINC000351980570 534265129 /nfs/dbraw/zinc/26/51/29/534265129.db2.gz FQBCNLROPVSOLJ-MFKMUULPSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@@H]1CC[C@]2(CC[N@@H+](Cc3ncc(Cl)s3)C2)C1 ZINC000351980570 534265133 /nfs/dbraw/zinc/26/51/33/534265133.db2.gz FQBCNLROPVSOLJ-MFKMUULPSA-N 1 2 270.829 3.809 20 0 CHADLO CC(C)=CCNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000277064122 519439390 /nfs/dbraw/zinc/43/93/90/519439390.db2.gz RPDLOHPBGZXEGR-UHFFFAOYSA-N 1 2 279.387 3.980 20 0 CHADLO CC(C)N(C)c1ccc([NH2+][C@@H]2CCO[C@H](C)C2)cc1F ZINC000070349504 519633738 /nfs/dbraw/zinc/63/37/38/519633738.db2.gz WEDAJZYCHYCNST-TZMCWYRMSA-N 1 2 280.387 3.650 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccc(F)c(Cl)c2)[nH]1 ZINC000293127860 519864248 /nfs/dbraw/zinc/86/42/48/519864248.db2.gz LBJPMBZBRIHFQP-SECBINFHSA-N 1 2 296.777 3.571 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1cccc(Cl)c1F)CC2 ZINC000351960380 534454895 /nfs/dbraw/zinc/45/48/95/534454895.db2.gz TZXTXTQPTOWFTJ-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1cccc(Cl)c1F)CC2 ZINC000351960380 534454908 /nfs/dbraw/zinc/45/49/08/534454908.db2.gz TZXTXTQPTOWFTJ-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+](C)Cc2cccc(F)c2)n1 ZINC000097120347 519907710 /nfs/dbraw/zinc/90/77/10/519907710.db2.gz LMDZIDUFFHWLRE-LLVKDONJSA-N 1 2 277.343 3.525 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+](C)Cc2cccc(F)c2)n1 ZINC000097120347 519907714 /nfs/dbraw/zinc/90/77/14/519907714.db2.gz LMDZIDUFFHWLRE-LLVKDONJSA-N 1 2 277.343 3.525 20 0 CHADLO CC(C)n1cnnc1C[N@H+](C)Cc1ccc2ccccc2c1 ZINC000292125114 520056448 /nfs/dbraw/zinc/05/64/48/520056448.db2.gz AUCPENJTLUTVFG-UHFFFAOYSA-N 1 2 294.402 3.644 20 0 CHADLO CC(C)n1cnnc1C[N@@H+](C)Cc1ccc2ccccc2c1 ZINC000292125114 520056459 /nfs/dbraw/zinc/05/64/59/520056459.db2.gz AUCPENJTLUTVFG-UHFFFAOYSA-N 1 2 294.402 3.644 20 0 CHADLO C1=CC[C@]2(CC1)CCCN(c1cc[nH+]c(C3CC3)n1)C2 ZINC000114451325 534473253 /nfs/dbraw/zinc/47/32/53/534473253.db2.gz HDMTYPZEQQQZJX-KRWDZBQOSA-N 1 2 269.392 3.681 20 0 CHADLO CCCCC[C@H]([NH2+]Cc1ccn[nH]1)c1ccccc1 ZINC000041015435 520313060 /nfs/dbraw/zinc/31/30/60/520313060.db2.gz VPROVCGMTHRLED-INIZCTEOSA-N 1 2 257.381 3.821 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(O)cc(F)c2)[C@H]1c1cccnc1 ZINC000292726654 520474363 /nfs/dbraw/zinc/47/43/63/520474363.db2.gz FVBBBFYCDMBLND-INIZCTEOSA-N 1 2 286.350 3.509 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(O)cc(F)c2)[C@H]1c1cccnc1 ZINC000292726654 520474370 /nfs/dbraw/zinc/47/43/70/520474370.db2.gz FVBBBFYCDMBLND-INIZCTEOSA-N 1 2 286.350 3.509 20 0 CHADLO Fc1cccc(C[N@@H+]2CCc3cccc(F)c3C2)c1F ZINC000347964189 534518282 /nfs/dbraw/zinc/51/82/82/534518282.db2.gz WUTQFKHRCUZCHG-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1cccc(C[N@H+]2CCc3cccc(F)c3C2)c1F ZINC000347964189 534518289 /nfs/dbraw/zinc/51/82/89/534518289.db2.gz WUTQFKHRCUZCHG-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H]2CC[C@@H](C)C2)[nH+]1 ZINC000336557448 534520287 /nfs/dbraw/zinc/52/02/87/534520287.db2.gz BQDFNPVMVPZWEK-DGCLKSJQSA-N 1 2 283.375 3.760 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2nccs2)c[nH+]1 ZINC000086509037 520920654 /nfs/dbraw/zinc/92/06/54/520920654.db2.gz PIRGWQKOEJJTCV-LLVKDONJSA-N 1 2 276.409 3.557 20 0 CHADLO CCC[C@H](C)C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000280008436 521006953 /nfs/dbraw/zinc/00/69/53/521006953.db2.gz LQNZSTFAAHESCN-LBPRGKRZSA-N 1 2 271.364 3.760 20 0 CHADLO CCOc1ccc(Cl)cc1[C@@H](C)[NH2+]Cc1cc(C)no1 ZINC000125550477 521017144 /nfs/dbraw/zinc/01/71/44/521017144.db2.gz VDHYVDWHUPBPTA-LLVKDONJSA-N 1 2 294.782 3.886 20 0 CHADLO c1n[nH]c([C@H]([NH2+]C2CCC3(CC3)CC2)C2CCCCC2)n1 ZINC000330203820 534534749 /nfs/dbraw/zinc/53/47/49/534534749.db2.gz BCZSJIIBYKFBJQ-OAHLLOKOSA-N 1 2 288.439 3.739 20 0 CHADLO CCCC[C@H](CC)C[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000275914632 521179385 /nfs/dbraw/zinc/17/93/85/521179385.db2.gz YBUVEZDNJIGILM-HOCLYGCPSA-N 1 2 286.423 3.700 20 0 CHADLO CCOC(=O)[C@H](C)[N@H+](C)Cc1ccc(SC(C)C)cc1 ZINC000358028867 521197352 /nfs/dbraw/zinc/19/73/52/521197352.db2.gz DXYOTAGUSKCAKC-ZDUSSCGKSA-N 1 2 295.448 3.571 20 0 CHADLO CCOC(=O)[C@H](C)[N@@H+](C)Cc1ccc(SC(C)C)cc1 ZINC000358028867 521197360 /nfs/dbraw/zinc/19/73/60/521197360.db2.gz DXYOTAGUSKCAKC-ZDUSSCGKSA-N 1 2 295.448 3.571 20 0 CHADLO CCCCc1noc(C[NH2+]C(C)(C)c2ccccc2F)n1 ZINC000292046955 521334674 /nfs/dbraw/zinc/33/46/74/521334674.db2.gz BBIJMNNMSULICU-UHFFFAOYSA-N 1 2 291.370 3.576 20 0 CHADLO CC[N@H+](Cc1cnc(C)s1)Cc1c(F)cccc1F ZINC000101561650 521433354 /nfs/dbraw/zinc/43/33/54/521433354.db2.gz VALVBVLKTBWFNY-UHFFFAOYSA-N 1 2 282.359 3.752 20 0 CHADLO CC[N@@H+](Cc1cnc(C)s1)Cc1c(F)cccc1F ZINC000101561650 521433361 /nfs/dbraw/zinc/43/33/61/521433361.db2.gz VALVBVLKTBWFNY-UHFFFAOYSA-N 1 2 282.359 3.752 20 0 CHADLO CC[N@H+](Cc1nc2ccccc2n1C)[C@H](C)c1cccnc1 ZINC000290488971 521456383 /nfs/dbraw/zinc/45/63/83/521456383.db2.gz ONFLQQFOKKHYIK-CQSZACIVSA-N 1 2 294.402 3.551 20 0 CHADLO CC[N@@H+](Cc1nc2ccccc2n1C)[C@H](C)c1cccnc1 ZINC000290488971 521456394 /nfs/dbraw/zinc/45/63/94/521456394.db2.gz ONFLQQFOKKHYIK-CQSZACIVSA-N 1 2 294.402 3.551 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2ccc([C@H]3C[C@H]3C)o2)o1 ZINC000291824644 521495530 /nfs/dbraw/zinc/49/55/30/521495530.db2.gz ZOGISFUSKHHDRC-RISCZKNCSA-N 1 2 288.391 3.942 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2c(F)cccc2F)o1 ZINC000291738927 521498457 /nfs/dbraw/zinc/49/84/57/521498457.db2.gz OPMZOSSORWORRR-UHFFFAOYSA-N 1 2 280.318 3.504 20 0 CHADLO CCCc1nc(C[NH2+][C@H](c2ccccc2)C(C)C)no1 ZINC000299108058 521517702 /nfs/dbraw/zinc/51/77/02/521517702.db2.gz XPYOFFUYOZIFHS-INIZCTEOSA-N 1 2 273.380 3.509 20 0 CHADLO CCS[C@@H](C)c1noc([C@H](c2ccccc2)[NH+](C)C)n1 ZINC000290171750 521722409 /nfs/dbraw/zinc/72/24/09/521722409.db2.gz UNYUPYIYXXFDIK-AAEUAGOBSA-N 1 2 291.420 3.535 20 0 CHADLO CCc1cccc(NC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000037214330 521770256 /nfs/dbraw/zinc/77/02/56/521770256.db2.gz OARJPQRPBIXYFN-UHFFFAOYSA-N 1 2 281.403 3.725 20 0 CHADLO CC[C@@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000299480626 521924508 /nfs/dbraw/zinc/92/45/08/521924508.db2.gz GXLHDUDUHTXYGG-SNVBAGLBSA-N 1 2 277.755 3.510 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)c2ccccc2F)[nH]1 ZINC000277094934 522052555 /nfs/dbraw/zinc/05/25/55/522052555.db2.gz GQNIHWIOLFFSAD-SDDRHHMPSA-N 1 2 290.386 3.869 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)c2ccsc2)[nH]1 ZINC000277083181 522057179 /nfs/dbraw/zinc/05/71/79/522057179.db2.gz FUVQLPXNTBYHJB-AXFHLTTASA-N 1 2 278.425 3.792 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCCc1ccc(OC)cc1 ZINC000265201108 522078026 /nfs/dbraw/zinc/07/80/26/522078026.db2.gz JVRQLAPNZGOZST-UHFFFAOYSA-N 1 2 298.386 3.614 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C(C)(C)c1cccs1 ZINC000265214252 522079218 /nfs/dbraw/zinc/07/92/18/522079218.db2.gz BPVSIQBNCMQKMJ-UHFFFAOYSA-N 1 2 274.389 3.622 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)Cc1cccc(OC(C)C)c1 ZINC000265235317 522080580 /nfs/dbraw/zinc/08/05/80/522080580.db2.gz QBYSVXRHYZRBBI-UHFFFAOYSA-N 1 2 298.386 3.612 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](C)c2cc(F)ccc2F)o1 ZINC000291965370 522208233 /nfs/dbraw/zinc/20/82/33/522208233.db2.gz SKBQEAWEKOEPEM-SECBINFHSA-N 1 2 280.318 3.674 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2ccccc2)cc(C)[nH+]1 ZINC000339972094 522237267 /nfs/dbraw/zinc/23/72/67/522237267.db2.gz LHTQCKFGUIDJIK-OAHLLOKOSA-N 1 2 267.376 3.689 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@H]3CCCC[C@@]32c2ccccc2)no1 ZINC000163668213 522325646 /nfs/dbraw/zinc/32/56/46/522325646.db2.gz LCUNHOYIEVKIEE-CRAIPNDOSA-N 1 2 297.402 3.533 20 0 CHADLO CCc1nc(C[N@H+]2C[C@H]3CCCC[C@@]32c2ccccc2)no1 ZINC000163668213 522325651 /nfs/dbraw/zinc/32/56/51/522325651.db2.gz LCUNHOYIEVKIEE-CRAIPNDOSA-N 1 2 297.402 3.533 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2cccc(Cl)c2)[nH]1 ZINC000277549088 522440183 /nfs/dbraw/zinc/44/01/83/522440183.db2.gz ZYBRPQBQUAYARA-GHMZBOCLSA-N 1 2 292.814 3.822 20 0 CHADLO CC[C@H]([NH2+]Cc1c(F)ccc(O)c1F)c1nc(C)cs1 ZINC000293518480 522637872 /nfs/dbraw/zinc/63/78/72/522637872.db2.gz CRVZJUVIUSEZNW-NSHDSACASA-N 1 2 298.358 3.676 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C(C)(C)C)on1)c1nc(C)cs1 ZINC000289616926 522639846 /nfs/dbraw/zinc/63/98/46/522639846.db2.gz UTDXTKWSYUVQPT-LBPRGKRZSA-N 1 2 293.436 3.978 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2csc(Cl)c2)s1 ZINC000290034305 522745348 /nfs/dbraw/zinc/74/53/48/522745348.db2.gz DANYBUPCECMLHB-ZETCQYMHSA-N 1 2 287.841 3.666 20 0 CHADLO COc1cc(NCc2ccc(C)[nH+]c2C)c(C)cc1C ZINC000359704578 522746930 /nfs/dbraw/zinc/74/69/30/522746930.db2.gz GOJJNYPNGHUASO-UHFFFAOYSA-N 1 2 270.376 3.936 20 0 CHADLO COC[C@H]([NH2+]Cc1cc(Cl)ccc1F)c1ccco1 ZINC000119445135 522746690 /nfs/dbraw/zinc/74/66/90/522746690.db2.gz MVARVEOAQKKOLU-ZDUSSCGKSA-N 1 2 283.730 3.549 20 0 CHADLO COC[C@H]([NH2+]Cc1ccccc1Cl)c1ccc(C)o1 ZINC000080237821 522752444 /nfs/dbraw/zinc/75/24/44/522752444.db2.gz USCQOOSHMPRIPV-AWEZNQCLSA-N 1 2 279.767 3.719 20 0 CHADLO CCc1noc([C@H](C)[N@H+](C)Cc2ccccc2SC)n1 ZINC000280536356 522910618 /nfs/dbraw/zinc/91/06/18/522910618.db2.gz SRPCHQZNOMKJAJ-NSHDSACASA-N 1 2 291.420 3.547 20 0 CHADLO CCc1noc([C@H](C)[N@@H+](C)Cc2ccccc2SC)n1 ZINC000280536356 522910624 /nfs/dbraw/zinc/91/06/24/522910624.db2.gz SRPCHQZNOMKJAJ-NSHDSACASA-N 1 2 291.420 3.547 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cc(F)ccc1Cl ZINC000293488246 522931331 /nfs/dbraw/zinc/93/13/31/522931331.db2.gz SMASRYJPPTVFLH-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cc(F)ccc1Cl ZINC000293488246 522931340 /nfs/dbraw/zinc/93/13/40/522931340.db2.gz SMASRYJPPTVFLH-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO COC(=O)C[C@H](C)SCc1ccc(C(C)C)[nH+]c1C ZINC000338553519 523329909 /nfs/dbraw/zinc/32/99/09/523329909.db2.gz MFVCSJAWKYGCPM-NSHDSACASA-N 1 2 281.421 3.698 20 0 CHADLO CC[C@](C)([NH2+]Cc1cccc(Cl)n1)c1nccs1 ZINC000072684632 523487638 /nfs/dbraw/zinc/48/76/38/523487638.db2.gz NWZGZCNYKIUWKP-ZDUSSCGKSA-N 1 2 281.812 3.607 20 0 CHADLO C[N@H+](Cc1cscc1Br)Cc1cccnc1 ZINC000290417186 523528943 /nfs/dbraw/zinc/52/89/43/523528943.db2.gz QRGPMWLRBMJTKA-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO C[N@@H+](Cc1cscc1Br)Cc1cccnc1 ZINC000290417186 523528954 /nfs/dbraw/zinc/52/89/54/523528954.db2.gz QRGPMWLRBMJTKA-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO Cc1cccc(CNc2cc(C)[nH+]c(-c3cccnc3)n2)c1 ZINC000301096907 534718001 /nfs/dbraw/zinc/71/80/01/534718001.db2.gz JTPCYUDZFVZADO-UHFFFAOYSA-N 1 2 290.370 3.768 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@H](C)c2cc(C)c(C)o2)cs1 ZINC000281946624 523915422 /nfs/dbraw/zinc/91/54/22/523915422.db2.gz AICKSKVKNUJLID-ZYHUDNBSSA-N 1 2 294.420 3.911 20 0 CHADLO CCc1ccc([C@H]2C[N@H+](CCC(F)(F)F)CCO2)cc1 ZINC000276856796 524055567 /nfs/dbraw/zinc/05/55/67/524055567.db2.gz TVROLSVTBCLRFZ-CQSZACIVSA-N 1 2 287.325 3.575 20 0 CHADLO CCc1ccc([C@H]2C[N@@H+](CCC(F)(F)F)CCO2)cc1 ZINC000276856796 524055570 /nfs/dbraw/zinc/05/55/70/524055570.db2.gz TVROLSVTBCLRFZ-CQSZACIVSA-N 1 2 287.325 3.575 20 0 CHADLO COc1cccc([C@H](C)CC(=O)Nc2cc[nH+]cc2C)c1 ZINC000084600296 524148242 /nfs/dbraw/zinc/14/82/42/524148242.db2.gz MTMLKTUUYZJUCN-GFCCVEGCSA-N 1 2 284.359 3.531 20 0 CHADLO COc1cccc2c(N[C@@H]3CCOC4(CCC4)C3)cc[nH+]c12 ZINC000275855091 524200991 /nfs/dbraw/zinc/20/09/91/524200991.db2.gz SMOIRHUOHCRZGH-CYBMUJFWSA-N 1 2 298.386 3.757 20 0 CHADLO C[C@@H](C1CC1)N(C)C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000340340214 524321098 /nfs/dbraw/zinc/32/10/98/524321098.db2.gz GCIUPEWVGBBBJR-ZDUSSCGKSA-N 1 2 296.370 3.744 20 0 CHADLO Cc1cccc(C[NH2+][C@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000293515394 524440945 /nfs/dbraw/zinc/44/09/45/524440945.db2.gz UAFVYFYNOIGKEY-INIZCTEOSA-N 1 2 284.407 3.524 20 0 CHADLO C[C@H]1C[N@H+](CCC(F)(F)F)C[C@@H](c2ccc(F)cc2)O1 ZINC000266002785 524586397 /nfs/dbraw/zinc/58/63/97/524586397.db2.gz JRMLEHKKMRUNPC-GWCFXTLKSA-N 1 2 291.288 3.540 20 0 CHADLO C[C@H]1C[N@@H+](CCC(F)(F)F)C[C@@H](c2ccc(F)cc2)O1 ZINC000266002785 524586400 /nfs/dbraw/zinc/58/64/00/524586400.db2.gz JRMLEHKKMRUNPC-GWCFXTLKSA-N 1 2 291.288 3.540 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nc(C(F)(F)F)cs2)o1 ZINC000278710331 524595388 /nfs/dbraw/zinc/59/53/88/524595388.db2.gz KIRKXOGJMDKURO-QMMMGPOBSA-N 1 2 290.310 3.914 20 0 CHADLO Cc1ccc(Cc2noc(CCc3c[nH+]cc(C)c3)n2)s1 ZINC000293091280 524698409 /nfs/dbraw/zinc/69/84/09/524698409.db2.gz SFVGOHXSYLQSQK-UHFFFAOYSA-N 1 2 299.399 3.519 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@H](C)c1nccs1 ZINC000080267326 524724679 /nfs/dbraw/zinc/72/46/79/524724679.db2.gz AUZOVMMBMHQMQB-GHMZBOCLSA-N 1 2 262.378 3.564 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@@H](C)c1csc(C)n1 ZINC000036914650 524783596 /nfs/dbraw/zinc/78/35/96/524783596.db2.gz PEXGLGPEQFPAHR-QWRGUYRKSA-N 1 2 276.405 3.872 20 0 CHADLO C[C@H]1C[C@@H]1CNC(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000264772615 524844500 /nfs/dbraw/zinc/84/45/00/524844500.db2.gz KPZJGLNTQTWPQM-QWHCGFSZSA-N 1 2 297.358 3.651 20 0 CHADLO Cc1cccc([C@@H]2CCCN2c2cc[nH+]c(C(C)C)n2)n1 ZINC000150942783 524854250 /nfs/dbraw/zinc/85/42/50/524854250.db2.gz NZEWOUVIKUMJRQ-HNNXBMFYSA-N 1 2 282.391 3.645 20 0 CHADLO Cc1ccc(F)cc1NC1CCN(c2cccc[nH+]2)CC1 ZINC000037212586 524868102 /nfs/dbraw/zinc/86/81/02/524868102.db2.gz RXVBHSORPUHGSS-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@@H]2c2ccncc2)nc(C2CC2)[nH+]1 ZINC000292777702 525052845 /nfs/dbraw/zinc/05/28/45/525052845.db2.gz POCFAVRJOBXJPJ-INIZCTEOSA-N 1 2 294.402 3.645 20 0 CHADLO C[C@@H]1CN(c2[nH]c3ccccc3[nH+]2)C[C@@H](c2ccsc2)O1 ZINC000270570945 525074546 /nfs/dbraw/zinc/07/45/46/525074546.db2.gz MYZIAKYLZNUMFD-ABAIWWIYSA-N 1 2 299.399 3.591 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)c(F)c1 ZINC000117566372 525243311 /nfs/dbraw/zinc/24/33/11/525243311.db2.gz SEOQEMVCCPAJDG-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cc(NC(=O)c2ccc3c(c2)CCCCC3=O)cc[nH+]1 ZINC000289456474 525249787 /nfs/dbraw/zinc/24/97/87/525249787.db2.gz FPNQLORKQIWLMV-UHFFFAOYSA-N 1 2 294.354 3.551 20 0 CHADLO Cc1cc(N[C@@H](C)[C@@H]2CCOC2)c2cccc(F)c2[nH+]1 ZINC000278718534 525292394 /nfs/dbraw/zinc/29/23/94/525292394.db2.gz JYOBQGZQLIGBGB-NWDGAFQWSA-N 1 2 274.339 3.519 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(Br)cc2)nc[nH+]1 ZINC000049526186 525292727 /nfs/dbraw/zinc/29/27/27/525292727.db2.gz SBLNBINAEZUUKQ-JTQLQIEISA-N 1 2 292.180 3.721 20 0 CHADLO Cc1cc(N[C@@H]2C[C@@H]2c2c(F)cccc2Cl)nc[nH+]1 ZINC000156688128 525298976 /nfs/dbraw/zinc/29/89/76/525298976.db2.gz WRBPHZIXROFCFB-JOYOIKCWSA-N 1 2 277.730 3.546 20 0 CHADLO Cc1cc(N[C@H]2CCOC[C@H]2C)c2cccc(F)c2[nH+]1 ZINC000277665540 525304646 /nfs/dbraw/zinc/30/46/46/525304646.db2.gz PHSKMIUFDYAPIO-YGRLFVJLSA-N 1 2 274.339 3.519 20 0 CHADLO Cc1cc(OCc2ncc(C3CC3)o2)c2ccccc2[nH+]1 ZINC000277083859 525375743 /nfs/dbraw/zinc/37/57/43/525375743.db2.gz SLXSJVWSRXYBKL-UHFFFAOYSA-N 1 2 280.327 3.988 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000276612762 525402024 /nfs/dbraw/zinc/40/20/24/525402024.db2.gz MXCNPUUTTQGQBN-PBHICJAKSA-N 1 2 299.418 3.725 20 0 CHADLO C[C@H]([NH2+]Cc1cc2ccccc2o1)c1nc(C(C)(C)C)no1 ZINC000237538442 525433862 /nfs/dbraw/zinc/43/38/62/525433862.db2.gz HQUIDXYBTXOHNA-NSHDSACASA-N 1 2 299.374 3.964 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1C)c1ccc(Cl)cc1Cl ZINC000036804750 525491159 /nfs/dbraw/zinc/49/11/59/525491159.db2.gz IYNSZODZKICYNQ-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO C[C@H]([NH2+]Cc1nccs1)c1ccccc1Cl ZINC000041011640 525492824 /nfs/dbraw/zinc/49/28/24/525492824.db2.gz RUZKLDHMSIDPGK-VIFPVBQESA-N 1 2 252.770 3.647 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)c(F)c1)c1nc(C2CC2)no1 ZINC000079289494 525530808 /nfs/dbraw/zinc/53/08/08/525530808.db2.gz VXNCJHGPIUUBSJ-IUCAKERBSA-N 1 2 293.317 3.637 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1Br)c1nccs1 ZINC000070650449 525538030 /nfs/dbraw/zinc/53/80/30/525538030.db2.gz LAKIMAAVWGMAQI-SECBINFHSA-N 1 2 297.221 3.756 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1Cl)c1nccs1 ZINC000070650467 525538224 /nfs/dbraw/zinc/53/82/24/525538224.db2.gz KJFVPRKUWACUSL-SECBINFHSA-N 1 2 252.770 3.647 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1ccncc1 ZINC000036988653 525655443 /nfs/dbraw/zinc/65/54/43/525655443.db2.gz XMRIKVNQEZCTAU-AWEZNQCLSA-N 1 2 282.391 3.640 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc(Cl)cc(Cl)c1)c1ncnn1C ZINC000276732810 525656678 /nfs/dbraw/zinc/65/66/78/525656678.db2.gz YNYNDQLCWGRUPC-RKDXNWHRSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1Cl)c1ccnn1C ZINC000193012947 525657608 /nfs/dbraw/zinc/65/76/08/525657608.db2.gz KVTZRLSORXHVJV-NXEZZACHSA-N 1 2 281.762 3.624 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nccn1-c1ccccc1)c1nccs1 ZINC000287559616 525664716 /nfs/dbraw/zinc/66/47/16/525664716.db2.gz BDUWZXBILBPECU-CHWSQXEVSA-N 1 2 298.415 3.741 20 0 CHADLO Cc1cc2[nH+]cn(Cc3ccnc(Cl)c3)c2cc1C ZINC000052718046 525685856 /nfs/dbraw/zinc/68/58/56/525685856.db2.gz XMYXTFZLINOFGB-UHFFFAOYSA-N 1 2 271.751 3.750 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1ncc(C(C)C)s1 ZINC000355598715 525739908 /nfs/dbraw/zinc/73/99/08/525739908.db2.gz AZFYZNIEIZXPGA-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1ncc(C(C)C)s1 ZINC000355598715 525739913 /nfs/dbraw/zinc/73/99/13/525739913.db2.gz AZFYZNIEIZXPGA-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](C)c2nc(C3CC3)no2)cc1C ZINC000299404069 525746605 /nfs/dbraw/zinc/74/66/05/525746605.db2.gz MXSPEJKZEBQIBP-CHWSQXEVSA-N 1 2 285.391 3.976 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1ccc(C(F)(F)F)cn1 ZINC000292086005 525795475 /nfs/dbraw/zinc/79/54/75/525795475.db2.gz DVMYFLWUKNRDMY-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1ccc(C(F)(F)F)cn1 ZINC000292086005 525795482 /nfs/dbraw/zinc/79/54/82/525795482.db2.gz DVMYFLWUKNRDMY-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccsc1-c1noc(CCc2c[nH+]cc(C)c2)n1 ZINC000292983369 525813604 /nfs/dbraw/zinc/81/36/04/525813604.db2.gz JQUQZFIGJSDAAB-UHFFFAOYSA-N 1 2 285.372 3.595 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1C[C@H]1C(C)C ZINC000280286585 525832471 /nfs/dbraw/zinc/83/24/71/525832471.db2.gz RXJFXZNGWCEBIY-UONOGXRCSA-N 1 2 283.375 3.616 20 0 CHADLO Cc1ccsc1CN(C)c1cc[nH+]c2ccncc21 ZINC000338643737 525841787 /nfs/dbraw/zinc/84/17/87/525841787.db2.gz NQOCFBVBULMVHE-UHFFFAOYSA-N 1 2 269.373 3.636 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)Cc1ccco1 ZINC000338403950 526027476 /nfs/dbraw/zinc/02/74/76/526027476.db2.gz AZDPBRUYKFQCCX-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)Cc1ccco1 ZINC000338403950 526027491 /nfs/dbraw/zinc/02/74/91/526027491.db2.gz AZDPBRUYKFQCCX-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000289572567 526032749 /nfs/dbraw/zinc/03/27/49/526032749.db2.gz RXZHGOBAKDWXRM-LBPRGKRZSA-N 1 2 298.415 3.692 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000289572567 526032754 /nfs/dbraw/zinc/03/27/54/526032754.db2.gz RXZHGOBAKDWXRM-LBPRGKRZSA-N 1 2 298.415 3.692 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2ncc(C)o2)o1 ZINC000076120288 526038146 /nfs/dbraw/zinc/03/81/46/526038146.db2.gz JDZHAHMKERLKRI-BXUZGUMPSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2ncc(C)o2)o1 ZINC000076120288 526038156 /nfs/dbraw/zinc/03/81/56/526038156.db2.gz JDZHAHMKERLKRI-BXUZGUMPSA-N 1 2 274.364 3.858 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)N(C)Cc1[nH+]ccn1C ZINC000299539810 526052059 /nfs/dbraw/zinc/05/20/59/526052059.db2.gz OWGKGQUAWANFJX-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@H+](C)Cc1ccon1 ZINC000265865382 526065904 /nfs/dbraw/zinc/06/59/04/526065904.db2.gz UEHUMPNAIGDZOP-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@@H+](C)Cc1ccon1 ZINC000265865382 526065913 /nfs/dbraw/zinc/06/59/13/526065913.db2.gz UEHUMPNAIGDZOP-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@@H](C)c2noc(C)n2)cc1 ZINC000289484009 526073970 /nfs/dbraw/zinc/07/39/70/526073970.db2.gz YGYGZJGOYPQZER-UWVGGRQHSA-N 1 2 277.393 3.512 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@@H](C)c1cc(C)on1 ZINC000290819103 526104228 /nfs/dbraw/zinc/10/42/28/526104228.db2.gz WUNJAKZYZCHDMC-JTQLQIEISA-N 1 2 280.368 3.695 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cccc(-c3ccncc3)c2)o1 ZINC000337249848 526126207 /nfs/dbraw/zinc/12/62/07/526126207.db2.gz JOKXTHFWRDGOSI-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1c(Cl)nc2ccccn21 ZINC000131038596 526144221 /nfs/dbraw/zinc/14/42/21/526144221.db2.gz VCPNXOIYNFUHFC-LLVKDONJSA-N 1 2 289.766 3.774 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1c(Cl)nc2ccccn21 ZINC000131038596 526144232 /nfs/dbraw/zinc/14/42/32/526144232.db2.gz VCPNXOIYNFUHFC-LLVKDONJSA-N 1 2 289.766 3.774 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000053428016 526145442 /nfs/dbraw/zinc/14/54/42/526145442.db2.gz SFGUAUDBWLGRQQ-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000053428016 526145449 /nfs/dbraw/zinc/14/54/49/526145449.db2.gz SFGUAUDBWLGRQQ-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1noc(-c2ccccc2)n1 ZINC000053429742 526145826 /nfs/dbraw/zinc/14/58/26/526145826.db2.gz QXWHKYYIXPOTKQ-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1noc(-c2ccccc2)n1 ZINC000053429742 526145840 /nfs/dbraw/zinc/14/58/40/526145840.db2.gz QXWHKYYIXPOTKQ-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cc(-c3ccco3)on2)s1 ZINC000299305338 526158697 /nfs/dbraw/zinc/15/86/97/526158697.db2.gz NCIWMKQBOJDBCH-JTQLQIEISA-N 1 2 289.360 3.550 20 0 CHADLO Cc1cc(Br)cc(N[C@@H]2CCn3cc[nH+]c32)c1 ZINC000294287211 526160915 /nfs/dbraw/zinc/16/09/15/526160915.db2.gz YXIAAWFFJOQPOJ-GFCCVEGCSA-N 1 2 292.180 3.511 20 0 CHADLO C[C@@H](c1nc(CCC2CC2)no1)[N@H+](C)Cc1ccccc1 ZINC000276901013 526171828 /nfs/dbraw/zinc/17/18/28/526171828.db2.gz JACDXFDLOFOMMM-ZDUSSCGKSA-N 1 2 285.391 3.605 20 0 CHADLO C[C@@H](c1nc(CCC2CC2)no1)[N@@H+](C)Cc1ccccc1 ZINC000276901013 526171839 /nfs/dbraw/zinc/17/18/39/526171839.db2.gz JACDXFDLOFOMMM-ZDUSSCGKSA-N 1 2 285.391 3.605 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@@H+]1C[C@@H](C)[C@@H]1C ZINC000292958947 526174374 /nfs/dbraw/zinc/17/43/74/526174374.db2.gz JIZMZOSQDILZKF-VWYCJHECSA-N 1 2 279.334 3.833 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@H+]1C[C@@H](C)[C@@H]1C ZINC000292958947 526174381 /nfs/dbraw/zinc/17/43/81/526174381.db2.gz JIZMZOSQDILZKF-VWYCJHECSA-N 1 2 279.334 3.833 20 0 CHADLO Cc1ccc(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)cc1 ZINC000366542049 526218548 /nfs/dbraw/zinc/21/85/48/526218548.db2.gz IGFWVTMURPFFQX-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO Cc1cnccc1C(=O)OCc1ccc(C(C)C)[nH+]c1C ZINC000292950014 526221716 /nfs/dbraw/zinc/22/17/16/526221716.db2.gz DXZTXALFRPXBFV-UHFFFAOYSA-N 1 2 284.359 3.574 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)NCC(C)(C)C1CCCC1 ZINC000120785765 526238440 /nfs/dbraw/zinc/23/84/40/526238440.db2.gz JFODNUSMPDOAHN-UHFFFAOYSA-N 1 2 275.396 3.728 20 0 CHADLO Cc1ccc(C(=O)OCc2ccc(C(C)C)[nH+]c2C)cn1 ZINC000293289650 526238518 /nfs/dbraw/zinc/23/85/18/526238518.db2.gz DDRNUQMELYHVKQ-UHFFFAOYSA-N 1 2 284.359 3.574 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)NC(C)(C)CC(C)(C)C ZINC000122098987 526239069 /nfs/dbraw/zinc/23/90/69/526239069.db2.gz KDMNBRGAVYEBCS-UHFFFAOYSA-N 1 2 263.385 3.726 20 0 CHADLO Cc1cnccc1SCc1cn2cc(Cl)ccc2[nH+]1 ZINC000338616765 526244330 /nfs/dbraw/zinc/24/43/30/526244330.db2.gz AFCOTHIPSDIBMU-UHFFFAOYSA-N 1 2 289.791 3.983 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccc([C@@H]4C[C@H]4C)o3)cn2c1 ZINC000264365212 526249694 /nfs/dbraw/zinc/24/96/94/526249694.db2.gz JQOBBZNVVNVGSP-CZUORRHYSA-N 1 2 295.386 3.649 20 0 CHADLO Cc1ccc2[nH+]c(CNCc3ccc([C@@H]4C[C@H]4C)o3)cn2c1 ZINC000264365212 526249696 /nfs/dbraw/zinc/24/96/96/526249696.db2.gz JQOBBZNVVNVGSP-CZUORRHYSA-N 1 2 295.386 3.649 20 0 CHADLO FCCCC[NH2+]c1ccc(OCC(F)(F)F)cc1 ZINC000285333698 526282323 /nfs/dbraw/zinc/28/23/23/526282323.db2.gz YWGNEVHABSRYOK-UHFFFAOYSA-N 1 2 265.250 3.789 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1csc(-c2cccs2)n1 ZINC000293193547 526288778 /nfs/dbraw/zinc/28/87/78/526288778.db2.gz WOPKBCUDBADVPK-LLVKDONJSA-N 1 2 282.409 3.806 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1csc(-c2cccs2)n1 ZINC000293193547 526288780 /nfs/dbraw/zinc/28/87/80/526288780.db2.gz WOPKBCUDBADVPK-LLVKDONJSA-N 1 2 282.409 3.806 20 0 CHADLO Cc1nnc(C[N@H+]2CCc3c(cccc3C(C)C)C2)s1 ZINC000276412736 526341130 /nfs/dbraw/zinc/34/11/30/526341130.db2.gz FMFMSICWEKKHLC-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO Cc1nnc(C[N@@H+]2CCc3c(cccc3C(C)C)C2)s1 ZINC000276412736 526341136 /nfs/dbraw/zinc/34/11/36/526341136.db2.gz FMFMSICWEKKHLC-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO O=C(CC1CC1)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000299493385 526346257 /nfs/dbraw/zinc/34/62/57/526346257.db2.gz JSLZXNFZEWJIPO-UHFFFAOYSA-N 1 2 268.316 3.613 20 0 CHADLO Cc1csc(C[NH2+][C@H](C)c2nc3c(s2)CCCC3)n1 ZINC000130230379 526361468 /nfs/dbraw/zinc/36/14/68/526361468.db2.gz MFRXLTGAOPCMBX-SNVBAGLBSA-N 1 2 293.461 3.638 20 0 CHADLO Fc1ccc([C@H]2C[C@H](Nc3cccc[nH+]3)CCO2)cc1 ZINC000273282912 526367746 /nfs/dbraw/zinc/36/77/46/526367746.db2.gz JESUCBLTGOAKOC-HUUCEWRRSA-N 1 2 272.323 3.553 20 0 CHADLO c1cn2c(cccc2CNc2ccc(C3CCC3)c[nH+]2)n1 ZINC000292882142 526536072 /nfs/dbraw/zinc/53/60/72/526536072.db2.gz CBRFTOLMUWSZCU-UHFFFAOYSA-N 1 2 278.359 3.609 20 0 CHADLO Cc1noc(C[NH2+][C@@H](c2ccc(Cl)cc2)C2CCC2)n1 ZINC000271667527 526621153 /nfs/dbraw/zinc/62/11/53/526621153.db2.gz FUHXFDKCVBWCOY-OAHLLOKOSA-N 1 2 291.782 3.662 20 0 CHADLO Cc1nc(N2CCC[C@@H]2c2cccs2)c(C)c(C)[nH+]1 ZINC000364889207 526705877 /nfs/dbraw/zinc/70/58/77/526705877.db2.gz SRBONWRIVUCKHI-CYBMUJFWSA-N 1 2 273.405 3.805 20 0 CHADLO Cc1nc(C2([NH2+]Cc3cc(C)c(C)cc3C)CCCC2)no1 ZINC000101596805 526768206 /nfs/dbraw/zinc/76/82/06/526768206.db2.gz CMLZGELHSWWUMH-UHFFFAOYSA-N 1 2 299.418 3.862 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H]2CCCc3nc(C)sc32)cs1 ZINC000076729836 526845118 /nfs/dbraw/zinc/84/51/18/526845118.db2.gz INWPKOKOXPCEDY-ZDUSSCGKSA-N 1 2 293.461 3.726 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H]2CCCc3nc(C)sc32)cs1 ZINC000076729836 526845125 /nfs/dbraw/zinc/84/51/25/526845125.db2.gz INWPKOKOXPCEDY-ZDUSSCGKSA-N 1 2 293.461 3.726 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccs2)C(C)C)oc1C ZINC000299153242 526849023 /nfs/dbraw/zinc/84/90/23/526849023.db2.gz GQSUKWGQBHSKMH-UHFFFAOYSA-N 1 2 264.394 3.764 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccs2)C(C)C)oc1C ZINC000299153242 526849033 /nfs/dbraw/zinc/84/90/33/526849033.db2.gz GQSUKWGQBHSKMH-UHFFFAOYSA-N 1 2 264.394 3.764 20 0 CHADLO Cc1nc(C[N@@H+]([C@H](C)c2ccco2)C2CC2)cs1 ZINC000086233867 526850020 /nfs/dbraw/zinc/85/00/20/526850020.db2.gz MFHXCUPVLKARRF-SNVBAGLBSA-N 1 2 262.378 3.770 20 0 CHADLO Cc1nc(C[N@H+]([C@H](C)c2ccco2)C2CC2)cs1 ZINC000086233867 526850026 /nfs/dbraw/zinc/85/00/26/526850026.db2.gz MFHXCUPVLKARRF-SNVBAGLBSA-N 1 2 262.378 3.770 20 0 CHADLO Clc1cccc(Cl)c1[C@@H](NCc1[nH]cc[nH+]1)C1CC1 ZINC000289707223 526979744 /nfs/dbraw/zinc/97/97/44/526979744.db2.gz IVFSOOWMPQPEDV-AWEZNQCLSA-N 1 2 296.201 3.957 20 0 CHADLO Clc1cccc(Cl)c1[C@@H]([NH2+]Cc1ncc[nH]1)C1CC1 ZINC000289707223 526979749 /nfs/dbraw/zinc/97/97/49/526979749.db2.gz IVFSOOWMPQPEDV-AWEZNQCLSA-N 1 2 296.201 3.957 20 0 CHADLO Clc1cccc([C@H]2CCC[N@@H+]2Cc2ccon2)c1 ZINC000157598159 526982868 /nfs/dbraw/zinc/98/28/68/526982868.db2.gz QIRVLXVOCUJIMG-CQSZACIVSA-N 1 2 262.740 3.665 20 0 CHADLO Clc1cccc([C@H]2CCC[N@H+]2Cc2ccon2)c1 ZINC000157598159 526982870 /nfs/dbraw/zinc/98/28/70/526982870.db2.gz QIRVLXVOCUJIMG-CQSZACIVSA-N 1 2 262.740 3.665 20 0 CHADLO Cc1nc2c(s1)[C@@H]([N@H+](C)Cc1nc(C)c(C)o1)CCC2 ZINC000111311675 527106246 /nfs/dbraw/zinc/10/62/46/527106246.db2.gz YGVFPOHTKHPWTB-ZDUSSCGKSA-N 1 2 291.420 3.566 20 0 CHADLO Cc1nc2c(s1)[C@@H]([N@@H+](C)Cc1nc(C)c(C)o1)CCC2 ZINC000111311675 527106256 /nfs/dbraw/zinc/10/62/56/527106256.db2.gz YGVFPOHTKHPWTB-ZDUSSCGKSA-N 1 2 291.420 3.566 20 0 CHADLO Cc1[nH]c(C(=O)N[C@@H](CC(C)C)c2ccccc2C)c[nH+]1 ZINC000339234161 527238544 /nfs/dbraw/zinc/23/85/44/527238544.db2.gz HXDXGNYRJPXJEN-HNNXBMFYSA-N 1 2 285.391 3.544 20 0 CHADLO Cc1nc(NC[C@@H](c2ccccc2)C(F)(F)F)cc[nH+]1 ZINC000275025412 527350623 /nfs/dbraw/zinc/35/06/23/527350623.db2.gz UVIPDODBFMZLEG-LBPRGKRZSA-N 1 2 281.281 3.543 20 0 CHADLO Cc1nc(NCc2cccc(COC(C)(C)C)c2)cc[nH+]1 ZINC000266322580 527350719 /nfs/dbraw/zinc/35/07/19/527350719.db2.gz FVYPEVVGUAHWOR-UHFFFAOYSA-N 1 2 285.391 3.712 20 0 CHADLO Cc1[nH+]cccc1N[C@H]1CCC[C@H](c2cccnc2)C1 ZINC000337484768 527367309 /nfs/dbraw/zinc/36/73/09/527367309.db2.gz RYWFDLZNMLMEQF-HOCLYGCPSA-N 1 2 267.376 3.923 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@H]3CCSC3)cc2)c1C ZINC000291287104 527459492 /nfs/dbraw/zinc/45/94/92/527459492.db2.gz PEIQPZRPHFUNFA-CQSZACIVSA-N 1 2 287.432 3.654 20 0 CHADLO OCCc1ccc(N[C@H](c2[nH]cc[nH+]2)C2CCCCC2)cc1 ZINC000285911479 527574880 /nfs/dbraw/zinc/57/48/80/527574880.db2.gz BVWJTLLTWBSAEI-KRWDZBQOSA-N 1 2 299.418 3.678 20 0 CHADLO CC(C)(C)C1CC(C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)C1 ZINC000334752354 527623818 /nfs/dbraw/zinc/62/38/18/527623818.db2.gz UCZHRZNOLNDECK-RUXDESIVSA-N 1 2 289.423 3.536 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+]1CCC[C@H]1c1cc[nH]n1 ZINC000278499853 527686816 /nfs/dbraw/zinc/68/68/16/527686816.db2.gz ACJBYFMDERHCPH-AWEZNQCLSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+]1CCC[C@H]1c1cc[nH]n1 ZINC000278499853 527686819 /nfs/dbraw/zinc/68/68/19/527686819.db2.gz ACJBYFMDERHCPH-AWEZNQCLSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCCC3(CCC3)C2)n1 ZINC000366338310 527689328 /nfs/dbraw/zinc/68/93/28/527689328.db2.gz CQAJIYHVJOHLME-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCCC3(CCC3)C2)n1 ZINC000366338310 527689329 /nfs/dbraw/zinc/68/93/29/527689329.db2.gz CQAJIYHVJOHLME-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO FC(F)C[N@H+](CCC1CCOCC1)Cc1ccccc1 ZINC000292570581 527692487 /nfs/dbraw/zinc/69/24/87/527692487.db2.gz ITFUJBWDENXHDR-UHFFFAOYSA-N 1 2 283.362 3.570 20 0 CHADLO FC(F)C[N@@H+](CCC1CCOCC1)Cc1ccccc1 ZINC000292570581 527692490 /nfs/dbraw/zinc/69/24/90/527692490.db2.gz ITFUJBWDENXHDR-UHFFFAOYSA-N 1 2 283.362 3.570 20 0 CHADLO FC(F)C1([NH2+]Cc2csc3ccccc23)CC1 ZINC000337293143 527692770 /nfs/dbraw/zinc/69/27/70/527692770.db2.gz NBQZAHRDSCBLTF-UHFFFAOYSA-N 1 2 253.317 3.789 20 0 CHADLO CC(C)[C@H](O)C(C)(C)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354874011 527713629 /nfs/dbraw/zinc/71/36/29/527713629.db2.gz GFWPIZCLSPVBFY-HNNXBMFYSA-N 1 2 290.382 3.829 20 0 CHADLO CC1(C)C[C@@H]1NC(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000351908256 527717898 /nfs/dbraw/zinc/71/78/98/527717898.db2.gz KZBDXAVBDXIEDE-HNNXBMFYSA-N 1 2 297.358 3.794 20 0 CHADLO CCC1(CNc2[nH+]ccc3ccc(F)cc32)CCOCC1 ZINC000354534245 527755792 /nfs/dbraw/zinc/75/57/92/527755792.db2.gz BFPGYCUQFWWUEX-UHFFFAOYSA-N 1 2 288.366 3.993 20 0 CHADLO CC(C)CCc1nc(COc2cc[nH+]cc2)cs1 ZINC000356088288 527870507 /nfs/dbraw/zinc/87/05/07/527870507.db2.gz DWSFJTCZNRRARI-UHFFFAOYSA-N 1 2 262.378 3.706 20 0 CHADLO CCCC(CCC)c1nc([C@H]2C[N@@H+](C(C)C)CCO2)no1 ZINC000354814499 528002822 /nfs/dbraw/zinc/00/28/22/528002822.db2.gz ZBDFDHBFDAYNKX-CQSZACIVSA-N 1 2 295.427 3.535 20 0 CHADLO CCCC(CCC)c1nc([C@H]2C[N@H+](C(C)C)CCO2)no1 ZINC000354814499 528002828 /nfs/dbraw/zinc/00/28/28/528002828.db2.gz ZBDFDHBFDAYNKX-CQSZACIVSA-N 1 2 295.427 3.535 20 0 CHADLO CC(C)n1cc(C[N@H+]([C@H](C)c2ccccc2)C2CC2)nn1 ZINC000347643695 528561312 /nfs/dbraw/zinc/56/13/12/528561312.db2.gz BEMKWTWIIZZFAB-CQSZACIVSA-N 1 2 284.407 3.585 20 0 CHADLO CC(C)n1cc(C[N@@H+]([C@H](C)c2ccccc2)C2CC2)nn1 ZINC000347643695 528561317 /nfs/dbraw/zinc/56/13/17/528561317.db2.gz BEMKWTWIIZZFAB-CQSZACIVSA-N 1 2 284.407 3.585 20 0 CHADLO CCCc1nc(C)c(NCc2cccc3[nH+]ccn32)s1 ZINC000354928516 528742473 /nfs/dbraw/zinc/74/24/73/528742473.db2.gz KBJDSAZYJCQPDD-UHFFFAOYSA-N 1 2 286.404 3.664 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C2CC2)cs1)c1nccs1 ZINC000347919411 529190168 /nfs/dbraw/zinc/19/01/68/529190168.db2.gz NQGNBYIBZOVJED-SNVBAGLBSA-N 1 2 279.434 3.718 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)C2CCC2)c1 ZINC000347208255 529201968 /nfs/dbraw/zinc/20/19/68/529201968.db2.gz USSLFRSEOSJYRB-NSHDSACASA-N 1 2 250.411 3.935 20 0 CHADLO CC[C@H]1CCCN(C(=O)c2ccc3[nH+]c(C)n(C)c3c2)CC1 ZINC000356113189 529215835 /nfs/dbraw/zinc/21/58/35/529215835.db2.gz DYKPXCDDUFJBCK-AWEZNQCLSA-N 1 2 299.418 3.534 20 0 CHADLO CC[C@H]1CC[C@@H](C)N1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334717652 529248789 /nfs/dbraw/zinc/24/87/89/529248789.db2.gz NOSAKOVWOHHIHM-OCCSQVGLSA-N 1 2 275.396 3.802 20 0 CHADLO CCSc1cccc(C[N@@H+]2CCC=C(F)C2)c1 ZINC000351982301 529250214 /nfs/dbraw/zinc/25/02/14/529250214.db2.gz NWUQAIPIKVEGBP-UHFFFAOYSA-N 1 2 251.370 3.858 20 0 CHADLO CCSc1cccc(C[N@H+]2CCC=C(F)C2)c1 ZINC000351982301 529250216 /nfs/dbraw/zinc/25/02/16/529250216.db2.gz NWUQAIPIKVEGBP-UHFFFAOYSA-N 1 2 251.370 3.858 20 0 CHADLO CC[C@@H]1CCC[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000353869178 529304540 /nfs/dbraw/zinc/30/45/40/529304540.db2.gz HLKGXBZGKBYALL-GDBMZVCRSA-N 1 2 297.402 3.571 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC=C(c3ccccc3)C2)no1 ZINC000341979577 535098862 /nfs/dbraw/zinc/09/88/62/535098862.db2.gz BMAGBWRPXPHLRL-UHFFFAOYSA-N 1 2 297.402 3.702 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC=C(c3ccccc3)C2)no1 ZINC000341979577 535098867 /nfs/dbraw/zinc/09/88/67/535098867.db2.gz BMAGBWRPXPHLRL-UHFFFAOYSA-N 1 2 297.402 3.702 20 0 CHADLO CCn1ccnc1C[N@H+](CC)Cc1ccc(Cl)cc1 ZINC000341823855 535393443 /nfs/dbraw/zinc/39/34/43/535393443.db2.gz APKWIZRYDGJRGW-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CCn1ccnc1C[N@@H+](CC)Cc1ccc(Cl)cc1 ZINC000341823855 535393446 /nfs/dbraw/zinc/39/34/46/535393446.db2.gz APKWIZRYDGJRGW-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO COc1cc[nH+]cc1CSCc1ccccc1F ZINC000341940627 537120386 /nfs/dbraw/zinc/12/03/86/537120386.db2.gz LBRHXIOAUYASRS-UHFFFAOYSA-N 1 2 263.337 3.663 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1cccc(Cl)c1F ZINC001137963413 1131442240 /nfs/dbraw/zinc/44/22/40/1131442240.db2.gz IDGOYRMYKWCHDV-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1cccc(Cl)c1F ZINC001137963413 1131442242 /nfs/dbraw/zinc/44/22/42/1131442242.db2.gz IDGOYRMYKWCHDV-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO FC(F)(F)c1nn(C/C(Cl)=C/Cl)c2cc[nH+]cc21 ZINC000092917838 1118068371 /nfs/dbraw/zinc/06/83/71/1118068371.db2.gz ZSDURZSGDLWVJL-UTCJRWHESA-N 1 2 296.079 3.769 20 0 CHADLO Cc1cc(C)c(Nc2cc(N)cc(Cl)c2C)c[nH+]1 ZINC001213518332 1118516764 /nfs/dbraw/zinc/51/67/64/1118516764.db2.gz IKHTXABKJQUQCS-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000112523222 1125486264 /nfs/dbraw/zinc/48/62/64/1125486264.db2.gz VEULAWRDJRLQPN-IUCAKERBSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc3ccccc3c2)o1 ZINC000112516015 1125486491 /nfs/dbraw/zinc/48/64/91/1125486491.db2.gz OSAJXUYZHAVVEE-RYUDHWBXSA-N 1 2 281.359 3.943 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000112516301 1125486570 /nfs/dbraw/zinc/48/65/70/1125486570.db2.gz GCTSDEONDGQDMX-IUCAKERBSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000112516303 1125486684 /nfs/dbraw/zinc/48/66/84/1125486684.db2.gz GCTSDEONDGQDMX-RKDXNWHRSA-N 1 2 299.296 3.809 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@@H]2CCC(F)(F)C2)c1 ZINC000343065440 1119296461 /nfs/dbraw/zinc/29/64/61/1119296461.db2.gz LGRIQRDJJBRCFT-MRVPVSSYSA-N 1 2 280.240 3.948 20 0 CHADLO CSC1CC[NH+](Cc2c(F)ccc(F)c2Cl)CC1 ZINC001138004053 1131448953 /nfs/dbraw/zinc/44/89/53/1131448953.db2.gz UVTFRWIWEYAOMV-UHFFFAOYSA-N 1 2 291.794 3.946 20 0 CHADLO COc1ncc(Nc2cc(C)cc[nH+]2)cc1C(F)(F)F ZINC001212798864 1125495122 /nfs/dbraw/zinc/49/51/22/1125495122.db2.gz XEXRTSXCNIBCNX-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO CC(C)c1cc(NC[C@@H](C)C[C@@H](C)O)nc(C(C)C)[nH+]1 ZINC000090094615 1119545844 /nfs/dbraw/zinc/54/58/44/1119545844.db2.gz BAHWTPHZMDOICQ-QWHCGFSZSA-N 1 2 279.428 3.542 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2occc2C)c(Cl)c1 ZINC000429359500 1125496751 /nfs/dbraw/zinc/49/67/51/1125496751.db2.gz DDTRZAJJSVIXIT-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2occc2C)c(Cl)c1 ZINC000429359500 1125496754 /nfs/dbraw/zinc/49/67/54/1125496754.db2.gz DDTRZAJJSVIXIT-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(F)ccc(F)c2Cl)[C@H](C)C1 ZINC001138005517 1131449927 /nfs/dbraw/zinc/44/99/27/1131449927.db2.gz HPGRHWZXYKXKQD-ZJUUUORDSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(F)ccc(F)c2Cl)[C@H](C)C1 ZINC001138005517 1131449931 /nfs/dbraw/zinc/44/99/31/1131449931.db2.gz HPGRHWZXYKXKQD-ZJUUUORDSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1ccccc1C[NH2+]Cc1csc(C(F)(F)F)n1 ZINC000892414089 1119650668 /nfs/dbraw/zinc/65/06/68/1119650668.db2.gz WFGNRBCBPDXTMW-UHFFFAOYSA-N 1 2 286.322 3.760 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)ccc(F)c2Cl)C[C@H](C)O1 ZINC000628342307 1119858853 /nfs/dbraw/zinc/85/88/53/1119858853.db2.gz FOUMDEPJURRSOW-ZJUUUORDSA-N 1 2 289.753 3.618 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)ccc(F)c2Cl)C[C@H](C)O1 ZINC000628342307 1119858857 /nfs/dbraw/zinc/85/88/57/1119858857.db2.gz FOUMDEPJURRSOW-ZJUUUORDSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2cc(C)cnc2Cl)n1 ZINC000921750308 1120196522 /nfs/dbraw/zinc/19/65/22/1120196522.db2.gz NWGLDFLMUVAQIR-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO CSc1ccc(C[NH2+]C2(c3nc(C)cs3)CCC2)o1 ZINC000641712314 1120391174 /nfs/dbraw/zinc/39/11/74/1120391174.db2.gz FHUZBKZFOKVYOL-UHFFFAOYSA-N 1 2 294.445 3.935 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]C(C)(C)/C=C/Cl)n1 ZINC000922644967 1120570895 /nfs/dbraw/zinc/57/08/95/1120570895.db2.gz MWNYTGFKNXYKNI-VOTSOKGWSA-N 1 2 256.777 3.593 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(Br)nc2C)c(C)c1 ZINC001212563300 1121313557 /nfs/dbraw/zinc/31/35/57/1121313557.db2.gz ONVBRUPIBRBIBJ-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCCC[C@@H](C)N(CCCC)C(=O)CCc1c[nH]c[nH+]1 ZINC000294068349 1121350086 /nfs/dbraw/zinc/35/00/86/1121350086.db2.gz MOXHEJXFXUBBPN-CQSZACIVSA-N 1 2 279.428 3.550 20 0 CHADLO CCCC[C@@H](C)N(CCCC)C(=O)CCc1c[nH+]c[nH]1 ZINC000294068349 1121350096 /nfs/dbraw/zinc/35/00/96/1121350096.db2.gz MOXHEJXFXUBBPN-CQSZACIVSA-N 1 2 279.428 3.550 20 0 CHADLO CCc1cccc(C[NH2+]C2(C(F)F)CCCC2)c1 ZINC001178840646 1121821924 /nfs/dbraw/zinc/82/19/24/1121821924.db2.gz WDXYVXRXRMEMRY-UHFFFAOYSA-N 1 2 253.336 3.917 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CC[C@@]3(CC3(F)F)C2)c1Cl ZINC000628326846 1121917811 /nfs/dbraw/zinc/91/78/11/1121917811.db2.gz XUVHQSIUJAOISF-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Cc1csc(C2([NH2+][C@H](C)c3cncs3)CCC2)n1 ZINC000272015370 1122187476 /nfs/dbraw/zinc/18/74/76/1122187476.db2.gz WTAXPQGUESXCLJ-SNVBAGLBSA-N 1 2 279.434 3.638 20 0 CHADLO C[C@H]1CCOCC[N@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000775569426 1122673335 /nfs/dbraw/zinc/67/33/35/1122673335.db2.gz VTYVDINVGDNKRO-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO CC(C)[C@@H](C)[N@H+](CC[C@H]1CCOC1)c1ccccc1 ZINC001197719705 1122967367 /nfs/dbraw/zinc/96/73/67/1122967367.db2.gz LGICLYFIRWCAFJ-CVEARBPZSA-N 1 2 261.409 3.964 20 0 CHADLO CC(C)[C@@H](C)[N@@H+](CC[C@H]1CCOC1)c1ccccc1 ZINC001197719705 1122967373 /nfs/dbraw/zinc/96/73/73/1122967373.db2.gz LGICLYFIRWCAFJ-CVEARBPZSA-N 1 2 261.409 3.964 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+]Cc2ccnc(F)c2)cs1 ZINC000858140496 1123634534 /nfs/dbraw/zinc/63/45/34/1123634534.db2.gz CTTBRVAQIPBLFS-JTQLQIEISA-N 1 2 279.384 3.651 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1cncc(C(F)(F)F)c1 ZINC000859838159 1123713097 /nfs/dbraw/zinc/71/30/97/1123713097.db2.gz NOXIAKSYXOIZFI-SECBINFHSA-N 1 2 294.267 3.720 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1cncc(C(F)(F)F)c1 ZINC000859838159 1123713099 /nfs/dbraw/zinc/71/30/99/1123713099.db2.gz NOXIAKSYXOIZFI-SECBINFHSA-N 1 2 294.267 3.720 20 0 CHADLO COc1ccc2c(c1)[C@H]([NH2+][C@H](C)C(C)(F)F)CCC2 ZINC000389446602 1124024051 /nfs/dbraw/zinc/02/40/51/1124024051.db2.gz NXXZLUGVDFFYQS-QMTHXVAHSA-N 1 2 269.335 3.706 20 0 CHADLO CCOCC[N@H+](C)Cc1nc(C2CCCCC2)cs1 ZINC000444686422 1124051072 /nfs/dbraw/zinc/05/10/72/1124051072.db2.gz SEDQNFCTYWJDCU-UHFFFAOYSA-N 1 2 282.453 3.659 20 0 CHADLO CCOCC[N@@H+](C)Cc1nc(C2CCCCC2)cs1 ZINC000444686422 1124051075 /nfs/dbraw/zinc/05/10/75/1124051075.db2.gz SEDQNFCTYWJDCU-UHFFFAOYSA-N 1 2 282.453 3.659 20 0 CHADLO C/C(Cl)=C/C[NH+]1CC(c2nc3ccccc3s2)C1 ZINC000528426108 1124663087 /nfs/dbraw/zinc/66/30/87/1124663087.db2.gz CUTFXRWNBPOARS-POHAHGRESA-N 1 2 278.808 3.838 20 0 CHADLO CC[N@H+](Cc1cc(Cl)sc1Cl)[C@@H]1CCOC1 ZINC000449333392 1124692296 /nfs/dbraw/zinc/69/22/96/1124692296.db2.gz BKDRRMPDUGKVKT-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO CC[N@@H+](Cc1cc(Cl)sc1Cl)[C@@H]1CCOC1 ZINC000449333392 1124692300 /nfs/dbraw/zinc/69/23/00/1124692300.db2.gz BKDRRMPDUGKVKT-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO CCC[N@H+](CC(F)F)C[C@@H]1CCCCC1(F)F ZINC000450338209 1124986708 /nfs/dbraw/zinc/98/67/08/1124986708.db2.gz JNUINYYDZJVOPL-JTQLQIEISA-N 1 2 255.299 3.789 20 0 CHADLO CCC[N@@H+](CC(F)F)C[C@@H]1CCCCC1(F)F ZINC000450338209 1124986715 /nfs/dbraw/zinc/98/67/15/1124986715.db2.gz JNUINYYDZJVOPL-JTQLQIEISA-N 1 2 255.299 3.789 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccc(F)cc1F)[C@H]1CC1(F)F ZINC000877804717 1125079490 /nfs/dbraw/zinc/07/94/90/1125079490.db2.gz BOWGLELQVNBBDJ-OIBJUYFYSA-N 1 2 297.242 3.690 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(C(F)(F)F)cs2)CC[C@@H]1C ZINC000122769253 1125203035 /nfs/dbraw/zinc/20/30/35/1125203035.db2.gz ZXSAALXZZOYWCR-IUCAKERBSA-N 1 2 278.343 3.640 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(C(F)(F)F)cs2)CC[C@@H]1C ZINC000122769253 1125203038 /nfs/dbraw/zinc/20/30/38/1125203038.db2.gz ZXSAALXZZOYWCR-IUCAKERBSA-N 1 2 278.343 3.640 20 0 CHADLO CCCC[N@H+](CC(=O)OCC)Cc1cc(C)sc1C ZINC001138570468 1131522826 /nfs/dbraw/zinc/52/28/26/1131522826.db2.gz XXEUNAHEUAGFNN-UHFFFAOYSA-N 1 2 283.437 3.530 20 0 CHADLO CCCC[N@@H+](CC(=O)OCC)Cc1cc(C)sc1C ZINC001138570468 1131522831 /nfs/dbraw/zinc/52/28/31/1131522831.db2.gz XXEUNAHEUAGFNN-UHFFFAOYSA-N 1 2 283.437 3.530 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957116 1131558610 /nfs/dbraw/zinc/55/86/10/1131558610.db2.gz JGUSNVXTFLRQNB-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957116 1131558612 /nfs/dbraw/zinc/55/86/12/1131558612.db2.gz JGUSNVXTFLRQNB-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO Clc1nc(Cl)c(C[NH+]2CCCCCC2)s1 ZINC001139954145 1131652859 /nfs/dbraw/zinc/65/28/59/1131652859.db2.gz VAWDKEQMOYRGRR-UHFFFAOYSA-N 1 2 265.209 3.826 20 0 CHADLO COC[C@@H]1CC[N@@H+]1Cc1cc(Cl)ccc1C(F)(F)F ZINC001140564643 1131796591 /nfs/dbraw/zinc/79/65/91/1131796591.db2.gz LNDZMGXRBZFPFQ-NSHDSACASA-N 1 2 293.716 3.580 20 0 CHADLO COC[C@@H]1CC[N@H+]1Cc1cc(Cl)ccc1C(F)(F)F ZINC001140564643 1131796599 /nfs/dbraw/zinc/79/65/99/1131796599.db2.gz LNDZMGXRBZFPFQ-NSHDSACASA-N 1 2 293.716 3.580 20 0 CHADLO Fc1cc(C[N@@H+]2CC[C@@]3(CC3(F)F)C2)c(F)cc1Cl ZINC001140701399 1131881646 /nfs/dbraw/zinc/88/16/46/1131881646.db2.gz LNZATLRIWGWLCI-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(C[N@H+]2CC[C@@]3(CC3(F)F)C2)c(F)cc1Cl ZINC001140701399 1131881654 /nfs/dbraw/zinc/88/16/54/1131881654.db2.gz LNZATLRIWGWLCI-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)Cc2ccc(F)cc2F)c1C ZINC001140861188 1131949965 /nfs/dbraw/zinc/94/99/65/1131949965.db2.gz BCICYGFIPLGVOP-UHFFFAOYSA-N 1 2 264.319 3.542 20 0 CHADLO CCCCc1[nH]c(CN2CCCC[C@H]2C(F)(F)F)c[nH+]1 ZINC001141069731 1132002050 /nfs/dbraw/zinc/00/20/50/1132002050.db2.gz BANONDQGSXHESE-LBPRGKRZSA-N 1 2 289.345 3.669 20 0 CHADLO CCCCc1[nH]cc(CN2CCCC[C@H]2C(F)(F)F)[nH+]1 ZINC001141069731 1132002054 /nfs/dbraw/zinc/00/20/54/1132002054.db2.gz BANONDQGSXHESE-LBPRGKRZSA-N 1 2 289.345 3.669 20 0 CHADLO Cc1cc(N2[C@H](C)CCC[C@@H]2C)nc(C(C)(C)C)[nH+]1 ZINC001163551070 1133158062 /nfs/dbraw/zinc/15/80/62/1133158062.db2.gz YAEPVIQDOCHGMX-BETUJISGSA-N 1 2 261.413 3.850 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1CCc1ccc(C(F)(F)F)cc1 ZINC001209124670 1133978342 /nfs/dbraw/zinc/97/83/42/1133978342.db2.gz QRSLAHUFKJJSNE-STQMWFEESA-N 1 2 293.279 3.630 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1CCc1ccc(C(F)(F)F)cc1 ZINC001209124670 1133978346 /nfs/dbraw/zinc/97/83/46/1133978346.db2.gz QRSLAHUFKJJSNE-STQMWFEESA-N 1 2 293.279 3.630 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ccc(F)cc2F)n1 ZINC000116382329 1125510673 /nfs/dbraw/zinc/51/06/73/1125510673.db2.gz GVNJPJVKCBQNQC-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO CCCC1(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CC1 ZINC000348307286 1125513237 /nfs/dbraw/zinc/51/32/37/1125513237.db2.gz ODNBVCNZRFOSEZ-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2csc3ccccc23)o1 ZINC000120515690 1125546854 /nfs/dbraw/zinc/54/68/54/1125546854.db2.gz FIWWIUZGUBXTSQ-SNVBAGLBSA-N 1 2 287.388 3.786 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2csc3ccccc23)o1 ZINC000120515690 1125546857 /nfs/dbraw/zinc/54/68/57/1125546857.db2.gz FIWWIUZGUBXTSQ-SNVBAGLBSA-N 1 2 287.388 3.786 20 0 CHADLO c1coc(C[N@H+](Cc2ccccc2)Cc2ccccn2)c1 ZINC000064805685 1125549155 /nfs/dbraw/zinc/54/91/55/1125549155.db2.gz FEWFLDZVKSZYHS-UHFFFAOYSA-N 1 2 278.355 3.877 20 0 CHADLO c1coc(C[N@@H+](Cc2ccccc2)Cc2ccccn2)c1 ZINC000064805685 1125549160 /nfs/dbraw/zinc/54/91/60/1125549160.db2.gz FEWFLDZVKSZYHS-UHFFFAOYSA-N 1 2 278.355 3.877 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(OC(C)C)c2)oc1C ZINC000120709465 1125550817 /nfs/dbraw/zinc/55/08/17/1125550817.db2.gz AHMKTBADNMHAJX-UHFFFAOYSA-N 1 2 288.391 3.711 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(OC(C)C)c2)oc1C ZINC000120709465 1125550820 /nfs/dbraw/zinc/55/08/20/1125550820.db2.gz AHMKTBADNMHAJX-UHFFFAOYSA-N 1 2 288.391 3.711 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[N@H+](C)Cc1cncn1C ZINC000121161660 1125557262 /nfs/dbraw/zinc/55/72/62/1125557262.db2.gz MFFSQJPHDLCZDR-LBPRGKRZSA-N 1 2 292.452 3.501 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[N@@H+](C)Cc1cncn1C ZINC000121161660 1125557268 /nfs/dbraw/zinc/55/72/68/1125557268.db2.gz MFFSQJPHDLCZDR-LBPRGKRZSA-N 1 2 292.452 3.501 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1C[C@@H](C)[C@H]1c1ccccc1 ZINC000245441470 1125571316 /nfs/dbraw/zinc/57/13/16/1125571316.db2.gz VLLZZECELOVERA-JMSVASOKSA-N 1 2 283.375 3.701 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1C[C@@H](C)[C@H]1c1ccccc1 ZINC000245441470 1125571319 /nfs/dbraw/zinc/57/13/19/1125571319.db2.gz VLLZZECELOVERA-JMSVASOKSA-N 1 2 283.375 3.701 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)c2ccc3cc[nH]c3c2)c1 ZINC000348327425 1125578260 /nfs/dbraw/zinc/57/82/60/1125578260.db2.gz JAMFUBULIMHVOQ-UHFFFAOYSA-N 1 2 281.359 3.516 20 0 CHADLO CCCCOC1CCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000348351872 1125615708 /nfs/dbraw/zinc/61/57/08/1125615708.db2.gz MNMRHAMTSYSUGT-UHFFFAOYSA-N 1 2 291.439 3.694 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1cc(C)on1 ZINC000072981953 1125636907 /nfs/dbraw/zinc/63/69/07/1125636907.db2.gz DWTXIKITYIDSII-UHFFFAOYSA-N 1 2 284.359 3.924 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1cc(C)on1 ZINC000072981953 1125636912 /nfs/dbraw/zinc/63/69/12/1125636912.db2.gz DWTXIKITYIDSII-UHFFFAOYSA-N 1 2 284.359 3.924 20 0 CHADLO Cc1cccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC000074829972 1125642296 /nfs/dbraw/zinc/64/22/96/1125642296.db2.gz DVFUKTUOAYCCQM-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO CCc1nnc(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccc(C)o2)o1 ZINC000247260132 1125654218 /nfs/dbraw/zinc/65/42/18/1125654218.db2.gz FGLPYRLFCNWVAP-YPMHNXCESA-N 1 2 289.379 3.507 20 0 CHADLO CCc1nnc(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccc(C)o2)o1 ZINC000247260132 1125654221 /nfs/dbraw/zinc/65/42/21/1125654221.db2.gz FGLPYRLFCNWVAP-YPMHNXCESA-N 1 2 289.379 3.507 20 0 CHADLO Cc1coc(C[NH2+][C@H]2CCc3ccccc3-n3ccnc32)c1 ZINC000886166798 1125658999 /nfs/dbraw/zinc/65/89/99/1125658999.db2.gz SCQXZLXBGIGUII-INIZCTEOSA-N 1 2 293.370 3.551 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccco2)oc1C ZINC000247690934 1125659198 /nfs/dbraw/zinc/65/91/98/1125659198.db2.gz VXVQJZYVOGZDQO-RISCZKNCSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccco2)oc1C ZINC000247690934 1125659203 /nfs/dbraw/zinc/65/92/03/1125659203.db2.gz VXVQJZYVOGZDQO-RISCZKNCSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccco2)o1 ZINC000247690876 1125659680 /nfs/dbraw/zinc/65/96/80/1125659680.db2.gz VWUMQTSROCMLIY-YPMHNXCESA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccco2)o1 ZINC000247690876 1125659683 /nfs/dbraw/zinc/65/96/83/1125659683.db2.gz VWUMQTSROCMLIY-YPMHNXCESA-N 1 2 260.337 3.549 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+]Cc1ccc(Cl)cn1 ZINC000886188176 1125660018 /nfs/dbraw/zinc/66/00/18/1125660018.db2.gz MLWYBRPRYYIZOV-HZPDHXFCSA-N 1 2 290.769 3.850 20 0 CHADLO CCn1ccnc1C[N@@H+]1C[C@@H](C)C[C@@H]1c1ccccc1F ZINC000075900606 1125660882 /nfs/dbraw/zinc/66/08/82/1125660882.db2.gz PWHQCMTWJAPLPJ-XJKSGUPXSA-N 1 2 287.382 3.625 20 0 CHADLO CCn1ccnc1C[N@H+]1C[C@@H](C)C[C@@H]1c1ccccc1F ZINC000075900606 1125660885 /nfs/dbraw/zinc/66/08/85/1125660885.db2.gz PWHQCMTWJAPLPJ-XJKSGUPXSA-N 1 2 287.382 3.625 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CC[C@H]2C2CC2)c1 ZINC000348379684 1125670506 /nfs/dbraw/zinc/67/05/06/1125670506.db2.gz OWBVPWTYFQDBJQ-UONOGXRCSA-N 1 2 262.422 3.935 20 0 CHADLO c1coc(-c2nc(C[NH2+][C@@H]3CCc4cccnc43)cs2)c1 ZINC000886541049 1125686931 /nfs/dbraw/zinc/68/69/31/1125686931.db2.gz PJBXQAULKXKDKJ-CYBMUJFWSA-N 1 2 297.383 3.575 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc(C)c(C)c2)C2CC2)no1 ZINC000077361132 1125693121 /nfs/dbraw/zinc/69/31/21/1125693121.db2.gz VAADYLDLAUUKTQ-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc(C)c(C)c2)C2CC2)no1 ZINC000077361132 1125693124 /nfs/dbraw/zinc/69/31/24/1125693124.db2.gz VAADYLDLAUUKTQ-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2cc(C3CC3)no2)c(F)c1 ZINC000886682517 1125706811 /nfs/dbraw/zinc/70/68/11/1125706811.db2.gz JKJUIZOCWSHIMJ-SNVBAGLBSA-N 1 2 290.338 3.551 20 0 CHADLO Cc1ccccc1[C@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC000078252760 1125707678 /nfs/dbraw/zinc/70/76/78/1125707678.db2.gz XUTLBMDHRDLDLP-MRXNPFEDSA-N 1 2 253.349 3.657 20 0 CHADLO FC(F)n1ccnc1C[N@@H+]1CCC[C@H]1c1ccccc1 ZINC000078775504 1125732833 /nfs/dbraw/zinc/73/28/33/1125732833.db2.gz HZJBOLVETRWKLM-ZDUSSCGKSA-N 1 2 277.318 3.615 20 0 CHADLO FC(F)n1ccnc1C[N@H+]1CCC[C@H]1c1ccccc1 ZINC000078775504 1125732836 /nfs/dbraw/zinc/73/28/36/1125732836.db2.gz HZJBOLVETRWKLM-ZDUSSCGKSA-N 1 2 277.318 3.615 20 0 CHADLO Cc1oc(-c2ccccc2)nc1CSCCn1cc[nH+]c1 ZINC000090200178 1125739385 /nfs/dbraw/zinc/73/93/85/1125739385.db2.gz VUNOOQMYAQIPOC-UHFFFAOYSA-N 1 2 299.399 3.780 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)SCc2ccccc2)cc[nH+]1 ZINC000078892603 1125742624 /nfs/dbraw/zinc/74/26/24/1125742624.db2.gz CLQHXEREQRNTNA-CYBMUJFWSA-N 1 2 286.400 3.650 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](COC)c2ccc(F)c(F)c2)o1 ZINC000091071135 1125748776 /nfs/dbraw/zinc/74/87/76/1125748776.db2.gz SNPSSAXGEYNANJ-INIZCTEOSA-N 1 2 295.329 3.598 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2Cc3ccccc3OC3(CCC3)C2)o1 ZINC000887149786 1125756331 /nfs/dbraw/zinc/75/63/31/1125756331.db2.gz KERMURJUBOVLQR-AWEZNQCLSA-N 1 2 298.386 3.861 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2Cc3ccccc3OC3(CCC3)C2)o1 ZINC000887149786 1125756339 /nfs/dbraw/zinc/75/63/39/1125756339.db2.gz KERMURJUBOVLQR-AWEZNQCLSA-N 1 2 298.386 3.861 20 0 CHADLO Clc1nccc(C[N@@H+]2C[C@@H]3CCCC[C@@H]32)c1Cl ZINC000826538689 1125757286 /nfs/dbraw/zinc/75/72/86/1125757286.db2.gz IGBBCWHOAVOKIJ-ONGXEEELSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1nccc(C[N@H+]2C[C@@H]3CCCC[C@@H]32)c1Cl ZINC000826538689 1125757295 /nfs/dbraw/zinc/75/72/95/1125757295.db2.gz IGBBCWHOAVOKIJ-ONGXEEELSA-N 1 2 271.191 3.763 20 0 CHADLO CCCCOc1ncccc1C[NH2+][C@H](C)c1nccs1 ZINC000093401212 1125769061 /nfs/dbraw/zinc/76/90/61/1125769061.db2.gz GCQLRMXJXJXBOI-GFCCVEGCSA-N 1 2 291.420 3.568 20 0 CHADLO CC(C)c1ccc(-c2cc(Cn3cc[nH+]c3)on2)cc1 ZINC000887268491 1125780687 /nfs/dbraw/zinc/78/06/87/1125780687.db2.gz NIPOUQZOWFAQIY-UHFFFAOYSA-N 1 2 267.332 3.710 20 0 CHADLO Cc1ccc(-c2cc(CSCCn3cc[nH+]c3)on2)cc1 ZINC000122317007 1125786371 /nfs/dbraw/zinc/78/63/71/1125786371.db2.gz GQYPTWPBJAJRTH-UHFFFAOYSA-N 1 2 299.399 3.780 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@H]2c2cccc(C)c2C)no1 ZINC000122294880 1125786438 /nfs/dbraw/zinc/78/64/38/1125786438.db2.gz HAIAQQJVVHVWOW-HNNXBMFYSA-N 1 2 285.391 3.586 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@H]2c2cccc(C)c2C)no1 ZINC000122294880 1125786445 /nfs/dbraw/zinc/78/64/45/1125786445.db2.gz HAIAQQJVVHVWOW-HNNXBMFYSA-N 1 2 285.391 3.586 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@H+](C)Cc1ccc(Cl)cc1 ZINC000741775523 1129452059 /nfs/dbraw/zinc/45/20/59/1129452059.db2.gz TYHXOZGCRWHBFX-GFCCVEGCSA-N 1 2 283.799 3.504 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000741775523 1129452061 /nfs/dbraw/zinc/45/20/61/1129452061.db2.gz TYHXOZGCRWHBFX-GFCCVEGCSA-N 1 2 283.799 3.504 20 0 CHADLO FC1(F)CCC[C@@H](Nc2cc3cc[nH]c3c[nH+]2)C1 ZINC001169212515 1129707877 /nfs/dbraw/zinc/70/78/77/1129707877.db2.gz NRNSYJOSJFAOJV-SNVBAGLBSA-N 1 2 251.280 3.553 20 0 CHADLO CC[C@@H]([NH2+]Cc1csc(N)n1)c1cccc(Cl)c1F ZINC000902499516 1130018304 /nfs/dbraw/zinc/01/83/04/1130018304.db2.gz OVMZPBWSZHEPSO-LLVKDONJSA-N 1 2 299.802 3.759 20 0 CHADLO CSc1ncc(C)cc1C[N@H+](Cc1ccco1)C1CC1 ZINC001238717346 1131236919 /nfs/dbraw/zinc/23/69/19/1131236919.db2.gz CSJOSPWWCAJGOM-UHFFFAOYSA-N 1 2 288.416 3.870 20 0 CHADLO CSc1ncc(C)cc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001238717346 1131236922 /nfs/dbraw/zinc/23/69/22/1131236922.db2.gz CSJOSPWWCAJGOM-UHFFFAOYSA-N 1 2 288.416 3.870 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2cccnc2Cl)CC1 ZINC000088420052 1129205168 /nfs/dbraw/zinc/20/51/68/1129205168.db2.gz AUXQLSOGOLPHNP-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccnc2Cl)[C@H]1c1ccccc1 ZINC000245440720 1129207611 /nfs/dbraw/zinc/20/76/11/1129207611.db2.gz UUVVVORLUXRTGI-SWLSCSKDSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccnc2Cl)[C@H]1c1ccccc1 ZINC000245440720 1129207613 /nfs/dbraw/zinc/20/76/13/1129207613.db2.gz UUVVVORLUXRTGI-SWLSCSKDSA-N 1 2 272.779 3.928 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2ccc(C)cc2Cl)c[nH+]1 ZINC000899920443 1126712387 /nfs/dbraw/zinc/71/23/87/1126712387.db2.gz OXBFUOMNYJPMIN-UHFFFAOYSA-N 1 2 291.782 3.527 20 0 CHADLO c1ccc(NCc2ccccc2OCc2ccncc2)[nH+]c1 ZINC000338142559 1126721045 /nfs/dbraw/zinc/72/10/45/1126721045.db2.gz MFRUDMQZVOLXSH-UHFFFAOYSA-N 1 2 291.354 3.668 20 0 CHADLO CCO[C@@H](CSCc1ccc(C)[nH+]c1C)C1CC1 ZINC000600629238 1126728762 /nfs/dbraw/zinc/72/87/62/1126728762.db2.gz KGJCDOGKUAHYKQ-HNNXBMFYSA-N 1 2 265.422 3.747 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+]([C@@H](C)c1ccncc1)CC3 ZINC000600644660 1126731758 /nfs/dbraw/zinc/73/17/58/1126731758.db2.gz WUEHUYZOOBRZEU-AWEZNQCLSA-N 1 2 291.398 3.991 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+]([C@@H](C)c1ccncc1)CC3 ZINC000600644660 1126731761 /nfs/dbraw/zinc/73/17/61/1126731761.db2.gz WUEHUYZOOBRZEU-AWEZNQCLSA-N 1 2 291.398 3.991 20 0 CHADLO CC1(C)CCC[C@H]([NH2+][C@@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000667982380 1126737199 /nfs/dbraw/zinc/73/71/99/1126737199.db2.gz DKLRGMDZPRSJNN-JKSUJKDBSA-N 1 2 298.434 3.843 20 0 CHADLO CCC[C@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000338380312 1126739538 /nfs/dbraw/zinc/73/95/38/1126739538.db2.gz PFOUFSSJTDHHCP-NSHDSACASA-N 1 2 291.782 3.900 20 0 CHADLO COc1c(Br)cccc1C[N@H+]1CC=C(C)CC1 ZINC000482008939 1126739283 /nfs/dbraw/zinc/73/92/83/1126739283.db2.gz OBMAISSKLZULND-UHFFFAOYSA-N 1 2 296.208 3.610 20 0 CHADLO COc1c(Br)cccc1C[N@@H+]1CC=C(C)CC1 ZINC000482008939 1126739286 /nfs/dbraw/zinc/73/92/86/1126739286.db2.gz OBMAISSKLZULND-UHFFFAOYSA-N 1 2 296.208 3.610 20 0 CHADLO CO[C@H](C[N@H+](C)Cc1nccs1)c1ccc(Cl)cc1 ZINC000283034378 1126741410 /nfs/dbraw/zinc/74/14/10/1126741410.db2.gz HWQGXYMLCKHXBZ-CYBMUJFWSA-N 1 2 296.823 3.616 20 0 CHADLO CO[C@H](C[N@@H+](C)Cc1nccs1)c1ccc(Cl)cc1 ZINC000283034378 1126741413 /nfs/dbraw/zinc/74/14/13/1126741413.db2.gz HWQGXYMLCKHXBZ-CYBMUJFWSA-N 1 2 296.823 3.616 20 0 CHADLO COC[C@H]([NH2+]Cc1cccc(Cl)c1F)c1ccc(C)o1 ZINC000151061487 1126750617 /nfs/dbraw/zinc/75/06/17/1126750617.db2.gz SSXIDIGHUWQAAK-ZDUSSCGKSA-N 1 2 297.757 3.858 20 0 CHADLO Cc1nn(C)cc1C[N@H+](C)Cc1ccc(Cl)cc1Cl ZINC000678122923 1130352006 /nfs/dbraw/zinc/35/20/06/1130352006.db2.gz HPBUWEXGJPBCMZ-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1nn(C)cc1C[N@@H+](C)Cc1ccc(Cl)cc1Cl ZINC000678122923 1130352013 /nfs/dbraw/zinc/35/20/13/1130352013.db2.gz HPBUWEXGJPBCMZ-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCC[C@H]3c3ccc[nH]3)oc2c1 ZINC000162917742 1126754553 /nfs/dbraw/zinc/75/45/53/1126754553.db2.gz QNPMIMRKUAFTTG-AWEZNQCLSA-N 1 2 285.322 3.632 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCC[C@H]3c3ccc[nH]3)oc2c1 ZINC000162917742 1126754554 /nfs/dbraw/zinc/75/45/54/1126754554.db2.gz QNPMIMRKUAFTTG-AWEZNQCLSA-N 1 2 285.322 3.632 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccnc1Cl)c1ccccn1 ZINC000152349072 1126757406 /nfs/dbraw/zinc/75/74/06/1126757406.db2.gz QSZZXOLVBAXWNE-QWRGUYRKSA-N 1 2 261.756 3.542 20 0 CHADLO CC[C@](C)([NH2+]Cc1cccc(F)c1F)c1nccs1 ZINC000152604021 1126757524 /nfs/dbraw/zinc/75/75/24/1126757524.db2.gz DBIITCRUZIOPGN-AWEZNQCLSA-N 1 2 282.359 3.836 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccsc1Cl)CC2 ZINC000483286567 1126779044 /nfs/dbraw/zinc/77/90/44/1126779044.db2.gz OWZQOCLJPGKIOK-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccsc1Cl)CC2 ZINC000483286567 1126779048 /nfs/dbraw/zinc/77/90/48/1126779048.db2.gz OWZQOCLJPGKIOK-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(C(C)C)c2)no1 ZINC000659837771 1126787695 /nfs/dbraw/zinc/78/76/95/1126787695.db2.gz IESGOTADJJYBGM-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(C(C)C)c2)no1 ZINC000659837771 1126787697 /nfs/dbraw/zinc/78/76/97/1126787697.db2.gz IESGOTADJJYBGM-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO COc1ccsc1C[N@@H+]1CCc2cc(Cl)ccc2C1 ZINC000483658760 1126796159 /nfs/dbraw/zinc/79/61/59/1126796159.db2.gz JZFBUPZLRVLCLT-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccsc1C[N@H+]1CCc2cc(Cl)ccc2C1 ZINC000483658760 1126796160 /nfs/dbraw/zinc/79/61/60/1126796160.db2.gz JZFBUPZLRVLCLT-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1ccccc1Cl)c1ccco1 ZINC000177396518 1126800950 /nfs/dbraw/zinc/80/09/50/1126800950.db2.gz TUOOSDYSBGJQNG-FZMZJTMJSA-N 1 2 279.767 3.971 20 0 CHADLO COC1(C)C[NH+](Cc2ccc(-c3ccccc3Cl)o2)C1 ZINC001204717274 1126797562 /nfs/dbraw/zinc/79/75/62/1126797562.db2.gz FFZSCNWOZFUYFW-UHFFFAOYSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)N(C)Cc1c[nH+]cn1C ZINC000177249451 1126799953 /nfs/dbraw/zinc/79/99/53/1126799953.db2.gz MNFSNYPEJLUMNY-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC000679542167 1130537482 /nfs/dbraw/zinc/53/74/82/1130537482.db2.gz NNIYEVRURCXBQS-RYUDHWBXSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC000679542167 1130537488 /nfs/dbraw/zinc/53/74/88/1130537488.db2.gz NNIYEVRURCXBQS-RYUDHWBXSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@@H](C)c2ccc(F)cc2F)n1 ZINC000178509580 1126815446 /nfs/dbraw/zinc/81/54/46/1126815446.db2.gz SSVHKSJRMYEDME-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc([C@H]2CCC(C)=C(C)C2)n1 ZINC000904267713 1126840363 /nfs/dbraw/zinc/84/03/63/1126840363.db2.gz HKOOQAXSYMRREC-LBPRGKRZSA-N 1 2 284.363 3.626 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc(C2CC(C(C)(C)C)C2)n1 ZINC000904263448 1126840884 /nfs/dbraw/zinc/84/08/84/1126840884.db2.gz YPZNJOXGJYZGDV-UHFFFAOYSA-N 1 2 286.379 3.562 20 0 CHADLO CCCCS[C@@H](C)c1nc(-c2c[nH+]c(N)cc2C)no1 ZINC000904271917 1126841164 /nfs/dbraw/zinc/84/11/64/1126841164.db2.gz FCFLJZDGWXETAY-JTQLQIEISA-N 1 2 292.408 3.617 20 0 CHADLO CCCCS[C@@H](C)c1nc(-c2ccc(N)[nH+]c2C)no1 ZINC000904258292 1126841659 /nfs/dbraw/zinc/84/16/59/1126841659.db2.gz KYWRXKBPGJFYEK-JTQLQIEISA-N 1 2 292.408 3.617 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ncc(C)s1 ZINC000180410768 1126843261 /nfs/dbraw/zinc/84/32/61/1126843261.db2.gz QCGOGDJDAVQDHV-UHFFFAOYSA-N 1 2 252.408 3.535 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ncc(C)s1 ZINC000180410768 1126843264 /nfs/dbraw/zinc/84/32/64/1126843264.db2.gz QCGOGDJDAVQDHV-UHFFFAOYSA-N 1 2 252.408 3.535 20 0 CHADLO CC[C@](C)([NH2+]Cc1ncc(C)s1)c1nc(C)cs1 ZINC000180598003 1126846151 /nfs/dbraw/zinc/84/61/51/1126846151.db2.gz KZPKJGSCNBSUSW-ZDUSSCGKSA-N 1 2 281.450 3.631 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccn1)c1nc2c(s1)CCCC2 ZINC000181186134 1126853347 /nfs/dbraw/zinc/85/33/47/1126853347.db2.gz RRRXJHRECCJRGQ-RYUDHWBXSA-N 1 2 287.432 3.829 20 0 CHADLO CC(C)CCc1nc(C[NH2+][C@@H](C)c2ccccn2)cs1 ZINC000181799866 1126861825 /nfs/dbraw/zinc/86/18/25/1126861825.db2.gz DKTDRROWUAXXSW-ZDUSSCGKSA-N 1 2 289.448 3.978 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](CC2CCCC2)c2ccccc2)o1 ZINC000181944201 1126863948 /nfs/dbraw/zinc/86/39/48/1126863948.db2.gz GTSKVRXUAMNHTH-INIZCTEOSA-N 1 2 285.391 3.789 20 0 CHADLO COc1ccc2oc([C@@H](C)[NH2+]Cc3cocn3)c(C)c2c1 ZINC000182066906 1126865544 /nfs/dbraw/zinc/86/55/44/1126865544.db2.gz OVIVOMYVCJRXDG-LLVKDONJSA-N 1 2 286.331 3.589 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1cocn1)c1ccc(Cl)cc1F ZINC000182196123 1126868665 /nfs/dbraw/zinc/86/86/65/1126868665.db2.gz ZQUPKLFJZADCKY-AWEZNQCLSA-N 1 2 282.746 3.954 20 0 CHADLO Cc1cnc([C@@H](C)CNc2cc(C)[nH+]c(C3CC3)n2)s1 ZINC000182752761 1126876872 /nfs/dbraw/zinc/87/68/72/1126876872.db2.gz GDUSWWJSJFTSOE-VIFPVBQESA-N 1 2 288.420 3.643 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+]Cc2nc(-c3ccco3)no2)c1 ZINC000182900633 1126879011 /nfs/dbraw/zinc/87/90/11/1126879011.db2.gz MKQLBTVCYSAGPZ-ZDUSSCGKSA-N 1 2 297.358 3.797 20 0 CHADLO CCCc1noc(C[NH2+][C@H](C)c2cc(C)sc2C)n1 ZINC000182984292 1126880531 /nfs/dbraw/zinc/88/05/31/1126880531.db2.gz NGJKDCMRSVCFGT-SNVBAGLBSA-N 1 2 279.409 3.551 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)c2ccccn2)c1 ZINC000183349611 1126886163 /nfs/dbraw/zinc/88/61/63/1126886163.db2.gz YAAVULTXVZKQRO-LBPRGKRZSA-N 1 2 273.405 3.903 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2c(F)cccc2F)C2CC2)n1 ZINC000183565960 1126888660 /nfs/dbraw/zinc/88/86/60/1126888660.db2.gz KONLEIITNBVRFG-AWEZNQCLSA-N 1 2 294.370 3.971 20 0 CHADLO COc1ccccc1[C@@H](C)NC(=O)c1c(C)cc(C)[nH+]c1C ZINC000905164896 1126893123 /nfs/dbraw/zinc/89/31/23/1126893123.db2.gz GNJYQOVKNMGBJK-CYBMUJFWSA-N 1 2 298.386 3.506 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000905253644 1126896542 /nfs/dbraw/zinc/89/65/42/1126896542.db2.gz RWARJKSQQSSUOF-CYBMUJFWSA-N 1 2 282.387 3.540 20 0 CHADLO CCOc1cc(C[N@H+](C)Cc2cccc(C)c2)c(F)cn1 ZINC001238730811 1131238348 /nfs/dbraw/zinc/23/83/48/1131238348.db2.gz YUUFRGAIKFBPGW-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CCOc1cc(C[N@@H+](C)Cc2cccc(C)c2)c(F)cn1 ZINC001238730811 1131238353 /nfs/dbraw/zinc/23/83/53/1131238353.db2.gz YUUFRGAIKFBPGW-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)C[C@H]2CCCCO2)c(Cl)n1 ZINC001238729799 1131238385 /nfs/dbraw/zinc/23/83/85/1131238385.db2.gz VBFHSEFXDMIZSD-CQSZACIVSA-N 1 2 296.842 3.859 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)C[C@H]2CCCCO2)c(Cl)n1 ZINC001238729799 1131238389 /nfs/dbraw/zinc/23/83/89/1131238389.db2.gz VBFHSEFXDMIZSD-CQSZACIVSA-N 1 2 296.842 3.859 20 0 CHADLO Fc1ccc(C(F)(F)F)c(-c2cc[nH+]c3c2CCN3)c1 ZINC001240533464 1126923032 /nfs/dbraw/zinc/92/30/32/1126923032.db2.gz LHWXKNCZMFCOBX-UHFFFAOYSA-N 1 2 282.240 3.875 20 0 CHADLO COC[C@H]([NH2+]Cc1cc(F)ccc1Cl)c1ccc(C)o1 ZINC000189436686 1126932174 /nfs/dbraw/zinc/93/21/74/1126932174.db2.gz UWHXZULWYNSSON-AWEZNQCLSA-N 1 2 297.757 3.858 20 0 CHADLO CCc1noc([C@@H](C)[N@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000485852648 1126957682 /nfs/dbraw/zinc/95/76/82/1126957682.db2.gz SMJLWQORABXGBN-CYBMUJFWSA-N 1 2 287.407 3.948 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000485852648 1126957683 /nfs/dbraw/zinc/95/76/83/1126957683.db2.gz SMJLWQORABXGBN-CYBMUJFWSA-N 1 2 287.407 3.948 20 0 CHADLO Cc1cc(=O)[nH]c([C@@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)n1 ZINC000192779846 1126964058 /nfs/dbraw/zinc/96/40/58/1126964058.db2.gz BKVHCNCOUIZNKD-VXGBXAGGSA-N 1 2 297.358 3.649 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1sccc1Cl ZINC000194377938 1126973952 /nfs/dbraw/zinc/97/39/52/1126973952.db2.gz WTJYGQCGQVTHEP-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1sccc1Cl ZINC000194377938 1126973955 /nfs/dbraw/zinc/97/39/55/1126973955.db2.gz WTJYGQCGQVTHEP-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1cc(NC(=O)CCc2ccc(C(C)C)cc2)cc[nH+]1 ZINC000486169747 1126974556 /nfs/dbraw/zinc/97/45/56/1126974556.db2.gz BJUSHJWWPSNDGF-UHFFFAOYSA-N 1 2 282.387 3.507 20 0 CHADLO Cc1cc(C)c(C(=O)N2CC[C@H](C(C)(C)C)C2)c(C)[nH+]1 ZINC000907342296 1126976632 /nfs/dbraw/zinc/97/66/32/1126976632.db2.gz LJYBNVJQYXWBQW-AWEZNQCLSA-N 1 2 274.408 3.515 20 0 CHADLO CSCCCCCCNC(=O)c1c(C)cc(C)[nH+]c1C ZINC000907319842 1126976825 /nfs/dbraw/zinc/97/68/25/1126976825.db2.gz CECAOUGDFSRZPJ-UHFFFAOYSA-N 1 2 294.464 3.660 20 0 CHADLO c1cc2c(ccnc2NCCCCNc2cccc[nH+]2)o1 ZINC000266434288 1126982347 /nfs/dbraw/zinc/98/23/47/1126982347.db2.gz UUIRJQHLSMKKPD-UHFFFAOYSA-N 1 2 282.347 3.527 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+]C2(c3ccccc3)CC2)cs1 ZINC000267034359 1126992547 /nfs/dbraw/zinc/99/25/47/1126992547.db2.gz WGKVNSKJOHZPTF-GFCCVEGCSA-N 1 2 288.416 3.629 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccccc2)s1 ZINC000267999780 1127009756 /nfs/dbraw/zinc/00/97/56/1127009756.db2.gz MXOKGGKVQUYZJC-NSHDSACASA-N 1 2 261.394 3.512 20 0 CHADLO C[C@@H]1CC(c2noc([C@@H]3C[C@H]3c3c[nH]c[nH+]3)n2)C[C@@H](C)C1 ZINC000923959162 1127011381 /nfs/dbraw/zinc/01/13/81/1127011381.db2.gz HGASRRJNRYNPAL-YRRQLQLVSA-N 1 2 286.379 3.604 20 0 CHADLO Cc1cc(N[C@@H](C)C[C@H](O)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000268200253 1127014424 /nfs/dbraw/zinc/01/44/24/1127014424.db2.gz OGEUEGLPXWZMLW-LRDDRELGSA-N 1 2 297.402 3.587 20 0 CHADLO CC(C)c1cc(N2CC[C@@H](C3CC3)C2)nc(C(C)C)[nH+]1 ZINC000487194890 1127023740 /nfs/dbraw/zinc/02/37/40/1127023740.db2.gz GDZJRJMZRJNBSI-CQSZACIVSA-N 1 2 273.424 3.960 20 0 CHADLO COCc1ccc(C[NH2+]Cc2c(F)cccc2Cl)o1 ZINC000268800300 1127024514 /nfs/dbraw/zinc/02/45/14/1127024514.db2.gz PSJXWUVQXACZKC-UHFFFAOYSA-N 1 2 283.730 3.508 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C)c(C)s1)c1nccs1 ZINC000268712469 1127021173 /nfs/dbraw/zinc/02/11/73/1127021173.db2.gz XZZNNJHCZIFHOQ-CYBMUJFWSA-N 1 2 281.450 3.631 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)O[C@@H](CC(F)(F)F)C2)s1 ZINC000668768460 1127027399 /nfs/dbraw/zinc/02/73/99/1127027399.db2.gz PUEGZRFQZNROJT-KOLCDFICSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)O[C@@H](CC(F)(F)F)C2)s1 ZINC000668768460 1127027402 /nfs/dbraw/zinc/02/74/02/1127027402.db2.gz PUEGZRFQZNROJT-KOLCDFICSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1cc2cc([C@H](C)[NH2+][C@H](C)c3nncn3C)oc2cc1C ZINC000268954843 1127030276 /nfs/dbraw/zinc/03/02/76/1127030276.db2.gz YNWCZWSUPTWSRZ-QWHCGFSZSA-N 1 2 298.390 3.590 20 0 CHADLO CC(C)[N@H+](Cc1nccs1)Cc1ccc(F)cc1 ZINC000269609618 1127043365 /nfs/dbraw/zinc/04/33/65/1127043365.db2.gz ASPRGOBIFDWZLA-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO CC(C)[N@@H+](Cc1nccs1)Cc1ccc(F)cc1 ZINC000269609618 1127043370 /nfs/dbraw/zinc/04/33/70/1127043370.db2.gz ASPRGOBIFDWZLA-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO O=C(C[C@@H]1C=CCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000487782620 1127041960 /nfs/dbraw/zinc/04/19/60/1127041960.db2.gz KANXGKWYIRJKIE-CQSZACIVSA-N 1 2 281.359 3.557 20 0 CHADLO CC(C)[C@H]1C[N@H+](CCCc2ccccc2Cl)CCN1C ZINC000488027388 1127052720 /nfs/dbraw/zinc/05/27/20/1127052720.db2.gz QCXCOIYVGXMGHL-QGZVFWFLSA-N 1 2 294.870 3.545 20 0 CHADLO CC(C)[C@H]1C[N@@H+](CCCc2ccccc2Cl)CCN1C ZINC000488027388 1127052723 /nfs/dbraw/zinc/05/27/23/1127052723.db2.gz QCXCOIYVGXMGHL-QGZVFWFLSA-N 1 2 294.870 3.545 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(F)cc2C)c(C)s1 ZINC000487984964 1127051949 /nfs/dbraw/zinc/05/19/49/1127051949.db2.gz AFXXTGGPSXIDAD-UHFFFAOYSA-N 1 2 278.396 3.839 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(F)cc2C)c(C)s1 ZINC000487984964 1127051951 /nfs/dbraw/zinc/05/19/51/1127051951.db2.gz AFXXTGGPSXIDAD-UHFFFAOYSA-N 1 2 278.396 3.839 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ncc(C3CC3)o2)cc1C ZINC000270518097 1127056465 /nfs/dbraw/zinc/05/64/65/1127056465.db2.gz CCJKACVRAOJVFT-UHFFFAOYSA-N 1 2 286.375 3.501 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ncc(C3CC3)o2)cc1C ZINC000270518097 1127056470 /nfs/dbraw/zinc/05/64/70/1127056470.db2.gz CCJKACVRAOJVFT-UHFFFAOYSA-N 1 2 286.375 3.501 20 0 CHADLO CC1=C(Br)C[N@H+]([C@H](C)c2ccncc2)CC1 ZINC000797528380 1130990386 /nfs/dbraw/zinc/99/03/86/1130990386.db2.gz QNVXOVLZFHQAGD-LLVKDONJSA-N 1 2 281.197 3.517 20 0 CHADLO CC1=C(Br)C[N@@H+]([C@H](C)c2ccncc2)CC1 ZINC000797528380 1130990388 /nfs/dbraw/zinc/99/03/88/1130990388.db2.gz QNVXOVLZFHQAGD-LLVKDONJSA-N 1 2 281.197 3.517 20 0 CHADLO CCOc1ccc(C[NH+]2CC(C)(C)C2)cc1Br ZINC001236660543 1130989397 /nfs/dbraw/zinc/98/93/97/1130989397.db2.gz WWWBZEZOAIOUFU-UHFFFAOYSA-N 1 2 298.224 3.690 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)[nH]1 ZINC000271484697 1127085771 /nfs/dbraw/zinc/08/57/71/1127085771.db2.gz JZSVUIQXJMCGRP-MNOVXSKESA-N 1 2 284.363 3.525 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1cn(C)cn1 ZINC000659860053 1127086052 /nfs/dbraw/zinc/08/60/52/1127086052.db2.gz RTAFPTVTEIDERL-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1cn(C)cn1 ZINC000659860053 1127086054 /nfs/dbraw/zinc/08/60/54/1127086054.db2.gz RTAFPTVTEIDERL-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2cscn2)cc1Cl ZINC000271515191 1127088982 /nfs/dbraw/zinc/08/89/82/1127088982.db2.gz OKZMDJMREFWVPN-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CC(C)c1nnc([C@H](C)[NH2+][C@H](C)c2ccc(F)c(F)c2)[nH]1 ZINC000271583652 1127094291 /nfs/dbraw/zinc/09/42/91/1127094291.db2.gz XFPQIJAQMOWYAP-ZJUUUORDSA-N 1 2 294.349 3.618 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+]Cc2ncc(C3CC3)o2)c1 ZINC000271622505 1127094962 /nfs/dbraw/zinc/09/49/62/1127094962.db2.gz BRTGKAUUSWEQMI-LBPRGKRZSA-N 1 2 286.375 3.802 20 0 CHADLO CCC[C@]1(C)CCCN(C(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC000570461413 1127103994 /nfs/dbraw/zinc/10/39/94/1127103994.db2.gz CAXKJBSDIRDEHG-GOSISDBHSA-N 1 2 299.418 3.685 20 0 CHADLO C[C@H](Sc1[nH+]cnc2[nH]ccc21)c1ccc(F)cc1 ZINC000339130459 1127114475 /nfs/dbraw/zinc/11/44/75/1127114475.db2.gz BQCYLEQILALCQG-VIFPVBQESA-N 1 2 273.336 3.950 20 0 CHADLO C[C@@H](Sc1[nH+]cnc2[nH]ccc21)c1ccc(F)cc1 ZINC000339130455 1127114568 /nfs/dbraw/zinc/11/45/68/1127114568.db2.gz BQCYLEQILALCQG-SECBINFHSA-N 1 2 273.336 3.950 20 0 CHADLO CCn1nc(C[N@H+](C)[C@H](C)c2ccco2)c2ccccc21 ZINC000274462628 1127120054 /nfs/dbraw/zinc/12/00/54/1127120054.db2.gz BYTACKYHKKTOSL-CYBMUJFWSA-N 1 2 283.375 3.842 20 0 CHADLO CCn1nc(C[N@@H+](C)[C@H](C)c2ccco2)c2ccccc21 ZINC000274462628 1127120057 /nfs/dbraw/zinc/12/00/57/1127120057.db2.gz BYTACKYHKKTOSL-CYBMUJFWSA-N 1 2 283.375 3.842 20 0 CHADLO Cn1c2ccccc2nc1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000348540674 1127121173 /nfs/dbraw/zinc/12/11/73/1127121173.db2.gz IUSKJTOWFVJJMN-INIZCTEOSA-N 1 2 277.371 3.520 20 0 CHADLO Cn1c2ccccc2nc1C[N@H+]1CC[C@H]1c1ccccc1 ZINC000348540674 1127121174 /nfs/dbraw/zinc/12/11/74/1127121174.db2.gz IUSKJTOWFVJJMN-INIZCTEOSA-N 1 2 277.371 3.520 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccc(C(F)F)n1)c1ccc(F)cc1 ZINC000348687249 1127124599 /nfs/dbraw/zinc/12/45/99/1127124599.db2.gz TWCVUUPAEWVMKZ-GFCCVEGCSA-N 1 2 295.308 3.794 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+]1Cc1cccc(-c2cccnc2)c1 ZINC000348851434 1127131483 /nfs/dbraw/zinc/13/14/83/1127131483.db2.gz ZYNKBYXBCIWEFI-INIZCTEOSA-N 1 2 288.341 3.978 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+]1Cc1cccc(-c2cccnc2)c1 ZINC000348851434 1127131484 /nfs/dbraw/zinc/13/14/84/1127131484.db2.gz ZYNKBYXBCIWEFI-INIZCTEOSA-N 1 2 288.341 3.978 20 0 CHADLO C[C@H](CCC(C)(C)C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348838779 1127131709 /nfs/dbraw/zinc/13/17/09/1127131709.db2.gz QUZMDPMVUYZOAF-CQSZACIVSA-N 1 2 286.423 3.953 20 0 CHADLO CCCCCC[C@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000913481756 1127134337 /nfs/dbraw/zinc/13/43/37/1127134337.db2.gz HHBZVNXGHKKFMR-HNNXBMFYSA-N 1 2 299.418 3.961 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](C)c2ccc3ccccc3c2)n1 ZINC000348965538 1127135523 /nfs/dbraw/zinc/13/55/23/1127135523.db2.gz MWNQLUKMQXSVLP-NEPJUHHUSA-N 1 2 281.359 3.943 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)c(Cl)c1)c1ccon1 ZINC000349239792 1127144225 /nfs/dbraw/zinc/14/42/25/1127144225.db2.gz LDDSDSITWSOFAI-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO Cc1nc(N2CCC[C@@H](c3ccc(F)cc3)CC2)cc[nH+]1 ZINC000349575297 1127154771 /nfs/dbraw/zinc/15/47/71/1127154771.db2.gz MPZXKOGOUKKAAF-CQSZACIVSA-N 1 2 285.366 3.698 20 0 CHADLO CCc1cccc(C)c1C[N@@H+](C)Cc1cscn1 ZINC001237330894 1131086373 /nfs/dbraw/zinc/08/63/73/1131086373.db2.gz UOJCBDGQBRWLRI-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(Cl)c(F)c2)CCC1(F)F ZINC001237398316 1131095058 /nfs/dbraw/zinc/09/50/58/1131095058.db2.gz QMWNPAPSLINYPE-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(Cl)c(F)c2)CCC1(F)F ZINC001237398316 1131095063 /nfs/dbraw/zinc/09/50/63/1131095063.db2.gz QMWNPAPSLINYPE-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2ccccc2o1)c1ccon1 ZINC000349836326 1127164876 /nfs/dbraw/zinc/16/48/76/1127164876.db2.gz RUMIRKSJQFIFQI-MNOVXSKESA-N 1 2 256.305 3.833 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1nc2ccccc2[nH]1 ZINC000349838179 1127165001 /nfs/dbraw/zinc/16/50/01/1127165001.db2.gz HMWWDVGZXHEHPA-UHFFFAOYSA-N 1 2 269.348 3.567 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1nc2ccccc2[nH]1 ZINC000349838179 1127165004 /nfs/dbraw/zinc/16/50/04/1127165004.db2.gz HMWWDVGZXHEHPA-UHFFFAOYSA-N 1 2 269.348 3.567 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1cnc[nH]1)Cc1ccccc1 ZINC000351673739 1127202914 /nfs/dbraw/zinc/20/29/14/1127202914.db2.gz NGHGQUKDONRZFT-UHFFFAOYSA-N 1 2 291.398 3.921 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1cnc[nH]1)Cc1ccccc1 ZINC000351673739 1127202915 /nfs/dbraw/zinc/20/29/15/1127202915.db2.gz NGHGQUKDONRZFT-UHFFFAOYSA-N 1 2 291.398 3.921 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1c[nH]cn1)Cc1ccccc1 ZINC000351673739 1127202917 /nfs/dbraw/zinc/20/29/17/1127202917.db2.gz NGHGQUKDONRZFT-UHFFFAOYSA-N 1 2 291.398 3.921 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1c[nH]cn1)Cc1ccccc1 ZINC000351673739 1127202918 /nfs/dbraw/zinc/20/29/18/1127202918.db2.gz NGHGQUKDONRZFT-UHFFFAOYSA-N 1 2 291.398 3.921 20 0 CHADLO CCc1cc(Br)ccc1C[N@@H+]1CC[C@@H](F)C1 ZINC001237739898 1131136563 /nfs/dbraw/zinc/13/65/63/1131136563.db2.gz PBTBOTBHGQDFIO-CYBMUJFWSA-N 1 2 286.188 3.555 20 0 CHADLO CCc1cc(Br)ccc1C[N@H+]1CC[C@@H](F)C1 ZINC001237739898 1131136567 /nfs/dbraw/zinc/13/65/67/1131136567.db2.gz PBTBOTBHGQDFIO-CYBMUJFWSA-N 1 2 286.188 3.555 20 0 CHADLO C[N@H+](CCOCC1CCC1)Cc1c(F)cccc1Cl ZINC000572742801 1127225212 /nfs/dbraw/zinc/22/52/12/1127225212.db2.gz QOLRFBOHQMIODW-UHFFFAOYSA-N 1 2 285.790 3.728 20 0 CHADLO C[N@@H+](CCOCC1CCC1)Cc1c(F)cccc1Cl ZINC000572742801 1127225217 /nfs/dbraw/zinc/22/52/17/1127225217.db2.gz QOLRFBOHQMIODW-UHFFFAOYSA-N 1 2 285.790 3.728 20 0 CHADLO CC[N@H+](C)[C@H](c1nc(CCC(C)C)no1)c1ccccc1 ZINC000285605590 1127238698 /nfs/dbraw/zinc/23/86/98/1127238698.db2.gz USFRYIXUVALPIF-INIZCTEOSA-N 1 2 287.407 3.699 20 0 CHADLO CC[N@@H+](C)[C@H](c1nc(CCC(C)C)no1)c1ccccc1 ZINC000285605590 1127238701 /nfs/dbraw/zinc/23/87/01/1127238701.db2.gz USFRYIXUVALPIF-INIZCTEOSA-N 1 2 287.407 3.699 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cnc(C(F)(F)F)s1 ZINC001237947683 1131155030 /nfs/dbraw/zinc/15/50/30/1131155030.db2.gz SGJYTFZAWROSCO-UHFFFAOYSA-N 1 2 292.351 3.855 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cnc(C(F)(F)F)s1 ZINC001237947683 1131155032 /nfs/dbraw/zinc/15/50/32/1131155032.db2.gz SGJYTFZAWROSCO-UHFFFAOYSA-N 1 2 292.351 3.855 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+]Cc2coc(-c3cccs3)n2)c1 ZINC000285933412 1127247994 /nfs/dbraw/zinc/24/79/94/1127247994.db2.gz FIGQKGMTKAYJEX-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(C(=O)OC)cc1 ZINC000590254522 1127253044 /nfs/dbraw/zinc/25/30/44/1127253044.db2.gz KBSCBGAZGVCGAM-KBPBESRZSA-N 1 2 297.345 3.702 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2cc(C)ccn2)cs1 ZINC000286158001 1127260935 /nfs/dbraw/zinc/26/09/35/1127260935.db2.gz PTDVFDKIBLQUKL-RYUDHWBXSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2cc(C)ccn2)s1 ZINC000286161920 1127261095 /nfs/dbraw/zinc/26/10/95/1127261095.db2.gz PYLWNEPHHNOPRU-VXGBXAGGSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)c1 ZINC000286295338 1127264635 /nfs/dbraw/zinc/26/46/35/1127264635.db2.gz WUEBVWIBQIISSN-CQSZACIVSA-N 1 2 281.403 3.946 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)c1 ZINC000286295338 1127264633 /nfs/dbraw/zinc/26/46/33/1127264633.db2.gz WUEBVWIBQIISSN-CQSZACIVSA-N 1 2 281.403 3.946 20 0 CHADLO CCn1cc(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)cn1 ZINC000286402221 1127267165 /nfs/dbraw/zinc/26/71/65/1127267165.db2.gz OJTUDCISQYTFHM-UHFFFAOYSA-N 1 2 287.411 3.552 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccccc2OC(F)F)cc1 ZINC000057611203 1127267849 /nfs/dbraw/zinc/26/78/49/1127267849.db2.gz ZBTIDYPXVJVHEJ-UHFFFAOYSA-N 1 2 292.329 3.966 20 0 CHADLO Cc1ccc(CNc2ccc([NH+](C)C)cc2)c(C)c1 ZINC000057611138 1127267958 /nfs/dbraw/zinc/26/79/58/1127267958.db2.gz SEIOBDNAADNFBU-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1c(F)cccc1F ZINC000057667897 1127272068 /nfs/dbraw/zinc/27/20/68/1127272068.db2.gz JUMIDBICRHLNNG-UHFFFAOYSA-N 1 2 276.330 3.951 20 0 CHADLO COC[C@H]1CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000286617552 1127270189 /nfs/dbraw/zinc/27/01/89/1127270189.db2.gz CDWAOOZNACLLIQ-LBPRGKRZSA-N 1 2 290.794 3.751 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](c2ccc(C)o2)C2CCC2)s1 ZINC000353580816 1127270491 /nfs/dbraw/zinc/27/04/91/1127270491.db2.gz XWBLGYQEYZPFEE-OAHLLOKOSA-N 1 2 291.420 3.633 20 0 CHADLO CCCOc1cccc2c(NCC[C@@H](O)CC)cc[nH+]c12 ZINC000354156322 1127296774 /nfs/dbraw/zinc/29/67/74/1127296774.db2.gz YHLPRQOMGPXRES-ZDUSSCGKSA-N 1 2 288.391 3.597 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccoc1)c1cccc(Cl)c1F ZINC000287263562 1127298831 /nfs/dbraw/zinc/29/88/31/1127298831.db2.gz AMHAWSFQARDMDY-CYBMUJFWSA-N 1 2 283.730 3.549 20 0 CHADLO c1coc(-c2cccc(NCc3cccc4[nH+]ccn43)c2)n1 ZINC000354288755 1127300790 /nfs/dbraw/zinc/30/07/90/1127300790.db2.gz FXFDDFFUDXCTOP-UHFFFAOYSA-N 1 2 290.326 3.601 20 0 CHADLO CCc1cccc(C)c1NCc1cc(OC)cc(C)[nH+]1 ZINC000354268502 1127301093 /nfs/dbraw/zinc/30/10/93/1127301093.db2.gz XGNIERXUSLLJGF-UHFFFAOYSA-N 1 2 270.376 3.882 20 0 CHADLO Cc1cn2cc(NC(=O)c3coc4ccccc34)ccc2[nH+]1 ZINC000354343863 1127304324 /nfs/dbraw/zinc/30/43/24/1127304324.db2.gz DBTOCUPNFDPDIJ-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO Cc1sccc1C[N@@H+](C)Cc1c(F)cccc1F ZINC000354355742 1127304900 /nfs/dbraw/zinc/30/49/00/1127304900.db2.gz KQMSRUKEHGGGOX-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO Cc1sccc1C[N@H+](C)Cc1c(F)cccc1F ZINC000354355742 1127304901 /nfs/dbraw/zinc/30/49/01/1127304901.db2.gz KQMSRUKEHGGGOX-UHFFFAOYSA-N 1 2 267.344 3.967 20 0 CHADLO Cc1nc(N2CC[C@@](C)(c3ccccc3)C2)c(C)c(C)[nH+]1 ZINC000354431872 1127307544 /nfs/dbraw/zinc/30/75/44/1127307544.db2.gz SQFVOGXQSDJHNM-GOSISDBHSA-N 1 2 281.403 3.570 20 0 CHADLO Cc1[nH]ncc1C[NH2+]C(C)(C)c1ccc(C(C)C)cc1 ZINC000591725045 1127314679 /nfs/dbraw/zinc/31/46/79/1127314679.db2.gz LPWYGYYIOKWYKJ-UHFFFAOYSA-N 1 2 271.408 3.866 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)Cc2ccc(C)nc2)c1 ZINC001238566185 1131217573 /nfs/dbraw/zinc/21/75/73/1131217573.db2.gz JFBIGGBTLYJSKA-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)Cc2ccc(C)nc2)c1 ZINC001238566185 1131217578 /nfs/dbraw/zinc/21/75/78/1131217578.db2.gz JFBIGGBTLYJSKA-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO O=C(/C=C/c1ccsc1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000046105748 1127329312 /nfs/dbraw/zinc/32/93/12/1127329312.db2.gz GODJYZJKPKTOKZ-SNAWJCMRSA-N 1 2 295.367 3.586 20 0 CHADLO Cc1ccc(CNc2ccc([NH+]3CCCC3)cc2)cn1 ZINC000157654391 1127331469 /nfs/dbraw/zinc/33/14/69/1127331469.db2.gz IKLBVEQONKTQHK-UHFFFAOYSA-N 1 2 267.376 3.602 20 0 CHADLO Cc1cc(C)c(C[NH2+]Cc2nccc(C(F)F)n2)c(C)c1 ZINC000288347040 1127333158 /nfs/dbraw/zinc/33/31/58/1127333158.db2.gz BTFIQXPCMHBETH-UHFFFAOYSA-N 1 2 291.345 3.629 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2nc(-c3ccccc3)cs2)C1 ZINC000355358753 1127335693 /nfs/dbraw/zinc/33/56/93/1127335693.db2.gz YYMGSOBCNIEJRR-OAHLLOKOSA-N 1 2 276.380 3.744 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2nc(-c3ccccc3)cs2)C1 ZINC000355358753 1127335697 /nfs/dbraw/zinc/33/56/97/1127335697.db2.gz YYMGSOBCNIEJRR-OAHLLOKOSA-N 1 2 276.380 3.744 20 0 CHADLO CC(C)CC1CC[NH+](Cc2nc(C(C)(C)C)no2)CC1 ZINC000760931388 1127336781 /nfs/dbraw/zinc/33/67/81/1127336781.db2.gz ADZGPIUWOUYGJK-UHFFFAOYSA-N 1 2 279.428 3.625 20 0 CHADLO C[C@H](O)c1ncc(C[N@H+](C)[C@@H](C)c2ccccc2F)s1 ZINC000289215246 1127377290 /nfs/dbraw/zinc/37/72/90/1127377290.db2.gz XAAMSZAAPRDNFH-QWRGUYRKSA-N 1 2 294.395 3.529 20 0 CHADLO C[C@H](O)c1ncc(C[N@@H+](C)[C@@H](C)c2ccccc2F)s1 ZINC000289215246 1127377293 /nfs/dbraw/zinc/37/72/93/1127377293.db2.gz XAAMSZAAPRDNFH-QWRGUYRKSA-N 1 2 294.395 3.529 20 0 CHADLO COc1c(C)c[nH+]c(CSCCOC2CCC2)c1C ZINC000289347035 1127382228 /nfs/dbraw/zinc/38/22/28/1127382228.db2.gz GCHXHSAREZQTFU-UHFFFAOYSA-N 1 2 281.421 3.509 20 0 CHADLO CC(C)c1ocnc1C[NH2+][C@H](c1ccccn1)C1CCC1 ZINC001118843257 1131239557 /nfs/dbraw/zinc/23/95/57/1131239557.db2.gz YBHXFZWHRVLNHW-INIZCTEOSA-N 1 2 285.391 3.824 20 0 CHADLO Cc1ccc(NC(=O)c2cc(C)ccc2-n2cc[nH+]c2)cc1 ZINC000357598253 1127392344 /nfs/dbraw/zinc/39/23/44/1127392344.db2.gz KJOSARSHZYKHAK-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO COC(=O)C[N@H+](Cc1ccc(SC(C)C)cc1)C(C)C ZINC000358042219 1127398398 /nfs/dbraw/zinc/39/83/98/1127398398.db2.gz YRKTZFUZVDWPRZ-UHFFFAOYSA-N 1 2 295.448 3.571 20 0 CHADLO COC(=O)C[N@@H+](Cc1ccc(SC(C)C)cc1)C(C)C ZINC000358042219 1127398401 /nfs/dbraw/zinc/39/84/01/1127398401.db2.gz YRKTZFUZVDWPRZ-UHFFFAOYSA-N 1 2 295.448 3.571 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2ccc(Cl)cc21)c1ccc(F)cn1 ZINC000358260155 1127411596 /nfs/dbraw/zinc/41/15/96/1127411596.db2.gz BCXFTGCUFZRVFT-XPTSAGLGSA-N 1 2 292.741 3.658 20 0 CHADLO Cc1noc(C[NH2+]C(C)(C)c2ccc(C(C)C)cc2)n1 ZINC000594396206 1127415439 /nfs/dbraw/zinc/41/54/39/1127415439.db2.gz MPMXRESXRBOHPL-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO COc1ccc(COc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC000358356112 1127416917 /nfs/dbraw/zinc/41/69/17/1127416917.db2.gz WXEQIOFVRWSZAY-UHFFFAOYSA-N 1 2 298.317 3.599 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CCc2cccnc21 ZINC000226805619 1127426259 /nfs/dbraw/zinc/42/62/59/1127426259.db2.gz VUAJFZRLPBTTJW-INIZCTEOSA-N 1 2 267.376 3.555 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2cccnc21)c1c(F)cccc1F ZINC000226814033 1127426602 /nfs/dbraw/zinc/42/66/02/1127426602.db2.gz GPBGUQNLUYUUGF-IINYFYTJSA-N 1 2 274.314 3.698 20 0 CHADLO CCOCCCSCc1ccc(-n2cc[nH+]c2)cc1C ZINC000359052807 1127447268 /nfs/dbraw/zinc/44/72/68/1127447268.db2.gz YEADVVSHQIPWDS-UHFFFAOYSA-N 1 2 290.432 3.841 20 0 CHADLO CCSCc1cc[nH+]c(NC2CC(CF)(CF)C2)c1 ZINC000595028604 1127448677 /nfs/dbraw/zinc/44/86/77/1127448677.db2.gz DWPQVTYLTNGKSS-UHFFFAOYSA-N 1 2 286.391 3.834 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](c2cccnc2)C(C)C)s1 ZINC000359300753 1127457748 /nfs/dbraw/zinc/45/77/48/1127457748.db2.gz CHWHJHXJPBCSFJ-CQSZACIVSA-N 1 2 290.436 3.543 20 0 CHADLO CCS[C@H](C)c1noc(C[N@H+](C)CCC=C(C)C)n1 ZINC000595353500 1127460840 /nfs/dbraw/zinc/46/08/40/1127460840.db2.gz QJEBQOUHULZCTL-GFCCVEGCSA-N 1 2 283.441 3.672 20 0 CHADLO CCS[C@H](C)c1noc(C[N@@H+](C)CCC=C(C)C)n1 ZINC000595353500 1127460845 /nfs/dbraw/zinc/46/08/45/1127460845.db2.gz QJEBQOUHULZCTL-GFCCVEGCSA-N 1 2 283.441 3.672 20 0 CHADLO CCSCC[N@H+](C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000595514163 1127464918 /nfs/dbraw/zinc/46/49/18/1127464918.db2.gz BASNOHZMBNITKB-JTQLQIEISA-N 1 2 297.855 3.962 20 0 CHADLO CCSCC[N@@H+](C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000595514163 1127464921 /nfs/dbraw/zinc/46/49/21/1127464921.db2.gz BASNOHZMBNITKB-JTQLQIEISA-N 1 2 297.855 3.962 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2c(F)cccc2F)o1 ZINC000069752613 1127466757 /nfs/dbraw/zinc/46/67/57/1127466757.db2.gz TYRCFZBUOBZXDR-CMPLNLGQSA-N 1 2 277.314 3.971 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(F)cc(F)cc3C2)c(C)n1 ZINC000359908988 1127483234 /nfs/dbraw/zinc/48/32/34/1127483234.db2.gz JACMKCCKBVIYGJ-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(F)cc(F)cc3C2)c(C)n1 ZINC000359908988 1127483238 /nfs/dbraw/zinc/48/32/38/1127483238.db2.gz JACMKCCKBVIYGJ-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO C[C@H]([NH2+][C@@H](CC(F)(F)F)c1ccccc1)c1cnccn1 ZINC000360147839 1127490623 /nfs/dbraw/zinc/49/06/23/1127490623.db2.gz HWZGGVNVVWJQLE-AAEUAGOBSA-N 1 2 295.308 3.821 20 0 CHADLO COc1c(C)c[nH+]c(CS[C@@H]2COC(C)(C)C2)c1C ZINC000596352936 1127497063 /nfs/dbraw/zinc/49/70/63/1127497063.db2.gz RRTFUNKJHMUYPU-LBPRGKRZSA-N 1 2 281.421 3.508 20 0 CHADLO CC1(C)C[C@H](SCc2ccc(-n3cc[nH+]c3)cc2)CO1 ZINC000596356522 1127497691 /nfs/dbraw/zinc/49/76/91/1127497691.db2.gz IYYVFYZNDVPXGP-HNNXBMFYSA-N 1 2 288.416 3.673 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1Cl)c1cncs1 ZINC000360687927 1127506301 /nfs/dbraw/zinc/50/63/01/1127506301.db2.gz GAXYRDSOPHYKCU-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CC[C@](C)([NH2+]Cc1cccc(O)c1Cl)c1nccs1 ZINC000293682999 1127512718 /nfs/dbraw/zinc/51/27/18/1127512718.db2.gz VTCVIMNYCLKSMO-AWEZNQCLSA-N 1 2 296.823 3.917 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cnc(CC(C)C)s1 ZINC000293729668 1127515773 /nfs/dbraw/zinc/51/57/73/1127515773.db2.gz USEDFTOHASIKON-UHFFFAOYSA-N 1 2 293.436 3.524 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cnc(CC(C)C)s1 ZINC000293729668 1127515776 /nfs/dbraw/zinc/51/57/76/1127515776.db2.gz USEDFTOHASIKON-UHFFFAOYSA-N 1 2 293.436 3.524 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@@H](C)c2cncc(F)c2)n1 ZINC000361120011 1127540298 /nfs/dbraw/zinc/54/02/98/1127540298.db2.gz PBKOEIHJVSIFHT-LBPRGKRZSA-N 1 2 290.386 3.629 20 0 CHADLO Cc1cc(N2C[C@@H](C)CCC[C@H]2C)nc(C2CC2)[nH+]1 ZINC000361106748 1127538555 /nfs/dbraw/zinc/53/85/55/1127538555.db2.gz JGHKXSFXDXHTKG-WCQYABFASA-N 1 2 259.397 3.677 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC3CC(OC(C)C)C3)cc2)c1C ZINC000361238880 1127549486 /nfs/dbraw/zinc/54/94/86/1127549486.db2.gz RHXFULBGRMEKMH-UHFFFAOYSA-N 1 2 299.418 3.857 20 0 CHADLO CCS[C@@H]1CC[C@@H](N(C)c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000361235802 1127549505 /nfs/dbraw/zinc/54/95/05/1127549505.db2.gz FREUPDWKQGZUAJ-ZIAGYGMSSA-N 1 2 291.464 3.773 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H](C)[C@@H]3CCCOC3)cc2)c1C ZINC000361253352 1127550475 /nfs/dbraw/zinc/55/04/75/1127550475.db2.gz UWRUOUXXXVKPBY-GOEBONIOSA-N 1 2 299.418 3.716 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H](C)[C@@H]3CCCOC3)cc2)c1C ZINC000361253350 1127550598 /nfs/dbraw/zinc/55/05/98/1127550598.db2.gz UWRUOUXXXVKPBY-GDBMZVCRSA-N 1 2 299.418 3.716 20 0 CHADLO CC[C@@H](Cc1ccc(C)cc1)N(C)c1cc[nH+]c(C)n1 ZINC000361288265 1127554098 /nfs/dbraw/zinc/55/40/98/1127554098.db2.gz LYVGXISUPRVWCO-INIZCTEOSA-N 1 2 269.392 3.551 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H]2CCc3ccc(F)cc32)cs1 ZINC000361399264 1127561569 /nfs/dbraw/zinc/56/15/69/1127561569.db2.gz CTWJWWZQMQQOAO-HNNXBMFYSA-N 1 2 276.380 3.710 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H]2CCc3ccc(F)cc32)cs1 ZINC000361399264 1127561572 /nfs/dbraw/zinc/56/15/72/1127561572.db2.gz CTWJWWZQMQQOAO-HNNXBMFYSA-N 1 2 276.380 3.710 20 0 CHADLO c1coc(-c2cc(C[N@@H+]3CC[C@H]3c3ccccc3)no2)c1 ZINC000361399866 1127561726 /nfs/dbraw/zinc/56/17/26/1127561726.db2.gz JUSRIHUOJOGJMF-HNNXBMFYSA-N 1 2 280.327 3.882 20 0 CHADLO c1coc(-c2cc(C[N@H+]3CC[C@H]3c3ccccc3)no2)c1 ZINC000361399866 1127561728 /nfs/dbraw/zinc/56/17/28/1127561728.db2.gz JUSRIHUOJOGJMF-HNNXBMFYSA-N 1 2 280.327 3.882 20 0 CHADLO FCCCCC[N@@H+]1CCn2c(cc3ccccc32)C1 ZINC000361507844 1127568019 /nfs/dbraw/zinc/56/80/19/1127568019.db2.gz XWSDXKQNSSTBTC-UHFFFAOYSA-N 1 2 260.356 3.597 20 0 CHADLO FCCCCC[N@H+]1CCn2c(cc3ccccc32)C1 ZINC000361507844 1127568021 /nfs/dbraw/zinc/56/80/21/1127568021.db2.gz XWSDXKQNSSTBTC-UHFFFAOYSA-N 1 2 260.356 3.597 20 0 CHADLO Fc1c[nH+]ccc1NCc1c(Cl)oc2ccccc21 ZINC000361798360 1127589320 /nfs/dbraw/zinc/58/93/20/1127589320.db2.gz LRQHERDNPSPVGU-UHFFFAOYSA-N 1 2 276.698 3.654 20 0 CHADLO CSCc1cnc(C[N@@H+]2CCC(C)=C(C)C2)s1 ZINC000294739676 1127595603 /nfs/dbraw/zinc/59/56/03/1127595603.db2.gz QSBJOIPGKSEHCP-UHFFFAOYSA-N 1 2 268.451 3.548 20 0 CHADLO CSCc1cnc(C[N@H+]2CCC(C)=C(C)C2)s1 ZINC000294739676 1127595606 /nfs/dbraw/zinc/59/56/06/1127595606.db2.gz QSBJOIPGKSEHCP-UHFFFAOYSA-N 1 2 268.451 3.548 20 0 CHADLO CCc1ccc([C@@H]2C[N@H+](Cc3cccc(C)n3)CCO2)cc1 ZINC000361939440 1127600703 /nfs/dbraw/zinc/60/07/03/1127600703.db2.gz QSEJGGUVNPULDA-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@@H]2C[N@@H+](Cc3cccc(C)n3)CCO2)cc1 ZINC000361939440 1127600705 /nfs/dbraw/zinc/60/07/05/1127600705.db2.gz QSEJGGUVNPULDA-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO COC[C@H]([NH2+]Cc1c(C)cccc1Cl)c1ccco1 ZINC000294992477 1127612348 /nfs/dbraw/zinc/61/23/48/1127612348.db2.gz NEHSEPXJMAVEPZ-AWEZNQCLSA-N 1 2 279.767 3.719 20 0 CHADLO C[C@H]1C[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)ncc1F ZINC000295011879 1127614483 /nfs/dbraw/zinc/61/44/83/1127614483.db2.gz MERMVRSNGWEOSW-WPRPVWTQSA-N 1 2 294.267 3.523 20 0 CHADLO C[C@H]1C[C@@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)ncc1F ZINC000295011879 1127614487 /nfs/dbraw/zinc/61/44/87/1127614487.db2.gz MERMVRSNGWEOSW-WPRPVWTQSA-N 1 2 294.267 3.523 20 0 CHADLO CC(C)COc1ccccc1C[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000295172385 1127625420 /nfs/dbraw/zinc/62/54/20/1127625420.db2.gz GHVFXAFZSLLJBP-GOEBONIOSA-N 1 2 299.418 3.714 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+](C)Cc1ccccn1 ZINC000295226500 1127628461 /nfs/dbraw/zinc/62/84/61/1127628461.db2.gz WIBMAUTWHWJLOV-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+](C)Cc1ccccn1 ZINC000295226500 1127628464 /nfs/dbraw/zinc/62/84/64/1127628464.db2.gz WIBMAUTWHWJLOV-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cccc(O)c1Cl ZINC000295278593 1127631339 /nfs/dbraw/zinc/63/13/39/1127631339.db2.gz IEKBCEATDOXIAF-SNVBAGLBSA-N 1 2 265.740 3.832 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cccc(O)c1Cl ZINC000295278593 1127631343 /nfs/dbraw/zinc/63/13/43/1127631343.db2.gz IEKBCEATDOXIAF-SNVBAGLBSA-N 1 2 265.740 3.832 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CCC[C@](C)(F)C1 ZINC000295556104 1127646446 /nfs/dbraw/zinc/64/64/46/1127646446.db2.gz XNNFUSCZEPCRPG-ABAIWWIYSA-N 1 2 262.328 3.713 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CCC[C@](C)(F)C1 ZINC000295556104 1127646448 /nfs/dbraw/zinc/64/64/48/1127646448.db2.gz XNNFUSCZEPCRPG-ABAIWWIYSA-N 1 2 262.328 3.713 20 0 CHADLO Fc1cc(C[NH2+]Cc2ncc(Cl)s2)ccc1Cl ZINC000719445072 1127669369 /nfs/dbraw/zinc/66/93/69/1127669369.db2.gz YODVFFQWKYPTQS-UHFFFAOYSA-N 1 2 291.178 3.879 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](c2ccc(F)cc2)C(C)C)n1 ZINC000669704014 1127682529 /nfs/dbraw/zinc/68/25/29/1127682529.db2.gz SOOSWOAPPSZNJR-QMTHXVAHSA-N 1 2 277.343 3.565 20 0 CHADLO C/C=C/C=C/C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000363626375 1127680677 /nfs/dbraw/zinc/68/06/77/1127680677.db2.gz BOIQVWCRAVEOBB-UMCKCUICSA-N 1 2 281.359 3.764 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2csc(C)c2)[nH]1 ZINC000297033370 1127688271 /nfs/dbraw/zinc/68/82/71/1127688271.db2.gz XOAQVBNUIDHMNV-KOLCDFICSA-N 1 2 278.425 3.539 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+](Cc3csc(Cl)n3)C2)c1 ZINC000297222386 1127691545 /nfs/dbraw/zinc/69/15/45/1127691545.db2.gz SVDZCMMYTBANCE-NSHDSACASA-N 1 2 296.798 3.925 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+](Cc3csc(Cl)n3)C2)c1 ZINC000297222386 1127691546 /nfs/dbraw/zinc/69/15/46/1127691546.db2.gz SVDZCMMYTBANCE-NSHDSACASA-N 1 2 296.798 3.925 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1cc(F)cnc1Cl ZINC000297428719 1127695920 /nfs/dbraw/zinc/69/59/20/1127695920.db2.gz VDCVFGLGXOTZJE-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cc2Cl)C(C)(C)CO1 ZINC000604388156 1127703882 /nfs/dbraw/zinc/70/38/82/1127703882.db2.gz BAYQEGRFSMRMST-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cc2Cl)C(C)(C)CO1 ZINC000604388156 1127703884 /nfs/dbraw/zinc/70/38/84/1127703884.db2.gz BAYQEGRFSMRMST-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CC(C)(C)OCC1(C)C ZINC000604386226 1127703920 /nfs/dbraw/zinc/70/39/20/1127703920.db2.gz GOSXIEXRUVMALX-UHFFFAOYSA-N 1 2 297.826 3.738 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CC(C)(C)OCC1(C)C ZINC000604386226 1127703922 /nfs/dbraw/zinc/70/39/22/1127703922.db2.gz GOSXIEXRUVMALX-UHFFFAOYSA-N 1 2 297.826 3.738 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000297853780 1127710032 /nfs/dbraw/zinc/71/00/32/1127710032.db2.gz SZHJRBCCPSEZKG-VNHYZAJKSA-N 1 2 285.391 3.638 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@H](C)C2)cc(C)c1OC(C)C ZINC001238754800 1131240753 /nfs/dbraw/zinc/24/07/53/1131240753.db2.gz JYUUWWHLFJUXIZ-OAHLLOKOSA-N 1 2 289.419 3.502 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@H](C)C2)cc(C)c1OC(C)C ZINC001238754800 1131240759 /nfs/dbraw/zinc/24/07/59/1131240759.db2.gz JYUUWWHLFJUXIZ-OAHLLOKOSA-N 1 2 289.419 3.502 20 0 CHADLO CC(C)(C)c1noc(C[N@@H+]2CCC[C@H]2c2ccccc2)n1 ZINC000298303238 1127718710 /nfs/dbraw/zinc/71/87/10/1127718710.db2.gz KPMBLFPCOMNXPE-AWEZNQCLSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1noc(C[N@H+]2CCC[C@H]2c2ccccc2)n1 ZINC000298303238 1127718714 /nfs/dbraw/zinc/71/87/14/1127718714.db2.gz KPMBLFPCOMNXPE-AWEZNQCLSA-N 1 2 285.391 3.704 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)CC(C)(F)F)c2)[nH+]c1C ZINC000365292651 1127724858 /nfs/dbraw/zinc/72/48/58/1127724858.db2.gz QCKQZUQRJFJCFE-UHFFFAOYSA-N 1 2 293.317 3.677 20 0 CHADLO CN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CCCCCC1 ZINC000299006315 1127737983 /nfs/dbraw/zinc/73/79/83/1127737983.db2.gz RAPZRLPOKKPLFE-UHFFFAOYSA-N 1 2 297.402 3.667 20 0 CHADLO C[C@@H]1c2ccc(F)cc2CC[N@H+]1Cc1cn2ccccc2n1 ZINC000606448883 1127763059 /nfs/dbraw/zinc/76/30/59/1127763059.db2.gz IXNWTJVLVBUZDP-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@@H]1c2ccc(F)cc2CC[N@@H+]1Cc1cn2ccccc2n1 ZINC000606448883 1127763061 /nfs/dbraw/zinc/76/30/61/1127763061.db2.gz IXNWTJVLVBUZDP-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)N1CCC[C@H]1C1CCCC1 ZINC000606580932 1127768079 /nfs/dbraw/zinc/76/80/79/1127768079.db2.gz KFOUXJUDUKTCGG-HNNXBMFYSA-N 1 2 298.390 3.521 20 0 CHADLO CCc1cnc(C[NH2+]Cc2cc(Cl)ccc2OC)s1 ZINC000230706819 1127772608 /nfs/dbraw/zinc/77/26/08/1127772608.db2.gz ZUMMKVGIYMQBAW-UHFFFAOYSA-N 1 2 296.823 3.657 20 0 CHADLO C[C@@H](C[N@@H+]1CCC[C@@H]1c1ncon1)c1cccc(Cl)c1 ZINC000372670804 1127808562 /nfs/dbraw/zinc/80/85/62/1127808562.db2.gz AWEAWLSXTDZVDI-SMDDNHRTSA-N 1 2 291.782 3.664 20 0 CHADLO C[C@@H](C[N@H+]1CCC[C@@H]1c1ncon1)c1cccc(Cl)c1 ZINC000372670804 1127808566 /nfs/dbraw/zinc/80/85/66/1127808566.db2.gz AWEAWLSXTDZVDI-SMDDNHRTSA-N 1 2 291.782 3.664 20 0 CHADLO Fc1cccc(C2CC[NH+](Cc3cscn3)CC2)c1F ZINC000373490909 1127847211 /nfs/dbraw/zinc/84/72/11/1127847211.db2.gz UPXUKELHXRQRRL-UHFFFAOYSA-N 1 2 294.370 3.801 20 0 CHADLO Cc1cc(N2CC[C@@H]2c2cccc(F)c2)nc(C2CC2)[nH+]1 ZINC000608094053 1127849019 /nfs/dbraw/zinc/84/90/19/1127849019.db2.gz XSUUMCZNWWPZGY-OAHLLOKOSA-N 1 2 283.350 3.753 20 0 CHADLO Cc1occc1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000373705651 1127858807 /nfs/dbraw/zinc/85/88/07/1127858807.db2.gz MKCBXAOEFMSGCC-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO CCc1ccc(C[N@@H+]2[C@@H](C)COC[C@@H]2c2ccccc2)nc1 ZINC000411424264 1127859552 /nfs/dbraw/zinc/85/95/52/1127859552.db2.gz LGCXWCWXJHBGMW-HNAYVOBHSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2[C@@H](C)COC[C@@H]2c2ccccc2)nc1 ZINC000411424264 1127859554 /nfs/dbraw/zinc/85/95/54/1127859554.db2.gz LGCXWCWXJHBGMW-HNAYVOBHSA-N 1 2 296.414 3.606 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCC3(CCCC3)C2)s1 ZINC000373845209 1127863378 /nfs/dbraw/zinc/86/33/78/1127863378.db2.gz NAWAAOLNKOBUCF-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCC3(CCCC3)C2)s1 ZINC000373845209 1127863382 /nfs/dbraw/zinc/86/33/82/1127863382.db2.gz NAWAAOLNKOBUCF-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO FC(F)n1ccnc1C[NH+]1CCC(C2CCCC2)CC1 ZINC000608501985 1127873361 /nfs/dbraw/zinc/87/33/61/1127873361.db2.gz OVHSSZKWMZDYIU-UHFFFAOYSA-N 1 2 283.366 3.680 20 0 CHADLO FC[C@H]1C[N@H+](Cc2ccc(Cl)c(Cl)c2)CCCO1 ZINC000411850529 1127876943 /nfs/dbraw/zinc/87/69/43/1127876943.db2.gz JYTYCZWIFHVMAM-NSHDSACASA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@H]1C[N@@H+](Cc2ccc(Cl)c(Cl)c2)CCCO1 ZINC000411850529 1127876945 /nfs/dbraw/zinc/87/69/45/1127876945.db2.gz JYTYCZWIFHVMAM-NSHDSACASA-N 1 2 292.181 3.554 20 0 CHADLO CCCc1sc(C(=O)Nc2cc[nH+]c(C)c2)cc1CC ZINC000499661536 1127890624 /nfs/dbraw/zinc/89/06/24/1127890624.db2.gz CKFUFDJMRUKRAE-UHFFFAOYSA-N 1 2 288.416 3.641 20 0 CHADLO C[C@@H]1CC(C)(C)C[N@@H+]1Cc1noc(C2CCCCC2)n1 ZINC000412048957 1127894315 /nfs/dbraw/zinc/89/43/15/1127894315.db2.gz VQQAOHHSVCCOBO-GFCCVEGCSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]1CC(C)(C)C[N@H+]1Cc1noc(C2CCCCC2)n1 ZINC000412048957 1127894318 /nfs/dbraw/zinc/89/43/18/1127894318.db2.gz VQQAOHHSVCCOBO-GFCCVEGCSA-N 1 2 277.412 3.738 20 0 CHADLO CCCOc1ccc(F)cc1Nc1[nH+]cc(C)cc1N ZINC001212195478 1127895099 /nfs/dbraw/zinc/89/50/99/1127895099.db2.gz RYNGUUXOERMHIF-UHFFFAOYSA-N 1 2 275.327 3.644 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCO[C@@H](C(C)(C)C)C1 ZINC000535006508 1127908765 /nfs/dbraw/zinc/90/87/65/1127908765.db2.gz OOEDFIMOKGBIHH-NVXWUHKLSA-N 1 2 298.430 3.971 20 0 CHADLO CCc1cnc(C[N@@H+]2Cc3ccccc3C(C)(C)C2)o1 ZINC000535381895 1127913742 /nfs/dbraw/zinc/91/37/42/1127913742.db2.gz DNGPWQPNZKZMDF-UHFFFAOYSA-N 1 2 270.376 3.530 20 0 CHADLO CCc1cnc(C[N@H+]2Cc3ccccc3C(C)(C)C2)o1 ZINC000535381895 1127913743 /nfs/dbraw/zinc/91/37/43/1127913743.db2.gz DNGPWQPNZKZMDF-UHFFFAOYSA-N 1 2 270.376 3.530 20 0 CHADLO Fc1cccc(Cl)c1CC[N@@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000374856454 1127917259 /nfs/dbraw/zinc/91/72/59/1127917259.db2.gz KZKMKZMXJYECQS-AWEZNQCLSA-N 1 2 293.773 3.582 20 0 CHADLO Fc1cccc(Cl)c1CC[N@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000374856454 1127917262 /nfs/dbraw/zinc/91/72/62/1127917262.db2.gz KZKMKZMXJYECQS-AWEZNQCLSA-N 1 2 293.773 3.582 20 0 CHADLO CCN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CC(C)(C)C1 ZINC000412810747 1127928607 /nfs/dbraw/zinc/92/86/07/1127928607.db2.gz KOHKXFAHEJIQNN-UHFFFAOYSA-N 1 2 297.402 3.523 20 0 CHADLO COc1ccc2oc(C[N@@H+]3CCC[C@H]3c3ncc[nH]3)cc2c1 ZINC000375353425 1127942906 /nfs/dbraw/zinc/94/29/06/1127942906.db2.gz PXXANILQHDNCIF-HNNXBMFYSA-N 1 2 297.358 3.502 20 0 CHADLO COc1ccc2oc(C[N@H+]3CCC[C@H]3c3ncc[nH]3)cc2c1 ZINC000375353425 1127942909 /nfs/dbraw/zinc/94/29/09/1127942909.db2.gz PXXANILQHDNCIF-HNNXBMFYSA-N 1 2 297.358 3.502 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ccncc2Cl)cc1 ZINC000470955661 1127943374 /nfs/dbraw/zinc/94/33/74/1127943374.db2.gz NOLNJTVKIQMWKM-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ccncc2Cl)cc1 ZINC000470955661 1127943375 /nfs/dbraw/zinc/94/33/75/1127943375.db2.gz NOLNJTVKIQMWKM-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCOC[C@H]2c2ccccc2)cc1 ZINC000539851769 1127947939 /nfs/dbraw/zinc/94/79/39/1127947939.db2.gz ZTKQHROHUAYPPN-IBGZPJMESA-N 1 2 297.398 3.659 20 0 CHADLO CCOc1ccc(C[N@H+]2CCOC[C@H]2c2ccccc2)cc1 ZINC000539851769 1127947941 /nfs/dbraw/zinc/94/79/41/1127947941.db2.gz ZTKQHROHUAYPPN-IBGZPJMESA-N 1 2 297.398 3.659 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2ccc(F)cc21)c1cc(F)ccc1F ZINC000467013666 1127953502 /nfs/dbraw/zinc/95/35/02/1127953502.db2.gz OHPJBFYZIAVPJX-PSLIRLAXSA-N 1 2 293.288 3.888 20 0 CHADLO Cc1ccc(N[C@@H]2CCC[C@H]([C@H]3CCOC3)C2)c(C)[nH+]1 ZINC000467340356 1127964992 /nfs/dbraw/zinc/96/49/92/1127964992.db2.gz GIGQWVRARYJJNS-HRCADAONSA-N 1 2 274.408 3.706 20 0 CHADLO Cc1ccc([C@H]2CCC[C@H]2N(C)c2cc[nH+]c(C)n2)cc1 ZINC000463066618 1127971183 /nfs/dbraw/zinc/97/11/83/1127971183.db2.gz ACMMVAFEPXIJFT-IAGOWNOFSA-N 1 2 281.403 3.866 20 0 CHADLO CC(C)CCOc1ccc(C[NH2+][C@H](C)c2ccon2)cc1 ZINC000544617088 1127979095 /nfs/dbraw/zinc/97/90/95/1127979095.db2.gz NACAZHBFQFAINT-CQSZACIVSA-N 1 2 288.391 3.950 20 0 CHADLO CCC[N@H+](CC(F)F)C[C@H](C)c1ccc(OC)cc1 ZINC000540507346 1127981514 /nfs/dbraw/zinc/98/15/14/1127981514.db2.gz MEFMMNLWURTXFY-LBPRGKRZSA-N 1 2 271.351 3.776 20 0 CHADLO CCC[N@@H+](CC(F)F)C[C@H](C)c1ccc(OC)cc1 ZINC000540507346 1127981520 /nfs/dbraw/zinc/98/15/20/1127981520.db2.gz MEFMMNLWURTXFY-LBPRGKRZSA-N 1 2 271.351 3.776 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2c(Cl)cccc2N2CCCC2)C1 ZINC000540504710 1127981942 /nfs/dbraw/zinc/98/19/42/1127981942.db2.gz HJEMGHLOMCDVQL-MRXNPFEDSA-N 1 2 296.817 3.874 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2c(Cl)cccc2N2CCCC2)C1 ZINC000540504710 1127981944 /nfs/dbraw/zinc/98/19/44/1127981944.db2.gz HJEMGHLOMCDVQL-MRXNPFEDSA-N 1 2 296.817 3.874 20 0 CHADLO CC[C@@]1(C)CC[N@H+](Cc2noc(Cc3ccccc3C)n2)C1 ZINC000468126805 1127993583 /nfs/dbraw/zinc/99/35/83/1127993583.db2.gz NGOXYGPXUGKYER-SFHVURJKSA-N 1 2 299.418 3.591 20 0 CHADLO CC[C@@]1(C)CC[N@@H+](Cc2noc(Cc3ccccc3C)n2)C1 ZINC000468126805 1127993588 /nfs/dbraw/zinc/99/35/88/1127993588.db2.gz NGOXYGPXUGKYER-SFHVURJKSA-N 1 2 299.418 3.591 20 0 CHADLO FC1=CCC[N@H+](CCCc2ccccc2Cl)C1 ZINC000541336589 1128020618 /nfs/dbraw/zinc/02/06/18/1128020618.db2.gz FSKRXEKFQUNRQN-UHFFFAOYSA-N 1 2 253.748 3.832 20 0 CHADLO FC1=CCC[N@@H+](CCCc2ccccc2Cl)C1 ZINC000541336589 1128020622 /nfs/dbraw/zinc/02/06/22/1128020622.db2.gz FSKRXEKFQUNRQN-UHFFFAOYSA-N 1 2 253.748 3.832 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CCn2cccc2[C@H]1C ZINC000302746842 1128040222 /nfs/dbraw/zinc/04/02/22/1128040222.db2.gz GUFSAGRRXRVWSZ-GFCCVEGCSA-N 1 2 290.794 3.727 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CCn2cccc2[C@H]1C ZINC000302746842 1128040224 /nfs/dbraw/zinc/04/02/24/1128040224.db2.gz GUFSAGRRXRVWSZ-GFCCVEGCSA-N 1 2 290.794 3.727 20 0 CHADLO CCCC[C@@H](C(=O)OC)[N@H+](C)Cc1ccccc1SC ZINC000471019981 1128084760 /nfs/dbraw/zinc/08/47/60/1128084760.db2.gz UPSHGIWFFUZUQJ-AWEZNQCLSA-N 1 2 295.448 3.572 20 0 CHADLO CCCC[C@@H](C(=O)OC)[N@@H+](C)Cc1ccccc1SC ZINC000471019981 1128084764 /nfs/dbraw/zinc/08/47/64/1128084764.db2.gz UPSHGIWFFUZUQJ-AWEZNQCLSA-N 1 2 295.448 3.572 20 0 CHADLO CCC[C@@H]([NH2+]Cc1c(C)noc1C)c1ncccc1Cl ZINC000924782933 1128113103 /nfs/dbraw/zinc/11/31/03/1128113103.db2.gz SNHMYPJVWHAGIU-CQSZACIVSA-N 1 2 293.798 3.971 20 0 CHADLO CCC[C@H]([NH2+]Cc1cc(CC)n[nH]1)c1ncccc1Cl ZINC000925061347 1128120132 /nfs/dbraw/zinc/12/01/32/1128120132.db2.gz IUJOTHOWAGGQIA-AWEZNQCLSA-N 1 2 292.814 3.652 20 0 CHADLO CSc1cc(C)ccc1C[NH2+][C@H](C)c1ncco1 ZINC000925265476 1128126991 /nfs/dbraw/zinc/12/69/91/1128126991.db2.gz ZNWVILIZCPEAHB-LLVKDONJSA-N 1 2 262.378 3.556 20 0 CHADLO CCSc1ccccc1[C@@H](C)[NH2+]Cc1cc(N)ccn1 ZINC000925772311 1128141676 /nfs/dbraw/zinc/14/16/76/1128141676.db2.gz RQFOQRWMCDRUTI-GFCCVEGCSA-N 1 2 287.432 3.627 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2Nc1ccc2c(c1)CCC[N@H+]2C ZINC000926065425 1128152619 /nfs/dbraw/zinc/15/26/19/1128152619.db2.gz AOJQKQPCNDFOFZ-OAHLLOKOSA-N 1 2 297.402 3.855 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000926065425 1128152621 /nfs/dbraw/zinc/15/26/21/1128152621.db2.gz AOJQKQPCNDFOFZ-OAHLLOKOSA-N 1 2 297.402 3.855 20 0 CHADLO Cc1cc(C)c(C(=O)NCC[C@H]2CCCC2(F)F)c(C)[nH+]1 ZINC000914414284 1128154927 /nfs/dbraw/zinc/15/49/27/1128154927.db2.gz RCHCQJDGBKUAKN-CYBMUJFWSA-N 1 2 296.361 3.562 20 0 CHADLO CCOc1ccc(Nc2ccc(C)[nH+]c2C)c(OC)c1 ZINC001213115158 1128158991 /nfs/dbraw/zinc/15/89/91/1128158991.db2.gz MOHVWDHXAOYSBJ-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CCn1nc(C)c([C@@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)n1 ZINC000926244488 1128163006 /nfs/dbraw/zinc/16/30/06/1128163006.db2.gz OVGQTBXKKIDVJJ-VXGBXAGGSA-N 1 2 298.390 3.764 20 0 CHADLO CCCn1c(C)nnc1C[N@H+](C)C/C(C)=C/c1ccccc1 ZINC000494273685 1128168271 /nfs/dbraw/zinc/16/82/71/1128168271.db2.gz KDLKCBFZNQRZHL-NTCAYCPXSA-N 1 2 298.434 3.532 20 0 CHADLO CCCn1c(C)nnc1C[N@@H+](C)C/C(C)=C/c1ccccc1 ZINC000494273685 1128168273 /nfs/dbraw/zinc/16/82/73/1128168273.db2.gz KDLKCBFZNQRZHL-NTCAYCPXSA-N 1 2 298.434 3.532 20 0 CHADLO CCc1ccc(N[C@H](C)c2cn3c([nH+]2)CCCC3)cc1OC ZINC000926542634 1128180034 /nfs/dbraw/zinc/18/00/34/1128180034.db2.gz YIXYGKBXLBEBPO-CYBMUJFWSA-N 1 2 299.418 3.964 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccnn2C2CCC2)c[nH+]c1C ZINC000926855499 1128198206 /nfs/dbraw/zinc/19/82/06/1128198206.db2.gz KOSLTJUUVWESFL-ZDUSSCGKSA-N 1 2 270.380 3.793 20 0 CHADLO CC(C)Oc1ccc(C[NH2+][C@H](C)c2ccon2)cc1Cl ZINC000494635684 1128184489 /nfs/dbraw/zinc/18/44/89/1128184489.db2.gz GGHOKTBZDDPNHH-LLVKDONJSA-N 1 2 294.782 3.966 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@H](c1ccccn1)C1CCC1 ZINC000926753057 1128192042 /nfs/dbraw/zinc/19/20/42/1128192042.db2.gz PEWUIMXHZPAZIU-WBVHZDCISA-N 1 2 297.402 3.886 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(Cl)s2)sn1 ZINC000637866585 1128247945 /nfs/dbraw/zinc/24/79/45/1128247945.db2.gz AEQKLVLHRCKFCT-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(Cl)s2)sn1 ZINC000637866585 1128247948 /nfs/dbraw/zinc/24/79/48/1128247948.db2.gz AEQKLVLHRCKFCT-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO CC[N@H+](Cc1c(F)ccc(Br)c1F)C1CC1 ZINC000230342281 1128251289 /nfs/dbraw/zinc/25/12/89/1128251289.db2.gz KGYZDARFQTZQQG-UHFFFAOYSA-N 1 2 290.151 3.712 20 0 CHADLO CC[N@@H+](Cc1c(F)ccc(Br)c1F)C1CC1 ZINC000230342281 1128251292 /nfs/dbraw/zinc/25/12/92/1128251292.db2.gz KGYZDARFQTZQQG-UHFFFAOYSA-N 1 2 290.151 3.712 20 0 CHADLO Cc1nnsc1[C@@H](C)[N@H+](C)Cc1ccc(Cl)s1 ZINC000929133917 1128260028 /nfs/dbraw/zinc/26/00/28/1128260028.db2.gz QTJSOVDQMIEBIQ-MRVPVSSYSA-N 1 2 287.841 3.754 20 0 CHADLO Cc1nnsc1[C@@H](C)[N@@H+](C)Cc1ccc(Cl)s1 ZINC000929133917 1128260029 /nfs/dbraw/zinc/26/00/29/1128260029.db2.gz QTJSOVDQMIEBIQ-MRVPVSSYSA-N 1 2 287.841 3.754 20 0 CHADLO FC(F)(F)C1(CNc2cc3ccccc3c[nH+]2)CC1 ZINC000631276551 1128203523 /nfs/dbraw/zinc/20/35/23/1128203523.db2.gz QSOONXULOOQWSH-UHFFFAOYSA-N 1 2 266.266 3.989 20 0 CHADLO CC1CCC(C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000494934259 1128212810 /nfs/dbraw/zinc/21/28/10/1128212810.db2.gz WUPOVVIDWJUFIQ-XUJLQICISA-N 1 2 290.455 3.842 20 0 CHADLO CCn1cccc1C[N@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000494927556 1128213697 /nfs/dbraw/zinc/21/36/97/1128213697.db2.gz AHYWJKVWTNRHML-GFCCVEGCSA-N 1 2 278.346 3.979 20 0 CHADLO CCn1cccc1C[N@@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000494927556 1128213700 /nfs/dbraw/zinc/21/37/00/1128213700.db2.gz AHYWJKVWTNRHML-GFCCVEGCSA-N 1 2 278.346 3.979 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2ccccn2)c(F)c1 ZINC000927093169 1128216553 /nfs/dbraw/zinc/21/65/53/1128216553.db2.gz JPTNNNYOKJOJKH-NSHDSACASA-N 1 2 262.303 3.519 20 0 CHADLO CC(C)CC1(C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000495047850 1128226218 /nfs/dbraw/zinc/22/62/18/1128226218.db2.gz IPPVYEHYIPUPRV-OAHLLOKOSA-N 1 2 290.455 3.842 20 0 CHADLO COc1ccc[nH+]c1N[C@H](C)[C@@H]1C[C@H]1c1ccccc1 ZINC000670156253 1128271510 /nfs/dbraw/zinc/27/15/10/1128271510.db2.gz KXRVORTTXMMOLB-SNPRPXQTSA-N 1 2 268.360 3.694 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+](CC)Cc1nnc(OC)s1 ZINC000929385451 1128272537 /nfs/dbraw/zinc/27/25/37/1128272537.db2.gz RLMDRBKLOZENCM-CYBMUJFWSA-N 1 2 291.420 3.520 20 0 CHADLO CC[C@H](c1ccccc1)[N@@H+](CC)Cc1nnc(OC)s1 ZINC000929385451 1128272540 /nfs/dbraw/zinc/27/25/40/1128272540.db2.gz RLMDRBKLOZENCM-CYBMUJFWSA-N 1 2 291.420 3.520 20 0 CHADLO CC(C)[C@@H](C)[N@H+](C)Cn1nc(-c2cccs2)oc1=S ZINC000915210028 1128291641 /nfs/dbraw/zinc/29/16/41/1128291641.db2.gz YPCXWSRHXMNYHS-SNVBAGLBSA-N 1 2 297.449 3.868 20 0 CHADLO CC(C)[C@@H](C)[N@@H+](C)Cn1nc(-c2cccs2)oc1=S ZINC000915210028 1128291645 /nfs/dbraw/zinc/29/16/45/1128291645.db2.gz YPCXWSRHXMNYHS-SNVBAGLBSA-N 1 2 297.449 3.868 20 0 CHADLO CCCCCC[C@@H](C)Cc1nc(Cc2c[nH+]cn2C)no1 ZINC000762412558 1128297648 /nfs/dbraw/zinc/29/76/48/1128297648.db2.gz VFFVCQBKIHHCJU-CYBMUJFWSA-N 1 2 290.411 3.543 20 0 CHADLO CCCCOC(=O)CC[C@H](C)[N@@H+]1CCCCC(F)(F)C1 ZINC001257392731 1128305159 /nfs/dbraw/zinc/30/51/59/1128305159.db2.gz DMXQYPWCJXFTKY-ZDUSSCGKSA-N 1 2 291.382 3.620 20 0 CHADLO CCCCOC(=O)CC[C@H](C)[N@H+]1CCCCC(F)(F)C1 ZINC001257392731 1128305161 /nfs/dbraw/zinc/30/51/61/1128305161.db2.gz DMXQYPWCJXFTKY-ZDUSSCGKSA-N 1 2 291.382 3.620 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+][C@H](C)c1ncccn1 ZINC000930200152 1128306230 /nfs/dbraw/zinc/30/62/30/1128306230.db2.gz DRAAGBUBSKJKFR-UKRRQHHQSA-N 1 2 285.391 3.677 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N(CC1CCCCC1)C1CC1 ZINC000930286190 1128312598 /nfs/dbraw/zinc/31/25/98/1128312598.db2.gz QBYOSBXWPKRUTK-UHFFFAOYSA-N 1 2 297.402 3.748 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1c(F)cncc1F ZINC000930332082 1128314843 /nfs/dbraw/zinc/31/48/43/1128314843.db2.gz GHNYGKMTZQFULN-SNVBAGLBSA-N 1 2 294.370 3.933 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H](F)CC2)c(F)c(F)c1F ZINC001235342286 1128325305 /nfs/dbraw/zinc/32/53/05/1128325305.db2.gz QPQDDTFDCWVELT-SECBINFHSA-N 1 2 279.252 3.567 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H](F)CC2)c(F)c(F)c1F ZINC001235342286 1128325310 /nfs/dbraw/zinc/32/53/10/1128325310.db2.gz QPQDDTFDCWVELT-SECBINFHSA-N 1 2 279.252 3.567 20 0 CHADLO C[C@@H]1C[C@H](C[NH2+][C@H](c2ccccc2)C(F)F)C[C@H](C)O1 ZINC000930565942 1128325637 /nfs/dbraw/zinc/32/56/37/1128325637.db2.gz GETPRJLNCGBENW-UKTARXLSSA-N 1 2 283.362 3.786 20 0 CHADLO CC1(C)C[C@H](c2ccccc2)[N@H+](Cc2nncs2)C1 ZINC000930764506 1128334604 /nfs/dbraw/zinc/33/46/04/1128334604.db2.gz XTKIGRRXRGOSJX-CYBMUJFWSA-N 1 2 273.405 3.511 20 0 CHADLO CC1(C)C[C@H](c2ccccc2)[N@@H+](Cc2nncs2)C1 ZINC000930764506 1128334609 /nfs/dbraw/zinc/33/46/09/1128334609.db2.gz XTKIGRRXRGOSJX-CYBMUJFWSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1cc(Br)cs1 ZINC000393456921 1128341732 /nfs/dbraw/zinc/34/17/32/1128341732.db2.gz FCEPISPFOSUHSU-LURJTMIESA-N 1 2 284.169 3.816 20 0 CHADLO C[N@H+](Cc1c(F)cc(Cl)cc1Cl)[C@@H]1CCCOC1 ZINC001235743169 1128350655 /nfs/dbraw/zinc/35/06/55/1128350655.db2.gz RCCBVQQVPURHED-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO C[N@@H+](Cc1c(F)cc(Cl)cc1Cl)[C@@H]1CCCOC1 ZINC001235743169 1128350657 /nfs/dbraw/zinc/35/06/57/1128350657.db2.gz RCCBVQQVPURHED-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(C)n(C)n1)c1cc(F)ccc1F ZINC000282117780 1128364214 /nfs/dbraw/zinc/36/42/14/1128364214.db2.gz JJMFDGONDKANQX-IAQYHMDHSA-N 1 2 293.361 3.809 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(C)n(C)n1)c1cc(F)ccc1F ZINC000282117786 1128364347 /nfs/dbraw/zinc/36/43/47/1128364347.db2.gz JJMFDGONDKANQX-NHYWBVRUSA-N 1 2 293.361 3.809 20 0 CHADLO NC(Cc1ccccc1Cl)=[NH+]OC/C(Cl)=C\Cl ZINC000763180653 1128367639 /nfs/dbraw/zinc/36/76/39/1128367639.db2.gz RCISCIONLWVESH-RMKNXTFCSA-N 1 2 293.581 3.700 20 0 CHADLO Cl/C=C(/Cl)COc1ccccc1-n1cc[nH+]c1 ZINC000763185371 1128369470 /nfs/dbraw/zinc/36/94/70/1128369470.db2.gz AOCBLROJIADYGN-JXMROGBWSA-N 1 2 269.131 3.570 20 0 CHADLO NC(Cc1ccc(Cl)cc1)=[NH+]OC/C(Cl)=C/Cl ZINC000763188304 1128369848 /nfs/dbraw/zinc/36/98/48/1128369848.db2.gz OQMPUNBWIWFNQP-POHAHGRESA-N 1 2 293.581 3.700 20 0 CHADLO C[C@H](Nc1cccc(-n2cc[nH+]c2)c1)[C@@H]1CC1(C)C ZINC000397617150 1128370186 /nfs/dbraw/zinc/37/01/86/1128370186.db2.gz QSSPTFYWDVGMRT-WFASDCNBSA-N 1 2 255.365 3.719 20 0 CHADLO CC(C)c1ccccc1C[NH2+]C1(c2ncccn2)CCC1 ZINC000931692852 1128376653 /nfs/dbraw/zinc/37/66/53/1128376653.db2.gz PJAIGJTXVSMRNF-UHFFFAOYSA-N 1 2 281.403 3.769 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@@H]2CCc3c2cccc3F)co1 ZINC000931756703 1128379798 /nfs/dbraw/zinc/37/97/98/1128379798.db2.gz WGDNDRNHMIPLFC-OAHLLOKOSA-N 1 2 288.366 3.888 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2ncc(C)o2)cc1C ZINC000932571675 1128416068 /nfs/dbraw/zinc/41/60/68/1128416068.db2.gz VOQZSYRWXIHKKS-QWHCGFSZSA-N 1 2 274.364 3.712 20 0 CHADLO CC1(C[N@@H+]2CCO[C@@H](c3cccc(Cl)c3)C2)CC1 ZINC000562342488 1128396195 /nfs/dbraw/zinc/39/61/95/1128396195.db2.gz WHMALMDYEOZVMU-CQSZACIVSA-N 1 2 265.784 3.513 20 0 CHADLO CC1(C[N@H+]2CCO[C@@H](c3cccc(Cl)c3)C2)CC1 ZINC000562342488 1128396197 /nfs/dbraw/zinc/39/61/97/1128396197.db2.gz WHMALMDYEOZVMU-CQSZACIVSA-N 1 2 265.784 3.513 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@@H](c2ccccn2)C2CC2)n1 ZINC000932383168 1128406068 /nfs/dbraw/zinc/40/60/68/1128406068.db2.gz LMRFCZJAKYWUMU-GOSISDBHSA-N 1 2 298.434 3.880 20 0 CHADLO CCc1nc(C[N@H+](C)CC2=CCCCC2)cs1 ZINC000562529219 1128408508 /nfs/dbraw/zinc/40/85/08/1128408508.db2.gz AYJCSRFGLASSFQ-UHFFFAOYSA-N 1 2 250.411 3.638 20 0 CHADLO CCc1nc(C[N@@H+](C)CC2=CCCCC2)cs1 ZINC000562529219 1128408510 /nfs/dbraw/zinc/40/85/10/1128408510.db2.gz AYJCSRFGLASSFQ-UHFFFAOYSA-N 1 2 250.411 3.638 20 0 CHADLO CCCC[N@H+](Cc1c(C)oc(C)c1C)Cc1ncccn1 ZINC000932498268 1128412189 /nfs/dbraw/zinc/41/21/89/1128412189.db2.gz UXRVKSMSPJUJIR-UHFFFAOYSA-N 1 2 287.407 3.797 20 0 CHADLO CCCC[N@@H+](Cc1c(C)oc(C)c1C)Cc1ncccn1 ZINC000932498268 1128412190 /nfs/dbraw/zinc/41/21/90/1128412190.db2.gz UXRVKSMSPJUJIR-UHFFFAOYSA-N 1 2 287.407 3.797 20 0 CHADLO ClC1(Cl)C[C@@H]1CSc1[nH+]cc2ccccn21 ZINC000916500202 1128421155 /nfs/dbraw/zinc/42/11/55/1128421155.db2.gz NIHSDRAVUMUJPW-MRVPVSSYSA-N 1 2 273.188 3.620 20 0 CHADLO CCSCC[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC000562902839 1128427469 /nfs/dbraw/zinc/42/74/69/1128427469.db2.gz UCAJFYWSZCABLG-UHFFFAOYSA-N 1 2 279.783 3.775 20 0 CHADLO CCn1cnc2c1CC[N@H+](Cc1cc3ccccc3s1)C2 ZINC000933258191 1128432759 /nfs/dbraw/zinc/43/27/59/1128432759.db2.gz IJEHAAVGKLCSHX-UHFFFAOYSA-N 1 2 297.427 3.676 20 0 CHADLO CCn1cnc2c1CC[N@@H+](Cc1cc3ccccc3s1)C2 ZINC000933258191 1128432762 /nfs/dbraw/zinc/43/27/62/1128432762.db2.gz IJEHAAVGKLCSHX-UHFFFAOYSA-N 1 2 297.427 3.676 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)cc1Cl)c1cncs1 ZINC000934195454 1128454728 /nfs/dbraw/zinc/45/47/28/1128454728.db2.gz OPXNXCFNIFEONY-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO COc1ccc([C@H]2CCC[N@@H+]2CC=C(Cl)Cl)nc1 ZINC000934341493 1128458981 /nfs/dbraw/zinc/45/89/81/1128458981.db2.gz MMTHOAIYHHEJOU-GFCCVEGCSA-N 1 2 287.190 3.546 20 0 CHADLO COc1ccc([C@H]2CCC[N@H+]2CC=C(Cl)Cl)nc1 ZINC000934341493 1128458982 /nfs/dbraw/zinc/45/89/82/1128458982.db2.gz MMTHOAIYHHEJOU-GFCCVEGCSA-N 1 2 287.190 3.546 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@@H](c2ccncc2)C2CC2)co1 ZINC000934697761 1128467367 /nfs/dbraw/zinc/46/73/67/1128467367.db2.gz JXCDCSFDBCCORZ-OAHLLOKOSA-N 1 2 285.391 3.608 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1Cc2ccccc2[C@@H](C)C1 ZINC000917039612 1128468531 /nfs/dbraw/zinc/46/85/31/1128468531.db2.gz KMSLZAZALOCKAK-RYUDHWBXSA-N 1 2 283.375 3.627 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1Cc2ccccc2[C@@H](C)C1 ZINC000917039612 1128468534 /nfs/dbraw/zinc/46/85/34/1128468534.db2.gz KMSLZAZALOCKAK-RYUDHWBXSA-N 1 2 283.375 3.627 20 0 CHADLO CCc1ccccc1C[NH2+][C@H](c1ncc[nH]1)c1ccccc1 ZINC000127287063 1128474965 /nfs/dbraw/zinc/47/49/65/1128474965.db2.gz NIFGGDBXYHYTJD-SFHVURJKSA-N 1 2 291.398 3.851 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1csc(Cl)c1)CC2 ZINC001142760176 1128470916 /nfs/dbraw/zinc/47/09/16/1128470916.db2.gz JFJQBOMGNKWUBZ-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1csc(Cl)c1)CC2 ZINC001142760176 1128470917 /nfs/dbraw/zinc/47/09/17/1128470917.db2.gz JFJQBOMGNKWUBZ-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000563894654 1128483804 /nfs/dbraw/zinc/48/38/04/1128483804.db2.gz MCFBJRQFFJNVHB-LBPRGKRZSA-N 1 2 285.391 3.525 20 0 CHADLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000563894654 1128483805 /nfs/dbraw/zinc/48/38/05/1128483805.db2.gz MCFBJRQFFJNVHB-LBPRGKRZSA-N 1 2 285.391 3.525 20 0 CHADLO CC(C)(C)c1nn(C[NH+]2CCCCCCC2)c(=S)o1 ZINC000917413554 1128498709 /nfs/dbraw/zinc/49/87/09/1128498709.db2.gz KYSHVXHRZJBSRL-UHFFFAOYSA-N 1 2 283.441 3.727 20 0 CHADLO CCCC[N@H+](CC)Cn1nc(C(C)(C)C)oc1=S ZINC000917428795 1128500165 /nfs/dbraw/zinc/50/01/65/1128500165.db2.gz MVBOZSMBTJQFNG-UHFFFAOYSA-N 1 2 271.430 3.583 20 0 CHADLO CCCC[N@@H+](CC)Cn1nc(C(C)(C)C)oc1=S ZINC000917428795 1128500167 /nfs/dbraw/zinc/50/01/67/1128500167.db2.gz MVBOZSMBTJQFNG-UHFFFAOYSA-N 1 2 271.430 3.583 20 0 CHADLO CC[N@H+](CC(C)C)Cn1nc(-c2ccccc2)oc1=S ZINC000917454518 1128501342 /nfs/dbraw/zinc/50/13/42/1128501342.db2.gz QPQGKAVFYZTBQU-UHFFFAOYSA-N 1 2 291.420 3.808 20 0 CHADLO CC[N@@H+](CC(C)C)Cn1nc(-c2ccccc2)oc1=S ZINC000917454518 1128501344 /nfs/dbraw/zinc/50/13/44/1128501344.db2.gz QPQGKAVFYZTBQU-UHFFFAOYSA-N 1 2 291.420 3.808 20 0 CHADLO Cc1coc(SCc2c[nH+]c(N(C)C)c3ccccc23)n1 ZINC000917545036 1128503814 /nfs/dbraw/zinc/50/38/14/1128503814.db2.gz PRQQVRVPSSFDCW-UHFFFAOYSA-N 1 2 299.399 3.890 20 0 CHADLO CCn1nccc1C[N@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000129847681 1128557849 /nfs/dbraw/zinc/55/78/49/1128557849.db2.gz ZRCQLUVLAKFEFB-SUMWQHHRSA-N 1 2 299.418 3.784 20 0 CHADLO CCn1nccc1C[N@@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000129847681 1128557850 /nfs/dbraw/zinc/55/78/50/1128557850.db2.gz ZRCQLUVLAKFEFB-SUMWQHHRSA-N 1 2 299.418 3.784 20 0 CHADLO Fc1cccc(CNc2ccc3c(c2)CCC[NH2+]3)c1F ZINC000567141913 1128558841 /nfs/dbraw/zinc/55/88/41/1128558841.db2.gz BSPQLLJVHUUHBO-UHFFFAOYSA-N 1 2 274.314 3.935 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(Cl)c2F)[C@@H]1[C@H]1CCCO1 ZINC000565709366 1128531397 /nfs/dbraw/zinc/53/13/97/1128531397.db2.gz SVIFWEGFVGIUFI-UKRRQHHQSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(Cl)c2F)[C@@H]1[C@H]1CCCO1 ZINC000565709366 1128531398 /nfs/dbraw/zinc/53/13/98/1128531398.db2.gz SVIFWEGFVGIUFI-UKRRQHHQSA-N 1 2 297.801 3.869 20 0 CHADLO C[C@H](C[N@H+](C)Cc1c(F)cccc1F)C(F)(F)F ZINC000565965057 1128535918 /nfs/dbraw/zinc/53/59/18/1128535918.db2.gz VDANHDUKGRSZHB-MRVPVSSYSA-N 1 2 267.241 3.595 20 0 CHADLO C[C@H](C[N@@H+](C)Cc1c(F)cccc1F)C(F)(F)F ZINC000565965057 1128535921 /nfs/dbraw/zinc/53/59/21/1128535921.db2.gz VDANHDUKGRSZHB-MRVPVSSYSA-N 1 2 267.241 3.595 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H]2CCCC[C@H]2F)c2ccccn21 ZINC000566337467 1128542357 /nfs/dbraw/zinc/54/23/57/1128542357.db2.gz FNHYTZSKRFVRAO-ZIAGYGMSSA-N 1 2 289.398 3.828 20 0 CHADLO Cc1cccc(-n2ccn(C[N@H+]3CC=CCC3)c2=S)c1 ZINC000778577794 1128561259 /nfs/dbraw/zinc/56/12/59/1128561259.db2.gz XXNQYCILCXBZJM-UHFFFAOYSA-N 1 2 285.416 3.536 20 0 CHADLO Cc1cccc(-n2ccn(C[N@@H+]3CC=CCC3)c2=S)c1 ZINC000778577794 1128561262 /nfs/dbraw/zinc/56/12/62/1128561262.db2.gz XXNQYCILCXBZJM-UHFFFAOYSA-N 1 2 285.416 3.536 20 0 CHADLO Cc1ccc2ncc(C[N@@H+](C)[C@H](C)c3ccccc3F)n2c1 ZINC000129743243 1128555435 /nfs/dbraw/zinc/55/54/35/1128555435.db2.gz BPVRKTAPPBWGQW-CQSZACIVSA-N 1 2 297.377 3.975 20 0 CHADLO Cc1ccc2ncc(C[N@H+](C)[C@H](C)c3ccccc3F)n2c1 ZINC000129743243 1128555436 /nfs/dbraw/zinc/55/54/36/1128555436.db2.gz BPVRKTAPPBWGQW-CQSZACIVSA-N 1 2 297.377 3.975 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CCC[N@@H+]1Cc1ccc(Cl)cn1 ZINC000567663080 1128569751 /nfs/dbraw/zinc/56/97/51/1128569751.db2.gz HAQOTEZWENGJJO-BXKDBHETSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CCC[N@H+]1Cc1ccc(Cl)cn1 ZINC000567663080 1128569752 /nfs/dbraw/zinc/56/97/52/1128569752.db2.gz HAQOTEZWENGJJO-BXKDBHETSA-N 1 2 292.732 3.898 20 0 CHADLO COc1ccc(C[N@H+]2CC=C(C(F)(F)F)CC2)c(F)c1 ZINC000568030931 1128576266 /nfs/dbraw/zinc/57/62/66/1128576266.db2.gz ITMGCBAKBFKHFR-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO COc1ccc(C[N@@H+]2CC=C(C(F)(F)F)CC2)c(F)c1 ZINC000568030931 1128576267 /nfs/dbraw/zinc/57/62/67/1128576267.db2.gz ITMGCBAKBFKHFR-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1cccc(OC(F)(F)F)c1 ZINC000568422314 1128584422 /nfs/dbraw/zinc/58/44/22/1128584422.db2.gz FCDPSKFFJNWFPS-MRVPVSSYSA-N 1 2 283.240 3.891 20 0 CHADLO C[C@H](C[N@@H+]1CCc2sc(Cl)cc2C1)C(F)(F)F ZINC000569720166 1128608265 /nfs/dbraw/zinc/60/82/65/1128608265.db2.gz QTJFRVQGZYMKNZ-SSDOTTSWSA-N 1 2 283.746 3.958 20 0 CHADLO C[C@H](C[N@H+]1CCc2sc(Cl)cc2C1)C(F)(F)F ZINC000569720166 1128608267 /nfs/dbraw/zinc/60/82/67/1128608267.db2.gz QTJFRVQGZYMKNZ-SSDOTTSWSA-N 1 2 283.746 3.958 20 0 CHADLO Clc1ccc([C@H]2CCCC[N@@H+]2Cc2cnccn2)cc1 ZINC000556788005 1128623397 /nfs/dbraw/zinc/62/33/97/1128623397.db2.gz JOAPUCBGEBWZBV-MRXNPFEDSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1ccc([C@H]2CCCC[N@H+]2Cc2cnccn2)cc1 ZINC000556788005 1128623400 /nfs/dbraw/zinc/62/34/00/1128623400.db2.gz JOAPUCBGEBWZBV-MRXNPFEDSA-N 1 2 287.794 3.857 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc(C)c(C)cc1OC)C2 ZINC001238768701 1131243226 /nfs/dbraw/zinc/24/32/26/1131243226.db2.gz ZSGAKLVLECLCGC-UHFFFAOYSA-N 1 2 297.398 3.836 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc(C)c(C)cc1OC)C2 ZINC001238768701 1131243229 /nfs/dbraw/zinc/24/32/29/1131243229.db2.gz ZSGAKLVLECLCGC-UHFFFAOYSA-N 1 2 297.398 3.836 20 0 CHADLO CCn1c(C[N@H+](CC)Cc2occc2C)nc2ccccc21 ZINC000556896664 1128635167 /nfs/dbraw/zinc/63/51/67/1128635167.db2.gz FYYGTIOVEUBBHI-UHFFFAOYSA-N 1 2 297.402 3.980 20 0 CHADLO CCn1c(C[N@@H+](CC)Cc2occc2C)nc2ccccc21 ZINC000556896664 1128635168 /nfs/dbraw/zinc/63/51/68/1128635168.db2.gz FYYGTIOVEUBBHI-UHFFFAOYSA-N 1 2 297.402 3.980 20 0 CHADLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@@H](C)c2ccccc21 ZINC000572983707 1128650291 /nfs/dbraw/zinc/65/02/91/1128650291.db2.gz ZBXBKNAGYDKGSP-ZIAGYGMSSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@@H](C)c2ccccc21 ZINC000572983707 1128650293 /nfs/dbraw/zinc/65/02/93/1128650293.db2.gz ZBXBKNAGYDKGSP-ZIAGYGMSSA-N 1 2 297.402 3.519 20 0 CHADLO CCc1nc(C[N@H+](C)[C@H](C)c2ccc(OC)cc2)cs1 ZINC000073986865 1128651936 /nfs/dbraw/zinc/65/19/36/1128651936.db2.gz PAHNIIDMPKYFAS-GFCCVEGCSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@H](C)c2ccc(OC)cc2)cs1 ZINC000073986865 1128651937 /nfs/dbraw/zinc/65/19/37/1128651937.db2.gz PAHNIIDMPKYFAS-GFCCVEGCSA-N 1 2 290.432 3.907 20 0 CHADLO Cc1ccc(-c2cc(C[N@@H+](C)Cc3cccnc3)on2)cc1 ZINC000132569513 1128654983 /nfs/dbraw/zinc/65/49/83/1128654983.db2.gz PRUQWSLELUCPCO-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccc(-c2cc(C[N@H+](C)Cc3cccnc3)on2)cc1 ZINC000132569513 1128654985 /nfs/dbraw/zinc/65/49/85/1128654985.db2.gz PRUQWSLELUCPCO-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO C[C@@H]1CCC[C@H](C[NH2+][C@H](c2nnc[nH]2)c2ccccc2)[C@H]1C ZINC000573522062 1128655411 /nfs/dbraw/zinc/65/54/11/1128655411.db2.gz XBUCPUKFDKCNGC-WTTBNOFXSA-N 1 2 298.434 3.556 20 0 CHADLO CC(C)=CC[N@@H+]1CCO[C@@H](c2ccc(OC(F)F)cc2)C1 ZINC000499247221 1128665658 /nfs/dbraw/zinc/66/56/58/1128665658.db2.gz XJLWELKURDQABR-OAHLLOKOSA-N 1 2 297.345 3.628 20 0 CHADLO CC(C)=CC[N@H+]1CCO[C@@H](c2ccc(OC(F)F)cc2)C1 ZINC000499247221 1128665661 /nfs/dbraw/zinc/66/56/61/1128665661.db2.gz XJLWELKURDQABR-OAHLLOKOSA-N 1 2 297.345 3.628 20 0 CHADLO Cc1nn(-c2ccccc2)c(Cl)c1C[N@H+]1CC=CCC1 ZINC000778969819 1128673363 /nfs/dbraw/zinc/67/33/63/1128673363.db2.gz SYGMHEZTTKWGIQ-UHFFFAOYSA-N 1 2 287.794 3.596 20 0 CHADLO Cc1nn(-c2ccccc2)c(Cl)c1C[N@@H+]1CC=CCC1 ZINC000778969819 1128673365 /nfs/dbraw/zinc/67/33/65/1128673365.db2.gz SYGMHEZTTKWGIQ-UHFFFAOYSA-N 1 2 287.794 3.596 20 0 CHADLO NC(Cc1ccccc1Cl)=[NH+]OCc1ccccc1 ZINC000920257235 1128674729 /nfs/dbraw/zinc/67/47/29/1128674729.db2.gz XSPBHBRAPIHFPK-UHFFFAOYSA-N 1 2 274.751 3.581 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cccc(Cl)c2)no1 ZINC000044590041 1117750990 /nfs/dbraw/zinc/75/09/90/1117750990.db2.gz UDOIYGBGOUYLAW-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cccc(Cl)c2)no1 ZINC000044590041 1117750996 /nfs/dbraw/zinc/75/09/96/1117750996.db2.gz UDOIYGBGOUYLAW-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1ccc(F)cc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000035039976 1117762110 /nfs/dbraw/zinc/76/21/10/1117762110.db2.gz QEUIKGYOOLXKGV-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO Cc1nc(CNc2ccc([NH+]3CCCC3)cc2)cs1 ZINC000035044596 1117762722 /nfs/dbraw/zinc/76/27/22/1117762722.db2.gz KVAIWXQARGSJRO-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO CSc1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c(C)o1 ZINC000622776607 1117765341 /nfs/dbraw/zinc/76/53/41/1117765341.db2.gz NCGKKDZUGQDUOT-UHFFFAOYSA-N 1 2 290.388 3.882 20 0 CHADLO CCC[C@H]1[C@@H](C)CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000654840590 1117788661 /nfs/dbraw/zinc/78/86/61/1117788661.db2.gz AJWAMIAQMHPBJD-BBRMVZONSA-N 1 2 299.418 3.684 20 0 CHADLO COC[C@H]1CCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000648381336 1117861367 /nfs/dbraw/zinc/86/13/67/1117861367.db2.gz QIBFSFMRJGFCQD-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO COC[C@H]1CCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000648381336 1117861373 /nfs/dbraw/zinc/86/13/73/1117861373.db2.gz QIBFSFMRJGFCQD-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO Fc1ccc2nc(C[NH2+]Cc3ccc(Cl)o3)sc2c1 ZINC000683200440 1117865092 /nfs/dbraw/zinc/86/50/92/1117865092.db2.gz HWAKGDBUCNTTPH-UHFFFAOYSA-N 1 2 296.754 3.972 20 0 CHADLO Cc1cc(C)c(Nc2ccc3nnc(C(C)C)n3c2)c[nH+]1 ZINC001213510979 1117866529 /nfs/dbraw/zinc/86/65/29/1117866529.db2.gz PWIBPDNEPOYNFF-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2n[nH]c3ccccc32)C1 ZINC000670272145 1117869623 /nfs/dbraw/zinc/86/96/23/1117869623.db2.gz NGTKKIPVHWYERS-GOSISDBHSA-N 1 2 295.361 3.634 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2n[nH]c3ccccc32)C1 ZINC000670272145 1117869628 /nfs/dbraw/zinc/86/96/28/1117869628.db2.gz NGTKKIPVHWYERS-GOSISDBHSA-N 1 2 295.361 3.634 20 0 CHADLO Oc1cccc(CNc2ccc([NH+]3CCCC3)cc2)c1 ZINC000032116037 1117884547 /nfs/dbraw/zinc/88/45/47/1117884547.db2.gz BIBKGIBJOFCMIZ-UHFFFAOYSA-N 1 2 268.360 3.605 20 0 CHADLO Fc1cccc(C[N@@H+]2CC[C@H]2c2ccccc2)c1F ZINC000471163948 1117905410 /nfs/dbraw/zinc/90/54/10/1117905410.db2.gz ASVFTMRMAPNROB-HNNXBMFYSA-N 1 2 259.299 3.912 20 0 CHADLO Fc1cccc(C[N@H+]2CC[C@H]2c2ccccc2)c1F ZINC000471163948 1117905413 /nfs/dbraw/zinc/90/54/13/1117905413.db2.gz ASVFTMRMAPNROB-HNNXBMFYSA-N 1 2 259.299 3.912 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H](C)[C@@H]2c2ccc(C)cc2)no1 ZINC000157680459 1117908948 /nfs/dbraw/zinc/90/89/48/1117908948.db2.gz QNYSKGVPFCWGTC-CXAGYDPISA-N 1 2 285.391 3.660 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H](C)[C@@H]2c2ccc(C)cc2)no1 ZINC000157680459 1117908950 /nfs/dbraw/zinc/90/89/50/1117908950.db2.gz QNYSKGVPFCWGTC-CXAGYDPISA-N 1 2 285.391 3.660 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1ccncc1 ZINC000179923679 1117915951 /nfs/dbraw/zinc/91/59/51/1117915951.db2.gz DSNWBEFDTNKQMV-CQSZACIVSA-N 1 2 282.391 3.640 20 0 CHADLO CCc1ccccc1NC(=O)C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000471175531 1117921694 /nfs/dbraw/zinc/92/16/94/1117921694.db2.gz GUYKLBQKALGAOZ-SFHVURJKSA-N 1 2 294.398 3.635 20 0 CHADLO CCc1ccccc1NC(=O)C[N@H+]1CC[C@H]1c1ccccc1 ZINC000471175531 1117921700 /nfs/dbraw/zinc/92/17/00/1117921700.db2.gz GUYKLBQKALGAOZ-SFHVURJKSA-N 1 2 294.398 3.635 20 0 CHADLO Cc1cccc(NC(=O)C[N@@H+]2CC[C@H]2c2ccccc2)c1C ZINC000471183565 1117933518 /nfs/dbraw/zinc/93/35/18/1117933518.db2.gz YHXQQDWGKJGGGM-SFHVURJKSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1cccc(NC(=O)C[N@H+]2CC[C@H]2c2ccccc2)c1C ZINC000471183565 1117933521 /nfs/dbraw/zinc/93/35/21/1117933521.db2.gz YHXQQDWGKJGGGM-SFHVURJKSA-N 1 2 294.398 3.689 20 0 CHADLO CCc1ccc(NC(=O)C[N@@H+]2CC[C@H]2c2ccccc2)cc1 ZINC000471185102 1117935495 /nfs/dbraw/zinc/93/54/95/1117935495.db2.gz FDWZBZFDRQNDRA-SFHVURJKSA-N 1 2 294.398 3.635 20 0 CHADLO CCc1ccc(NC(=O)C[N@H+]2CC[C@H]2c2ccccc2)cc1 ZINC000471185102 1117935499 /nfs/dbraw/zinc/93/54/99/1117935499.db2.gz FDWZBZFDRQNDRA-SFHVURJKSA-N 1 2 294.398 3.635 20 0 CHADLO Cc1cc(N2CCC[C@H]3CCCC[C@H]32)nc(C2CC2)[nH+]1 ZINC000339668445 1117951308 /nfs/dbraw/zinc/95/13/08/1117951308.db2.gz JBIAXMDRNKSUAK-UKRRQHHQSA-N 1 2 271.408 3.821 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nc(C)cs1)c1c(C)noc1C ZINC000623925840 1117957879 /nfs/dbraw/zinc/95/78/79/1117957879.db2.gz QUNDKBNYJRLYML-JQWIXIFHSA-N 1 2 279.409 3.858 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccc3c(n2)CCCC3)n1 ZINC000623971639 1117964462 /nfs/dbraw/zinc/96/44/62/1117964462.db2.gz AUUBOGZMEZHMNT-LBPRGKRZSA-N 1 2 287.432 3.576 20 0 CHADLO F[C@@H]1CCC2(C1)CC[NH+](Cc1csc(Cl)n1)CC2 ZINC001139978754 1117967673 /nfs/dbraw/zinc/96/76/73/1117967673.db2.gz YYLPPPSTEDODHT-SNVBAGLBSA-N 1 2 288.819 3.901 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCc3ccccc3[C@@H]2C)c1 ZINC000339820145 1117979159 /nfs/dbraw/zinc/97/91/59/1117979159.db2.gz OZRYKGXHVJIYNU-AWEZNQCLSA-N 1 2 282.387 3.518 20 0 CHADLO Cc1cc(C)c(Nc2c(F)c(F)c(N)c(F)c2F)c[nH+]1 ZINC001213515655 1117980013 /nfs/dbraw/zinc/98/00/13/1117980013.db2.gz BPKMIJFJHPCACL-UHFFFAOYSA-N 1 2 285.244 3.581 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(F)F)c1cccc(Br)c1 ZINC000228489130 1117983826 /nfs/dbraw/zinc/98/38/26/1117983826.db2.gz BTZWMAZDQZWJAG-YUMQZZPRSA-N 1 2 278.140 3.753 20 0 CHADLO C[C@H]1CCC[C@@H]([N@H+](C)Cc2noc(Cc3ccccc3)n2)C1 ZINC000109377918 1117986832 /nfs/dbraw/zinc/98/68/32/1117986832.db2.gz WGEVVNHGCVKUKV-GOEBONIOSA-N 1 2 299.418 3.671 20 0 CHADLO C[C@H]1CCC[C@@H]([N@@H+](C)Cc2noc(Cc3ccccc3)n2)C1 ZINC000109377918 1117986836 /nfs/dbraw/zinc/98/68/36/1117986836.db2.gz WGEVVNHGCVKUKV-GOEBONIOSA-N 1 2 299.418 3.671 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000181163345 1117995410 /nfs/dbraw/zinc/99/54/10/1117995410.db2.gz YDVGQHXLERMFCY-FZMZJTMJSA-N 1 2 287.325 3.877 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000181163345 1117995413 /nfs/dbraw/zinc/99/54/13/1117995413.db2.gz YDVGQHXLERMFCY-FZMZJTMJSA-N 1 2 287.325 3.877 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccncc2Cl)cc1F ZINC000075618862 1117997235 /nfs/dbraw/zinc/99/72/35/1117997235.db2.gz COGACUVMZZGYEZ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccncc2Cl)cc1F ZINC000075618862 1117997237 /nfs/dbraw/zinc/99/72/37/1117997237.db2.gz COGACUVMZZGYEZ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Fc1cc(F)cc(CC[N@@H+]2CCCC(F)(F)[C@H](F)C2)c1 ZINC001209127790 1118000795 /nfs/dbraw/zinc/00/07/95/1118000795.db2.gz GRFZGBGJJSAZRF-CYBMUJFWSA-N 1 2 293.279 3.577 20 0 CHADLO Fc1cc(F)cc(CC[N@H+]2CCCC(F)(F)[C@H](F)C2)c1 ZINC001209127790 1118000800 /nfs/dbraw/zinc/00/08/00/1118000800.db2.gz GRFZGBGJJSAZRF-CYBMUJFWSA-N 1 2 293.279 3.577 20 0 CHADLO C[C@@H](Nc1ccccc1OCCn1cc[nH+]c1)C1CCCC1 ZINC000182940452 1118033175 /nfs/dbraw/zinc/03/31/75/1118033175.db2.gz JBCJELYFRZTAQO-OAHLLOKOSA-N 1 2 299.418 3.953 20 0 CHADLO CC[C@@H](C)[C@@H](C)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000182940641 1118033912 /nfs/dbraw/zinc/03/39/12/1118033912.db2.gz NNJAJWKJESMSGA-HUUCEWRRSA-N 1 2 287.407 3.809 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)c1 ZINC000182929186 1118034024 /nfs/dbraw/zinc/03/40/24/1118034024.db2.gz UIFNVFNNCCWTKE-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1nccn1C)c1ccc(F)cc1F ZINC000183118602 1118037791 /nfs/dbraw/zinc/03/77/91/1118037791.db2.gz RTARPYHQETUSTC-ABAIWWIYSA-N 1 2 293.361 3.890 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1nccn1C)c1ccc(F)cc1F ZINC000183118571 1118037978 /nfs/dbraw/zinc/03/79/78/1118037978.db2.gz RTARPYHQETUSTC-NHYWBVRUSA-N 1 2 293.361 3.890 20 0 CHADLO Fc1ccc2c(c1)[C@H](Nc1ccc(N3CCCC3)[nH+]c1)CC2 ZINC000183148732 1118039894 /nfs/dbraw/zinc/03/98/94/1118039894.db2.gz UTORBXZMRXSVBO-QGZVFWFLSA-N 1 2 297.377 3.920 20 0 CHADLO Cc1cc(N2CCC(n3cc[nH+]c3)CC2)nc2ccccc12 ZINC001167125841 1118041624 /nfs/dbraw/zinc/04/16/24/1118041624.db2.gz HGHQYHHNCOOTKD-UHFFFAOYSA-N 1 2 292.386 3.581 20 0 CHADLO CC[C@H](c1ccccc1)N(C)c1nc(C)[nH+]c2c1CCC2 ZINC001167127326 1118042130 /nfs/dbraw/zinc/04/21/30/1118042130.db2.gz BHUPXQHXGPRDOP-QGZVFWFLSA-N 1 2 281.403 3.861 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccncc2C)c1 ZINC000295538619 1118048477 /nfs/dbraw/zinc/04/84/77/1118048477.db2.gz XFTMUWWUKWKGKE-UHFFFAOYSA-N 1 2 273.405 3.650 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000183705981 1118048824 /nfs/dbraw/zinc/04/88/24/1118048824.db2.gz XUTWRBBWPFERLT-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000183705981 1118048829 /nfs/dbraw/zinc/04/88/29/1118048829.db2.gz XUTWRBBWPFERLT-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183705981 1118048833 /nfs/dbraw/zinc/04/88/33/1118048833.db2.gz XUTWRBBWPFERLT-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183705981 1118048836 /nfs/dbraw/zinc/04/88/36/1118048836.db2.gz XUTWRBBWPFERLT-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO CC(C)Oc1cccc([C@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)c1 ZINC000367629339 1118055354 /nfs/dbraw/zinc/05/53/54/1118055354.db2.gz BAOVWHKNWJEYNV-GUYCJALGSA-N 1 2 299.418 3.925 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCc2[nH]ncc21)c1ccc(OC)cc1 ZINC000368606211 1118058063 /nfs/dbraw/zinc/05/80/63/1118058063.db2.gz DCTUHHJXWBZHMV-JKSUJKDBSA-N 1 2 285.391 3.537 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2ccncc2Cl)C2CC2)s1 ZINC000185293095 1118062020 /nfs/dbraw/zinc/06/20/20/1118062020.db2.gz JAEMIMFMSOFIMC-ZDUSSCGKSA-N 1 2 293.823 3.741 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc2c(c1)CCCCC2 ZINC000404636022 1118077647 /nfs/dbraw/zinc/07/76/47/1118077647.db2.gz JLKPXOYKCUQNFN-UHFFFAOYSA-N 1 2 269.392 3.784 20 0 CHADLO CC(=O)c1cc(C[N@H+](Cc2ccc(C)s2)C(C)C)on1 ZINC000579669049 1128754602 /nfs/dbraw/zinc/75/46/02/1128754602.db2.gz QBPPECHQEWLOCL-UHFFFAOYSA-N 1 2 292.404 3.658 20 0 CHADLO CC(=O)c1cc(C[N@@H+](Cc2ccc(C)s2)C(C)C)on1 ZINC000579669049 1128754604 /nfs/dbraw/zinc/75/46/04/1128754604.db2.gz QBPPECHQEWLOCL-UHFFFAOYSA-N 1 2 292.404 3.658 20 0 CHADLO COc1c(Cl)ccc(C[NH+]2CC3(C2)CCCC3)c1F ZINC001209696013 1118087180 /nfs/dbraw/zinc/08/71/80/1118087180.db2.gz GRXDTZFOADXTAV-UHFFFAOYSA-N 1 2 283.774 3.864 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1ccc(C(F)(F)F)cc1F ZINC001204463305 1118096146 /nfs/dbraw/zinc/09/61/46/1118096146.db2.gz LWPDLGLAMITWOJ-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1ccc(C(F)(F)F)cc1F ZINC001204463305 1118096152 /nfs/dbraw/zinc/09/61/52/1118096152.db2.gz LWPDLGLAMITWOJ-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC(C)C)cc1F ZINC001209832593 1118096169 /nfs/dbraw/zinc/09/61/69/1118096169.db2.gz KNXWAVQEMFXIPV-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO CC(C)C[C@H]1OCCC[C@H]1[NH2+]Cc1cccc(Cl)c1O ZINC000187679212 1128755857 /nfs/dbraw/zinc/75/58/57/1128755857.db2.gz FTYMCRWGKUHXMX-HUUCEWRRSA-N 1 2 297.826 3.729 20 0 CHADLO Cc1cc(C)c([C@@H](C)[NH2+][C@H](C)c2csnn2)cc1C ZINC000398234158 1118104244 /nfs/dbraw/zinc/10/42/44/1118104244.db2.gz KLMYVHHZHLVSHX-CHWSQXEVSA-N 1 2 275.421 3.875 20 0 CHADLO Cc1cccc2c(C)cc(N3C[C@H]4[C@H](CF)[C@H]4C3)[nH+]c12 ZINC001167223943 1118105031 /nfs/dbraw/zinc/10/50/31/1118105031.db2.gz QRXDALXNAMLPDK-QKDCVEJESA-N 1 2 270.351 3.503 20 0 CHADLO CCCOc1ccc([C@H](C)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398255775 1118107640 /nfs/dbraw/zinc/10/76/40/1118107640.db2.gz CKHIJFPJEPACEY-NWDGAFQWSA-N 1 2 291.420 3.739 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(-c2ccccc2)no1)c1ccccc1 ZINC000119959602 1118110403 /nfs/dbraw/zinc/11/04/03/1118110403.db2.gz NGWTXXQOEOPCED-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc([C@H](C)OC)c1 ZINC001209947184 1118115688 /nfs/dbraw/zinc/11/56/88/1118115688.db2.gz MAFXHOSGVFOSDD-NSHDSACASA-N 1 2 258.321 3.541 20 0 CHADLO CCOc1cc(Nc2ccc(O)c(C)c2)cc(C)[nH+]1 ZINC001209973895 1118123594 /nfs/dbraw/zinc/12/35/94/1118123594.db2.gz IVOFRHSTUUSKRD-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO C/C=C(/C=C/C(=O)Nc1cccc(-n2cc[nH+]c2)c1)CC ZINC000764900432 1118125378 /nfs/dbraw/zinc/12/53/78/1118125378.db2.gz ASQADVUAZSCEAX-HNLUUKSTSA-N 1 2 281.359 3.723 20 0 CHADLO CC[N@H+](CCCc1ccncc1)c1ccc(C)cc1 ZINC000764974305 1118128112 /nfs/dbraw/zinc/12/81/12/1118128112.db2.gz YQYNSZWJIGJCHI-UHFFFAOYSA-N 1 2 254.377 3.849 20 0 CHADLO CC[N@@H+](CCCc1ccncc1)c1ccc(C)cc1 ZINC000764974305 1118128114 /nfs/dbraw/zinc/12/81/14/1118128114.db2.gz YQYNSZWJIGJCHI-UHFFFAOYSA-N 1 2 254.377 3.849 20 0 CHADLO CN(C)c1ccccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001209987989 1118128232 /nfs/dbraw/zinc/12/82/32/1118128232.db2.gz NNVOWLSFGKYRNR-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO Cc1cc(-c2ccc(C(=O)N(C)C)c(Cl)c2)cc(C)[nH+]1 ZINC001239003778 1118132561 /nfs/dbraw/zinc/13/25/61/1118132561.db2.gz DNCQHJSUVQIJRI-UHFFFAOYSA-N 1 2 288.778 3.721 20 0 CHADLO Cc1cc(Nc2ccc([NH+](C)C)c(C)c2)ccc1O ZINC001210042227 1118141994 /nfs/dbraw/zinc/14/19/94/1118141994.db2.gz XLBZYAZKVGBVAY-UHFFFAOYSA-N 1 2 256.349 3.819 20 0 CHADLO CNc1ccc(Nc2cc(F)ccc2Cl)c[nH+]1 ZINC001203456557 1118142517 /nfs/dbraw/zinc/14/25/17/1118142517.db2.gz JTSSFZYIIGPNQX-UHFFFAOYSA-N 1 2 251.692 3.659 20 0 CHADLO C[C@@H](Nc1[nH+]cnc2c1cnn2C(C)(C)C)C1CCCC1 ZINC000179784498 1128759373 /nfs/dbraw/zinc/75/93/73/1128759373.db2.gz OMHOCYBUIVBIQT-LLVKDONJSA-N 1 2 287.411 3.572 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(OC(C)C)cc2)o1 ZINC000171977951 1118163121 /nfs/dbraw/zinc/16/31/21/1118163121.db2.gz ALLGEVLTLVRITA-NEPJUHHUSA-N 1 2 289.379 3.577 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCn2ccnc21)c1ccccc1Cl ZINC000655679063 1118182688 /nfs/dbraw/zinc/18/26/88/1118182688.db2.gz XVXDAIPOHYEWLJ-FZMZJTMJSA-N 1 2 275.783 3.722 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(SC)cc2)c1 ZINC000650244793 1118194177 /nfs/dbraw/zinc/19/41/77/1118194177.db2.gz PKIQJUYGBLXYLI-UHFFFAOYSA-N 1 2 274.389 3.562 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCn2ccnc21)c1ccc(F)cc1Cl ZINC000655728836 1118195272 /nfs/dbraw/zinc/19/52/72/1118195272.db2.gz YVBKWCJMJGFSFU-QMTHXVAHSA-N 1 2 293.773 3.861 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCn2ccnc21)c1cc(F)ccc1F ZINC000655729601 1118195749 /nfs/dbraw/zinc/19/57/49/1118195749.db2.gz LPFKSOIBIGMVFP-CABCVRRESA-N 1 2 291.345 3.737 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc2c1OCC2)c1c(F)cccc1F ZINC000921564120 1118199483 /nfs/dbraw/zinc/19/94/83/1118199483.db2.gz OYTGXMJFWQZZGF-LLVKDONJSA-N 1 2 289.325 3.751 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@@H]2CCc3cc(F)ccc32)n1 ZINC000921615296 1118206232 /nfs/dbraw/zinc/20/62/32/1118206232.db2.gz UENJPPCDVHDLST-CQSZACIVSA-N 1 2 288.366 3.888 20 0 CHADLO CNc1ccc(Nc2cc(Cl)c(N)cc2Cl)c[nH+]1 ZINC001203457923 1118215028 /nfs/dbraw/zinc/21/50/28/1118215028.db2.gz DMDNJEHDTRVTDR-UHFFFAOYSA-N 1 2 283.162 3.756 20 0 CHADLO C[C@@H]1SCC[N@H+](Cc2cscn2)[C@H]1c1ccccc1 ZINC000172452199 1118263529 /nfs/dbraw/zinc/26/35/29/1118263529.db2.gz YLXZXPXKQWCRTR-SWLSCSKDSA-N 1 2 290.457 3.822 20 0 CHADLO C[C@@H]1SCC[N@@H+](Cc2cscn2)[C@H]1c1ccccc1 ZINC000172452199 1118263530 /nfs/dbraw/zinc/26/35/30/1118263530.db2.gz YLXZXPXKQWCRTR-SWLSCSKDSA-N 1 2 290.457 3.822 20 0 CHADLO Cc1cc(F)ccc1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000047435833 1118269776 /nfs/dbraw/zinc/26/97/76/1118269776.db2.gz WAFHRZQMLNDSMM-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cccnc1C[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000071339370 1118275987 /nfs/dbraw/zinc/27/59/87/1118275987.db2.gz ZOSGBNAYTYVRSA-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)cc2Cl)nn1C ZINC000282180443 1118277339 /nfs/dbraw/zinc/27/73/39/1118277339.db2.gz MUFLMLAITAOURQ-WDEREUQCSA-N 1 2 295.789 3.933 20 0 CHADLO Oc1cccc(C[NH2+][C@@H](c2ccccc2)c2ccccn2)c1 ZINC000072671381 1118279058 /nfs/dbraw/zinc/27/90/58/1118279058.db2.gz BPIXTPCYHZZOKQ-IBGZPJMESA-N 1 2 290.366 3.666 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1ncc(C)o1 ZINC000282199378 1118286611 /nfs/dbraw/zinc/28/66/11/1118286611.db2.gz WZZHCYXXPZZBKU-LLVKDONJSA-N 1 2 262.378 3.556 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+]Cc2c(F)cc(F)cc2F)c1 ZINC000340494486 1118307071 /nfs/dbraw/zinc/30/70/71/1118307071.db2.gz FDHJCAKLOIWQGM-JTQLQIEISA-N 1 2 280.293 3.658 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@@H](C)c1ccncc1F ZINC000340493624 1118307123 /nfs/dbraw/zinc/30/71/23/1118307123.db2.gz ZEEPTHHYCHNPPG-WDEREUQCSA-N 1 2 292.329 3.780 20 0 CHADLO Fc1ccc([C@H]([NH2+][C@H]2CCC[C@H]2F)c2ccccn2)cc1 ZINC000340523978 1118315540 /nfs/dbraw/zinc/31/55/40/1118315540.db2.gz JIJDWRPMVMLKOP-VYDXJSESSA-N 1 2 288.341 3.790 20 0 CHADLO Cc1cccc([C@H]([NH2+][C@H](C)c2cn[nH]c2)c2ccccn2)c1 ZINC000340531052 1118321801 /nfs/dbraw/zinc/32/18/01/1118321801.db2.gz RTJIEBPHUWPOCC-KDOFPFPSSA-N 1 2 292.386 3.553 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(Cl)cc2)oc1C ZINC000064031107 1118323120 /nfs/dbraw/zinc/32/31/20/1118323120.db2.gz WIDMUXYQJOLNCH-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(Cl)cc2)oc1C ZINC000064031107 1118323124 /nfs/dbraw/zinc/32/31/24/1118323124.db2.gz WIDMUXYQJOLNCH-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1c(Cl)cccc1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000683741679 1118324711 /nfs/dbraw/zinc/32/47/11/1118324711.db2.gz ZYRGGEGHZSCSCN-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cccc(F)c1C)C2 ZINC001204512458 1118325774 /nfs/dbraw/zinc/32/57/74/1118325774.db2.gz IPCZMRQVBVRJSO-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cccc(F)c1C)C2 ZINC001204512458 1118325777 /nfs/dbraw/zinc/32/57/77/1118325777.db2.gz IPCZMRQVBVRJSO-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO C[NH+](C)[C@@H](c1nc([C@@H]2CCC[C@H]3C[C@H]32)no1)c1ccccc1 ZINC000656049438 1118340141 /nfs/dbraw/zinc/34/01/41/1118340141.db2.gz NAACBDAKAYKQDE-ZJIFWQFVSA-N 1 2 297.402 3.624 20 0 CHADLO O=c1[nH]cc(Nc2cc[nH+]c3cc(Cl)ccc23)cc1F ZINC001210599528 1118343707 /nfs/dbraw/zinc/34/37/07/1118343707.db2.gz JFJFRKJXMKDGRU-UHFFFAOYSA-N 1 2 289.697 3.872 20 0 CHADLO Cc1cccc(N(C)C(=O)Nc2ccn3cc[nH+]c3c2)c1C ZINC001671493390 1118344426 /nfs/dbraw/zinc/34/44/26/1118344426.db2.gz QHGXOQJWGALMJC-UHFFFAOYSA-N 1 2 294.358 3.619 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3c[nH]c(=O)c(F)c3)ccc12 ZINC001210606365 1118348229 /nfs/dbraw/zinc/34/82/29/1118348229.db2.gz DNRLAVRHQLZLMU-UHFFFAOYSA-N 1 2 269.279 3.527 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(C(C)(C)O)cc1 ZINC001210616233 1118350049 /nfs/dbraw/zinc/35/00/49/1118350049.db2.gz CIUUQOXRHJPSRZ-UHFFFAOYSA-N 1 2 256.349 3.615 20 0 CHADLO CNc1ccc(Nc2c(O)cccc2C(F)(F)F)c[nH+]1 ZINC001203460411 1118367113 /nfs/dbraw/zinc/36/71/13/1118367113.db2.gz VTQXCIXNFFYWLR-UHFFFAOYSA-N 1 2 283.253 3.591 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1ccc(F)cc1Cl ZINC000071014818 1118372581 /nfs/dbraw/zinc/37/25/81/1118372581.db2.gz GTMUCXLQFBIKRJ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1ccc(F)cc1Cl ZINC000071014818 1118372584 /nfs/dbraw/zinc/37/25/84/1118372584.db2.gz GTMUCXLQFBIKRJ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](c2ccc(F)cc2)C(C)C)n1 ZINC000073688779 1118383623 /nfs/dbraw/zinc/38/36/23/1118383623.db2.gz ZWIFVBODDONSDP-MRXNPFEDSA-N 1 2 291.370 3.648 20 0 CHADLO c1nc(C2CC2)sc1C[N@H+](Cc1cccnc1)C1CC1 ZINC000580128697 1118386076 /nfs/dbraw/zinc/38/60/76/1118386076.db2.gz SIZXJXDTUAGZKV-UHFFFAOYSA-N 1 2 285.416 3.580 20 0 CHADLO c1nc(C2CC2)sc1C[N@@H+](Cc1cccnc1)C1CC1 ZINC000580128697 1118386080 /nfs/dbraw/zinc/38/60/80/1118386080.db2.gz SIZXJXDTUAGZKV-UHFFFAOYSA-N 1 2 285.416 3.580 20 0 CHADLO CC(C)(C)CCNc1nc2ccccc2n2c[nH+]cc12 ZINC000165442504 1118400163 /nfs/dbraw/zinc/40/01/63/1118400163.db2.gz MZAIGRXZOSISOQ-UHFFFAOYSA-N 1 2 268.364 3.731 20 0 CHADLO CC(C)(C)c1nc(C[N@H+](C2CC2)C2CCCCC2)no1 ZINC000047903665 1118411358 /nfs/dbraw/zinc/41/13/58/1118411358.db2.gz FRRJLRRFYAWVKM-UHFFFAOYSA-N 1 2 277.412 3.664 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+](C2CC2)C2CCCCC2)no1 ZINC000047903665 1118411360 /nfs/dbraw/zinc/41/13/60/1118411360.db2.gz FRRJLRRFYAWVKM-UHFFFAOYSA-N 1 2 277.412 3.664 20 0 CHADLO CCCOc1ccc(C[N@H+](C)Cc2nccs2)cc1 ZINC000124869552 1118420828 /nfs/dbraw/zinc/42/08/28/1118420828.db2.gz QCICREGHCAUMCR-UHFFFAOYSA-N 1 2 276.405 3.564 20 0 CHADLO CCCOc1ccc(C[N@@H+](C)Cc2nccs2)cc1 ZINC000124869552 1118420829 /nfs/dbraw/zinc/42/08/29/1118420829.db2.gz QCICREGHCAUMCR-UHFFFAOYSA-N 1 2 276.405 3.564 20 0 CHADLO c1cc2cc(NC[C@@H]3CCN(c4ccccc4)C3)[nH+]cc2[nH]1 ZINC000683893386 1118431372 /nfs/dbraw/zinc/43/13/72/1118431372.db2.gz QUAOGIJKAUTFGZ-AWEZNQCLSA-N 1 2 292.386 3.501 20 0 CHADLO Clc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC000683893227 1118431553 /nfs/dbraw/zinc/43/15/53/1118431553.db2.gz BKCJEZCNYKXHKI-UHFFFAOYSA-N 1 2 257.724 3.828 20 0 CHADLO Clc1ccc(CCNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC000683893472 1118431794 /nfs/dbraw/zinc/43/17/94/1118431794.db2.gz YSKSOFLFKFHOJS-UHFFFAOYSA-N 1 2 271.751 3.871 20 0 CHADLO FC(F)Oc1ccccc1CNc1cc2cc[nH]c2c[nH+]1 ZINC000683893215 1118431871 /nfs/dbraw/zinc/43/18/71/1118431871.db2.gz AWYCEZXCDUANED-UHFFFAOYSA-N 1 2 289.285 3.776 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C(F)(F)F)cc2)oc1C ZINC000049982778 1118435291 /nfs/dbraw/zinc/43/52/91/1118435291.db2.gz GNCIAAGLUOXXCZ-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C(F)(F)F)cc2)oc1C ZINC000049982778 1118435294 /nfs/dbraw/zinc/43/52/94/1118435294.db2.gz GNCIAAGLUOXXCZ-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO Cc1ccc(Nc2ccc(N)cc2C(F)(F)F)[nH+]c1 ZINC001211013210 1118439361 /nfs/dbraw/zinc/43/93/61/1118439361.db2.gz BDAAOCBLQWLBLT-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1ccc2ncnc(NCCc3[nH+]cc(C)cc3C)c2c1 ZINC000656211041 1118445383 /nfs/dbraw/zinc/44/53/83/1118445383.db2.gz NYANSXRERAQXEH-UHFFFAOYSA-N 1 2 292.386 3.605 20 0 CHADLO CCOc1ccc(F)c(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001211051033 1118447854 /nfs/dbraw/zinc/44/78/54/1118447854.db2.gz ROZYSNQCZDWOHF-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCc1nnc(C[N@H+](Cc2cccc(Cl)c2)C(C)C)o1 ZINC000047959083 1118481552 /nfs/dbraw/zinc/48/15/52/1118481552.db2.gz MGVYSRMHJVZXMT-UHFFFAOYSA-N 1 2 293.798 3.696 20 0 CHADLO CCc1nnc(C[N@@H+](Cc2cccc(Cl)c2)C(C)C)o1 ZINC000047959083 1118481554 /nfs/dbraw/zinc/48/15/54/1118481554.db2.gz MGVYSRMHJVZXMT-UHFFFAOYSA-N 1 2 293.798 3.696 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cc2ccccc2[nH]1 ZINC000684019037 1118488962 /nfs/dbraw/zinc/48/89/62/1118488962.db2.gz HALOHIDBFISTTA-CYBMUJFWSA-N 1 2 265.360 3.546 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cc2ccccc2[nH]1 ZINC000684019037 1118488966 /nfs/dbraw/zinc/48/89/66/1118488966.db2.gz HALOHIDBFISTTA-CYBMUJFWSA-N 1 2 265.360 3.546 20 0 CHADLO CCCCc1noc(C[N@H+](CC)Cc2ccccc2C)n1 ZINC000048059347 1118507212 /nfs/dbraw/zinc/50/72/12/1118507212.db2.gz UNADUIQHHOFFOH-UHFFFAOYSA-N 1 2 287.407 3.743 20 0 CHADLO CCCCc1noc(C[N@@H+](CC)Cc2ccccc2C)n1 ZINC000048059347 1118507214 /nfs/dbraw/zinc/50/72/14/1118507214.db2.gz UNADUIQHHOFFOH-UHFFFAOYSA-N 1 2 287.407 3.743 20 0 CHADLO Cc1cc(C[N@H+](C)CC(=O)c2ccccc2)cc(C)c1F ZINC001143385494 1118524306 /nfs/dbraw/zinc/52/43/06/1118524306.db2.gz VJLPGUUGKNEKRE-UHFFFAOYSA-N 1 2 285.362 3.757 20 0 CHADLO Cc1cc(C[N@@H+](C)CC(=O)c2ccccc2)cc(C)c1F ZINC001143385494 1118524308 /nfs/dbraw/zinc/52/43/08/1118524308.db2.gz VJLPGUUGKNEKRE-UHFFFAOYSA-N 1 2 285.362 3.757 20 0 CHADLO C/C=C/c1ccc(Nc2[nH+]cnc3[nH]ccc32)cc1 ZINC000580973433 1118531605 /nfs/dbraw/zinc/53/16/05/1118531605.db2.gz GASKCFRPLWLQRT-NSCUHMNNSA-N 1 2 250.305 3.735 20 0 CHADLO O=C(CC(C1CC1)C1CC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000065106756 1118540768 /nfs/dbraw/zinc/54/07/68/1118540768.db2.gz LWZIOEXQHOCPRJ-UHFFFAOYSA-N 1 2 295.386 3.637 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+]2Cc2ccc3c(n2)CCC3)c1 ZINC000656445281 1118551512 /nfs/dbraw/zinc/55/15/12/1118551512.db2.gz SUBIKLWWOHHRQH-SFHVURJKSA-N 1 2 282.362 3.656 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+]2Cc2ccc3c(n2)CCC3)c1 ZINC000656445281 1118551513 /nfs/dbraw/zinc/55/15/13/1118551513.db2.gz SUBIKLWWOHHRQH-SFHVURJKSA-N 1 2 282.362 3.656 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2ccc(Cl)o2)o1 ZINC000071007015 1118551604 /nfs/dbraw/zinc/55/16/04/1118551604.db2.gz QFRGEOQRGZJIBE-JOYOIKCWSA-N 1 2 265.740 3.939 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2ccc(Cl)o2)o1 ZINC000071007009 1118551704 /nfs/dbraw/zinc/55/17/04/1118551704.db2.gz QFRGEOQRGZJIBE-SKDRFNHKSA-N 1 2 265.740 3.939 20 0 CHADLO CCO[C@H]1CCc2[nH+]c3cccc(C)c3c(C)c2C1 ZINC000656475758 1118562467 /nfs/dbraw/zinc/56/24/67/1118562467.db2.gz KMBJCIWKOJZOBG-ZDUSSCGKSA-N 1 2 255.361 3.745 20 0 CHADLO CCn1c[nH+]c2c1CCN([C@H](C)c1ccc(Cl)cc1)C2 ZINC000933253884 1118579278 /nfs/dbraw/zinc/57/92/78/1118579278.db2.gz VUAKIKQAPHAJEJ-GFCCVEGCSA-N 1 2 289.810 3.676 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000341071760 1118599806 /nfs/dbraw/zinc/59/98/06/1118599806.db2.gz LBOWDWFURLBSBW-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO Brc1csc(CNc2cccc[nH+]2)c1 ZINC000069831495 1118654565 /nfs/dbraw/zinc/65/45/65/1118654565.db2.gz BBIBMVJFZXXQKB-UHFFFAOYSA-N 1 2 269.167 3.518 20 0 CHADLO c1sc(C2CC2)nc1CNc1c[nH+]cc2c1CCCC2 ZINC000656776094 1118655431 /nfs/dbraw/zinc/65/54/31/1118655431.db2.gz GFUBHSQSYIHPKT-UHFFFAOYSA-N 1 2 285.416 3.906 20 0 CHADLO COCc1csc(CNc2c[nH+]cc3c2CCCC3)c1 ZINC000656775882 1118655460 /nfs/dbraw/zinc/65/54/60/1118655460.db2.gz FOKDHOYXDVXPPV-UHFFFAOYSA-N 1 2 288.416 3.780 20 0 CHADLO c1[nH+]cc(NCc2nc3c(s2)CCC3)c2c1CCCC2 ZINC000656776822 1118656242 /nfs/dbraw/zinc/65/62/42/1118656242.db2.gz TZHFUSHUWMMSPE-UHFFFAOYSA-N 1 2 285.416 3.518 20 0 CHADLO CC(C)C[C@H](C[N@@H+]1CC(F)(F)C[C@@H]1CO)c1ccccc1 ZINC000684405285 1118683474 /nfs/dbraw/zinc/68/34/74/1118683474.db2.gz DQSHCBKEMLWWLK-HZPDHXFCSA-N 1 2 297.389 3.518 20 0 CHADLO CC(C)C[C@H](C[N@H+]1CC(F)(F)C[C@@H]1CO)c1ccccc1 ZINC000684405285 1118683476 /nfs/dbraw/zinc/68/34/76/1118683476.db2.gz DQSHCBKEMLWWLK-HZPDHXFCSA-N 1 2 297.389 3.518 20 0 CHADLO Cc1ccc2cc(C[NH2+]C(C)(C)C(F)F)ccc2n1 ZINC000684414068 1118688358 /nfs/dbraw/zinc/68/83/58/1118688358.db2.gz DVNIJKMIWYCARC-UHFFFAOYSA-N 1 2 264.319 3.677 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(C(F)F)c2Cl)n1C ZINC000341398150 1118690055 /nfs/dbraw/zinc/69/00/55/1118690055.db2.gz RTEMKFFPBIUURP-UHFFFAOYSA-N 1 2 285.725 3.932 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2nccn2C(F)F)c1 ZINC000079865704 1118692025 /nfs/dbraw/zinc/69/20/25/1118692025.db2.gz PKYZDPBPJNDMFL-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2nccn2C(F)F)c1 ZINC000079865704 1118692027 /nfs/dbraw/zinc/69/20/27/1118692027.db2.gz PKYZDPBPJNDMFL-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1cc(N(C)C2CCC(C)CC2)nc(C2CC2)[nH+]1 ZINC000341468343 1118714540 /nfs/dbraw/zinc/71/45/40/1118714540.db2.gz DUXLMSDEESZFJD-UHFFFAOYSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc(N(C)[C@@H]2CCC[C@H](C)C2)nc(C2CC2)[nH+]1 ZINC000341498014 1118717465 /nfs/dbraw/zinc/71/74/65/1118717465.db2.gz KIHYTEYJCVTWEM-SMDDNHRTSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc(N(CCC(C)C)C2CC2)nc(C2CC2)[nH+]1 ZINC000341518005 1118717811 /nfs/dbraw/zinc/71/78/11/1118717811.db2.gz QBDRAKCRKDQMML-UHFFFAOYSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc(N(C)[C@@H]2CCCC[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000341510794 1118718235 /nfs/dbraw/zinc/71/82/35/1118718235.db2.gz YTVYSNYEKDMQJB-SMDDNHRTSA-N 1 2 259.397 3.677 20 0 CHADLO COc1ccc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)o1 ZINC000891605628 1118720415 /nfs/dbraw/zinc/72/04/15/1118720415.db2.gz AAEVSAKSUFGGFR-JTQLQIEISA-N 1 2 281.302 3.759 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)o1 ZINC000891605628 1118720416 /nfs/dbraw/zinc/72/04/16/1118720416.db2.gz AAEVSAKSUFGGFR-JTQLQIEISA-N 1 2 281.302 3.759 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(CC(C)C)nc2)no1 ZINC000891648704 1118729715 /nfs/dbraw/zinc/72/97/15/1118729715.db2.gz SJXOEVJJOSOQSB-GOSISDBHSA-N 1 2 299.418 3.914 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(CC(C)C)nc2)no1 ZINC000891648704 1118729718 /nfs/dbraw/zinc/72/97/18/1118729718.db2.gz SJXOEVJJOSOQSB-GOSISDBHSA-N 1 2 299.418 3.914 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1nccn1C1CC1 ZINC000891705276 1118748120 /nfs/dbraw/zinc/74/81/20/1118748120.db2.gz PQKYWBNTGBNVEJ-AWEZNQCLSA-N 1 2 287.432 3.789 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1nccn1C1CC1 ZINC000891705276 1118748122 /nfs/dbraw/zinc/74/81/22/1118748122.db2.gz PQKYWBNTGBNVEJ-AWEZNQCLSA-N 1 2 287.432 3.789 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cc(C)cnc2Cl)on1 ZINC000891719963 1118751054 /nfs/dbraw/zinc/75/10/54/1118751054.db2.gz UVLJOIOWNALMNZ-CYBMUJFWSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cc(C)cnc2Cl)on1 ZINC000891719963 1118751057 /nfs/dbraw/zinc/75/10/57/1118751057.db2.gz UVLJOIOWNALMNZ-CYBMUJFWSA-N 1 2 291.782 3.677 20 0 CHADLO COc1ccc(CO)cc1Nc1c(C)cc[nH+]c1C(C)C ZINC001211523348 1118751399 /nfs/dbraw/zinc/75/13/99/1118751399.db2.gz QEXQKZKXZBAWGC-UHFFFAOYSA-N 1 2 286.375 3.758 20 0 CHADLO Cc1cc(NC(=O)C[C@H]2C[C@H](C)c3c2cccc3C)cc[nH+]1 ZINC001266882781 1118767045 /nfs/dbraw/zinc/76/70/45/1118767045.db2.gz JQKLVVYTXVXQBF-DZGCQCFKSA-N 1 2 294.398 3.740 20 0 CHADLO CC1(C)C[N@H+](Cc2nccn2C2CC2)[C@@H]1c1ccccc1 ZINC000891794279 1118777582 /nfs/dbraw/zinc/77/75/82/1118777582.db2.gz SCWISWMGNJSPHT-QGZVFWFLSA-N 1 2 281.403 3.801 20 0 CHADLO CC1(C)C[N@@H+](Cc2nccn2C2CC2)[C@@H]1c1ccccc1 ZINC000891794279 1118777584 /nfs/dbraw/zinc/77/75/84/1118777584.db2.gz SCWISWMGNJSPHT-QGZVFWFLSA-N 1 2 281.403 3.801 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000341647051 1118777644 /nfs/dbraw/zinc/77/76/44/1118777644.db2.gz YNMBGIULVIPKEV-CYBMUJFWSA-N 1 2 285.391 3.883 20 0 CHADLO CC(C)CCc1nc(C[N@H+](C)CCOCC2CC2)cs1 ZINC000088599933 1118779024 /nfs/dbraw/zinc/77/90/24/1118779024.db2.gz HNWUCQKGYQDZFA-UHFFFAOYSA-N 1 2 296.480 3.590 20 0 CHADLO CC(C)CCc1nc(C[N@@H+](C)CCOCC2CC2)cs1 ZINC000088599933 1118779029 /nfs/dbraw/zinc/77/90/29/1118779029.db2.gz HNWUCQKGYQDZFA-UHFFFAOYSA-N 1 2 296.480 3.590 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1C/C=C/c1ccncc1 ZINC000430867987 1118780000 /nfs/dbraw/zinc/78/00/00/1118780000.db2.gz MJAHFPCKDGOVCA-FUTAKVPZSA-N 1 2 296.418 3.538 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1C/C=C/c1ccncc1 ZINC000430867987 1118780004 /nfs/dbraw/zinc/78/00/04/1118780004.db2.gz MJAHFPCKDGOVCA-FUTAKVPZSA-N 1 2 296.418 3.538 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1ccnn1C1CCC1)C1CC1 ZINC000891803972 1118782937 /nfs/dbraw/zinc/78/29/37/1118782937.db2.gz ZWYZUAZQXKXLSD-ZDUSSCGKSA-N 1 2 285.391 3.927 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1ccnn1C1CCC1)C1CC1 ZINC000891803972 1118782941 /nfs/dbraw/zinc/78/29/41/1118782941.db2.gz ZWYZUAZQXKXLSD-ZDUSSCGKSA-N 1 2 285.391 3.927 20 0 CHADLO CN(c1nc(C[NH2+]C2(c3ccccc3)CC2)cs1)C1CC1 ZINC000341658106 1118787081 /nfs/dbraw/zinc/78/70/81/1118787081.db2.gz NCWCCOUQNDPXHY-UHFFFAOYSA-N 1 2 299.443 3.521 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(-c2cccnc2)c1)C(F)F ZINC000449358295 1118792110 /nfs/dbraw/zinc/79/21/10/1118792110.db2.gz FIGUKAQIZAAYTD-OAHLLOKOSA-N 1 2 276.330 3.882 20 0 CHADLO Cc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccco2)nc1 ZINC000639929797 1118812959 /nfs/dbraw/zinc/81/29/59/1118812959.db2.gz PXXMLJVKIPNARR-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2ccco2)nc1 ZINC000639929797 1118812963 /nfs/dbraw/zinc/81/29/63/1118812963.db2.gz PXXMLJVKIPNARR-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2C(F)(F)F)C[C@@H](C2CC2)O1 ZINC000510781321 1118815180 /nfs/dbraw/zinc/81/51/80/1118815180.db2.gz UIYGJQZFYCMRMW-NHYWBVRUSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)C[C@@H](C2CC2)O1 ZINC000510781321 1118815186 /nfs/dbraw/zinc/81/51/86/1118815186.db2.gz UIYGJQZFYCMRMW-NHYWBVRUSA-N 1 2 299.336 3.705 20 0 CHADLO COc1cc2c(cc1OC)[C@H](Nc1ccc(C)[nH+]c1C)CC2 ZINC000684555941 1118832303 /nfs/dbraw/zinc/83/23/03/1118832303.db2.gz AEMDHIQIVWBELY-MRXNPFEDSA-N 1 2 298.386 3.815 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1cc(Cl)ccc1F ZINC000092479376 1118852453 /nfs/dbraw/zinc/85/24/53/1118852453.db2.gz WVVJVPWKNSLQFL-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1cc(Cl)ccc1F ZINC000092479376 1118852448 /nfs/dbraw/zinc/85/24/48/1118852448.db2.gz WVVJVPWKNSLQFL-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3nc(C)oc3c2)[nH+]1 ZINC001213063208 1118855095 /nfs/dbraw/zinc/85/50/95/1118855095.db2.gz ZFCJMLKATDCGEA-UHFFFAOYSA-N 1 2 278.315 3.836 20 0 CHADLO CCCOc1cc(C[NH2+][C@H](C)C(C)(F)F)ccc1OC ZINC000449412451 1118874122 /nfs/dbraw/zinc/87/41/22/1118874122.db2.gz FQBCQKWSBGTSBQ-LLVKDONJSA-N 1 2 287.350 3.617 20 0 CHADLO Cl/C=C\C[N@@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000255426413 1118911240 /nfs/dbraw/zinc/91/12/40/1118911240.db2.gz KBUJJWYNRZTOPH-GEZBDVBVSA-N 1 2 272.779 3.646 20 0 CHADLO Cl/C=C\C[N@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000255426413 1118911244 /nfs/dbraw/zinc/91/12/44/1118911244.db2.gz KBUJJWYNRZTOPH-GEZBDVBVSA-N 1 2 272.779 3.646 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(C(F)(F)F)cc2C)n1 ZINC000342136767 1118929373 /nfs/dbraw/zinc/92/93/73/1118929373.db2.gz SIYOUWWZAOJIIO-SECBINFHSA-N 1 2 299.296 3.556 20 0 CHADLO COc1cccc2cc([C@H](C)[NH2+]Cc3nccs3)oc21 ZINC000041012406 1118929446 /nfs/dbraw/zinc/92/94/46/1118929446.db2.gz GDOVPHJELKZXGH-JTQLQIEISA-N 1 2 288.372 3.749 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@H](C)c2ccc(Cl)cc2Cl)n1 ZINC000657289115 1118959151 /nfs/dbraw/zinc/95/91/51/1118959151.db2.gz ALQQTLDTQZZHNL-SECBINFHSA-N 1 2 284.190 3.876 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccco2)C2CCCC2)[nH]c1C ZINC000628132548 1128814369 /nfs/dbraw/zinc/81/43/69/1128814369.db2.gz IOYITJOLQKKXEJ-UHFFFAOYSA-N 1 2 273.380 3.564 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccco2)C2CCCC2)[nH]c1C ZINC000628132548 1128814372 /nfs/dbraw/zinc/81/43/72/1128814372.db2.gz IOYITJOLQKKXEJ-UHFFFAOYSA-N 1 2 273.380 3.564 20 0 CHADLO Cc1[nH]c(CN(Cc2ccco2)C2CCCC2)[nH+]c1C ZINC000628132548 1128814375 /nfs/dbraw/zinc/81/43/75/1128814375.db2.gz IOYITJOLQKKXEJ-UHFFFAOYSA-N 1 2 273.380 3.564 20 0 CHADLO Cc1csc(C[NH2+]Cc2nc(C(C)(C)C)co2)c1 ZINC000724424136 1118997687 /nfs/dbraw/zinc/99/76/87/1118997687.db2.gz GVWBWWRSDMKFMS-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO Cc1c(F)ccc(F)c1Nc1cccc2[nH+]ccn21 ZINC001216343247 1119007177 /nfs/dbraw/zinc/00/71/77/1119007177.db2.gz SFOLUZYYEGEPGB-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CCCCN(C(=O)[C@@H](C)Cc1c[nH]c[nH+]1)C1CCCCC1 ZINC000582130505 1119017990 /nfs/dbraw/zinc/01/79/90/1119017990.db2.gz GFXTYXHHGXNCHV-AWEZNQCLSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCN(C(=O)[C@@H](C)Cc1c[nH+]c[nH]1)C1CCCCC1 ZINC000582130505 1119017995 /nfs/dbraw/zinc/01/79/95/1119017995.db2.gz GFXTYXHHGXNCHV-AWEZNQCLSA-N 1 2 291.439 3.550 20 0 CHADLO CCc1ccc(C[N@@H+]2CCO[C@H](c3ccccc3C)C2)cn1 ZINC000582173939 1119030395 /nfs/dbraw/zinc/03/03/95/1119030395.db2.gz MYAJBNONVMROFD-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc(C[N@H+]2CCO[C@H](c3ccccc3C)C2)cn1 ZINC000582173939 1119030400 /nfs/dbraw/zinc/03/04/00/1119030400.db2.gz MYAJBNONVMROFD-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc3[nH+]ccn32)c(Cl)c1C ZINC000582322725 1119051121 /nfs/dbraw/zinc/05/11/21/1119051121.db2.gz VZBWZEONPMJGEO-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(C)c[nH]1)c1ccc(F)cc1F ZINC000657394990 1119053312 /nfs/dbraw/zinc/05/33/12/1119053312.db2.gz YGAZUTWJJYTYSO-CQSZACIVSA-N 1 2 279.334 3.627 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(-c3ccc(F)cc3)o2)on1 ZINC000044986127 1119063510 /nfs/dbraw/zinc/06/35/10/1119063510.db2.gz KCODZBZBKNEGPK-UHFFFAOYSA-N 1 2 286.306 3.672 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(-c2cccc(F)c2)c(F)c1 ZINC000342566686 1119087690 /nfs/dbraw/zinc/08/76/90/1119087690.db2.gz LLVYPOGMFNDTGN-UHFFFAOYSA-N 1 2 299.324 3.977 20 0 CHADLO CC(C)(C)[C@H]1CCC[N@H+](Cc2noc(C3CC3)n2)CC1 ZINC000116308480 1119090326 /nfs/dbraw/zinc/09/03/26/1119090326.db2.gz CHZKWXORUIVSTF-ZDUSSCGKSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)(C)[C@H]1CCC[N@@H+](Cc2noc(C3CC3)n2)CC1 ZINC000116308480 1119090330 /nfs/dbraw/zinc/09/03/30/1119090330.db2.gz CHZKWXORUIVSTF-ZDUSSCGKSA-N 1 2 277.412 3.595 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1cc2ccncc2s1 ZINC000657467712 1119115011 /nfs/dbraw/zinc/11/50/11/1119115011.db2.gz WOBVYHCNGMXVKA-LBPRGKRZSA-N 1 2 268.332 3.574 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C)[C@H]2c2cccc(F)c2)[nH]c1C ZINC000628185452 1128822977 /nfs/dbraw/zinc/82/29/77/1128822977.db2.gz ZHQRCOKGIYOCMR-GTNSWQLSSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C)[C@H]2c2cccc(F)c2)[nH]c1C ZINC000628185452 1128822982 /nfs/dbraw/zinc/82/29/82/1128822982.db2.gz ZHQRCOKGIYOCMR-GTNSWQLSSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1[nH]c(CN2CC[C@@H]2c2ccc(Cl)cc2)[nH+]c1C ZINC000628184378 1128823259 /nfs/dbraw/zinc/82/32/59/1128823259.db2.gz KWRWYNFHZNDYJA-CQSZACIVSA-N 1 2 275.783 3.627 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2CCCc3cccnc32)cs1 ZINC000684955482 1119140521 /nfs/dbraw/zinc/14/05/21/1119140521.db2.gz NOIRQOZOMKQYEV-MFKMUULPSA-N 1 2 273.405 3.575 20 0 CHADLO C[C@@H](CCC(C)(C)C)Nc1cc(CSCCO)cc[nH+]1 ZINC000342724062 1119142654 /nfs/dbraw/zinc/14/26/54/1119142654.db2.gz FJYSUMQHCNACKH-ZDUSSCGKSA-N 1 2 296.480 3.934 20 0 CHADLO Cc1ncc(C[N@@H+]2CCCC[C@H]2c2nc3ccccc3o2)o1 ZINC000628187558 1128824566 /nfs/dbraw/zinc/82/45/66/1128824566.db2.gz UDEXRCNWVYQKER-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1ncc(C[N@H+]2CCCC[C@H]2c2nc3ccccc3o2)o1 ZINC000628187558 1128824570 /nfs/dbraw/zinc/82/45/70/1128824570.db2.gz UDEXRCNWVYQKER-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1ccc(NCc2c[nH+]cn2C)cc1OCCC(C)C ZINC000153044135 1119155810 /nfs/dbraw/zinc/15/58/10/1119155810.db2.gz LYFYEENGGHWYSU-UHFFFAOYSA-N 1 2 287.407 3.766 20 0 CHADLO Cc1ccc(F)c(C[NH2+]C(C)(C)c2nccs2)c1 ZINC000116918184 1119156275 /nfs/dbraw/zinc/15/62/75/1119156275.db2.gz ORAUHFQMVNPXRQ-UHFFFAOYSA-N 1 2 264.369 3.616 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc2[nH]ccc21)c1nc(C(C)(C)C)no1 ZINC000237338902 1119156615 /nfs/dbraw/zinc/15/66/15/1119156615.db2.gz VBEJHZWMOQBBCD-LLVKDONJSA-N 1 2 298.390 3.699 20 0 CHADLO Cc1c[nH+]c(CN(C)[C@@H](C)c2ccccc2Cl)n1C ZINC000342774233 1119161548 /nfs/dbraw/zinc/16/15/48/1119161548.db2.gz CZVCSMPLDKYWTP-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1c[nH+]c(CN2CCC[C@H]2c2ccccc2Cl)n1C ZINC000342795616 1119173187 /nfs/dbraw/zinc/17/31/87/1119173187.db2.gz XUYQPOQTXUIWFZ-HNNXBMFYSA-N 1 2 289.810 3.719 20 0 CHADLO CC(C)c1ccc(C(=O)N2CCC[C@@H](c3[nH]cc[nH+]3)C2)cc1 ZINC000075555208 1119177506 /nfs/dbraw/zinc/17/75/06/1119177506.db2.gz NXDHYNRRCJJUOW-MRXNPFEDSA-N 1 2 297.402 3.553 20 0 CHADLO C[C@H]1C[NH+](Cc2coc(-c3cccs3)n2)C[C@H](C)S1 ZINC000075892500 1119180621 /nfs/dbraw/zinc/18/06/21/1119180621.db2.gz KONYWOAVBOENAI-QWRGUYRKSA-N 1 2 294.445 3.729 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C)n1)c1cc(F)ccc1F ZINC000078300670 1119206659 /nfs/dbraw/zinc/20/66/59/1119206659.db2.gz JUFXUFNJBPGRSB-ZDUSSCGKSA-N 1 2 263.291 3.626 20 0 CHADLO Cc1nc(N[C@H]2CCCOc3c(Cl)cccc32)cc[nH+]1 ZINC000342854303 1119209775 /nfs/dbraw/zinc/20/97/75/1119209775.db2.gz SVXCIKVMVNWHFK-ZDUSSCGKSA-N 1 2 289.766 3.764 20 0 CHADLO Cc1cc(Cl)c(NCc2cc[nH+]c(N)c2)c(Cl)c1 ZINC001167617601 1119216267 /nfs/dbraw/zinc/21/62/67/1119216267.db2.gz RDJCZDJSMMAPLG-UHFFFAOYSA-N 1 2 282.174 3.891 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H](F)C2)cc(C(F)(F)F)c1 ZINC001143447966 1119228508 /nfs/dbraw/zinc/22/85/08/1119228508.db2.gz JGFCCUXRJJSFBN-GFCCVEGCSA-N 1 2 261.262 3.558 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H](F)C2)cc(C(F)(F)F)c1 ZINC001143447966 1119228510 /nfs/dbraw/zinc/22/85/10/1119228510.db2.gz JGFCCUXRJJSFBN-GFCCVEGCSA-N 1 2 261.262 3.558 20 0 CHADLO Cc1cc(C)cc(OCCCOc2cc[nH+]cc2)c1 ZINC000431497925 1119236991 /nfs/dbraw/zinc/23/69/91/1119236991.db2.gz ZCAOWYXPKPLJDR-UHFFFAOYSA-N 1 2 257.333 3.546 20 0 CHADLO Cc1ccnc(NCc2ccccc2-n2cc[nH+]c2)c1Cl ZINC000342918098 1119246668 /nfs/dbraw/zinc/24/66/68/1119246668.db2.gz PHFKTAFVKUPRSU-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO CCn1nc(C[NH2+][C@H](C)c2c(C)noc2C)c2ccccc21 ZINC000317686704 1119251244 /nfs/dbraw/zinc/25/12/44/1119251244.db2.gz IRRJMFXLNZMHEL-LLVKDONJSA-N 1 2 298.390 3.512 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628178427 1119255115 /nfs/dbraw/zinc/25/51/15/1119255115.db2.gz PTPIJOSDBNLMRB-LLVKDONJSA-N 1 2 254.333 3.629 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628178427 1119255118 /nfs/dbraw/zinc/25/51/18/1119255118.db2.gz PTPIJOSDBNLMRB-LLVKDONJSA-N 1 2 254.333 3.629 20 0 CHADLO CC(C)c1cc(C[NH2+][C@@H](C)c2nc3ccccc3n2C)on1 ZINC000091339828 1119261872 /nfs/dbraw/zinc/26/18/72/1119261872.db2.gz WPSRHONKFKQBCE-LBPRGKRZSA-N 1 2 298.390 3.536 20 0 CHADLO CCc1nc(C[N@H+](C)[C@H](C)c2cccs2)cs1 ZINC000042631995 1119267719 /nfs/dbraw/zinc/26/77/19/1119267719.db2.gz WAXAWZBMZHKXAE-SNVBAGLBSA-N 1 2 266.435 3.960 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@H](C)c2cccs2)cs1 ZINC000042631995 1119267722 /nfs/dbraw/zinc/26/77/22/1119267722.db2.gz WAXAWZBMZHKXAE-SNVBAGLBSA-N 1 2 266.435 3.960 20 0 CHADLO COc1ccccc1CNc1ccc(N2CCCCC2)c[nH+]1 ZINC000123258987 1119292940 /nfs/dbraw/zinc/29/29/40/1119292940.db2.gz YMEGRAXGCOQGGA-UHFFFAOYSA-N 1 2 297.402 3.693 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H]2c2ccncc2)cs1 ZINC000154065087 1119300737 /nfs/dbraw/zinc/30/07/37/1119300737.db2.gz KMGPZSXMOBJIOD-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H]2c2ccncc2)cs1 ZINC000154065087 1119300738 /nfs/dbraw/zinc/30/07/38/1119300738.db2.gz KMGPZSXMOBJIOD-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](c3ccc(Cl)cc3)C2)o1 ZINC000155239088 1119330247 /nfs/dbraw/zinc/33/02/47/1119330247.db2.gz CGVMOWDEWBRVGY-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](c3ccc(Cl)cc3)C2)o1 ZINC000155239088 1119330249 /nfs/dbraw/zinc/33/02/49/1119330249.db2.gz CGVMOWDEWBRVGY-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3cccc(C)c3C)CC2)o1 ZINC000934845426 1119330263 /nfs/dbraw/zinc/33/02/63/1119330263.db2.gz IMQXSXNOGNWCSG-UHFFFAOYSA-N 1 2 282.387 3.889 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3cccc(C)c3C)CC2)o1 ZINC000934845426 1119330265 /nfs/dbraw/zinc/33/02/65/1119330265.db2.gz IMQXSXNOGNWCSG-UHFFFAOYSA-N 1 2 282.387 3.889 20 0 CHADLO Cc1cc(C[NH+]2C[C@@H](C)O[C@H](C)C2)cc(C(F)(F)F)c1 ZINC001143449133 1119357529 /nfs/dbraw/zinc/35/75/29/1119357529.db2.gz WTRPLSCVKJSGRF-VXGBXAGGSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2C(C)C)ccc1CO ZINC001211581514 1119360295 /nfs/dbraw/zinc/36/02/95/1119360295.db2.gz YQMDNZLQYDJBMT-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO COc1ccc([C@H](CC(C)C)[NH2+]Cc2cn[nH]c2C)cc1 ZINC000647293505 1119361629 /nfs/dbraw/zinc/36/16/29/1119361629.db2.gz LQOKLXUQFYRFNR-KRWDZBQOSA-N 1 2 287.407 3.604 20 0 CHADLO COc1ccc([C@@H](CC(C)C)[NH2+]Cc2cn[nH]c2C)cc1 ZINC000647293506 1119361652 /nfs/dbraw/zinc/36/16/52/1119361652.db2.gz LQOKLXUQFYRFNR-QGZVFWFLSA-N 1 2 287.407 3.604 20 0 CHADLO C=C(Br)C[N@@H+](CCC)Cc1ccc(C#N)cc1 ZINC000052441332 1119379919 /nfs/dbraw/zinc/37/99/19/1119379919.db2.gz GLCZLJGPKLVORJ-UHFFFAOYSA-N 1 2 293.208 3.679 20 0 CHADLO C=C(Br)C[N@H+](CCC)Cc1ccc(C#N)cc1 ZINC000052441332 1119379921 /nfs/dbraw/zinc/37/99/21/1119379921.db2.gz GLCZLJGPKLVORJ-UHFFFAOYSA-N 1 2 293.208 3.679 20 0 CHADLO COc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(O)c1 ZINC001213090047 1119392034 /nfs/dbraw/zinc/39/20/34/1119392034.db2.gz RCZSAYKVYIYYDD-UHFFFAOYSA-N 1 2 281.315 3.535 20 0 CHADLO CC(C)C[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccncc1 ZINC000647304020 1119396577 /nfs/dbraw/zinc/39/65/77/1119396577.db2.gz SXSQUJHZYKMTEA-UONOGXRCSA-N 1 2 268.351 3.946 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)n1 ZINC000628119088 1119397063 /nfs/dbraw/zinc/39/70/63/1119397063.db2.gz AFXZPFUOFUWNHM-UHFFFAOYSA-N 1 2 271.408 3.648 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)Cc2ccc(C(C)(C)C)cc2)n1 ZINC000628119088 1119397065 /nfs/dbraw/zinc/39/70/65/1119397065.db2.gz AFXZPFUOFUWNHM-UHFFFAOYSA-N 1 2 271.408 3.648 20 0 CHADLO Cc1cc(CO)cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213090805 1119420070 /nfs/dbraw/zinc/42/00/70/1119420070.db2.gz HAOJBHGFHMTHHA-UHFFFAOYSA-N 1 2 279.343 3.621 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2coc3ccccc23)co1 ZINC000926537695 1119431211 /nfs/dbraw/zinc/43/12/11/1119431211.db2.gz MIIVZBSPTQRIPF-LLVKDONJSA-N 1 2 270.332 3.834 20 0 CHADLO CCC[C@@H]1C[N@@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000536175107 1119444105 /nfs/dbraw/zinc/44/41/05/1119444105.db2.gz NISSILWBKOJHBW-QWHCGFSZSA-N 1 2 267.800 3.902 20 0 CHADLO CCC[C@@H]1C[N@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000536175107 1119444109 /nfs/dbraw/zinc/44/41/09/1119444109.db2.gz NISSILWBKOJHBW-QWHCGFSZSA-N 1 2 267.800 3.902 20 0 CHADLO CC(C)c1ncc(C[NH2+]C(C)(C)c2nccs2)s1 ZINC000132736134 1119455371 /nfs/dbraw/zinc/45/53/71/1119455371.db2.gz XGXCBPVOXDEKCP-UHFFFAOYSA-N 1 2 281.450 3.748 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(Cl)c1)C1=CCCC1 ZINC000151497841 1119462177 /nfs/dbraw/zinc/46/21/77/1119462177.db2.gz HGRZPWYGISMXHA-UHFFFAOYSA-N 1 2 287.750 3.575 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)n1 ZINC000628322973 1128847879 /nfs/dbraw/zinc/84/78/79/1128847879.db2.gz VAGDPUDHKJHWQX-KRWDZBQOSA-N 1 2 279.387 3.611 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)n1 ZINC000628322973 1128847883 /nfs/dbraw/zinc/84/78/83/1128847883.db2.gz VAGDPUDHKJHWQX-KRWDZBQOSA-N 1 2 279.387 3.611 20 0 CHADLO CCc1nnc(C[NH2+]C(C)(C)c2ccc3ccccc3c2)o1 ZINC000626116376 1119464363 /nfs/dbraw/zinc/46/43/63/1119464363.db2.gz ZNEBRZBEERBUCA-UHFFFAOYSA-N 1 2 295.386 3.810 20 0 CHADLO c1sc(C[N@@H+]2CC[C@H]2c2ccccc2)nc1C1CC1 ZINC000343592580 1119465548 /nfs/dbraw/zinc/46/55/48/1119465548.db2.gz ZNAQWMZPPMOLAI-HNNXBMFYSA-N 1 2 270.401 3.968 20 0 CHADLO c1sc(C[N@H+]2CC[C@H]2c2ccccc2)nc1C1CC1 ZINC000343592580 1119465549 /nfs/dbraw/zinc/46/55/49/1119465549.db2.gz ZNAQWMZPPMOLAI-HNNXBMFYSA-N 1 2 270.401 3.968 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1cncs1 ZINC000133505932 1119470915 /nfs/dbraw/zinc/47/09/15/1119470915.db2.gz UUAWBLWQDUNCPQ-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO c1nc(C[NH2+][C@@H]2CC3(CCC3)Oc3ccccc32)cs1 ZINC000151619889 1119477363 /nfs/dbraw/zinc/47/73/63/1119477363.db2.gz JRCGCDMUKWKSQD-CQSZACIVSA-N 1 2 286.400 3.679 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2cscn2)c2ccsc2S1 ZINC000127321605 1119480078 /nfs/dbraw/zinc/48/00/78/1119480078.db2.gz ZUKBPGNAAVUASM-GZMMTYOYSA-N 1 2 282.459 3.920 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(Cl)cccc2Cl)nc1 ZINC000400974173 1119486985 /nfs/dbraw/zinc/48/69/85/1119486985.db2.gz GMYREUBTQNYATB-UHFFFAOYSA-N 1 2 281.186 3.987 20 0 CHADLO Cc1cc(C(=O)Nc2ccc3[nH+]ccn3c2)ccc1Cl ZINC000675226041 1119500193 /nfs/dbraw/zinc/50/01/93/1119500193.db2.gz MDCGGVIWHPRHFX-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO C[N@H+](Cc1ncc(-c2ccccc2)o1)Cc1cccc(O)c1 ZINC000080917848 1119505560 /nfs/dbraw/zinc/50/55/60/1119505560.db2.gz YRXGIZJSCOSJBE-UHFFFAOYSA-N 1 2 294.354 3.679 20 0 CHADLO C[N@@H+](Cc1ncc(-c2ccccc2)o1)Cc1cccc(O)c1 ZINC000080917848 1119505562 /nfs/dbraw/zinc/50/55/62/1119505562.db2.gz YRXGIZJSCOSJBE-UHFFFAOYSA-N 1 2 294.354 3.679 20 0 CHADLO Cc1noc(C[N@@H+](C)C2c3ccccc3-c3ccccc32)n1 ZINC000081596875 1119517200 /nfs/dbraw/zinc/51/72/00/1119517200.db2.gz GLMVQFBZAPRGEW-UHFFFAOYSA-N 1 2 291.354 3.580 20 0 CHADLO Cc1noc(C[N@H+](C)C2c3ccccc3-c3ccccc32)n1 ZINC000081596875 1119517204 /nfs/dbraw/zinc/51/72/04/1119517204.db2.gz GLMVQFBZAPRGEW-UHFFFAOYSA-N 1 2 291.354 3.580 20 0 CHADLO c1coc(-c2nc(C[N@@H+]3CCC34CCC4)cs2)c1 ZINC000081516014 1119518081 /nfs/dbraw/zinc/51/80/81/1119518081.db2.gz DNWNKWVXHLRZHE-UHFFFAOYSA-N 1 2 260.362 3.532 20 0 CHADLO c1coc(-c2nc(C[N@H+]3CCC34CCC4)cs2)c1 ZINC000081516014 1119518084 /nfs/dbraw/zinc/51/80/84/1119518084.db2.gz DNWNKWVXHLRZHE-UHFFFAOYSA-N 1 2 260.362 3.532 20 0 CHADLO C[C@@H]([N@H+](C)Cn1nc(C2CC2)sc1=S)C1(C)CC1 ZINC000176833782 1119521621 /nfs/dbraw/zinc/52/16/21/1119521621.db2.gz KBIJSNHNBFVHJM-SECBINFHSA-N 1 2 283.466 3.629 20 0 CHADLO C[C@@H]([N@@H+](C)Cn1nc(C2CC2)sc1=S)C1(C)CC1 ZINC000176833782 1119521625 /nfs/dbraw/zinc/52/16/25/1119521625.db2.gz KBIJSNHNBFVHJM-SECBINFHSA-N 1 2 283.466 3.629 20 0 CHADLO COc1ccc(C2(CNc3cccc[nH+]3)CCC2)cc1 ZINC000343791569 1119534717 /nfs/dbraw/zinc/53/47/17/1119534717.db2.gz DQBTYLRVKBWLPW-UHFFFAOYSA-N 1 2 268.360 3.624 20 0 CHADLO Clc1ccc([C@H]2OCC[C@@H]2Nc2cccc[nH+]2)cc1 ZINC000089269224 1119538745 /nfs/dbraw/zinc/53/87/45/1119538745.db2.gz GUHIONLGSNVGGU-DZGCQCFKSA-N 1 2 274.751 3.677 20 0 CHADLO COc1ccccc1[C@H](Nc1cccc[nH+]1)c1ccccn1 ZINC000089642635 1119540359 /nfs/dbraw/zinc/54/03/59/1119540359.db2.gz ACRVTHAJFDYOMW-SFHVURJKSA-N 1 2 291.354 3.687 20 0 CHADLO CC(C)c1cc(N[C@H](C)[C@@H]2CCOC2)nc(C(C)C)[nH+]1 ZINC000090095695 1119545923 /nfs/dbraw/zinc/54/59/23/1119545923.db2.gz RLAQMSIHZJUCRV-CHWSQXEVSA-N 1 2 277.412 3.560 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1nc(C)sc1C ZINC000090889191 1119546857 /nfs/dbraw/zinc/54/68/57/1119546857.db2.gz NDYXSDNNHLSEAZ-NSHDSACASA-N 1 2 290.432 3.928 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2cc(C)ccc2C)no1 ZINC000375758758 1119557318 /nfs/dbraw/zinc/55/73/18/1119557318.db2.gz AVIWLHGJYQPVSI-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2cc(C)ccc2C)no1 ZINC000375758758 1119557325 /nfs/dbraw/zinc/55/73/25/1119557325.db2.gz AVIWLHGJYQPVSI-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000092822143 1119567178 /nfs/dbraw/zinc/56/71/78/1119567178.db2.gz DGHMVMXUXXXYOR-CQSZACIVSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000092822143 1119567182 /nfs/dbraw/zinc/56/71/82/1119567182.db2.gz DGHMVMXUXXXYOR-CQSZACIVSA-N 1 2 297.402 3.791 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H](C)c2ccc(F)cn2)n1 ZINC000092768083 1119567608 /nfs/dbraw/zinc/56/76/08/1119567608.db2.gz UXXPBZWVRMAQNF-SNVBAGLBSA-N 1 2 279.384 3.651 20 0 CHADLO C[C@H](c1nc(C(C)(C)c2ccc(Cl)cc2)no1)[NH+](C)C ZINC000924070160 1119579081 /nfs/dbraw/zinc/57/90/81/1119579081.db2.gz NPHGXCUMPNTFRX-SNVBAGLBSA-N 1 2 293.798 3.672 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nnc(C3CC3)o2)C(C)C)s1 ZINC000138936858 1119579448 /nfs/dbraw/zinc/57/94/48/1119579448.db2.gz FQMYAJBDSLYZBU-UHFFFAOYSA-N 1 2 291.420 3.728 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nnc(C3CC3)o2)C(C)C)s1 ZINC000138936858 1119579450 /nfs/dbraw/zinc/57/94/50/1119579450.db2.gz FQMYAJBDSLYZBU-UHFFFAOYSA-N 1 2 291.420 3.728 20 0 CHADLO C[C@@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1ccccc1Cl ZINC000379027847 1119593110 /nfs/dbraw/zinc/59/31/10/1119593110.db2.gz VLLUPFXTVHJVDI-WDMOLILDSA-N 1 2 275.783 3.893 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+][C@@H](C)c1cc2cnccc2o1 ZINC000657888401 1119599662 /nfs/dbraw/zinc/59/96/62/1119599662.db2.gz MAQOZCDGJZWOQX-ZDUSSCGKSA-N 1 2 298.390 3.531 20 0 CHADLO CC(C)[C@@H]1CCC[C@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000685447576 1119610169 /nfs/dbraw/zinc/61/01/69/1119610169.db2.gz IOBPXHQQMVRBSW-JKSUJKDBSA-N 1 2 284.407 3.563 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000140537094 1119616026 /nfs/dbraw/zinc/61/60/26/1119616026.db2.gz OUVVSSVXLRWKCT-MNOVXSKESA-N 1 2 269.335 3.525 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000140537094 1119616029 /nfs/dbraw/zinc/61/60/29/1119616029.db2.gz OUVVSSVXLRWKCT-MNOVXSKESA-N 1 2 269.335 3.525 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H]2COc3c2ccc(C)c3C)o1 ZINC000892403094 1119626533 /nfs/dbraw/zinc/62/65/33/1119626533.db2.gz UVXZZRXUDZRNFM-OAHLLOKOSA-N 1 2 287.359 3.518 20 0 CHADLO FCC1(CF)CC(Nc2ccc(-n3cc[nH+]c3)c(F)c2)C1 ZINC000657959081 1119653809 /nfs/dbraw/zinc/65/38/09/1119653809.db2.gz DZXWQPSXOASYSB-UHFFFAOYSA-N 1 2 295.308 3.511 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1N(C)C)c1cscn1 ZINC000658151688 1119691357 /nfs/dbraw/zinc/69/13/57/1119691357.db2.gz LSQNDUSEXAYPQZ-GHMZBOCLSA-N 1 2 293.411 3.760 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(C(F)F)nc1)c1cscn1 ZINC000658153280 1119691731 /nfs/dbraw/zinc/69/17/31/1119691731.db2.gz SSTSZBVEBKZYQH-DTWKUNHWSA-N 1 2 283.347 3.888 20 0 CHADLO C[N@H+](Cc1csc(C(F)(F)F)c1)Cc1ccccn1 ZINC000628237879 1119692663 /nfs/dbraw/zinc/69/26/63/1119692663.db2.gz BYMZLJUFYKDESO-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1csc(C(F)(F)F)c1)Cc1ccccn1 ZINC000628237879 1119692664 /nfs/dbraw/zinc/69/26/64/1119692664.db2.gz BYMZLJUFYKDESO-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO Cc1ccc(O)cc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001214345064 1128863918 /nfs/dbraw/zinc/86/39/18/1128863918.db2.gz AKQVSKAPDJDBIZ-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2ccc(F)c(Cl)c21)c1nccn1C ZINC000658339848 1119727998 /nfs/dbraw/zinc/72/79/98/1119727998.db2.gz WGERJNKQNFYXAE-JOYOIKCWSA-N 1 2 293.773 3.551 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)c1nccn1C)c1cc(F)ccc1F ZINC000658339656 1119728404 /nfs/dbraw/zinc/72/84/04/1119728404.db2.gz UHUCMHWVRFRZTF-ABAIWWIYSA-N 1 2 293.361 3.746 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)[N@@H+]1C/C=C\Cl ZINC000255765935 1119740887 /nfs/dbraw/zinc/74/08/87/1119740887.db2.gz CCXZJPBEZZCEMW-NKYBAATISA-N 1 2 265.784 3.589 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)[N@H+]1C/C=C\Cl ZINC000255765935 1119740889 /nfs/dbraw/zinc/74/08/89/1119740889.db2.gz CCXZJPBEZZCEMW-NKYBAATISA-N 1 2 265.784 3.589 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+][C@H]1CCCc2[nH]ncc21)C1CC1 ZINC000375806999 1119758221 /nfs/dbraw/zinc/75/82/21/1119758221.db2.gz QDVPCVOITHYHTN-WMZOPIPTSA-N 1 2 281.403 3.836 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2cc(Cl)ccc21)c1csnn1 ZINC000336281110 1119775988 /nfs/dbraw/zinc/77/59/88/1119775988.db2.gz XMFMUJQXYXNWAR-PRHODGIISA-N 1 2 279.796 3.530 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)on1 ZINC000584478495 1119785336 /nfs/dbraw/zinc/78/53/36/1119785336.db2.gz UCHZVQFWSIFYMP-NSHDSACASA-N 1 2 292.404 3.910 20 0 CHADLO CC(=O)c1cc(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)on1 ZINC000584478495 1119785339 /nfs/dbraw/zinc/78/53/39/1119785339.db2.gz UCHZVQFWSIFYMP-NSHDSACASA-N 1 2 292.404 3.910 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000626163463 1119794893 /nfs/dbraw/zinc/79/48/93/1119794893.db2.gz KTCBOMZDECYQGJ-OTDNITJGSA-N 1 2 270.829 3.975 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000626163463 1119794896 /nfs/dbraw/zinc/79/48/96/1119794896.db2.gz KTCBOMZDECYQGJ-OTDNITJGSA-N 1 2 270.829 3.975 20 0 CHADLO CCC1CCC([N@H+](C)Cc2noc(C(C)(C)C)n2)CC1 ZINC000531140725 1119804669 /nfs/dbraw/zinc/80/46/69/1119804669.db2.gz FPWVTYJFLGRRPU-UHFFFAOYSA-N 1 2 279.428 3.768 20 0 CHADLO CCC1CCC([N@@H+](C)Cc2noc(C(C)(C)C)n2)CC1 ZINC000531140725 1119804672 /nfs/dbraw/zinc/80/46/72/1119804672.db2.gz FPWVTYJFLGRRPU-UHFFFAOYSA-N 1 2 279.428 3.768 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1cccc(Br)c1 ZINC000309573815 1119828882 /nfs/dbraw/zinc/82/88/82/1119828882.db2.gz NWZUNXMFHCONQY-LLVKDONJSA-N 1 2 290.151 3.727 20 0 CHADLO CCCc1cnc(NCc2c[nH+]c3ccc(C)cn23)s1 ZINC000185977990 1119829708 /nfs/dbraw/zinc/82/97/08/1119829708.db2.gz KXLGRRPSBISYII-UHFFFAOYSA-N 1 2 286.404 3.664 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3[nH]ncc3C)CC2)cc1 ZINC000685843002 1119862809 /nfs/dbraw/zinc/86/28/09/1119862809.db2.gz HCYSBZNZXKPFBN-UHFFFAOYSA-N 1 2 281.403 3.570 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3[nH]ncc3C)CC2)cc1 ZINC000685843002 1119862815 /nfs/dbraw/zinc/86/28/15/1119862815.db2.gz HCYSBZNZXKPFBN-UHFFFAOYSA-N 1 2 281.403 3.570 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C2CC2)cc1F)c1cc2n(n1)CCC2 ZINC000414122361 1119907153 /nfs/dbraw/zinc/90/71/53/1119907153.db2.gz FXNBWVQCPWVVRM-LBPRGKRZSA-N 1 2 299.393 3.697 20 0 CHADLO Cc1ncc(C[NH2+][C@@H](C)c2nc(-c3ccccc3)cs2)o1 ZINC000414178849 1119927238 /nfs/dbraw/zinc/92/72/38/1119927238.db2.gz KKCCVBODWROLKQ-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+](C)Cc1cscn1 ZINC000613486976 1119938576 /nfs/dbraw/zinc/93/85/76/1119938576.db2.gz UXKGDAPICUSPPF-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cscn1 ZINC000613486976 1119938578 /nfs/dbraw/zinc/93/85/78/1119938578.db2.gz UXKGDAPICUSPPF-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO Oc1cc(Cl)cc(C[N@@H+]2CC[C@@H]2c2ccccc2)c1 ZINC001140797736 1119947659 /nfs/dbraw/zinc/94/76/59/1119947659.db2.gz OELZAMUYKJNERH-MRXNPFEDSA-N 1 2 273.763 3.993 20 0 CHADLO Oc1cc(Cl)cc(C[N@H+]2CC[C@@H]2c2ccccc2)c1 ZINC001140797736 1119947663 /nfs/dbraw/zinc/94/76/63/1119947663.db2.gz OELZAMUYKJNERH-MRXNPFEDSA-N 1 2 273.763 3.993 20 0 CHADLO Cc1nnsc1C[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000584508044 1119953539 /nfs/dbraw/zinc/95/35/39/1119953539.db2.gz OLNSSEMQWSNCPY-SNVBAGLBSA-N 1 2 281.812 3.693 20 0 CHADLO Cc1nnsc1C[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000584508044 1119953540 /nfs/dbraw/zinc/95/35/40/1119953540.db2.gz OLNSSEMQWSNCPY-SNVBAGLBSA-N 1 2 281.812 3.693 20 0 CHADLO COc1cc(F)c(C[NH+]2CC(c3ccccc3)C2)c(F)c1 ZINC001140827576 1119975072 /nfs/dbraw/zinc/97/50/72/1119975072.db2.gz DCXLCMHQQYMHDI-UHFFFAOYSA-N 1 2 289.325 3.573 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1csnn1 ZINC000414309563 1119975088 /nfs/dbraw/zinc/97/50/88/1119975088.db2.gz VCLKBMZTXFEHBA-ZJUUUORDSA-N 1 2 279.434 3.672 20 0 CHADLO C[C@H](C(=O)Nc1cscc1Cl)[NH+]1CCCCCC1 ZINC000636438880 1120006553 /nfs/dbraw/zinc/00/65/53/1120006553.db2.gz NXRJNBQPGJFHNY-SNVBAGLBSA-N 1 2 286.828 3.605 20 0 CHADLO CC(C)n1ccc(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001203166964 1120016456 /nfs/dbraw/zinc/01/64/56/1120016456.db2.gz SEDBTGRPUTWEKZ-UHFFFAOYSA-N 1 2 254.337 3.699 20 0 CHADLO Cc1cc(C)n(CCCNc2[nH+]c3ccccc3cc2C)n1 ZINC000301559462 1120025685 /nfs/dbraw/zinc/02/56/85/1120025685.db2.gz JUABWWIEFXMHQB-UHFFFAOYSA-N 1 2 294.402 3.859 20 0 CHADLO CC[C@@H](Oc1cc(N)cc[nH+]1)c1cccc(F)c1C ZINC001218329067 1120030790 /nfs/dbraw/zinc/03/07/90/1120030790.db2.gz YBTGMKMEDPUXQD-CQSZACIVSA-N 1 2 260.312 3.641 20 0 CHADLO Cc1noc(C)c1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000134710220 1120033249 /nfs/dbraw/zinc/03/32/49/1120033249.db2.gz BVWVAMSOEFNDRB-UHFFFAOYSA-N 1 2 288.395 3.940 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cnc(-c2ccccc2)nc1 ZINC000588169577 1120046805 /nfs/dbraw/zinc/04/68/05/1120046805.db2.gz SWSPDZXCAAMMED-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cnc(-c2ccccc2)nc1 ZINC000588169577 1120046814 /nfs/dbraw/zinc/04/68/14/1120046814.db2.gz SWSPDZXCAAMMED-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC(C)O[C@@H]1C[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)C1(C)C ZINC000765810044 1120047036 /nfs/dbraw/zinc/04/70/36/1120047036.db2.gz MQIXRZFORZTHQQ-IAGOWNOFSA-N 1 2 299.418 3.876 20 0 CHADLO CC(C)n1cc2c(n1)[C@H]([NH2+][C@H](C)c1ccccn1)CCC2 ZINC000765815331 1120054498 /nfs/dbraw/zinc/05/44/98/1120054498.db2.gz GWMVEWAHCJYUCA-CZUORRHYSA-N 1 2 284.407 3.587 20 0 CHADLO Cc1ccc(Nc2ncc(Cl)cc2F)c(C)[nH+]1 ZINC000094666976 1120064545 /nfs/dbraw/zinc/06/45/45/1120064545.db2.gz MYLZAKFIAJIKFM-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Nc1cccc(F)c1C[N@@H+]1CCc2cc(Cl)ccc2C1 ZINC001140875759 1120064874 /nfs/dbraw/zinc/06/48/74/1120064874.db2.gz XZNKSQXARATXON-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1cccc(F)c1C[N@H+]1CCc2cc(Cl)ccc2C1 ZINC001140875759 1120064877 /nfs/dbraw/zinc/06/48/77/1120064877.db2.gz XZNKSQXARATXON-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccc(O)c(F)c1F)CC2 ZINC001140889237 1120077493 /nfs/dbraw/zinc/07/74/93/1120077493.db2.gz CHLNMICPFNCDRB-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccc(O)c(F)c1F)CC2 ZINC001140889237 1120077498 /nfs/dbraw/zinc/07/74/98/1120077498.db2.gz CHLNMICPFNCDRB-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1ccc(O)c(F)c1F ZINC001140892653 1120080779 /nfs/dbraw/zinc/08/07/79/1120080779.db2.gz VQIDKKINVZIQGU-LLVKDONJSA-N 1 2 289.325 3.617 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1ccc(O)c(F)c1F ZINC001140892653 1120080783 /nfs/dbraw/zinc/08/07/83/1120080783.db2.gz VQIDKKINVZIQGU-LLVKDONJSA-N 1 2 289.325 3.617 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1ccc(Cl)s1 ZINC000903333716 1120083890 /nfs/dbraw/zinc/08/38/90/1120083890.db2.gz SZMNGOASNUMRGY-TVQRCGJNSA-N 1 2 293.823 3.993 20 0 CHADLO C[C@@]1(CNc2ccc([NH+]3CCCC3)cc2)CCCS1 ZINC000327888551 1120093692 /nfs/dbraw/zinc/09/36/92/1120093692.db2.gz NARDNRQZRRPKAR-INIZCTEOSA-N 1 2 276.449 3.984 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000181752156 1120108435 /nfs/dbraw/zinc/10/84/35/1120108435.db2.gz RAPIBKFDBRMIKX-SECBINFHSA-N 1 2 282.746 3.935 20 0 CHADLO CCCc1ccc(CNc2ccn3cc[nH+]c3c2)cc1 ZINC001168636063 1120114174 /nfs/dbraw/zinc/11/41/74/1120114174.db2.gz ZFMQFUWEIIYWDK-UHFFFAOYSA-N 1 2 265.360 3.899 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@H]1CC2CCC1CC2 ZINC000431836383 1120122583 /nfs/dbraw/zinc/12/25/83/1120122583.db2.gz QZEDXFCTSIRDQW-KVULBXGLSA-N 1 2 295.386 3.637 20 0 CHADLO CCC[N@H+](CC(=O)OC(C)(C)C)Cc1ccc(C)s1 ZINC000542709970 1120124964 /nfs/dbraw/zinc/12/49/64/1120124964.db2.gz NXXBPTNUJLXGHY-UHFFFAOYSA-N 1 2 283.437 3.610 20 0 CHADLO CCC[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc(C)s1 ZINC000542709970 1120124967 /nfs/dbraw/zinc/12/49/67/1120124967.db2.gz NXXBPTNUJLXGHY-UHFFFAOYSA-N 1 2 283.437 3.610 20 0 CHADLO c1ccn(-c2ccc(N[C@H]3CCNc4ccccc43)[nH+]c2)c1 ZINC001168640418 1120127737 /nfs/dbraw/zinc/12/77/37/1120127737.db2.gz IGTZKFIHQZYWLZ-KRWDZBQOSA-N 1 2 290.370 3.841 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(Cl)cc2)c(C)o1 ZINC000659834020 1120138821 /nfs/dbraw/zinc/13/88/21/1120138821.db2.gz QMWSHGYHVBCALW-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(Cl)cc2)c(C)o1 ZINC000659834020 1120138823 /nfs/dbraw/zinc/13/88/23/1120138823.db2.gz QMWSHGYHVBCALW-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc(N[C@@H](C)c2ncc[nH]2)c[nH+]c1N1CCCC[C@@H]1C ZINC000353686052 1120159467 /nfs/dbraw/zinc/15/94/67/1120159467.db2.gz JKUJBDTXPOVNET-KBPBESRZSA-N 1 2 299.422 3.665 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@H](C)c1ccc(Cl)s1 ZINC000924610652 1120163719 /nfs/dbraw/zinc/16/37/19/1120163719.db2.gz SHHOJQZNKHXFTO-RNFRBKRXSA-N 1 2 271.773 3.505 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1nc(C)oc1C ZINC000659842623 1120165311 /nfs/dbraw/zinc/16/53/11/1120165311.db2.gz QXQWPLOCQSINPU-AWEZNQCLSA-N 1 2 276.405 3.862 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1nc(C)oc1C ZINC000659842623 1120165313 /nfs/dbraw/zinc/16/53/13/1120165313.db2.gz QXQWPLOCQSINPU-AWEZNQCLSA-N 1 2 276.405 3.862 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cc(F)c(O)c(F)c1)C2 ZINC001140992076 1120166424 /nfs/dbraw/zinc/16/64/24/1120166424.db2.gz LTFKQOQSTCXIFN-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cc(F)c(O)c(F)c1)C2 ZINC001140992076 1120166426 /nfs/dbraw/zinc/16/64/26/1120166426.db2.gz LTFKQOQSTCXIFN-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO CC[C@@H](NC(=O)C[C@H](C)n1cc[nH+]c1)c1cc(C)ccc1C ZINC000629108378 1128895950 /nfs/dbraw/zinc/89/59/50/1128895950.db2.gz GYPTUQVXGBVVHN-DOTOQJQBSA-N 1 2 299.418 3.719 20 0 CHADLO COc1ccc(F)cc1CNc1ccc([NH+](C)C)cc1C ZINC000314332269 1120186121 /nfs/dbraw/zinc/18/61/21/1120186121.db2.gz KIBSDHBIENCOGV-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO c1ccn(-c2ccc[nH+]c2N[C@H]2CCCc3cccnc32)c1 ZINC001168656451 1120190882 /nfs/dbraw/zinc/19/08/82/1120190882.db2.gz POBMGVKNGKZXQJ-HNNXBMFYSA-N 1 2 290.370 3.757 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1C1CC1)c1ccc(F)cc1Cl ZINC000893012247 1120198497 /nfs/dbraw/zinc/19/84/97/1120198497.db2.gz MVABZYUOXMWXDV-JTQLQIEISA-N 1 2 293.773 3.861 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2C)C2CC2)c(C)o1 ZINC000659858431 1120199829 /nfs/dbraw/zinc/19/98/29/1120199829.db2.gz VTSSGIZYOMXEPZ-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2C)C2CC2)c(C)o1 ZINC000659858431 1120199832 /nfs/dbraw/zinc/19/98/32/1120199832.db2.gz VTSSGIZYOMXEPZ-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO CCC[N@H+](Cc1cc(OC)ns1)Cc1ccccc1F ZINC000659860601 1120203097 /nfs/dbraw/zinc/20/30/97/1120203097.db2.gz ZHEUXESIBSOMGI-UHFFFAOYSA-N 1 2 294.395 3.703 20 0 CHADLO CCC[N@@H+](Cc1cc(OC)ns1)Cc1ccccc1F ZINC000659860601 1120203101 /nfs/dbraw/zinc/20/31/01/1120203101.db2.gz ZHEUXESIBSOMGI-UHFFFAOYSA-N 1 2 294.395 3.703 20 0 CHADLO Cc1c([C@H](C)Nc2ccc(C)[nH+]c2C)cnn1C(C)C ZINC001116742623 1120206227 /nfs/dbraw/zinc/20/62/27/1120206227.db2.gz ZJUWJEDTBBEPIN-LBPRGKRZSA-N 1 2 272.396 3.957 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1ccccc1Cl)c1ccco1 ZINC000177396563 1120216687 /nfs/dbraw/zinc/21/66/87/1120216687.db2.gz TUOOSDYSBGJQNG-BXUZGUMPSA-N 1 2 279.767 3.971 20 0 CHADLO Cc1ccccc1-c1noc(C[N@H+](C)Cc2cccs2)n1 ZINC000061779564 1120230156 /nfs/dbraw/zinc/23/01/56/1120230156.db2.gz CAACDHIPVRNFBD-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccccc1-c1noc(C[N@@H+](C)Cc2cccs2)n1 ZINC000061779564 1120230159 /nfs/dbraw/zinc/23/01/59/1120230159.db2.gz CAACDHIPVRNFBD-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCC[N@@H+]1Cc1ccco1 ZINC000271117840 1120234738 /nfs/dbraw/zinc/23/47/38/1120234738.db2.gz IDSCNRUYBCALRB-INIZCTEOSA-N 1 2 281.359 3.503 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCC[N@H+]1Cc1ccco1 ZINC000271117840 1120234740 /nfs/dbraw/zinc/23/47/40/1120234740.db2.gz IDSCNRUYBCALRB-INIZCTEOSA-N 1 2 281.359 3.503 20 0 CHADLO Clc1cccc2c1C[N@H+](CCOC1CCSCC1)C2 ZINC000930488848 1120235071 /nfs/dbraw/zinc/23/50/71/1120235071.db2.gz FIGUDMFDHDGYEM-UHFFFAOYSA-N 1 2 297.851 3.568 20 0 CHADLO Clc1cccc2c1C[N@@H+](CCOC1CCSCC1)C2 ZINC000930488848 1120235077 /nfs/dbraw/zinc/23/50/77/1120235077.db2.gz FIGUDMFDHDGYEM-UHFFFAOYSA-N 1 2 297.851 3.568 20 0 CHADLO c1cc2c(s1)CCC[C@@H]2[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000353673136 1128902058 /nfs/dbraw/zinc/90/20/58/1128902058.db2.gz RGWPFOJBTCOECQ-QWHCGFSZSA-N 1 2 273.405 3.516 20 0 CHADLO CCOc1ccccc1CNc1cc2cc[nH]c2c[nH+]1 ZINC000672185740 1120250869 /nfs/dbraw/zinc/25/08/69/1120250869.db2.gz MWFREISSJYEKCC-UHFFFAOYSA-N 1 2 267.332 3.574 20 0 CHADLO c1cc2c(s1)CCC[C@@H]2[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000353673138 1128902275 /nfs/dbraw/zinc/90/22/75/1128902275.db2.gz RGWPFOJBTCOECQ-STQMWFEESA-N 1 2 273.405 3.516 20 0 CHADLO c1cc2cc(NC[C@H]3C[C@@H]3c3ccccc3)[nH+]cc2[nH]1 ZINC000672213396 1120256171 /nfs/dbraw/zinc/25/61/71/1120256171.db2.gz IULXFCDCORNTOG-HUUCEWRRSA-N 1 2 263.344 3.779 20 0 CHADLO Cc1nc(N(C)[C@@H](C)c2cccs2)c2c([nH+]1)CCCC2 ZINC000542936722 1120265160 /nfs/dbraw/zinc/26/51/60/1120265160.db2.gz PJVZQOQSWJQBIX-NSHDSACASA-N 1 2 287.432 3.923 20 0 CHADLO CCc1nc(C[N@@H+]2CCc3ccccc3[C@@H]2C)cs1 ZINC000106862532 1120280131 /nfs/dbraw/zinc/28/01/31/1120280131.db2.gz UUHSSPFJDDDDNU-LBPRGKRZSA-N 1 2 272.417 3.825 20 0 CHADLO CCc1nc(C[N@H+]2CCc3ccccc3[C@@H]2C)cs1 ZINC000106862532 1120280135 /nfs/dbraw/zinc/28/01/35/1120280135.db2.gz UUHSSPFJDDDDNU-LBPRGKRZSA-N 1 2 272.417 3.825 20 0 CHADLO CCN(CC)c1ccc(N[C@H]2CCCc3cccnc32)c[nH+]1 ZINC000387732140 1120286678 /nfs/dbraw/zinc/28/66/78/1120286678.db2.gz ODEUYYLWVLQKCN-INIZCTEOSA-N 1 2 296.418 3.812 20 0 CHADLO CC(C)CCc1noc(C[N@H+](C)[C@H]2CCc3ccccc32)n1 ZINC000064508304 1120290937 /nfs/dbraw/zinc/29/09/37/1120290937.db2.gz GJUVNWFVFCAWCU-INIZCTEOSA-N 1 2 299.418 3.778 20 0 CHADLO CC(C)CCc1noc(C[N@@H+](C)[C@H]2CCc3ccccc32)n1 ZINC000064508304 1120290941 /nfs/dbraw/zinc/29/09/41/1120290941.db2.gz GJUVNWFVFCAWCU-INIZCTEOSA-N 1 2 299.418 3.778 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)c1 ZINC000193576880 1120294284 /nfs/dbraw/zinc/29/42/84/1120294284.db2.gz JQCJPOTYMVTWAI-JTQLQIEISA-N 1 2 279.252 3.739 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCC[C@H](C(F)(F)F)C2)c1 ZINC000193576880 1120294288 /nfs/dbraw/zinc/29/42/88/1120294288.db2.gz JQCJPOTYMVTWAI-JTQLQIEISA-N 1 2 279.252 3.739 20 0 CHADLO Oc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCC1(F)F)CCC2 ZINC000398082744 1120296966 /nfs/dbraw/zinc/29/69/66/1120296966.db2.gz SJXQNXDDXBBWJS-KBPBESRZSA-N 1 2 267.319 3.547 20 0 CHADLO C[C@H]([NH2+]Cc1cncs1)c1c(F)cccc1Cl ZINC000136277594 1120301380 /nfs/dbraw/zinc/30/13/80/1120301380.db2.gz FGPDHZSWMGKZJH-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@@H](CCCC(C)(C)O)Nc1ccc(-n2cccc2)c[nH+]1 ZINC001168698392 1120311919 /nfs/dbraw/zinc/31/19/19/1120311919.db2.gz KLLSEBVEYUCPFV-AWEZNQCLSA-N 1 2 287.407 3.614 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccco2)c(C)o1 ZINC000659969741 1120316309 /nfs/dbraw/zinc/31/63/09/1120316309.db2.gz JOXNCWLBUBZXLV-XHDPSFHLSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccco2)c(C)o1 ZINC000659969741 1120316313 /nfs/dbraw/zinc/31/63/13/1120316313.db2.gz JOXNCWLBUBZXLV-XHDPSFHLSA-N 1 2 274.364 3.858 20 0 CHADLO CC(C)=CCC[N@@H+](C)Cc1nc([C@@H](C)OCC(C)C)no1 ZINC000659976272 1120318068 /nfs/dbraw/zinc/31/80/68/1120318068.db2.gz YQPQOIFRBPRZDK-CQSZACIVSA-N 1 2 295.427 3.591 20 0 CHADLO CC(C)=CCC[N@H+](C)Cc1nc([C@@H](C)OCC(C)C)no1 ZINC000659976272 1120318070 /nfs/dbraw/zinc/31/80/70/1120318070.db2.gz YQPQOIFRBPRZDK-CQSZACIVSA-N 1 2 295.427 3.591 20 0 CHADLO CC[C@@H]1C[C@H](C)C[N@@H+]1Cc1ncsc1Br ZINC000659975585 1120319462 /nfs/dbraw/zinc/31/94/62/1120319462.db2.gz XYRLSLRXIFCZRI-DTWKUNHWSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1C[C@H](C)C[N@H+]1Cc1ncsc1Br ZINC000659975585 1120319469 /nfs/dbraw/zinc/31/94/69/1120319469.db2.gz XYRLSLRXIFCZRI-DTWKUNHWSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H](C)C[N@H+](CC)Cc1ncsc1Br ZINC000659984036 1120322702 /nfs/dbraw/zinc/32/27/02/1120322702.db2.gz APWMWYXVYUXQMP-VIFPVBQESA-N 1 2 291.258 3.774 20 0 CHADLO CC[C@H](C)C[N@@H+](CC)Cc1ncsc1Br ZINC000659984036 1120322708 /nfs/dbraw/zinc/32/27/08/1120322708.db2.gz APWMWYXVYUXQMP-VIFPVBQESA-N 1 2 291.258 3.774 20 0 CHADLO CCC[N@H+](Cc1ccccc1F)Cc1cnccc1OC ZINC000672536667 1120323404 /nfs/dbraw/zinc/32/34/04/1120323404.db2.gz BCQOQUQWBVRBNN-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CCC[N@@H+](Cc1ccccc1F)Cc1cnccc1OC ZINC000672536667 1120323407 /nfs/dbraw/zinc/32/34/07/1120323407.db2.gz BCQOQUQWBVRBNN-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CC[N@H+](Cc1nsc2ccccc12)Cc1ccccn1 ZINC000660000594 1120334208 /nfs/dbraw/zinc/33/42/08/1120334208.db2.gz YNXYVAJSZABNSW-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CC[N@@H+](Cc1nsc2ccccc12)Cc1ccccn1 ZINC000660000594 1120334213 /nfs/dbraw/zinc/33/42/13/1120334213.db2.gz YNXYVAJSZABNSW-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CO[C@H](c1ccccc1)[C@H](C)[NH2+][C@H]1CCCC1(F)F ZINC000672620402 1120334260 /nfs/dbraw/zinc/33/42/60/1120334260.db2.gz MIFRNPXMBLEPER-UBHSHLNASA-N 1 2 269.335 3.540 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc2c(c1)OCCO2 ZINC000672620694 1120334941 /nfs/dbraw/zinc/33/49/41/1120334941.db2.gz PRPUZERRKBFCNY-SWLSCSKDSA-N 1 2 297.345 3.686 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCC1(F)F)c1cccc(OC)c1 ZINC000672622875 1120337572 /nfs/dbraw/zinc/33/75/72/1120337572.db2.gz WNXAZBSXRQCCJZ-KGLIPLIRSA-N 1 2 269.335 3.924 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)cs1)c1cc(O)cc(F)c1 ZINC000925547565 1120344585 /nfs/dbraw/zinc/34/45/85/1120344585.db2.gz PMUYWKWZNMCBNY-SECBINFHSA-N 1 2 292.379 3.716 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2cccc3cc[nH]c32)n1 ZINC000922043118 1120346348 /nfs/dbraw/zinc/34/63/48/1120346348.db2.gz KWYZZWZMUPMAKQ-UHFFFAOYSA-N 1 2 283.375 3.743 20 0 CHADLO C[NH2+]c1ccc(NCc2cccc3c2OCCCO3)cc1 ZINC000629389642 1128910054 /nfs/dbraw/zinc/91/00/54/1128910054.db2.gz PNMIVYJDPXZLCP-UHFFFAOYSA-N 1 2 284.359 3.502 20 0 CHADLO CC[C@@H](OCCNc1cccc[nH+]1)c1ccccc1 ZINC000651392472 1120348721 /nfs/dbraw/zinc/34/87/21/1120348721.db2.gz SAPAWYMWAYKXKL-OAHLLOKOSA-N 1 2 256.349 3.661 20 0 CHADLO Oc1c(F)cc(F)cc1Nc1ccccc1-n1cc[nH+]c1 ZINC001212485912 1120370410 /nfs/dbraw/zinc/37/04/10/1120370410.db2.gz FDWKPPNTIPJYKU-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO Cc1noc(C)c1CNc1[nH+]c2ccccc2cc1C ZINC000092340408 1128912497 /nfs/dbraw/zinc/91/24/97/1128912497.db2.gz NAXGSDOORBERGU-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143615256 1120389981 /nfs/dbraw/zinc/38/99/81/1120389981.db2.gz AKCFDWIYHGLXTO-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143615256 1120389988 /nfs/dbraw/zinc/38/99/88/1120389988.db2.gz AKCFDWIYHGLXTO-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO Cc1c(Cl)ccc(C[NH2+][C@H]2CCn3ccnc32)c1Cl ZINC000922152078 1120390714 /nfs/dbraw/zinc/39/07/14/1120390714.db2.gz XLPOYWWEYPISMW-LBPRGKRZSA-N 1 2 296.201 3.733 20 0 CHADLO Fc1cccc2c1CCC[C@H]2[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000453237913 1120398843 /nfs/dbraw/zinc/39/88/43/1120398843.db2.gz PHWLCBJMBHGEKS-HZPDHXFCSA-N 1 2 285.366 3.593 20 0 CHADLO Fc1cccc2c1CCC[C@@H]2[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000453237914 1120399688 /nfs/dbraw/zinc/39/96/88/1120399688.db2.gz PHWLCBJMBHGEKS-JKSUJKDBSA-N 1 2 285.366 3.593 20 0 CHADLO Cc1cc(N2CC[C@H](CC3CC3)C2)nc(C(C)C)[nH+]1 ZINC000338805277 1120402532 /nfs/dbraw/zinc/40/25/32/1120402532.db2.gz LTUMHCZIWLUHIR-CQSZACIVSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cnn(C(C)(C)C)c2C)cs1 ZINC000682493984 1120416236 /nfs/dbraw/zinc/41/62/36/1120416236.db2.gz XOAAUCYDAQKHMU-SNVBAGLBSA-N 1 2 292.452 3.562 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+]Cc1nc(C)oc1C ZINC000660185014 1120423931 /nfs/dbraw/zinc/42/39/31/1120423931.db2.gz VCLVIQOWAHNSGG-OAHLLOKOSA-N 1 2 288.391 3.931 20 0 CHADLO CCC[C@H]([NH2+]Cc1cccc(F)n1)c1ncccc1Cl ZINC000925592322 1120423962 /nfs/dbraw/zinc/42/39/62/1120423962.db2.gz HVYYROVUJJVHRM-ZDUSSCGKSA-N 1 2 293.773 3.900 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(C)c(F)c2)c(C)o1 ZINC000660204279 1120442019 /nfs/dbraw/zinc/44/20/19/1120442019.db2.gz HNEWSPRAQQJPKN-SNVBAGLBSA-N 1 2 262.328 3.590 20 0 CHADLO CCc1noc(C[NH2+][C@H](c2ccccc2)C(C)(C)C)n1 ZINC000067978101 1120458226 /nfs/dbraw/zinc/45/82/26/1120458226.db2.gz CMMXNSLGYZAARG-OAHLLOKOSA-N 1 2 273.380 3.509 20 0 CHADLO CCc1onc(C)c1C[N@H+](Cc1ccc(C)o1)C1CC1 ZINC000929281701 1120475619 /nfs/dbraw/zinc/47/56/19/1120475619.db2.gz AVOAAAFSUCOHQV-UHFFFAOYSA-N 1 2 274.364 3.611 20 0 CHADLO CCc1onc(C)c1C[N@@H+](Cc1ccc(C)o1)C1CC1 ZINC000929281701 1120475623 /nfs/dbraw/zinc/47/56/23/1120475623.db2.gz AVOAAAFSUCOHQV-UHFFFAOYSA-N 1 2 274.364 3.611 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(Cl)ccc1SC ZINC000417844523 1120485276 /nfs/dbraw/zinc/48/52/76/1120485276.db2.gz HSGXREVVRXYTOT-UHFFFAOYSA-N 1 2 281.812 3.890 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(F)cc1OC(C)(C)C ZINC000417846468 1120486122 /nfs/dbraw/zinc/48/61/22/1120486122.db2.gz UCYAFRATRULYBZ-UHFFFAOYSA-N 1 2 291.370 3.832 20 0 CHADLO CC[C@H]1[C@@H](C)CC[N@@H+]1Cc1ncsc1Br ZINC000660317489 1120495216 /nfs/dbraw/zinc/49/52/16/1120495216.db2.gz PZMOOBHPAXNYIM-WPRPVWTQSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1[C@@H](C)CC[N@H+]1Cc1ncsc1Br ZINC000660317489 1120495217 /nfs/dbraw/zinc/49/52/17/1120495217.db2.gz PZMOOBHPAXNYIM-WPRPVWTQSA-N 1 2 289.242 3.526 20 0 CHADLO Fc1cccc(C[NH2+]Cc2c(Cl)n[nH]c2C2CC2)c1F ZINC000922301231 1120504084 /nfs/dbraw/zinc/50/40/84/1120504084.db2.gz DGXFKMHTPCQDFZ-UHFFFAOYSA-N 1 2 297.736 3.509 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C2CCC2)cc1 ZINC000418030655 1120507520 /nfs/dbraw/zinc/50/75/20/1120507520.db2.gz JUTJHFDDDBLBOS-UHFFFAOYSA-N 1 2 255.365 3.783 20 0 CHADLO FC[C@@H]([NH2+]Cc1cccc2c1OCC2)c1ccc(F)cc1 ZINC000922318974 1120508808 /nfs/dbraw/zinc/50/88/08/1120508808.db2.gz VRFCUJLUOFNHMR-MRXNPFEDSA-N 1 2 289.325 3.561 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+]Cc1cnn(C2CCC2)c1 ZINC000922335717 1120516550 /nfs/dbraw/zinc/51/65/50/1120516550.db2.gz NXAFFPJSWLPAEU-QZTJIDSGSA-N 1 2 299.393 3.723 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1ccc(Cl)c(F)c1 ZINC000213720719 1120535183 /nfs/dbraw/zinc/53/51/83/1120535183.db2.gz ARQUTXFQNOATAS-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+]Cc1cc(Cl)cs1 ZINC000922521015 1120546854 /nfs/dbraw/zinc/54/68/54/1120546854.db2.gz QZDIIJXJOVQYHT-TZMCWYRMSA-N 1 2 297.782 3.963 20 0 CHADLO COc1cccc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000398001181 1120548104 /nfs/dbraw/zinc/54/81/04/1120548104.db2.gz FAFOZWYWPWFNHW-ZWNOBZJWSA-N 1 2 255.308 3.534 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+][C@H](C)c1nc2ccccc2s1 ZINC000783247453 1120564116 /nfs/dbraw/zinc/56/41/16/1120564116.db2.gz XMOBTHAUDWSCNN-VXGBXAGGSA-N 1 2 298.415 3.807 20 0 CHADLO CCCOc1cccc(C[NH2+][C@H]2CCCC2(F)F)c1 ZINC000398090486 1120566626 /nfs/dbraw/zinc/56/66/26/1120566626.db2.gz VUSOZPPNCAAWIX-AWEZNQCLSA-N 1 2 269.335 3.753 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccc2c(c1)OCCCO2 ZINC000398128935 1120573113 /nfs/dbraw/zinc/57/31/13/1120573113.db2.gz SJNDJTRZCNFYRJ-NHYWBVRUSA-N 1 2 297.345 3.686 20 0 CHADLO Cc1nc(C[N@H+]2CCc3c(cccc3C(C)C)C2)co1 ZINC000660394140 1120578668 /nfs/dbraw/zinc/57/86/68/1120578668.db2.gz YUJDZLGKHGTPSO-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3c(cccc3C(C)C)C2)co1 ZINC000660394140 1120578670 /nfs/dbraw/zinc/57/86/70/1120578670.db2.gz YUJDZLGKHGTPSO-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO CC(C)CC1(C)CC[NH+](Cc2nnc(C(C)C)[nH]2)CC1 ZINC000428974790 1120594935 /nfs/dbraw/zinc/59/49/35/1120594935.db2.gz GLPOVBKXALTTSC-UHFFFAOYSA-N 1 2 278.444 3.576 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@H]1c1ccc(-c2ccccc2F)cc1 ZINC000629709667 1128928489 /nfs/dbraw/zinc/92/84/89/1128928489.db2.gz DGSAQVCSUARVOM-SFHVURJKSA-N 1 2 283.346 3.829 20 0 CHADLO C[N@H+]1CCC(=O)C[C@H]1c1ccc(-c2ccccc2F)cc1 ZINC000629709667 1128928491 /nfs/dbraw/zinc/92/84/91/1128928491.db2.gz DGSAQVCSUARVOM-SFHVURJKSA-N 1 2 283.346 3.829 20 0 CHADLO C[C@H](CCO)[NH2+]c1ccc(N(C)Cc2ccccc2)cc1 ZINC000779423215 1128928612 /nfs/dbraw/zinc/92/86/12/1128928612.db2.gz ONJDIOFUHOHCSR-OAHLLOKOSA-N 1 2 284.403 3.506 20 0 CHADLO C[N@H+](Cc1nnc(C(F)(F)F)s1)[C@H]1CCC(C)(C)C1 ZINC000660452245 1120620752 /nfs/dbraw/zinc/62/07/52/1120620752.db2.gz DPLJZDPDSKQFRJ-QMMMGPOBSA-N 1 2 293.358 3.567 20 0 CHADLO C[N@@H+](Cc1nnc(C(F)(F)F)s1)[C@H]1CCC(C)(C)C1 ZINC000660452245 1120620755 /nfs/dbraw/zinc/62/07/55/1120620755.db2.gz DPLJZDPDSKQFRJ-QMMMGPOBSA-N 1 2 293.358 3.567 20 0 CHADLO C[N@H+](Cc1nnc(C(F)(F)F)s1)[C@@H]1CCC(C)(C)C1 ZINC000660452244 1120621438 /nfs/dbraw/zinc/62/14/38/1120621438.db2.gz DPLJZDPDSKQFRJ-MRVPVSSYSA-N 1 2 293.358 3.567 20 0 CHADLO C[N@@H+](Cc1nnc(C(F)(F)F)s1)[C@@H]1CCC(C)(C)C1 ZINC000660452244 1120621440 /nfs/dbraw/zinc/62/14/40/1120621440.db2.gz DPLJZDPDSKQFRJ-MRVPVSSYSA-N 1 2 293.358 3.567 20 0 CHADLO CC1CCC([N@H+](Cc2noc(C3CCC3)n2)C2CC2)CC1 ZINC000625089358 1120631730 /nfs/dbraw/zinc/63/17/30/1120631730.db2.gz HMDGTNPUWUIZOL-UHFFFAOYSA-N 1 2 289.423 3.880 20 0 CHADLO CC1CCC([N@@H+](Cc2noc(C3CCC3)n2)C2CC2)CC1 ZINC000625089358 1120631734 /nfs/dbraw/zinc/63/17/34/1120631734.db2.gz HMDGTNPUWUIZOL-UHFFFAOYSA-N 1 2 289.423 3.880 20 0 CHADLO CCCCC[C@H](C)CC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178386978 1120634709 /nfs/dbraw/zinc/63/47/09/1120634709.db2.gz JEVJFKNBYXOHLQ-AWEZNQCLSA-N 1 2 287.407 3.557 20 0 CHADLO C[C@H](CC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)CC(C)(C)C ZINC000625206999 1120646475 /nfs/dbraw/zinc/64/64/75/1120646475.db2.gz NFQLQFHCKMFGBS-CYBMUJFWSA-N 1 2 291.439 3.578 20 0 CHADLO COc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC000124184083 1120651310 /nfs/dbraw/zinc/65/13/10/1120651310.db2.gz IAQPYJKDFFGCGN-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@H](C)O1 ZINC000511842446 1120660013 /nfs/dbraw/zinc/66/00/13/1120660013.db2.gz KDNJOWUZIAEZML-SMDDNHRTSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@H](C)O1 ZINC000511842446 1120660016 /nfs/dbraw/zinc/66/00/16/1120660016.db2.gz KDNJOWUZIAEZML-SMDDNHRTSA-N 1 2 287.325 3.705 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](C)c2cccc(OC(C)C)c2)n1 ZINC000615315474 1128932268 /nfs/dbraw/zinc/93/22/68/1128932268.db2.gz RTJFVGHUXILZQY-NEPJUHHUSA-N 1 2 289.379 3.577 20 0 CHADLO CNc1ccc(Nc2nc3ccc(C)cc3s2)c[nH+]1 ZINC001203448673 1120684890 /nfs/dbraw/zinc/68/48/90/1120684890.db2.gz FGLSAACLGDXEBE-UHFFFAOYSA-N 1 2 270.361 3.785 20 0 CHADLO CC(C)(C)C[C@@H]1CC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625466076 1120687345 /nfs/dbraw/zinc/68/73/45/1120687345.db2.gz WFQUWXCQYCKCPT-LBPRGKRZSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)(C)C[C@@H]1CC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625466076 1120687348 /nfs/dbraw/zinc/68/73/48/1120687348.db2.gz WFQUWXCQYCKCPT-LBPRGKRZSA-N 1 2 277.412 3.595 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)c1C ZINC000334408501 1120698948 /nfs/dbraw/zinc/69/89/48/1120698948.db2.gz LNSHBCKFZNVCTF-CJNGLKHVSA-N 1 2 269.392 3.755 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2oc3c(cccc3C)c2C)co1 ZINC000660918497 1120700347 /nfs/dbraw/zinc/70/03/47/1120700347.db2.gz BOTYXOGXTQLAEV-UHFFFAOYSA-N 1 2 284.359 3.978 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2oc3c(cccc3C)c2C)co1 ZINC000660918497 1120700353 /nfs/dbraw/zinc/70/03/53/1120700353.db2.gz BOTYXOGXTQLAEV-UHFFFAOYSA-N 1 2 284.359 3.978 20 0 CHADLO Cc1ccc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)cc1F ZINC000925722353 1120713672 /nfs/dbraw/zinc/71/36/72/1120713672.db2.gz LFEUJJPHZQSVEI-LBPRGKRZSA-N 1 2 273.355 3.840 20 0 CHADLO CC[C@@H](C)[N@H+](CC)Cc1noc(Cc2ccccc2C)n1 ZINC000661109893 1120720140 /nfs/dbraw/zinc/72/01/40/1120720140.db2.gz UTPWNUXXDUANDQ-CQSZACIVSA-N 1 2 287.407 3.589 20 0 CHADLO CC[C@@H](C)[N@@H+](CC)Cc1noc(Cc2ccccc2C)n1 ZINC000661109893 1120720144 /nfs/dbraw/zinc/72/01/44/1120720144.db2.gz UTPWNUXXDUANDQ-CQSZACIVSA-N 1 2 287.407 3.589 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@H]2c2c(C)cccc2C)no1 ZINC000661133688 1120724325 /nfs/dbraw/zinc/72/43/25/1120724325.db2.gz JGSFBBYYQNIPKT-HNNXBMFYSA-N 1 2 299.418 3.976 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@H]2c2c(C)cccc2C)no1 ZINC000661133688 1120724331 /nfs/dbraw/zinc/72/43/31/1120724331.db2.gz JGSFBBYYQNIPKT-HNNXBMFYSA-N 1 2 299.418 3.976 20 0 CHADLO CC(C)(C)[N@@H+](Cc1ccsc1)Cc1nc(C2CC2)no1 ZINC000661138208 1120727342 /nfs/dbraw/zinc/72/73/42/1120727342.db2.gz ZHFVRQRYWBFYHL-UHFFFAOYSA-N 1 2 291.420 3.809 20 0 CHADLO CC(C)(C)[N@H+](Cc1ccsc1)Cc1nc(C2CC2)no1 ZINC000661138208 1120727348 /nfs/dbraw/zinc/72/73/48/1120727348.db2.gz ZHFVRQRYWBFYHL-UHFFFAOYSA-N 1 2 291.420 3.809 20 0 CHADLO CCc1noc(C[NH2+][C@H](c2ccc(F)cc2)C(C)(C)C)n1 ZINC000618752052 1128936777 /nfs/dbraw/zinc/93/67/77/1128936777.db2.gz VQWCIGCNEWXILP-OAHLLOKOSA-N 1 2 291.370 3.648 20 0 CHADLO CCCCC[N@@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000661535912 1120776541 /nfs/dbraw/zinc/77/65/41/1120776541.db2.gz NOZQXUVJMYMAKI-NSHDSACASA-N 1 2 287.329 3.823 20 0 CHADLO CCCCC[N@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000661535912 1120776543 /nfs/dbraw/zinc/77/65/43/1120776543.db2.gz NOZQXUVJMYMAKI-NSHDSACASA-N 1 2 287.329 3.823 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@@H]1C[C@H](C)C[C@@H](c2ccccc2)C1 ZINC000313812540 1120791495 /nfs/dbraw/zinc/79/14/95/1120791495.db2.gz IWMWKCWMRKMMOH-YALNPMBYSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]Cc1cccc2ccsc21 ZINC000652219645 1120801501 /nfs/dbraw/zinc/80/15/01/1120801501.db2.gz HDWPHTXEZTXCOO-JTQLQIEISA-N 1 2 271.389 3.784 20 0 CHADLO C[C@@]1(c2ccccc2)CC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000473143903 1120813873 /nfs/dbraw/zinc/81/38/73/1120813873.db2.gz OFFDQKMQPGZBLE-OAHLLOKOSA-N 1 2 292.835 3.960 20 0 CHADLO C[C@@]1(c2ccccc2)CC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000473143903 1120813874 /nfs/dbraw/zinc/81/38/74/1120813874.db2.gz OFFDQKMQPGZBLE-OAHLLOKOSA-N 1 2 292.835 3.960 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)c(C)s1 ZINC000335942817 1120815510 /nfs/dbraw/zinc/81/55/10/1120815510.db2.gz LUEXSJYNTWEELE-IINYFYTJSA-N 1 2 275.421 3.816 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2C[C@@H](C)c3ccccc32)c1 ZINC000652330457 1120828000 /nfs/dbraw/zinc/82/80/00/1120828000.db2.gz GUEAFBNUHBOTJG-WBMJQRKESA-N 1 2 268.360 3.888 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2sccc2C)c1 ZINC000652331171 1120829653 /nfs/dbraw/zinc/82/96/53/1120829653.db2.gz ZVGHNTIHVUFWSH-LLVKDONJSA-N 1 2 262.378 3.771 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCc3cc(Cl)ccc32)c1 ZINC000652330986 1120830042 /nfs/dbraw/zinc/83/00/42/1120830042.db2.gz USPAZSLKKHTAAZ-HNNXBMFYSA-N 1 2 288.778 3.981 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)c(OC)c(F)c1 ZINC001211676927 1120841032 /nfs/dbraw/zinc/84/10/32/1120841032.db2.gz MVKMUOZHSNWRBM-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CCc1cnc(C[N@@H+]2CCS[C@H](c3ccccc3)C2)o1 ZINC000075748425 1120857987 /nfs/dbraw/zinc/85/79/87/1120857987.db2.gz ZHWLCTXUCXPVEQ-HNNXBMFYSA-N 1 2 288.416 3.527 20 0 CHADLO CCc1cnc(C[N@H+]2CCS[C@H](c3ccccc3)C2)o1 ZINC000075748425 1120857991 /nfs/dbraw/zinc/85/79/91/1120857991.db2.gz ZHWLCTXUCXPVEQ-HNNXBMFYSA-N 1 2 288.416 3.527 20 0 CHADLO CCc1cc(N2[C@H](C)C[C@H]3CCCC[C@H]32)nc(C)[nH+]1 ZINC000543877718 1120860020 /nfs/dbraw/zinc/86/00/20/1120860020.db2.gz VQQGJSGTPIOJJO-UXIGCNINSA-N 1 2 259.397 3.505 20 0 CHADLO CC(C)c1[nH+]cc(-c2cc(F)ccc2OC(F)F)n1C ZINC000630050524 1128945286 /nfs/dbraw/zinc/94/52/86/1128945286.db2.gz GQZWNSJTRPQVNF-UHFFFAOYSA-N 1 2 284.281 3.951 20 0 CHADLO Cc1[nH]c(CN2CCC[C@@H]2c2ccc(F)cc2F)[nH+]c1C ZINC000628302697 1120890955 /nfs/dbraw/zinc/89/09/55/1120890955.db2.gz ONMLZIQVIIOBLA-OAHLLOKOSA-N 1 2 291.345 3.642 20 0 CHADLO Cc1cc(C[N@H+]2CC=C(c3ccc(C)cc3)CC2)no1 ZINC000053813417 1120891002 /nfs/dbraw/zinc/89/10/02/1120891002.db2.gz ZSLNHSDFHTVXCV-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO Cc1cc(C[N@@H+]2CC=C(c3ccc(C)cc3)CC2)no1 ZINC000053813417 1120891007 /nfs/dbraw/zinc/89/10/07/1120891007.db2.gz ZSLNHSDFHTVXCV-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO c1cn(Cc2nc3c(s2)CCCc2ccccc2-3)c[nH+]1 ZINC000663219861 1120892639 /nfs/dbraw/zinc/89/26/39/1120892639.db2.gz LTMMWIVCSBCUHJ-UHFFFAOYSA-N 1 2 281.384 3.544 20 0 CHADLO CC[C@H]1CCN(C(=O)Nc2c(C)cc(C)[nH+]c2C)[C@@H]1C ZINC001672529178 1120893929 /nfs/dbraw/zinc/89/39/29/1120893929.db2.gz DEJZXKVPWBSGEZ-KGLIPLIRSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cccc(C[NH2+]Cc2nc(-c3ccccc3)cs2)n1 ZINC000061681022 1120915903 /nfs/dbraw/zinc/91/59/03/1120915903.db2.gz BMMZVAUWGPUZJK-UHFFFAOYSA-N 1 2 295.411 3.803 20 0 CHADLO c1csc(CSCc2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000161798888 1120926060 /nfs/dbraw/zinc/92/60/60/1120926060.db2.gz ZUYGQMYRYJEXSY-UHFFFAOYSA-N 1 2 287.413 3.762 20 0 CHADLO CC1(C)C[N@H+](Cc2[nH]nc3ccccc32)[C@H]1c1cccnc1 ZINC000644835546 1120947598 /nfs/dbraw/zinc/94/75/98/1120947598.db2.gz ZDICXXPHTCDGEW-KRWDZBQOSA-N 1 2 292.386 3.541 20 0 CHADLO CC1(C)C[N@@H+](Cc2[nH]nc3ccccc32)[C@H]1c1cccnc1 ZINC000644835546 1120947600 /nfs/dbraw/zinc/94/76/00/1120947600.db2.gz ZDICXXPHTCDGEW-KRWDZBQOSA-N 1 2 292.386 3.541 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccc(C)cc2F)s1 ZINC000631085268 1121001100 /nfs/dbraw/zinc/00/11/00/1121001100.db2.gz JLEBFMSFCNFXGK-NSHDSACASA-N 1 2 264.369 3.750 20 0 CHADLO COc1cncc(C[N@H+](C)[C@H](C)c2ccccc2Cl)c1 ZINC000343963695 1121001317 /nfs/dbraw/zinc/00/13/17/1121001317.db2.gz DIBRCWQTGHBYEC-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cncc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)c1 ZINC000343963695 1121001320 /nfs/dbraw/zinc/00/13/20/1121001320.db2.gz DIBRCWQTGHBYEC-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO c1coc(C[NH2+][C@@H](CN2CCCCC2)c2ccccc2)c1 ZINC000075943908 1121005709 /nfs/dbraw/zinc/00/57/09/1121005709.db2.gz HOLPFNLPSJZKKU-SFHVURJKSA-N 1 2 284.403 3.596 20 0 CHADLO Oc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1F ZINC001174738206 1121017029 /nfs/dbraw/zinc/01/70/29/1121017029.db2.gz PCONPMMORWKFSE-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@@H]1COc2c1ccc(C)c2C ZINC000925365645 1121024163 /nfs/dbraw/zinc/02/41/63/1121024163.db2.gz CFDSAGYQKVFHGA-LSDHHAIUSA-N 1 2 298.386 3.701 20 0 CHADLO COc1cc(C)ccc1C[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000631282872 1121037515 /nfs/dbraw/zinc/03/75/15/1121037515.db2.gz GCCSCRBJNLPXDW-MRXNPFEDSA-N 1 2 291.341 3.943 20 0 CHADLO COc1ccc(F)cc1C[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000674011048 1121039922 /nfs/dbraw/zinc/03/99/22/1121039922.db2.gz DTKRGLXBJLVAMN-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(F)cc1C[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000674011048 1121039931 /nfs/dbraw/zinc/03/99/31/1121039931.db2.gz DTKRGLXBJLVAMN-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(C(C)(C)C)o2)C[C@H](C)C1(F)F ZINC000625649610 1121044289 /nfs/dbraw/zinc/04/42/89/1121044289.db2.gz UOQZJTJIZDULAN-PHIMTYICSA-N 1 2 286.366 3.695 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(C(C)(C)C)o2)C[C@H](C)C1(F)F ZINC000625649610 1121044296 /nfs/dbraw/zinc/04/42/96/1121044296.db2.gz UOQZJTJIZDULAN-PHIMTYICSA-N 1 2 286.366 3.695 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CCC[C@@H](C2CC2)C1 ZINC000343992881 1121045066 /nfs/dbraw/zinc/04/50/66/1121045066.db2.gz OBURELVKLIWTFA-OAHLLOKOSA-N 1 2 289.423 3.739 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CCC[C@@H](C2CC2)C1 ZINC000343992881 1121045073 /nfs/dbraw/zinc/04/50/73/1121045073.db2.gz OBURELVKLIWTFA-OAHLLOKOSA-N 1 2 289.423 3.739 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccccc1F ZINC000223046784 1121061437 /nfs/dbraw/zinc/06/14/37/1121061437.db2.gz VMMQANFTJLQSSF-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc2cccnc21 ZINC001174857490 1121067871 /nfs/dbraw/zinc/06/78/71/1121067871.db2.gz PJWMVCAYKZGEQA-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO Cc1cc2[nH]c(C3C[C@H](C)O[C@@H](C)C3)[nH+]c2cc1C ZINC000724363327 1121081125 /nfs/dbraw/zinc/08/11/25/1121081125.db2.gz QJKLFSGOMIGUEE-RYUDHWBXSA-N 1 2 258.365 3.851 20 0 CHADLO CC(=O)OCc1cccc(C)c1Nc1cccc(C)[nH+]1 ZINC001212767572 1121084732 /nfs/dbraw/zinc/08/47/32/1121084732.db2.gz NRBUSZHHDXWIQO-UHFFFAOYSA-N 1 2 270.332 3.505 20 0 CHADLO Cc1csc(C[N@@H+]2Cc3ccccc3OC(C)(C)C2)n1 ZINC000344106744 1121085816 /nfs/dbraw/zinc/08/58/16/1121085816.db2.gz IBVFLRNPNXMURX-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO Cc1csc(C[N@H+]2Cc3ccccc3OC(C)(C)C2)n1 ZINC000344106744 1121085825 /nfs/dbraw/zinc/08/58/25/1121085825.db2.gz IBVFLRNPNXMURX-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO Cc1cccc(Nc2cccc(C(=O)OC(C)C)c2)[nH+]1 ZINC001174914283 1121091373 /nfs/dbraw/zinc/09/13/73/1121091373.db2.gz UYSCQDLBGLKFLC-UHFFFAOYSA-N 1 2 270.332 3.699 20 0 CHADLO CC1CCC(C)(NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000344172702 1121109907 /nfs/dbraw/zinc/10/99/07/1121109907.db2.gz CMBIZMBHJHYMIU-UHFFFAOYSA-N 1 2 297.402 3.571 20 0 CHADLO CN(C)c1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001174961916 1121113589 /nfs/dbraw/zinc/11/35/89/1121113589.db2.gz GNHOWUNFLYZQFG-UHFFFAOYSA-N 1 2 292.386 3.741 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(F)c(F)c2F)[nH+]1 ZINC001174966417 1121116438 /nfs/dbraw/zinc/11/64/38/1121116438.db2.gz QQBMJYYRRYDZAY-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO CCC1CCC(NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000344200926 1121119169 /nfs/dbraw/zinc/11/91/69/1121119169.db2.gz QJIYUFFFANHPBA-UHFFFAOYSA-N 1 2 297.402 3.571 20 0 CHADLO COc1cc(F)cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001175009362 1121131227 /nfs/dbraw/zinc/13/12/27/1121131227.db2.gz BCLOMWCCOFNUKK-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO CCOc1ccc(Nc2cccn3cc[nH+]c23)cc1F ZINC001175068008 1121147398 /nfs/dbraw/zinc/14/73/98/1121147398.db2.gz ZJSMMEYSFGTPNX-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO Cc1cc(CO[C@@H](C)Cc2ccccc2)cc(C)[nH+]1 ZINC001223421253 1121149794 /nfs/dbraw/zinc/14/97/94/1121149794.db2.gz PONWZJVHOCKISB-HNNXBMFYSA-N 1 2 255.361 3.846 20 0 CHADLO CC(C)(C)OC1CCN(c2[nH+]ccc3c(N)cccc32)CC1 ZINC000663712562 1121153935 /nfs/dbraw/zinc/15/39/35/1121153935.db2.gz QBTZFSUFHBPKPI-UHFFFAOYSA-N 1 2 299.418 3.601 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc(O)cc3)ccc12 ZINC001175051494 1121161148 /nfs/dbraw/zinc/16/11/48/1121161148.db2.gz TYEHKFMHWQSQKM-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO COc1ccc(F)c(Nc2c[nH+]c(C)cc2C)c1F ZINC001175117857 1121167858 /nfs/dbraw/zinc/16/78/58/1121167858.db2.gz OHBAYAOPJNBXEQ-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CC[N@H+](CCn1cccn1)Cc1c(Cl)cccc1Cl ZINC001203423154 1121170354 /nfs/dbraw/zinc/17/03/54/1121170354.db2.gz UKBPTJZNVWDQGG-UHFFFAOYSA-N 1 2 298.217 3.712 20 0 CHADLO CC[N@@H+](CCn1cccn1)Cc1c(Cl)cccc1Cl ZINC001203423154 1121170357 /nfs/dbraw/zinc/17/03/57/1121170357.db2.gz UKBPTJZNVWDQGG-UHFFFAOYSA-N 1 2 298.217 3.712 20 0 CHADLO CCc1ccc(CNc2ccc([NH+](C)C)cc2C)o1 ZINC000060392661 1121173538 /nfs/dbraw/zinc/17/35/38/1121173538.db2.gz KNWUGHDTIVATKH-UHFFFAOYSA-N 1 2 258.365 3.829 20 0 CHADLO Cc1csc([C@@H](C)Nc2ccc([NH+](C)C)cc2C)n1 ZINC000701913029 1121174465 /nfs/dbraw/zinc/17/44/65/1121174465.db2.gz LQYSLSKYKZXJNA-GFCCVEGCSA-N 1 2 275.421 3.999 20 0 CHADLO Oc1cc(F)c(Nc2cc(Cl)c3[nH+]ccn3c2)c(F)c1 ZINC001175098263 1121183921 /nfs/dbraw/zinc/18/39/21/1121183921.db2.gz KSFCQFCNUVXINK-UHFFFAOYSA-N 1 2 295.676 3.715 20 0 CHADLO CCOc1ccc(Nc2ccn3cc[nH+]c3c2)c(F)c1F ZINC001175153072 1121187105 /nfs/dbraw/zinc/18/71/05/1121187105.db2.gz SQTCAQPANKYVBM-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)cc(OC(C)C)c1 ZINC001175141045 1121198991 /nfs/dbraw/zinc/19/89/91/1121198991.db2.gz XJRVXJUFXKECMA-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO Fc1ccc(C[NH2+][C@H]2COc3c2cccc3Cl)c(F)c1 ZINC000675103658 1121216874 /nfs/dbraw/zinc/21/68/74/1121216874.db2.gz ZOTUOYOHLBHODU-AWEZNQCLSA-N 1 2 295.716 3.842 20 0 CHADLO CCOc1ccc(OC)cc1Nc1ccc(C)[nH+]c1C ZINC001175210869 1121224562 /nfs/dbraw/zinc/22/45/62/1121224562.db2.gz JLSPIRHHSRITAK-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CCOc1cccc(Nc2cccn3cc[nH+]c23)c1F ZINC001175235009 1121236615 /nfs/dbraw/zinc/23/66/15/1121236615.db2.gz WONJRDQXCSQNJR-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO C[C@@H]1CCN(c2nccc3sccc32)C[C@@H]1n1cc[nH+]c1 ZINC000675228913 1121237146 /nfs/dbraw/zinc/23/71/46/1121237146.db2.gz SXRHDLSSIJJTNG-OCCSQVGLSA-N 1 2 298.415 3.580 20 0 CHADLO CCOc1ccc(Nc2ccc(C)[nH+]c2C)c(F)c1 ZINC001175247240 1121244590 /nfs/dbraw/zinc/24/45/90/1121244590.db2.gz MCSIFCIHTWCZHY-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO COc1cc(Nc2cccc(SC)c2)cc(C)[nH+]1 ZINC001175288017 1121255621 /nfs/dbraw/zinc/25/56/21/1121255621.db2.gz HERFUFJZESADIU-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175271296 1121270762 /nfs/dbraw/zinc/27/07/62/1121270762.db2.gz CBSZUAIMQLTXGC-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001175341527 1121272830 /nfs/dbraw/zinc/27/28/30/1121272830.db2.gz UDUTZLYLZGTASQ-UHFFFAOYSA-N 1 2 297.189 3.901 20 0 CHADLO CN(C)c1ccc(Nc2cc(F)cc3cccnc32)c[nH+]1 ZINC001175345167 1121274131 /nfs/dbraw/zinc/27/41/31/1121274131.db2.gz NTNGHAPKBCDLCM-UHFFFAOYSA-N 1 2 282.322 3.579 20 0 CHADLO Cc1ccc2c(Nc3ccc(N(C)C)nc3)cccc2[nH+]1 ZINC001175344819 1121274146 /nfs/dbraw/zinc/27/41/46/1121274146.db2.gz IPTKOHANLHIESW-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO Oc1cccc(Nc2ccc(N3CCCCC3)[nH+]c2)c1F ZINC001212546845 1121277859 /nfs/dbraw/zinc/27/78/59/1121277859.db2.gz YEFVQXZPNWBRAC-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Cn1c[nH+]cc1CN1C[C@H](C(C)(C)C)Cc2ccccc21 ZINC001175333602 1121287758 /nfs/dbraw/zinc/28/77/58/1121287758.db2.gz ZJBWSEUVZLYSCW-OAHLLOKOSA-N 1 2 283.419 3.645 20 0 CHADLO Cc1nc2ccccc2cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175338981 1121290315 /nfs/dbraw/zinc/29/03/15/1121290315.db2.gz RQPPFHMBOSPBCO-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO Cc1nc(Nc2ccc(N(C)C)[nH+]c2)c(Cl)cc1Cl ZINC001175338867 1121290489 /nfs/dbraw/zinc/29/04/89/1121290489.db2.gz OZYVGQLOFDMUNQ-UHFFFAOYSA-N 1 2 297.189 3.901 20 0 CHADLO CN(C)c1ccc(Nc2ccc(-c3ccccc3)cn2)c[nH+]1 ZINC001175338676 1121291017 /nfs/dbraw/zinc/29/10/17/1121291017.db2.gz JRESORCDIJGNMX-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO Oc1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1Cl ZINC001175349697 1121291653 /nfs/dbraw/zinc/29/16/53/1121291653.db2.gz CTOOJYXNZCNSHT-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(Cl)n1 ZINC001175350597 1121292209 /nfs/dbraw/zinc/29/22/09/1121292209.db2.gz WKPPJHBXHDVMPG-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO c1cc(Nc2ccc(N3CCCC3)[nH+]c2)cc2c1CCC2 ZINC001175350398 1121292380 /nfs/dbraw/zinc/29/23/80/1121292380.db2.gz IYNQAAZMKYBNDJ-UHFFFAOYSA-N 1 2 279.387 3.914 20 0 CHADLO Cc1ccc(N)c(Nc2ccc(N3CCCC3)[nH+]c2)c1C ZINC001175351576 1121292980 /nfs/dbraw/zinc/29/29/80/1121292980.db2.gz JMRVSQDIPVUDRJ-UHFFFAOYSA-N 1 2 282.391 3.624 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccccc2C(F)F)C[C@H]1F ZINC001139796490 1121296624 /nfs/dbraw/zinc/29/66/24/1121296624.db2.gz ZFEUKDXXRDCWBB-OCCSQVGLSA-N 1 2 275.289 3.896 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccccc2C(F)F)C[C@H]1F ZINC001139796490 1121296628 /nfs/dbraw/zinc/29/66/28/1121296628.db2.gz ZFEUKDXXRDCWBB-OCCSQVGLSA-N 1 2 275.289 3.896 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1nc2ccc(F)cc2s1 ZINC000432735064 1121302853 /nfs/dbraw/zinc/30/28/53/1121302853.db2.gz CYDCQEHZCGRKPX-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1nc2ccc(F)cc2s1 ZINC000432735064 1121302862 /nfs/dbraw/zinc/30/28/62/1121302862.db2.gz CYDCQEHZCGRKPX-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO CCC(CC)(CC)C(=O)NCCCCNc1cccc[nH+]1 ZINC000675455033 1121305683 /nfs/dbraw/zinc/30/56/83/1121305683.db2.gz RNRNZQHTLQPTKO-UHFFFAOYSA-N 1 2 291.439 3.606 20 0 CHADLO c1ncc(Nc2ccc([NH+]3CCCCC3)cc2)s1 ZINC001175497175 1121344593 /nfs/dbraw/zinc/34/45/93/1121344593.db2.gz JIWDPOAAXXARGB-UHFFFAOYSA-N 1 2 259.378 3.877 20 0 CHADLO Cc1cc(OCC[C@H]2CCOC2)c2cccc(C)c2[nH+]1 ZINC000418951770 1121346719 /nfs/dbraw/zinc/34/67/19/1121346719.db2.gz XLMWHSMDQBWXEY-CQSZACIVSA-N 1 2 271.360 3.657 20 0 CHADLO CSc1ccc(F)cc1Nc1[nH+]cc(C)cc1N ZINC001215446111 1121351035 /nfs/dbraw/zinc/35/10/35/1121351035.db2.gz BUNQKMCSHOHCCT-UHFFFAOYSA-N 1 2 263.341 3.577 20 0 CHADLO Cc1cc(Nc2ccccc2-n2cc[nH+]c2)cc2c[nH]nc21 ZINC001175517095 1121358418 /nfs/dbraw/zinc/35/84/18/1121358418.db2.gz BNYKGHRNPQNPJV-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Fc1ccccc1[C@@H]1CC[N@H+](C/C(Cl)=C/Cl)C1 ZINC000763538210 1128980008 /nfs/dbraw/zinc/98/00/08/1128980008.db2.gz JRHGJBZYUKJIED-PAKSIRSJSA-N 1 2 274.166 3.934 20 0 CHADLO Fc1ccccc1[C@@H]1CC[N@@H+](C/C(Cl)=C/Cl)C1 ZINC000763538210 1128980011 /nfs/dbraw/zinc/98/00/11/1128980011.db2.gz JRHGJBZYUKJIED-PAKSIRSJSA-N 1 2 274.166 3.934 20 0 CHADLO Cc1cn2cc(Nc3c4cn[nH]c4ccc3C)ccc2[nH+]1 ZINC001175523729 1121363680 /nfs/dbraw/zinc/36/36/80/1121363680.db2.gz LHYMOKNKUUFYEY-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CCCC[C@H]2C)c(C)[nH+]1 ZINC000408405271 1121370087 /nfs/dbraw/zinc/37/00/87/1121370087.db2.gz CHKXMCOMUHFMHV-YGRLFVJLSA-N 1 2 275.396 3.707 20 0 CHADLO CC[C@@H]1CCC[C@@H](NC(=O)c2c(C)cc(C)[nH+]c2C)C1 ZINC001126345160 1121372775 /nfs/dbraw/zinc/37/27/75/1121372775.db2.gz CEWRFDANFGBKMB-HUUCEWRRSA-N 1 2 274.408 3.705 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(N(C)C)c(Cl)c1 ZINC000090208629 1121373546 /nfs/dbraw/zinc/37/35/46/1121373546.db2.gz VCTMBAMRODKDDO-UHFFFAOYSA-N 1 2 292.814 3.796 20 0 CHADLO CC[N@@H+](Cc1csc(CCC(C)C)n1)C[C@H]1CCCO1 ZINC000051554601 1121383087 /nfs/dbraw/zinc/38/30/87/1121383087.db2.gz BIKMHBAMVOWOMO-OAHLLOKOSA-N 1 2 296.480 3.733 20 0 CHADLO CC[N@H+](Cc1csc(CCC(C)C)n1)C[C@H]1CCCO1 ZINC000051554601 1121383093 /nfs/dbraw/zinc/38/30/93/1121383093.db2.gz BIKMHBAMVOWOMO-OAHLLOKOSA-N 1 2 296.480 3.733 20 0 CHADLO CC(=O)[C@H]1CCC[N@H+](Cc2cc(Cl)ccc2Cl)C1 ZINC000087760117 1121386407 /nfs/dbraw/zinc/38/64/07/1121386407.db2.gz JRURIPHSZPUTMY-NSHDSACASA-N 1 2 286.202 3.794 20 0 CHADLO CC(=O)[C@H]1CCC[N@@H+](Cc2cc(Cl)ccc2Cl)C1 ZINC000087760117 1121386413 /nfs/dbraw/zinc/38/64/13/1121386413.db2.gz JRURIPHSZPUTMY-NSHDSACASA-N 1 2 286.202 3.794 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@H+]([C@H]1CCCOC1)CC2 ZINC000676010176 1121396357 /nfs/dbraw/zinc/39/63/57/1121396357.db2.gz UJBLPDNCMBXGFH-LBPRGKRZSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@@H+]([C@H]1CCCOC1)CC2 ZINC000676010176 1121396366 /nfs/dbraw/zinc/39/63/66/1121396366.db2.gz UJBLPDNCMBXGFH-LBPRGKRZSA-N 1 2 286.202 3.531 20 0 CHADLO c1[nH]nc2c1cccc2Nc1ccc[nH+]c1N1CCCCC1 ZINC001175637051 1121403991 /nfs/dbraw/zinc/40/39/91/1121403991.db2.gz MGXDSHURNYABTM-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO CSc1ncc(Nc2c[nH+]c(CC(C)C)cc2C)cn1 ZINC001175645417 1121407348 /nfs/dbraw/zinc/40/73/48/1121407348.db2.gz PKHODLIPOJCDOV-UHFFFAOYSA-N 1 2 288.420 3.844 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@H]1CCCCO1 ZINC000705983370 1121416337 /nfs/dbraw/zinc/41/63/37/1121416337.db2.gz HETLIQDQMGAQNN-PBHICJAKSA-N 1 2 274.408 3.656 20 0 CHADLO COc1cc(C)cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001211730427 1121417002 /nfs/dbraw/zinc/41/70/02/1121417002.db2.gz WKPDCTNLTSFKAT-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO c1cn2cccc(Nc3ccc4ccncc4c3)c2[nH+]1 ZINC001175703058 1121429427 /nfs/dbraw/zinc/42/94/27/1121429427.db2.gz QGXGYTZXKYGRIY-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ccc(F)c(F)c2)c[nH+]1 ZINC001175712469 1121434518 /nfs/dbraw/zinc/43/45/18/1121434518.db2.gz MHRXXAXWENYLSL-UHFFFAOYSA-N 1 2 289.207 3.704 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnc(N)cc1C(F)(F)F ZINC001175712998 1121434944 /nfs/dbraw/zinc/43/49/44/1121434944.db2.gz XDGBHGWXLKGLJH-UHFFFAOYSA-N 1 2 296.296 3.692 20 0 CHADLO COc1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)cc1C ZINC001175714800 1121434989 /nfs/dbraw/zinc/43/49/89/1121434989.db2.gz VBCMLLWPYHCHBP-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc2n[nH]c(C)c2c1 ZINC001175692736 1121435095 /nfs/dbraw/zinc/43/50/95/1121435095.db2.gz HZJNRBGJYNHPBI-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO CCc1ccc(O)c(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175716860 1121435169 /nfs/dbraw/zinc/43/51/69/1121435169.db2.gz AGYWGTXDQJYIRD-UHFFFAOYSA-N 1 2 297.280 3.694 20 0 CHADLO Cc1cc(Nc2c[nH+]c(N)cc2C(F)(F)F)ccc1F ZINC001175712519 1121435218 /nfs/dbraw/zinc/43/52/18/1121435218.db2.gz NOSZMMPSCSQUMR-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO CC(=O)c1cccc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175712688 1121435383 /nfs/dbraw/zinc/43/53/83/1121435383.db2.gz HAARTOSJOLHDCO-UHFFFAOYSA-N 1 2 295.264 3.629 20 0 CHADLO CCOc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cn1 ZINC001175740681 1121443774 /nfs/dbraw/zinc/44/37/74/1121443774.db2.gz XNPIWWTZPKINAE-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO CCc1cc(NCc2oc(C(C)C)nc2C)nc(CC)[nH+]1 ZINC000893944700 1121445310 /nfs/dbraw/zinc/44/53/10/1121445310.db2.gz REPJUFNUOPVXAY-UHFFFAOYSA-N 1 2 288.395 3.633 20 0 CHADLO Clc1cc(Br)ccc1C[N@@H+]1CC[C@H]2C[C@H]21 ZINC000707082487 1121449089 /nfs/dbraw/zinc/44/90/89/1121449089.db2.gz RUXLPOZEMKRGLO-QPUJVOFHSA-N 1 2 286.600 3.697 20 0 CHADLO Clc1cc(Br)ccc1C[N@H+]1CC[C@H]2C[C@H]21 ZINC000707082487 1121449092 /nfs/dbraw/zinc/44/90/92/1121449092.db2.gz RUXLPOZEMKRGLO-QPUJVOFHSA-N 1 2 286.600 3.697 20 0 CHADLO Cc1cc(Nc2c[nH+]c(N)cc2C(F)(F)F)n(C(C)C)n1 ZINC001175709448 1121449908 /nfs/dbraw/zinc/44/99/08/1121449908.db2.gz BXUZSOZBRYYLJA-UHFFFAOYSA-N 1 2 299.300 3.512 20 0 CHADLO CCCn1nc(C)cc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001175799073 1121450829 /nfs/dbraw/zinc/45/08/29/1121450829.db2.gz VAVDIVMRWISMFL-UHFFFAOYSA-N 1 2 299.422 3.730 20 0 CHADLO c1cn(-c2ccc(Nc3cnn(C4CCC4)c3)cc2)c[nH+]1 ZINC001175757706 1121454433 /nfs/dbraw/zinc/45/44/33/1121454433.db2.gz NDHBNLQQCWCQJZ-UHFFFAOYSA-N 1 2 279.347 3.537 20 0 CHADLO C[C@@H]1COCCC[N@@H+]1Cc1ccc(Cl)cc1Cl ZINC000676714146 1121458767 /nfs/dbraw/zinc/45/87/67/1121458767.db2.gz UESCRGMEHTYBNN-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1COCCC[N@H+]1Cc1ccc(Cl)cc1Cl ZINC000676714146 1121458769 /nfs/dbraw/zinc/45/87/69/1121458769.db2.gz UESCRGMEHTYBNN-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(Cl)c(OC)c2)c[nH+]1 ZINC001175772763 1121462247 /nfs/dbraw/zinc/46/22/47/1121462247.db2.gz NVQYQEWOVVCNBR-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO CCSCC[N@@H+]1Cc2ccc(Br)cc2C1 ZINC000707955857 1121462522 /nfs/dbraw/zinc/46/25/22/1121462522.db2.gz CEGNHQFBIOAWAP-UHFFFAOYSA-N 1 2 286.238 3.518 20 0 CHADLO CCSCC[N@H+]1Cc2ccc(Br)cc2C1 ZINC000707955857 1121462525 /nfs/dbraw/zinc/46/25/25/1121462525.db2.gz CEGNHQFBIOAWAP-UHFFFAOYSA-N 1 2 286.238 3.518 20 0 CHADLO Cc1conc1C[NH2+][C@H](C)c1cc2ccccc2o1 ZINC000708370610 1121464675 /nfs/dbraw/zinc/46/46/75/1121464675.db2.gz DAOVIUBPQJJWHS-LLVKDONJSA-N 1 2 256.305 3.580 20 0 CHADLO Cc1conc1C[NH2+][C@H](C)c1oc2ccccc2c1C ZINC000708244501 1121465086 /nfs/dbraw/zinc/46/50/86/1121465086.db2.gz HZRPIVUWRHGTHG-GFCCVEGCSA-N 1 2 270.332 3.888 20 0 CHADLO Cc1cn2c(cccc2Nc2cnn(-c3ccccc3)c2)[nH+]1 ZINC001175791720 1121465942 /nfs/dbraw/zinc/46/59/42/1121465942.db2.gz XFTSDUWIXQSYTD-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(-c3ccccc3)nc2)c1 ZINC001175833776 1121469676 /nfs/dbraw/zinc/46/96/76/1121469676.db2.gz ZYVPHMXDINXEDG-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO CCCn1nc(C)cc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001175803865 1121473238 /nfs/dbraw/zinc/47/32/38/1121473238.db2.gz NOWGSZXEEOICRV-UHFFFAOYSA-N 1 2 295.390 3.590 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2c(F)cncc2F)c(F)c1 ZINC000631134622 1128987024 /nfs/dbraw/zinc/98/70/24/1128987024.db2.gz GTHZNTAJNQVCIN-SNVBAGLBSA-N 1 2 280.293 3.658 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3scnc3c2)c[nH+]1 ZINC001175912247 1121497094 /nfs/dbraw/zinc/49/70/94/1121497094.db2.gz KOUYDGLKKBZWLS-UHFFFAOYSA-N 1 2 284.388 3.891 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC000429273972 1121497462 /nfs/dbraw/zinc/49/74/62/1121497462.db2.gz OERJENFNELVFIR-LLVKDONJSA-N 1 2 275.726 3.926 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC000429273972 1121497465 /nfs/dbraw/zinc/49/74/65/1121497465.db2.gz OERJENFNELVFIR-LLVKDONJSA-N 1 2 275.726 3.926 20 0 CHADLO Cc1ccc2c(Nc3cnn(C4CC4)c3)cccc2[nH+]1 ZINC001175962442 1121504084 /nfs/dbraw/zinc/50/40/84/1121504084.db2.gz OJRWMPGBQFFOAH-UHFFFAOYSA-N 1 2 264.332 3.818 20 0 CHADLO CSc1cc(Nc2cccc(-c3nnc(C)o3)c2)cc[nH+]1 ZINC001175994065 1121514835 /nfs/dbraw/zinc/51/48/35/1121514835.db2.gz QVWAFYWRPVFEPY-UHFFFAOYSA-N 1 2 298.371 3.906 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CCC[C@H](OC)C2)c1 ZINC000619427963 1128990944 /nfs/dbraw/zinc/99/09/44/1128990944.db2.gz NTDRXCBJXRJLCV-KBPBESRZSA-N 1 2 280.437 3.704 20 0 CHADLO COc1cccc2[nH]c(Nc3cccc4[nH+]c(C)cn43)cc21 ZINC001176060329 1121543610 /nfs/dbraw/zinc/54/36/10/1121543610.db2.gz UGCNCYJJXSDDSI-UHFFFAOYSA-N 1 2 292.342 3.876 20 0 CHADLO COc1cccc2[nH]c(Nc3[nH+]cc(C)cc3C)cc21 ZINC001176061738 1121544042 /nfs/dbraw/zinc/54/40/42/1121544042.db2.gz OATABYVCAYZLRN-UHFFFAOYSA-N 1 2 267.332 3.932 20 0 CHADLO FC(F)(F)c1ccc2c(NC3=CCOCC3)cc[nH+]c2c1 ZINC001176140025 1121549099 /nfs/dbraw/zinc/54/90/99/1121549099.db2.gz NKNHIZYGTMHAAB-UHFFFAOYSA-N 1 2 294.276 3.970 20 0 CHADLO COc1cc(Nc2[nH+]c3ccccc3n2C)c(F)cc1F ZINC001176108050 1121552641 /nfs/dbraw/zinc/55/26/41/1121552641.db2.gz XLACSJZMXGLUOO-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(-c2ccccc2)cn1 ZINC001176116446 1121556613 /nfs/dbraw/zinc/55/66/13/1121556613.db2.gz DUEUMVNKTSDLIR-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Br)cc1F ZINC001176120713 1121556719 /nfs/dbraw/zinc/55/67/19/1121556719.db2.gz IWJVOUPBDHTLEO-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)cccc1Cl ZINC001176120564 1121556768 /nfs/dbraw/zinc/55/67/68/1121556768.db2.gz FLQDISBRZIKIJG-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2ccccc2cc1O ZINC001176120799 1121556790 /nfs/dbraw/zinc/55/67/90/1121556790.db2.gz MLFBTBKAXHDLDC-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO CCc1cc(Nc2c[nH+]ccc2OC)n(-c2ccccc2)n1 ZINC001176118520 1121557184 /nfs/dbraw/zinc/55/71/84/1121557184.db2.gz MXMHBLUKBJKCBQ-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C)nn1-c1cccc(C)c1 ZINC001176118404 1121557250 /nfs/dbraw/zinc/55/72/50/1121557250.db2.gz KBNOSLAABABJQQ-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(N2CCCCC2)cc1 ZINC001176121122 1121558200 /nfs/dbraw/zinc/55/82/00/1121558200.db2.gz ONNMYFOGHDNGQT-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(-c2ccncc2)cc1 ZINC001176122761 1121558281 /nfs/dbraw/zinc/55/82/81/1121558281.db2.gz GYGQHIIPEDJCOQ-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Br)ccc1C ZINC001176122757 1121559425 /nfs/dbraw/zinc/55/94/25/1121559425.db2.gz GPNJYISTFQXVKF-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)cccc1C(F)(F)F ZINC001176121913 1121559361 /nfs/dbraw/zinc/55/93/61/1121559361.db2.gz ROLARAMUABQTHA-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(F)c1N1CCCC1 ZINC001176125023 1121560068 /nfs/dbraw/zinc/56/00/68/1121560068.db2.gz WTSZQOCELPRAKL-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(SC)cc1F ZINC001176124542 1121560962 /nfs/dbraw/zinc/56/09/62/1121560962.db2.gz QOELXQRGOYEOMC-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO Cc1noc(C)c1Nc1c[nH+]c(CC(C)C)cc1C ZINC001176176261 1121563317 /nfs/dbraw/zinc/56/33/17/1121563317.db2.gz AWVRHWPFDUFINU-UHFFFAOYSA-N 1 2 259.353 3.937 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1c(F)cccc1F ZINC000625980623 1121566503 /nfs/dbraw/zinc/56/65/03/1121566503.db2.gz IIUIYCGFGHQHJH-VIFPVBQESA-N 1 2 261.262 3.584 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1c(F)cccc1F ZINC000625980623 1121566499 /nfs/dbraw/zinc/56/64/99/1121566499.db2.gz IIUIYCGFGHQHJH-VIFPVBQESA-N 1 2 261.262 3.584 20 0 CHADLO Cc1ccc(Nc2cnn(Cc3cccs3)c2)c(C)[nH+]1 ZINC001176158117 1121570963 /nfs/dbraw/zinc/57/09/63/1121570963.db2.gz XTULWLHHKPLQAC-UHFFFAOYSA-N 1 2 284.388 3.748 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CCO[C@H](CCF)C1 ZINC000626002925 1121572175 /nfs/dbraw/zinc/57/21/75/1121572175.db2.gz WXGBHZMOOYZXRV-HUUCEWRRSA-N 1 2 285.790 3.852 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CCO[C@H](CCF)C1 ZINC000626002925 1121572180 /nfs/dbraw/zinc/57/21/80/1121572180.db2.gz WXGBHZMOOYZXRV-HUUCEWRRSA-N 1 2 285.790 3.852 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+]1CCO[C@H](CCF)C1 ZINC000626002923 1121572331 /nfs/dbraw/zinc/57/23/31/1121572331.db2.gz WXGBHZMOOYZXRV-CABCVRRESA-N 1 2 285.790 3.852 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+]1CCO[C@H](CCF)C1 ZINC000626002923 1121572340 /nfs/dbraw/zinc/57/23/40/1121572340.db2.gz WXGBHZMOOYZXRV-CABCVRRESA-N 1 2 285.790 3.852 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1c(F)cccc1OC(C)C ZINC001211778345 1121579379 /nfs/dbraw/zinc/57/93/79/1121579379.db2.gz UUORRSOKBBIZAX-UHFFFAOYSA-N 1 2 299.349 3.842 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CCc2c(O)cccc2C1 ZINC000626026762 1121582604 /nfs/dbraw/zinc/58/26/04/1121582604.db2.gz YCRUSHFEKXGHRM-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CCc2c(O)cccc2C1 ZINC000626026762 1121582609 /nfs/dbraw/zinc/58/26/09/1121582609.db2.gz YCRUSHFEKXGHRM-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO CCc1ccc(C[N@@H+]2[C@H](C)COC[C@@H]2c2ccccc2)nc1 ZINC000411424263 1121584477 /nfs/dbraw/zinc/58/44/77/1121584477.db2.gz LGCXWCWXJHBGMW-DNVCBOLYSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2[C@H](C)COC[C@@H]2c2ccccc2)nc1 ZINC000411424263 1121584484 /nfs/dbraw/zinc/58/44/84/1121584484.db2.gz LGCXWCWXJHBGMW-DNVCBOLYSA-N 1 2 296.414 3.606 20 0 CHADLO c1cn(-c2cccc(Nc3cncc(C4CC4)c3)c2)c[nH+]1 ZINC001176285835 1121588098 /nfs/dbraw/zinc/58/80/98/1121588098.db2.gz QNHMNNNMVCVQQW-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO Cc1ccc2c(Nc3ccc4nonc4c3)cccc2[nH+]1 ZINC001176329000 1121597749 /nfs/dbraw/zinc/59/77/49/1121597749.db2.gz WWXGHZGKZRPAOM-UHFFFAOYSA-N 1 2 276.299 3.823 20 0 CHADLO Cc1cccc(Nc2ccc(O)cc2C(F)(F)F)[nH+]1 ZINC001176338661 1121600324 /nfs/dbraw/zinc/60/03/24/1121600324.db2.gz XNCQBJOKYHLVCQ-UHFFFAOYSA-N 1 2 268.238 3.858 20 0 CHADLO CCCn1nccc1C[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000319533137 1121605623 /nfs/dbraw/zinc/60/56/23/1121605623.db2.gz FIGGMADCNLIPHZ-GOSISDBHSA-N 1 2 295.386 3.765 20 0 CHADLO C[C@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1cncc(F)c1 ZINC000631283300 1128996765 /nfs/dbraw/zinc/99/67/65/1128996765.db2.gz KBHVCRRZGYALKW-ZUZCIYMTSA-N 1 2 280.293 3.721 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccccc2F)c1F ZINC000192935363 1121615127 /nfs/dbraw/zinc/61/51/27/1121615127.db2.gz ZIYKUWUSTVCRPV-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccccc2F)c1F ZINC000192935363 1121615130 /nfs/dbraw/zinc/61/51/30/1121615130.db2.gz ZIYKUWUSTVCRPV-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO Cc1ccc2[nH+]cc(CNC3(C(F)F)CCCCC3)n2c1 ZINC000512857415 1121625192 /nfs/dbraw/zinc/62/51/92/1121625192.db2.gz RNELARVOSATPQN-UHFFFAOYSA-N 1 2 293.361 3.700 20 0 CHADLO Cc1ccc2ncc(C[NH2+]C3(C(F)F)CCCCC3)n2c1 ZINC000512857415 1121625193 /nfs/dbraw/zinc/62/51/93/1121625193.db2.gz RNELARVOSATPQN-UHFFFAOYSA-N 1 2 293.361 3.700 20 0 CHADLO C[C@@H]1CCN(c2[nH+]ccc3cc(F)ccc32)C[C@H]1F ZINC000645206672 1121652792 /nfs/dbraw/zinc/65/27/92/1121652792.db2.gz LLDSVRMAZOXJIO-QMTHXVAHSA-N 1 2 262.303 3.558 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1nc(C(C)(C)C)co1 ZINC000894141772 1121653165 /nfs/dbraw/zinc/65/31/65/1121653165.db2.gz JHNLEJMBZKTAHB-UWVGGRQHSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1nc(C(C)(C)C)co1 ZINC000894141772 1121653168 /nfs/dbraw/zinc/65/31/68/1121653168.db2.gz JHNLEJMBZKTAHB-UWVGGRQHSA-N 1 2 290.329 3.745 20 0 CHADLO CCc1nc(N2CC(C)(C)[C@H]2c2ccco2)cc(C)[nH+]1 ZINC000631421393 1129001362 /nfs/dbraw/zinc/00/13/62/1129001362.db2.gz LNCUGBSZZRCOKN-OAHLLOKOSA-N 1 2 271.364 3.528 20 0 CHADLO Cc1cccc2[nH+]cc(Nc3c(F)cc(F)c(O)c3F)n21 ZINC001176664728 1121671865 /nfs/dbraw/zinc/67/18/65/1121671865.db2.gz ZBRJAXDHNQVPSJ-UHFFFAOYSA-N 1 2 293.248 3.509 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)no1 ZINC000052162319 1121677806 /nfs/dbraw/zinc/67/78/06/1121677806.db2.gz ROVOAETWYZDZEU-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)no1 ZINC000052162319 1121677809 /nfs/dbraw/zinc/67/78/09/1121677809.db2.gz ROVOAETWYZDZEU-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cnc(Nc2ccc[nH+]c2N2CCCC2)c(Cl)c1 ZINC001176749443 1121686495 /nfs/dbraw/zinc/68/64/95/1121686495.db2.gz COYADCCPHPGTMZ-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO c1cnc(C2CC2)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001176749882 1121686836 /nfs/dbraw/zinc/68/68/36/1121686836.db2.gz MPYVEPGTYUBZKV-UHFFFAOYSA-N 1 2 280.375 3.698 20 0 CHADLO Cc1c[nH+]c(Nc2ccnn2Cc2ccccc2)c(C)c1 ZINC001176704808 1121688386 /nfs/dbraw/zinc/68/83/86/1121688386.db2.gz YDENYCANLBHNOM-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO CC[C@@H]([NH2+]Cc1coc(C2CC2)n1)c1ccccc1OC ZINC000727465869 1121719840 /nfs/dbraw/zinc/71/98/40/1121719840.db2.gz MZZIVEOLXSGEJI-OAHLLOKOSA-N 1 2 286.375 3.802 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3coc(C4CC4)n3)CCC2)cc1 ZINC000727470820 1121722576 /nfs/dbraw/zinc/72/25/76/1121722576.db2.gz ODUBIVYZBNRUTG-UHFFFAOYSA-N 1 2 286.350 3.860 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)c2cc(C)cc(C)c2)c1C ZINC000727587251 1121724802 /nfs/dbraw/zinc/72/48/02/1121724802.db2.gz JFKRJPYJKCANEZ-UHFFFAOYSA-N 1 2 284.403 3.960 20 0 CHADLO CC(C)CCc1ccc(NC(=O)CCc2c[nH]c[nH+]2)cc1 ZINC000527239196 1121728707 /nfs/dbraw/zinc/72/87/07/1121728707.db2.gz DMZRKQDITAIUJB-UHFFFAOYSA-N 1 2 285.391 3.570 20 0 CHADLO CC(C)CCc1ccc(NC(=O)CCc2c[nH+]c[nH]2)cc1 ZINC000527239196 1121728710 /nfs/dbraw/zinc/72/87/10/1121728710.db2.gz DMZRKQDITAIUJB-UHFFFAOYSA-N 1 2 285.391 3.570 20 0 CHADLO CCC[N@H+](C)Cn1c(=S)snc1-c1ccccc1 ZINC000727822602 1121750290 /nfs/dbraw/zinc/75/02/90/1121750290.db2.gz LRZFZMHCSYELEW-UHFFFAOYSA-N 1 2 279.434 3.640 20 0 CHADLO CCC[N@@H+](C)Cn1c(=S)snc1-c1ccccc1 ZINC000727822602 1121750295 /nfs/dbraw/zinc/75/02/95/1121750295.db2.gz LRZFZMHCSYELEW-UHFFFAOYSA-N 1 2 279.434 3.640 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+][C@H](C)c1nonc1C ZINC000924894780 1121756610 /nfs/dbraw/zinc/75/66/10/1121756610.db2.gz ARMHKSQUCADCGZ-RISCZKNCSA-N 1 2 289.379 3.579 20 0 CHADLO CC[C@@H](C)c1ccc(NC(=O)[C@H]2CCc3[nH+]ccn3C2)cc1 ZINC001178100499 1121765395 /nfs/dbraw/zinc/76/53/95/1121765395.db2.gz VSANPLOOTCUYGK-HIFRSBDPSA-N 1 2 297.402 3.598 20 0 CHADLO Cc1cc(NCc2cccc(F)c2)ccc1-n1cc[nH+]c1 ZINC001178138989 1121766679 /nfs/dbraw/zinc/76/66/79/1121766679.db2.gz JFRJJNBFNZHPME-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO CC(C)c1cc(C[NH2+][C@@H](C)c2cc(F)ccc2F)on1 ZINC000169215855 1121773462 /nfs/dbraw/zinc/77/34/62/1121773462.db2.gz SAOVNHGIFFXJHF-JTQLQIEISA-N 1 2 280.318 3.927 20 0 CHADLO Cc1ccc(F)c(CNc2[nH+]cccc2OC(C)C)c1 ZINC001178505418 1121790683 /nfs/dbraw/zinc/79/06/83/1121790683.db2.gz RZNMPERKORMZCF-UHFFFAOYSA-N 1 2 274.339 3.928 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1nc3ccccc3o1)CCO2 ZINC001178492340 1121790708 /nfs/dbraw/zinc/79/07/08/1121790708.db2.gz JJMDNCVQPZULCU-ZDUSSCGKSA-N 1 2 298.317 3.580 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccon2)ccc1-c1ccccc1 ZINC001178546237 1121794385 /nfs/dbraw/zinc/79/43/85/1121794385.db2.gz NIZBMOGOHMHDAB-UHFFFAOYSA-N 1 2 278.355 3.940 20 0 CHADLO CC[C@H]1CCC[C@H](C[NH2+]CC(F)(F)Br)C1 ZINC001178665325 1121805394 /nfs/dbraw/zinc/80/53/94/1121805394.db2.gz BKCYJAKGRMKJPX-UWVGGRQHSA-N 1 2 284.188 3.780 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2c3ccccc3C[C@H]2C)[nH+]1 ZINC000433790706 1121810400 /nfs/dbraw/zinc/81/04/00/1121810400.db2.gz ZTTDXRXROXERES-MEBBXXQBSA-N 1 2 278.359 3.612 20 0 CHADLO CCC[C@@H]([NH2+]Cc1ncc(C)s1)c1cc(C)ccn1 ZINC000631587847 1129011053 /nfs/dbraw/zinc/01/10/53/1129011053.db2.gz NHDUYWYVCQGVRM-CYBMUJFWSA-N 1 2 275.421 3.786 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1csnn1)c1ccsc1 ZINC000414310031 1121840063 /nfs/dbraw/zinc/84/00/63/1121840063.db2.gz VRGWIETVEUFYQA-ONGXEEELSA-N 1 2 267.423 3.792 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1cccc2cccnc21 ZINC000731029243 1121841676 /nfs/dbraw/zinc/84/16/76/1121841676.db2.gz PRDPOBMCRMAGEK-UHFFFAOYSA-N 1 2 297.383 3.537 20 0 CHADLO Cc1ccc(-c2cc(C[N@@H+](C)Cc3ccoc3)on2)cc1 ZINC000345102368 1121842143 /nfs/dbraw/zinc/84/21/43/1121842143.db2.gz ZTUWVLDYDFIUKH-UHFFFAOYSA-N 1 2 282.343 3.875 20 0 CHADLO Cc1ccc(-c2cc(C[N@H+](C)Cc3ccoc3)on2)cc1 ZINC000345102368 1121842154 /nfs/dbraw/zinc/84/21/54/1121842154.db2.gz ZTUWVLDYDFIUKH-UHFFFAOYSA-N 1 2 282.343 3.875 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)CC[C@@H](C)c2ccccc2)[nH+]1 ZINC001179092725 1121849979 /nfs/dbraw/zinc/84/99/79/1121849979.db2.gz YAUZBPLSLWNJPD-CQSZACIVSA-N 1 2 299.418 3.733 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)CC[C@@H](C)c2ccccc2)c[nH+]1 ZINC001179092725 1121849991 /nfs/dbraw/zinc/84/99/91/1121849991.db2.gz YAUZBPLSLWNJPD-CQSZACIVSA-N 1 2 299.418 3.733 20 0 CHADLO Clc1ccc2ccc(/C=C/c3[nH]cc[nH+]3)nc2c1 ZINC000731156558 1121851290 /nfs/dbraw/zinc/85/12/90/1121851290.db2.gz HBZTXKNGAODPJW-AATRIKPKSA-N 1 2 255.708 3.782 20 0 CHADLO Clc1ccc2ccc(/C=C\c3[nH]cc[nH+]3)nc2c1 ZINC000731156560 1121851640 /nfs/dbraw/zinc/85/16/40/1121851640.db2.gz HBZTXKNGAODPJW-WAYWQWQTSA-N 1 2 255.708 3.782 20 0 CHADLO Cc1cc(NC[C@@H](O)c2cccc(F)c2)[nH+]c2ccccc12 ZINC000068998922 1121867838 /nfs/dbraw/zinc/86/78/38/1121867838.db2.gz AUCRZBBZQIIIKS-QGZVFWFLSA-N 1 2 296.345 3.828 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(F)cc1F)c1nc2c(s1)CCC2 ZINC000345302646 1121870711 /nfs/dbraw/zinc/87/07/11/1121870711.db2.gz KPHDCZRQMATWNA-VIFPVBQESA-N 1 2 294.370 3.761 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc(-c2ocnc2C)cc1 ZINC001179636176 1121897016 /nfs/dbraw/zinc/89/70/16/1121897016.db2.gz ASDIGLFICIPELV-UHFFFAOYSA-N 1 2 296.374 3.869 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CC[C@@]3(CC3(F)F)C2)c1Cl ZINC000628326846 1121917807 /nfs/dbraw/zinc/91/78/07/1121917807.db2.gz XUVHQSIUJAOISF-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO CC[C@@H](C)c1noc(-c2cc(C)ccc2-n2cc[nH+]c2)n1 ZINC000345939627 1121929800 /nfs/dbraw/zinc/92/98/00/1121929800.db2.gz UWCFCAXXZOGKJF-GFCCVEGCSA-N 1 2 282.347 3.744 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cccc(F)c3C2)c(F)c1 ZINC001179957011 1121934243 /nfs/dbraw/zinc/93/42/43/1121934243.db2.gz KFYQQGCKCCGJKL-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cccc(F)c3C2)c(F)c1 ZINC001179957011 1121934247 /nfs/dbraw/zinc/93/42/47/1121934247.db2.gz KFYQQGCKCCGJKL-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO C[C@H]1COC[C@@H](c2ccccc2)[N@@H+]1Cc1ccc(F)cc1 ZINC000501027024 1121942262 /nfs/dbraw/zinc/94/22/62/1121942262.db2.gz UPFQJZBKFJVHRU-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1COC[C@@H](c2ccccc2)[N@H+]1Cc1ccc(F)cc1 ZINC000501027024 1121942268 /nfs/dbraw/zinc/94/22/68/1121942268.db2.gz UPFQJZBKFJVHRU-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO Fc1nccc(Cl)c1C[N@@H+]1CC[C@@H]1Cc1ccccc1 ZINC001180072073 1121945842 /nfs/dbraw/zinc/94/58/42/1121945842.db2.gz MQJUJOVWGHPECX-CYBMUJFWSA-N 1 2 290.769 3.691 20 0 CHADLO Fc1nccc(Cl)c1C[N@H+]1CC[C@@H]1Cc1ccccc1 ZINC001180072073 1121945849 /nfs/dbraw/zinc/94/58/49/1121945849.db2.gz MQJUJOVWGHPECX-CYBMUJFWSA-N 1 2 290.769 3.691 20 0 CHADLO C[C@H]1COC[C@H](c2ccccc2)[N@@H+]1Cc1cccc(F)c1 ZINC000501072064 1121950942 /nfs/dbraw/zinc/95/09/42/1121950942.db2.gz YOLAXAPICNEZPJ-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1COC[C@H](c2ccccc2)[N@H+]1Cc1cccc(F)c1 ZINC000501072064 1121950947 /nfs/dbraw/zinc/95/09/47/1121950947.db2.gz YOLAXAPICNEZPJ-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO CCn1cnc(Cl)c1C[N@@H+]1Cc2ccccc2C[C@H]1C ZINC001180098315 1121951861 /nfs/dbraw/zinc/95/18/61/1121951861.db2.gz LGCBHDUSROEPJU-GFCCVEGCSA-N 1 2 289.810 3.503 20 0 CHADLO CCn1cnc(Cl)c1C[N@H+]1Cc2ccccc2C[C@H]1C ZINC001180098315 1121951869 /nfs/dbraw/zinc/95/18/69/1121951869.db2.gz LGCBHDUSROEPJU-GFCCVEGCSA-N 1 2 289.810 3.503 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733305078 1121972023 /nfs/dbraw/zinc/97/20/23/1121972023.db2.gz XPGXJLCOOCYBRZ-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncc(C(C)C)o2)cc1 ZINC000733305078 1121972030 /nfs/dbraw/zinc/97/20/30/1121972030.db2.gz XPGXJLCOOCYBRZ-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(C(F)(F)F)c(C)c2)n1 ZINC000414432167 1121972441 /nfs/dbraw/zinc/97/24/41/1121972441.db2.gz IOILDMKJZXWVPF-SECBINFHSA-N 1 2 299.296 3.556 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cncc(Cl)c1Cl ZINC001180430670 1121987783 /nfs/dbraw/zinc/98/77/83/1121987783.db2.gz RHNGNGXKZXIJKX-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cncc(Cl)c1Cl ZINC001180430670 1121987790 /nfs/dbraw/zinc/98/77/90/1121987790.db2.gz RHNGNGXKZXIJKX-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2CCc3c2nccc3Cl)n1 ZINC000924925459 1122022139 /nfs/dbraw/zinc/02/21/39/1122022139.db2.gz LXKYTUIJLXXODI-CABZTGNLSA-N 1 2 293.823 3.838 20 0 CHADLO CC[C@@H]1C[C@H](Nc2cc[nH+]c3c(OC)cccc23)CCO1 ZINC000420614484 1122027190 /nfs/dbraw/zinc/02/71/90/1122027190.db2.gz AMKYATSHBYKGDC-CHWSQXEVSA-N 1 2 286.375 3.613 20 0 CHADLO Cc1ccc([C@@H](C)NC(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000420783274 1122033767 /nfs/dbraw/zinc/03/37/67/1122033767.db2.gz RXRGHJBOQBUTIY-OAHLLOKOSA-N 1 2 297.402 3.567 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000420835656 1122037451 /nfs/dbraw/zinc/03/74/51/1122037451.db2.gz CRSNLZNMGKRAFJ-CYBMUJFWSA-N 1 2 289.423 3.633 20 0 CHADLO C[C@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1cccc(O)c1 ZINC000631798446 1129029105 /nfs/dbraw/zinc/02/91/05/1129029105.db2.gz SUHSBVCCSXGACN-MEDUHNTESA-N 1 2 277.314 3.893 20 0 CHADLO CC[C@H](CC(C)C)C(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001181833573 1122084118 /nfs/dbraw/zinc/08/41/18/1122084118.db2.gz SWZIAIGIIDUFRZ-MRXNPFEDSA-N 1 2 299.418 3.942 20 0 CHADLO CC[C@@H](F)CSCc1cccc(C[NH+]2CCOCC2)c1 ZINC000421229190 1122084927 /nfs/dbraw/zinc/08/49/27/1122084927.db2.gz SNBRELRVWMMJMC-MRXNPFEDSA-N 1 2 297.439 3.500 20 0 CHADLO Cc1ccc(Nc2cnc(Br)c(F)c2)c(C)[nH+]1 ZINC001203369066 1122106289 /nfs/dbraw/zinc/10/62/89/1122106289.db2.gz KUPDONCIRNRYCI-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Fc1cccnc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccccc1 ZINC001182109890 1122110745 /nfs/dbraw/zinc/11/07/45/1122110745.db2.gz USYQRSKQYMINTQ-QGZVFWFLSA-N 1 2 282.362 3.948 20 0 CHADLO Fc1cccnc1C[N@H+]1CC2(CCC2)[C@H]1c1ccccc1 ZINC001182109890 1122110747 /nfs/dbraw/zinc/11/07/47/1122110747.db2.gz USYQRSKQYMINTQ-QGZVFWFLSA-N 1 2 282.362 3.948 20 0 CHADLO CC[C@H]1C[N@@H+]([C@H](C)c2cccc(Cl)c2)CCO1 ZINC000502607867 1122120967 /nfs/dbraw/zinc/12/09/67/1122120967.db2.gz NDHYIIVVTYLOBW-RISCZKNCSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@H]1C[N@H+]([C@H](C)c2cccc(Cl)c2)CCO1 ZINC000502607867 1122120971 /nfs/dbraw/zinc/12/09/71/1122120971.db2.gz NDHYIIVVTYLOBW-RISCZKNCSA-N 1 2 253.773 3.512 20 0 CHADLO Cc1cc(CNC(=O)CC2CCCCCC2)cc(C)[nH+]1 ZINC000421576439 1122139954 /nfs/dbraw/zinc/13/99/54/1122139954.db2.gz MJNZUFGTWYLGAL-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+][C@H](C)c2nonc2C)cc1 ZINC000924937198 1122148724 /nfs/dbraw/zinc/14/87/24/1122148724.db2.gz BXKOXQACAWXCBJ-GHMZBOCLSA-N 1 2 291.420 3.902 20 0 CHADLO CC(C)(C)OCCCC[N@@H+]1CCCC[C@@H]1C(F)F ZINC000502784278 1122148866 /nfs/dbraw/zinc/14/88/66/1122148866.db2.gz MJKGWQCVUDSVAB-GFCCVEGCSA-N 1 2 263.372 3.701 20 0 CHADLO CC(C)(C)OCCCC[N@H+]1CCCC[C@@H]1C(F)F ZINC000502784278 1122148867 /nfs/dbraw/zinc/14/88/67/1122148867.db2.gz MJKGWQCVUDSVAB-GFCCVEGCSA-N 1 2 263.372 3.701 20 0 CHADLO CC[C@H](C)C[N@@H+]1CCOC[C@H]1c1nc2ccccc2s1 ZINC000502805537 1122148999 /nfs/dbraw/zinc/14/89/99/1122148999.db2.gz APGLMBWMEOQEDJ-JSGCOSHPSA-N 1 2 290.432 3.716 20 0 CHADLO CC[C@H](C)C[N@H+]1CCOC[C@H]1c1nc2ccccc2s1 ZINC000502805537 1122149000 /nfs/dbraw/zinc/14/90/00/1122149000.db2.gz APGLMBWMEOQEDJ-JSGCOSHPSA-N 1 2 290.432 3.716 20 0 CHADLO COc1ccccc1CCC[N@@H+]1CCCC[C@H]1C(F)F ZINC000502833203 1122151240 /nfs/dbraw/zinc/15/12/40/1122151240.db2.gz SYAQFFOKDZEBLN-AWEZNQCLSA-N 1 2 283.362 3.747 20 0 CHADLO COc1ccccc1CCC[N@H+]1CCCC[C@H]1C(F)F ZINC000502833203 1122151244 /nfs/dbraw/zinc/15/12/44/1122151244.db2.gz SYAQFFOKDZEBLN-AWEZNQCLSA-N 1 2 283.362 3.747 20 0 CHADLO COC(=O)c1ccc(Cl)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203372397 1122166515 /nfs/dbraw/zinc/16/65/15/1122166515.db2.gz AEMZZJDOVPQNPJ-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO c1ccc(-c2nnc([C@@H]3CCC[N@@H+]3[C@H]3C=CCCC3)[nH]2)cc1 ZINC000503159752 1122169531 /nfs/dbraw/zinc/16/95/31/1122169531.db2.gz LDLYULPPGFTSFE-HOTGVXAUSA-N 1 2 294.402 3.717 20 0 CHADLO c1ccc(-c2nnc([C@@H]3CCC[N@H+]3[C@H]3C=CCCC3)[nH]2)cc1 ZINC000503159752 1122169535 /nfs/dbraw/zinc/16/95/35/1122169535.db2.gz LDLYULPPGFTSFE-HOTGVXAUSA-N 1 2 294.402 3.717 20 0 CHADLO CC[C@H](C)C[N@@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503179470 1122171275 /nfs/dbraw/zinc/17/12/75/1122171275.db2.gz DYTUVDVLHNZNPP-ZFWWWQNUSA-N 1 2 284.407 3.655 20 0 CHADLO CC[C@H](C)C[N@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503179470 1122171279 /nfs/dbraw/zinc/17/12/79/1122171279.db2.gz DYTUVDVLHNZNPP-ZFWWWQNUSA-N 1 2 284.407 3.655 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3ccn(C4CCCC4)n3)CC2)c1 ZINC000271741917 1122174153 /nfs/dbraw/zinc/17/41/53/1122174153.db2.gz CLVJOXZTKFBQLG-UHFFFAOYSA-N 1 2 299.393 3.916 20 0 CHADLO Cc1nnc(C[NH2+]C(C)(C)c2cccc(Cl)c2)s1 ZINC000271820012 1122176920 /nfs/dbraw/zinc/17/69/20/1122176920.db2.gz SCZNLYZINBVPIU-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](c2ccccc2C)C2CC2)n1 ZINC000272050751 1122189242 /nfs/dbraw/zinc/18/92/42/1122189242.db2.gz QIYCQKNKLSDCGU-SFHVURJKSA-N 1 2 299.418 3.962 20 0 CHADLO Cc1nc([C@H](C)[NH2+]C2(c3cccc(F)c3)CC2)cs1 ZINC000272138734 1122192622 /nfs/dbraw/zinc/19/26/22/1122192622.db2.gz MJTVDZCPMHZKIO-JTQLQIEISA-N 1 2 276.380 3.931 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)C1CC1 ZINC000272324867 1122197427 /nfs/dbraw/zinc/19/74/27/1122197427.db2.gz ZSDYQUWJJSTJMX-SUMWQHHRSA-N 1 2 274.408 3.512 20 0 CHADLO COCC[C@H]([NH2+][C@H](C)c1csc(C)n1)c1ccc(C)o1 ZINC000272582529 1122205677 /nfs/dbraw/zinc/20/56/77/1122205677.db2.gz GVYXJHDKQAGOOE-YPMHNXCESA-N 1 2 294.420 3.781 20 0 CHADLO CC[N@H+](Cc1ccccc1C)[C@H](C)c1nnc(C)s1 ZINC000273201401 1122222668 /nfs/dbraw/zinc/22/26/68/1122222668.db2.gz RUFRMPNQTOSKPN-GFCCVEGCSA-N 1 2 275.421 3.738 20 0 CHADLO CC[N@@H+](Cc1ccccc1C)[C@H](C)c1nnc(C)s1 ZINC000273201401 1122222672 /nfs/dbraw/zinc/22/26/72/1122222672.db2.gz RUFRMPNQTOSKPN-GFCCVEGCSA-N 1 2 275.421 3.738 20 0 CHADLO CC[N@H+](Cc1ccccc1C)[C@@H](C)c1nnc(C)s1 ZINC000273201405 1122222968 /nfs/dbraw/zinc/22/29/68/1122222968.db2.gz RUFRMPNQTOSKPN-LBPRGKRZSA-N 1 2 275.421 3.738 20 0 CHADLO CC[N@@H+](Cc1ccccc1C)[C@@H](C)c1nnc(C)s1 ZINC000273201405 1122222972 /nfs/dbraw/zinc/22/29/72/1122222972.db2.gz RUFRMPNQTOSKPN-LBPRGKRZSA-N 1 2 275.421 3.738 20 0 CHADLO COC[C@H]([NH2+]Cc1ccccc1OC(C)C)c1ccco1 ZINC000273463206 1122234306 /nfs/dbraw/zinc/23/43/06/1122234306.db2.gz DLGVCWQYGRGCDB-HNNXBMFYSA-N 1 2 289.375 3.544 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccccc1OC(C)C)c1ccco1 ZINC000273463215 1122234319 /nfs/dbraw/zinc/23/43/19/1122234319.db2.gz DLGVCWQYGRGCDB-OAHLLOKOSA-N 1 2 289.375 3.544 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H]2CCc3c2cccc3Cl)s1 ZINC000273747093 1122247560 /nfs/dbraw/zinc/24/75/60/1122247560.db2.gz WOITWNDBGMLBCM-AMIZOPFISA-N 1 2 293.823 3.838 20 0 CHADLO Cc1ccnc(Nc2c[nH+]c(N3CCCC[C@H]3C)c(C)c2)n1 ZINC000503286834 1122254524 /nfs/dbraw/zinc/25/45/24/1122254524.db2.gz UQZZEVALIUUIHV-CQSZACIVSA-N 1 2 297.406 3.611 20 0 CHADLO CC[C@H](C[NH2+]C(C)(C)C(=O)OC(C)C)c1ccccc1 ZINC001184229704 1122263840 /nfs/dbraw/zinc/26/38/40/1122263840.db2.gz WFCLJMTYJRJDHD-CQSZACIVSA-N 1 2 277.408 3.500 20 0 CHADLO CCOC(=O)[C@@H](C)[N@H+](C/C(C)=C\c1ccccc1)C1CC1 ZINC000503570273 1122268344 /nfs/dbraw/zinc/26/83/44/1122268344.db2.gz FCXNWZKVAHHYSE-IKESIWSLSA-N 1 2 287.403 3.506 20 0 CHADLO CCOC(=O)[C@@H](C)[N@@H+](C/C(C)=C\c1ccccc1)C1CC1 ZINC000503570273 1122268353 /nfs/dbraw/zinc/26/83/53/1122268353.db2.gz FCXNWZKVAHHYSE-IKESIWSLSA-N 1 2 287.403 3.506 20 0 CHADLO CCc1nc(OC)ccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001203589088 1122279384 /nfs/dbraw/zinc/27/93/84/1122279384.db2.gz AMACTOJUJJSPFT-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO Cc1cccc(OCCNc2cc(C)[nH+]c(C3CCC3)n2)c1 ZINC001184634507 1122289879 /nfs/dbraw/zinc/28/98/79/1122289879.db2.gz QMWHKYGOPVMIKV-UHFFFAOYSA-N 1 2 297.402 3.852 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1sccc1Cl)CC2 ZINC001205312567 1122298158 /nfs/dbraw/zinc/29/81/58/1122298158.db2.gz WGWQQVFBHIBAFI-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1sccc1Cl)CC2 ZINC001205312567 1122298164 /nfs/dbraw/zinc/29/81/64/1122298164.db2.gz WGWQQVFBHIBAFI-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CCC[C@H]([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccccc1 ZINC000774816356 1122313337 /nfs/dbraw/zinc/31/33/37/1122313337.db2.gz XJYJFENAOCVUSK-AWEZNQCLSA-N 1 2 287.407 3.998 20 0 CHADLO C[C@]1(CNc2[nH+]cnc3[nH]c4ccccc4c32)CC1(F)F ZINC000664395722 1122321429 /nfs/dbraw/zinc/32/14/29/1122321429.db2.gz GIDDZWYJJDGWKF-CQSZACIVSA-N 1 2 288.301 3.568 20 0 CHADLO C[C@@H](C1CC1)[N@H+]([C@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000637927218 1122344184 /nfs/dbraw/zinc/34/41/84/1122344184.db2.gz JVGLAYLAGOQMNE-LRDDRELGSA-N 1 2 288.439 3.689 20 0 CHADLO C[C@@H](C1CC1)[N@@H+]([C@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000637927218 1122344189 /nfs/dbraw/zinc/34/41/89/1122344189.db2.gz JVGLAYLAGOQMNE-LRDDRELGSA-N 1 2 288.439 3.689 20 0 CHADLO Cc1cc(Br)c(CNc2cc[nH+]c(C)n2)s1 ZINC000664401968 1122345192 /nfs/dbraw/zinc/34/51/92/1122345192.db2.gz XGRXLHYRGIWTQY-UHFFFAOYSA-N 1 2 298.209 3.530 20 0 CHADLO Cc1nc(N[C@@H](c2ccc(F)cc2F)C2CC2)cc[nH+]1 ZINC001185555465 1122346213 /nfs/dbraw/zinc/34/62/13/1122346213.db2.gz SERDHVHFNBEKNI-OAHLLOKOSA-N 1 2 275.302 3.626 20 0 CHADLO CC[C@@H]1C[C@@H](C)CN1c1nc2ccccc2n2c[nH+]cc12 ZINC001185555084 1122346842 /nfs/dbraw/zinc/34/68/42/1122346842.db2.gz HPSZFIVYWXHJFF-CHWSQXEVSA-N 1 2 280.375 3.507 20 0 CHADLO FCC[C@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000664416719 1122368745 /nfs/dbraw/zinc/36/87/45/1122368745.db2.gz YANDTJVIYNKBPM-GFCCVEGCSA-N 1 2 276.330 3.950 20 0 CHADLO FC(F)(F)CCCc1ccc(Cn2cc[nH+]c2)cc1 ZINC001250225003 1122370317 /nfs/dbraw/zinc/37/03/17/1122370317.db2.gz HUDIFAKNASAYOD-UHFFFAOYSA-N 1 2 268.282 3.816 20 0 CHADLO C[C@@H](CCc1ccccc1)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001186014234 1122371055 /nfs/dbraw/zinc/37/10/55/1122371055.db2.gz DQEFJZAKTOOZLG-AWEZNQCLSA-N 1 2 293.370 3.542 20 0 CHADLO CC[C@H]([NH2+]Cc1ccnc(Cl)c1F)c1nccs1 ZINC000823915607 1122381849 /nfs/dbraw/zinc/38/18/49/1122381849.db2.gz PNFNBZFGFPEAJX-VIFPVBQESA-N 1 2 285.775 3.572 20 0 CHADLO CCCn1nc(C)c(CNc2ccc3c(c2)CCC[NH2+]3)c1C ZINC000414850957 1122396535 /nfs/dbraw/zinc/39/65/35/1122396535.db2.gz NPHHZFPMHFGWAE-UHFFFAOYSA-N 1 2 298.434 3.880 20 0 CHADLO CCC[C@H](CC)NC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000425142413 1122396876 /nfs/dbraw/zinc/39/68/76/1122396876.db2.gz IWWUJWHLIXHVSQ-JKSUJKDBSA-N 1 2 299.418 3.627 20 0 CHADLO CCc1cc(N[C@@H]2c3ccccc3O[C@@H]2C)nc(CC)[nH+]1 ZINC001186626022 1122400938 /nfs/dbraw/zinc/40/09/38/1122400938.db2.gz CUSVKOIIUGYNAX-DIFFPNOSSA-N 1 2 283.375 3.536 20 0 CHADLO CCc1cc(N[C@@H]2C[C@H]2c2ccccc2F)nc(CC)[nH+]1 ZINC001186673046 1122401859 /nfs/dbraw/zinc/40/18/59/1122401859.db2.gz NDVJWSPQFQQUCV-DZGCQCFKSA-N 1 2 285.366 3.709 20 0 CHADLO O=C(NCC1CCCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC001186841010 1122408286 /nfs/dbraw/zinc/40/82/86/1122408286.db2.gz FQCKCQSNUOYLCK-UHFFFAOYSA-N 1 2 298.390 3.574 20 0 CHADLO C[C@@H]1CCc2nc(C[N@H+](C)Cc3cccs3)sc2C1 ZINC000505559205 1122420161 /nfs/dbraw/zinc/42/01/61/1122420161.db2.gz IZDGHTFURYJAKG-LLVKDONJSA-N 1 2 292.473 3.961 20 0 CHADLO C[C@@H]1CCc2nc(C[N@@H+](C)Cc3cccs3)sc2C1 ZINC000505559205 1122420164 /nfs/dbraw/zinc/42/01/64/1122420164.db2.gz IZDGHTFURYJAKG-LLVKDONJSA-N 1 2 292.473 3.961 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434980826 1122424994 /nfs/dbraw/zinc/42/49/94/1122424994.db2.gz BTLKQKNKKOMYHW-SECBINFHSA-N 1 2 278.343 3.926 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434980826 1122424996 /nfs/dbraw/zinc/42/49/96/1122424996.db2.gz BTLKQKNKKOMYHW-SECBINFHSA-N 1 2 278.343 3.926 20 0 CHADLO Clc1ccc2ncc(C[N@@H+]3CC[C@@H]3c3ccccc3)n2c1 ZINC000434992472 1122427370 /nfs/dbraw/zinc/42/73/70/1122427370.db2.gz SNQUDHDQRNLMHX-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccc2ncc(C[N@H+]3CC[C@@H]3c3ccccc3)n2c1 ZINC000434992472 1122427371 /nfs/dbraw/zinc/42/73/71/1122427371.db2.gz SNQUDHDQRNLMHX-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccccc2CN2CCCC2=O)c1 ZINC001203663194 1122428024 /nfs/dbraw/zinc/42/80/24/1122428024.db2.gz CLVMKDNAJFJABV-UHFFFAOYSA-N 1 2 295.386 3.564 20 0 CHADLO CC(C)[C@H]1CC[N@H+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000435126965 1122435774 /nfs/dbraw/zinc/43/57/74/1122435774.db2.gz SQUNESLNJDOPOP-VIFPVBQESA-N 1 2 278.343 3.640 20 0 CHADLO CC(C)[C@H]1CC[N@@H+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000435126965 1122435776 /nfs/dbraw/zinc/43/57/76/1122435776.db2.gz SQUNESLNJDOPOP-VIFPVBQESA-N 1 2 278.343 3.640 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1cc(Cl)cc(Cl)c1 ZINC000620409491 1129055092 /nfs/dbraw/zinc/05/50/92/1129055092.db2.gz PGRWAGGRNFYZLK-UHFFFAOYSA-N 1 2 298.173 3.609 20 0 CHADLO CC(C)n1cncc1C[N@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000425325410 1122453325 /nfs/dbraw/zinc/45/33/25/1122453325.db2.gz MLVGKSHVTWVYDC-ZDUSSCGKSA-N 1 2 273.380 3.783 20 0 CHADLO CC(C)n1cncc1C[N@@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000425325410 1122453329 /nfs/dbraw/zinc/45/33/29/1122453329.db2.gz MLVGKSHVTWVYDC-ZDUSSCGKSA-N 1 2 273.380 3.783 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2C[C@H](c3ccccc3F)C2)[nH+]1 ZINC000435594763 1122477251 /nfs/dbraw/zinc/47/72/51/1122477251.db2.gz SQLWIGIPMIKPAV-HAQNSBGRSA-N 1 2 296.349 3.764 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3cccc(F)c3C)ccc2[nH+]1 ZINC001189024164 1122485720 /nfs/dbraw/zinc/48/57/20/1122485720.db2.gz MNHYKODVPYSSMN-UHFFFAOYSA-N 1 2 298.321 3.734 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+]1CCc2ccsc2[C@@H]1C ZINC000712299612 1122505651 /nfs/dbraw/zinc/50/56/51/1122505651.db2.gz PEWVDRKNFJQCPJ-JTQLQIEISA-N 1 2 295.839 3.563 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+]1CCc2ccsc2[C@@H]1C ZINC000712299612 1122505663 /nfs/dbraw/zinc/50/56/63/1122505663.db2.gz PEWVDRKNFJQCPJ-JTQLQIEISA-N 1 2 295.839 3.563 20 0 CHADLO Cc1ccc2[nH+]c(CSc3ncc(C)cc3C)cn2c1 ZINC001189737726 1122513118 /nfs/dbraw/zinc/51/31/18/1122513118.db2.gz KTZJRPGYXWCFPC-UHFFFAOYSA-N 1 2 283.400 3.947 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)C(F)F)C(C)(C)c1ccccc1 ZINC000775322491 1122517008 /nfs/dbraw/zinc/51/70/08/1122517008.db2.gz UZDVKDOAFHRGRX-JTQLQIEISA-N 1 2 277.305 3.843 20 0 CHADLO CC(C)(C)c1cn(Cc2ccc3cnccc3c2)c[nH+]1 ZINC001189876241 1122517835 /nfs/dbraw/zinc/51/78/35/1122517835.db2.gz NQKGNAGHQIHYFF-UHFFFAOYSA-N 1 2 265.360 3.777 20 0 CHADLO Cc1nccnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203712739 1122523000 /nfs/dbraw/zinc/52/30/00/1122523000.db2.gz NOPGVUNKNZWLMO-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Cc1ccc(F)cc1NC(=O)c1ccccc1-n1cc[nH+]c1 ZINC001190075326 1122525969 /nfs/dbraw/zinc/52/59/69/1122525969.db2.gz PHRQVYVQSJFJNV-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CC1=CCC[N@H+](Cc2csc(C(C)(C)C)n2)C1 ZINC000436259508 1122528626 /nfs/dbraw/zinc/52/86/26/1122528626.db2.gz FCQHKAFXVWCNPM-UHFFFAOYSA-N 1 2 250.411 3.593 20 0 CHADLO CC1=CCC[N@@H+](Cc2csc(C(C)(C)C)n2)C1 ZINC000436259508 1122528630 /nfs/dbraw/zinc/52/86/30/1122528630.db2.gz FCQHKAFXVWCNPM-UHFFFAOYSA-N 1 2 250.411 3.593 20 0 CHADLO CN(C)c1cncc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001203717352 1122531707 /nfs/dbraw/zinc/53/17/07/1122531707.db2.gz ABHCJBHVHDIVNW-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CCOC(=O)C[N@H+](CC)Cc1ccc(C(C)(C)CC)cc1 ZINC001190551470 1122543302 /nfs/dbraw/zinc/54/33/02/1122543302.db2.gz VTTVVLBKZZOMIV-UHFFFAOYSA-N 1 2 291.435 3.759 20 0 CHADLO CCOC(=O)C[N@@H+](CC)Cc1ccc(C(C)(C)CC)cc1 ZINC001190551470 1122543304 /nfs/dbraw/zinc/54/33/04/1122543304.db2.gz VTTVVLBKZZOMIV-UHFFFAOYSA-N 1 2 291.435 3.759 20 0 CHADLO COc1cccc2c(N3CCC(C(F)F)CC3)cc[nH+]c12 ZINC000436440430 1122549732 /nfs/dbraw/zinc/54/97/32/1122549732.db2.gz SVAVSXWERPQTGV-UHFFFAOYSA-N 1 2 292.329 3.725 20 0 CHADLO CC(C)c1noc(C[N@@H+]2CCC[C@H]2/C=C\c2ccccc2)n1 ZINC000505844651 1122557964 /nfs/dbraw/zinc/55/79/64/1122557964.db2.gz HSKULOQTNJXNBS-SNGWPZLWSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)c1noc(C[N@H+]2CCC[C@H]2/C=C\c2ccccc2)n1 ZINC000505844651 1122557967 /nfs/dbraw/zinc/55/79/67/1122557967.db2.gz HSKULOQTNJXNBS-SNGWPZLWSA-N 1 2 297.402 3.871 20 0 CHADLO CC[C@@H]1CCC[N@@H+]([C@H](C(=O)OC)c2ccccc2F)CC1 ZINC001190967179 1122561904 /nfs/dbraw/zinc/56/19/04/1122561904.db2.gz SDKHURKBAFXSPN-CJNGLKHVSA-N 1 2 293.382 3.552 20 0 CHADLO CC[C@@H]1CCC[N@H+]([C@H](C(=O)OC)c2ccccc2F)CC1 ZINC001190967179 1122561906 /nfs/dbraw/zinc/56/19/06/1122561906.db2.gz SDKHURKBAFXSPN-CJNGLKHVSA-N 1 2 293.382 3.552 20 0 CHADLO CC(C)C[C@H]1COCC[N@@H+]1CCc1c(F)cccc1Cl ZINC001191070614 1122568142 /nfs/dbraw/zinc/56/81/42/1122568142.db2.gz NXWXUYGWVZTFIP-ZDUSSCGKSA-N 1 2 299.817 3.769 20 0 CHADLO CC(C)C[C@H]1COCC[N@H+]1CCc1c(F)cccc1Cl ZINC001191070614 1122568148 /nfs/dbraw/zinc/56/81/48/1122568148.db2.gz NXWXUYGWVZTFIP-ZDUSSCGKSA-N 1 2 299.817 3.769 20 0 CHADLO C[C@@H]1C[N@H+](CCCSC(C)(C)C)C[C@@H](C(F)(F)F)O1 ZINC001191214919 1122582822 /nfs/dbraw/zinc/58/28/22/1122582822.db2.gz RJEJNYNZUPCNOJ-MNOVXSKESA-N 1 2 299.402 3.560 20 0 CHADLO C[C@@H]1C[N@@H+](CCCSC(C)(C)C)C[C@@H](C(F)(F)F)O1 ZINC001191214919 1122582825 /nfs/dbraw/zinc/58/28/25/1122582825.db2.gz RJEJNYNZUPCNOJ-MNOVXSKESA-N 1 2 299.402 3.560 20 0 CHADLO COC(=O)[C@H](c1cccc(Cl)c1)[N@@H+]1CC[C@@H](C(C)C)C1 ZINC001191539753 1122604136 /nfs/dbraw/zinc/60/41/36/1122604136.db2.gz GKKUEBLDBXDQRP-HIFRSBDPSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1cccc(Cl)c1)[N@H+]1CC[C@@H](C(C)C)C1 ZINC001191539753 1122604141 /nfs/dbraw/zinc/60/41/41/1122604141.db2.gz GKKUEBLDBXDQRP-HIFRSBDPSA-N 1 2 295.810 3.532 20 0 CHADLO COc1c(F)cc(F)cc1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC001143562749 1122624209 /nfs/dbraw/zinc/62/42/09/1122624209.db2.gz GJGMTLKKJOJWSK-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1c(F)cc(F)cc1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC001143562749 1122624212 /nfs/dbraw/zinc/62/42/12/1122624212.db2.gz GJGMTLKKJOJWSK-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H]([NH2+]Cc1ccnc(F)c1)c1c(F)cccc1Cl ZINC001192627464 1122654824 /nfs/dbraw/zinc/65/48/24/1122654824.db2.gz WZAOZLDBYSJBEN-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO C[C@H]1CCOCC[N@@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000775569426 1122673330 /nfs/dbraw/zinc/67/33/30/1122673330.db2.gz VTYVDINVGDNKRO-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO Cc1cc(F)cc(NCc2c[nH+]cn2Cc2ccccc2)c1 ZINC000438318754 1122691284 /nfs/dbraw/zinc/69/12/84/1122691284.db2.gz GKNZPEJKVBDELZ-UHFFFAOYSA-N 1 2 295.361 3.991 20 0 CHADLO Clc1ccccc1CCC[N@@H+]1Cc2cccnc2C1 ZINC000517734169 1122695817 /nfs/dbraw/zinc/69/58/17/1122695817.db2.gz CDXQTSHGALDJMN-UHFFFAOYSA-N 1 2 272.779 3.683 20 0 CHADLO Clc1ccccc1CCC[N@H+]1Cc2cccnc2C1 ZINC000517734169 1122695819 /nfs/dbraw/zinc/69/58/19/1122695819.db2.gz CDXQTSHGALDJMN-UHFFFAOYSA-N 1 2 272.779 3.683 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)OC1CCCCC1 ZINC001193596278 1122700601 /nfs/dbraw/zinc/70/06/01/1122700601.db2.gz HUJFJNKGMVTMPF-UHFFFAOYSA-N 1 2 285.347 3.754 20 0 CHADLO CCCc1csc(C[N@H+](C)Cc2cccc(F)c2)n1 ZINC000426077686 1122714307 /nfs/dbraw/zinc/71/43/07/1122714307.db2.gz LWZPWZRYVNUEFT-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCCc1csc(C[N@@H+](C)Cc2cccc(F)c2)n1 ZINC000426077686 1122714310 /nfs/dbraw/zinc/71/43/10/1122714310.db2.gz LWZPWZRYVNUEFT-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCc1cc(NCc2cc(OC)cc(C)[nH+]2)ccc1F ZINC000506310984 1122717434 /nfs/dbraw/zinc/71/74/34/1122717434.db2.gz URNLRWDUZTXCJE-UHFFFAOYSA-N 1 2 274.339 3.712 20 0 CHADLO CCCC[C@@H](CC)COC(=O)N(CC)CCn1cc[nH+]c1 ZINC001193966659 1122731463 /nfs/dbraw/zinc/73/14/63/1122731463.db2.gz ZLAYTLIUHAOLTK-OAHLLOKOSA-N 1 2 295.427 3.558 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)ncc1F)c1ccc(F)cc1F ZINC000294918524 1122737796 /nfs/dbraw/zinc/73/77/96/1122737796.db2.gz APJBXMJGEVOCQQ-CQSZACIVSA-N 1 2 298.283 3.879 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@H]2CCC[C@@H]2F)cs1 ZINC000340533505 1122768564 /nfs/dbraw/zinc/76/85/64/1122768564.db2.gz VIAXHWDCSWAXFF-DCAQKATOSA-N 1 2 256.390 3.808 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@H](c3ccccc3)C[C@@H]2C)no1 ZINC000439331045 1122773386 /nfs/dbraw/zinc/77/33/86/1122773386.db2.gz RBNVUEUBJYZIIQ-HOCLYGCPSA-N 1 2 299.418 3.790 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@H](c3ccccc3)C[C@@H]2C)no1 ZINC000439331045 1122773392 /nfs/dbraw/zinc/77/33/92/1122773392.db2.gz RBNVUEUBJYZIIQ-HOCLYGCPSA-N 1 2 299.418 3.790 20 0 CHADLO Cn1c[nH+]cc1COc1ccc(Cl)c2ccccc12 ZINC000439553921 1122789856 /nfs/dbraw/zinc/78/98/56/1122789856.db2.gz ZAKAQAIAUXSEHS-UHFFFAOYSA-N 1 2 272.735 3.806 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)c(Cl)c2)[C@@H]1[C@H]1CCCO1 ZINC000519170613 1122821242 /nfs/dbraw/zinc/82/12/42/1122821242.db2.gz XVDLBYSDPUPMBN-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)c(Cl)c2)[C@@H]1[C@H]1CCCO1 ZINC000519170613 1122821245 /nfs/dbraw/zinc/82/12/45/1122821245.db2.gz XVDLBYSDPUPMBN-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)[C@H](C)c1nnc(C)o1 ZINC000154039371 1129082015 /nfs/dbraw/zinc/08/20/15/1129082015.db2.gz XFNVQKXUGBMBKB-SNVBAGLBSA-N 1 2 279.771 3.615 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)[C@H](C)c1nnc(C)o1 ZINC000154039371 1129082019 /nfs/dbraw/zinc/08/20/19/1129082019.db2.gz XFNVQKXUGBMBKB-SNVBAGLBSA-N 1 2 279.771 3.615 20 0 CHADLO Cc1cc(NC[C@@H]2C[C@H]2c2cccnc2)nc(C2CCC2)[nH+]1 ZINC000894465416 1122858777 /nfs/dbraw/zinc/85/87/77/1122858777.db2.gz RCFPBFSSQWTYJC-HOTGVXAUSA-N 1 2 294.402 3.663 20 0 CHADLO CCOc1cc(F)c(C[NH+]2CC3(C2)CCCC3)c(F)c1 ZINC001143568923 1122862478 /nfs/dbraw/zinc/86/24/78/1122862478.db2.gz PKVVVEWYRLXVJV-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CC(C)(C)[C@H]2C2CC2)n1 ZINC000894545604 1122870711 /nfs/dbraw/zinc/87/07/11/1122870711.db2.gz ZZNUJHMZVWGUFV-CQSZACIVSA-N 1 2 262.397 3.593 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CC(C)(C)[C@H]2C2CC2)n1 ZINC000894545604 1122870716 /nfs/dbraw/zinc/87/07/16/1122870716.db2.gz ZZNUJHMZVWGUFV-CQSZACIVSA-N 1 2 262.397 3.593 20 0 CHADLO CC[C@@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)c1cc(C)ccc1C ZINC000629108379 1122882075 /nfs/dbraw/zinc/88/20/75/1122882075.db2.gz GYPTUQVXGBVVHN-NVXWUHKLSA-N 1 2 299.418 3.719 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccc(F)nc1 ZINC000189296310 1129084743 /nfs/dbraw/zinc/08/47/43/1129084743.db2.gz YYRUOOHOKLVPTB-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccc(F)nc1 ZINC000189296310 1129084747 /nfs/dbraw/zinc/08/47/47/1129084747.db2.gz YYRUOOHOKLVPTB-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cc(C(C)(C)C)oc1C ZINC000894743432 1122901374 /nfs/dbraw/zinc/90/13/74/1122901374.db2.gz HNEJOQMAOWZOTK-GFCCVEGCSA-N 1 2 289.423 3.953 20 0 CHADLO CCCCC[C@@H](CC)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000775684285 1122901691 /nfs/dbraw/zinc/90/16/91/1122901691.db2.gz CPVYJPJBBLQGER-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2cc(F)cc(F)c2)cn1 ZINC000440480402 1122910439 /nfs/dbraw/zinc/91/04/39/1122910439.db2.gz RIIIVEWSMCKHFG-UHFFFAOYSA-N 1 2 291.345 3.510 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2cc(F)cc(F)c2)cn1 ZINC000440480402 1122910441 /nfs/dbraw/zinc/91/04/41/1122910441.db2.gz RIIIVEWSMCKHFG-UHFFFAOYSA-N 1 2 291.345 3.510 20 0 CHADLO Cc1ccc(CCC[NH2+]C(C)(C)C(=O)OC(C)(C)C)cc1 ZINC001196849536 1122935372 /nfs/dbraw/zinc/93/53/72/1122935372.db2.gz GDKNODQCNWPFHH-UHFFFAOYSA-N 1 2 291.435 3.638 20 0 CHADLO O=C(Oc1ccc(-c2[nH+]cc3n2CCCC3)cc1)C1=CCC1 ZINC000794427869 1122935590 /nfs/dbraw/zinc/93/55/90/1122935590.db2.gz NIAVKYQNODWISW-UHFFFAOYSA-N 1 2 294.354 3.512 20 0 CHADLO c1n[nH]c2c1C[N@H+](Cc1ccc3ccccc3c1)CCC2 ZINC000664863007 1122944337 /nfs/dbraw/zinc/94/43/37/1122944337.db2.gz PYFGTXJFYPYACZ-UHFFFAOYSA-N 1 2 277.371 3.511 20 0 CHADLO c1n[nH]c2c1C[N@@H+](Cc1ccc3ccccc3c1)CCC2 ZINC000664863007 1122944341 /nfs/dbraw/zinc/94/43/41/1122944341.db2.gz PYFGTXJFYPYACZ-UHFFFAOYSA-N 1 2 277.371 3.511 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)cc1 ZINC001197343596 1122953136 /nfs/dbraw/zinc/95/31/36/1122953136.db2.gz UFTOKRKUAMULNY-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3cc(F)c(F)cc3C2)cc1 ZINC001197343596 1122953140 /nfs/dbraw/zinc/95/31/40/1122953140.db2.gz UFTOKRKUAMULNY-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1ccn(C2CCCC2)n1 ZINC000507514191 1122961994 /nfs/dbraw/zinc/96/19/94/1122961994.db2.gz BKGFXJDGMJQTDV-CQSZACIVSA-N 1 2 298.434 3.806 20 0 CHADLO CC1(C)CC[C@H]2C[C@@H]([NH2+]Cc3csnn3)c3cccc1c32 ZINC000334218544 1122971746 /nfs/dbraw/zinc/97/17/46/1122971746.db2.gz IKAKHYPQFJZOID-XHDPSFHLSA-N 1 2 299.443 3.928 20 0 CHADLO CC(C)c1ccc(C(=O)N2CCC(c3c[nH]c[nH+]3)CC2)cc1 ZINC000334265901 1122979431 /nfs/dbraw/zinc/97/94/31/1122979431.db2.gz MXKJUOMYPMYZSF-UHFFFAOYSA-N 1 2 297.402 3.553 20 0 CHADLO CC(C)c1ccc(C(=O)N2CCC(c3c[nH+]c[nH]3)CC2)cc1 ZINC000334265901 1122979435 /nfs/dbraw/zinc/97/94/35/1122979435.db2.gz MXKJUOMYPMYZSF-UHFFFAOYSA-N 1 2 297.402 3.553 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1csnc1OC ZINC001198122329 1122981678 /nfs/dbraw/zinc/98/16/78/1122981678.db2.gz GKAPCYZTKSWONK-AWEZNQCLSA-N 1 2 294.395 3.874 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1csnc1OC ZINC001198122329 1122981687 /nfs/dbraw/zinc/98/16/87/1122981687.db2.gz GKAPCYZTKSWONK-AWEZNQCLSA-N 1 2 294.395 3.874 20 0 CHADLO C[C@@H]1CC[C@H](C)[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000154738143 1129091736 /nfs/dbraw/zinc/09/17/36/1129091736.db2.gz VJQJHZHRUATKOY-BDAKNGLRSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1CC[C@H](C)[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000154738143 1129091741 /nfs/dbraw/zinc/09/17/41/1129091741.db2.gz VJQJHZHRUATKOY-BDAKNGLRSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@]1(C2CC2)COCC[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001198498826 1122995289 /nfs/dbraw/zinc/99/52/89/1122995289.db2.gz OQFOXEVWHQOKSO-OAHLLOKOSA-N 1 2 299.336 3.706 20 0 CHADLO C[C@]1(C2CC2)COCC[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001198498826 1122995291 /nfs/dbraw/zinc/99/52/91/1122995291.db2.gz OQFOXEVWHQOKSO-OAHLLOKOSA-N 1 2 299.336 3.706 20 0 CHADLO COc1ccc(F)cc1CNc1ccc([NH+](C)C)cc1 ZINC000267872016 1129092085 /nfs/dbraw/zinc/09/20/85/1129092085.db2.gz CSCXYXSCYRZIPV-UHFFFAOYSA-N 1 2 274.339 3.512 20 0 CHADLO Nc1c(F)cccc1C[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC001199069114 1123020797 /nfs/dbraw/zinc/02/07/97/1123020797.db2.gz PGVJHWUBCITQBI-GOSISDBHSA-N 1 2 296.345 3.880 20 0 CHADLO CCOC[C@@H](Nc1cc[nH+]c2c(OC)cccc12)C(C)C ZINC000440644084 1123026032 /nfs/dbraw/zinc/02/60/32/1123026032.db2.gz CPFXASQNKBBJBZ-OAHLLOKOSA-N 1 2 288.391 3.716 20 0 CHADLO COc1cccc2c(N[C@@H](C)CC(F)(F)F)cc[nH+]c12 ZINC000440671091 1123026758 /nfs/dbraw/zinc/02/67/58/1123026758.db2.gz KDBFEJSBYMWUIW-VIFPVBQESA-N 1 2 284.281 3.996 20 0 CHADLO CC[C@@H](F)C[N@@H+]1C[C@@H](c2ccccc2)OCC1(C)C ZINC000440688483 1123028295 /nfs/dbraw/zinc/02/82/95/1123028295.db2.gz ABZLDGURKQMWRO-CABCVRRESA-N 1 2 265.372 3.587 20 0 CHADLO CC[C@@H](F)C[N@H+]1C[C@@H](c2ccccc2)OCC1(C)C ZINC000440688483 1123028299 /nfs/dbraw/zinc/02/82/99/1123028299.db2.gz ABZLDGURKQMWRO-CABCVRRESA-N 1 2 265.372 3.587 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1ccc(Cl)cc1 ZINC000519908652 1123036883 /nfs/dbraw/zinc/03/68/83/1123036883.db2.gz JAHBVKAIYFMTLX-UHFFFAOYSA-N 1 2 283.799 3.504 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc(Cl)cc1 ZINC000519908652 1123036886 /nfs/dbraw/zinc/03/68/86/1123036886.db2.gz JAHBVKAIYFMTLX-UHFFFAOYSA-N 1 2 283.799 3.504 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]Cc1cccnc1Cl ZINC000105420393 1123052529 /nfs/dbraw/zinc/05/25/29/1123052529.db2.gz TVNYNHVROPVKIE-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO Cc1ccc(COC(=O)c2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000776080676 1123053175 /nfs/dbraw/zinc/05/31/75/1123053175.db2.gz JCNSSMXLXJHJAP-UHFFFAOYSA-N 1 2 292.338 3.538 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnn(CC(C)C)c2)cc1 ZINC001205888884 1123054879 /nfs/dbraw/zinc/05/48/79/1123054879.db2.gz WQPQHCAGDBWEQS-UHFFFAOYSA-N 1 2 272.396 3.739 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnn(CC(C)C)c2)cc1 ZINC001205888884 1123054884 /nfs/dbraw/zinc/05/48/84/1123054884.db2.gz WQPQHCAGDBWEQS-UHFFFAOYSA-N 1 2 272.396 3.739 20 0 CHADLO CC(C)[C@@H]1CCC[C@H](NC(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000520235293 1123065991 /nfs/dbraw/zinc/06/59/91/1123065991.db2.gz WOFFQZDIWHOHSH-XHSDSOJGSA-N 1 2 291.439 3.555 20 0 CHADLO Nc1ccc(Nc2ccc3ccc(Cl)cc3n2)c[nH+]1 ZINC001201272999 1123084216 /nfs/dbraw/zinc/08/42/16/1123084216.db2.gz YNAWMVPKPVCKOJ-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO CCC(CC)Oc1ccccc1Nc1ccc(N)[nH+]c1 ZINC001201295959 1123084741 /nfs/dbraw/zinc/08/47/41/1123084741.db2.gz ZIXCHWBCBZIQNL-UHFFFAOYSA-N 1 2 271.364 3.975 20 0 CHADLO CCc1ccc(Nc2ccc(N)[nH+]c2)c(Br)c1 ZINC001201294554 1123085110 /nfs/dbraw/zinc/08/51/10/1123085110.db2.gz DYIKWGNSAYZFOB-UHFFFAOYSA-N 1 2 292.180 3.732 20 0 CHADLO CCOc1cc(C)ccc1NCc1cccc2[nH+]ccn21 ZINC001201346918 1123091066 /nfs/dbraw/zinc/09/10/66/1123091066.db2.gz FVENFFGVWUEPFQ-UHFFFAOYSA-N 1 2 281.359 3.654 20 0 CHADLO Br/C(=C\c1ccccc1)C[NH+]1CC2(CCC2)C1 ZINC001201450664 1123106419 /nfs/dbraw/zinc/10/64/19/1123106419.db2.gz GNGKUNIXOHQTAR-ZROIWOOFSA-N 1 2 292.220 3.908 20 0 CHADLO COc1ccc(Nc2ccc([NH2+]C(C)C)cc2)c(C)n1 ZINC001201488109 1123107487 /nfs/dbraw/zinc/10/74/87/1123107487.db2.gz PMDRWJNLORIUOS-UHFFFAOYSA-N 1 2 271.364 3.963 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2ccnn2Br)cc1 ZINC001201490607 1123109282 /nfs/dbraw/zinc/10/92/82/1123109282.db2.gz HRWDSPSUPKNLDE-UHFFFAOYSA-N 1 2 295.184 3.605 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnn(C(F)F)c2)cc1 ZINC001201492238 1123109325 /nfs/dbraw/zinc/10/93/25/1123109325.db2.gz XUUJMVIMTZTNMU-UHFFFAOYSA-N 1 2 266.295 3.842 20 0 CHADLO CC(C)[NH2+]c1ccc(N2CCc3c2cccc3N)cc1 ZINC001201505945 1123113162 /nfs/dbraw/zinc/11/31/62/1123113162.db2.gz SWCFYGAPNPUQBL-UHFFFAOYSA-N 1 2 267.376 3.783 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cc2ccccc2[nH]c1=O ZINC001201750658 1123145402 /nfs/dbraw/zinc/14/54/02/1123145402.db2.gz MEOXGYCTGBQSHZ-UHFFFAOYSA-N 1 2 279.343 3.950 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1C1CC1)c1cc(Cl)ccc1F ZINC001201770825 1123148649 /nfs/dbraw/zinc/14/86/49/1123148649.db2.gz YEYMXSUSMLWGMM-SNVBAGLBSA-N 1 2 293.773 3.861 20 0 CHADLO Cc1c[nH+]c(Nc2csc3ccccc23)c(N)c1 ZINC001201845265 1123156199 /nfs/dbraw/zinc/15/61/99/1123156199.db2.gz LABKBARLSQYCIN-UHFFFAOYSA-N 1 2 255.346 3.931 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@@H+]1C[C@H](CO)CC(F)(F)C1 ZINC001201975462 1123158554 /nfs/dbraw/zinc/15/85/54/1123158554.db2.gz HRLADGCOQFDKBF-HUUCEWRRSA-N 1 2 289.410 3.709 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@H+]1C[C@H](CO)CC(F)(F)C1 ZINC001201975462 1123158555 /nfs/dbraw/zinc/15/85/55/1123158555.db2.gz HRLADGCOQFDKBF-HUUCEWRRSA-N 1 2 289.410 3.709 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@@H+]1CCO[C@@H]2CCC[C@@H]21 ZINC000507779568 1123175170 /nfs/dbraw/zinc/17/51/70/1123175170.db2.gz UOUDPIAIDOBATL-DLBZAZTESA-N 1 2 299.370 3.825 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@H+]1CCO[C@@H]2CCC[C@@H]21 ZINC000507779568 1123175174 /nfs/dbraw/zinc/17/51/74/1123175174.db2.gz UOUDPIAIDOBATL-DLBZAZTESA-N 1 2 299.370 3.825 20 0 CHADLO CCn1ccc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)n1 ZINC001202102516 1123175228 /nfs/dbraw/zinc/17/52/28/1123175228.db2.gz QSHHRGGDVXCRTG-UHFFFAOYSA-N 1 2 295.789 3.720 20 0 CHADLO CC(C)(C)CC[N@@H+]1CC[C@@H](N2CCCCC2)C(F)(F)C1 ZINC001202114931 1123176314 /nfs/dbraw/zinc/17/63/14/1123176314.db2.gz JFLOQXPBFULXCX-CQSZACIVSA-N 1 2 288.426 3.618 20 0 CHADLO CC(C)(C)CC[N@H+]1CC[C@@H](N2CCCCC2)C(F)(F)C1 ZINC001202114931 1123176317 /nfs/dbraw/zinc/17/63/17/1123176317.db2.gz JFLOQXPBFULXCX-CQSZACIVSA-N 1 2 288.426 3.618 20 0 CHADLO CC(C)(C)CCN1CC[C@@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001202114931 1123176321 /nfs/dbraw/zinc/17/63/21/1123176321.db2.gz JFLOQXPBFULXCX-CQSZACIVSA-N 1 2 288.426 3.618 20 0 CHADLO CC1=C(Br)C[N@H+](Cc2ccc(F)cc2)CC1 ZINC000797527137 1123193169 /nfs/dbraw/zinc/19/31/69/1123193169.db2.gz JRQHDQQODYOGOF-UHFFFAOYSA-N 1 2 284.172 3.700 20 0 CHADLO CC1=C(Br)C[N@@H+](Cc2ccc(F)cc2)CC1 ZINC000797527137 1123193171 /nfs/dbraw/zinc/19/31/71/1123193171.db2.gz JRQHDQQODYOGOF-UHFFFAOYSA-N 1 2 284.172 3.700 20 0 CHADLO CSCCCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000135816688 1123196125 /nfs/dbraw/zinc/19/61/25/1123196125.db2.gz MBLPRMMFWYUTKV-UHFFFAOYSA-N 1 2 264.316 3.656 20 0 CHADLO CC[N@H+](Cc1nc(C(C)C)no1)[C@H](C)c1ccc(F)cc1 ZINC000053088676 1123199142 /nfs/dbraw/zinc/19/91/42/1123199142.db2.gz BOWWVSHNSSCGDH-GFCCVEGCSA-N 1 2 291.370 3.915 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)C)no1)[C@H](C)c1ccc(F)cc1 ZINC000053088676 1123199143 /nfs/dbraw/zinc/19/91/43/1123199143.db2.gz BOWWVSHNSSCGDH-GFCCVEGCSA-N 1 2 291.370 3.915 20 0 CHADLO C[C@H]([NH2+]Cc1ccc2c(c1)CCC2)c1nc(C(C)(C)C)no1 ZINC000194020594 1123201156 /nfs/dbraw/zinc/20/11/56/1123201156.db2.gz IBUWBKVUTLJDTA-LBPRGKRZSA-N 1 2 299.418 3.707 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000579588916 1123213819 /nfs/dbraw/zinc/21/38/19/1123213819.db2.gz NCAMWVFLRCOYEH-NEPJUHHUSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000579588916 1123213823 /nfs/dbraw/zinc/21/38/23/1123213823.db2.gz NCAMWVFLRCOYEH-NEPJUHHUSA-N 1 2 281.346 3.669 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1c(F)ccc(C)c1F ZINC000930222085 1123230471 /nfs/dbraw/zinc/23/04/71/1123230471.db2.gz MCTVDZURIPGIRC-VIFPVBQESA-N 1 2 280.318 3.729 20 0 CHADLO COc1ccc(C(C)(C)C)cc1NCc1[nH]c(C)c(C)[nH+]1 ZINC000850198435 1123245878 /nfs/dbraw/zinc/24/58/78/1123245878.db2.gz JFFGESIKMAVUEZ-UHFFFAOYSA-N 1 2 287.407 3.945 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CC[C@@H](C)c2ccsc21 ZINC000334543081 1123250364 /nfs/dbraw/zinc/25/03/64/1123250364.db2.gz CUUUNXYNBPDVPX-RNCFNFMXSA-N 1 2 261.394 3.508 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2cc3cnccc3o2)no1 ZINC000850467753 1123271821 /nfs/dbraw/zinc/27/18/21/1123271821.db2.gz SSTYCRFSNITGPM-MNOVXSKESA-N 1 2 271.320 3.536 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2nc(Cl)ccc21)c1cscn1 ZINC000850511970 1123279294 /nfs/dbraw/zinc/27/92/94/1123279294.db2.gz RSEUCXGRQJUCTR-SCZZXKLOSA-N 1 2 279.796 3.530 20 0 CHADLO C[C@H](c1csnn1)[N@@H+]1CC[C@H](c2cccc(Cl)c2)C1 ZINC000334624499 1123279450 /nfs/dbraw/zinc/27/94/50/1123279450.db2.gz VEANMOAFZFKSNU-PWSUYJOCSA-N 1 2 293.823 3.742 20 0 CHADLO C[C@H](c1csnn1)[N@H+]1CC[C@H](c2cccc(Cl)c2)C1 ZINC000334624499 1123279452 /nfs/dbraw/zinc/27/94/52/1123279452.db2.gz VEANMOAFZFKSNU-PWSUYJOCSA-N 1 2 293.823 3.742 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCc3[nH]ncc31)[C@H](C)C2 ZINC000850544418 1123289249 /nfs/dbraw/zinc/28/92/49/1123289249.db2.gz WTAZNUPHVDAVHJ-NJAPINKUSA-N 1 2 281.403 3.619 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)c1 ZINC000335051658 1123290226 /nfs/dbraw/zinc/29/02/26/1123290226.db2.gz CDONZTUJQJRXNM-LBPRGKRZSA-N 1 2 253.349 3.531 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc(Cl)cn1 ZINC000335058082 1123290398 /nfs/dbraw/zinc/29/03/98/1123290398.db2.gz XXKSRMDXXUNSHH-JTQLQIEISA-N 1 2 273.767 3.876 20 0 CHADLO c1csc(-c2noc(-c3ccc(-n4cc[nH+]c4)cc3)n2)c1 ZINC000109461792 1123297501 /nfs/dbraw/zinc/29/75/01/1123297501.db2.gz GBGCDGHJGZBAJF-UHFFFAOYSA-N 1 2 294.339 3.651 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2noc(C3CCCCC3)n2)CC1 ZINC000156391917 1129112538 /nfs/dbraw/zinc/11/25/38/1129112538.db2.gz NGOXKLKEZHOFIJ-ZDUSSCGKSA-N 1 2 277.412 3.739 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2noc(C3CCCCC3)n2)CC1 ZINC000156391917 1129112542 /nfs/dbraw/zinc/11/25/42/1129112542.db2.gz NGOXKLKEZHOFIJ-ZDUSSCGKSA-N 1 2 277.412 3.739 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2ccccc2SC)[nH]1 ZINC000442865895 1123343844 /nfs/dbraw/zinc/34/38/44/1123343844.db2.gz JBOFPJWIAQGXQA-MNOVXSKESA-N 1 2 290.436 3.501 20 0 CHADLO C[C@@H](CCSc1ccccc1)[N@H+](C)CC(F)F ZINC000156687711 1129115565 /nfs/dbraw/zinc/11/55/65/1129115565.db2.gz VWSCYQGHWRASSB-NSHDSACASA-N 1 2 259.365 3.754 20 0 CHADLO C[C@@H](CCSc1ccccc1)[N@@H+](C)CC(F)F ZINC000156687711 1129115568 /nfs/dbraw/zinc/11/55/68/1129115568.db2.gz VWSCYQGHWRASSB-NSHDSACASA-N 1 2 259.365 3.754 20 0 CHADLO COc1ccc2ccc(NC3CCC4(COC4)CC3)[nH+]c2c1 ZINC000895170748 1123355320 /nfs/dbraw/zinc/35/53/20/1123355320.db2.gz SICFNIOOVPIYAC-UHFFFAOYSA-N 1 2 298.386 3.615 20 0 CHADLO CCO[C@@H]1CCN(c2[nH+]ccc3cc(F)ccc32)C[C@@H]1C ZINC000335348965 1123362249 /nfs/dbraw/zinc/36/22/49/1123362249.db2.gz CVPKSXBGKMSVDH-BLLLJJGKSA-N 1 2 288.366 3.625 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1cccc(F)n1 ZINC000851710933 1123374168 /nfs/dbraw/zinc/37/41/68/1123374168.db2.gz RFXRJRSWMBALTJ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1cccc(F)n1 ZINC000851710933 1123374171 /nfs/dbraw/zinc/37/41/71/1123374171.db2.gz RFXRJRSWMBALTJ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC(C)[N@H+](CC=C(Cl)Cl)Cc1ccccn1 ZINC000851730261 1123376320 /nfs/dbraw/zinc/37/63/20/1123376320.db2.gz AMRCFTBCPAYYDM-UHFFFAOYSA-N 1 2 259.180 3.611 20 0 CHADLO CC(C)[N@@H+](CC=C(Cl)Cl)Cc1ccccn1 ZINC000851730261 1123376329 /nfs/dbraw/zinc/37/63/29/1123376329.db2.gz AMRCFTBCPAYYDM-UHFFFAOYSA-N 1 2 259.180 3.611 20 0 CHADLO C[C@@H](c1ccc2ccccc2c1)[N@H+](Cc1cnon1)C1CC1 ZINC000851752304 1123378895 /nfs/dbraw/zinc/37/88/95/1123378895.db2.gz GFHBKEMGPBMKIF-ZDUSSCGKSA-N 1 2 293.370 3.948 20 0 CHADLO C[C@@H](c1ccc2ccccc2c1)[N@@H+](Cc1cnon1)C1CC1 ZINC000851752304 1123378899 /nfs/dbraw/zinc/37/88/99/1123378899.db2.gz GFHBKEMGPBMKIF-ZDUSSCGKSA-N 1 2 293.370 3.948 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)n1 ZINC000851768681 1123379105 /nfs/dbraw/zinc/37/91/05/1123379105.db2.gz CSIDHMIAHLWHRK-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)n1 ZINC000851768681 1123379110 /nfs/dbraw/zinc/37/91/10/1123379110.db2.gz CSIDHMIAHLWHRK-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]CC=C(Cl)Cl)sc1C ZINC000851850069 1123384624 /nfs/dbraw/zinc/38/46/24/1123384624.db2.gz DHGQVLMIWLZMNX-UHFFFAOYSA-N 1 2 279.236 3.904 20 0 CHADLO C(=C\[C@H]1CCCCC[N@H+]1Cc1cnon1)\c1cccs1 ZINC000851922855 1123388627 /nfs/dbraw/zinc/38/86/27/1123388627.db2.gz PCKJFNYDOKGXQP-WBTMPAOCSA-N 1 2 289.404 3.589 20 0 CHADLO C(=C\[C@H]1CCCCC[N@@H+]1Cc1cnon1)\c1cccs1 ZINC000851922855 1123388629 /nfs/dbraw/zinc/38/86/29/1123388629.db2.gz PCKJFNYDOKGXQP-WBTMPAOCSA-N 1 2 289.404 3.589 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2nsc3ccccc32)C1 ZINC000852097296 1123396536 /nfs/dbraw/zinc/39/65/36/1123396536.db2.gz UTBOKTDHSRDXBJ-CQSZACIVSA-N 1 2 264.369 3.620 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2nsc3ccccc32)C1 ZINC000852097296 1123396538 /nfs/dbraw/zinc/39/65/38/1123396538.db2.gz UTBOKTDHSRDXBJ-CQSZACIVSA-N 1 2 264.369 3.620 20 0 CHADLO Cc1ccc(Nc2ccc([NH+]3CCCCC3)cc2)nn1 ZINC000853084438 1123424030 /nfs/dbraw/zinc/42/40/30/1123424030.db2.gz LLDKCLNQLVSXHD-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cc(F)c(F)c(F)c2)c(C)[nH+]1 ZINC000335798991 1123431828 /nfs/dbraw/zinc/43/18/28/1123431828.db2.gz DJEFNWKSIMIAAC-UHFFFAOYSA-N 1 2 294.276 3.676 20 0 CHADLO CCC1(CC)CCC[N@H+](Cn2ncn(C3CC3)c2=S)C1 ZINC000853562816 1123443932 /nfs/dbraw/zinc/44/39/32/1123443932.db2.gz FXAAPMFOSQCJCB-UHFFFAOYSA-N 1 2 294.468 3.609 20 0 CHADLO CCC1(CC)CCC[N@@H+](Cn2ncn(C3CC3)c2=S)C1 ZINC000853562816 1123443933 /nfs/dbraw/zinc/44/39/33/1123443933.db2.gz FXAAPMFOSQCJCB-UHFFFAOYSA-N 1 2 294.468 3.609 20 0 CHADLO C[C@@H]1CCCC[C@H]1CO[NH+]=C(N)Cc1cccc(F)c1 ZINC000853695076 1123447407 /nfs/dbraw/zinc/44/74/07/1123447407.db2.gz QLNLKZRPXVMSMX-OCCSQVGLSA-N 1 2 278.371 3.693 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)c1cccs1 ZINC000151642554 1123449470 /nfs/dbraw/zinc/44/94/70/1123449470.db2.gz VSLUSNCAMWIXNR-GFCCVEGCSA-N 1 2 297.383 3.676 20 0 CHADLO CC(C)c1cccc(COC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000853924361 1123462717 /nfs/dbraw/zinc/46/27/17/1123462717.db2.gz OBDQRRGCFJLUSU-AWEZNQCLSA-N 1 2 286.375 3.701 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(Cl)cc2)s1 ZINC000273165237 1129123373 /nfs/dbraw/zinc/12/33/73/1129123373.db2.gz BWOAZEIGFHNWBW-RKDXNWHRSA-N 1 2 281.812 3.912 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)o1)c1cccnc1Cl ZINC000776745333 1123487414 /nfs/dbraw/zinc/48/74/14/1123487414.db2.gz JUBRVVURWGGYGP-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO CCCc1nc(C)c(C[N@@H+]2CCc3cc(F)ccc3C2)o1 ZINC000428293934 1123511512 /nfs/dbraw/zinc/51/15/12/1123511512.db2.gz DDBHJSPPTHQKQU-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO CCCc1nc(C)c(C[N@H+]2CCc3cc(F)ccc3C2)o1 ZINC000428293934 1123511515 /nfs/dbraw/zinc/51/15/15/1123511515.db2.gz DDBHJSPPTHQKQU-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO Cn1c2ccccc2[nH+]c1N[C@H](c1ccccn1)C1CC1 ZINC000895792588 1123514541 /nfs/dbraw/zinc/51/45/41/1123514541.db2.gz DJGQXWWAEVRFDH-INIZCTEOSA-N 1 2 278.359 3.532 20 0 CHADLO CC[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1nccn1C ZINC000776803195 1123515104 /nfs/dbraw/zinc/51/51/04/1123515104.db2.gz WVSFODXRZDIGQZ-INIZCTEOSA-N 1 2 284.407 3.584 20 0 CHADLO CCSCc1ccc[nH+]c1NC1CC2(C1)CO[C@H](C)C2 ZINC000896031690 1123542944 /nfs/dbraw/zinc/54/29/44/1123542944.db2.gz SUAASECWVLIJQQ-XEBKBJJBSA-N 1 2 292.448 3.704 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H]2c3ccccc3CC[C@H]2F)o1 ZINC000896030504 1123543872 /nfs/dbraw/zinc/54/38/72/1123543872.db2.gz MADJYDRAJBVJRF-NVXWUHKLSA-N 1 2 289.350 3.794 20 0 CHADLO CCc1onc(C)c1C[NH2+][C@H]1c2ccccc2CC[C@@H]1F ZINC000896029195 1123544203 /nfs/dbraw/zinc/54/42/03/1123544203.db2.gz AYLULQLZEDONHA-RDJZCZTQSA-N 1 2 288.366 3.661 20 0 CHADLO CC(C)N(C(=O)c1cccc2[nH+]ccn21)c1ccc(F)cc1 ZINC000776870066 1123545158 /nfs/dbraw/zinc/54/51/58/1123545158.db2.gz FOVOJLFZURGQHJ-UHFFFAOYSA-N 1 2 297.333 3.529 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC000896078188 1123546567 /nfs/dbraw/zinc/54/65/67/1123546567.db2.gz VQSFASNADMQWBS-XHSDSOJGSA-N 1 2 280.371 3.546 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@@H]2C[C@H]2C(F)(F)F)c1 ZINC000896090208 1123549490 /nfs/dbraw/zinc/54/94/90/1123549490.db2.gz GCOZWOUBDQHPFG-POYBYMJQSA-N 1 2 284.203 3.711 20 0 CHADLO CSc1ccc2cc(Cn3cc[nH+]c3)c(Cl)nc2c1 ZINC000010809710 1123550034 /nfs/dbraw/zinc/55/00/34/1123550034.db2.gz PDNKVIFNBQNOAK-UHFFFAOYSA-N 1 2 289.791 3.855 20 0 CHADLO COc1ccc([C@@H](Nc2cc(CO)cc[nH+]2)C2CCC2)cc1 ZINC000896423143 1123582995 /nfs/dbraw/zinc/58/29/95/1123582995.db2.gz GYKWRYFIBILDNB-SFHVURJKSA-N 1 2 298.386 3.536 20 0 CHADLO CCN(C(=O)C[NH+]1CC(C)(C)C1)c1ccc2ccccc2c1 ZINC000857442971 1123600195 /nfs/dbraw/zinc/60/01/95/1123600195.db2.gz CQXVYSMOUFYMHN-UHFFFAOYSA-N 1 2 296.414 3.535 20 0 CHADLO C[C@H](c1ccc(OC(F)F)cc1)[N@@H+]1Cc2ccncc2C1 ZINC000857875720 1123624280 /nfs/dbraw/zinc/62/42/80/1123624280.db2.gz ZFBSICQOWWBPPS-LLVKDONJSA-N 1 2 290.313 3.760 20 0 CHADLO C[C@H](c1ccc(OC(F)F)cc1)[N@H+]1Cc2ccncc2C1 ZINC000857875720 1123624283 /nfs/dbraw/zinc/62/42/83/1123624283.db2.gz ZFBSICQOWWBPPS-LLVKDONJSA-N 1 2 290.313 3.760 20 0 CHADLO Cc1c[nH+]c2c(c1)N(C(=O)CCC1CCCCC1)CCN2 ZINC000857994920 1123627640 /nfs/dbraw/zinc/62/76/40/1123627640.db2.gz UGXWJOIHYZGENC-UHFFFAOYSA-N 1 2 287.407 3.509 20 0 CHADLO COc1ccc([NH2+][C@@H](C)[C@@H]2C[C@H]2C2CC2)c(OC)c1 ZINC000858101732 1123630816 /nfs/dbraw/zinc/63/08/16/1123630816.db2.gz DTTBXSDOHMPRRP-BPNCWPANSA-N 1 2 261.365 3.550 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccsc2C(F)(F)F)co1 ZINC000858171745 1123636008 /nfs/dbraw/zinc/63/60/08/1123636008.db2.gz BXXQUNWQZAPJSH-UHFFFAOYSA-N 1 2 290.310 3.607 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+]1CCOC2(CCCC2)C1 ZINC000157947736 1129135240 /nfs/dbraw/zinc/13/52/40/1129135240.db2.gz FBNBQWMQSWVBJK-LBPRGKRZSA-N 1 2 281.346 3.671 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+]1CCOC2(CCCC2)C1 ZINC000157947736 1129135242 /nfs/dbraw/zinc/13/52/42/1129135242.db2.gz FBNBQWMQSWVBJK-LBPRGKRZSA-N 1 2 281.346 3.671 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@@H](C)c2ccc(OC)cc2)s1 ZINC000346129790 1123665689 /nfs/dbraw/zinc/66/56/89/1123665689.db2.gz HKLONUKMUGAQBT-LBPRGKRZSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@@H](C)c2ccc(OC)cc2)s1 ZINC000346129790 1123665691 /nfs/dbraw/zinc/66/56/91/1123665691.db2.gz HKLONUKMUGAQBT-LBPRGKRZSA-N 1 2 290.432 3.907 20 0 CHADLO COc1cccc(CNc2cc(C)[nH+]c(C(C)C)n2)c1F ZINC000858656909 1123668038 /nfs/dbraw/zinc/66/80/38/1123668038.db2.gz DEBOVKYMKZSAPW-UHFFFAOYSA-N 1 2 289.354 3.668 20 0 CHADLO CCc1cnc(C[N@H+](CC)Cc2cccs2)s1 ZINC000346159519 1123669129 /nfs/dbraw/zinc/66/91/29/1123669129.db2.gz VTLGISLJISHKFP-UHFFFAOYSA-N 1 2 266.435 3.789 20 0 CHADLO CCc1cnc(C[N@@H+](CC)Cc2cccs2)s1 ZINC000346159519 1123669132 /nfs/dbraw/zinc/66/91/32/1123669132.db2.gz VTLGISLJISHKFP-UHFFFAOYSA-N 1 2 266.435 3.789 20 0 CHADLO C[C@@H]1C[N@H+](C/C(Cl)=C\Cl)C[C@H](C)C1(F)F ZINC000859413331 1123696795 /nfs/dbraw/zinc/69/67/95/1123696795.db2.gz CJUXBRRBDRONST-TUAQSSMMSA-N 1 2 258.139 3.529 20 0 CHADLO CCCC(C)(C)CC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000346244954 1123674801 /nfs/dbraw/zinc/67/48/01/1123674801.db2.gz NCICAKJADNUBLD-LSDHHAIUSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@H+](C)Cc2nc(C3CC3)no2)c1 ZINC000346317246 1123680672 /nfs/dbraw/zinc/68/06/72/1123680672.db2.gz JHPTVQPHCMTVIX-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@@H+](C)Cc2nc(C3CC3)no2)c1 ZINC000346317246 1123680675 /nfs/dbraw/zinc/68/06/75/1123680675.db2.gz JHPTVQPHCMTVIX-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO CC[N@H+](Cc1cc(Cl)n(C)n1)Cc1cccc(Cl)c1 ZINC000859077587 1123682635 /nfs/dbraw/zinc/68/26/35/1123682635.db2.gz UZKRVRAGFNNTRG-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1cc(Cl)n(C)n1)Cc1cccc(Cl)c1 ZINC000859077587 1123682639 /nfs/dbraw/zinc/68/26/39/1123682639.db2.gz UZKRVRAGFNNTRG-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO Cn1nc(C[NH2+]C2(c3cccc(Cl)c3)CC2)cc1Cl ZINC000859235288 1123692122 /nfs/dbraw/zinc/69/21/22/1123692122.db2.gz MSGXFNHNGQAVGQ-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO Cn1nc(C[N@@H+]2CCCC[C@H]2c2ccccc2)cc1Cl ZINC000859252651 1123693255 /nfs/dbraw/zinc/69/32/55/1123693255.db2.gz QCEHXWOLXPXKLG-HNNXBMFYSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1nc(C[N@H+]2CCCC[C@H]2c2ccccc2)cc1Cl ZINC000859252651 1123693257 /nfs/dbraw/zinc/69/32/57/1123693257.db2.gz QCEHXWOLXPXKLG-HNNXBMFYSA-N 1 2 289.810 3.801 20 0 CHADLO C[C@@H]1C[N@@H+](C/C(Cl)=C\Cl)C[C@H](C)C1(F)F ZINC000859413331 1123696791 /nfs/dbraw/zinc/69/67/91/1123696791.db2.gz CJUXBRRBDRONST-TUAQSSMMSA-N 1 2 258.139 3.529 20 0 CHADLO Cc1cc(N2CCc3sccc3[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000346653170 1123702019 /nfs/dbraw/zinc/70/20/19/1123702019.db2.gz LZJLKNHQGLUGKW-NSHDSACASA-N 1 2 285.416 3.848 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cn(C(C)C)nc2C)cs1 ZINC000281421176 1123706541 /nfs/dbraw/zinc/70/65/41/1123706541.db2.gz QVQFGNMOZUQORQ-GFCCVEGCSA-N 1 2 292.452 3.642 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nc2ccccc2o1)c1ccns1 ZINC000860059265 1123720623 /nfs/dbraw/zinc/72/06/23/1123720623.db2.gz XRUIBLCQRLVIDD-UWVGGRQHSA-N 1 2 273.361 3.696 20 0 CHADLO COc1ccccc1CCNc1cc(C)[nH+]c(C2CCC2)n1 ZINC001649163448 1123723927 /nfs/dbraw/zinc/72/39/27/1123723927.db2.gz DCIHJXRXHITVLH-UHFFFAOYSA-N 1 2 297.402 3.716 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCC[C@H]2c2cccnc2)c1 ZINC000346961265 1123735252 /nfs/dbraw/zinc/73/52/52/1123735252.db2.gz FSSULSRNEPZUOJ-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCC[C@H]2c2cccnc2)c1 ZINC000346961265 1123735255 /nfs/dbraw/zinc/73/52/55/1123735255.db2.gz FSSULSRNEPZUOJ-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(-c2ccncc2)cc1 ZINC000158330399 1129143165 /nfs/dbraw/zinc/14/31/65/1129143165.db2.gz WXWJRAKGAWLPRT-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1cc(NC[C@@H]2CCC(F)(F)C2)nc(C2CC2)[nH+]1 ZINC000281946436 1123756143 /nfs/dbraw/zinc/75/61/43/1123756143.db2.gz JHHKGZYGVLCJTC-SNVBAGLBSA-N 1 2 267.323 3.510 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2c1cccc2Cl)c1csnn1 ZINC000336280832 1123756520 /nfs/dbraw/zinc/75/65/20/1123756520.db2.gz HQPJSLUUMDNAST-UFBFGSQYSA-N 1 2 279.796 3.530 20 0 CHADLO CC(C)c1ccc(NC(=O)c2cccc3[nH+]ccn32)cc1 ZINC000082323704 1129143327 /nfs/dbraw/zinc/14/33/27/1129143327.db2.gz TWNJXHVNMQMGCG-UHFFFAOYSA-N 1 2 279.343 3.710 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2CCOc3ccc(F)cc32)cs1 ZINC000336471091 1123772579 /nfs/dbraw/zinc/77/25/79/1123772579.db2.gz ZOOYEWYLSXRIOL-RNCFNFMXSA-N 1 2 292.379 3.765 20 0 CHADLO CCc1nc(C[N@H+]2Cc3ccccc3[C@H](C)C2)cs1 ZINC000861797005 1123785845 /nfs/dbraw/zinc/78/58/45/1123785845.db2.gz MSTOFPGRXFSKLH-GFCCVEGCSA-N 1 2 272.417 3.825 20 0 CHADLO CCc1nc(C[N@@H+]2Cc3ccccc3[C@H](C)C2)cs1 ZINC000861797005 1123785848 /nfs/dbraw/zinc/78/58/48/1123785848.db2.gz MSTOFPGRXFSKLH-GFCCVEGCSA-N 1 2 272.417 3.825 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000862245477 1123794064 /nfs/dbraw/zinc/79/40/64/1123794064.db2.gz PVTNPBWSLYXEHH-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)o1 ZINC000862245477 1123794066 /nfs/dbraw/zinc/79/40/66/1123794066.db2.gz PVTNPBWSLYXEHH-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc(N[C@H](C)C2CC(F)(F)C2)ccc1[NH+](C)C ZINC000862259426 1123796038 /nfs/dbraw/zinc/79/60/38/1123796038.db2.gz AUOCPOFTPNDULD-LLVKDONJSA-N 1 2 268.351 3.907 20 0 CHADLO Cc1cc([NH2+][C@H](C)C2CC(F)(F)C2)ccc1N(C)C ZINC000862259426 1123796040 /nfs/dbraw/zinc/79/60/40/1123796040.db2.gz AUOCPOFTPNDULD-LLVKDONJSA-N 1 2 268.351 3.907 20 0 CHADLO Cc1[nH]c(CN2CCCc3cc(Cl)ccc32)[nH+]c1C ZINC000862304418 1123799338 /nfs/dbraw/zinc/79/93/38/1123799338.db2.gz ODJBSZJTZJAYPZ-UHFFFAOYSA-N 1 2 275.783 3.633 20 0 CHADLO Cc1ccc(NC(=S)NCc2c[nH+]c(C)cc2C)c(C)c1 ZINC000840826675 1123828249 /nfs/dbraw/zinc/82/82/49/1123828249.db2.gz RXOGSNBDRSKGLE-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO COc1c(F)c(F)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001211897636 1123866198 /nfs/dbraw/zinc/86/61/98/1123866198.db2.gz CAPIUTDBCYCFSI-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1nc(C2CCC2)no1)C1CC1 ZINC000639569016 1123867952 /nfs/dbraw/zinc/86/79/52/1123867952.db2.gz FHSYLOKZUDKDQZ-KRWDZBQOSA-N 1 2 297.402 3.886 20 0 CHADLO c1coc(C[N@@H+]2CCn3cccc3[C@H]2c2ccccc2)c1 ZINC000158687715 1129150688 /nfs/dbraw/zinc/15/06/88/1129150688.db2.gz RIDFWQBYXOPTMH-GOSISDBHSA-N 1 2 278.355 3.686 20 0 CHADLO c1coc(C[N@H+]2CCn3cccc3[C@H]2c2ccccc2)c1 ZINC000158687715 1129150691 /nfs/dbraw/zinc/15/06/91/1129150691.db2.gz RIDFWQBYXOPTMH-GOSISDBHSA-N 1 2 278.355 3.686 20 0 CHADLO Cc1cc(N[C@H](C)c2nc3ccccc3[nH]2)nc(C(C)C)[nH+]1 ZINC000301065688 1123871343 /nfs/dbraw/zinc/87/13/43/1123871343.db2.gz DLJXSXHDMSNRCF-GFCCVEGCSA-N 1 2 295.390 3.958 20 0 CHADLO COc1ccc(CN(C)c2cc(C)[nH+]c(C(C)C)n2)cc1 ZINC000301069626 1123871616 /nfs/dbraw/zinc/87/16/16/1123871616.db2.gz TXECKUGERBECEV-UHFFFAOYSA-N 1 2 285.391 3.553 20 0 CHADLO Cc1cc(N2CCCc3ccccc3C2)nc(C(C)C)[nH+]1 ZINC000301198923 1123881477 /nfs/dbraw/zinc/88/14/77/1123881477.db2.gz PGCRLFFWBKTDQO-UHFFFAOYSA-N 1 2 281.403 3.861 20 0 CHADLO C(=C/c1ccccc1)\CNc1cc(N2CCCCC2)nc[nH+]1 ZINC000301232425 1123883428 /nfs/dbraw/zinc/88/34/28/1123883428.db2.gz JSIXTCRSMAKYNN-JXMROGBWSA-N 1 2 294.402 3.592 20 0 CHADLO C(=C/c1ccccc1)\CNc1cc(N2CCCCC2)[nH+]cn1 ZINC000301232425 1123883431 /nfs/dbraw/zinc/88/34/31/1123883431.db2.gz JSIXTCRSMAKYNN-JXMROGBWSA-N 1 2 294.402 3.592 20 0 CHADLO Cc1cc(F)ccc1N1CCC[C@H](Nc2cccc[nH+]2)C1 ZINC000301296340 1123889629 /nfs/dbraw/zinc/88/96/29/1123889629.db2.gz RZIBKRFDMWCIES-HNNXBMFYSA-N 1 2 285.366 3.610 20 0 CHADLO Clc1cccc(C2(CNc3cccc[nH+]3)CC2)c1 ZINC000301334688 1123892477 /nfs/dbraw/zinc/89/24/77/1123892477.db2.gz COFNVAHWUTXDNQ-UHFFFAOYSA-N 1 2 258.752 3.879 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2ccc(OC)cc2)nc(C)[nH+]1 ZINC000301336520 1123892406 /nfs/dbraw/zinc/89/24/06/1123892406.db2.gz GLBCSXJLBXYWMT-KRWDZBQOSA-N 1 2 297.402 3.698 20 0 CHADLO Cc1noc(C)c1C[C@@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000301347667 1123893223 /nfs/dbraw/zinc/89/32/23/1123893223.db2.gz HNHOSWBZLOHYDX-SNVBAGLBSA-N 1 2 288.395 3.556 20 0 CHADLO COCOc1ccc(-c2c[nH+]c(C(C)C)n2C)cc1Cl ZINC000863979894 1123906988 /nfs/dbraw/zinc/90/69/88/1123906988.db2.gz WINWWTZCZQZMKY-UHFFFAOYSA-N 1 2 294.782 3.847 20 0 CHADLO Cc1cc(N[C@@H]2CCOC3(CCCC3)C2)nc(C(C)C)[nH+]1 ZINC000301702159 1123925396 /nfs/dbraw/zinc/92/53/96/1123925396.db2.gz QLHZJVVBVJCCNZ-CQSZACIVSA-N 1 2 289.423 3.812 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H](O)Cc1ccccc1 ZINC000301714326 1123926171 /nfs/dbraw/zinc/92/61/71/1123926171.db2.gz JEAKPUUHRLIJHT-QGZVFWFLSA-N 1 2 292.382 3.559 20 0 CHADLO CC1(C)CN(c2cccc[nH+]2)C[C@H]1c1ccccc1 ZINC000301750800 1123929829 /nfs/dbraw/zinc/92/98/29/1123929829.db2.gz UOBCAAZAZLEXQL-HNNXBMFYSA-N 1 2 252.361 3.712 20 0 CHADLO CNc1ccc(Nc2cc(C(C)C)nc(C(C)C)n2)c[nH+]1 ZINC000301867390 1123938935 /nfs/dbraw/zinc/93/89/35/1123938935.db2.gz IDZUTPIZDZBXNV-UHFFFAOYSA-N 1 2 285.395 3.904 20 0 CHADLO CC(C)c1cc(NCCc2ccc[nH]2)nc(C(C)C)[nH+]1 ZINC000302007685 1123949676 /nfs/dbraw/zinc/94/96/76/1123949676.db2.gz WMSAJXVETBDWBJ-UHFFFAOYSA-N 1 2 272.396 3.706 20 0 CHADLO Cc1cc(NCc2ccc(Cl)cn2)nc(C(C)C)[nH+]1 ZINC000302015249 1123949848 /nfs/dbraw/zinc/94/98/48/1123949848.db2.gz LNCCNGYHDIQAFK-UHFFFAOYSA-N 1 2 276.771 3.569 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(C)c(Cl)c2)s1 ZINC000277728657 1129155511 /nfs/dbraw/zinc/15/55/11/1129155511.db2.gz OIVZFNXJAOFHNF-VIFPVBQESA-N 1 2 281.812 3.659 20 0 CHADLO COc1cccc2c(NCC(C)(C)SC)cc[nH+]c12 ZINC000302495663 1123970105 /nfs/dbraw/zinc/97/01/05/1123970105.db2.gz BDSGROLTMSSMTM-UHFFFAOYSA-N 1 2 276.405 3.797 20 0 CHADLO COc1cccc2c(NC[C@H](OC)C(C)(C)C)cc[nH+]c12 ZINC000302497342 1123970165 /nfs/dbraw/zinc/97/01/65/1123970165.db2.gz BTHMDKXVJBATHI-HNNXBMFYSA-N 1 2 288.391 3.716 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cncc(Cl)c2)cs1 ZINC000621278774 1129156912 /nfs/dbraw/zinc/15/69/12/1129156912.db2.gz YAVYCNUDHLEGPQ-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO CC(C)(C)c1cn(Cc2c(F)cccc2F)c[nH+]1 ZINC000865376253 1123976353 /nfs/dbraw/zinc/97/63/53/1123976353.db2.gz GJMREUVPPWZXPT-UHFFFAOYSA-N 1 2 250.292 3.507 20 0 CHADLO CC(C)(C)c1cn(Cc2coc(-c3ccccc3)n2)c[nH+]1 ZINC000865374956 1123976590 /nfs/dbraw/zinc/97/65/90/1123976590.db2.gz BVOXLTZWQACELR-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO CC(C)(C)c1cn(Cc2noc(C3CCCCC3)n2)c[nH+]1 ZINC000865376653 1123977038 /nfs/dbraw/zinc/97/70/38/1123977038.db2.gz XNYDAGWBRUPDRP-UHFFFAOYSA-N 1 2 288.395 3.660 20 0 CHADLO CC(C)c1cc(N2CCSC[C@H](C)C2)nc(C(C)C)[nH+]1 ZINC000302691839 1123978384 /nfs/dbraw/zinc/97/83/84/1123978384.db2.gz COPULOZPQZSBQC-CYBMUJFWSA-N 1 2 293.480 3.913 20 0 CHADLO CC[C@@H]([NH2+]Cc1cn(C2CC2)cn1)c1cc(F)ccc1F ZINC000865473433 1123987765 /nfs/dbraw/zinc/98/77/65/1123987765.db2.gz NJVDRQOGZDEVGL-MRXNPFEDSA-N 1 2 291.345 3.737 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)C1(C)C ZINC000085383761 1129159316 /nfs/dbraw/zinc/15/93/16/1129159316.db2.gz ASULOMMDEGWOHX-DLBZAZTESA-N 1 2 288.435 3.902 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2cncs2)s1 ZINC001142032353 1123996468 /nfs/dbraw/zinc/99/64/68/1123996468.db2.gz YLOREVXRCFALJJ-UHFFFAOYSA-N 1 2 270.448 3.559 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2cncs2)s1 ZINC001142032353 1123996469 /nfs/dbraw/zinc/99/64/69/1123996469.db2.gz YLOREVXRCFALJJ-UHFFFAOYSA-N 1 2 270.448 3.559 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2oc(C(C)C)nc2C)s1 ZINC000428353918 1123996514 /nfs/dbraw/zinc/99/65/14/1123996514.db2.gz XHYCFUORMAHXJG-UHFFFAOYSA-N 1 2 293.436 3.896 20 0 CHADLO COc1ccc2c(c1)CCC[C@@H]2[NH2+][C@@H](C)C(C)(F)F ZINC000389452441 1124026000 /nfs/dbraw/zinc/02/60/00/1124026000.db2.gz UVRFDLMCEMMBSB-HZMBPMFUSA-N 1 2 269.335 3.706 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2OC[C@H]1F)c1ccccc1 ZINC000866214041 1124037312 /nfs/dbraw/zinc/03/73/12/1124037312.db2.gz GUAMEWCSTCJSNL-MJEQTWJJSA-N 1 2 271.335 3.809 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+]C1(c2ccccc2)CC1 ZINC000866318801 1124051678 /nfs/dbraw/zinc/05/16/78/1124051678.db2.gz FMPAESGPPZCCFE-WBVHZDCISA-N 1 2 283.346 3.737 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2c3ccccc3OC[C@@H]2F)cc1 ZINC000866329996 1124052616 /nfs/dbraw/zinc/05/26/16/1124052616.db2.gz JOTNLUWSEATVKG-DOTOQJQBSA-N 1 2 286.350 3.636 20 0 CHADLO CC(C)[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccccn1 ZINC000866331139 1124053238 /nfs/dbraw/zinc/05/32/38/1124053238.db2.gz WUCWECAZYSAMJP-QGZVFWFLSA-N 1 2 269.392 3.957 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1c(F)cc(F)cc1F)c1ccccn1 ZINC000866363808 1124057218 /nfs/dbraw/zinc/05/72/18/1124057218.db2.gz OQQKMOVIQCUYQA-INIZCTEOSA-N 1 2 294.320 3.986 20 0 CHADLO Cc1csc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)c1 ZINC000866367205 1124058564 /nfs/dbraw/zinc/05/85/64/1124058564.db2.gz GYSDPJXTHRXVJN-UKRRQHHQSA-N 1 2 277.364 3.618 20 0 CHADLO CCC(CC)(C[NH2+][C@H](C)c1cn[nH]c1C)C(F)(F)F ZINC000866505587 1124074591 /nfs/dbraw/zinc/07/45/91/1124074591.db2.gz PQIZTWPAYFHTEO-SECBINFHSA-N 1 2 277.334 3.737 20 0 CHADLO C[C@@H](CC1CCC1)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000631738809 1124083194 /nfs/dbraw/zinc/08/31/94/1124083194.db2.gz UQUDOEKRVJZDJZ-SWLSCSKDSA-N 1 2 276.428 3.594 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(C(F)(F)F)s1 ZINC000718001249 1129165369 /nfs/dbraw/zinc/16/53/69/1129165369.db2.gz YLKIGRKTEZVNAF-SSDOTTSWSA-N 1 2 276.283 3.606 20 0 CHADLO CC(C)CSCc1c[nH+]cn1Cc1ccccc1 ZINC000445607931 1124093316 /nfs/dbraw/zinc/09/33/16/1124093316.db2.gz NTDGESCTQNHGHO-UHFFFAOYSA-N 1 2 260.406 3.821 20 0 CHADLO Oc1ccc(SCc2c[nH+]cn2Cc2ccccc2)cc1 ZINC000445599929 1124093756 /nfs/dbraw/zinc/09/37/56/1124093756.db2.gz JTYLEVUAYUNKHH-UHFFFAOYSA-N 1 2 296.395 3.929 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1cc(F)ccc1Cl ZINC000445813829 1124101633 /nfs/dbraw/zinc/10/16/33/1124101633.db2.gz NXSOXTHXKXPCJB-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1cc(F)ccc1Cl ZINC000445813829 1124101637 /nfs/dbraw/zinc/10/16/37/1124101637.db2.gz NXSOXTHXKXPCJB-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CCN(Cc1c[nH+]cn1Cc1ccccc1)c1ccccc1 ZINC000445807016 1124101933 /nfs/dbraw/zinc/10/19/33/1124101933.db2.gz DAWHHVFOUCFKOV-UHFFFAOYSA-N 1 2 291.398 3.958 20 0 CHADLO CCC[N@H+](Cc1nnc(C)[nH]1)[C@H](C)c1cc2ccccc2o1 ZINC000428447651 1124116160 /nfs/dbraw/zinc/11/61/60/1124116160.db2.gz PECLRAPHNBMAEM-GFCCVEGCSA-N 1 2 298.390 3.833 20 0 CHADLO CCC[N@@H+](Cc1nnc(C)[nH]1)[C@H](C)c1cc2ccccc2o1 ZINC000428447651 1124116161 /nfs/dbraw/zinc/11/61/61/1124116161.db2.gz PECLRAPHNBMAEM-GFCCVEGCSA-N 1 2 298.390 3.833 20 0 CHADLO c1ccc(N2CCC(OCC3CCCCC3)CC2)[nH+]c1 ZINC000525191574 1124117323 /nfs/dbraw/zinc/11/73/23/1124117323.db2.gz HJDGWIXBWQICFU-UHFFFAOYSA-N 1 2 274.408 3.647 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(F)c2)[C@@H](c2ccccc2)CO1 ZINC000525268447 1124120792 /nfs/dbraw/zinc/12/07/92/1124120792.db2.gz YSKFYZZRVGBATO-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(F)c2)[C@@H](c2ccccc2)CO1 ZINC000525268447 1124120793 /nfs/dbraw/zinc/12/07/93/1124120793.db2.gz YSKFYZZRVGBATO-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO CC(C)(C)CCCNc1cc(N2CCCCC2)nc[nH+]1 ZINC000525358639 1124124459 /nfs/dbraw/zinc/12/44/59/1124124459.db2.gz HMUKENBTHDYQMC-UHFFFAOYSA-N 1 2 276.428 3.705 20 0 CHADLO CC(C)(C)CCCNc1cc(N2CCCCC2)[nH+]cn1 ZINC000525358639 1124124460 /nfs/dbraw/zinc/12/44/60/1124124460.db2.gz HMUKENBTHDYQMC-UHFFFAOYSA-N 1 2 276.428 3.705 20 0 CHADLO CC(C)[C@@H]1C[N@H+](Cc2cc(C(C)(C)C)on2)CCS1 ZINC000429698630 1124134041 /nfs/dbraw/zinc/13/40/41/1124134041.db2.gz OFYZVRGAKXRIJW-ZDUSSCGKSA-N 1 2 282.453 3.546 20 0 CHADLO CC(C)[C@@H]1C[N@@H+](Cc2cc(C(C)(C)C)on2)CCS1 ZINC000429698630 1124134042 /nfs/dbraw/zinc/13/40/42/1124134042.db2.gz OFYZVRGAKXRIJW-ZDUSSCGKSA-N 1 2 282.453 3.546 20 0 CHADLO CCc1nc(C)c(C[N@H+](C)[C@H](C)c2ccccc2F)o1 ZINC000428470260 1124134372 /nfs/dbraw/zinc/13/43/72/1124134372.db2.gz ZMAHUNZKLHFJMT-GFCCVEGCSA-N 1 2 276.355 3.878 20 0 CHADLO CCc1nc(C)c(C[N@@H+](C)[C@H](C)c2ccccc2F)o1 ZINC000428470260 1124134373 /nfs/dbraw/zinc/13/43/73/1124134373.db2.gz ZMAHUNZKLHFJMT-GFCCVEGCSA-N 1 2 276.355 3.878 20 0 CHADLO CC(C)C[C@@H](C(=O)Nc1ccc(Cl)cc1)n1cc[nH+]c1 ZINC000635064065 1129171856 /nfs/dbraw/zinc/17/18/56/1129171856.db2.gz PHDBBFNOVIUCNA-AWEZNQCLSA-N 1 2 291.782 3.762 20 0 CHADLO Cc1cc(C[NH2+][C@H](C(F)F)C2CCCCC2)ccn1 ZINC000621400396 1129172862 /nfs/dbraw/zinc/17/28/62/1129172862.db2.gz GNICJQAQZFBOMX-AWEZNQCLSA-N 1 2 268.351 3.694 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)cc1F ZINC000635090422 1129172921 /nfs/dbraw/zinc/17/29/21/1129172921.db2.gz VUHHIONAYUDBCE-OAHLLOKOSA-N 1 2 289.354 3.557 20 0 CHADLO O[C@@H](C[NH2+][C@H]1CCCC1(F)F)c1cccc2ccccc21 ZINC000819313265 1131244538 /nfs/dbraw/zinc/24/45/38/1131244538.db2.gz CHORYXCEDCGCMU-HOTGVXAUSA-N 1 2 291.341 3.651 20 0 CHADLO O[C@@H](C[NH2+][C@@H]1CCCC1(F)F)c1cccc2ccccc21 ZINC000819313266 1131244768 /nfs/dbraw/zinc/24/47/68/1131244768.db2.gz CHORYXCEDCGCMU-JKSUJKDBSA-N 1 2 291.341 3.651 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001238781265 1131246172 /nfs/dbraw/zinc/24/61/72/1131246172.db2.gz OYRZZQWSLPJIFA-MWLCHTKSSA-N 1 2 259.727 3.720 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001238781265 1131246177 /nfs/dbraw/zinc/24/61/77/1131246177.db2.gz OYRZZQWSLPJIFA-MWLCHTKSSA-N 1 2 259.727 3.720 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+]1CCC[C@@H]1CF ZINC001238786344 1131248073 /nfs/dbraw/zinc/24/80/73/1131248073.db2.gz DXUVJZHRHKOYAA-LLVKDONJSA-N 1 2 259.727 3.721 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+]1CCC[C@@H]1CF ZINC001238786344 1131248077 /nfs/dbraw/zinc/24/80/77/1131248077.db2.gz DXUVJZHRHKOYAA-LLVKDONJSA-N 1 2 259.727 3.721 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]Cc1nc2ccccc2[nH]1 ZINC001119349842 1131252938 /nfs/dbraw/zinc/25/29/38/1131252938.db2.gz OEAGYGYYMINELN-LLVKDONJSA-N 1 2 299.349 3.561 20 0 CHADLO Cc1ccccc1[C@H]1C[N@H+](Cc2ccccc2F)CCO1 ZINC000525639433 1124453696 /nfs/dbraw/zinc/45/36/96/1124453696.db2.gz IQYWOZAZSVWFAN-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccccc1[C@H]1C[N@@H+](Cc2ccccc2F)CCO1 ZINC000525639433 1124453697 /nfs/dbraw/zinc/45/36/97/1124453697.db2.gz IQYWOZAZSVWFAN-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO CC(=O)c1ccccc1OCC[N@@H+]1Cc2ccc(C)cc2C1 ZINC000361600240 1124478700 /nfs/dbraw/zinc/47/87/00/1124478700.db2.gz FURJEFSPGIJIGT-UHFFFAOYSA-N 1 2 295.382 3.592 20 0 CHADLO CC(=O)c1ccccc1OCC[N@H+]1Cc2ccc(C)cc2C1 ZINC000361600240 1124478704 /nfs/dbraw/zinc/47/87/04/1124478704.db2.gz FURJEFSPGIJIGT-UHFFFAOYSA-N 1 2 295.382 3.592 20 0 CHADLO COC(=[NH2+])c1cccc(-c2cc3ccccc3[nH]c2=O)c1 ZINC001238882973 1131264447 /nfs/dbraw/zinc/26/44/47/1131264447.db2.gz VSFVWDWEQFFICP-UHFFFAOYSA-N 1 2 278.311 3.579 20 0 CHADLO Cc1cn(C)nc1C[NH2+]C(C)(C)c1cccc(Cl)c1F ZINC001119819722 1131265047 /nfs/dbraw/zinc/26/50/47/1131265047.db2.gz VCUPBFJALKGIDN-UHFFFAOYSA-N 1 2 295.789 3.546 20 0 CHADLO C[C@@H]1C[N@H+](CCCc2c(F)cccc2Cl)[C@@H](C)CO1 ZINC000447733795 1124515789 /nfs/dbraw/zinc/51/57/89/1124515789.db2.gz QZQREHDCCHZNES-NWDGAFQWSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@@H]1C[N@@H+](CCCc2c(F)cccc2Cl)[C@@H](C)CO1 ZINC000447733795 1124515793 /nfs/dbraw/zinc/51/57/93/1124515793.db2.gz QZQREHDCCHZNES-NWDGAFQWSA-N 1 2 285.790 3.521 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)[C@H](F)CC1CCCCC1 ZINC000795123741 1124566253 /nfs/dbraw/zinc/56/62/53/1124566253.db2.gz BWPVXKZKDQQDBA-CYBMUJFWSA-N 1 2 289.354 3.581 20 0 CHADLO C[C@@H](O)[C@H]1CCCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000449017148 1124629485 /nfs/dbraw/zinc/62/94/85/1124629485.db2.gz JGKOAAIZJVHUGG-PSASIEDQSA-N 1 2 294.247 3.790 20 0 CHADLO Clc1cccc(NCc2ccccc2-n2cc[nH+]c2)n1 ZINC000192802301 1124600916 /nfs/dbraw/zinc/60/09/16/1124600916.db2.gz LHFGEIHIMJMFSN-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117596444 1124619011 /nfs/dbraw/zinc/61/90/11/1124619011.db2.gz RSVLPVVEFAVGMN-PBHICJAKSA-N 1 2 297.402 3.523 20 0 CHADLO C[C@@H](O)[C@H]1CCCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000449017148 1124629482 /nfs/dbraw/zinc/62/94/82/1124629482.db2.gz JGKOAAIZJVHUGG-PSASIEDQSA-N 1 2 294.247 3.790 20 0 CHADLO Cc1conc1CSCc1ccc(-n2cc[nH+]c2)cc1C ZINC000871703000 1124630398 /nfs/dbraw/zinc/63/03/98/1124630398.db2.gz ZVEFNWAZWAWJJE-UHFFFAOYSA-N 1 2 299.399 3.911 20 0 CHADLO Fc1cccc(C[N@H+](Cc2ccncc2F)C2CC2)c1 ZINC000449011967 1124633288 /nfs/dbraw/zinc/63/32/88/1124633288.db2.gz WWCADMJENCFBHO-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2ccncc2F)C2CC2)c1 ZINC000449011967 1124633291 /nfs/dbraw/zinc/63/32/91/1124633291.db2.gz WWCADMJENCFBHO-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO FC(F)(F)c1ccccc1CNc1cccc[nH+]1 ZINC000019776973 1124649106 /nfs/dbraw/zinc/64/91/06/1124649106.db2.gz BUBMPWFACVIUSU-UHFFFAOYSA-N 1 2 252.239 3.713 20 0 CHADLO NC(=[NH+]OCc1ccccc1C(F)(F)F)c1ccccc1 ZINC000795197089 1124658472 /nfs/dbraw/zinc/65/84/72/1124658472.db2.gz LBQJTUBKRQKKRR-UHFFFAOYSA-N 1 2 294.276 3.752 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@H](C)c1cccc(O)c1F ZINC000872034451 1124661553 /nfs/dbraw/zinc/66/15/53/1124661553.db2.gz JIBBSONZKFXDDU-BDAKNGLRSA-N 1 2 278.327 3.548 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@@H](C)c1cc(O)ccc1F)CO2 ZINC000872048811 1124665818 /nfs/dbraw/zinc/66/58/18/1124665818.db2.gz MFRDAMOLUFSBGB-MEDUHNTESA-N 1 2 287.334 3.624 20 0 CHADLO C/C(Cl)=C/C[N@@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000528442323 1124667129 /nfs/dbraw/zinc/66/71/29/1124667129.db2.gz XXHGOJKRQLTOSC-BAQGIRSFSA-N 1 2 263.690 3.678 20 0 CHADLO C/C(Cl)=C/C[N@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000528442323 1124667130 /nfs/dbraw/zinc/66/71/30/1124667130.db2.gz XXHGOJKRQLTOSC-BAQGIRSFSA-N 1 2 263.690 3.678 20 0 CHADLO COCC[C@H]([NH2+][C@@H](C)c1cc(O)ccc1F)c1ccco1 ZINC000872059502 1124670023 /nfs/dbraw/zinc/67/00/23/1124670023.db2.gz GGTCAEFMQCHULZ-NHYWBVRUSA-N 1 2 293.338 3.553 20 0 CHADLO CSc1cc(-c2nc3[nH]c(C)cc3c[nH+]2)ccc1F ZINC001238951475 1131276309 /nfs/dbraw/zinc/27/63/09/1131276309.db2.gz QGOQYFUXQXFXEY-UHFFFAOYSA-N 1 2 273.336 3.746 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000528517744 1124681966 /nfs/dbraw/zinc/68/19/66/1124681966.db2.gz IAKZLOVEBALWOY-HQIZRNBFSA-N 1 2 251.757 3.949 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000528517744 1124681968 /nfs/dbraw/zinc/68/19/68/1124681968.db2.gz IAKZLOVEBALWOY-HQIZRNBFSA-N 1 2 251.757 3.949 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc2ccoc21)c1nc(C(C)(C)C)no1 ZINC000449279123 1124682953 /nfs/dbraw/zinc/68/29/53/1124682953.db2.gz OCEGMPRKTFRSEE-LLVKDONJSA-N 1 2 299.374 3.964 20 0 CHADLO c1cc(C[N@H+](Cc2cccnc2)C2CC2)n(C2CCCC2)n1 ZINC000449329577 1124690618 /nfs/dbraw/zinc/69/06/18/1124690618.db2.gz IULYEKWVDDPZQO-UHFFFAOYSA-N 1 2 296.418 3.558 20 0 CHADLO c1cc(C[N@@H+](Cc2cccnc2)C2CC2)n(C2CCCC2)n1 ZINC000449329577 1124690623 /nfs/dbraw/zinc/69/06/23/1124690623.db2.gz IULYEKWVDDPZQO-UHFFFAOYSA-N 1 2 296.418 3.558 20 0 CHADLO C[C@H](Nc1ccc(Cn2cc[nH+]c2)cn1)[C@H]1CCCC[C@H]1C ZINC000449320733 1124693478 /nfs/dbraw/zinc/69/34/78/1124693478.db2.gz PAIKQVBPHOOPDE-VYDXJSESSA-N 1 2 298.434 3.953 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2conc2Cc2ccccc2)C1 ZINC000449350243 1124697087 /nfs/dbraw/zinc/69/70/87/1124697087.db2.gz LLWLJMFYCJPQNC-QGZVFWFLSA-N 1 2 288.366 3.589 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2conc2Cc2ccccc2)C1 ZINC000449350243 1124697089 /nfs/dbraw/zinc/69/70/89/1124697089.db2.gz LLWLJMFYCJPQNC-QGZVFWFLSA-N 1 2 288.366 3.589 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCC[C@](C)(F)C2)s1 ZINC000449352576 1124697858 /nfs/dbraw/zinc/69/78/58/1124697858.db2.gz VLOJLUGSGUQGSW-ZDUSSCGKSA-N 1 2 256.390 3.591 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCC[C@](C)(F)C2)s1 ZINC000449352576 1124697862 /nfs/dbraw/zinc/69/78/62/1124697862.db2.gz VLOJLUGSGUQGSW-ZDUSSCGKSA-N 1 2 256.390 3.591 20 0 CHADLO Cc1ccc(-c2n[nH]cc2CNc2ccc([NH+](C)C)cc2)o1 ZINC000124208904 1124725319 /nfs/dbraw/zinc/72/53/19/1124725319.db2.gz KJVGDRIKMXYDOG-UHFFFAOYSA-N 1 2 296.374 3.656 20 0 CHADLO CCOc1cccc(F)c1C[NH2+]Cc1ccc(C)c(C)n1 ZINC000873130542 1124739991 /nfs/dbraw/zinc/73/99/91/1124739991.db2.gz HFCPYIZMBFSEQE-UHFFFAOYSA-N 1 2 288.366 3.526 20 0 CHADLO CCc1cccc(F)c1C[NH2+]Cc1ncc(Cl)s1 ZINC000873166061 1124744200 /nfs/dbraw/zinc/74/42/00/1124744200.db2.gz SOVCAIJZKXKLIL-UHFFFAOYSA-N 1 2 284.787 3.788 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)C[C@H]2C)cs1 ZINC000449459703 1124747673 /nfs/dbraw/zinc/74/76/73/1124747673.db2.gz LEYLLOGZBIIZHJ-NXEZZACHSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@@H](C(F)(F)F)C[C@H]2C)cs1 ZINC000449459703 1124747679 /nfs/dbraw/zinc/74/76/79/1124747679.db2.gz LEYLLOGZBIIZHJ-NXEZZACHSA-N 1 2 292.370 3.868 20 0 CHADLO Cc1cc(N[C@@H](C)c2ncccc2C)nc(C2CCC2)[nH+]1 ZINC001120978727 1131287151 /nfs/dbraw/zinc/28/71/51/1131287151.db2.gz NEXXNGRFSZLHIY-ZDUSSCGKSA-N 1 2 282.391 3.929 20 0 CHADLO CCCC[C@](C)(F)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000820737972 1131300870 /nfs/dbraw/zinc/30/08/70/1131300870.db2.gz OWFNPNJIXJAIJN-INIZCTEOSA-N 1 2 289.354 3.729 20 0 CHADLO CCc1nocc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000449641786 1124827984 /nfs/dbraw/zinc/82/79/84/1124827984.db2.gz VTAFLUQCLAGYCU-HNNXBMFYSA-N 1 2 272.348 3.557 20 0 CHADLO CCc1nocc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000449641786 1124827988 /nfs/dbraw/zinc/82/79/88/1124827988.db2.gz VTAFLUQCLAGYCU-HNNXBMFYSA-N 1 2 272.348 3.557 20 0 CHADLO c1cn(CCSc2nc(-c3ccccc3)cs2)c[nH+]1 ZINC000124986270 1124832441 /nfs/dbraw/zinc/83/24/41/1124832441.db2.gz IYWDVHRLLONDST-UHFFFAOYSA-N 1 2 287.413 3.799 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C(C)C)C3CCCCC3)ccn12 ZINC000888646787 1124842636 /nfs/dbraw/zinc/84/26/36/1124842636.db2.gz PODDUGXOEACGGJ-UHFFFAOYSA-N 1 2 299.418 3.826 20 0 CHADLO CCCC1(CCC)CCCN1C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000874627790 1124845667 /nfs/dbraw/zinc/84/56/67/1124845667.db2.gz KHBBHHITZRHQIX-CQSZACIVSA-N 1 2 291.439 3.550 20 0 CHADLO CCCC1(CCC)CCCN1C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000874627790 1124845672 /nfs/dbraw/zinc/84/56/72/1124845672.db2.gz KHBBHHITZRHQIX-CQSZACIVSA-N 1 2 291.439 3.550 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000450064224 1124923646 /nfs/dbraw/zinc/92/36/46/1124923646.db2.gz XKXGISAUSKGHRI-OAHLLOKOSA-N 1 2 291.439 3.578 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000450064224 1124923652 /nfs/dbraw/zinc/92/36/52/1124923652.db2.gz XKXGISAUSKGHRI-OAHLLOKOSA-N 1 2 291.439 3.578 20 0 CHADLO CC(C)[N@H+](Cc1nnc(C2CC2)s1)Cc1ccccc1 ZINC000450257344 1124956986 /nfs/dbraw/zinc/95/69/86/1124956986.db2.gz KZLVYHGAOQHQNH-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CC(C)[N@@H+](Cc1nnc(C2CC2)s1)Cc1ccccc1 ZINC000450257344 1124956991 /nfs/dbraw/zinc/95/69/91/1124956991.db2.gz KZLVYHGAOQHQNH-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1nc(Cl)cs1 ZINC000876532793 1124958638 /nfs/dbraw/zinc/95/86/38/1124958638.db2.gz BDPGXODFBNVFHR-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1nc(Cl)cs1 ZINC000876532793 1124958643 /nfs/dbraw/zinc/95/86/43/1124958643.db2.gz BDPGXODFBNVFHR-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Clc1ccc(C[N@@H+]2CCCCC[C@H]2c2ccco2)nn1 ZINC000876742382 1124974540 /nfs/dbraw/zinc/97/45/40/1124974540.db2.gz XMFYKBOEYHSENZ-ZDUSSCGKSA-N 1 2 291.782 3.840 20 0 CHADLO Clc1ccc(C[N@H+]2CCCCC[C@H]2c2ccco2)nn1 ZINC000876742382 1124974546 /nfs/dbraw/zinc/97/45/46/1124974546.db2.gz XMFYKBOEYHSENZ-ZDUSSCGKSA-N 1 2 291.782 3.840 20 0 CHADLO O=C(c1cccc(-c2cccn3cc[nH+]c23)c1)C(F)(F)F ZINC001239380221 1131322599 /nfs/dbraw/zinc/32/25/99/1131322599.db2.gz IYBQVWOIHWWCEB-UHFFFAOYSA-N 1 2 290.244 3.746 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]C/C(Cl)=C/Cl ZINC000126144786 1124975237 /nfs/dbraw/zinc/97/52/37/1124975237.db2.gz IIZYTHVQKPVOIO-NTMALXAHSA-N 1 2 274.191 3.839 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc3cc[nH]c3c2)cs1 ZINC000529526704 1124981649 /nfs/dbraw/zinc/98/16/49/1124981649.db2.gz XNBHSMMZEQKHPX-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc3cc[nH]c3c2)cs1 ZINC000529526704 1124981652 /nfs/dbraw/zinc/98/16/52/1124981652.db2.gz XNBHSMMZEQKHPX-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3nc(Cl)cs3)CC2)cc1 ZINC000877022738 1124999708 /nfs/dbraw/zinc/99/97/08/1124999708.db2.gz RJPLBYPMKWMDKL-UHFFFAOYSA-N 1 2 282.771 3.715 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2[C@H](C)c2csnn2)s1 ZINC000450409464 1125010196 /nfs/dbraw/zinc/01/01/96/1125010196.db2.gz LMMOWEYZKYKJTO-ZYHUDNBSSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2[C@H](C)c2csnn2)s1 ZINC000450409464 1125010206 /nfs/dbraw/zinc/01/02/06/1125010206.db2.gz LMMOWEYZKYKJTO-ZYHUDNBSSA-N 1 2 279.434 3.806 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2nc3ccccc3o2)C1 ZINC000302737812 1125026401 /nfs/dbraw/zinc/02/64/01/1125026401.db2.gz GYRVJIJSNOXTFX-JTQLQIEISA-N 1 2 284.281 3.602 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2nc3ccccc3o2)C1 ZINC000302737812 1125026408 /nfs/dbraw/zinc/02/64/08/1125026408.db2.gz GYRVJIJSNOXTFX-JTQLQIEISA-N 1 2 284.281 3.602 20 0 CHADLO Fc1cccc2c1OCC[C@H]2[NH2+]Cc1nc(Cl)cs1 ZINC000877462864 1125028960 /nfs/dbraw/zinc/02/89/60/1125028960.db2.gz YMEXIZYCQGZWMZ-SNVBAGLBSA-N 1 2 298.770 3.549 20 0 CHADLO Fc1cccc(C[N@@H+]2CCc3ccccc3C2)c1F ZINC000302837641 1125036509 /nfs/dbraw/zinc/03/65/09/1125036509.db2.gz NRJVIMVYOVXSQG-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1cccc(C[N@H+]2CCc3ccccc3C2)c1F ZINC000302837641 1125036516 /nfs/dbraw/zinc/03/65/16/1125036516.db2.gz NRJVIMVYOVXSQG-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO CC(C)[C@H]1[N@H+](Cc2nc(Cl)cs2)CC12CCC2 ZINC000877545509 1125042581 /nfs/dbraw/zinc/04/25/81/1125042581.db2.gz JUJZVWPWNSZTBA-GFCCVEGCSA-N 1 2 270.829 3.807 20 0 CHADLO CC(C)[C@H]1[N@@H+](Cc2nc(Cl)cs2)CC12CCC2 ZINC000877545509 1125042587 /nfs/dbraw/zinc/04/25/87/1125042587.db2.gz JUJZVWPWNSZTBA-GFCCVEGCSA-N 1 2 270.829 3.807 20 0 CHADLO Cc1cnc(C[NH2+]Cc2cn(C(F)F)c3ccccc23)o1 ZINC000877658299 1125058789 /nfs/dbraw/zinc/05/87/89/1125058789.db2.gz WKJFJFSEZQRAGX-UHFFFAOYSA-N 1 2 291.301 3.623 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cc(C(F)(F)F)co1 ZINC000877906968 1125100332 /nfs/dbraw/zinc/10/03/32/1125100332.db2.gz ZVFKSCIFFVLDGR-UHFFFAOYSA-N 1 2 259.227 3.523 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cc(C(F)(F)F)co1 ZINC000877906968 1125100333 /nfs/dbraw/zinc/10/03/33/1125100333.db2.gz ZVFKSCIFFVLDGR-UHFFFAOYSA-N 1 2 259.227 3.523 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)[C@@H](C)[NH+]1C2CCC1CC2 ZINC000877950713 1125105526 /nfs/dbraw/zinc/10/55/26/1125105526.db2.gz DOXAMVGTARLQBK-PNESKVBLSA-N 1 2 292.810 3.602 20 0 CHADLO Cc1conc1C[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000877977334 1125108614 /nfs/dbraw/zinc/10/86/14/1125108614.db2.gz WWOVDMMSVDXUTP-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1conc1C[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000877977334 1125108618 /nfs/dbraw/zinc/10/86/18/1125108618.db2.gz WWOVDMMSVDXUTP-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO CCC(F)(F)C[N@H+]1CCC[C@H]1C[C@@H](O)c1cccs1 ZINC000878174920 1125127387 /nfs/dbraw/zinc/12/73/87/1125127387.db2.gz VKRZGAYSSSIFNL-NWDGAFQWSA-N 1 2 289.391 3.681 20 0 CHADLO CCC(F)(F)C[N@@H+]1CCC[C@H]1C[C@@H](O)c1cccs1 ZINC000878174920 1125127384 /nfs/dbraw/zinc/12/73/84/1125127384.db2.gz VKRZGAYSSSIFNL-NWDGAFQWSA-N 1 2 289.391 3.681 20 0 CHADLO Cc1conc1C[N@@H+]1CCCC[C@@H]1c1ccc(F)cc1 ZINC000878166540 1125127717 /nfs/dbraw/zinc/12/77/17/1125127717.db2.gz XTLJJCNKDUWHAG-MRXNPFEDSA-N 1 2 274.339 3.849 20 0 CHADLO Cc1conc1C[N@H+]1CCCC[C@@H]1c1ccc(F)cc1 ZINC000878166540 1125127721 /nfs/dbraw/zinc/12/77/21/1125127721.db2.gz XTLJJCNKDUWHAG-MRXNPFEDSA-N 1 2 274.339 3.849 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+][C@@H](C)c1nnc(C)s1 ZINC000391781365 1125131629 /nfs/dbraw/zinc/13/16/29/1125131629.db2.gz OOHLITALUGEZAZ-QWRGUYRKSA-N 1 2 291.420 3.575 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C1CC1)[C@@H]1CCCc2ccccc21 ZINC000121525300 1125131850 /nfs/dbraw/zinc/13/18/50/1125131850.db2.gz CFHUDWHWXZNPJD-GOSISDBHSA-N 1 2 281.403 3.760 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C1CC1)[C@@H]1CCCc2ccccc21 ZINC000121525300 1125131854 /nfs/dbraw/zinc/13/18/54/1125131854.db2.gz CFHUDWHWXZNPJD-GOSISDBHSA-N 1 2 281.403 3.760 20 0 CHADLO FC(F)(F)c1coc(C[N@H+]2CC[C@H](C(F)(F)F)C2)c1 ZINC000878315138 1125140536 /nfs/dbraw/zinc/14/05/36/1125140536.db2.gz GWEKYBAAWGTVPJ-ZETCQYMHSA-N 1 2 287.203 3.683 20 0 CHADLO FC(F)(F)c1coc(C[N@@H+]2CC[C@H](C(F)(F)F)C2)c1 ZINC000878315138 1125140538 /nfs/dbraw/zinc/14/05/38/1125140538.db2.gz GWEKYBAAWGTVPJ-ZETCQYMHSA-N 1 2 287.203 3.683 20 0 CHADLO Fc1cccc(CNc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000121828192 1125157490 /nfs/dbraw/zinc/15/74/90/1125157490.db2.gz KYMABLGVDGXOLC-UHFFFAOYSA-N 1 2 267.307 3.624 20 0 CHADLO Cc1cn2cc(NC(=O)CC(C)(C)CC(F)F)ccc2[nH+]1 ZINC000878639942 1125169549 /nfs/dbraw/zinc/16/95/49/1125169549.db2.gz ADXWXMBTFYQAJX-UHFFFAOYSA-N 1 2 295.333 3.653 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](C[C@@H]1CCCC(C)(C)O1)C2 ZINC000878709401 1125173250 /nfs/dbraw/zinc/17/32/50/1125173250.db2.gz MATLNNHSWJRPML-KRWDZBQOSA-N 1 2 287.403 3.553 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](C[C@@H]1CCCC(C)(C)O1)C2 ZINC000878709401 1125173252 /nfs/dbraw/zinc/17/32/52/1125173252.db2.gz MATLNNHSWJRPML-KRWDZBQOSA-N 1 2 287.403 3.553 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCC[C@@H](c2cccnc2)C1 ZINC000878752920 1125176953 /nfs/dbraw/zinc/17/69/53/1125176953.db2.gz DAZVCIZAPMHCSG-BFTHVDOESA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCC[C@@H](c2cccnc2)C1 ZINC000878752920 1125176955 /nfs/dbraw/zinc/17/69/55/1125176955.db2.gz DAZVCIZAPMHCSG-BFTHVDOESA-N 1 2 271.191 3.580 20 0 CHADLO CSC1CC[NH+](Cc2cc(Cl)nc(Cl)c2)CC1 ZINC000879097697 1125196079 /nfs/dbraw/zinc/19/60/79/1125196079.db2.gz HXERRAHENMBKOS-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO Clc1ccc(C[NH2+][C@H]2COc3ccc(Cl)cc32)o1 ZINC000531630439 1125226194 /nfs/dbraw/zinc/22/61/94/1125226194.db2.gz AOHUWCSGBPBSFG-NSHDSACASA-N 1 2 284.142 3.810 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C(C)(C)C)on1)c1cc2cnccc2o1 ZINC000879714884 1125226776 /nfs/dbraw/zinc/22/67/76/1125226776.db2.gz KIBHNIRESXFLCM-LLVKDONJSA-N 1 2 299.374 3.964 20 0 CHADLO C[C@H]([NH2+]C/C(Cl)=C/Cl)c1cc2cnccc2o1 ZINC000879713855 1125226836 /nfs/dbraw/zinc/22/68/36/1125226836.db2.gz CQWBVRVFSXZIBF-AMAGOZFHSA-N 1 2 271.147 3.797 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)Cc2ccc3cc[nH]c3c2)n1 ZINC000879727085 1125228357 /nfs/dbraw/zinc/22/83/57/1125228357.db2.gz XHWGDBZHCHQGOF-UHFFFAOYSA-N 1 2 282.391 3.577 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)Cc2ccc3cc[nH]c3c2)n1 ZINC000879727085 1125228359 /nfs/dbraw/zinc/22/83/59/1125228359.db2.gz XHWGDBZHCHQGOF-UHFFFAOYSA-N 1 2 282.391 3.577 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N2CCCC2)cc(C)c1O ZINC001211909197 1125228723 /nfs/dbraw/zinc/22/87/23/1125228723.db2.gz WTNXVBMFEHWECV-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(N)ccc2Br)c1 ZINC001159926124 1131341089 /nfs/dbraw/zinc/34/10/89/1131341089.db2.gz BRCRVKKGVUBNLN-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO c1ccc(C2(Nc3cc(-c4ccncc4)cc[nH+]3)CC2)nc1 ZINC001168146540 1125262329 /nfs/dbraw/zinc/26/23/29/1125262329.db2.gz NQZXKASHLHDLRU-UHFFFAOYSA-N 1 2 288.354 3.640 20 0 CHADLO c1cnc(C2([NH2+]Cc3ccccc3C3CC3)CCC2)nc1 ZINC000880367947 1125267812 /nfs/dbraw/zinc/26/78/12/1125267812.db2.gz NSUQMRYDNZKURX-UHFFFAOYSA-N 1 2 279.387 3.523 20 0 CHADLO CC(C)COc1cc(NC2(c3ccccn3)CC2)cc[nH+]1 ZINC001168151246 1125269165 /nfs/dbraw/zinc/26/91/65/1125269165.db2.gz KTJUUTCJDQEVIT-UHFFFAOYSA-N 1 2 283.375 3.613 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1ncc(-c2ccccc2)o1 ZINC000102409246 1125277320 /nfs/dbraw/zinc/27/73/20/1125277320.db2.gz ZVAXJRWEOSCSGK-UHFFFAOYSA-N 1 2 282.343 3.957 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1ncc(-c2ccccc2)o1 ZINC000102409246 1125277325 /nfs/dbraw/zinc/27/73/25/1125277325.db2.gz ZVAXJRWEOSCSGK-UHFFFAOYSA-N 1 2 282.343 3.957 20 0 CHADLO Cc1ncc(C[NH2+][C@@H]2COc3c2ccc(Cl)c3Cl)o1 ZINC000666167115 1125304652 /nfs/dbraw/zinc/30/46/52/1125304652.db2.gz KBJWVGZVGOKTNL-LLVKDONJSA-N 1 2 299.157 3.513 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)nc2)cn1 ZINC001137168433 1125328661 /nfs/dbraw/zinc/32/86/61/1125328661.db2.gz AYRLTOMIXDEKJG-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ccc(Cl)nc2)cn1 ZINC001137168433 1125328666 /nfs/dbraw/zinc/32/86/66/1125328666.db2.gz AYRLTOMIXDEKJG-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CC(C)c1cccc2c1CC[N@H+](Cc1nccs1)C2 ZINC000191895852 1125328859 /nfs/dbraw/zinc/32/88/59/1125328859.db2.gz OMVGUJNNMYVHBJ-UHFFFAOYSA-N 1 2 272.417 3.825 20 0 CHADLO CC(C)c1cccc2c1CC[N@@H+](Cc1nccs1)C2 ZINC000191895852 1125328864 /nfs/dbraw/zinc/32/88/64/1125328864.db2.gz OMVGUJNNMYVHBJ-UHFFFAOYSA-N 1 2 272.417 3.825 20 0 CHADLO CC(C)[N@H+](Cc1cccc(Cl)c1)Cc1ncccn1 ZINC000191943389 1125329493 /nfs/dbraw/zinc/32/94/93/1125329493.db2.gz QCWSUDWDRARVPW-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO CC(C)[N@@H+](Cc1cccc(Cl)c1)Cc1ncccn1 ZINC000191943389 1125329498 /nfs/dbraw/zinc/32/94/98/1125329498.db2.gz QCWSUDWDRARVPW-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1cc(OC)ccc1Cl ZINC000192068883 1125330528 /nfs/dbraw/zinc/33/05/28/1125330528.db2.gz XCCDQTGIEPTGTM-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1cc(OC)ccc1Cl ZINC000192068883 1125330531 /nfs/dbraw/zinc/33/05/31/1125330531.db2.gz XCCDQTGIEPTGTM-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO Fc1ccc(CNc2cc[nH+]cc2F)c(Cl)c1 ZINC000192149051 1125331328 /nfs/dbraw/zinc/33/13/28/1125331328.db2.gz LCRJQQAOCJFIRG-UHFFFAOYSA-N 1 2 254.667 3.625 20 0 CHADLO C[C@@H](Nc1cc(CO)cc[nH+]1)c1ccccc1C(F)(F)F ZINC000882018790 1125332195 /nfs/dbraw/zinc/33/21/95/1125332195.db2.gz GNQPDQOMGSOMIB-SNVBAGLBSA-N 1 2 296.292 3.766 20 0 CHADLO CC(C)(C)c1cn(C[C@@H]2CCCC(C)(C)O2)c[nH+]1 ZINC000882280853 1125342415 /nfs/dbraw/zinc/34/24/15/1125342415.db2.gz MGYYRKYYZCYGHS-LBPRGKRZSA-N 1 2 250.386 3.528 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1nc(C(C)C)c[nH]1 ZINC000883148239 1125373856 /nfs/dbraw/zinc/37/38/56/1125373856.db2.gz ZTYAASOJMUXGEO-UHFFFAOYSA-N 1 2 283.379 3.515 20 0 CHADLO CC[C@H]([NH2+]Cc1ccon1)c1sccc1Cl ZINC000883194689 1125375710 /nfs/dbraw/zinc/37/57/10/1125375710.db2.gz ANVBFDYZDOKLKL-JTQLQIEISA-N 1 2 256.758 3.630 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1cn(C2CC2)cn1 ZINC000883207368 1125378028 /nfs/dbraw/zinc/37/80/28/1125378028.db2.gz LQEKLPYXZMQZJX-UHFFFAOYSA-N 1 2 295.390 3.590 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1ccnc(F)c1 ZINC000883206850 1125378060 /nfs/dbraw/zinc/37/80/60/1125378060.db2.gz AWBJZSKZKRIZHL-UHFFFAOYSA-N 1 2 284.338 3.593 20 0 CHADLO C[C@H]([NH2+]C1(c2ncccn2)CCC1)c1ccc(Cl)cc1 ZINC000883306634 1125393042 /nfs/dbraw/zinc/39/30/42/1125393042.db2.gz ZXHKZCKNRMYTAT-LBPRGKRZSA-N 1 2 287.794 3.860 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3ncccn3)CCC2)cc(C)c1F ZINC000883313823 1125393852 /nfs/dbraw/zinc/39/38/52/1125393852.db2.gz NMWGSGIFCLCZTN-AWEZNQCLSA-N 1 2 299.393 3.963 20 0 CHADLO Cc1ccccc1NC(=O)C[C@@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC000883340857 1125397530 /nfs/dbraw/zinc/39/75/30/1125397530.db2.gz AQMZEHNTNLHUHO-CQSZACIVSA-N 1 2 297.402 3.836 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc3c(c2)CCC(=O)N3)c[nH+]c1C ZINC000883340924 1125397780 /nfs/dbraw/zinc/39/77/80/1125397780.db2.gz CVCAZDRZKXMHFI-CYBMUJFWSA-N 1 2 295.386 3.756 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1CNc1c[nH+]c(C)c(C)c1 ZINC000883341624 1125398287 /nfs/dbraw/zinc/39/82/87/1125398287.db2.gz VSTQRJLSDMZTEB-UHFFFAOYSA-N 1 2 286.423 3.879 20 0 CHADLO Cc1c([C@H](C)Nc2c[nH+]c(C)c(C)c2)cnn1C(C)C ZINC000883343043 1125398311 /nfs/dbraw/zinc/39/83/11/1125398311.db2.gz XUVPSNUQKPNPDA-ZDUSSCGKSA-N 1 2 272.396 3.957 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(C(=O)N(C)C)cc2)c[nH+]c1C ZINC000883342767 1125398542 /nfs/dbraw/zinc/39/85/42/1125398542.db2.gz LJPWCTROJUNQND-AWEZNQCLSA-N 1 2 297.402 3.573 20 0 CHADLO COc1ccc([C@H](C)Nc2c[nH+]c(C)c(C)c2)cc1O ZINC000883343045 1125398563 /nfs/dbraw/zinc/39/85/63/1125398563.db2.gz XXWNHHFCNVRCFR-LBPRGKRZSA-N 1 2 272.348 3.586 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccncc2Cl)c[nH+]c1C ZINC000883342805 1125398771 /nfs/dbraw/zinc/39/87/71/1125398771.db2.gz MXNONBABNBBFIP-NSHDSACASA-N 1 2 261.756 3.920 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2cccc(F)c2)C(C)(C)C)nc1 ZINC000342247463 1125401509 /nfs/dbraw/zinc/40/15/09/1125401509.db2.gz TUVYIOMTCQDMCJ-MRXNPFEDSA-N 1 2 287.382 3.801 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc([C@H](F)C(F)(F)F)cc1 ZINC000883368797 1125403261 /nfs/dbraw/zinc/40/32/61/1125403261.db2.gz BIKGAFFYCNSZFL-LBPRGKRZSA-N 1 2 287.260 3.605 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@@H]1F)c1ccco1 ZINC000883376443 1125404221 /nfs/dbraw/zinc/40/42/21/1125404221.db2.gz LDVOXOADIHXIJN-PJODQICGSA-N 1 2 259.324 3.956 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cccnc1Cl ZINC000883378185 1125404850 /nfs/dbraw/zinc/40/48/50/1125404850.db2.gz BMXSJVUDOOZHIF-UHFFFAOYSA-N 1 2 293.823 3.995 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+]Cc1ccc2c(n1)CCC2 ZINC000883381339 1125406262 /nfs/dbraw/zinc/40/62/62/1125406262.db2.gz KVRQMMSADNTZEE-IEBWSBKVSA-N 1 2 296.389 3.686 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1cc2cnccc2o1 ZINC000883381217 1125406331 /nfs/dbraw/zinc/40/63/31/1125406331.db2.gz IXMVZDPUOCDYQD-AEFFLSMTSA-N 1 2 296.345 3.943 20 0 CHADLO CCc1ncc(C[NH2+][C@@H]2c3ccccc3CC[C@@H]2F)s1 ZINC000883382495 1125406661 /nfs/dbraw/zinc/40/66/61/1125406661.db2.gz IARGQBMDQCNPRP-GOEBONIOSA-N 1 2 290.407 3.821 20 0 CHADLO CCc1nc(C[N@H+](C)[C@@H](C)c2cccc(OC)c2)cs1 ZINC000532486155 1125407180 /nfs/dbraw/zinc/40/71/80/1125407180.db2.gz MFUGNIVGZUDRHO-LBPRGKRZSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@@H](C)c2cccc(OC)c2)cs1 ZINC000532486155 1125407182 /nfs/dbraw/zinc/40/71/82/1125407182.db2.gz MFUGNIVGZUDRHO-LBPRGKRZSA-N 1 2 290.432 3.907 20 0 CHADLO COCc1ccc(C[NH2+][C@H]2c3ccccc3CC[C@H]2F)o1 ZINC000883383254 1125407688 /nfs/dbraw/zinc/40/76/88/1125407688.db2.gz WBLLBKINABGUKD-SJORKVTESA-N 1 2 289.350 3.541 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2c3ccccc3CC[C@@H]2F)o1 ZINC000883384208 1125407795 /nfs/dbraw/zinc/40/77/95/1125407795.db2.gz NFZVPHNAWZFUFX-HOTGVXAUSA-N 1 2 259.324 3.703 20 0 CHADLO Cc1cc2ncc(Nc3cccc4[nH+]c(C)ccc34)cn2n1 ZINC001212796008 1125418091 /nfs/dbraw/zinc/41/80/91/1125418091.db2.gz NCJLOKDNXWFKGN-UHFFFAOYSA-N 1 2 289.342 3.638 20 0 CHADLO Cc1ccc2c(Nc3nc(F)ccc3F)cccc2[nH+]1 ZINC001212795920 1125418522 /nfs/dbraw/zinc/41/85/22/1125418522.db2.gz JEMWGZWXLVEWSX-UHFFFAOYSA-N 1 2 271.270 3.960 20 0 CHADLO Cc1nnc2cc(Nc3cccc4[nH+]c(C)ccc34)ccn12 ZINC001212796288 1125418945 /nfs/dbraw/zinc/41/89/45/1125418945.db2.gz WNLNPEZKYIRJPN-UHFFFAOYSA-N 1 2 289.342 3.638 20 0 CHADLO Cc1ccc2c(Nc3c4c(nn3C)CCCC4)cccc2[nH+]1 ZINC001212796391 1125419643 /nfs/dbraw/zinc/41/96/43/1125419643.db2.gz XUMQPITZOUCNFJ-UHFFFAOYSA-N 1 2 292.386 3.899 20 0 CHADLO Cc1ccc2c(Nc3ccnc4cccnc43)cccc2[nH+]1 ZINC001212796381 1125419798 /nfs/dbraw/zinc/41/97/98/1125419798.db2.gz XIBAYMPJCPRKRA-UHFFFAOYSA-N 1 2 286.338 3.652 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccc(Cl)cc1)CC2 ZINC000039618631 1125429854 /nfs/dbraw/zinc/42/98/54/1125429854.db2.gz DUQAIDQGPOFGFH-UHFFFAOYSA-N 1 2 273.763 3.604 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccc(Cl)cc1)CC2 ZINC000039618631 1125429855 /nfs/dbraw/zinc/42/98/55/1125429855.db2.gz DUQAIDQGPOFGFH-UHFFFAOYSA-N 1 2 273.763 3.604 20 0 CHADLO Cc1ccc2c(Nc3ccc(CCO)cc3)cccc2[nH+]1 ZINC001212797011 1125430165 /nfs/dbraw/zinc/43/01/65/1125430165.db2.gz SLJLHPLLXWMTRX-UHFFFAOYSA-N 1 2 278.355 3.822 20 0 CHADLO Cc1cn2cc(NC(=O)CCc3ccc(C)cc3)ccc2[nH+]1 ZINC000041305971 1125432743 /nfs/dbraw/zinc/43/27/43/1125432743.db2.gz ZHQHTWKRGHWIRF-UHFFFAOYSA-N 1 2 293.370 3.522 20 0 CHADLO Cc1ccc2c(Nc3cccc(F)c3CO)cccc2[nH+]1 ZINC001212797649 1125441426 /nfs/dbraw/zinc/44/14/26/1125441426.db2.gz BLZZLXHGSGZDNL-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO Cc1ccc2c(Nc3ccc(CO)cc3F)cccc2[nH+]1 ZINC001212797653 1125441848 /nfs/dbraw/zinc/44/18/48/1125441848.db2.gz BRHQWWHLZOGRLI-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO CCc1nnc(C[N@@H+](CC)[C@H](C)c2cc3ccccc3o2)o1 ZINC000125755816 1125453005 /nfs/dbraw/zinc/45/30/05/1125453005.db2.gz GRTSZRGURKINQG-GFCCVEGCSA-N 1 2 299.374 3.961 20 0 CHADLO CCc1nnc(C[N@H+](CC)[C@H](C)c2cc3ccccc3o2)o1 ZINC000125755816 1125453008 /nfs/dbraw/zinc/45/30/08/1125453008.db2.gz GRTSZRGURKINQG-GFCCVEGCSA-N 1 2 299.374 3.961 20 0 CHADLO CC(C)C[C@H](C(=O)N1CCC[C@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000635502054 1129183478 /nfs/dbraw/zinc/18/34/78/1129183478.db2.gz WIUWGZIGXWAWDI-CABCVRRESA-N 1 2 291.439 3.507 20 0 CHADLO Cc1nccnc1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000621711151 1129183851 /nfs/dbraw/zinc/18/38/51/1129183851.db2.gz GXWPXGQEHYNHMG-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1nccnc1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000621711151 1129183854 /nfs/dbraw/zinc/18/38/54/1129183854.db2.gz GXWPXGQEHYNHMG-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cccc(C)c1NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000635625920 1129187303 /nfs/dbraw/zinc/18/73/03/1129187303.db2.gz XTFNLDDIGQUERW-OAHLLOKOSA-N 1 2 285.391 3.726 20 0 CHADLO FC(F)(F)c1cccc(-c2nc3[nH]ccc3c[nH+]2)c1 ZINC001239513830 1131356720 /nfs/dbraw/zinc/35/67/20/1131356720.db2.gz NNCCCYQSKRRVST-UHFFFAOYSA-N 1 2 263.222 3.595 20 0 CHADLO Cc1ccc(Cl)c(NC(=O)[C@H]2CC[N@@H+]2C2CCCC2)c1 ZINC000635691111 1129188754 /nfs/dbraw/zinc/18/87/54/1129188754.db2.gz YODVYPIEUZEEQJ-OAHLLOKOSA-N 1 2 292.810 3.604 20 0 CHADLO Cc1ccc(Cl)c(NC(=O)[C@H]2CC[N@H+]2C2CCCC2)c1 ZINC000635691111 1129188756 /nfs/dbraw/zinc/18/87/56/1129188756.db2.gz YODVYPIEUZEEQJ-OAHLLOKOSA-N 1 2 292.810 3.604 20 0 CHADLO CCCC[C@H](C)N(CCCC)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000635967885 1129195544 /nfs/dbraw/zinc/19/55/44/1129195544.db2.gz LZKJNLLISLGYCX-RRFJBIMHSA-N 1 2 291.439 3.721 20 0 CHADLO CCCC[C@H](C)N(CCCC)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000635967885 1129195548 /nfs/dbraw/zinc/19/55/48/1129195548.db2.gz LZKJNLLISLGYCX-RRFJBIMHSA-N 1 2 291.439 3.721 20 0 CHADLO C[C@@H](c1ccco1)[N@H+]([C@H](c1nccn1C)C1CC1)C1CC1 ZINC000637972735 1129239065 /nfs/dbraw/zinc/23/90/65/1129239065.db2.gz VDPQUSSIZKLDFP-LRDDRELGSA-N 1 2 285.391 3.690 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+]([C@H](c1nccn1C)C1CC1)C1CC1 ZINC000637972735 1129239072 /nfs/dbraw/zinc/23/90/72/1129239072.db2.gz VDPQUSSIZKLDFP-LRDDRELGSA-N 1 2 285.391 3.690 20 0 CHADLO CC[C@H](C)[C@@H](C)[NH2+]c1ccc(N2CCOC[C@H]2C)cc1 ZINC000246112259 1129252381 /nfs/dbraw/zinc/25/23/81/1129252381.db2.gz NVIPJGZHJKFNFF-RRFJBIMHSA-N 1 2 276.424 3.758 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C(C)C)c(Cl)c2)cc[nH+]1 ZINC000622368370 1129253571 /nfs/dbraw/zinc/25/35/71/1129253571.db2.gz UIZYMXDOVSLZRF-UHFFFAOYSA-N 1 2 288.778 3.841 20 0 CHADLO Cc1cc(C)cc(-c2cc[nH+]c(N3CCCC3)c2)c1 ZINC001239524396 1131364007 /nfs/dbraw/zinc/36/40/07/1131364007.db2.gz XOGKPHJSJJQASK-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO COCC(C)(C)CCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000718696959 1129280021 /nfs/dbraw/zinc/28/00/21/1129280021.db2.gz VXRGCPRYFKSZGQ-UHFFFAOYSA-N 1 2 276.302 3.575 20 0 CHADLO C[C@]12CCCC[C@H]1C[N@@H+]2Cc1ncc(Cl)s1 ZINC000626103806 1129280621 /nfs/dbraw/zinc/28/06/21/1129280621.db2.gz QNEXQGVWJSBWME-CABZTGNLSA-N 1 2 256.802 3.561 20 0 CHADLO C[C@]12CCCC[C@H]1C[N@H+]2Cc1ncc(Cl)s1 ZINC000626103806 1129280624 /nfs/dbraw/zinc/28/06/24/1129280624.db2.gz QNEXQGVWJSBWME-CABZTGNLSA-N 1 2 256.802 3.561 20 0 CHADLO Cc1nonc1C[N@@H+](C)Cc1ccccc1-c1ccccc1 ZINC000733898549 1129283412 /nfs/dbraw/zinc/28/34/12/1129283412.db2.gz OCCXYZZCACIOHI-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1nonc1C[N@H+](C)Cc1ccccc1-c1ccccc1 ZINC000733898549 1129283414 /nfs/dbraw/zinc/28/34/14/1129283414.db2.gz OCCXYZZCACIOHI-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO C[C@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)c2nccn21 ZINC000379173997 1129285538 /nfs/dbraw/zinc/28/55/38/1129285538.db2.gz PYGCGFMCWOBSTL-XJKSGUPXSA-N 1 2 282.391 3.601 20 0 CHADLO COC[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1ccns1 ZINC000639130039 1129285654 /nfs/dbraw/zinc/28/56/54/1129285654.db2.gz BDKQLCCRSAPTJD-AWEZNQCLSA-N 1 2 296.823 3.616 20 0 CHADLO COC[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1ccns1 ZINC000639130039 1129285657 /nfs/dbraw/zinc/28/56/57/1129285657.db2.gz BDKQLCCRSAPTJD-AWEZNQCLSA-N 1 2 296.823 3.616 20 0 CHADLO C/C(=C/C(=O)Nc1ccc2[nH+]ccn2c1)c1cccc(F)c1 ZINC000733969876 1129288706 /nfs/dbraw/zinc/28/87/06/1129288706.db2.gz WCLWASKJMKIYDU-XFXZXTDPSA-N 1 2 295.317 3.515 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NCCC3CCOCC3)cc2)c1C ZINC000639198058 1129291446 /nfs/dbraw/zinc/29/14/46/1129291446.db2.gz NGUVTOUMDAMHCY-UHFFFAOYSA-N 1 2 299.418 3.718 20 0 CHADLO c1nc([C@H](C2CCCCC2)[NH+](C2CCC2)C2CCC2)n[nH]1 ZINC000639202803 1129292473 /nfs/dbraw/zinc/29/24/73/1129292473.db2.gz CDJFOYRHJJOKRF-INIZCTEOSA-N 1 2 288.439 3.833 20 0 CHADLO COc1cncc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)c1C ZINC000639235193 1129296183 /nfs/dbraw/zinc/29/61/83/1129296183.db2.gz PVZFDYGLIVBEMY-QGZVFWFLSA-N 1 2 298.386 3.719 20 0 CHADLO COc1cncc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)c1C ZINC000639235193 1129296186 /nfs/dbraw/zinc/29/61/86/1129296186.db2.gz PVZFDYGLIVBEMY-QGZVFWFLSA-N 1 2 298.386 3.719 20 0 CHADLO Cc1nonc1C[N@@H+](Cc1ccc(C(C)C)cc1)C1CC1 ZINC000734148535 1129297199 /nfs/dbraw/zinc/29/71/99/1129297199.db2.gz YSWXZPQPGRIODO-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO Cc1nonc1C[N@H+](Cc1ccc(C(C)C)cc1)C1CC1 ZINC000734148535 1129297203 /nfs/dbraw/zinc/29/72/03/1129297203.db2.gz YSWXZPQPGRIODO-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO Cc1ncc([C@H](C)Nc2c[nH+]cc3c2CCCC3)c(C)n1 ZINC000639302161 1129300681 /nfs/dbraw/zinc/30/06/81/1129300681.db2.gz DAKYFYGYGJWJNV-LBPRGKRZSA-N 1 2 282.391 3.540 20 0 CHADLO CC(C)CC1([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000639333733 1129303801 /nfs/dbraw/zinc/30/38/01/1129303801.db2.gz ABMJRTGXXBJDLJ-CQSZACIVSA-N 1 2 276.428 3.594 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccccc1 ZINC000639523239 1129321943 /nfs/dbraw/zinc/32/19/43/1129321943.db2.gz ZEXKXUDWPULZMJ-KRWDZBQOSA-N 1 2 299.418 3.899 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccccc1 ZINC000639523240 1129322060 /nfs/dbraw/zinc/32/20/60/1129322060.db2.gz ZEXKXUDWPULZMJ-QGZVFWFLSA-N 1 2 299.418 3.899 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2nc3ccccc3o2)c(C)n1 ZINC000639539582 1129322935 /nfs/dbraw/zinc/32/29/35/1129322935.db2.gz NKJROWPBQXVSKM-UHFFFAOYSA-N 1 2 295.386 3.780 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2nc3ccccc3o2)c(C)n1 ZINC000639539582 1129322939 /nfs/dbraw/zinc/32/29/39/1129322939.db2.gz NKJROWPBQXVSKM-UHFFFAOYSA-N 1 2 295.386 3.780 20 0 CHADLO Cc1cc(N[C@H](C)COc2ccccc2)nc(C2CC2)[nH+]1 ZINC000734834901 1129324021 /nfs/dbraw/zinc/32/40/21/1129324021.db2.gz MDQQZIMBQMVLIW-CYBMUJFWSA-N 1 2 283.375 3.542 20 0 CHADLO Cc1cc(N2Cc3ccccc3[C@@H](C)C2)nc(C2CC2)[nH+]1 ZINC000734835164 1129324380 /nfs/dbraw/zinc/32/43/80/1129324380.db2.gz PXNHEYDQBGFZBX-LBPRGKRZSA-N 1 2 279.387 3.786 20 0 CHADLO c1ccc(C2=CCC[N@@H+](Cc3nc(C4CCC4)no3)C2)cc1 ZINC000639633553 1129326970 /nfs/dbraw/zinc/32/69/70/1129326970.db2.gz ZTTJMIWZLMAYMW-UHFFFAOYSA-N 1 2 295.386 3.626 20 0 CHADLO c1ccc(C2=CCC[N@H+](Cc3nc(C4CCC4)no3)C2)cc1 ZINC000639633553 1129326975 /nfs/dbraw/zinc/32/69/75/1129326975.db2.gz ZTTJMIWZLMAYMW-UHFFFAOYSA-N 1 2 295.386 3.626 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@@H]2c2cn[nH]c2)c1Cl ZINC000639777053 1129332607 /nfs/dbraw/zinc/33/26/07/1129332607.db2.gz HZGBUWDGFKZBEE-CYBMUJFWSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@@H]2c2cn[nH]c2)c1Cl ZINC000639777053 1129332612 /nfs/dbraw/zinc/33/26/12/1129332612.db2.gz HZGBUWDGFKZBEE-CYBMUJFWSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CC(C)(C)[C@H]2c2ccco2)cn1 ZINC000639929908 1129341047 /nfs/dbraw/zinc/34/10/47/1129341047.db2.gz RVCIEANQYMIZOO-IUODEOHRSA-N 1 2 271.364 3.522 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CC(C)(C)[C@H]2c2ccco2)cn1 ZINC000639929908 1129341050 /nfs/dbraw/zinc/34/10/50/1129341050.db2.gz RVCIEANQYMIZOO-IUODEOHRSA-N 1 2 271.364 3.522 20 0 CHADLO C[C@H]([NH2+]Cc1nc2c(s1)CCC2)c1ccc(Cl)cn1 ZINC000719562949 1129345232 /nfs/dbraw/zinc/34/52/32/1129345232.db2.gz WNOPLAKVYIMXER-VIFPVBQESA-N 1 2 293.823 3.531 20 0 CHADLO CCO[C@H](C)c1nc(C[NH+]2CCC(C)(F)CC2)cs1 ZINC000640075945 1129347463 /nfs/dbraw/zinc/34/74/63/1129347463.db2.gz ZFJDADABTPFKTP-LLVKDONJSA-N 1 2 286.416 3.565 20 0 CHADLO CC(=O)Nc1cccc(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001239531549 1131369107 /nfs/dbraw/zinc/36/91/07/1131369107.db2.gz UWMMNFAKQHHGQQ-UHFFFAOYSA-N 1 2 291.354 3.713 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CCCc2cccnc21 ZINC000091660631 1129367631 /nfs/dbraw/zinc/36/76/31/1129367631.db2.gz FRJAEVSTMCTSFS-QGZVFWFLSA-N 1 2 281.403 3.946 20 0 CHADLO Fc1c[nH+]ccc1N1CCC(OCC2CCCCC2)CC1 ZINC000641399255 1129380095 /nfs/dbraw/zinc/38/00/95/1129380095.db2.gz ATPUNJAYWBSVFH-UHFFFAOYSA-N 1 2 292.398 3.786 20 0 CHADLO Cc1ccc(F)cc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001240919706 1129383553 /nfs/dbraw/zinc/38/35/53/1129383553.db2.gz CGFQMQXNUVLZTG-UHFFFAOYSA-N 1 2 252.292 3.987 20 0 CHADLO OCCc1ccc(Nc2[nH+]ccc3ccccc32)cc1 ZINC001212925463 1129398965 /nfs/dbraw/zinc/39/89/65/1129398965.db2.gz ACZCYFZYZIWFOO-UHFFFAOYSA-N 1 2 264.328 3.513 20 0 CHADLO CC(=O)Nc1nc2ccc(Nc3cccc(C)[nH+]3)cc2s1 ZINC001212937376 1129406833 /nfs/dbraw/zinc/40/68/33/1129406833.db2.gz BFLXUOFATVTSOD-UHFFFAOYSA-N 1 2 298.371 3.702 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1cn[nH]c1C)c1ccccc1 ZINC000180001848 1129444064 /nfs/dbraw/zinc/44/40/64/1129444064.db2.gz ZYPXRSWEYKDVTL-LRDDRELGSA-N 1 2 257.381 3.910 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2Cc3ccccc3C[C@H]2C)o1 ZINC000741797154 1129454091 /nfs/dbraw/zinc/45/40/91/1129454091.db2.gz HNJHZNPOSFAQPG-CYBMUJFWSA-N 1 2 270.376 3.745 20 0 CHADLO CC(C)c1cnc(C[N@H+]2Cc3ccccc3C[C@H]2C)o1 ZINC000741797154 1129454092 /nfs/dbraw/zinc/45/40/92/1129454092.db2.gz HNJHZNPOSFAQPG-CYBMUJFWSA-N 1 2 270.376 3.745 20 0 CHADLO Cc1nccc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC000742390390 1129473914 /nfs/dbraw/zinc/47/39/14/1129473914.db2.gz FKHCVQZETHSUIV-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Cc1ccccc1-c1nc(C[NH+]2CCC(C3CC3)CC2)no1 ZINC000644718945 1129476700 /nfs/dbraw/zinc/47/67/00/1129476700.db2.gz KXWPEXYHHVHQEJ-UHFFFAOYSA-N 1 2 297.402 3.667 20 0 CHADLO FC(F)c1noc(C[NH2+][C@H](CC2CC2)c2ccccc2)n1 ZINC000644928499 1129480947 /nfs/dbraw/zinc/48/09/47/1129480947.db2.gz QVECIUGQTKBUKP-GFCCVEGCSA-N 1 2 293.317 3.638 20 0 CHADLO C/C(=C/C(=O)Nc1ccc2[nH+]c(C)cn2c1)C(C)(C)C ZINC000742612799 1129490379 /nfs/dbraw/zinc/49/03/79/1129490379.db2.gz LTZWPTOEDHRAAF-FLIBITNWSA-N 1 2 271.364 3.574 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCCc2ccc(Cl)cc21 ZINC000645487719 1129499228 /nfs/dbraw/zinc/49/92/28/1129499228.db2.gz QTABKRFZACCYNI-LBPRGKRZSA-N 1 2 259.727 3.962 20 0 CHADLO CCc1nc(C[N@H+](C)CCc2c(F)cccc2F)cs1 ZINC000645781247 1129506550 /nfs/dbraw/zinc/50/65/50/1129506550.db2.gz OVTBIFWFXVUTPR-UHFFFAOYSA-N 1 2 296.386 3.658 20 0 CHADLO CCc1nc(C[N@@H+](C)CCc2c(F)cccc2F)cs1 ZINC000645781247 1129506552 /nfs/dbraw/zinc/50/65/52/1129506552.db2.gz OVTBIFWFXVUTPR-UHFFFAOYSA-N 1 2 296.386 3.658 20 0 CHADLO Oc1cccc(C[N@@H+]2CCC[C@@H]2c2ccccn2)c1Cl ZINC000646055790 1129520002 /nfs/dbraw/zinc/52/00/02/1129520002.db2.gz IZSJYPSPYCNHPY-CQSZACIVSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cccc(C[N@H+]2CCC[C@@H]2c2ccccn2)c1Cl ZINC000646055790 1129520004 /nfs/dbraw/zinc/52/00/04/1129520004.db2.gz IZSJYPSPYCNHPY-CQSZACIVSA-N 1 2 288.778 3.778 20 0 CHADLO COc1ccc(F)c(C[N@H+](Cc2ccc(C)o2)C2CC2)c1 ZINC000646052808 1129520339 /nfs/dbraw/zinc/52/03/39/1129520339.db2.gz MKCSOLQNFAWWJU-UHFFFAOYSA-N 1 2 289.350 3.900 20 0 CHADLO COc1ccc(F)c(C[N@@H+](Cc2ccc(C)o2)C2CC2)c1 ZINC000646052808 1129520342 /nfs/dbraw/zinc/52/03/42/1129520342.db2.gz MKCSOLQNFAWWJU-UHFFFAOYSA-N 1 2 289.350 3.900 20 0 CHADLO Cc1cc(C)c(-c2cc[nH+]c(N3CCOCC3)c2)c(C)c1 ZINC001239549307 1131380289 /nfs/dbraw/zinc/38/02/89/1131380289.db2.gz DWFHHZSNWGXOCL-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO CCc1cncc(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001241380501 1129530642 /nfs/dbraw/zinc/53/06/42/1129530642.db2.gz MJPQHIPNYGLPHT-UHFFFAOYSA-N 1 2 263.344 3.712 20 0 CHADLO C[C@@H]([NH2+]CC(F)F)c1ccc(-c2cccnc2)cc1 ZINC000181309805 1129552279 /nfs/dbraw/zinc/55/22/79/1129552279.db2.gz UNUOXHQCKXETSA-LLVKDONJSA-N 1 2 262.303 3.664 20 0 CHADLO CC(C)C[N@H+](C)Cn1nc(-c2ccccc2F)oc1=S ZINC000744157114 1129556204 /nfs/dbraw/zinc/55/62/04/1129556204.db2.gz JZGGIWJRJGSXIF-UHFFFAOYSA-N 1 2 295.383 3.557 20 0 CHADLO CC(C)C[N@@H+](C)Cn1nc(-c2ccccc2F)oc1=S ZINC000744157114 1129556207 /nfs/dbraw/zinc/55/62/07/1129556207.db2.gz JZGGIWJRJGSXIF-UHFFFAOYSA-N 1 2 295.383 3.557 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3ccccc3Cl)CC2)nn1C ZINC000647315488 1129567690 /nfs/dbraw/zinc/56/76/90/1129567690.db2.gz HQAPDHWWPJELDP-LBPRGKRZSA-N 1 2 289.810 3.722 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)N1CCn2c[nH+]cc2C1 ZINC000647939688 1129589394 /nfs/dbraw/zinc/58/93/94/1129589394.db2.gz XHOYUJCMYUJVRN-OAHLLOKOSA-N 1 2 275.783 3.503 20 0 CHADLO CC1CC[NH+](Cc2c(Cl)cncc2Cl)CC1 ZINC001137603438 1131383939 /nfs/dbraw/zinc/38/39/39/1131383939.db2.gz OXEHBFUYHMTDHT-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CCc1nc2c(s1)[C@H]([N@H+](C)Cc1coc(C)n1)CCC2 ZINC000647968712 1129590082 /nfs/dbraw/zinc/59/00/82/1129590082.db2.gz SNNOUSVBRITWQI-CYBMUJFWSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1nc2c(s1)[C@H]([N@@H+](C)Cc1coc(C)n1)CCC2 ZINC000647968712 1129590084 /nfs/dbraw/zinc/59/00/84/1129590084.db2.gz SNNOUSVBRITWQI-CYBMUJFWSA-N 1 2 291.420 3.511 20 0 CHADLO Cc1cc(-c2ccnc(Br)c2)cc(C)[nH+]1 ZINC001241710115 1129606002 /nfs/dbraw/zinc/60/60/02/1129606002.db2.gz GNAUGNBFQBDDED-UHFFFAOYSA-N 1 2 263.138 3.523 20 0 CHADLO C[N@H+](Cc1ccnc(Cl)c1)Cc1ccc(F)cc1F ZINC000745455745 1129605723 /nfs/dbraw/zinc/60/57/23/1129605723.db2.gz IZNDMDQIBXUOTK-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1ccnc(Cl)c1)Cc1ccc(F)cc1F ZINC000745455745 1129605726 /nfs/dbraw/zinc/60/57/26/1129605726.db2.gz IZNDMDQIBXUOTK-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]C[C@H](c1ccccc1)C(F)(F)F ZINC000186848798 1129635169 /nfs/dbraw/zinc/63/51/69/1129635169.db2.gz UNFFEIYIESGGHR-IINYFYTJSA-N 1 2 297.324 3.715 20 0 CHADLO COc1nccc2cc(-c3cc(C)[nH+]c(C)c3)ccc21 ZINC001241992357 1129641669 /nfs/dbraw/zinc/64/16/69/1129641669.db2.gz MJXZNGHTDLRRQS-UHFFFAOYSA-N 1 2 264.328 3.922 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+](C)Cc1cc2n(n1)CCC2 ZINC000649489636 1129653410 /nfs/dbraw/zinc/65/34/10/1129653410.db2.gz AXTHZKKZGQHDTH-GFCCVEGCSA-N 1 2 289.810 3.676 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC000649489636 1129653413 /nfs/dbraw/zinc/65/34/13/1129653413.db2.gz AXTHZKKZGQHDTH-GFCCVEGCSA-N 1 2 289.810 3.676 20 0 CHADLO Clc1ccc(C[NH2+]Cc2cscc2Cl)o1 ZINC000389959083 1129657598 /nfs/dbraw/zinc/65/75/98/1129657598.db2.gz VHAMISFSCMQNGB-UHFFFAOYSA-N 1 2 262.161 3.938 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@@H]1C[C@H]1C1CCCC1 ZINC000649568332 1129658291 /nfs/dbraw/zinc/65/82/91/1129658291.db2.gz XQMBPZWLOVCNBM-DLBZAZTESA-N 1 2 295.386 3.637 20 0 CHADLO CCC[C@H](CC)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000766405485 1129663211 /nfs/dbraw/zinc/66/32/11/1129663211.db2.gz WBLARVIORVGXPJ-NSHDSACASA-N 1 2 275.400 3.572 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nnc(C(C)C)o2)CCC1 ZINC000649645207 1129665860 /nfs/dbraw/zinc/66/58/60/1129665860.db2.gz JKEKDHBZEHSJRY-UHFFFAOYSA-N 1 2 285.391 3.670 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1C(C)C)c1ccccc1F ZINC000649739313 1129674703 /nfs/dbraw/zinc/67/47/03/1129674703.db2.gz OMWGNBPLMYMSLV-HNNXBMFYSA-N 1 2 275.371 3.844 20 0 CHADLO CCCCc1noc([C@H](C)[NH+]2CCC3(CC3(F)F)CC2)n1 ZINC000649776862 1129676642 /nfs/dbraw/zinc/67/66/42/1129676642.db2.gz ZXNIOQVTXOCBDZ-NSHDSACASA-N 1 2 299.365 3.594 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc[nH+]c(OCC(C)C)c2)nc1 ZINC001168859276 1129678260 /nfs/dbraw/zinc/67/82/60/1129678260.db2.gz BDYLNURSZJLHIR-CQSZACIVSA-N 1 2 285.391 3.993 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2ccc(C)cn2)c1 ZINC000649904013 1129685689 /nfs/dbraw/zinc/68/56/89/1129685689.db2.gz IDRPQSATUXKBNE-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2ccc(C)cn2)c1 ZINC000649904013 1129685690 /nfs/dbraw/zinc/68/56/90/1129685690.db2.gz IDRPQSATUXKBNE-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1c[nH]c2c1cccc2NCCc1cn2ccccc2[nH+]1 ZINC001169005147 1129689297 /nfs/dbraw/zinc/68/92/97/1129689297.db2.gz YQEZKGAWPYJFTI-UHFFFAOYSA-N 1 2 290.370 3.779 20 0 CHADLO CC(C)C[C@@H](Cc1ccccc1)[NH2+][C@H]1CC1(F)F ZINC001169050927 1129692054 /nfs/dbraw/zinc/69/20/54/1129692054.db2.gz SMTNIBKKNXYKKP-KBPBESRZSA-N 1 2 253.336 3.641 20 0 CHADLO COCCOc1cc(NC(C)(C)CC(C)(C)C)cc[nH+]1 ZINC001169052679 1129692565 /nfs/dbraw/zinc/69/25/65/1129692565.db2.gz NNDWZXBLKRIUDP-UHFFFAOYSA-N 1 2 280.412 3.734 20 0 CHADLO CC[C@@H]([NH2+]C/C=C/c1ccc(Cl)cc1)c1noc(C)n1 ZINC001169112176 1129702987 /nfs/dbraw/zinc/70/29/87/1129702987.db2.gz NBSCLFYMTUUWCY-ISZGNANSSA-N 1 2 291.782 3.786 20 0 CHADLO Cc1ccc(CCCNc2cc[nH+]c(OC(C)C)c2)cn1 ZINC001169259564 1129713725 /nfs/dbraw/zinc/71/37/25/1129713725.db2.gz LWOWZQULBUQYML-UHFFFAOYSA-N 1 2 285.391 3.617 20 0 CHADLO FC(F)(F)[C@@H](Nc1cc2cc[nH]c2c[nH+]1)C1CCC1 ZINC001169286061 1129715465 /nfs/dbraw/zinc/71/54/65/1129715465.db2.gz JJKIWARTDOGGQH-LBPRGKRZSA-N 1 2 269.270 3.706 20 0 CHADLO CCC[C@H]1CCC[N@H+](Cn2nc(C(C)C)n(C)c2=S)C1 ZINC000121340573 1129717328 /nfs/dbraw/zinc/71/73/28/1129717328.db2.gz QVGOVQAQAZCDQN-ZDUSSCGKSA-N 1 2 296.484 3.544 20 0 CHADLO CCC[C@H]1CCC[N@@H+](Cn2nc(C(C)C)n(C)c2=S)C1 ZINC000121340573 1129717330 /nfs/dbraw/zinc/71/73/30/1129717330.db2.gz QVGOVQAQAZCDQN-ZDUSSCGKSA-N 1 2 296.484 3.544 20 0 CHADLO CC[C@H]([NH2+]Cc1c(F)c(F)cc(F)c1F)c1ccncc1 ZINC000651268154 1129735897 /nfs/dbraw/zinc/73/58/97/1129735897.db2.gz QJSKZXMDVHNOSH-ZDUSSCGKSA-N 1 2 298.283 3.879 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]Cc1cnc(C2CC2)o1 ZINC000651470789 1129740035 /nfs/dbraw/zinc/74/00/35/1129740035.db2.gz UMMXZMVNPVCBPF-SNVBAGLBSA-N 1 2 290.338 3.551 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@@]3(CC3(F)F)C2)c1 ZINC000651489441 1129740863 /nfs/dbraw/zinc/74/08/63/1129740863.db2.gz WVEGEFMNAQVPEZ-CQSZACIVSA-N 1 2 278.277 3.609 20 0 CHADLO Cc1cc(N[C@H]2CCc3ccccc3[C@@H]2O)ccc1[NH+](C)C ZINC001169630938 1129742364 /nfs/dbraw/zinc/74/23/64/1129742364.db2.gz QSMADTSOSWJWSM-HKUYNNGSSA-N 1 2 296.414 3.521 20 0 CHADLO CO[C@@H](C)CNc1ccc2[nH]c(-c3ccccc3)[nH+]c2c1 ZINC001169777949 1129754372 /nfs/dbraw/zinc/75/43/72/1129754372.db2.gz JNPZSFDXNIGJQV-LBPRGKRZSA-N 1 2 281.359 3.677 20 0 CHADLO Cc1cc(N[C@@H]2C[C@H]2c2ccccc2)[nH+]c2cc[nH]c21 ZINC001169816726 1129759221 /nfs/dbraw/zinc/75/92/21/1129759221.db2.gz ZYMWDAOMNDVBQU-DZGCQCFKSA-N 1 2 263.344 3.839 20 0 CHADLO COC(=O)[C@@H](C)[N@H+](C)Cc1ccc2oc3ccccc3c2c1 ZINC000796497371 1129759723 /nfs/dbraw/zinc/75/97/23/1129759723.db2.gz YJGCOCXOJBAXKO-GFCCVEGCSA-N 1 2 297.354 3.579 20 0 CHADLO COC(=O)[C@@H](C)[N@@H+](C)Cc1ccc2oc3ccccc3c2c1 ZINC000796497371 1129759725 /nfs/dbraw/zinc/75/97/25/1129759725.db2.gz YJGCOCXOJBAXKO-GFCCVEGCSA-N 1 2 297.354 3.579 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](CC(C)C)c2ccccn2)c1 ZINC000652330301 1129763203 /nfs/dbraw/zinc/76/32/03/1129763203.db2.gz BZHGTXICUSPLCB-INIZCTEOSA-N 1 2 285.391 3.822 20 0 CHADLO CC[C@@H](Nc1cc(COC)cc[nH+]1)c1ccc(F)cc1 ZINC000652329880 1129763505 /nfs/dbraw/zinc/76/35/05/1129763505.db2.gz MKKCFISEXGGYQR-OAHLLOKOSA-N 1 2 274.339 3.930 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC3(CCC3)CC2)c(C)[nH+]1 ZINC000653187734 1129778334 /nfs/dbraw/zinc/77/83/34/1129778334.db2.gz ZTTQPEOHZCXJOF-UHFFFAOYSA-N 1 2 287.407 3.805 20 0 CHADLO O=C(CC[N@@H+]1CCc2c(Cl)c(Cl)ccc2C1)C1CC1 ZINC000767370961 1129789649 /nfs/dbraw/zinc/78/96/49/1129789649.db2.gz HMFRKWQRPSFAHA-UHFFFAOYSA-N 1 2 298.213 3.721 20 0 CHADLO O=C(CC[N@H+]1CCc2c(Cl)c(Cl)ccc2C1)C1CC1 ZINC000767370961 1129789651 /nfs/dbraw/zinc/78/96/51/1129789651.db2.gz HMFRKWQRPSFAHA-UHFFFAOYSA-N 1 2 298.213 3.721 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+]1CCC[C@H](OC2CCC2)C1 ZINC000653812047 1129796002 /nfs/dbraw/zinc/79/60/02/1129796002.db2.gz AQEIDIXTQXVHFZ-HNNXBMFYSA-N 1 2 292.448 3.554 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+]1CCC[C@H](OC2CCC2)C1 ZINC000653812047 1129796003 /nfs/dbraw/zinc/79/60/03/1129796003.db2.gz AQEIDIXTQXVHFZ-HNNXBMFYSA-N 1 2 292.448 3.554 20 0 CHADLO Cc1cc(-c2cccc(Cl)c2O)cn2cc[nH+]c12 ZINC001242366085 1129797674 /nfs/dbraw/zinc/79/76/74/1129797674.db2.gz GCCMZLXZFOYGTR-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO Cc1cc(-c2cc(F)c(C[NH+](C)C)c(F)c2)c(C)o1 ZINC001242407139 1129812793 /nfs/dbraw/zinc/81/27/93/1129812793.db2.gz FIUDKHGDJWNNOZ-UHFFFAOYSA-N 1 2 265.303 3.903 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1cc2ccccc2o1 ZINC000767826970 1129816394 /nfs/dbraw/zinc/81/63/94/1129816394.db2.gz AVRREIKCFPDSGL-UHFFFAOYSA-N 1 2 286.356 3.735 20 0 CHADLO CN(C)c1cc(CSCc2ccc(F)cc2F)cc[nH+]1 ZINC000767865892 1129816658 /nfs/dbraw/zinc/81/66/58/1129816658.db2.gz JPOKWKUSROEEGO-UHFFFAOYSA-N 1 2 294.370 3.859 20 0 CHADLO Cc1nc(C)c(CSCc2cc[nH+]c(N(C)C)c2)s1 ZINC000767868523 1129817282 /nfs/dbraw/zinc/81/72/82/1129817282.db2.gz XFAYPWUCMKJLFX-UHFFFAOYSA-N 1 2 293.461 3.654 20 0 CHADLO C/C(=C/C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)(C)C ZINC000742549210 1129823101 /nfs/dbraw/zinc/82/31/01/1129823101.db2.gz YOWCKYDUQUQVRI-RAXLEYEMSA-N 1 2 283.375 3.803 20 0 CHADLO CC[C@H]1CCC[N@H+](Cc2csc(Cl)n2)CC1 ZINC000380606750 1129832279 /nfs/dbraw/zinc/83/22/79/1129832279.db2.gz WVHIIYXGJKJLSQ-JTQLQIEISA-N 1 2 258.818 3.809 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cc2csc(Cl)n2)CC1 ZINC000380606750 1129832283 /nfs/dbraw/zinc/83/22/83/1129832283.db2.gz WVHIIYXGJKJLSQ-JTQLQIEISA-N 1 2 258.818 3.809 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cncc2ccccc21 ZINC000768160917 1129838035 /nfs/dbraw/zinc/83/80/35/1129838035.db2.gz CHUJYACSLZYWIW-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cncc2ccccc21 ZINC000768160917 1129838041 /nfs/dbraw/zinc/83/80/41/1129838041.db2.gz CHUJYACSLZYWIW-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1coc(C2CC2)n1 ZINC000768215361 1129843816 /nfs/dbraw/zinc/84/38/16/1129843816.db2.gz DVOAWCGSICKHSY-NSHDSACASA-N 1 2 274.339 3.884 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1coc(C2CC2)n1 ZINC000768215361 1129843821 /nfs/dbraw/zinc/84/38/21/1129843821.db2.gz DVOAWCGSICKHSY-NSHDSACASA-N 1 2 274.339 3.884 20 0 CHADLO CC[N@H+](Cc1coc(C2CC2)n1)Cc1ccc(C)cc1 ZINC000768336854 1129851872 /nfs/dbraw/zinc/85/18/72/1129851872.db2.gz ZEXUZGPTCUHMCQ-UHFFFAOYSA-N 1 2 270.376 3.883 20 0 CHADLO CC[N@@H+](Cc1coc(C2CC2)n1)Cc1ccc(C)cc1 ZINC000768336854 1129851876 /nfs/dbraw/zinc/85/18/76/1129851876.db2.gz ZEXUZGPTCUHMCQ-UHFFFAOYSA-N 1 2 270.376 3.883 20 0 CHADLO COc1ccc([NH2+][C@@H]2CC3CCC2CC3)c(OC)c1 ZINC000382029427 1129854507 /nfs/dbraw/zinc/85/45/07/1129854507.db2.gz QZXGTMCUXPWSIN-KOHJWAIASA-N 1 2 261.365 3.694 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(C)c(F)c2)no1 ZINC000768438922 1129855984 /nfs/dbraw/zinc/85/59/84/1129855984.db2.gz YCQRPFUQRXEQNR-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(C)c(F)c2)no1 ZINC000768438922 1129855987 /nfs/dbraw/zinc/85/59/87/1129855987.db2.gz YCQRPFUQRXEQNR-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO Cl/C=C(\Cl)C[NH+]1CCC(c2cccnc2)CC1 ZINC000768753950 1129872516 /nfs/dbraw/zinc/87/25/16/1129872516.db2.gz FEPMGJYJTBHJPH-JYRVWZFOSA-N 1 2 271.191 3.580 20 0 CHADLO CCCC[C@@H](C)C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000800447819 1129874198 /nfs/dbraw/zinc/87/41/98/1129874198.db2.gz RZVFWGVJNPGPGY-GFCCVEGCSA-N 1 2 297.468 3.750 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@H+](C/C=C/Cl)C1 ZINC000800473157 1129876062 /nfs/dbraw/zinc/87/60/62/1129876062.db2.gz MSWJKBUHTMYVOT-YOIVXCQUSA-N 1 2 253.748 3.618 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@@H+](C/C=C/Cl)C1 ZINC000800473157 1129876066 /nfs/dbraw/zinc/87/60/66/1129876066.db2.gz MSWJKBUHTMYVOT-YOIVXCQUSA-N 1 2 253.748 3.618 20 0 CHADLO COCC[C@@H]1CCC[C@@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000800483238 1129877949 /nfs/dbraw/zinc/87/79/49/1129877949.db2.gz DGCVXCXMHFZDPI-YJBOKZPZSA-N 1 2 288.435 3.904 20 0 CHADLO COCC[C@@H]1CCC[C@@H]1[NH2+]c1ccc(N2CCCC2)cc1 ZINC000800483238 1129877953 /nfs/dbraw/zinc/87/79/53/1129877953.db2.gz DGCVXCXMHFZDPI-YJBOKZPZSA-N 1 2 288.435 3.904 20 0 CHADLO CN1CCCc2cc([NH2+][C@@H]3CCC34CCC4)ccc21 ZINC000800487256 1129878464 /nfs/dbraw/zinc/87/84/64/1129878464.db2.gz AOKNPMQTIXMZQV-MRXNPFEDSA-N 1 2 256.393 3.814 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@@H]3CCC34CCC4)ccc21 ZINC000800487256 1129878469 /nfs/dbraw/zinc/87/84/69/1129878469.db2.gz AOKNPMQTIXMZQV-MRXNPFEDSA-N 1 2 256.393 3.814 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@@H]3CCC34CCC4)ccc21 ZINC000800487256 1129878472 /nfs/dbraw/zinc/87/84/72/1129878472.db2.gz AOKNPMQTIXMZQV-MRXNPFEDSA-N 1 2 256.393 3.814 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2c(C)cccc2F)cs1 ZINC000772865052 1131404974 /nfs/dbraw/zinc/40/49/74/1131404974.db2.gz RGDDKEAZDJLAHF-SNVBAGLBSA-N 1 2 264.369 3.750 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000769319677 1129902404 /nfs/dbraw/zinc/90/24/04/1129902404.db2.gz WLDGPLLRQWFZAZ-INIZCTEOSA-N 1 2 298.386 3.898 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@H+]1Cc1coc(C2CC2)n1 ZINC000769319677 1129902406 /nfs/dbraw/zinc/90/24/06/1129902406.db2.gz WLDGPLLRQWFZAZ-INIZCTEOSA-N 1 2 298.386 3.898 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1ccc(Cl)o1)C2 ZINC000769337909 1129903785 /nfs/dbraw/zinc/90/37/85/1129903785.db2.gz ISKSOKHMUBJVTK-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1ccc(Cl)o1)C2 ZINC000769337909 1129903787 /nfs/dbraw/zinc/90/37/87/1129903787.db2.gz ISKSOKHMUBJVTK-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO FCC[N@@H+]1CCc2sccc2[C@@H]1c1cccs1 ZINC000746499299 1129906394 /nfs/dbraw/zinc/90/63/94/1129906394.db2.gz HLTGQLLQXGZLGK-CYBMUJFWSA-N 1 2 267.394 3.727 20 0 CHADLO FCC[N@H+]1CCc2sccc2[C@@H]1c1cccs1 ZINC000746499299 1129906395 /nfs/dbraw/zinc/90/63/95/1129906395.db2.gz HLTGQLLQXGZLGK-CYBMUJFWSA-N 1 2 267.394 3.727 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3c(F)ccc(F)c3C2)c(C)o1 ZINC000769528795 1129915881 /nfs/dbraw/zinc/91/58/81/1129915881.db2.gz XYLZZNOKUSCZLE-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO Cc1cc(C[N@H+]2CCc3c(F)ccc(F)c3C2)c(C)o1 ZINC000769528795 1129915883 /nfs/dbraw/zinc/91/58/83/1129915883.db2.gz XYLZZNOKUSCZLE-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO FC(F)(F)C[C@H]1CC[N@H+](C/C(Cl)=C/Cl)C1 ZINC000769865090 1129926949 /nfs/dbraw/zinc/92/69/49/1129926949.db2.gz NIXRSRLQRQMEOJ-UDGZVJEDSA-N 1 2 262.102 3.580 20 0 CHADLO FC(F)(F)C[C@H]1CC[N@@H+](C/C(Cl)=C/Cl)C1 ZINC000769865090 1129926952 /nfs/dbraw/zinc/92/69/52/1129926952.db2.gz NIXRSRLQRQMEOJ-UDGZVJEDSA-N 1 2 262.102 3.580 20 0 CHADLO CCc1noc(C)c1[C@@H](C)[NH2+]Cc1nc(C(C)C)cs1 ZINC000769871589 1129930293 /nfs/dbraw/zinc/93/02/93/1129930293.db2.gz JFGCPRDVOJBRGM-SNVBAGLBSA-N 1 2 293.436 3.976 20 0 CHADLO CCn1c[nH+]cc1/C=C\c1nc(C)c(Br)s1 ZINC000901514380 1129932753 /nfs/dbraw/zinc/93/27/53/1129932753.db2.gz GMXWPVNKPNFCML-PLNGDYQASA-N 1 2 298.209 3.601 20 0 CHADLO Cc1cc(NCCC(=O)c2ccccc2)[nH+]c2cc[nH]c21 ZINC001170019293 1129961903 /nfs/dbraw/zinc/96/19/03/1129961903.db2.gz XKYHITFSWVWYRZ-UHFFFAOYSA-N 1 2 279.343 3.556 20 0 CHADLO Cc1[nH]c(CNc2nnc(C3CCCCC3)s2)[nH+]c1C ZINC000902041990 1129974081 /nfs/dbraw/zinc/97/40/81/1129974081.db2.gz HPGFBIHZMAXCGW-UHFFFAOYSA-N 1 2 291.424 3.538 20 0 CHADLO CO[C@H]1CCC[C@@H]([NH2+][C@@H](C(C)C)C(F)(F)F)CC1 ZINC000886597021 1129974279 /nfs/dbraw/zinc/97/42/79/1129974279.db2.gz UFJRPYLVSORXCT-WOPDTQHZSA-N 1 2 267.335 3.511 20 0 CHADLO CCC[N@H+](CCC(C)=O)Cc1c(F)cccc1Cl ZINC000771816691 1129990769 /nfs/dbraw/zinc/99/07/69/1129990769.db2.gz XSWUCBANYUBUAL-UHFFFAOYSA-N 1 2 271.763 3.670 20 0 CHADLO CCC[N@@H+](CCC(C)=O)Cc1c(F)cccc1Cl ZINC000771816691 1129990772 /nfs/dbraw/zinc/99/07/72/1129990772.db2.gz XSWUCBANYUBUAL-UHFFFAOYSA-N 1 2 271.763 3.670 20 0 CHADLO Cc1cccn2c(CNc3cccnc3C3CC3)c[nH+]c12 ZINC000902291415 1129997424 /nfs/dbraw/zinc/99/74/24/1129997424.db2.gz RBZPRSIYLCBJSU-UHFFFAOYSA-N 1 2 278.359 3.527 20 0 CHADLO C[C@@H](COC(=O)[C@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000780091557 1129998135 /nfs/dbraw/zinc/99/81/35/1129998135.db2.gz ZQBBJWHXYCCNRA-YJBOKZPZSA-N 1 2 297.398 3.636 20 0 CHADLO CC(=CC(C)(C)C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000771970636 1129999492 /nfs/dbraw/zinc/99/94/92/1129999492.db2.gz ZGJZEBMZANNVKD-MDZDMXLPSA-N 1 2 271.364 3.802 20 0 CHADLO CNc1ccc(C)cc1CNc1ccc([NH+](C)C)cc1 ZINC000902344800 1130002217 /nfs/dbraw/zinc/00/22/17/1130002217.db2.gz GHEXXMKMPUSDCY-UHFFFAOYSA-N 1 2 269.392 3.715 20 0 CHADLO c1c[nH+]c(-c2ccc(-c3ccc4c(c3)OCCO4)cc2)[nH]1 ZINC001239603962 1130007125 /nfs/dbraw/zinc/00/71/25/1130007125.db2.gz FYYKXFDZBAJHSF-UHFFFAOYSA-N 1 2 278.311 3.515 20 0 CHADLO Cc1ccc2cc([C@@H](C)[NH2+]Cc3csc(N)n3)oc2c1 ZINC000902500474 1130018058 /nfs/dbraw/zinc/01/80/58/1130018058.db2.gz WGIWIHSFCRWTOP-SNVBAGLBSA-N 1 2 287.388 3.631 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@H]3C[C@H]3C(C)C)c2)[nH+]c1C ZINC000772367049 1130019945 /nfs/dbraw/zinc/01/99/45/1130019945.db2.gz VGWZDAMVLBYHIP-HOTGVXAUSA-N 1 2 297.402 3.924 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@@H]3C[C@@H]3C(C)C)c2)[nH+]c1C ZINC000772367050 1130021036 /nfs/dbraw/zinc/02/10/36/1130021036.db2.gz VGWZDAMVLBYHIP-HZPDHXFCSA-N 1 2 297.402 3.924 20 0 CHADLO Cc1nc(CNc2[nH]c3cc(C)ccc3[nH+]2)sc1C ZINC000902645095 1130034586 /nfs/dbraw/zinc/03/45/86/1130034586.db2.gz SCADEIJFHCMLAE-UHFFFAOYSA-N 1 2 272.377 3.557 20 0 CHADLO CCc1nnc(COc2cc(C)[nH+]c3ccc(C)cc32)s1 ZINC000902692851 1130039972 /nfs/dbraw/zinc/03/99/72/1130039972.db2.gz DFWFOQONBUWUHJ-UHFFFAOYSA-N 1 2 299.399 3.845 20 0 CHADLO C[C@@H](c1nc(CCCc2ccccc2Cl)no1)[NH+](C)C ZINC000785588988 1130040338 /nfs/dbraw/zinc/04/03/38/1130040338.db2.gz YQQJPLWBZFUIMV-NSHDSACASA-N 1 2 293.798 3.521 20 0 CHADLO COc1ccc2c(c1)nccc2-c1ccc2[nH+]c(C)cn2c1 ZINC001239677419 1130048685 /nfs/dbraw/zinc/04/86/85/1130048685.db2.gz QURXGNMDGQJAES-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO Fc1cccc(-c2ccccc2Cn2cc[nH+]c2)c1F ZINC001239706770 1130063172 /nfs/dbraw/zinc/06/31/72/1130063172.db2.gz SCJGXPOQDBLVAL-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO Cc1cccc(-c2cc[nH+]c(N3CCCC3)c2)c1C ZINC001239715084 1130069408 /nfs/dbraw/zinc/06/94/08/1130069408.db2.gz INGSAAYWKAVHKJ-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO CCc1nc(OC)ccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001239773382 1130102456 /nfs/dbraw/zinc/10/24/56/1130102456.db2.gz WXGVWBCGYIZOKY-UHFFFAOYSA-N 1 2 279.343 3.505 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnn(CC2CCC2)c1)c1nccs1 ZINC000903092535 1130110296 /nfs/dbraw/zinc/11/02/96/1130110296.db2.gz LGRUNLMIOXGOKV-NWDGAFQWSA-N 1 2 290.436 3.552 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1CCOCC(F)F ZINC000786732270 1130113249 /nfs/dbraw/zinc/11/32/49/1130113249.db2.gz MFMDRWHIEAFYFU-UHFFFAOYSA-N 1 2 297.349 3.530 20 0 CHADLO C[C@H]1COCCN1c1ccc([NH2+][C@@H]2CCC[C@@H]3C[C@@H]32)cc1 ZINC000903146647 1130119636 /nfs/dbraw/zinc/11/96/36/1130119636.db2.gz JBRPOTPQSMARFA-IHETXDGRSA-N 1 2 286.419 3.512 20 0 CHADLO CO[C@H](c1ccccc1)[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000786892879 1130119947 /nfs/dbraw/zinc/11/99/47/1130119947.db2.gz RKUHBFGXVCTTQN-KSSFIOAISA-N 1 2 284.403 3.941 20 0 CHADLO C/C(=C/C(C)(C)C)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000772696086 1130133468 /nfs/dbraw/zinc/13/34/68/1130133468.db2.gz VOHWJNUNMBJEQJ-FLIBITNWSA-N 1 2 271.364 3.574 20 0 CHADLO CC1CC[NH+](Cc2ccc(Cl)nc2C(F)(F)F)CC1 ZINC000787158408 1130134101 /nfs/dbraw/zinc/13/41/01/1130134101.db2.gz UWEJYCMSKMSVLU-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO COc1c(Cl)cccc1C[NH2+][C@@H](C)c1nc(C)cs1 ZINC000903248050 1130136270 /nfs/dbraw/zinc/13/62/70/1130136270.db2.gz ZZMPTBODPCNWFN-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO CCOc1ccc(F)c(-c2ccc3[nH+]c(C)cn3c2)c1 ZINC001242935913 1130146656 /nfs/dbraw/zinc/14/66/56/1130146656.db2.gz NYHHAPLHRSQLCG-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+][C@H](C)c1ncco1)C(C)(C)C ZINC000903331582 1130158232 /nfs/dbraw/zinc/15/82/32/1130158232.db2.gz ZDQCMCPNAAXLBG-TZMCWYRMSA-N 1 2 273.380 3.816 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc2c(ccn2C)c1 ZINC001239941778 1130162015 /nfs/dbraw/zinc/16/20/15/1130162015.db2.gz MNPAHXJOBUBEGV-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cncc2ccccc21 ZINC001239947267 1130165272 /nfs/dbraw/zinc/16/52/72/1130165272.db2.gz NNWDJEPRLDCWMT-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2c(C)nn(C)c2Cl)c(C)s1 ZINC000788006075 1130168309 /nfs/dbraw/zinc/16/83/09/1130168309.db2.gz LGZVYQHLTXEFKH-UHFFFAOYSA-N 1 2 297.855 3.692 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2c(C)nn(C)c2Cl)c(C)s1 ZINC000788006075 1130168311 /nfs/dbraw/zinc/16/83/11/1130168311.db2.gz LGZVYQHLTXEFKH-UHFFFAOYSA-N 1 2 297.855 3.692 20 0 CHADLO COCc1ccccc1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001239952737 1130168496 /nfs/dbraw/zinc/16/84/96/1130168496.db2.gz HECVWRYBRKHKJZ-UHFFFAOYSA-N 1 2 278.355 3.901 20 0 CHADLO c1c[nH+]c(-c2ccc(-c3ccc4cncnc4c3)cc2)[nH]1 ZINC001239993628 1130184689 /nfs/dbraw/zinc/18/46/89/1130184689.db2.gz SMLXZHJBPRHTDY-UHFFFAOYSA-N 1 2 272.311 3.687 20 0 CHADLO Cc1cc(-c2cnn(Cc3ccccc3)c2)c2[nH+]ccn2c1 ZINC001240002891 1130188880 /nfs/dbraw/zinc/18/88/80/1130188880.db2.gz NHLFDPMDXBZQNM-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO COc1ccc(-c2cc(C)cn3cc[nH+]c23)cc1Cl ZINC001240031274 1130199804 /nfs/dbraw/zinc/19/98/04/1130199804.db2.gz JKBUEPIIBPVBJH-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO CCCCNC(=O)c1cccc(-c2c(C)cc[nH+]c2C)c1 ZINC001240086583 1130210857 /nfs/dbraw/zinc/21/08/57/1130210857.db2.gz BSWFGRFVBYFAEP-UHFFFAOYSA-N 1 2 282.387 3.895 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(F)c(C(F)(F)C(F)(F)F)c1 ZINC001243069767 1130227656 /nfs/dbraw/zinc/22/76/56/1130227656.db2.gz LCLTYGFYRCWFAU-UHFFFAOYSA-N 1 2 294.198 3.880 20 0 CHADLO C[C@H]1CC[C@H](Nc2ccc([NH+]3CCCC3)cc2)CS1 ZINC000689398658 1130235193 /nfs/dbraw/zinc/23/51/93/1130235193.db2.gz NFEJDTDQODWDDV-ZFWWWQNUSA-N 1 2 276.449 3.983 20 0 CHADLO c1csc(-c2cncc(-c3ccc4[nH+]ccn4c3)n2)c1 ZINC001240217138 1130235399 /nfs/dbraw/zinc/23/53/99/1130235399.db2.gz CPYDUPBUGDAMJI-UHFFFAOYSA-N 1 2 278.340 3.520 20 0 CHADLO Cc1nc2c(cccc2-c2ccc3[nH+]ccn3c2)s1 ZINC001240218217 1130235789 /nfs/dbraw/zinc/23/57/89/1130235789.db2.gz GOQRZCFZRJPMDB-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)nc(-c2cccs2)n1 ZINC001240217497 1130236013 /nfs/dbraw/zinc/23/60/13/1130236013.db2.gz PDVGDXDPPXXARR-UHFFFAOYSA-N 1 2 292.367 3.828 20 0 CHADLO COc1ccc2cccnc2c1-c1ccc2[nH+]ccn2c1 ZINC001240217442 1130236115 /nfs/dbraw/zinc/23/61/15/1130236115.db2.gz DEVRLJPLKYIRDD-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CSc1ccc2ncnc(-c3ccc4[nH+]ccn4c3)c2c1 ZINC001240217750 1130236199 /nfs/dbraw/zinc/23/61/99/1130236199.db2.gz VFMAJZZEUNXFJV-UHFFFAOYSA-N 1 2 292.367 3.666 20 0 CHADLO c1coc(-c2ccccc2-c2ccc3[nH+]ccn3c2)n1 ZINC001240219316 1130236687 /nfs/dbraw/zinc/23/66/87/1130236687.db2.gz GAZSFUKPUNJTTL-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO CCCCOc1ncc(-c2ccc3[nH+]ccn3c2)cc1C ZINC001240219006 1130237073 /nfs/dbraw/zinc/23/70/73/1130237073.db2.gz UPHPVJOGTUSFAO-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO Cc1cc2ncccc2c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218775 1130237310 /nfs/dbraw/zinc/23/73/10/1130237310.db2.gz YVMSXDUKAGXCCK-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO c1cn2cc(-c3ccc(O[C@H]4CCCCO4)cc3)ccc2[nH+]1 ZINC001240218796 1130237406 /nfs/dbraw/zinc/23/74/06/1130237406.db2.gz ZWNBQYGBWJIGLO-SFHVURJKSA-N 1 2 294.354 3.907 20 0 CHADLO CC(C)Oc1ccc(-c2ccc3[nH+]ccn3c2)cc1F ZINC001240219396 1130237832 /nfs/dbraw/zinc/23/78/32/1130237832.db2.gz KJLNERAHEUNIQB-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO CC(C)(C)c1ccc(-c2ccc3[nH+]ccn3c2)cn1 ZINC001240218620 1130237920 /nfs/dbraw/zinc/23/79/20/1130237920.db2.gz GKWJBOHBDSNRLF-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO Cc1cc(Cl)cc(C)c1OC(=O)CCCn1cc[nH+]c1 ZINC000748604123 1130238155 /nfs/dbraw/zinc/23/81/55/1130238155.db2.gz AJEDAJPXDSYIMU-UHFFFAOYSA-N 1 2 292.766 3.539 20 0 CHADLO c1cn2cc(-c3cccc(N4CCCCC4)c3)ccc2[nH+]1 ZINC001240219728 1130239062 /nfs/dbraw/zinc/23/90/62/1130239062.db2.gz STVZUZPWTMSZRG-UHFFFAOYSA-N 1 2 277.371 3.992 20 0 CHADLO COc1cc(C)c(C)cc1-c1ccc2[nH+]ccn2c1 ZINC001240221860 1130239276 /nfs/dbraw/zinc/23/92/76/1130239276.db2.gz WOJKCDQGUPGHCQ-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cc1c(-c2ccc(C(F)(F)F)nc2)ccc2[nH+]ccn21 ZINC001240270280 1130254075 /nfs/dbraw/zinc/25/40/75/1130254075.db2.gz SPSIXEJQWJDGFQ-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Cc1csc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)c1Cl ZINC001137287552 1130296829 /nfs/dbraw/zinc/29/68/29/1130296829.db2.gz OULMZRVXPWEUHJ-CMPLNLGQSA-N 1 2 279.783 3.982 20 0 CHADLO Cc1csc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)c1Cl ZINC001137287552 1130296834 /nfs/dbraw/zinc/29/68/34/1130296834.db2.gz OULMZRVXPWEUHJ-CMPLNLGQSA-N 1 2 279.783 3.982 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccnc(C(F)(F)F)c1 ZINC001240339901 1130269369 /nfs/dbraw/zinc/26/93/69/1130269369.db2.gz JUZRZCDORRNTGD-UHFFFAOYSA-N 1 2 293.248 3.652 20 0 CHADLO c1cn2cccc(-c3ccc4ncsc4c3)c2[nH+]1 ZINC001240345100 1130273240 /nfs/dbraw/zinc/27/32/40/1130273240.db2.gz ZTTRHYNQBNMHSL-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO C[C@@H]([NH2+]CC(F)F)c1ccc(F)c2ccccc21 ZINC000676840971 1130273601 /nfs/dbraw/zinc/27/36/01/1130273601.db2.gz CQTYKQFYOHKHPK-SECBINFHSA-N 1 2 253.267 3.895 20 0 CHADLO CC(C)CCn1cc(-c2ccc(-c3c[nH+]cn3C)cc2)cn1 ZINC001240420526 1130301676 /nfs/dbraw/zinc/30/16/76/1130301676.db2.gz UCJFACNDBLJPKM-UHFFFAOYSA-N 1 2 294.402 3.997 20 0 CHADLO CC[N@H+](Cc1cn2c(cccc2C)n1)Cc1ccccc1F ZINC001137301430 1130315124 /nfs/dbraw/zinc/31/51/24/1130315124.db2.gz JGTPATJTLJWVDR-UHFFFAOYSA-N 1 2 297.377 3.804 20 0 CHADLO CC[N@@H+](Cc1cn2c(cccc2C)n1)Cc1ccccc1F ZINC001137301430 1130315129 /nfs/dbraw/zinc/31/51/29/1130315129.db2.gz JGTPATJTLJWVDR-UHFFFAOYSA-N 1 2 297.377 3.804 20 0 CHADLO CSc1ccc(F)c(-c2c[nH+]c(N)c(C)c2)c1F ZINC001243211565 1130319742 /nfs/dbraw/zinc/31/97/42/1130319742.db2.gz IVXZSUPURWOQHB-UHFFFAOYSA-N 1 2 266.316 3.639 20 0 CHADLO Cc1cn2cc(-c3ccc4c(c3)c(C)nn4C)ccc2[nH+]1 ZINC001240458807 1130319838 /nfs/dbraw/zinc/31/98/38/1130319838.db2.gz NQBZAFMGAJUCKH-UHFFFAOYSA-N 1 2 276.343 3.505 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[NH+]1CC2(C1)CC(F)(F)C2 ZINC000677730122 1130325689 /nfs/dbraw/zinc/32/56/89/1130325689.db2.gz BYOQVLQALGNQPZ-VIFPVBQESA-N 1 2 273.273 3.757 20 0 CHADLO Fc1c(C(F)(F)F)ccnc1-c1ccn2cc[nH+]c2c1 ZINC001240495871 1130327902 /nfs/dbraw/zinc/32/79/02/1130327902.db2.gz APVQBCLCQAOBTR-UHFFFAOYSA-N 1 2 281.212 3.554 20 0 CHADLO COc1cccc2ccc(-c3ccn4cc[nH+]c4c3)nc21 ZINC001240496041 1130328136 /nfs/dbraw/zinc/32/81/36/1130328136.db2.gz DQYLZNDRBILCPT-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC(C)c1cc(N[C@H](C)C[C@@H]2CCOC2)nc(C(C)C)[nH+]1 ZINC000677745480 1130328613 /nfs/dbraw/zinc/32/86/13/1130328613.db2.gz BNMMSKDFJOEVMT-KGLIPLIRSA-N 1 2 291.439 3.950 20 0 CHADLO COc1ccc2nc(-c3ccn4cc[nH+]c4c3)cc(C)c2c1 ZINC001240496447 1130328795 /nfs/dbraw/zinc/32/87/95/1130328795.db2.gz OFQWDBNKCJSKNG-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO Cc1sc2ncnc(-c3ccn4cc[nH+]c4c3)c2c1C ZINC001240496129 1130328846 /nfs/dbraw/zinc/32/88/46/1130328846.db2.gz HVCVTWRKUDDZFE-UHFFFAOYSA-N 1 2 280.356 3.623 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@H](C)c2csc(C)n2)s1 ZINC000677755577 1130329580 /nfs/dbraw/zinc/32/95/80/1130329580.db2.gz HJYYCWOPYVNKNE-SFYZADRCSA-N 1 2 267.423 3.628 20 0 CHADLO Fc1cnc(-c2ccn3cc[nH+]c3c2)cc1C(F)(F)F ZINC001240496668 1130329952 /nfs/dbraw/zinc/32/99/52/1130329952.db2.gz PHHLRLTZZFNLTR-UHFFFAOYSA-N 1 2 281.212 3.554 20 0 CHADLO Fc1ccc2cccnc2c1-c1ccn2cc[nH+]c2c1 ZINC001240511019 1130335470 /nfs/dbraw/zinc/33/54/70/1130335470.db2.gz YUDLOYHHQKJHTH-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO COc1cccc2cc(-c3ccn4cc[nH+]c4c3)cnc21 ZINC001240510491 1130335723 /nfs/dbraw/zinc/33/57/23/1130335723.db2.gz MAXGFKJLNXJZGK-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO COc1ccc2nc(C)cc(-c3ccn4cc[nH+]c4c3)c2c1 ZINC001240512572 1130337139 /nfs/dbraw/zinc/33/71/39/1130337139.db2.gz VUPHPLMJZZDPTB-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO CC(C)Sc1ccc(-c2ccn3cc[nH+]c3c2)cn1 ZINC001240512003 1130337913 /nfs/dbraw/zinc/33/79/13/1130337913.db2.gz OEKHFIUMVCSSSP-UHFFFAOYSA-N 1 2 269.373 3.897 20 0 CHADLO CSCc1cccc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240512769 1130337939 /nfs/dbraw/zinc/33/79/39/1130337939.db2.gz ZPGUENWJKMCWKY-UHFFFAOYSA-N 1 2 254.358 3.864 20 0 CHADLO FC(F)(F)c1cccnc1C[NH2+]Cc1ccc(Cl)o1 ZINC000677964463 1130339549 /nfs/dbraw/zinc/33/95/49/1130339549.db2.gz DLPVGVYQXPLHPQ-UHFFFAOYSA-N 1 2 290.672 3.637 20 0 CHADLO Cc1[nH+]ccn1CCN(C)Cc1ccc(Cl)cc1Cl ZINC000677982064 1130340106 /nfs/dbraw/zinc/34/01/06/1130340106.db2.gz JZZSGFADUDYJIB-UHFFFAOYSA-N 1 2 298.217 3.630 20 0 CHADLO Cc1nc(OCC(C)C)ccc1-c1ccn2cc[nH+]c2c1 ZINC001240517843 1130340540 /nfs/dbraw/zinc/34/05/40/1130340540.db2.gz INLKCEDKLIAZNG-UHFFFAOYSA-N 1 2 281.359 3.740 20 0 CHADLO CC1(C)Cc2cccc(-c3ccn4cc[nH+]c4c3)c2NC1=O ZINC001240519033 1130340910 /nfs/dbraw/zinc/34/09/10/1130340910.db2.gz YCIBBJMVHSCHTM-UHFFFAOYSA-N 1 2 291.354 3.522 20 0 CHADLO COc1ccc(-c2ccn3cc[nH+]c3c2)cc1SC ZINC001240518401 1130341436 /nfs/dbraw/zinc/34/14/36/1130341436.db2.gz PHOVCEQJRRSWKW-UHFFFAOYSA-N 1 2 270.357 3.732 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc3ccccc3[nH]1)C2 ZINC001137319710 1130345263 /nfs/dbraw/zinc/34/52/63/1130345263.db2.gz LJZZMRDFRSNLMM-UHFFFAOYSA-N 1 2 278.355 3.692 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc3ccccc3[nH]1)C2 ZINC001137319710 1130345260 /nfs/dbraw/zinc/34/52/60/1130345260.db2.gz LJZZMRDFRSNLMM-UHFFFAOYSA-N 1 2 278.355 3.692 20 0 CHADLO C[C@H]([NH2+]Cc1nccc2ccccc21)c1cscn1 ZINC000678114489 1130350712 /nfs/dbraw/zinc/35/07/12/1130350712.db2.gz HTBQLAUOQPWUSU-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO C[N@H+](Cc1[nH]nc2ccccc21)Cc1ccccc1Cl ZINC000678122999 1130352247 /nfs/dbraw/zinc/35/22/47/1130352247.db2.gz WPNYARFOZPRIFC-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO C[N@@H+](Cc1[nH]nc2ccccc21)Cc1ccccc1Cl ZINC000678122999 1130352254 /nfs/dbraw/zinc/35/22/54/1130352254.db2.gz WPNYARFOZPRIFC-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cccc(-c2cc[nH]n2)c1 ZINC001240562888 1130361174 /nfs/dbraw/zinc/36/11/74/1130361174.db2.gz VIFMSPDZQYSKQJ-UHFFFAOYSA-N 1 2 290.326 3.629 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1n[nH]c2ccccc21)C1CC1 ZINC000678213418 1130371995 /nfs/dbraw/zinc/37/19/95/1130371995.db2.gz UPVBEPANCJENKW-LBPRGKRZSA-N 1 2 281.359 3.882 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1n[nH]c2ccccc21)C1CC1 ZINC000678213418 1130372000 /nfs/dbraw/zinc/37/20/00/1130372000.db2.gz UPVBEPANCJENKW-LBPRGKRZSA-N 1 2 281.359 3.882 20 0 CHADLO Cc1cc(-c2ccc(N3CCCC3)cc2)cc(C)[nH+]1 ZINC001240590382 1130375728 /nfs/dbraw/zinc/37/57/28/1130375728.db2.gz SFBFJWHFSUKSGA-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)C1=CCCCC1 ZINC000791453255 1130376183 /nfs/dbraw/zinc/37/61/83/1130376183.db2.gz BRXIMQFKDHQBNK-UHFFFAOYSA-N 1 2 296.370 3.724 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1C/C(Cl)=C/Cl ZINC000791585716 1130387468 /nfs/dbraw/zinc/38/74/68/1130387468.db2.gz UCAWSGLOMHUGGS-MOIBXHDPSA-N 1 2 262.102 3.578 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1C/C(Cl)=C/Cl ZINC000791585716 1130387473 /nfs/dbraw/zinc/38/74/73/1130387473.db2.gz UCAWSGLOMHUGGS-MOIBXHDPSA-N 1 2 262.102 3.578 20 0 CHADLO CSc1cccc(-c2ccc(Cn3cc[nH+]c3)cn2)c1F ZINC001243357452 1130390708 /nfs/dbraw/zinc/39/07/08/1130390708.db2.gz AASZRERSHNGKTH-UHFFFAOYSA-N 1 2 299.374 3.854 20 0 CHADLO CCCCCC[C@H](C)CC(=O)OCc1cc[nH+]c(N)c1 ZINC000791837976 1130412677 /nfs/dbraw/zinc/41/26/77/1130412677.db2.gz GMLRCKLIKVDGAZ-ZDUSSCGKSA-N 1 2 278.396 3.704 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1nccs1)c1ccccn1 ZINC000678544575 1130426147 /nfs/dbraw/zinc/42/61/47/1130426147.db2.gz QZTCKAOQFXNAMS-WCQYABFASA-N 1 2 261.394 3.730 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1c[nH]c3ccc(F)cc13)C2 ZINC001137341253 1130431249 /nfs/dbraw/zinc/43/12/49/1130431249.db2.gz FHWNGTHTNMLYHE-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1c[nH]c3ccc(F)cc13)C2 ZINC001137341253 1130431253 /nfs/dbraw/zinc/43/12/53/1130431253.db2.gz FHWNGTHTNMLYHE-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cnc(Cl)c(C)c1)C2 ZINC000792181908 1130437438 /nfs/dbraw/zinc/43/74/38/1130437438.db2.gz VZWAPMYEGLCKQA-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cnc(Cl)c(C)c1)C2 ZINC000792181908 1130437442 /nfs/dbraw/zinc/43/74/42/1130437442.db2.gz VZWAPMYEGLCKQA-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+]Cc2ncc(C3CC3)o2)cc1 ZINC000678733273 1130448705 /nfs/dbraw/zinc/44/87/05/1130448705.db2.gz NJEXNXXIMRHSSL-LBPRGKRZSA-N 1 2 286.375 3.802 20 0 CHADLO c1cn(Cc2ccccc2-c2ccc3c(c2)CCCO3)c[nH+]1 ZINC001240773866 1130466527 /nfs/dbraw/zinc/46/65/27/1130466527.db2.gz YZWGXVGGVVKAHP-UHFFFAOYSA-N 1 2 290.366 3.923 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2csnn2)C2CCCC2)cc1 ZINC000678920540 1130470897 /nfs/dbraw/zinc/47/08/97/1130470897.db2.gz XEOVWFFTVSAENJ-HNNXBMFYSA-N 1 2 291.395 3.698 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc(O)c(F)c2)cc1 ZINC001240788070 1130470941 /nfs/dbraw/zinc/47/09/41/1130470941.db2.gz YMFHXPKUIJUKED-UHFFFAOYSA-N 1 2 268.291 3.599 20 0 CHADLO CCC(CC)([NH2+][C@@H](C)Cc1ccccc1Cl)C(=O)OC ZINC001170266412 1130471000 /nfs/dbraw/zinc/47/10/00/1130471000.db2.gz OBIXSVMXHJYSQC-LBPRGKRZSA-N 1 2 297.826 3.592 20 0 CHADLO CC(C)c1ccc(C(C)(C)[NH2+]Cc2csnn2)cc1 ZINC000678931875 1130474401 /nfs/dbraw/zinc/47/44/01/1130474401.db2.gz QPNGEYWHYMXORD-UHFFFAOYSA-N 1 2 275.421 3.686 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1nccs1 ZINC000679117379 1130494353 /nfs/dbraw/zinc/49/43/53/1130494353.db2.gz GFBMYSSLYCNOOC-UHFFFAOYSA-N 1 2 272.377 3.515 20 0 CHADLO CC(C)Cn1cc(-c2cccc(-c3c[nH+]cn3C)c2)cn1 ZINC001240887310 1130502758 /nfs/dbraw/zinc/50/27/58/1130502758.db2.gz HAHCHZITXAFWCL-UHFFFAOYSA-N 1 2 280.375 3.607 20 0 CHADLO Nc1[nH+]cccc1-c1ccc2[nH]c(C(F)(F)F)cc2c1 ZINC001243476339 1130505752 /nfs/dbraw/zinc/50/57/52/1130505752.db2.gz CMQKRKVJLZKNJK-UHFFFAOYSA-N 1 2 277.249 3.831 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cc(Cl)ccc2N)c1 ZINC001243486190 1130512974 /nfs/dbraw/zinc/51/29/74/1130512974.db2.gz RKZLHQJHKWDCFU-UHFFFAOYSA-N 1 2 274.751 3.951 20 0 CHADLO CN(C)c1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)cc1 ZINC001240915581 1130515063 /nfs/dbraw/zinc/51/50/63/1130515063.db2.gz ZLZXENXWBWUGDC-UHFFFAOYSA-N 1 2 277.371 3.820 20 0 CHADLO CN(C)c1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1 ZINC001240917208 1130515725 /nfs/dbraw/zinc/51/57/25/1130515725.db2.gz VMNNNFCDCJJWPA-UHFFFAOYSA-N 1 2 281.334 3.744 20 0 CHADLO CC(C)(C)c1ccc(C[NH+]2Cc3ccccc3C2)[nH]1 ZINC001137370714 1130519731 /nfs/dbraw/zinc/51/97/31/1130519731.db2.gz PLPDUCHEOLMQCI-UHFFFAOYSA-N 1 2 254.377 3.828 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(OC(F)F)c(F)c2)C[C@@H]1F ZINC000679358568 1130519820 /nfs/dbraw/zinc/51/98/20/1130519820.db2.gz KTDYTQYIRCFVRU-SKDRFNHKSA-N 1 2 291.288 3.607 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(OC(F)F)c(F)c2)C[C@@H]1F ZINC000679358568 1130519824 /nfs/dbraw/zinc/51/98/24/1130519824.db2.gz KTDYTQYIRCFVRU-SKDRFNHKSA-N 1 2 291.288 3.607 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](CC1CC1)c1ccccc1 ZINC000679359200 1130521101 /nfs/dbraw/zinc/52/11/01/1130521101.db2.gz CHFWUUZYFBZVDL-YVEFUNNKSA-N 1 2 269.392 3.910 20 0 CHADLO Oc1ccc(CNc2ccc(-n3cc[nH+]c3)c(Cl)c2)cc1 ZINC000679392217 1130525581 /nfs/dbraw/zinc/52/55/81/1130525581.db2.gz JXBNAWKNHWMLDF-UHFFFAOYSA-N 1 2 299.761 3.843 20 0 CHADLO COCc1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1 ZINC001240953098 1130532934 /nfs/dbraw/zinc/53/29/34/1130532934.db2.gz XURMAIHTYYGLPB-UHFFFAOYSA-N 1 2 278.355 3.745 20 0 CHADLO COCC[C@@H]([NH2+][C@@H](C)c1nc(C)sc1C)c1ccco1 ZINC000679576743 1130542712 /nfs/dbraw/zinc/54/27/12/1130542712.db2.gz RXLOOEQODFOAKG-GXFFZTMASA-N 1 2 294.420 3.781 20 0 CHADLO Fc1ccc(C[NH+]2CCC(F)(F)CC2)c(Cl)c1 ZINC000793360462 1130545243 /nfs/dbraw/zinc/54/52/43/1130545243.db2.gz VMBKLZOTJSMXMK-UHFFFAOYSA-N 1 2 263.690 3.710 20 0 CHADLO FC(F)(F)c1ccc(C[NH+]2CCC(F)(F)CC2)s1 ZINC000793363055 1130546341 /nfs/dbraw/zinc/54/63/41/1130546341.db2.gz ZRBOFBPMAUAXBG-UHFFFAOYSA-N 1 2 285.281 3.998 20 0 CHADLO Cc1cc(-c2cc(F)c(F)c(F)c2)c2[nH+]ccn2c1 ZINC001240979867 1130547824 /nfs/dbraw/zinc/54/78/24/1130547824.db2.gz IUXVXEQTKAEEHD-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO Cc1ccc(Br)c(C[N@@H+]2CCC[C@H](F)C2)c1 ZINC001137384712 1130549590 /nfs/dbraw/zinc/54/95/90/1130549590.db2.gz KCLDKZZYBBKKEM-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(Br)c(C[N@H+]2CCC[C@H](F)C2)c1 ZINC001137384712 1130549594 /nfs/dbraw/zinc/54/95/94/1130549594.db2.gz KCLDKZZYBBKKEM-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Fc1c(Cl)cccc1C[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000679741172 1130552552 /nfs/dbraw/zinc/55/25/52/1130552552.db2.gz WJHUUMFJNLGPMJ-JTQLQIEISA-N 1 2 293.716 3.683 20 0 CHADLO Fc1c(Cl)cccc1C[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000679741172 1130552557 /nfs/dbraw/zinc/55/25/57/1130552557.db2.gz WJHUUMFJNLGPMJ-JTQLQIEISA-N 1 2 293.716 3.683 20 0 CHADLO CN(C)c1cccc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001240985611 1130552681 /nfs/dbraw/zinc/55/26/81/1130552681.db2.gz BCQIJWBFRZDLQX-UHFFFAOYSA-N 1 2 277.371 3.664 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](c2ccco2)c2ccccc2)n(C)n1 ZINC000793515647 1130560510 /nfs/dbraw/zinc/56/05/10/1130560510.db2.gz IHUTVYWVJJRIPF-RDTXWAMCSA-N 1 2 295.386 3.762 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(F)c(OCc2ccccc2)c1 ZINC001241007295 1130562006 /nfs/dbraw/zinc/56/20/06/1130562006.db2.gz YTKAANYGJAMFEV-UHFFFAOYSA-N 1 2 282.318 3.805 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC000679942964 1130564401 /nfs/dbraw/zinc/56/44/01/1130564401.db2.gz ANFQTUMODMPCFU-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO CCCCOc1ccc([C@@H](C)[NH2+]Cc2ccon2)cc1 ZINC000793531610 1130571985 /nfs/dbraw/zinc/57/19/85/1130571985.db2.gz DKPXJKSMRPKNBG-CYBMUJFWSA-N 1 2 274.364 3.704 20 0 CHADLO CC[C@@]1(C)CCC[N@H+](Cn2nc(C(F)(F)F)cc2C)C1 ZINC000811753279 1130643046 /nfs/dbraw/zinc/64/30/46/1130643046.db2.gz RHABHQMDHQDZIP-ZDUSSCGKSA-N 1 2 289.345 3.680 20 0 CHADLO CC[C@@]1(C)CCC[N@@H+](Cn2nc(C(F)(F)F)cc2C)C1 ZINC000811753279 1130643051 /nfs/dbraw/zinc/64/30/51/1130643051.db2.gz RHABHQMDHQDZIP-ZDUSSCGKSA-N 1 2 289.345 3.680 20 0 CHADLO CC(C)n1ccn(C[N@@H+]2CCC(F)(F)[C@@H](C)C2)c1=S ZINC000811778671 1130643288 /nfs/dbraw/zinc/64/32/88/1130643288.db2.gz DRFUMIMEXHTPEO-NSHDSACASA-N 1 2 289.395 3.535 20 0 CHADLO CC(C)n1ccn(C[N@H+]2CCC(F)(F)[C@@H](C)C2)c1=S ZINC000811778671 1130643292 /nfs/dbraw/zinc/64/32/92/1130643292.db2.gz DRFUMIMEXHTPEO-NSHDSACASA-N 1 2 289.395 3.535 20 0 CHADLO Fc1cccc2c(NCc3ccn4cc[nH+]c4c3)ccnc12 ZINC000811817035 1130650253 /nfs/dbraw/zinc/65/02/53/1130650253.db2.gz MMTOTZQIIXSWGI-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO Oc1cccnc1CNc1[nH+]ccc2cc(Cl)ccc21 ZINC001158775157 1130662219 /nfs/dbraw/zinc/66/22/19/1130662219.db2.gz ZRZDWVYSAYQARY-UHFFFAOYSA-N 1 2 285.734 3.601 20 0 CHADLO Cc1nc(N[C@@H](Cc2ccccc2)c2nccs2)cc[nH+]1 ZINC001170659311 1130665309 /nfs/dbraw/zinc/66/53/09/1130665309.db2.gz KHTJMBWPMZQCGZ-AWEZNQCLSA-N 1 2 296.399 3.637 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2CCCc3cn(C(C)C)nc32)o1 ZINC000780407975 1130679291 /nfs/dbraw/zinc/67/92/91/1130679291.db2.gz FTQOAMMPLOAVNZ-HNNXBMFYSA-N 1 2 273.380 3.533 20 0 CHADLO COc1cccc2c(NCCc3cnc(C)s3)cc[nH+]c12 ZINC000780566380 1130684820 /nfs/dbraw/zinc/68/48/20/1130684820.db2.gz SFCIMMXHJFTDTI-UHFFFAOYSA-N 1 2 299.399 3.663 20 0 CHADLO CCCCC[C@@](C)(CC)C(=O)NC[C@H](C)Cn1cc[nH+]c1 ZINC000780778294 1130691502 /nfs/dbraw/zinc/69/15/02/1130691502.db2.gz XEPPRIKUYUSPEW-DOTOQJQBSA-N 1 2 293.455 3.632 20 0 CHADLO CCCc1ccc(OC2C[NH+](C(C)(C)C)C2)c(C(C)=O)c1 ZINC001234925115 1130691452 /nfs/dbraw/zinc/69/14/52/1130691452.db2.gz YHQAJQILQAINQS-UHFFFAOYSA-N 1 2 289.419 3.703 20 0 CHADLO CC/C(C)=C(/C)C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000780904767 1130695026 /nfs/dbraw/zinc/69/50/26/1130695026.db2.gz IVPXDDZUYQMQAN-QXMHVHEDSA-N 1 2 295.452 3.670 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)CCCC2CC2)n1 ZINC000812479723 1130696282 /nfs/dbraw/zinc/69/62/82/1130696282.db2.gz OWLMNMBOELYHOF-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)CCCC1CC1 ZINC000812601732 1130700340 /nfs/dbraw/zinc/70/03/40/1130700340.db2.gz FDIKVHCBGMUGGP-UHFFFAOYSA-N 1 2 298.386 3.804 20 0 CHADLO Cc1cc(Cl)c(CN2CCn3c[nH+]cc3C2)c(Cl)c1 ZINC001235166953 1130715933 /nfs/dbraw/zinc/71/59/33/1130715933.db2.gz ANOXDMQIMVYTEV-UHFFFAOYSA-N 1 2 296.201 3.514 20 0 CHADLO CCc1cccc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)n1 ZINC001235262908 1130729166 /nfs/dbraw/zinc/72/91/66/1130729166.db2.gz GQMQYJIIDBKUSS-HNAYVOBHSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1cccc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)n1 ZINC001235262908 1130729170 /nfs/dbraw/zinc/72/91/70/1130729170.db2.gz GQMQYJIIDBKUSS-HNAYVOBHSA-N 1 2 296.414 3.606 20 0 CHADLO COC(=O)[C@H](C)[N@H+](C)Cc1cccc(-c2ccc(C)cc2)c1 ZINC001235317526 1130732585 /nfs/dbraw/zinc/73/25/85/1130732585.db2.gz YWLUNGTWFUNPRY-HNNXBMFYSA-N 1 2 297.398 3.655 20 0 CHADLO COC(=O)[C@H](C)[N@@H+](C)Cc1cccc(-c2ccc(C)cc2)c1 ZINC001235317526 1130732589 /nfs/dbraw/zinc/73/25/89/1130732589.db2.gz YWLUNGTWFUNPRY-HNNXBMFYSA-N 1 2 297.398 3.655 20 0 CHADLO COc1ccccc1[C@H](C)[N@H+](C)Cc1cc(C)cnc1F ZINC001235338912 1130733306 /nfs/dbraw/zinc/73/33/06/1130733306.db2.gz LFJJQNDRDNHGFG-ZDUSSCGKSA-N 1 2 288.366 3.731 20 0 CHADLO COc1ccccc1[C@H](C)[N@@H+](C)Cc1cc(C)cnc1F ZINC001235338912 1130733310 /nfs/dbraw/zinc/73/33/10/1130733310.db2.gz LFJJQNDRDNHGFG-ZDUSSCGKSA-N 1 2 288.366 3.731 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1cc(C)cnc1F ZINC001235345194 1130735216 /nfs/dbraw/zinc/73/52/16/1130735216.db2.gz ISFNGKPIBUPMEY-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1cc(C)cnc1F ZINC001235345194 1130735223 /nfs/dbraw/zinc/73/52/23/1130735223.db2.gz ISFNGKPIBUPMEY-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@H+](Cc1ccc(C)c(C)c1)Cc1ncc(Cl)cn1 ZINC001235424567 1130742049 /nfs/dbraw/zinc/74/20/49/1130742049.db2.gz UQUXIAGSNJQIPN-UHFFFAOYSA-N 1 2 289.810 3.769 20 0 CHADLO CC[N@@H+](Cc1ccc(C)c(C)c1)Cc1ncc(Cl)cn1 ZINC001235424567 1130742051 /nfs/dbraw/zinc/74/20/51/1130742051.db2.gz UQUXIAGSNJQIPN-UHFFFAOYSA-N 1 2 289.810 3.769 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cncc(F)c1Cl ZINC001235493183 1130749736 /nfs/dbraw/zinc/74/97/36/1130749736.db2.gz TWSBHEPJFGKQNS-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cncc(F)c1Cl ZINC001235493183 1130749742 /nfs/dbraw/zinc/74/97/42/1130749742.db2.gz TWSBHEPJFGKQNS-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Fc1ccc2c[nH+]c(NCc3cccc4ccnn43)cc2c1 ZINC001170700052 1130751922 /nfs/dbraw/zinc/75/19/22/1130751922.db2.gz BKBPDIQUOOOEMM-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1cc(C)cc(Cl)c1)CC2 ZINC001235538576 1130754049 /nfs/dbraw/zinc/75/40/49/1130754049.db2.gz PDIQYPOTVYGRAL-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1cc(C)cc(Cl)c1)CC2 ZINC001235538576 1130754054 /nfs/dbraw/zinc/75/40/54/1130754054.db2.gz PDIQYPOTVYGRAL-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)[C@@H](C)CCCc1ccccc1 ZINC001133682260 1130755887 /nfs/dbraw/zinc/75/58/87/1130755887.db2.gz QYNBQKAJPMYTQI-AWEZNQCLSA-N 1 2 296.414 3.718 20 0 CHADLO C[N@H+](Cc1cscn1)[C@@H]1CCc2cc(Cl)ccc21 ZINC000782180965 1130758677 /nfs/dbraw/zinc/75/86/77/1130758677.db2.gz MPWHRIKNUNRYIM-CQSZACIVSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1cscn1)[C@@H]1CCc2cc(Cl)ccc21 ZINC000782180965 1130758684 /nfs/dbraw/zinc/75/86/84/1130758684.db2.gz MPWHRIKNUNRYIM-CQSZACIVSA-N 1 2 278.808 3.916 20 0 CHADLO CN(C)c1cc(CN(CC2CC2)c2ccccc2)cc[nH+]1 ZINC000782174023 1130758783 /nfs/dbraw/zinc/75/87/83/1130758783.db2.gz BJLFFPXGWPNKNH-UHFFFAOYSA-N 1 2 281.403 3.564 20 0 CHADLO CC(C)[C@H]1CCC[N@H+](Cc2csc(Cl)n2)C1 ZINC000694470623 1130787539 /nfs/dbraw/zinc/78/75/39/1130787539.db2.gz UOMHKIORFMNJCP-JTQLQIEISA-N 1 2 258.818 3.665 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000694470623 1130787546 /nfs/dbraw/zinc/78/75/46/1130787546.db2.gz UOMHKIORFMNJCP-JTQLQIEISA-N 1 2 258.818 3.665 20 0 CHADLO CCCC[N@H+](C)Cc1c(Br)ccnc1Cl ZINC001235872312 1130790919 /nfs/dbraw/zinc/79/09/19/1130790919.db2.gz QXTRFXHLXQKSHL-UHFFFAOYSA-N 1 2 291.620 3.729 20 0 CHADLO CCCC[N@@H+](C)Cc1c(Br)ccnc1Cl ZINC001235872312 1130790921 /nfs/dbraw/zinc/79/09/21/1130790921.db2.gz QXTRFXHLXQKSHL-UHFFFAOYSA-N 1 2 291.620 3.729 20 0 CHADLO C[NH+](C)c1ccc(N[C@H](c2nc[nH]n2)C2CCCCC2)cc1 ZINC000813864833 1130791331 /nfs/dbraw/zinc/79/13/31/1130791331.db2.gz FDOINNHKIFIQDE-INIZCTEOSA-N 1 2 299.422 3.604 20 0 CHADLO c1nc([C@@H](Nc2cc(C3CC3)cc[nH+]2)C2CCCCC2)n[nH]1 ZINC000813873394 1130793511 /nfs/dbraw/zinc/79/35/11/1130793511.db2.gz XXVHAGSYFVJJDY-INIZCTEOSA-N 1 2 297.406 3.811 20 0 CHADLO c1nnc([C@@H](Nc2cc(C3CC3)cc[nH+]2)C2CCCCC2)[nH]1 ZINC000813873394 1130793516 /nfs/dbraw/zinc/79/35/16/1130793516.db2.gz XXVHAGSYFVJJDY-INIZCTEOSA-N 1 2 297.406 3.811 20 0 CHADLO Oc1cc(Cl)cc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001235905409 1130802440 /nfs/dbraw/zinc/80/24/40/1130802440.db2.gz XHIVSWOEJQWINC-UHFFFAOYSA-N 1 2 270.719 3.898 20 0 CHADLO C[C@H](Nc1ccc(-n2cccc2)c[nH+]1)c1cccc(N)c1 ZINC001170727779 1130827205 /nfs/dbraw/zinc/82/72/05/1130827205.db2.gz LNBFGAUIKBIXLL-ZDUSSCGKSA-N 1 2 278.359 3.628 20 0 CHADLO Cc1cc(-c2ccc(-c3ccncc3)cc2)c[nH+]c1N ZINC001236037651 1130834841 /nfs/dbraw/zinc/83/48/41/1130834841.db2.gz NUZYTNZRRHHUMY-UHFFFAOYSA-N 1 2 261.328 3.701 20 0 CHADLO CC(C)CCCCCC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000747909317 1130841578 /nfs/dbraw/zinc/84/15/78/1130841578.db2.gz UOKVSTVXGRXWPA-UHFFFAOYSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(N)c2)[nH+]c2cc[nH]c21 ZINC001170735555 1130846564 /nfs/dbraw/zinc/84/65/64/1130846564.db2.gz WWOOIFPHYPCNAA-NSHDSACASA-N 1 2 266.348 3.627 20 0 CHADLO CC(C)Oc1ncccc1-c1ccccc1Cn1cc[nH+]c1 ZINC001236104722 1130863371 /nfs/dbraw/zinc/86/33/71/1130863371.db2.gz PFISIAZHIYDOEK-UHFFFAOYSA-N 1 2 293.370 3.781 20 0 CHADLO CC(C)Oc1ncccc1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001236105694 1130865080 /nfs/dbraw/zinc/86/50/80/1130865080.db2.gz JHFSCZGHFQWXEQ-UHFFFAOYSA-N 1 2 293.370 3.936 20 0 CHADLO COc1cc(-c2ccc3[nH+]ccn3c2C)cc(C)c1F ZINC001236205305 1130886843 /nfs/dbraw/zinc/88/68/43/1130886843.db2.gz CXLUMNQNATUTDG-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO Nc1ccc(-c2ccc(-c3cn4ccsc4n3)cc2)c[nH+]1 ZINC001236312911 1130912143 /nfs/dbraw/zinc/91/21/43/1130912143.db2.gz CAMODTICZKXTIV-UHFFFAOYSA-N 1 2 292.367 3.707 20 0 CHADLO Nc1ccc(-c2ccc3nc(C(F)(F)F)ccc3c2)c[nH+]1 ZINC001236313318 1130912413 /nfs/dbraw/zinc/91/24/13/1130912413.db2.gz NLAONGANSVVSTC-UHFFFAOYSA-N 1 2 289.260 3.898 20 0 CHADLO Nc1ccc(-c2ccc(OC(F)(F)C(F)F)cc2)c[nH+]1 ZINC001236313327 1130912696 /nfs/dbraw/zinc/91/26/96/1130912696.db2.gz NYWBBIKIWNFLMZ-UHFFFAOYSA-N 1 2 286.228 3.568 20 0 CHADLO CC(C)(C)Oc1cc(F)cc(-c2ccc(N)[nH+]c2)c1 ZINC001236316726 1130913367 /nfs/dbraw/zinc/91/33/67/1130913367.db2.gz OUYTZPFVTNPBKJ-UHFFFAOYSA-N 1 2 260.312 3.647 20 0 CHADLO Nc1ccc(-c2cc(F)c(F)c(C(F)F)c2)c[nH+]1 ZINC001236316730 1130913478 /nfs/dbraw/zinc/91/34/78/1130913478.db2.gz JDIVYNHCZFCVQI-UHFFFAOYSA-N 1 2 256.202 3.547 20 0 CHADLO Cc1ccc(F)c(-c2ccc(-n3cc[nH+]c3)cc2)c1O ZINC001236402991 1130928921 /nfs/dbraw/zinc/92/89/21/1130928921.db2.gz GSIRYWZIQSZFTK-UHFFFAOYSA-N 1 2 268.291 3.692 20 0 CHADLO CC(C)Oc1cc(-c2ccc(N)[nH+]c2)ccc1Cl ZINC001236411494 1130931756 /nfs/dbraw/zinc/93/17/56/1130931756.db2.gz VRIRTABXSCKXGI-UHFFFAOYSA-N 1 2 262.740 3.771 20 0 CHADLO Cc1ccc(F)c(-c2cc(F)c(C[NH+](C)C)c(F)c2)c1O ZINC001236413505 1130932994 /nfs/dbraw/zinc/93/29/94/1130932994.db2.gz BLFHDJRCPMTETD-UHFFFAOYSA-N 1 2 295.304 3.847 20 0 CHADLO COc1c(C)cccc1-c1ccc2[nH+]ccn2c1C ZINC001245365643 1130952853 /nfs/dbraw/zinc/95/28/53/1130952853.db2.gz OARCGBNHTCHSMI-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cc1ccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)c2c[nH]nc21 ZINC001236491485 1130955769 /nfs/dbraw/zinc/95/57/69/1130955769.db2.gz AGFYAJSWOGCEIN-UHFFFAOYSA-N 1 2 274.327 3.928 20 0 CHADLO CCOc1ncc(Cl)cc1-c1cc(C)cn2cc[nH+]c12 ZINC001236498003 1130958261 /nfs/dbraw/zinc/95/82/61/1130958261.db2.gz RUDQAOXJZBPBDU-UHFFFAOYSA-N 1 2 287.750 3.757 20 0 CHADLO CSCc1cnc(C[NH2+]Cc2c(C)cccc2F)s1 ZINC000815126701 1130959804 /nfs/dbraw/zinc/95/98/04/1130959804.db2.gz FKWSBRFTBVFUDE-UHFFFAOYSA-N 1 2 296.436 3.743 20 0 CHADLO CC(C)Oc1cncc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001236519973 1130962403 /nfs/dbraw/zinc/96/24/03/1130962403.db2.gz MXCNOLAHNWCGSP-UHFFFAOYSA-N 1 2 293.370 3.781 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cncc(OC(C)C)c2)cc1 ZINC001236521486 1130963540 /nfs/dbraw/zinc/96/35/40/1130963540.db2.gz NQCSSWQRBJQLNR-UHFFFAOYSA-N 1 2 284.359 3.898 20 0 CHADLO CC(C)Oc1cc(-c2ccccc2Cn2cc[nH+]c2)ccn1 ZINC001236564634 1130976668 /nfs/dbraw/zinc/97/66/68/1130976668.db2.gz XEHSDIWTSKTKKI-UHFFFAOYSA-N 1 2 293.370 3.781 20 0 CHADLO CC1=C(Br)C[N@H+](C[C@@H]2CC2(Cl)Cl)CC1 ZINC000797529178 1130991239 /nfs/dbraw/zinc/99/12/39/1130991239.db2.gz KKPZHBINVUZNPD-QMMMGPOBSA-N 1 2 299.039 3.555 20 0 CHADLO CC1=C(Br)C[N@@H+](C[C@@H]2CC2(Cl)Cl)CC1 ZINC000797529178 1130991240 /nfs/dbraw/zinc/99/12/40/1130991240.db2.gz KKPZHBINVUZNPD-QMMMGPOBSA-N 1 2 299.039 3.555 20 0 CHADLO CCOc1ncc(C[N@@H+]2CC[C@@H]2c2ccccc2)cc1F ZINC001236701554 1130995928 /nfs/dbraw/zinc/99/59/28/1130995928.db2.gz OJIPSUZJWHTABT-MRXNPFEDSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1ncc(C[N@H+]2CC[C@@H]2c2ccccc2)cc1F ZINC001236701554 1130995929 /nfs/dbraw/zinc/99/59/29/1130995929.db2.gz OJIPSUZJWHTABT-MRXNPFEDSA-N 1 2 286.350 3.566 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cc(C)nc(Cl)c2)on1 ZINC000797589632 1130996775 /nfs/dbraw/zinc/99/67/75/1130996775.db2.gz DVDVMJPDRZFJBM-CYBMUJFWSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cc(C)nc(Cl)c2)on1 ZINC000797589632 1130996779 /nfs/dbraw/zinc/99/67/79/1130996779.db2.gz DVDVMJPDRZFJBM-CYBMUJFWSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1c(F)ccc(C[N@H+](C)Cc2ccccn2)c1Cl ZINC001236712237 1130997092 /nfs/dbraw/zinc/99/70/92/1130997092.db2.gz AQVMUABCQMFRAI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1c(F)ccc(C[N@@H+](C)Cc2ccccn2)c1Cl ZINC001236712237 1130997094 /nfs/dbraw/zinc/99/70/94/1130997094.db2.gz AQVMUABCQMFRAI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCc1ccc(C[N@@H+]2CCC(C)=C(Br)C2)o1 ZINC000797605834 1130998943 /nfs/dbraw/zinc/99/89/43/1130998943.db2.gz VMAYHUDAZWENOG-UHFFFAOYSA-N 1 2 284.197 3.717 20 0 CHADLO CCc1ccc(C[N@H+]2CCC(C)=C(Br)C2)o1 ZINC000797605834 1130998944 /nfs/dbraw/zinc/99/89/44/1130998944.db2.gz VMAYHUDAZWENOG-UHFFFAOYSA-N 1 2 284.197 3.717 20 0 CHADLO CC[C@@H]([NH2+]CC(C)(F)F)c1ccc2c(c1)CCCO2 ZINC000815645142 1131015041 /nfs/dbraw/zinc/01/50/41/1131015041.db2.gz WRWNBNNNIMSMJD-CYBMUJFWSA-N 1 2 269.335 3.708 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2c(F)cc(F)cc2F)s1 ZINC000815649760 1131018483 /nfs/dbraw/zinc/01/84/83/1131018483.db2.gz YLESUBLOMMBIJV-SSDOTTSWSA-N 1 2 286.322 3.720 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@H]2c2ccccc2)c(F)cc1F ZINC001236833880 1131018559 /nfs/dbraw/zinc/01/85/59/1131018559.db2.gz HPJAWYYGXLETRP-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cc(C[N@H+]2CC[C@H]2c2ccccc2)c(F)cc1F ZINC001236833880 1131018567 /nfs/dbraw/zinc/01/85/67/1131018567.db2.gz HPJAWYYGXLETRP-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cccnc2)c1Cl ZINC001236852551 1131022846 /nfs/dbraw/zinc/02/28/46/1131022846.db2.gz YEAAHSSKTMLASG-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cccnc2)c1Cl ZINC001236852551 1131022849 /nfs/dbraw/zinc/02/28/49/1131022849.db2.gz YEAAHSSKTMLASG-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO c1ccc(OCNc2[nH+]ccc3c4c([nH]c32)CCCC4)cc1 ZINC001170803669 1131028134 /nfs/dbraw/zinc/02/81/34/1131028134.db2.gz IWFVURIMWLGKRV-UHFFFAOYSA-N 1 2 293.370 3.890 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N[C@H]3CCOC[C@@H]3C)c2)cc1 ZINC001170814343 1131059488 /nfs/dbraw/zinc/05/94/88/1131059488.db2.gz AXIPEPYCAOMDRM-YOEHRIQHSA-N 1 2 282.387 3.894 20 0 CHADLO Cc1c(C[N@@H+]2CCc3cc(F)ccc3C2)ccc(F)c1F ZINC001237167038 1131065191 /nfs/dbraw/zinc/06/51/91/1131065191.db2.gz OWELSXPHZGVQRQ-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(C[N@H+]2CCc3cc(F)ccc3C2)ccc(F)c1F ZINC001237167038 1131065197 /nfs/dbraw/zinc/06/51/97/1131065197.db2.gz OWELSXPHZGVQRQ-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO O=C1CC[NH+](Cc2ccccc2CCc2ccccc2)CC1 ZINC001237299648 1131078634 /nfs/dbraw/zinc/07/86/34/1131078634.db2.gz VWCIHLFRJAOVJN-UHFFFAOYSA-N 1 2 293.410 3.637 20 0 CHADLO CCc1cccc(C)c1C[N@H+](C)Cc1cscn1 ZINC001237330894 1131086367 /nfs/dbraw/zinc/08/63/67/1131086367.db2.gz UOJCBDGQBRWLRI-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CC(C)(C)OC(=O)Nc1ccc(Cl)cc1C[NH+]1CCC1 ZINC001237368109 1131092005 /nfs/dbraw/zinc/09/20/05/1131092005.db2.gz UXRGYVZAPWEDTI-UHFFFAOYSA-N 1 2 296.798 3.893 20 0 CHADLO C[N@H+](Cc1cnc(Cl)c(F)c1)Cc1ccccc1F ZINC001237409095 1131097128 /nfs/dbraw/zinc/09/71/28/1131097128.db2.gz DXKOCGNGYBERPR-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1cnc(Cl)c(F)c1)Cc1ccccc1F ZINC001237409095 1131097130 /nfs/dbraw/zinc/09/71/30/1131097130.db2.gz DXKOCGNGYBERPR-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO CC(C)[N@H+](Cc1cnn(C)c1Cl)Cc1cccc(F)c1 ZINC000816486820 1131098493 /nfs/dbraw/zinc/09/84/93/1131098493.db2.gz SMSVLOZCCYEKTR-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC(C)[N@@H+](Cc1cnn(C)c1Cl)Cc1cccc(F)c1 ZINC000816486820 1131098499 /nfs/dbraw/zinc/09/84/99/1131098499.db2.gz SMSVLOZCCYEKTR-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2cc3ccccn3n2)c1 ZINC001237446797 1131098607 /nfs/dbraw/zinc/09/86/07/1131098607.db2.gz PXZBGUGIDRKDAY-GOSISDBHSA-N 1 2 295.361 3.811 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2cc3ccccn3n2)c1 ZINC001237446797 1131098613 /nfs/dbraw/zinc/09/86/13/1131098613.db2.gz PXZBGUGIDRKDAY-GOSISDBHSA-N 1 2 295.361 3.811 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2c(O)cccc2F)c1 ZINC001245656881 1131108139 /nfs/dbraw/zinc/10/81/39/1131108139.db2.gz XDXVYERHUKSCMQ-UHFFFAOYSA-N 1 2 259.280 3.560 20 0 CHADLO CCC[N@H+](CCOC)Cc1c(Cl)cc(F)cc1Cl ZINC001237594670 1131117705 /nfs/dbraw/zinc/11/77/05/1131117705.db2.gz WFOJBFRFYVOBQT-UHFFFAOYSA-N 1 2 294.197 3.991 20 0 CHADLO CCC[N@@H+](CCOC)Cc1c(Cl)cc(F)cc1Cl ZINC001237594670 1131117709 /nfs/dbraw/zinc/11/77/09/1131117709.db2.gz WFOJBFRFYVOBQT-UHFFFAOYSA-N 1 2 294.197 3.991 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(C(F)(F)F)ccc2F)[C@H]1C ZINC000816646600 1131117140 /nfs/dbraw/zinc/11/71/40/1131117140.db2.gz GHYWCKWYHHUICO-IUCAKERBSA-N 1 2 261.262 3.685 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(C(F)(F)F)ccc2F)[C@H]1C ZINC000816646600 1131117142 /nfs/dbraw/zinc/11/71/42/1131117142.db2.gz GHYWCKWYHHUICO-IUCAKERBSA-N 1 2 261.262 3.685 20 0 CHADLO CSCC[N@H+](C)Cc1c(Cl)cc(F)cc1Cl ZINC001237603394 1131118317 /nfs/dbraw/zinc/11/83/17/1131118317.db2.gz SFIRTYIPWITKBQ-UHFFFAOYSA-N 1 2 282.211 3.927 20 0 CHADLO CSCC[N@@H+](C)Cc1c(Cl)cc(F)cc1Cl ZINC001237603394 1131118319 /nfs/dbraw/zinc/11/83/19/1131118319.db2.gz SFIRTYIPWITKBQ-UHFFFAOYSA-N 1 2 282.211 3.927 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccnc(OC(F)F)c1)C2 ZINC001237612555 1131119409 /nfs/dbraw/zinc/11/94/09/1131119409.db2.gz UFONETVMYPOPBM-UHFFFAOYSA-N 1 2 290.313 3.507 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccnc(OC(F)F)c1)C2 ZINC001237612555 1131119412 /nfs/dbraw/zinc/11/94/12/1131119412.db2.gz UFONETVMYPOPBM-UHFFFAOYSA-N 1 2 290.313 3.507 20 0 CHADLO CCc1[nH]ncc1C[N@@H+]1CCCC[C@@H]1c1cccc(OC)c1 ZINC001237650503 1131123676 /nfs/dbraw/zinc/12/36/76/1131123676.db2.gz IEXISFDCNLMCOO-GOSISDBHSA-N 1 2 299.418 3.708 20 0 CHADLO CCc1[nH]ncc1C[N@H+]1CCCC[C@@H]1c1cccc(OC)c1 ZINC001237650503 1131123680 /nfs/dbraw/zinc/12/36/80/1131123680.db2.gz IEXISFDCNLMCOO-GOSISDBHSA-N 1 2 299.418 3.708 20 0 CHADLO Cc1coc(C[N@@H+]2CCC[C@@H]2c2cccc(Cl)c2)n1 ZINC001237640919 1131124059 /nfs/dbraw/zinc/12/40/59/1131124059.db2.gz PEVUETRVEUHGHF-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1coc(C[N@H+]2CCC[C@@H]2c2cccc(Cl)c2)n1 ZINC001237640919 1131124062 /nfs/dbraw/zinc/12/40/62/1131124062.db2.gz PEVUETRVEUHGHF-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1nc2ccc(-c3ccccc3)cn2c1C[N@H+](C)CCF ZINC001237658094 1131126021 /nfs/dbraw/zinc/12/60/21/1131126021.db2.gz AAAANHSGQLFKIH-UHFFFAOYSA-N 1 2 297.377 3.711 20 0 CHADLO Cc1nc2ccc(-c3ccccc3)cn2c1C[N@@H+](C)CCF ZINC001237658094 1131126023 /nfs/dbraw/zinc/12/60/23/1131126023.db2.gz AAAANHSGQLFKIH-UHFFFAOYSA-N 1 2 297.377 3.711 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2CCC(=O)C(C)(C)C2)c1 ZINC001237703008 1131129338 /nfs/dbraw/zinc/12/93/38/1131129338.db2.gz XGRRAOTXMDWURO-UHFFFAOYSA-N 1 2 279.811 3.758 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2CCC(=O)C(C)(C)C2)c1 ZINC001237703008 1131129339 /nfs/dbraw/zinc/12/93/39/1131129339.db2.gz XGRRAOTXMDWURO-UHFFFAOYSA-N 1 2 279.811 3.758 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2CCCCC23COC3)c1 ZINC001237703737 1131130571 /nfs/dbraw/zinc/13/05/71/1131130571.db2.gz FPLPOGJIKLQMRS-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2CCCCC23COC3)c1 ZINC001237703737 1131130573 /nfs/dbraw/zinc/13/05/73/1131130573.db2.gz FPLPOGJIKLQMRS-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO Cc1cc(C[NH+]2CC3(C2)CCCCO3)ccc1OC(C)C ZINC001237720372 1131133536 /nfs/dbraw/zinc/13/35/36/1131133536.db2.gz DDZPXRFGLXBEMZ-UHFFFAOYSA-N 1 2 289.419 3.537 20 0 CHADLO CCc1cc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)ccc1Cl ZINC001237734891 1131135228 /nfs/dbraw/zinc/13/52/28/1131135228.db2.gz DVFKWTCDKJLEOS-KCQAQPDRSA-N 1 2 267.775 3.692 20 0 CHADLO CCc1cc(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)ccc1Cl ZINC001237734891 1131135231 /nfs/dbraw/zinc/13/52/31/1131135231.db2.gz DVFKWTCDKJLEOS-KCQAQPDRSA-N 1 2 267.775 3.692 20 0 CHADLO CCc1cc(C[N@@H+]2CCc3cnccc3C2)ccc1Cl ZINC001237739303 1131136139 /nfs/dbraw/zinc/13/61/39/1131136139.db2.gz TYKRXKBXZHWMCY-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cc(C[N@H+]2CCc3cnccc3C2)ccc1Cl ZINC001237739303 1131136142 /nfs/dbraw/zinc/13/61/42/1131136142.db2.gz TYKRXKBXZHWMCY-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO COc1cc(OC)c(-c2ccn3cc[nH+]c3c2)cc1Cl ZINC001245724227 1131138134 /nfs/dbraw/zinc/13/81/34/1131138134.db2.gz AOIUCJOPZZUUAJ-UHFFFAOYSA-N 1 2 288.734 3.672 20 0 CHADLO Cc1cc(CNc2cc(Cl)nc(C3CC3)n2)cc(C)[nH+]1 ZINC000817123415 1131143772 /nfs/dbraw/zinc/14/37/72/1131143772.db2.gz JXOCPHORGKTUPJ-UHFFFAOYSA-N 1 2 288.782 3.631 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2ccc(C)cc2C)n[nH]1 ZINC001116437067 1131144991 /nfs/dbraw/zinc/14/49/91/1131144991.db2.gz FQFPEFKIMBZSPM-CQSZACIVSA-N 1 2 257.381 3.528 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2ccc(C)cc2C)n[nH]1 ZINC001116437067 1131144994 /nfs/dbraw/zinc/14/49/94/1131144994.db2.gz FQFPEFKIMBZSPM-CQSZACIVSA-N 1 2 257.381 3.528 20 0 CHADLO COc1ccoc1C[N@@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC001237876743 1131147865 /nfs/dbraw/zinc/14/78/65/1131147865.db2.gz QKEMXPXELWZBMG-AWEZNQCLSA-N 1 2 293.313 3.904 20 0 CHADLO COc1ccoc1C[N@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC001237876743 1131147868 /nfs/dbraw/zinc/14/78/68/1131147868.db2.gz QKEMXPXELWZBMG-AWEZNQCLSA-N 1 2 293.313 3.904 20 0 CHADLO Cc1ccc(N[C@@H]2CCc3ccc(F)cc32)c(C)[nH+]1 ZINC001116485096 1131149716 /nfs/dbraw/zinc/14/97/16/1131149716.db2.gz RGRLIVROJHYPSU-MRXNPFEDSA-N 1 2 256.324 3.937 20 0 CHADLO CCn1nc(C)c(C[N@H+](C(C)C)[C@H](C)c2ccsc2)n1 ZINC000817287822 1131152317 /nfs/dbraw/zinc/15/23/17/1131152317.db2.gz QSOUUOHGKGXIFU-CYBMUJFWSA-N 1 2 292.452 3.640 20 0 CHADLO CCn1nc(C)c(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)n1 ZINC000817287822 1131152319 /nfs/dbraw/zinc/15/23/19/1131152319.db2.gz QSOUUOHGKGXIFU-CYBMUJFWSA-N 1 2 292.452 3.640 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C(C)C)o1)c1ccc(F)cc1 ZINC001116541346 1131153708 /nfs/dbraw/zinc/15/37/08/1131153708.db2.gz FMRHYJIXVQQLMB-ZDUSSCGKSA-N 1 2 277.343 3.573 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237990848 1131158164 /nfs/dbraw/zinc/15/81/64/1131158164.db2.gz ZKVBAUBUJMRKJI-VHSXEESVSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237990848 1131158167 /nfs/dbraw/zinc/15/81/67/1131158167.db2.gz ZKVBAUBUJMRKJI-VHSXEESVSA-N 1 2 271.763 3.805 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCC[C@@H]3CCC[C@H]32)cc1Cl ZINC001237997635 1131159344 /nfs/dbraw/zinc/15/93/44/1131159344.db2.gz NFMBWCXUPAPIEE-SMDDNHRTSA-N 1 2 283.774 3.949 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1cncc(Cl)c1Cl ZINC001238007495 1131160829 /nfs/dbraw/zinc/16/08/29/1131160829.db2.gz KQYATPYUOFKMKH-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1cncc(Cl)c1Cl ZINC001238007495 1131160825 /nfs/dbraw/zinc/16/08/25/1131160825.db2.gz KQYATPYUOFKMKH-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO Cc1ccc(O)cc1C[N@@H+]1CCc2cc(F)c(F)cc2C1 ZINC001238111075 1131173023 /nfs/dbraw/zinc/17/30/23/1131173023.db2.gz TUVRVZMPFZMICW-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1ccc(O)cc1C[N@H+]1CCc2cc(F)c(F)cc2C1 ZINC001238111075 1131173027 /nfs/dbraw/zinc/17/30/27/1131173027.db2.gz TUVRVZMPFZMICW-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1ncncc1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC001238178697 1131175723 /nfs/dbraw/zinc/17/57/23/1131175723.db2.gz PKVUHCNTJVSXEM-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ncncc1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC001238178697 1131175724 /nfs/dbraw/zinc/17/57/24/1131175724.db2.gz PKVUHCNTJVSXEM-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@@H+]1CCCC[C@@H](F)C1 ZINC001238318797 1131190076 /nfs/dbraw/zinc/19/00/76/1131190076.db2.gz PAMUDZAHSHFKRP-CQSZACIVSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@H+]1CCCC[C@@H](F)C1 ZINC001238318797 1131190079 /nfs/dbraw/zinc/19/00/79/1131190079.db2.gz PAMUDZAHSHFKRP-CQSZACIVSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@H+](C)Cc1ccccn1 ZINC001238332425 1131191838 /nfs/dbraw/zinc/19/18/38/1131191838.db2.gz PRJGXXLPTVOBSS-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@@H+](C)Cc1ccccn1 ZINC001238332425 1131191847 /nfs/dbraw/zinc/19/18/47/1131191847.db2.gz PRJGXXLPTVOBSS-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CCOc1ccc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)c(Cl)c1 ZINC001238394206 1131195946 /nfs/dbraw/zinc/19/59/46/1131195946.db2.gz HCJDVKZRLIVPRC-YGRLFVJLSA-N 1 2 271.763 3.529 20 0 CHADLO CCOc1ccc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)c(Cl)c1 ZINC001238394206 1131195949 /nfs/dbraw/zinc/19/59/49/1131195949.db2.gz HCJDVKZRLIVPRC-YGRLFVJLSA-N 1 2 271.763 3.529 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2cccnc2)ncc1Cl ZINC001238421622 1131197752 /nfs/dbraw/zinc/19/77/52/1131197752.db2.gz HPHHLKGSXKOVOL-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2cccnc2)ncc1Cl ZINC001238421622 1131197755 /nfs/dbraw/zinc/19/77/55/1131197755.db2.gz HPHHLKGSXKOVOL-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO ClC1(Cl)C[C@@H]1CCOc1ccccc1-n1cc[nH+]c1 ZINC000818077312 1131198679 /nfs/dbraw/zinc/19/86/79/1131198679.db2.gz AWOUBCMKKPAUFT-NSHDSACASA-N 1 2 297.185 3.835 20 0 CHADLO COc1c(F)c(F)ccc1C[N@H+](C)Cc1ccsc1 ZINC001238473617 1131205375 /nfs/dbraw/zinc/20/53/75/1131205375.db2.gz SAAOGSVPCVFTOM-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1c(F)c(F)ccc1C[N@@H+](C)Cc1ccsc1 ZINC001238473617 1131205381 /nfs/dbraw/zinc/20/53/81/1131205381.db2.gz SAAOGSVPCVFTOM-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO Cc1ccc(OC(C)C)cc1C[NH+]1CC2(C1)CCCCO2 ZINC001238480095 1131207467 /nfs/dbraw/zinc/20/74/67/1131207467.db2.gz IFNZBUHNEFRCIB-UHFFFAOYSA-N 1 2 289.419 3.537 20 0 CHADLO Cc1cc(N2CCC[C@@H]2[C@H]2CCCOC2)[nH+]c2ccccc12 ZINC001118125310 1131210775 /nfs/dbraw/zinc/21/07/75/1131210775.db2.gz SRBLLLCUFYAUKG-MAUKXSAKSA-N 1 2 296.414 3.939 20 0 CHADLO Cc1c(Cl)ccc(C[N@H+](C)Cc2ccccn2)c1F ZINC001238511980 1131210937 /nfs/dbraw/zinc/21/09/37/1131210937.db2.gz KILZCMNHJCFVCG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1c(Cl)ccc(C[N@@H+](C)Cc2ccccn2)c1F ZINC001238511980 1131210941 /nfs/dbraw/zinc/21/09/41/1131210941.db2.gz KILZCMNHJCFVCG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(NCOc2ccccc2)nc(C(C)(C)C)[nH+]1 ZINC001159705216 1131213253 /nfs/dbraw/zinc/21/32/53/1131213253.db2.gz SXYBEEKRXNJQCO-UHFFFAOYSA-N 1 2 271.364 3.531 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)cnc1C1CC1 ZINC001238619766 1131222857 /nfs/dbraw/zinc/22/28/57/1131222857.db2.gz JCXGIDVRJRWZBW-GOEBONIOSA-N 1 2 280.362 3.539 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)cnc1C1CC1 ZINC001238619766 1131222861 /nfs/dbraw/zinc/22/28/61/1131222861.db2.gz JCXGIDVRJRWZBW-GOEBONIOSA-N 1 2 280.362 3.539 20 0 CHADLO CC(C)(C)C(=O)Nc1ccc(Cl)cc1C[NH+]1CCC1 ZINC001238674204 1131229607 /nfs/dbraw/zinc/22/96/07/1131229607.db2.gz RFXUMZAAIIFXRA-UHFFFAOYSA-N 1 2 280.799 3.530 20 0 CHADLO Cc1ccc(Cl)c(NCc2c[nH+]c(C)cc2C)n1 ZINC000829463548 1131420532 /nfs/dbraw/zinc/42/05/32/1131420532.db2.gz MXJYAXIIBBXOOO-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO Fc1ccc(C[NH2+]C2(c3ccccc3Cl)CC2)nc1 ZINC000829484528 1131421577 /nfs/dbraw/zinc/42/15/77/1131421577.db2.gz VDOTYGFYIRPOGN-UHFFFAOYSA-N 1 2 276.742 3.653 20 0 CHADLO Cc1cccc(C)c1C[N@@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001137848129 1131423303 /nfs/dbraw/zinc/42/33/03/1131423303.db2.gz UXHHXCDWTMCOIU-AWEZNQCLSA-N 1 2 271.326 3.873 20 0 CHADLO Cc1cccc(C)c1C[N@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001137848129 1131423304 /nfs/dbraw/zinc/42/33/04/1131423304.db2.gz UXHHXCDWTMCOIU-AWEZNQCLSA-N 1 2 271.326 3.873 20 0 CHADLO CC(C)[N@H+](Cc1cccc(F)c1)Cc1cc(F)ncc1F ZINC000823400155 1131425201 /nfs/dbraw/zinc/42/52/01/1131425201.db2.gz XZMSZKLFUZCMFP-UHFFFAOYSA-N 1 2 294.320 3.910 20 0 CHADLO CC(C)[N@@H+](Cc1cccc(F)c1)Cc1cc(F)ncc1F ZINC000823400155 1131425203 /nfs/dbraw/zinc/42/52/03/1131425203.db2.gz XZMSZKLFUZCMFP-UHFFFAOYSA-N 1 2 294.320 3.910 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1cc(F)cc(F)c1F ZINC001137867408 1131425941 /nfs/dbraw/zinc/42/59/41/1131425941.db2.gz UVXIUIRVDQXOGI-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1cc(F)cc(F)c1F ZINC001137867408 1131425946 /nfs/dbraw/zinc/42/59/46/1131425946.db2.gz UVXIUIRVDQXOGI-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO Fc1c(C[N@@H+]2CCCc3ccccc3C2)ccnc1Cl ZINC000823432492 1131426052 /nfs/dbraw/zinc/42/60/52/1131426052.db2.gz HBRBDFVDTVJUHC-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Fc1c(C[N@H+]2CCCc3ccccc3C2)ccnc1Cl ZINC000823432492 1131426055 /nfs/dbraw/zinc/42/60/55/1131426055.db2.gz HBRBDFVDTVJUHC-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO COC1(C)CC[NH+](Cc2c(F)ccc(Cl)c2F)CC1 ZINC001137876718 1131427546 /nfs/dbraw/zinc/42/75/46/1131427546.db2.gz XXVVQJVIQKYFBB-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1C[C@@H]2C[C@H]1C[C@@H]2F ZINC001137882934 1131428975 /nfs/dbraw/zinc/42/89/75/1131428975.db2.gz MBNSCLRXDRDPRE-UBJUHAIHSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1C[C@@H]2C[C@H]1C[C@@H]2F ZINC001137882934 1131428977 /nfs/dbraw/zinc/42/89/77/1131428977.db2.gz MBNSCLRXDRDPRE-UBJUHAIHSA-N 1 2 275.701 3.551 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccnc(Cl)c1F ZINC000823495590 1131430245 /nfs/dbraw/zinc/43/02/45/1131430245.db2.gz RNXJPFUYNPWXEY-UHFFFAOYSA-N 1 2 293.773 3.861 20 0 CHADLO Cc1cc(NCc2ccnc(Cl)c2F)ccc1[NH+](C)C ZINC000823534920 1131431137 /nfs/dbraw/zinc/43/11/37/1131431137.db2.gz XHRBRUDMRWVZCK-UHFFFAOYSA-N 1 2 293.773 3.861 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3nnc(C(C)(C)C)o3)CCC2)c1 ZINC000829866042 1131437405 /nfs/dbraw/zinc/43/74/05/1131437405.db2.gz SSUGUXHCSQRXPR-UHFFFAOYSA-N 1 2 299.418 3.845 20 0 CHADLO CSCc1ccc(NCCOC2CCCCC2)[nH+]c1 ZINC001160281441 1131439410 /nfs/dbraw/zinc/43/94/10/1131439410.db2.gz LFQCFWJUOITMPH-UHFFFAOYSA-N 1 2 280.437 3.706 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2cccc(Cl)c2F)C1 ZINC001137957773 1131441789 /nfs/dbraw/zinc/44/17/89/1131441789.db2.gz NFHUPWOMQHBFQZ-ONGXEEELSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2cccc(Cl)c2F)C1 ZINC001137957773 1131441792 /nfs/dbraw/zinc/44/17/92/1131441792.db2.gz NFHUPWOMQHBFQZ-ONGXEEELSA-N 1 2 259.727 3.659 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCC[C@](C)(F)C2)c(F)c1F ZINC001137964634 1131443345 /nfs/dbraw/zinc/44/33/45/1131443345.db2.gz JGVQMSGLXMBROG-HNNXBMFYSA-N 1 2 271.326 3.987 20 0 CHADLO Cc1ccc(C[N@H+]2CCCC[C@](C)(F)C2)c(F)c1F ZINC001137964634 1131443347 /nfs/dbraw/zinc/44/33/47/1131443347.db2.gz JGVQMSGLXMBROG-HNNXBMFYSA-N 1 2 271.326 3.987 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccnc(Cl)c1F)c1nccs1 ZINC000823904389 1131445477 /nfs/dbraw/zinc/44/54/77/1131445477.db2.gz CUVWXPDYHKAJEQ-ZDUSSCGKSA-N 1 2 299.802 3.746 20 0 CHADLO Fc1c(C[N@@H+]2CC[C@@H](CC(F)(F)F)C2)ccnc1Cl ZINC000824291184 1131461781 /nfs/dbraw/zinc/46/17/81/1131461781.db2.gz BVZJIZWJZQKRRG-QMMMGPOBSA-N 1 2 296.695 3.648 20 0 CHADLO Fc1c(C[N@H+]2CC[C@@H](CC(F)(F)F)C2)ccnc1Cl ZINC000824291184 1131461783 /nfs/dbraw/zinc/46/17/83/1131461783.db2.gz BVZJIZWJZQKRRG-QMMMGPOBSA-N 1 2 296.695 3.648 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1ccnc(Cl)c1F ZINC000824396721 1131467459 /nfs/dbraw/zinc/46/74/59/1131467459.db2.gz UGBSBWBHVWDQLG-CYBMUJFWSA-N 1 2 293.773 3.852 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1ccnc(Cl)c1F ZINC000824396721 1131467461 /nfs/dbraw/zinc/46/74/61/1131467461.db2.gz UGBSBWBHVWDQLG-CYBMUJFWSA-N 1 2 293.773 3.852 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCCC[C@H](F)C2)c1F ZINC001138115012 1131467875 /nfs/dbraw/zinc/46/78/75/1131467875.db2.gz VGFHBWRVIWSHKB-NSHDSACASA-N 1 2 257.299 3.597 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCCC[C@H](F)C2)c1F ZINC001138115012 1131467877 /nfs/dbraw/zinc/46/78/77/1131467877.db2.gz VGFHBWRVIWSHKB-NSHDSACASA-N 1 2 257.299 3.597 20 0 CHADLO Cc1ccc(F)c(C[NH+]2CC(C)(C)OC(C)(C)C2)c1F ZINC001138120883 1131468754 /nfs/dbraw/zinc/46/87/54/1131468754.db2.gz NLDGUIZKFVFDLB-UHFFFAOYSA-N 1 2 283.362 3.663 20 0 CHADLO Fc1c(C[N@@H+]2CC3(CCC3)[C@H]2C2CC2)ccnc1Cl ZINC000824416450 1131469510 /nfs/dbraw/zinc/46/95/10/1131469510.db2.gz NHMHACCQPOWSHF-CYBMUJFWSA-N 1 2 280.774 3.639 20 0 CHADLO Fc1c(C[N@H+]2CC3(CCC3)[C@H]2C2CC2)ccnc1Cl ZINC000824416450 1131469512 /nfs/dbraw/zinc/46/95/12/1131469512.db2.gz NHMHACCQPOWSHF-CYBMUJFWSA-N 1 2 280.774 3.639 20 0 CHADLO FC1(F)C[C@@H]1[NH2+][C@@H]1CCc2cc(Cl)cc(Cl)c2C1 ZINC001171057519 1131470096 /nfs/dbraw/zinc/47/00/96/1131470096.db2.gz CETGVIZNHNYHDT-SKDRFNHKSA-N 1 2 292.156 3.848 20 0 CHADLO Clc1cccc2c1CC[C@H]([N@H+]1Cc3ncsc3C1)C2 ZINC001171056851 1131471363 /nfs/dbraw/zinc/47/13/63/1131471363.db2.gz NMHZVTKDEAICMO-NSHDSACASA-N 1 2 290.819 3.670 20 0 CHADLO Clc1cccc2c1CC[C@H]([N@@H+]1Cc3ncsc3C1)C2 ZINC001171056851 1131471366 /nfs/dbraw/zinc/47/13/66/1131471366.db2.gz NMHZVTKDEAICMO-NSHDSACASA-N 1 2 290.819 3.670 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(N2CCCC2=O)cc1)C(F)F ZINC000824508161 1131473536 /nfs/dbraw/zinc/47/35/36/1131473536.db2.gz BBXHGYBVKVFRBC-BXUZGUMPSA-N 1 2 296.361 3.508 20 0 CHADLO Cc1ccc2c(C[N@@H+]3CCC(F)(F)[C@H](F)C3)c[nH]c2c1 ZINC001136969553 1131489755 /nfs/dbraw/zinc/48/97/55/1131489755.db2.gz SRLMKSWSFNHNBA-CQSZACIVSA-N 1 2 282.309 3.655 20 0 CHADLO Cc1ccc2c(C[N@H+]3CCC(F)(F)[C@H](F)C3)c[nH]c2c1 ZINC001136969553 1131489757 /nfs/dbraw/zinc/48/97/57/1131489757.db2.gz SRLMKSWSFNHNBA-CQSZACIVSA-N 1 2 282.309 3.655 20 0 CHADLO Cc1c2ccccc2[nH]c1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC001138399739 1131498720 /nfs/dbraw/zinc/49/87/20/1131498720.db2.gz BHXJFBKJBQAVSY-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1c2ccccc2[nH]c1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC001138399739 1131498725 /nfs/dbraw/zinc/49/87/25/1131498725.db2.gz BHXJFBKJBQAVSY-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2cncc(Cl)c2)cn1 ZINC001136996583 1131501345 /nfs/dbraw/zinc/50/13/45/1131501345.db2.gz QKFISQIDILDCBW-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2cncc(Cl)c2)cn1 ZINC001136996583 1131501350 /nfs/dbraw/zinc/50/13/50/1131501350.db2.gz QKFISQIDILDCBW-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Clc1cscc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000825172219 1131501590 /nfs/dbraw/zinc/50/15/90/1131501590.db2.gz LPQRPLRQVPBIQQ-UHFFFAOYSA-N 1 2 268.769 3.557 20 0 CHADLO CCOc1ccc2ccccc2c1C[NH+]1CC2(C1)CCCO2 ZINC001138443060 1131506306 /nfs/dbraw/zinc/50/63/06/1131506306.db2.gz UTKOISWDPDQCHH-UHFFFAOYSA-N 1 2 297.398 3.603 20 0 CHADLO C[N@H+](Cc1nc(C(F)(F)F)c[nH]1)[C@H]1CCc2ccccc21 ZINC001137022149 1131507553 /nfs/dbraw/zinc/50/75/53/1131507553.db2.gz SMTGMTLQJCYFCI-LBPRGKRZSA-N 1 2 295.308 3.548 20 0 CHADLO C[N@@H+](Cc1nc(C(F)(F)F)c[nH]1)[C@H]1CCc2ccccc21 ZINC001137022149 1131507555 /nfs/dbraw/zinc/50/75/55/1131507555.db2.gz SMTGMTLQJCYFCI-LBPRGKRZSA-N 1 2 295.308 3.548 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c(F)c1Cl ZINC001143627402 1131519044 /nfs/dbraw/zinc/51/90/44/1131519044.db2.gz MAVXBJXNGUJIQK-KCJUWKMLSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]3C[C@@H]32)c(F)c1Cl ZINC001143627402 1131519047 /nfs/dbraw/zinc/51/90/47/1131519047.db2.gz MAVXBJXNGUJIQK-KCJUWKMLSA-N 1 2 257.711 3.603 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626167 1131520237 /nfs/dbraw/zinc/52/02/37/1131520237.db2.gz KSTKZULWWNAFRI-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626167 1131520240 /nfs/dbraw/zinc/52/02/40/1131520240.db2.gz KSTKZULWWNAFRI-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@@]3(CC3(F)F)C2)c(F)c1Cl ZINC001143626463 1131521110 /nfs/dbraw/zinc/52/11/10/1131521110.db2.gz WHLITFIJUIHKHJ-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@@]3(CC3(F)F)C2)c(F)c1Cl ZINC001143626463 1131521113 /nfs/dbraw/zinc/52/11/13/1131521113.db2.gz WHLITFIJUIHKHJ-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCOCC2(C)C)c1 ZINC001143676380 1131523383 /nfs/dbraw/zinc/52/33/83/1131523383.db2.gz JYLGNKLIYHGUII-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCOCC2(C)C)c1 ZINC001143676380 1131523386 /nfs/dbraw/zinc/52/33/86/1131523386.db2.gz JYLGNKLIYHGUII-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCCC(=O)[C@H](C)C2)c1 ZINC001143672336 1131523714 /nfs/dbraw/zinc/52/37/14/1131523714.db2.gz CYXWSAIFJGMILM-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCCC(=O)[C@H](C)C2)c1 ZINC001143672336 1131523717 /nfs/dbraw/zinc/52/37/17/1131523717.db2.gz CYXWSAIFJGMILM-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1c(F)ccc(F)c1Br ZINC001143812225 1131535821 /nfs/dbraw/zinc/53/58/21/1131535821.db2.gz QRVISZJTQWPCES-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1c(F)ccc(F)c1Br ZINC001143812225 1131535824 /nfs/dbraw/zinc/53/58/24/1131535824.db2.gz QRVISZJTQWPCES-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO COc1cc(C)c(C[N@@H+]2Cc3cnc(C)cc3C2)c(C)c1C ZINC001138724461 1131542370 /nfs/dbraw/zinc/54/23/70/1131542370.db2.gz GUTQVZHVJQVYDJ-UHFFFAOYSA-N 1 2 296.414 3.840 20 0 CHADLO COc1cc(C)c(C[N@H+]2Cc3cnc(C)cc3C2)c(C)c1C ZINC001138724461 1131542374 /nfs/dbraw/zinc/54/23/74/1131542374.db2.gz GUTQVZHVJQVYDJ-UHFFFAOYSA-N 1 2 296.414 3.840 20 0 CHADLO C[C@H](CC(=O)Oc1ccc(C(C)(C)C)cc1)n1cc[nH+]c1 ZINC000844274075 1131545598 /nfs/dbraw/zinc/54/55/98/1131545598.db2.gz MYHIPQSCFRAEQC-CYBMUJFWSA-N 1 2 286.375 3.737 20 0 CHADLO Cc1c(C[N@H+]2C[C@@H](C)OC[C@@H]2C)cccc1C(F)(F)F ZINC001143929438 1131553106 /nfs/dbraw/zinc/55/31/06/1131553106.db2.gz LBFBLWZHVWTJKO-WDEREUQCSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1c(C[N@@H+]2C[C@@H](C)OC[C@@H]2C)cccc1C(F)(F)F ZINC001143929438 1131553109 /nfs/dbraw/zinc/55/31/09/1131553109.db2.gz LBFBLWZHVWTJKO-WDEREUQCSA-N 1 2 287.325 3.623 20 0 CHADLO CC1(C)C[N@H+](Cc2c[nH]c3c2cccc3Cl)CCC1=O ZINC001138833927 1131555324 /nfs/dbraw/zinc/55/53/24/1131555324.db2.gz PLJYVCVEBFJHCO-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO CC1(C)C[N@@H+](Cc2c[nH]c3c2cccc3Cl)CCC1=O ZINC001138833927 1131555326 /nfs/dbraw/zinc/55/53/26/1131555326.db2.gz PLJYVCVEBFJHCO-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO Cc1c(C[N@@H+]2CCOCC2(C)C)cccc1C(F)(F)F ZINC001143924638 1131555368 /nfs/dbraw/zinc/55/53/68/1131555368.db2.gz LEUHCBSZQOJKEY-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1c(C[N@H+]2CCOCC2(C)C)cccc1C(F)(F)F ZINC001143924638 1131555373 /nfs/dbraw/zinc/55/53/73/1131555373.db2.gz LEUHCBSZQOJKEY-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO CCCCC[C@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)C(C)(C)C ZINC000844396750 1131557088 /nfs/dbraw/zinc/55/70/88/1131557088.db2.gz QCFUVUKFOWTQNY-CABCVRRESA-N 1 2 293.455 3.945 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)Cc2ccc3ccccc3c2)n1 ZINC001138938509 1131562461 /nfs/dbraw/zinc/56/24/61/1131562461.db2.gz SKAYCGBCXMETHH-UHFFFAOYSA-N 1 2 265.360 3.503 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)Cc2ccc3ccccc3c2)n1 ZINC001138938509 1131562463 /nfs/dbraw/zinc/56/24/63/1131562463.db2.gz SKAYCGBCXMETHH-UHFFFAOYSA-N 1 2 265.360 3.503 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@@H+]1C[C@H]2[C@@H](C1)C2(F)F ZINC000844456681 1131562879 /nfs/dbraw/zinc/56/28/79/1131562879.db2.gz GKLORVIBNUEWRJ-UJNFCWOMSA-N 1 2 275.701 3.737 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@H+]1C[C@H]2[C@@H](C1)C2(F)F ZINC000844456681 1131562882 /nfs/dbraw/zinc/56/28/82/1131562882.db2.gz GKLORVIBNUEWRJ-UJNFCWOMSA-N 1 2 275.701 3.737 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+]2CCC[C@]3(CC3(F)F)C2)n1 ZINC000844435228 1131563913 /nfs/dbraw/zinc/56/39/13/1131563913.db2.gz DTTWMXOQJKFOBQ-RISCZKNCSA-N 1 2 299.365 3.594 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+]2CCC[C@]3(CC3(F)F)C2)n1 ZINC000844435228 1131563917 /nfs/dbraw/zinc/56/39/17/1131563917.db2.gz DTTWMXOQJKFOBQ-RISCZKNCSA-N 1 2 299.365 3.594 20 0 CHADLO CC(C)Oc1cccc(C[N@H+](C)CC(=O)c2ccccc2)c1 ZINC001138986047 1131568531 /nfs/dbraw/zinc/56/85/31/1131568531.db2.gz ZSYXUXMCCZXXPS-UHFFFAOYSA-N 1 2 297.398 3.789 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+](C)CC(=O)c2ccccc2)c1 ZINC001138986047 1131568534 /nfs/dbraw/zinc/56/85/34/1131568534.db2.gz ZSYXUXMCCZXXPS-UHFFFAOYSA-N 1 2 297.398 3.789 20 0 CHADLO CC(C)Oc1cccc(C[NH+]2CC(Oc3ccccc3)C2)c1 ZINC001138986819 1131569039 /nfs/dbraw/zinc/56/90/39/1131569039.db2.gz XWONPNOKDMARSV-UHFFFAOYSA-N 1 2 297.398 3.737 20 0 CHADLO FC1(F)C[C@@]12CC[N@@H+](Cc1ccc(Cl)nc1Cl)C2 ZINC000844467377 1131569166 /nfs/dbraw/zinc/56/91/66/1131569166.db2.gz DOVQOGWTGFNQIW-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@@]12CC[N@H+](Cc1ccc(Cl)nc1Cl)C2 ZINC000844467377 1131569163 /nfs/dbraw/zinc/56/91/63/1131569163.db2.gz DOVQOGWTGFNQIW-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@]12CC[N@H+](Cc1nc(Cl)ccc1Cl)C2 ZINC000844471878 1131569378 /nfs/dbraw/zinc/56/93/78/1131569378.db2.gz TVXSEWIYGWCEET-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@]12CC[N@@H+](Cc1nc(Cl)ccc1Cl)C2 ZINC000844471878 1131569382 /nfs/dbraw/zinc/56/93/82/1131569382.db2.gz TVXSEWIYGWCEET-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@H+](C)Cc1cccnc1 ZINC001139006482 1131571306 /nfs/dbraw/zinc/57/13/06/1131571306.db2.gz URWGDIFXAYKYMK-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@@H+](C)Cc1cccnc1 ZINC001139006482 1131571307 /nfs/dbraw/zinc/57/13/07/1131571307.db2.gz URWGDIFXAYKYMK-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(F)cc(Cl)cc2F)[C@@H](C)C1 ZINC001139051827 1131575616 /nfs/dbraw/zinc/57/56/16/1131575616.db2.gz AMFVHCVATSAIHB-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(F)cc(Cl)cc2F)[C@@H](C)C1 ZINC001139051827 1131575618 /nfs/dbraw/zinc/57/56/18/1131575618.db2.gz AMFVHCVATSAIHB-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO CN(c1ccc(Nc2cncc(O)c2)c[nH+]1)C1CCCCC1 ZINC001212613405 1131590096 /nfs/dbraw/zinc/59/00/96/1131590096.db2.gz SBZFBHIFTQQGHT-UHFFFAOYSA-N 1 2 298.390 3.695 20 0 CHADLO Cc1ncc(Nc2ccc(N(C)C3CCCCC3)[nH+]c2)cn1 ZINC001212613508 1131593307 /nfs/dbraw/zinc/59/33/07/1131593307.db2.gz XIUANIBNBWYNJU-UHFFFAOYSA-N 1 2 297.406 3.693 20 0 CHADLO Cc1cccc2[nH]cc(C[N@@H+]3CCC(F)(F)[C@H](F)C3)c21 ZINC001139192391 1131593505 /nfs/dbraw/zinc/59/35/05/1131593505.db2.gz PRNZKMVWBTYIER-CYBMUJFWSA-N 1 2 282.309 3.655 20 0 CHADLO Cc1cccc2[nH]cc(C[N@H+]3CCC(F)(F)[C@H](F)C3)c21 ZINC001139192391 1131593508 /nfs/dbraw/zinc/59/35/08/1131593508.db2.gz PRNZKMVWBTYIER-CYBMUJFWSA-N 1 2 282.309 3.655 20 0 CHADLO Clc1cnc(Cl)c(C[N@@H+]2CCC23CCCC3)c1 ZINC001139351497 1131603937 /nfs/dbraw/zinc/60/39/37/1131603937.db2.gz BFGKRCATXMQXAH-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Clc1cnc(Cl)c(C[N@H+]2CCC23CCCC3)c1 ZINC001139351497 1131603940 /nfs/dbraw/zinc/60/39/40/1131603940.db2.gz BFGKRCATXMQXAH-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Clc1cnc(Cl)c(C[NH+]2C3CCC2CC3)c1 ZINC001139355143 1131604424 /nfs/dbraw/zinc/60/44/24/1131604424.db2.gz NHOYTGYZGWYYJZ-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO CCc1ccc(NC(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC001202932362 1131607692 /nfs/dbraw/zinc/60/76/92/1131607692.db2.gz WAQZVIRWYNAMCF-UHFFFAOYSA-N 1 2 280.331 3.541 20 0 CHADLO COC1(C)C[NH+](Cc2ccc(-c3ccc(Cl)cc3)o2)C1 ZINC001139415244 1131607972 /nfs/dbraw/zinc/60/79/72/1131607972.db2.gz RGAAUQFVPNPCGW-UHFFFAOYSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@@H](COC(=O)C[C@@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000845284726 1131624185 /nfs/dbraw/zinc/62/41/85/1131624185.db2.gz DLFVQNRCNLUSLJ-UONOGXRCSA-N 1 2 278.396 3.594 20 0 CHADLO CCOc1ccncc1C[N@H+](C)Cc1cccc(Cl)c1 ZINC001139629577 1131625097 /nfs/dbraw/zinc/62/50/97/1131625097.db2.gz GLSDZAXFHVVRBY-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccncc1C[N@@H+](C)Cc1cccc(Cl)c1 ZINC001139629577 1131625100 /nfs/dbraw/zinc/62/51/00/1131625100.db2.gz GLSDZAXFHVVRBY-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC1(C)CC[N@H+](Cc2sc(Cl)nc2Cl)C1 ZINC001139954753 1131653177 /nfs/dbraw/zinc/65/31/77/1131653177.db2.gz GNRMZAQYMFCATE-UHFFFAOYSA-N 1 2 265.209 3.682 20 0 CHADLO CC1(C)CC[N@@H+](Cc2sc(Cl)nc2Cl)C1 ZINC001139954753 1131653179 /nfs/dbraw/zinc/65/31/79/1131653179.db2.gz GNRMZAQYMFCATE-UHFFFAOYSA-N 1 2 265.209 3.682 20 0 CHADLO Cc1cc(O)cc(C)c1C[N@@H+]1Cc2cccc(F)c2C1 ZINC001139715065 1131631408 /nfs/dbraw/zinc/63/14/08/1131631408.db2.gz RACUNJITFORZHC-UHFFFAOYSA-N 1 2 271.335 3.664 20 0 CHADLO Cc1cc(O)cc(C)c1C[N@H+]1Cc2cccc(F)c2C1 ZINC001139715065 1131631410 /nfs/dbraw/zinc/63/14/10/1131631410.db2.gz RACUNJITFORZHC-UHFFFAOYSA-N 1 2 271.335 3.664 20 0 CHADLO Cc1cnc(C[N@H+](C)C/C=C/c2ccccc2)s1 ZINC001139814127 1131638671 /nfs/dbraw/zinc/63/86/71/1131638671.db2.gz YBPMUJWUVYWRHK-RMKNXTFCSA-N 1 2 258.390 3.597 20 0 CHADLO Cc1cnc(C[N@@H+](C)C/C=C/c2ccccc2)s1 ZINC001139814127 1131638673 /nfs/dbraw/zinc/63/86/73/1131638673.db2.gz YBPMUJWUVYWRHK-RMKNXTFCSA-N 1 2 258.390 3.597 20 0 CHADLO CCc1ccc(/C=C/COC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000845544967 1131643130 /nfs/dbraw/zinc/64/31/30/1131643130.db2.gz SUSAHYCYXCLOCY-MBVDDHJVSA-N 1 2 298.386 3.653 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1ccccc1C1CC1 ZINC001139882401 1131645679 /nfs/dbraw/zinc/64/56/79/1131645679.db2.gz KIUJNILGMSYCNZ-UHFFFAOYSA-N 1 2 289.419 3.728 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1ccccc1C1CC1 ZINC001139882401 1131645685 /nfs/dbraw/zinc/64/56/85/1131645685.db2.gz KIUJNILGMSYCNZ-UHFFFAOYSA-N 1 2 289.419 3.728 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001139888500 1131646833 /nfs/dbraw/zinc/64/68/33/1131646833.db2.gz SMDGYIPGIGJJDF-UBHSHLNASA-N 1 2 287.300 3.804 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001139888500 1131646835 /nfs/dbraw/zinc/64/68/35/1131646835.db2.gz SMDGYIPGIGJJDF-UBHSHLNASA-N 1 2 287.300 3.804 20 0 CHADLO Fc1cc2cccnc2c(CNc2cc3cc[nH]c3c[nH+]2)c1 ZINC001202985441 1131651281 /nfs/dbraw/zinc/65/12/81/1131651281.db2.gz HQTRJFHBOQFWTK-UHFFFAOYSA-N 1 2 292.317 3.862 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cc3ccccc3cn2)C[C@H]1F ZINC001139994544 1131654828 /nfs/dbraw/zinc/65/48/28/1131654828.db2.gz AMYNGSYHCACVSL-CVEARBPZSA-N 1 2 276.330 3.507 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cc3ccccc3cn2)C[C@H]1F ZINC001139994544 1131654829 /nfs/dbraw/zinc/65/48/29/1131654829.db2.gz AMYNGSYHCACVSL-CVEARBPZSA-N 1 2 276.330 3.507 20 0 CHADLO CC[C@@H](COC(=O)[C@@H](c1ccccc1)[NH+](C)C)CC(F)F ZINC000845756704 1131655792 /nfs/dbraw/zinc/65/57/92/1131655792.db2.gz QXVNHZNHBSGZCI-IUODEOHRSA-N 1 2 299.361 3.514 20 0 CHADLO CC[N@H+](Cc1ccc(F)cc1)Cc1c(F)cc(O)cc1F ZINC001144559653 1131658730 /nfs/dbraw/zinc/65/87/30/1131658730.db2.gz OZTPHLDERHFCME-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cc1)Cc1c(F)cc(O)cc1F ZINC001144559653 1131658731 /nfs/dbraw/zinc/65/87/31/1131658731.db2.gz OZTPHLDERHFCME-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[C@@H](Nc1[nH+]cnc2[nH]cc(F)c21)c1ccccc1C ZINC001171198632 1131659154 /nfs/dbraw/zinc/65/91/54/1131659154.db2.gz UOLULVACHQFCKL-CYBMUJFWSA-N 1 2 284.338 3.969 20 0 CHADLO Oc1ccc(C[N@@H+]2CCc3ccc(F)cc3C2)c(Cl)c1 ZINC001140085614 1131662532 /nfs/dbraw/zinc/66/25/32/1131662532.db2.gz VBBPOAXVQCAUKE-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc(C[N@H+]2CCc3ccc(F)cc3C2)c(Cl)c1 ZINC001140085614 1131662533 /nfs/dbraw/zinc/66/25/33/1131662533.db2.gz VBBPOAXVQCAUKE-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO C[N@H+](Cc1ccc(Cl)nc1)Cc1ccc(O)cc1Cl ZINC001140083522 1131662574 /nfs/dbraw/zinc/66/25/74/1131662574.db2.gz PROPVWSOOXDXHQ-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)nc1)Cc1ccc(O)cc1Cl ZINC001140083522 1131662577 /nfs/dbraw/zinc/66/25/77/1131662577.db2.gz PROPVWSOOXDXHQ-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO CC(C)[C@H]1CC[C@H](C(=O)Nc2cccc3[nH+]ccn32)CC1 ZINC001144643534 1131675986 /nfs/dbraw/zinc/67/59/86/1131675986.db2.gz FGQYCCMHFUUOKA-HDJSIYSDSA-N 1 2 285.391 3.735 20 0 CHADLO Fc1ccc2c(c1Cl)[C@H]([NH2+]Cc1cccnc1F)CC2 ZINC000845966008 1131676740 /nfs/dbraw/zinc/67/67/40/1131676740.db2.gz YNTFKOXBZUSXHA-GFCCVEGCSA-N 1 2 294.732 3.790 20 0 CHADLO CCC[NH+](CCC)c1ccc(NCc2[nH]ncc2C)cc1 ZINC000846056478 1131679016 /nfs/dbraw/zinc/67/90/16/1131679016.db2.gz GSPFBFJTWXFBJK-UHFFFAOYSA-N 1 2 286.423 3.957 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@H]3[C@@H](C2)C3(F)F)cc(C(F)(F)F)c1 ZINC000846108732 1131686833 /nfs/dbraw/zinc/68/68/33/1131686833.db2.gz BLGAGYHSRIPLKG-PHIMTYICSA-N 1 2 295.226 3.541 20 0 CHADLO Fc1cc(C[N@H+]2C[C@H]3[C@@H](C2)C3(F)F)cc(C(F)(F)F)c1 ZINC000846108732 1131686835 /nfs/dbraw/zinc/68/68/35/1131686835.db2.gz BLGAGYHSRIPLKG-PHIMTYICSA-N 1 2 295.226 3.541 20 0 CHADLO c1ccc(-c2cccnc2)c(C[N@@H+]2Cc3ccncc3C2)c1 ZINC001140317171 1131695479 /nfs/dbraw/zinc/69/54/79/1131695479.db2.gz GPXXJPCLYNWNJV-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(-c2cccnc2)c(C[N@H+]2Cc3ccncc3C2)c1 ZINC001140317171 1131695482 /nfs/dbraw/zinc/69/54/82/1131695482.db2.gz GPXXJPCLYNWNJV-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO CCOc1cccc([C@@H](C)Nc2cc(COC)cc[nH+]2)c1 ZINC000846210965 1131695744 /nfs/dbraw/zinc/69/57/44/1131695744.db2.gz CNKGTFKLPMBINN-CYBMUJFWSA-N 1 2 286.375 3.800 20 0 CHADLO Fc1cc(Cl)c(C[N@@H+]2CCC[C@@H]3C[C@@H]32)cc1F ZINC001144732080 1131696994 /nfs/dbraw/zinc/69/69/94/1131696994.db2.gz LDPDMDLUUMKTFM-OQPBUACISA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(Cl)c(C[N@H+]2CCC[C@@H]3C[C@@H]32)cc1F ZINC001144732080 1131696997 /nfs/dbraw/zinc/69/69/97/1131696997.db2.gz LDPDMDLUUMKTFM-OQPBUACISA-N 1 2 257.711 3.603 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2n[nH]c3ccc(C)cc32)cc1 ZINC001140327044 1131697679 /nfs/dbraw/zinc/69/76/79/1131697679.db2.gz LDXKEMQOFUOGGD-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2n[nH]c3ccc(C)cc32)cc1 ZINC001140327044 1131697682 /nfs/dbraw/zinc/69/76/82/1131697682.db2.gz LDXKEMQOFUOGGD-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO C[C@H](CCCc1cccnc1)[NH2+]c1ccc(N(C)C)cc1 ZINC000834740964 1131700638 /nfs/dbraw/zinc/70/06/38/1131700638.db2.gz DUBRNEOBSZQFBE-OAHLLOKOSA-N 1 2 283.419 3.971 20 0 CHADLO Cc1ccc2n[nH]c(C[N@H+](Cc3ccco3)C3CC3)c2c1 ZINC001140334016 1131701718 /nfs/dbraw/zinc/70/17/18/1131701718.db2.gz ZQPVCHCXJDUURQ-UHFFFAOYSA-N 1 2 281.359 3.629 20 0 CHADLO Cc1ccc2n[nH]c(C[N@@H+](Cc3ccco3)C3CC3)c2c1 ZINC001140334016 1131701723 /nfs/dbraw/zinc/70/17/23/1131701723.db2.gz ZQPVCHCXJDUURQ-UHFFFAOYSA-N 1 2 281.359 3.629 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCc3cc(C)ccc32)c1 ZINC000846211786 1131702983 /nfs/dbraw/zinc/70/29/83/1131702983.db2.gz GIONDDSRMQCXCC-INIZCTEOSA-N 1 2 268.360 3.636 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CC(C)(C)Cc3occc32)co1 ZINC000834898640 1131723664 /nfs/dbraw/zinc/72/36/64/1131723664.db2.gz ANHBWXMOPCUOKO-CYBMUJFWSA-N 1 2 274.364 3.633 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2cccc(OC(F)F)c2)co1 ZINC000834901372 1131725061 /nfs/dbraw/zinc/72/50/61/1131725061.db2.gz SYYYUBYUDNOGQX-JTQLQIEISA-N 1 2 296.317 3.689 20 0 CHADLO CCCC[N@H+](Cc1cnsn1)[C@H](C)c1ccccc1 ZINC000846785950 1131736140 /nfs/dbraw/zinc/73/61/40/1131736140.db2.gz MFOHCWNVPONQEA-CYBMUJFWSA-N 1 2 275.421 3.901 20 0 CHADLO CCCC[N@@H+](Cc1cnsn1)[C@H](C)c1ccccc1 ZINC000846785950 1131736148 /nfs/dbraw/zinc/73/61/48/1131736148.db2.gz MFOHCWNVPONQEA-CYBMUJFWSA-N 1 2 275.421 3.901 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[C@H](C)[N@H+](C)Cc1cnsn1 ZINC000846813255 1131744431 /nfs/dbraw/zinc/74/44/31/1131744431.db2.gz ZHBLGTBSDTVMJZ-QWRGUYRKSA-N 1 2 295.839 3.816 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[C@H](C)[N@@H+](C)Cc1cnsn1 ZINC000846813255 1131744435 /nfs/dbraw/zinc/74/44/35/1131744435.db2.gz ZHBLGTBSDTVMJZ-QWRGUYRKSA-N 1 2 295.839 3.816 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cnc(Cl)c(F)c2)cs1 ZINC000846854471 1131750779 /nfs/dbraw/zinc/75/07/79/1131750779.db2.gz HYOXEOLDWATXOD-MRVPVSSYSA-N 1 2 299.802 3.744 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2cnsn2)C2CCCC2)cc1 ZINC000846846970 1131751399 /nfs/dbraw/zinc/75/13/99/1131751399.db2.gz ZFGWDTKHUNUTNO-OAHLLOKOSA-N 1 2 291.395 3.698 20 0 CHADLO C[C@H]1CC[C@H](c2ccccc2)[N@H+](Cc2cnsn2)C1 ZINC000846898291 1131757015 /nfs/dbraw/zinc/75/70/15/1131757015.db2.gz DGLQDZXFODTIRZ-SWLSCSKDSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@H]1CC[C@H](c2ccccc2)[N@@H+](Cc2cnsn2)C1 ZINC000846898291 1131757017 /nfs/dbraw/zinc/75/70/17/1131757017.db2.gz DGLQDZXFODTIRZ-SWLSCSKDSA-N 1 2 273.405 3.511 20 0 CHADLO COc1cc(F)cc(C[N@@H+]2CCc3cc(F)ccc3C2)c1 ZINC001140484609 1131760826 /nfs/dbraw/zinc/76/08/26/1131760826.db2.gz WMWGEMIPWYQVHB-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(F)cc(C[N@H+]2CCc3cc(F)ccc3C2)c1 ZINC001140484609 1131760830 /nfs/dbraw/zinc/76/08/30/1131760830.db2.gz WMWGEMIPWYQVHB-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1cc(F)cc(Cl)c1)C2 ZINC001140537009 1131784085 /nfs/dbraw/zinc/78/40/85/1131784085.db2.gz NASPCBNXIXCDBG-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1cc(F)cc(Cl)c1)C2 ZINC001140537009 1131784091 /nfs/dbraw/zinc/78/40/91/1131784091.db2.gz NASPCBNXIXCDBG-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Cc1cc(N[C@H]2COCc3ccccc32)[nH+]c2cc[nH]c21 ZINC001171301186 1131818026 /nfs/dbraw/zinc/81/80/26/1131818026.db2.gz LSPQSFBEOLMSBJ-HNNXBMFYSA-N 1 2 279.343 3.555 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1csc2ccccc12 ZINC001140636443 1131836117 /nfs/dbraw/zinc/83/61/17/1131836117.db2.gz JFKFURZXMBKKEB-RYUDHWBXSA-N 1 2 267.344 3.783 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1csc2ccccc12 ZINC001140636443 1131836126 /nfs/dbraw/zinc/83/61/26/1131836126.db2.gz JFKFURZXMBKKEB-RYUDHWBXSA-N 1 2 267.344 3.783 20 0 CHADLO c1sc2ccccc2c1C[NH+]1CC(Oc2cccnc2)C1 ZINC001140638690 1131837891 /nfs/dbraw/zinc/83/78/91/1131837891.db2.gz XCRYJERZWSYPJX-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)c(Cl)cc2F)CCCO1 ZINC001140701598 1131881988 /nfs/dbraw/zinc/88/19/88/1131881988.db2.gz SJYJQVHYBCEWLR-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)c(Cl)cc2F)CCCO1 ZINC001140701598 1131882000 /nfs/dbraw/zinc/88/20/00/1131882000.db2.gz SJYJQVHYBCEWLR-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC[C@@H](c1ccncc1)N(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC000348212049 1131904317 /nfs/dbraw/zinc/90/43/17/1131904317.db2.gz DBCFOFKDCMWESW-HNNXBMFYSA-N 1 2 282.391 3.645 20 0 CHADLO [NH2+]=C(Nc1ccc(OC2CC2)cc1)c1cccnc1Cl ZINC001171352257 1131910500 /nfs/dbraw/zinc/91/05/00/1131910500.db2.gz FBNRVAFVFXVDMG-UHFFFAOYSA-N 1 2 287.750 3.714 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(F)n1)c1nc2ccccc2s1 ZINC000773095018 1131944570 /nfs/dbraw/zinc/94/45/70/1131944570.db2.gz JSCKRNFIYDYZKF-JTQLQIEISA-N 1 2 287.363 3.681 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)Cc2ccc(F)cc2F)c1C ZINC001140861188 1131949959 /nfs/dbraw/zinc/94/99/59/1131949959.db2.gz BCICYGFIPLGVOP-UHFFFAOYSA-N 1 2 264.319 3.542 20 0 CHADLO CC(C)(C)[NH+]1CC(Oc2c(Cl)ccc3cccnc32)C1 ZINC001228792501 1131957755 /nfs/dbraw/zinc/95/77/55/1131957755.db2.gz AFXJAJGGZBSXJB-UHFFFAOYSA-N 1 2 290.794 3.750 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ccc(O)c(F)c2F)cc1 ZINC001140893236 1131958399 /nfs/dbraw/zinc/95/83/99/1131958399.db2.gz LROSYYLKOOROLB-UHFFFAOYSA-N 1 2 291.341 3.865 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ccc(O)c(F)c2F)cc1 ZINC001140893236 1131958407 /nfs/dbraw/zinc/95/84/07/1131958407.db2.gz LROSYYLKOOROLB-UHFFFAOYSA-N 1 2 291.341 3.865 20 0 CHADLO CC(C)C1CC[NH+](Cc2ccc(O)c(F)c2F)CC1 ZINC001140893521 1131958570 /nfs/dbraw/zinc/95/85/70/1131958570.db2.gz QULPFJZELANJHO-UHFFFAOYSA-N 1 2 269.335 3.538 20 0 CHADLO Oc1ccc(C[NH+]2CCC3(CC[C@@H](F)C3)CC2)c(F)c1F ZINC001140893301 1131958796 /nfs/dbraw/zinc/95/87/96/1131958796.db2.gz NNZVICCIIAEMII-GFCCVEGCSA-N 1 2 299.336 3.775 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)s2)[nH]n1 ZINC001203077422 1131961965 /nfs/dbraw/zinc/96/19/65/1131961965.db2.gz XJSUBIJBBGGGBW-NSHDSACASA-N 1 2 281.812 3.770 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccc(Cl)s2)[nH]n1 ZINC001203077422 1131961971 /nfs/dbraw/zinc/96/19/71/1131961971.db2.gz XJSUBIJBBGGGBW-NSHDSACASA-N 1 2 281.812 3.770 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1cnco1 ZINC001140932978 1131966412 /nfs/dbraw/zinc/96/64/12/1131966412.db2.gz HUYGWOMUOVZHGY-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1cnco1 ZINC001140932978 1131966421 /nfs/dbraw/zinc/96/64/21/1131966421.db2.gz HUYGWOMUOVZHGY-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(F)c(O)c(F)c2)CCC1(F)F ZINC001140988376 1131979549 /nfs/dbraw/zinc/97/95/49/1131979549.db2.gz YKXSORCMLGMBMW-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(F)c(O)c(F)c2)CCC1(F)F ZINC001140988376 1131979557 /nfs/dbraw/zinc/97/95/57/1131979557.db2.gz YKXSORCMLGMBMW-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCCCCCCC1 ZINC000836581591 1131982134 /nfs/dbraw/zinc/98/21/34/1131982134.db2.gz OSEXDMCKWVRPDT-UHFFFAOYSA-N 1 2 286.379 3.522 20 0 CHADLO Clc1ccc2c(c1)CN(Cc1ccn3cc[nH+]c3c1)C2 ZINC001141046876 1131996063 /nfs/dbraw/zinc/99/60/63/1131996063.db2.gz TXDZECIYRJOCJV-UHFFFAOYSA-N 1 2 283.762 3.504 20 0 CHADLO CCCCc1[nH]c(CN2Cc3ccc(Cl)cc3C2)c[nH+]1 ZINC001141071608 1132004256 /nfs/dbraw/zinc/00/42/56/1132004256.db2.gz WOJVHWJMYDIXAX-UHFFFAOYSA-N 1 2 289.810 3.922 20 0 CHADLO CCCCc1[nH]cc(CN2Cc3ccc(Cl)cc3C2)[nH+]1 ZINC001141071608 1132004260 /nfs/dbraw/zinc/00/42/60/1132004260.db2.gz WOJVHWJMYDIXAX-UHFFFAOYSA-N 1 2 289.810 3.922 20 0 CHADLO C[C@H]1CCC[C@H](C)[NH+]1Cc1ncc(Cl)nc1Cl ZINC001141132122 1132024739 /nfs/dbraw/zinc/02/47/39/1132024739.db2.gz UXZOPULALARCMU-IUCAKERBSA-N 1 2 274.195 3.546 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@H]3CCC[C@@H]32)c(Cl)n1 ZINC001141136025 1132026224 /nfs/dbraw/zinc/02/62/24/1132026224.db2.gz JZRYLIXXOYEMFZ-ONGXEEELSA-N 1 2 286.206 3.548 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@H]3CCC[C@@H]32)c(Cl)n1 ZINC001141136025 1132026229 /nfs/dbraw/zinc/02/62/29/1132026229.db2.gz JZRYLIXXOYEMFZ-ONGXEEELSA-N 1 2 286.206 3.548 20 0 CHADLO CSc1cc(NCC2(c3ccccc3)CC2)nc(C)[nH+]1 ZINC001171458444 1132034778 /nfs/dbraw/zinc/03/47/78/1132034778.db2.gz ZBIJKDANEHBSLH-UHFFFAOYSA-N 1 2 285.416 3.651 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001141229624 1132052701 /nfs/dbraw/zinc/05/27/01/1132052701.db2.gz JAKMVQAOBBKPGT-HOTGVXAUSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001141229624 1132052705 /nfs/dbraw/zinc/05/27/05/1132052705.db2.gz JAKMVQAOBBKPGT-HOTGVXAUSA-N 1 2 291.822 3.982 20 0 CHADLO C[C@@H]([NH2+][C@H](Cc1ccccc1)c1ncco1)c1ccncc1 ZINC001171567549 1132057184 /nfs/dbraw/zinc/05/71/84/1132057184.db2.gz LZPCEVHPEQRBGP-RHSMWYFYSA-N 1 2 293.370 3.704 20 0 CHADLO CC[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1cc(C)sc1C ZINC001171671560 1132074038 /nfs/dbraw/zinc/07/40/38/1132074038.db2.gz XNLCFZWMISAMSL-AWEZNQCLSA-N 1 2 297.464 3.917 20 0 CHADLO CC[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1cc(C)sc1C ZINC001171671560 1132074045 /nfs/dbraw/zinc/07/40/45/1132074045.db2.gz XNLCFZWMISAMSL-AWEZNQCLSA-N 1 2 297.464 3.917 20 0 CHADLO CC(C)CC[N@@H+]1CCO[C@H](c2c(F)cccc2Cl)C1 ZINC001171764813 1132092198 /nfs/dbraw/zinc/09/21/98/1132092198.db2.gz LVQKLSJFAFYFGH-AWEZNQCLSA-N 1 2 285.790 3.899 20 0 CHADLO CC(C)CC[N@H+]1CCO[C@H](c2c(F)cccc2Cl)C1 ZINC001171764813 1132092205 /nfs/dbraw/zinc/09/22/05/1132092205.db2.gz LVQKLSJFAFYFGH-AWEZNQCLSA-N 1 2 285.790 3.899 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC001141367121 1132103218 /nfs/dbraw/zinc/10/32/18/1132103218.db2.gz NWKSLXHZOHNDLG-HZPDHXFCSA-N 1 2 293.455 3.801 20 0 CHADLO C[C@H](Cc1ccc(C(F)(F)F)cc1)[N@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001171969427 1132117942 /nfs/dbraw/zinc/11/79/42/1132117942.db2.gz JOXJNKBXPUYWGP-WQAKAFBOSA-N 1 2 293.279 3.628 20 0 CHADLO C[C@H](Cc1ccc(C(F)(F)F)cc1)[N@@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001171969427 1132117946 /nfs/dbraw/zinc/11/79/46/1132117946.db2.gz JOXJNKBXPUYWGP-WQAKAFBOSA-N 1 2 293.279 3.628 20 0 CHADLO C[C@@H](Oc1ccc(-n2cc[nH+]c2)cc1)c1ccco1 ZINC001229953696 1132135534 /nfs/dbraw/zinc/13/55/34/1132135534.db2.gz DEURXHJBWVTFLF-GFCCVEGCSA-N 1 2 254.289 3.605 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+]C3CC4(CCC4)C3)cc2)CCO1 ZINC000838879308 1132153588 /nfs/dbraw/zinc/15/35/88/1132153588.db2.gz HBJRIZRVYDVYCT-AWEZNQCLSA-N 1 2 286.419 3.656 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(F)cc(Br)cc2F)C1 ZINC001141555048 1132166605 /nfs/dbraw/zinc/16/66/05/1132166605.db2.gz SIQOENZRRUABEK-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(F)cc(Br)cc2F)C1 ZINC001141555048 1132166607 /nfs/dbraw/zinc/16/66/07/1132166607.db2.gz SIQOENZRRUABEK-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO CCCCCC[C@H](CCC)[NH2+]C1(C(=O)OCC)CC1 ZINC001172377374 1132169978 /nfs/dbraw/zinc/16/99/78/1132169978.db2.gz APZVHHHELABPRJ-AWEZNQCLSA-N 1 2 269.429 3.811 20 0 CHADLO Fc1c(C[NH+]2CC(Cc3ccccc3)C2)ccnc1Cl ZINC000839128751 1132183480 /nfs/dbraw/zinc/18/34/80/1132183480.db2.gz URKXHXHZNFDCPC-UHFFFAOYSA-N 1 2 290.769 3.549 20 0 CHADLO CC[C@@H](CC(C)C)[N@@H+]1Cc2cc(Br)cnc2C1 ZINC001172404047 1132197233 /nfs/dbraw/zinc/19/72/33/1132197233.db2.gz RFKIWYWJNSGGID-ZDUSSCGKSA-N 1 2 297.240 3.984 20 0 CHADLO CC[C@@H](CC(C)C)[N@H+]1Cc2cc(Br)cnc2C1 ZINC001172404047 1132197236 /nfs/dbraw/zinc/19/72/36/1132197236.db2.gz RFKIWYWJNSGGID-ZDUSSCGKSA-N 1 2 297.240 3.984 20 0 CHADLO CCOCC[C@@H](C)[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001172427112 1132205084 /nfs/dbraw/zinc/20/50/84/1132205084.db2.gz MIDIQVGBMWXIHO-CZUORRHYSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCC[C@@H](C)[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001172427112 1132205091 /nfs/dbraw/zinc/20/50/91/1132205091.db2.gz MIDIQVGBMWXIHO-CZUORRHYSA-N 1 2 297.826 3.528 20 0 CHADLO CCc1cccc(C[N@H+](C)Cc2c(C)nn(C)c2Cl)c1 ZINC000839585832 1132225434 /nfs/dbraw/zinc/22/54/34/1132225434.db2.gz WWISUQDFPCRVEF-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO CCc1cccc(C[N@@H+](C)Cc2c(C)nn(C)c2Cl)c1 ZINC000839585832 1132225437 /nfs/dbraw/zinc/22/54/37/1132225437.db2.gz WWISUQDFPCRVEF-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO CCCC[C@H](CC)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920074 1132228335 /nfs/dbraw/zinc/22/83/35/1132228335.db2.gz JKKVYYWPMBFWHS-AWEZNQCLSA-N 1 2 261.365 3.715 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Br)cc(F)c2F)C1 ZINC001141797347 1132248853 /nfs/dbraw/zinc/24/88/53/1132248853.db2.gz PAXVGRHNQDBFOO-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Br)cc(F)c2F)C1 ZINC001141797347 1132248858 /nfs/dbraw/zinc/24/88/58/1132248858.db2.gz PAXVGRHNQDBFOO-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1nccs1 ZINC001231083175 1132253374 /nfs/dbraw/zinc/25/33/74/1132253374.db2.gz DOEZTGRXXYWDRN-LLVKDONJSA-N 1 2 285.372 3.684 20 0 CHADLO COC1=CC[C@H](Oc2ccc(-c3c[nH+]cn3C)cc2)CC1 ZINC001231083431 1132253639 /nfs/dbraw/zinc/25/36/39/1132253639.db2.gz KFXTZCZXQFHHGH-INIZCTEOSA-N 1 2 284.359 3.549 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@@H]2C[C@H]3CC[C@@H]2C3)cc1 ZINC001231082907 1132253774 /nfs/dbraw/zinc/25/37/74/1132253774.db2.gz WHFKHLJIVFECSH-DXCKQFNASA-N 1 2 268.360 3.655 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@@H]2C=CCCC2)cc1 ZINC001231082223 1132253857 /nfs/dbraw/zinc/25/38/57/1132253857.db2.gz NXXQJSOJQJZQQB-CQSZACIVSA-N 1 2 254.333 3.575 20 0 CHADLO C[C@H]1CCCC[N@H+](Cc2csc(C(F)(F)F)n2)C1 ZINC001141870219 1132258657 /nfs/dbraw/zinc/25/86/57/1132258657.db2.gz SWXOXRFQEIOGMQ-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO C[C@H]1CCCC[N@@H+](Cc2csc(C(F)(F)F)n2)C1 ZINC001141870219 1132258662 /nfs/dbraw/zinc/25/86/62/1132258662.db2.gz SWXOXRFQEIOGMQ-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO C[C@@H](CCc1c(F)cc(Cl)cc1F)[NH2+][C@H]1CC1(F)F ZINC001172576432 1132273756 /nfs/dbraw/zinc/27/37/56/1132273756.db2.gz NAOIMJFHKPOFFG-MADCSZMMSA-N 1 2 295.707 3.937 20 0 CHADLO C[C@@H](CCc1c(F)cc(Cl)cc1F)[NH2+][C@@H]1CC1(F)F ZINC001172576428 1132274406 /nfs/dbraw/zinc/27/44/06/1132274406.db2.gz NAOIMJFHKPOFFG-JVXZTZIISA-N 1 2 295.707 3.937 20 0 CHADLO Cc1c(F)ccc(C[N@H+](C)Cc2ccc(Cl)nc2)c1F ZINC001231481306 1132298994 /nfs/dbraw/zinc/29/89/94/1132298994.db2.gz XUTLQROZMDRABB-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1c(F)ccc(C[N@@H+](C)Cc2ccc(Cl)nc2)c1F ZINC001231481306 1132298998 /nfs/dbraw/zinc/29/89/98/1132298998.db2.gz XUTLQROZMDRABB-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1nc2c(s1)C[N@@H+]([C@@H]1Cc3ccc(Cl)cc3C1)C2 ZINC001172726466 1132300082 /nfs/dbraw/zinc/30/00/82/1132300082.db2.gz WQJNFMVNLLJONI-CYBMUJFWSA-N 1 2 290.819 3.588 20 0 CHADLO Cc1nc2c(s1)C[N@H+]([C@@H]1Cc3ccc(Cl)cc3C1)C2 ZINC001172726466 1132300088 /nfs/dbraw/zinc/30/00/88/1132300088.db2.gz WQJNFMVNLLJONI-CYBMUJFWSA-N 1 2 290.819 3.588 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2[C@H](C(F)(F)F)O3)cc1C ZINC001231485254 1132302163 /nfs/dbraw/zinc/30/21/63/1132302163.db2.gz IVEAHVOFHSMPTM-SOUVJXGZSA-N 1 2 299.336 3.516 20 0 CHADLO Cc1cc(C)c(C[N@H+]2C[C@@H]3C[C@H]2[C@H](C(F)(F)F)O3)cc1C ZINC001231485254 1132302166 /nfs/dbraw/zinc/30/21/66/1132302166.db2.gz IVEAHVOFHSMPTM-SOUVJXGZSA-N 1 2 299.336 3.516 20 0 CHADLO CCc1ccc(C[NH+]2CC(Oc3ccccc3)C2)s1 ZINC001231502014 1132305440 /nfs/dbraw/zinc/30/54/40/1132305440.db2.gz WWZJSRLZOJGFON-UHFFFAOYSA-N 1 2 273.401 3.574 20 0 CHADLO CCc1ccc(C[NH+]2CC(OCc3ccccc3)C2)s1 ZINC001231501158 1132306376 /nfs/dbraw/zinc/30/63/76/1132306376.db2.gz DPVXWLVRKKAYHB-UHFFFAOYSA-N 1 2 287.428 3.712 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[N@@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001142163167 1132335191 /nfs/dbraw/zinc/33/51/91/1132335191.db2.gz SIVJASKJGVLUIE-HOCLYGCPSA-N 1 2 299.409 3.527 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[N@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001142163167 1132335197 /nfs/dbraw/zinc/33/51/97/1132335197.db2.gz SIVJASKJGVLUIE-HOCLYGCPSA-N 1 2 299.409 3.527 20 0 CHADLO Cc1ccc(C[N@H+]2[C@@H]3CC[C@H]2CC(=O)C3)c(Cl)c1Cl ZINC001231661545 1132338932 /nfs/dbraw/zinc/33/89/32/1132338932.db2.gz SPRYOWQQYZRBQJ-TXEJJXNPSA-N 1 2 298.213 3.998 20 0 CHADLO Cc1ccc(C[N@@H+]2[C@@H]3CC[C@H]2CC(=O)C3)c(Cl)c1Cl ZINC001231661545 1132338940 /nfs/dbraw/zinc/33/89/40/1132338940.db2.gz SPRYOWQQYZRBQJ-TXEJJXNPSA-N 1 2 298.213 3.998 20 0 CHADLO COC[C@H]1CC[N@@H+]1Cc1ccc(C)c(Cl)c1Cl ZINC001231665373 1132342046 /nfs/dbraw/zinc/34/20/46/1132342046.db2.gz IWIPDADZUOPTHJ-LLVKDONJSA-N 1 2 274.191 3.523 20 0 CHADLO COC[C@H]1CC[N@H+]1Cc1ccc(C)c(Cl)c1Cl ZINC001231665373 1132342053 /nfs/dbraw/zinc/34/20/53/1132342053.db2.gz IWIPDADZUOPTHJ-LLVKDONJSA-N 1 2 274.191 3.523 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+]1Cc1ccc(OC(C)C)c(Cl)c1 ZINC001231714060 1132345699 /nfs/dbraw/zinc/34/56/99/1132345699.db2.gz QMNBZEBDRXSANL-HNNXBMFYSA-N 1 2 295.810 3.681 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+]1Cc1ccc(OC(C)C)c(Cl)c1 ZINC001231714060 1132345707 /nfs/dbraw/zinc/34/57/07/1132345707.db2.gz QMNBZEBDRXSANL-HNNXBMFYSA-N 1 2 295.810 3.681 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)c(O)c1)C2 ZINC001231734510 1132349272 /nfs/dbraw/zinc/34/92/72/1132349272.db2.gz BPLAOOLCERXGLR-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)c(O)c1)C2 ZINC001231734510 1132349281 /nfs/dbraw/zinc/34/92/81/1132349281.db2.gz BPLAOOLCERXGLR-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1ccc(Cl)c(O)c1)C2 ZINC001231733554 1132349551 /nfs/dbraw/zinc/34/95/51/1132349551.db2.gz YKNGTTXTHUJZIK-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1ccc(Cl)c(O)c1)C2 ZINC001231733554 1132349559 /nfs/dbraw/zinc/34/95/59/1132349559.db2.gz YKNGTTXTHUJZIK-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[NH+]1CCC(=O)CC1 ZINC001231735158 1132351489 /nfs/dbraw/zinc/35/14/89/1132351489.db2.gz IONFDOJXTINCET-UHFFFAOYSA-N 1 2 297.398 3.792 20 0 CHADLO CCc1ccc(O)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212696650 1132375615 /nfs/dbraw/zinc/37/56/15/1132375615.db2.gz CDPOCHLWVULEFF-UHFFFAOYSA-N 1 2 293.370 3.943 20 0 CHADLO CCCOC1CCC([N@@H+]2CC[C@@H](CF)C(F)(F)C2)CC1 ZINC001173095397 1132385500 /nfs/dbraw/zinc/38/55/00/1132385500.db2.gz LYCPBNQWUKLYSE-HSBZDZAISA-N 1 2 293.373 3.651 20 0 CHADLO CCCOC1CCC([N@H+]2CC[C@@H](CF)C(F)(F)C2)CC1 ZINC001173095397 1132385508 /nfs/dbraw/zinc/38/55/08/1132385508.db2.gz LYCPBNQWUKLYSE-HSBZDZAISA-N 1 2 293.373 3.651 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(NC(C)=O)c(F)c2)c1 ZINC001212703682 1132386585 /nfs/dbraw/zinc/38/65/85/1132386585.db2.gz MDDFQFDRADRNBR-UHFFFAOYSA-N 1 2 287.338 3.875 20 0 CHADLO CC(C)c1ccccc1C[N@@H+]1C[C@@H]2C[C@H]1[C@@H](C(F)(F)F)O2 ZINC001231877595 1132387807 /nfs/dbraw/zinc/38/78/07/1132387807.db2.gz KVOFYKXKWACARI-QEJZJMRPSA-N 1 2 299.336 3.714 20 0 CHADLO CC(C)c1ccccc1C[N@H+]1C[C@@H]2C[C@H]1[C@@H](C(F)(F)F)O2 ZINC001231877595 1132387816 /nfs/dbraw/zinc/38/78/16/1132387816.db2.gz KVOFYKXKWACARI-QEJZJMRPSA-N 1 2 299.336 3.714 20 0 CHADLO FC(F)(F)c1cc(Cl)c(C[NH+]2CC3(CCC3)C2)cn1 ZINC001231901208 1132395641 /nfs/dbraw/zinc/39/56/41/1132395641.db2.gz QBABYMUKNMDDEB-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO FC(F)COc1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001213305081 1132399937 /nfs/dbraw/zinc/39/99/37/1132399937.db2.gz KKLZSMWJSDPBBT-UHFFFAOYSA-N 1 2 289.285 3.722 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[N@@H+]1Cc2ccncc2C1 ZINC001232006899 1132410011 /nfs/dbraw/zinc/41/00/11/1132410011.db2.gz QGEWSSBZNYDGMH-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[N@H+]1Cc2ccncc2C1 ZINC001232006899 1132410015 /nfs/dbraw/zinc/41/00/15/1132410015.db2.gz QGEWSSBZNYDGMH-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232100930 1132422316 /nfs/dbraw/zinc/42/23/16/1132422316.db2.gz ADLNYGSHNDAXNX-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232100930 1132422321 /nfs/dbraw/zinc/42/23/21/1132422321.db2.gz ADLNYGSHNDAXNX-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CSC1CC[NH+](Cc2c(F)cc(F)cc2Cl)CC1 ZINC001232101699 1132424665 /nfs/dbraw/zinc/42/46/65/1132424665.db2.gz MGHPZCKMYDGXLS-UHFFFAOYSA-N 1 2 291.794 3.946 20 0 CHADLO Brc1cccnc1C[NH+]1CC2(C1)CCCCC2 ZINC001232165873 1132430707 /nfs/dbraw/zinc/43/07/07/1132430707.db2.gz PDRURJUYKQASQV-UHFFFAOYSA-N 1 2 295.224 3.610 20 0 CHADLO CC(=O)Nc1ccc(C[N@@H+]2CCCCC(F)(F)C2)c(C)c1 ZINC001232180876 1132431809 /nfs/dbraw/zinc/43/18/09/1132431809.db2.gz BUMVMILRFCFQRQ-UHFFFAOYSA-N 1 2 296.361 3.575 20 0 CHADLO CC(=O)Nc1ccc(C[N@H+]2CCCCC(F)(F)C2)c(C)c1 ZINC001232180876 1132431812 /nfs/dbraw/zinc/43/18/12/1132431812.db2.gz BUMVMILRFCFQRQ-UHFFFAOYSA-N 1 2 296.361 3.575 20 0 CHADLO FC(F)(F)c1ccc2c(c1)CC[N@@H+](Cc1ccns1)C2 ZINC001232192745 1132434275 /nfs/dbraw/zinc/43/42/75/1132434275.db2.gz ZEGIBXIXAQJSMH-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1ccc2c(c1)CC[N@H+](Cc1ccns1)C2 ZINC001232192745 1132434278 /nfs/dbraw/zinc/43/42/78/1132434278.db2.gz ZEGIBXIXAQJSMH-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC1(F)CSC2(C[NH+](Cc3cc(Cl)cs3)C2)C1 ZINC001232279674 1132448328 /nfs/dbraw/zinc/44/83/28/1132448328.db2.gz CDGCTIKHVWNROJ-UHFFFAOYSA-N 1 2 295.807 3.728 20 0 CHADLO CCOc1ncc(C[N@@H+]2Cc3cccc(C)c3C2)cc1C ZINC001232302829 1132450090 /nfs/dbraw/zinc/45/00/90/1132450090.db2.gz MNKYRVQBDSVYIL-UHFFFAOYSA-N 1 2 282.387 3.613 20 0 CHADLO CCOc1ncc(C[N@H+]2Cc3cccc(C)c3C2)cc1C ZINC001232302829 1132450094 /nfs/dbraw/zinc/45/00/94/1132450094.db2.gz MNKYRVQBDSVYIL-UHFFFAOYSA-N 1 2 282.387 3.613 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1cccc(-c2ccccn2)c1 ZINC001142829252 1132456850 /nfs/dbraw/zinc/45/68/50/1132456850.db2.gz VPKORUCLSBULST-HOTGVXAUSA-N 1 2 288.341 3.631 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1cccc(-c2ccccn2)c1 ZINC001142829252 1132456855 /nfs/dbraw/zinc/45/68/55/1132456855.db2.gz VPKORUCLSBULST-HOTGVXAUSA-N 1 2 288.341 3.631 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCc3c(O)cccc3C2)cc1F ZINC001232419143 1132466848 /nfs/dbraw/zinc/46/68/48/1132466848.db2.gz BVUZEMRDXBIGHN-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCc3c(O)cccc3C2)cc1F ZINC001232419143 1132466849 /nfs/dbraw/zinc/46/68/49/1132466849.db2.gz BVUZEMRDXBIGHN-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+]2CCc3ccccc3C2)o1 ZINC001232443463 1132472480 /nfs/dbraw/zinc/47/24/80/1132472480.db2.gz ZFDYXXLNWFSYLM-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+]2CCc3ccccc3C2)o1 ZINC001232443463 1132472481 /nfs/dbraw/zinc/47/24/81/1132472481.db2.gz ZFDYXXLNWFSYLM-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(C(F)(F)F)o2)CCC1(F)F ZINC001232441331 1132472492 /nfs/dbraw/zinc/47/24/92/1132472492.db2.gz ORSSBSALLPBLND-QMMMGPOBSA-N 1 2 283.240 3.776 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(C(F)(F)F)o2)CCC1(F)F ZINC001232441331 1132472494 /nfs/dbraw/zinc/47/24/94/1132472494.db2.gz ORSSBSALLPBLND-QMMMGPOBSA-N 1 2 283.240 3.776 20 0 CHADLO CCSC[C@H](C)[N@@H+]1Cc2cnc(-c3ccccc3)nc2C1 ZINC001173315778 1132477875 /nfs/dbraw/zinc/47/78/75/1132477875.db2.gz SDDCDDPVMWEKEY-ZDUSSCGKSA-N 1 2 299.443 3.601 20 0 CHADLO CCSC[C@H](C)[N@H+]1Cc2cnc(-c3ccccc3)nc2C1 ZINC001173315778 1132477881 /nfs/dbraw/zinc/47/78/81/1132477881.db2.gz SDDCDDPVMWEKEY-ZDUSSCGKSA-N 1 2 299.443 3.601 20 0 CHADLO CC[N@H+](Cc1cccc(CF)n1)Cc1cccc(F)c1F ZINC001232538913 1132486434 /nfs/dbraw/zinc/48/64/34/1132486434.db2.gz VOMUFIZUKMKKPW-UHFFFAOYSA-N 1 2 294.320 3.851 20 0 CHADLO CC[N@@H+](Cc1cccc(CF)n1)Cc1cccc(F)c1F ZINC001232538913 1132486436 /nfs/dbraw/zinc/48/64/36/1132486436.db2.gz VOMUFIZUKMKKPW-UHFFFAOYSA-N 1 2 294.320 3.851 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC001232570904 1132486967 /nfs/dbraw/zinc/48/69/67/1132486967.db2.gz WPWFKKRPMMIEQP-GFCCVEGCSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC001232570904 1132486971 /nfs/dbraw/zinc/48/69/71/1132486971.db2.gz WPWFKKRPMMIEQP-GFCCVEGCSA-N 1 2 277.170 3.570 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1nc3ccccc3o1)C2 ZINC001232555130 1132488234 /nfs/dbraw/zinc/48/82/34/1132488234.db2.gz LOWYNGOTFUFGJM-UHFFFAOYSA-N 1 2 264.328 3.652 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1nc3ccccc3o1)C2 ZINC001232555130 1132488240 /nfs/dbraw/zinc/48/82/40/1132488240.db2.gz LOWYNGOTFUFGJM-UHFFFAOYSA-N 1 2 264.328 3.652 20 0 CHADLO CC[NH2+]Cc1noc([C@@H](C)c2cccc(CC(C)C)c2)n1 ZINC000843144540 1132496801 /nfs/dbraw/zinc/49/68/01/1132496801.db2.gz ZSJBQNHHZMHSSP-ZDUSSCGKSA-N 1 2 287.407 3.529 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1c(C)cccc1OC)C2 ZINC001232623101 1132496841 /nfs/dbraw/zinc/49/68/41/1132496841.db2.gz HOWPFQJAMJKDBH-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1c(C)cccc1OC)C2 ZINC001232623101 1132496843 /nfs/dbraw/zinc/49/68/43/1132496843.db2.gz HOWPFQJAMJKDBH-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cc(F)cc(CO)c1 ZINC001212745742 1132503322 /nfs/dbraw/zinc/50/33/22/1132503322.db2.gz UVMUDSOJEWZSCF-UHFFFAOYSA-N 1 2 288.366 3.964 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cc2ccc(Cl)cc2[nH]1 ZINC001232733269 1132510979 /nfs/dbraw/zinc/51/09/79/1132510979.db2.gz GQUIPEVPCYNOEK-INIZCTEOSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cc2ccc(Cl)cc2[nH]1 ZINC001232733269 1132510980 /nfs/dbraw/zinc/51/09/80/1132510980.db2.gz GQUIPEVPCYNOEK-INIZCTEOSA-N 1 2 290.794 3.765 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc3ncsc3c2)C[C@@H]1F ZINC001232736638 1132511897 /nfs/dbraw/zinc/51/18/97/1132511897.db2.gz HZDYQVYCDNQLGU-UONOGXRCSA-N 1 2 282.359 3.568 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc3ncsc3c2)C[C@@H]1F ZINC001232736638 1132511901 /nfs/dbraw/zinc/51/19/01/1132511901.db2.gz HZDYQVYCDNQLGU-UONOGXRCSA-N 1 2 282.359 3.568 20 0 CHADLO Clc1ccc2cc(C[N@@H+]3CCc4occc4C3)[nH]c2c1 ZINC001232734826 1132511951 /nfs/dbraw/zinc/51/19/51/1132511951.db2.gz JZJWENRTDLOMLA-UHFFFAOYSA-N 1 2 286.762 3.973 20 0 CHADLO Clc1ccc2cc(C[N@H+]3CCc4occc4C3)[nH]c2c1 ZINC001232734826 1132511953 /nfs/dbraw/zinc/51/19/53/1132511953.db2.gz JZJWENRTDLOMLA-UHFFFAOYSA-N 1 2 286.762 3.973 20 0 CHADLO Clc1ccc2cc(C[N@@H+]3CCc4cccnc4C3)[nH]c2c1 ZINC001232735068 1132512991 /nfs/dbraw/zinc/51/29/91/1132512991.db2.gz OAATUGMXBBMISF-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2cc(C[N@H+]3CCc4cccnc4C3)[nH]c2c1 ZINC001232735068 1132512994 /nfs/dbraw/zinc/51/29/94/1132512994.db2.gz OAATUGMXBBMISF-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Cc1c(C[N@@H+]2CCc3c(F)cccc3C2)ccnc1Cl ZINC001232758267 1132515805 /nfs/dbraw/zinc/51/58/05/1132515805.db2.gz VKXDBXYAPFBFQO-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1c(C[N@H+]2CCc3c(F)cccc3C2)ccnc1Cl ZINC001232758267 1132515815 /nfs/dbraw/zinc/51/58/15/1132515815.db2.gz VKXDBXYAPFBFQO-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1c(C[N@@H+]2CCc3ccc(F)cc3C2)ccnc1Cl ZINC001232753647 1132515968 /nfs/dbraw/zinc/51/59/68/1132515968.db2.gz QMWALFVCBZYQGS-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1c(C[N@H+]2CCc3ccc(F)cc3C2)ccnc1Cl ZINC001232753647 1132515973 /nfs/dbraw/zinc/51/59/73/1132515973.db2.gz QMWALFVCBZYQGS-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO CSc1ccc(Nc2cccc3[nH+]ccn32)cc1 ZINC001173811854 1132516549 /nfs/dbraw/zinc/51/65/49/1132516549.db2.gz QMRATBNJAWGEDH-UHFFFAOYSA-N 1 2 255.346 3.800 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cccc(O)c2Cl)C1 ZINC001232784882 1132520420 /nfs/dbraw/zinc/52/04/20/1132520420.db2.gz XVHKVAWFYFCKCT-CQSZACIVSA-N 1 2 271.763 3.760 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cccc(O)c2Cl)C1 ZINC001232784882 1132520428 /nfs/dbraw/zinc/52/04/28/1132520428.db2.gz XVHKVAWFYFCKCT-CQSZACIVSA-N 1 2 271.763 3.760 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cccc(C(F)(F)F)c1 ZINC001173821728 1132525212 /nfs/dbraw/zinc/52/52/12/1132525212.db2.gz ZYQBUQFZDMXKBF-UHFFFAOYSA-N 1 2 268.238 3.858 20 0 CHADLO CCc1[nH+]ccn1-c1nc(OC)ccc1Nc1ccccc1 ZINC001173793656 1132528880 /nfs/dbraw/zinc/52/88/80/1132528880.db2.gz MFUAHDHZLWWYKX-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO COc1cc(C[NH+]2Cc3ccccc3C2)c(OC)cc1C ZINC001232832955 1132529495 /nfs/dbraw/zinc/52/94/95/1132529495.db2.gz JTSVJMPFKBIAPJ-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccccc1-n1cccc1 ZINC001232911447 1132541334 /nfs/dbraw/zinc/54/13/34/1132541334.db2.gz VTSOVLLFXZUNLE-UHFFFAOYSA-N 1 2 283.400 3.566 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccccc1-n1cccc1 ZINC001232911447 1132541340 /nfs/dbraw/zinc/54/13/40/1132541340.db2.gz VTSOVLLFXZUNLE-UHFFFAOYSA-N 1 2 283.400 3.566 20 0 CHADLO CC(C)C1CC[NH+](Cc2ccc(F)c(O)c2F)CC1 ZINC001232937559 1132546290 /nfs/dbraw/zinc/54/62/90/1132546290.db2.gz QIEDKRPDVGWRTB-UHFFFAOYSA-N 1 2 269.335 3.538 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)Cc3cc(C)cc(C)c3)cc2[nH+]1 ZINC001150106921 1132551706 /nfs/dbraw/zinc/55/17/06/1132551706.db2.gz UGWYSQUGFAQTFX-UHFFFAOYSA-N 1 2 293.370 3.669 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1cc(O)c(F)c(F)c1 ZINC001232976354 1132554568 /nfs/dbraw/zinc/55/45/68/1132554568.db2.gz WMIPRDFJXVPEBM-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1cc(O)c(F)c(F)c1 ZINC001232976354 1132554584 /nfs/dbraw/zinc/55/45/84/1132554584.db2.gz WMIPRDFJXVPEBM-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CCOc1ccc(Nc2[nH+]cccc2N2CCCC2)cc1 ZINC001173851717 1132554660 /nfs/dbraw/zinc/55/46/60/1132554660.db2.gz POAWOGNSQDFSAW-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1ccc(C3CC3)cc1)C2 ZINC001232985242 1132556414 /nfs/dbraw/zinc/55/64/14/1132556414.db2.gz AHZHFGLGNRXYOY-UHFFFAOYSA-N 1 2 264.372 3.783 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1ccc(C3CC3)cc1)C2 ZINC001232985242 1132556418 /nfs/dbraw/zinc/55/64/18/1132556418.db2.gz AHZHFGLGNRXYOY-UHFFFAOYSA-N 1 2 264.372 3.783 20 0 CHADLO Fc1cccc(F)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001173860416 1132562575 /nfs/dbraw/zinc/56/25/75/1132562575.db2.gz NZJMWVGIONQVLH-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OC)c(F)c1 ZINC001173863341 1132565681 /nfs/dbraw/zinc/56/56/81/1132565681.db2.gz GQRMRDCSGCTCQE-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cc1)Cc1cccc(O)c1F ZINC001233053251 1132568476 /nfs/dbraw/zinc/56/84/76/1132568476.db2.gz LLBFYUSGTFBIPT-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cc1)Cc1cccc(O)c1F ZINC001233053251 1132568479 /nfs/dbraw/zinc/56/84/79/1132568479.db2.gz LLBFYUSGTFBIPT-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccc(Cl)cc3C2)cnc1C ZINC001233155029 1132574232 /nfs/dbraw/zinc/57/42/32/1132574232.db2.gz ACRNFJKZXBUKAN-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccc(Cl)cc3C2)cnc1C ZINC001233155029 1132574239 /nfs/dbraw/zinc/57/42/39/1132574239.db2.gz ACRNFJKZXBUKAN-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccccc2Cl)cnc1C ZINC001233164203 1132576849 /nfs/dbraw/zinc/57/68/49/1132576849.db2.gz TYJOPBXYDQTXFT-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccccc2Cl)cnc1C ZINC001233164203 1132576854 /nfs/dbraw/zinc/57/68/54/1132576854.db2.gz TYJOPBXYDQTXFT-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO CC(=O)[C@H]1CCC[N@H+](Cc2csc(C(F)(F)F)c2)C1 ZINC001233177381 1132578131 /nfs/dbraw/zinc/57/81/31/1132578131.db2.gz JTTBPSKFKPGAAS-NSHDSACASA-N 1 2 291.338 3.568 20 0 CHADLO CC(=O)[C@H]1CCC[N@@H+](Cc2csc(C(F)(F)F)c2)C1 ZINC001233177381 1132578134 /nfs/dbraw/zinc/57/81/34/1132578134.db2.gz JTTBPSKFKPGAAS-NSHDSACASA-N 1 2 291.338 3.568 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233207725 1132581044 /nfs/dbraw/zinc/58/10/44/1132581044.db2.gz OSSNKRKXLMRYEX-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233207725 1132581041 /nfs/dbraw/zinc/58/10/41/1132581041.db2.gz OSSNKRKXLMRYEX-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203375892 1132581457 /nfs/dbraw/zinc/58/14/57/1132581457.db2.gz FIHFJGVHIHNMQR-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(F)cc2F)cc1O ZINC001233235614 1132586372 /nfs/dbraw/zinc/58/63/72/1132586372.db2.gz ZZIXLZUZTQWSNU-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(F)cc2F)cc1O ZINC001233235614 1132586377 /nfs/dbraw/zinc/58/63/77/1132586377.db2.gz ZZIXLZUZTQWSNU-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(Cl)cc1C[N@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001233248419 1132589004 /nfs/dbraw/zinc/58/90/04/1132589004.db2.gz KQHZCPYRVLPMPM-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(Cl)cc1C[N@@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001233248419 1132588996 /nfs/dbraw/zinc/58/89/96/1132588996.db2.gz KQHZCPYRVLPMPM-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO COc1ccccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001173838142 1132592366 /nfs/dbraw/zinc/59/23/66/1132592366.db2.gz FHZHWDLBQRGXGC-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO COc1ccccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001173839427 1132593411 /nfs/dbraw/zinc/59/34/11/1132593411.db2.gz KYGHOGNURKAWMI-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO Clc1ccc(C[N@@H+]2CC[C@H]2COCc2ccccc2)o1 ZINC001233316010 1132599430 /nfs/dbraw/zinc/59/94/30/1132599430.db2.gz ICAJSRJSHDOAQP-AWEZNQCLSA-N 1 2 291.778 3.724 20 0 CHADLO Clc1ccc(C[N@H+]2CC[C@H]2COCc2ccccc2)o1 ZINC001233316010 1132599432 /nfs/dbraw/zinc/59/94/32/1132599432.db2.gz ICAJSRJSHDOAQP-AWEZNQCLSA-N 1 2 291.778 3.724 20 0 CHADLO CC(=O)c1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001173902251 1132606283 /nfs/dbraw/zinc/60/62/83/1132606283.db2.gz FAUFYYKMTZRMCT-UHFFFAOYSA-N 1 2 281.359 3.628 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2cccs2)cc1F ZINC001233343465 1132606691 /nfs/dbraw/zinc/60/66/91/1132606691.db2.gz NICARQIFOYGWQO-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2cccs2)cc1F ZINC001233343465 1132606693 /nfs/dbraw/zinc/60/66/93/1132606693.db2.gz NICARQIFOYGWQO-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cnc3cccc(Cl)n23)C1 ZINC001233356121 1132609473 /nfs/dbraw/zinc/60/94/73/1132609473.db2.gz ANQVFUDHJWDHPP-OAHLLOKOSA-N 1 2 295.789 3.702 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cnc3cccc(Cl)n23)C1 ZINC001233356121 1132609478 /nfs/dbraw/zinc/60/94/78/1132609478.db2.gz ANQVFUDHJWDHPP-OAHLLOKOSA-N 1 2 295.789 3.702 20 0 CHADLO Clc1cccc2ncc(C[N@@H+]3CC[C@@H]3c3ccccc3)n21 ZINC001233359310 1132610310 /nfs/dbraw/zinc/61/03/10/1132610310.db2.gz QXMMRKZLFHFOTH-OAHLLOKOSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1cccc2ncc(C[N@H+]3CC[C@@H]3c3ccccc3)n21 ZINC001233359310 1132610314 /nfs/dbraw/zinc/61/03/14/1132610314.db2.gz QXMMRKZLFHFOTH-OAHLLOKOSA-N 1 2 297.789 3.935 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cn3ccc(Cl)cc3n2)C1 ZINC001233388842 1132613436 /nfs/dbraw/zinc/61/34/36/1132613436.db2.gz OOGUPNPEVHUKGU-HNNXBMFYSA-N 1 2 295.789 3.702 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cn3ccc(Cl)cc3n2)C1 ZINC001233388842 1132613438 /nfs/dbraw/zinc/61/34/38/1132613438.db2.gz OOGUPNPEVHUKGU-HNNXBMFYSA-N 1 2 295.789 3.702 20 0 CHADLO COc1cccc(OC)c1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001173922205 1132615825 /nfs/dbraw/zinc/61/58/25/1132615825.db2.gz UTJWIYIECULJSP-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO Nc1[nH+]c(NCc2cccc(OC(F)F)c2)ccc1Cl ZINC001154669931 1132623018 /nfs/dbraw/zinc/62/30/18/1132623018.db2.gz DVMOEJOWXDCJDR-UHFFFAOYSA-N 1 2 299.708 3.531 20 0 CHADLO COc1ccc2[nH+]c(NCc3cnc(C)s3)c(C)cc2c1 ZINC001154792414 1132624428 /nfs/dbraw/zinc/62/44/28/1132624428.db2.gz KROVQNOYICZJAF-UHFFFAOYSA-N 1 2 299.399 3.929 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1ccc2c(ccn2C)c1 ZINC001154805570 1132625994 /nfs/dbraw/zinc/62/59/94/1132625994.db2.gz BXJXABQBQKPEHO-UHFFFAOYSA-N 1 2 281.359 3.502 20 0 CHADLO COc1cccc(Nc2cccc3[nH+]c(C)cn32)c1F ZINC001173970920 1132633044 /nfs/dbraw/zinc/63/30/44/1132633044.db2.gz DZNGLSCEOMVTBV-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H]2CCCC[C@H]2F)c1 ZINC001155064811 1132638303 /nfs/dbraw/zinc/63/83/03/1132638303.db2.gz MBDXIPHCJRHDIP-VXGBXAGGSA-N 1 2 254.374 3.637 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2C(=O)[C@H](C)C2CCCC2)[nH+]c1C ZINC001155173824 1132645439 /nfs/dbraw/zinc/64/54/39/1132645439.db2.gz IDDCVTBVPIYNSA-ABAIWWIYSA-N 1 2 289.423 3.516 20 0 CHADLO CSCc1cc[nH+]c(NC[C@@H]2CCC(F)(F)C2)c1 ZINC001155175388 1132645566 /nfs/dbraw/zinc/64/55/66/1132645566.db2.gz DWADFWGORCRZAO-LLVKDONJSA-N 1 2 272.364 3.792 20 0 CHADLO CCc1cc2c(ncnc2NC(=[NH2+])C(C)(C)C)s1 ZINC001155181203 1132646045 /nfs/dbraw/zinc/64/60/45/1132646045.db2.gz LFJZTSFEZBVLMF-UHFFFAOYSA-N 1 2 262.382 3.689 20 0 CHADLO Cc1nc2sc3c(c2c(NC(=[NH2+])C(C)(C)C)n1)CCC3 ZINC001155179963 1132646786 /nfs/dbraw/zinc/64/67/86/1132646786.db2.gz FESZVCIOTKGRID-UHFFFAOYSA-N 1 2 288.420 3.924 20 0 CHADLO Cc1cn2cccc(Nc3ccc4[nH]ccc4c3)c2[nH+]1 ZINC001173936190 1132648841 /nfs/dbraw/zinc/64/88/41/1132648841.db2.gz DUVLKBCJYJVDRV-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO Cc1c(F)cc[nH+]c1N1Cc2ccccc2C(C)(C)C1 ZINC001155467422 1132658077 /nfs/dbraw/zinc/65/80/77/1132658077.db2.gz ARULFBHGCNCHGK-UHFFFAOYSA-N 1 2 270.351 3.827 20 0 CHADLO [NH2+]=C(Nc1cc(C2CC2)ncn1)C12CC3CC(CC(C3)C1)C2 ZINC001155962655 1132673164 /nfs/dbraw/zinc/67/31/64/1132673164.db2.gz YEZYCPRCVGLRQB-UHFFFAOYSA-N 1 2 296.418 3.960 20 0 CHADLO Cc1nc(NCCn2cccc2)cc(C2CCCCC2)[nH+]1 ZINC001156302850 1132689629 /nfs/dbraw/zinc/68/96/29/1132689629.db2.gz FUADRPYJVCUZAE-UHFFFAOYSA-N 1 2 284.407 3.746 20 0 CHADLO COc1c[nH+]c(NC2CCC(C(F)(F)F)CC2)c(C)c1 ZINC001156415700 1132693211 /nfs/dbraw/zinc/69/32/11/1132693211.db2.gz WNKFTZRVVISURT-UHFFFAOYSA-N 1 2 288.313 3.932 20 0 CHADLO Cc1nc(NC2CCC(C(F)(F)F)CC2)c2c([nH+]1)CCC2 ZINC001156413639 1132693309 /nfs/dbraw/zinc/69/33/09/1132693309.db2.gz DNRIUZXZDGXHHE-UHFFFAOYSA-N 1 2 299.340 3.807 20 0 CHADLO COCC(C)(C)Nc1[nH+]ccc2cc(Cl)ccc21 ZINC001156459927 1132697632 /nfs/dbraw/zinc/69/76/32/1132697632.db2.gz YPFSHGQGYQOPQT-UHFFFAOYSA-N 1 2 264.756 3.725 20 0 CHADLO CN(c1cc(N)cc(Cl)[nH+]1)C(C)(C)Cc1ccccc1 ZINC001156515779 1132701593 /nfs/dbraw/zinc/70/15/93/1132701593.db2.gz QCWBJZQDUWWKOZ-UHFFFAOYSA-N 1 2 289.810 3.775 20 0 CHADLO COc1ccc2ccc(NCCc3ccsc3)[nH+]c2c1 ZINC001156521715 1132701604 /nfs/dbraw/zinc/70/16/04/1132701604.db2.gz IXXXKVZVORESMZ-UHFFFAOYSA-N 1 2 284.384 3.960 20 0 CHADLO CCN(CC)c1cc(NCc2ccccc2C2CC2)[nH+]cn1 ZINC001156542266 1132704260 /nfs/dbraw/zinc/70/42/60/1132704260.db2.gz SGIZTYZPMZUHEV-UHFFFAOYSA-N 1 2 296.418 3.812 20 0 CHADLO CCN(CC)c1cc(NCc2ccccc2C2CC2)nc[nH+]1 ZINC001156542266 1132704267 /nfs/dbraw/zinc/70/42/67/1132704267.db2.gz SGIZTYZPMZUHEV-UHFFFAOYSA-N 1 2 296.418 3.812 20 0 CHADLO Cc1cn2cccc(Nc3cccc(CO)c3Cl)c2[nH+]1 ZINC001212761018 1132706622 /nfs/dbraw/zinc/70/66/22/1132706622.db2.gz WTLGFIFHVDHZAG-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO COc1cccc(F)c1CNc1ccc(CSC)c[nH+]1 ZINC001156749516 1132708919 /nfs/dbraw/zinc/70/89/19/1132708919.db2.gz CQHYGFKYXXMONB-UHFFFAOYSA-N 1 2 292.379 3.704 20 0 CHADLO Cc1cccc2c(C)cc(N3Cc4ncsc4C3)[nH+]c12 ZINC001156822829 1132710255 /nfs/dbraw/zinc/71/02/55/1132710255.db2.gz SFWPJJMFSBFILE-UHFFFAOYSA-N 1 2 281.384 3.828 20 0 CHADLO Cn1ccc2cc(Nc3[nH+]cccc3N3CCCC3)ccc21 ZINC001174097346 1132714683 /nfs/dbraw/zinc/71/46/83/1132714683.db2.gz NGRUXHZYANXPSK-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO OCC1(Nc2[nH+]ccc3cc(Cl)ccc32)CCCC1 ZINC001157003719 1132719139 /nfs/dbraw/zinc/71/91/39/1132719139.db2.gz KJEBHDNIQFTGKI-UHFFFAOYSA-N 1 2 276.767 3.605 20 0 CHADLO COC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)c(Cl)c1 ZINC001203379273 1132720256 /nfs/dbraw/zinc/72/02/56/1132720256.db2.gz AGCHZLSSVUMUHP-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO COCCOc1cccc(F)c1Nc1ccc(C)[nH+]c1C ZINC001203379551 1132721031 /nfs/dbraw/zinc/72/10/31/1132721031.db2.gz CGPJQLLKVMCWCB-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO CCN(CC)C(=O)c1ccccc1Nc1ccc(C)[nH+]c1C ZINC001203379533 1132721661 /nfs/dbraw/zinc/72/16/61/1132721661.db2.gz CBTKEZHATFEZHL-UHFFFAOYSA-N 1 2 297.402 3.924 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccccc1COC ZINC001174105024 1132721769 /nfs/dbraw/zinc/72/17/69/1132721769.db2.gz CDGILBQUBMIQAR-UHFFFAOYSA-N 1 2 256.349 3.842 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)NCc1c[nH]c(C(C)C)[nH+]1 ZINC001157120271 1132722097 /nfs/dbraw/zinc/72/20/97/1132722097.db2.gz TUMISJMPMZFIFK-CQSZACIVSA-N 1 2 291.439 3.756 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)NCc1c[nH+]c(C(C)C)[nH]1 ZINC001157120271 1132722106 /nfs/dbraw/zinc/72/21/06/1132722106.db2.gz TUMISJMPMZFIFK-CQSZACIVSA-N 1 2 291.439 3.756 20 0 CHADLO CC(C)Oc1ccc(Nc2cccc3[nH+]ccn32)cc1 ZINC001174108393 1132724000 /nfs/dbraw/zinc/72/40/00/1132724000.db2.gz FGJYCTARLGJNJP-UHFFFAOYSA-N 1 2 267.332 3.865 20 0 CHADLO Cc1ccc(C)c(Nc2cc(F)nc(C(F)(F)F)c2)[nH+]1 ZINC001213006883 1132725051 /nfs/dbraw/zinc/72/50/51/1132725051.db2.gz HUEIUTRWMPSBNA-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CCc2ccc(N)cc2C1 ZINC001157218865 1132725351 /nfs/dbraw/zinc/72/53/51/1132725351.db2.gz HSGZALJIZGKJHW-UHFFFAOYSA-N 1 2 289.382 3.688 20 0 CHADLO Cc1cccc(Nc2ccc(C(=O)NCC(C)C)cc2)[nH+]1 ZINC001174077703 1132733463 /nfs/dbraw/zinc/73/34/63/1132733463.db2.gz ONFKQGZUNPVGTN-UHFFFAOYSA-N 1 2 283.375 3.519 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2cccc3cnccc32)c1 ZINC001174136177 1132742919 /nfs/dbraw/zinc/74/29/19/1132742919.db2.gz XZSCHRUDYOZPET-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO c1cn2ccc(Nc3cccc4cnccc43)cc2[nH+]1 ZINC001174136386 1132743111 /nfs/dbraw/zinc/74/31/11/1132743111.db2.gz WYXWTPPRPPANNT-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO CNC(=O)c1cccc(Nc2c[nH+]c(CC(C)C)cc2C)c1 ZINC001174139790 1132745339 /nfs/dbraw/zinc/74/53/39/1132745339.db2.gz GMIUCQGYLSSILH-UHFFFAOYSA-N 1 2 297.402 3.692 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(OC)cc1F ZINC001174142726 1132745899 /nfs/dbraw/zinc/74/58/99/1132745899.db2.gz KIKHYOBDUCCREM-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO COc1ccc(Nc2cccn3cc(C)[nH+]c23)c(F)c1 ZINC001174144012 1132746005 /nfs/dbraw/zinc/74/60/05/1132746005.db2.gz KSJHHYNSIRGIHO-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CSc1cc[nH+]c(N[C@H]2CCNc3ccccc32)c1 ZINC001157835285 1132746697 /nfs/dbraw/zinc/74/66/97/1132746697.db2.gz DKXKZOHRLXACJA-AWEZNQCLSA-N 1 2 271.389 3.772 20 0 CHADLO Cc1cc(N[C@H]2CCNc3ccccc32)nc(C(C)C)[nH+]1 ZINC001157838075 1132747977 /nfs/dbraw/zinc/74/79/77/1132747977.db2.gz VSJCSFCAQDTLCX-HNNXBMFYSA-N 1 2 282.391 3.877 20 0 CHADLO CCN(CC)C(=O)c1cccc(Nc2ccc(C)c[nH+]2)c1 ZINC001174165299 1132752135 /nfs/dbraw/zinc/75/21/35/1132752135.db2.gz XWHIXKSZVZQJME-UHFFFAOYSA-N 1 2 283.375 3.616 20 0 CHADLO CCCn1cc(Nc2ccc3c(C)cc[nH+]c3c2)cn1 ZINC001174221400 1132764452 /nfs/dbraw/zinc/76/44/52/1132764452.db2.gz PZJMGURWEHUOEY-UHFFFAOYSA-N 1 2 266.348 3.893 20 0 CHADLO Cc1nc2[nH]ccc2c(NC(C)(C)CC(C)(C)C)[nH+]1 ZINC001158187858 1132765607 /nfs/dbraw/zinc/76/56/07/1132765607.db2.gz LQWPNPZBEWQPIE-UHFFFAOYSA-N 1 2 260.385 3.893 20 0 CHADLO CCCn1cc(Nc2c[nH+]c(CC(C)C)cc2C)cn1 ZINC001174222235 1132765660 /nfs/dbraw/zinc/76/56/60/1132765660.db2.gz VQPPXHKPJCBVMZ-UHFFFAOYSA-N 1 2 272.396 3.939 20 0 CHADLO COCc1cc[nH+]c(NC(C)(C)CC(C)(C)C)c1 ZINC001158187671 1132766008 /nfs/dbraw/zinc/76/60/08/1132766008.db2.gz BOEJNTAWOZNGBI-UHFFFAOYSA-N 1 2 250.386 3.855 20 0 CHADLO COc1cncc(CCNc2cc(C)c3ccccc3[nH+]2)c1 ZINC001158382335 1132772984 /nfs/dbraw/zinc/77/29/84/1132772984.db2.gz VIZJHOLPJIXDQC-UHFFFAOYSA-N 1 2 293.370 3.601 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3n[nH]cc3c2)c1 ZINC001174272954 1132782018 /nfs/dbraw/zinc/78/20/18/1132782018.db2.gz HTOOMLWMHQODLC-UHFFFAOYSA-N 1 2 252.321 3.654 20 0 CHADLO Cc1cn2c(cccc2Nc2ccnc(OCC3CC3)c2)[nH+]1 ZINC001213014260 1132782225 /nfs/dbraw/zinc/78/22/25/1132782225.db2.gz KYIHOVLFLBQAFM-UHFFFAOYSA-N 1 2 294.358 3.570 20 0 CHADLO COc1cc2c(cc1OC)CN(c1ccc(C(C)C)c[nH+]1)C2 ZINC001158613392 1132785950 /nfs/dbraw/zinc/78/59/50/1132785950.db2.gz YXUABBYISCPKQR-UHFFFAOYSA-N 1 2 298.386 3.742 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(C(F)(F)F)nc2)[nH+]1 ZINC001174309166 1132788309 /nfs/dbraw/zinc/78/83/09/1132788309.db2.gz LHTUBKVZEXOMPP-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC001158796216 1132793711 /nfs/dbraw/zinc/79/37/11/1132793711.db2.gz URSJJVUQHCUMRB-HZPDHXFCSA-N 1 2 298.386 3.731 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1N[C@@H]1C[C@H]1c1ccccc1 ZINC001158840451 1132794646 /nfs/dbraw/zinc/79/46/46/1132794646.db2.gz OPUACBWAHJWCOI-GOEBONIOSA-N 1 2 298.386 3.649 20 0 CHADLO Cc1cc(Oc2ccc(Nc3cnn(C)c3)cc2)cc[nH+]1 ZINC001174331184 1132794979 /nfs/dbraw/zinc/79/49/79/1132794979.db2.gz DDXIAGXEGRARPL-UHFFFAOYSA-N 1 2 280.331 3.659 20 0 CHADLO CO[C@@H]1CCN(c2cc(C)c3cccc(C)c3[nH+]2)[C@H](C)C1 ZINC001158893354 1132797010 /nfs/dbraw/zinc/79/70/10/1132797010.db2.gz JTNQIKYBGLXAIJ-HUUCEWRRSA-N 1 2 284.403 3.855 20 0 CHADLO Cn1ncc2ccc(Nc3cc(Cl)c4[nH+]ccn4c3)cc21 ZINC001174339111 1132798489 /nfs/dbraw/zinc/79/84/89/1132798489.db2.gz VWGOYVBQLPSZRS-UHFFFAOYSA-N 1 2 297.749 3.618 20 0 CHADLO c1cn(Cc2ccc(Nc3cccc4n[nH]cc43)cc2)c[nH+]1 ZINC001174344331 1132801003 /nfs/dbraw/zinc/80/10/03/1132801003.db2.gz VIDKWXJUUTWQGL-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO c1cn(Cc2ccc(Nc3cccc4[nH]ncc43)cc2)c[nH+]1 ZINC001174344331 1132801008 /nfs/dbraw/zinc/80/10/08/1132801008.db2.gz VIDKWXJUUTWQGL-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO CN(C)c1ccc(Nc2ccc3cc(N)ccc3c2)c[nH+]1 ZINC001159113870 1132808521 /nfs/dbraw/zinc/80/85/21/1132808521.db2.gz ZASKFNUWOAVBBD-UHFFFAOYSA-N 1 2 278.359 3.627 20 0 CHADLO c1cc2cc(Nc3ccc[nH+]c3N3CCCCC3)cnc2[nH]1 ZINC001174395538 1132810387 /nfs/dbraw/zinc/81/03/87/1132810387.db2.gz HFRYGELPGXYBIA-UHFFFAOYSA-N 1 2 293.374 3.643 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccnc(C(F)(F)F)c1 ZINC001174360584 1132818250 /nfs/dbraw/zinc/81/82/50/1132818250.db2.gz PNPZMUKFBCAXBO-UHFFFAOYSA-N 1 2 283.253 3.638 20 0 CHADLO CCOc1cc(Nc2c[nH]c3ncc(F)cc23)cc(C)[nH+]1 ZINC001159254131 1132819793 /nfs/dbraw/zinc/81/97/93/1132819793.db2.gz RJDLBPAYQDDYKC-UHFFFAOYSA-N 1 2 286.310 3.548 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4ncsc4c3)ccc21 ZINC001174369358 1132823651 /nfs/dbraw/zinc/82/36/51/1132823651.db2.gz WINFBECJMFTWLR-UHFFFAOYSA-N 1 2 280.356 3.927 20 0 CHADLO OCc1cccc(Cl)c1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001159429884 1132843513 /nfs/dbraw/zinc/84/35/13/1132843513.db2.gz GNJNQLAMWHVSDW-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO Clc1cc(Nc2ccnc3[nH]ccc32)cn2cc[nH+]c12 ZINC001174410213 1132847927 /nfs/dbraw/zinc/84/79/27/1132847927.db2.gz OWAYOLYNFNISSU-UHFFFAOYSA-N 1 2 283.722 3.559 20 0 CHADLO Cc1c(C)n(-c2cc[nH+]c3[nH]ccc32)c2c1cccc2N ZINC001174412175 1132850641 /nfs/dbraw/zinc/85/06/41/1132850641.db2.gz ILAISQHHSDCAGC-UHFFFAOYSA-N 1 2 276.343 3.657 20 0 CHADLO CC(C)CCn1cc(Nc2ccc3c(c2)[nH+]cn3C)cn1 ZINC001174415023 1132853704 /nfs/dbraw/zinc/85/37/04/1132853704.db2.gz OLIUBCIFIOWDCU-UHFFFAOYSA-N 1 2 283.379 3.560 20 0 CHADLO c1n[nH]c2cc(Nc3ccc[nH+]c3N3CCCCC3)ccc12 ZINC001174498114 1132864141 /nfs/dbraw/zinc/86/41/41/1132864141.db2.gz VOBZFEVIYBLFSL-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO c1[nH]nc2cc(Nc3ccc[nH+]c3N3CCCCC3)ccc12 ZINC001174498114 1132864146 /nfs/dbraw/zinc/86/41/46/1132864146.db2.gz VOBZFEVIYBLFSL-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO CNc1ncc2cc(Nc3cc[nH+]c(SC)c3)ccc2n1 ZINC001174532123 1132896257 /nfs/dbraw/zinc/89/62/57/1132896257.db2.gz HTXAPUPKVMMFHZ-UHFFFAOYSA-N 1 2 297.387 3.532 20 0 CHADLO COc1cc(Nc2[nH+]cccc2N2CCCCC2)ccc1O ZINC001174541786 1132901338 /nfs/dbraw/zinc/90/13/38/1132901338.db2.gz JSXFPSCXVCIISH-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc2c(c1)OCC2 ZINC001206672275 1132903790 /nfs/dbraw/zinc/90/37/90/1132903790.db2.gz RAJOVZDEYDXOKP-UHFFFAOYSA-N 1 2 254.333 3.884 20 0 CHADLO CCNC(=O)Nc1ccc(Nc2[nH+]cccc2CC)cc1 ZINC001174519039 1132910262 /nfs/dbraw/zinc/91/02/62/1132910262.db2.gz WYPMGHCQEZQLRH-UHFFFAOYSA-N 1 2 284.363 3.529 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)sn1 ZINC001174523709 1132912853 /nfs/dbraw/zinc/91/28/53/1132912853.db2.gz XHDDDPZKIORCDU-UHFFFAOYSA-N 1 2 274.393 3.580 20 0 CHADLO Cc1ccc(CO)cc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174522684 1132912921 /nfs/dbraw/zinc/91/29/21/1132912921.db2.gz TYEZPLNZNSZVJV-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1c(CO)cccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174524905 1132913264 /nfs/dbraw/zinc/91/32/64/1132913264.db2.gz VDSZNJQTBPAMNH-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1ccc(Nc2ccccc2C[NH+]2CCOCC2)cc1C ZINC001174633990 1132935284 /nfs/dbraw/zinc/93/52/84/1132935284.db2.gz PNWIMDBJNQOKFP-UHFFFAOYSA-N 1 2 296.414 3.879 20 0 CHADLO c1nn([C@@H]2CCCCO2)cc1Nc1cccc2cc[nH+]cc21 ZINC001174604218 1132939668 /nfs/dbraw/zinc/93/96/68/1132939668.db2.gz CHGUPXHWWYAABP-KRWDZBQOSA-N 1 2 294.358 3.874 20 0 CHADLO CCc1c(C)cc(Nc2[nH+]cccc2CCO)cc1C ZINC001174679904 1132946219 /nfs/dbraw/zinc/94/62/19/1132946219.db2.gz WWUPXUQKQWIFBW-UHFFFAOYSA-N 1 2 270.376 3.539 20 0 CHADLO CCO[C@H](C)n1cc(Nc2ccc([N@H+](C)CC)cc2)cn1 ZINC001174703732 1132959193 /nfs/dbraw/zinc/95/91/93/1132959193.db2.gz UENZEQZWZUBFHF-CYBMUJFWSA-N 1 2 288.395 3.638 20 0 CHADLO CCO[C@H](C)n1cc(Nc2ccc([N@@H+](C)CC)cc2)cn1 ZINC001174703732 1132959196 /nfs/dbraw/zinc/95/91/96/1132959196.db2.gz UENZEQZWZUBFHF-CYBMUJFWSA-N 1 2 288.395 3.638 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc(N2CCOCC2)cc1 ZINC001174707710 1132960313 /nfs/dbraw/zinc/96/03/13/1132960313.db2.gz OIUFARZHZHNOQU-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO CSc1cc[nH+]c(NC(C)(C)c2ccncc2)c1 ZINC001160312647 1132961299 /nfs/dbraw/zinc/96/12/99/1132961299.db2.gz OMDMBBYAGVDKFV-UHFFFAOYSA-N 1 2 259.378 3.546 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c(c2)CCCO3)[nH+]1 ZINC001174720330 1132963920 /nfs/dbraw/zinc/96/39/20/1132963920.db2.gz ZCNUTLKNGQDLPI-UHFFFAOYSA-N 1 2 279.343 3.711 20 0 CHADLO Cc1c(F)cc[nH+]c1NC1CC2(C1)CC(F)(F)C2 ZINC001160590886 1132975246 /nfs/dbraw/zinc/97/52/46/1132975246.db2.gz OPIUJHDKAQTFKT-UHFFFAOYSA-N 1 2 256.271 3.519 20 0 CHADLO CC[C@@H](C)C1CCC(Nc2[nH+]ccc(C)c2C(N)=O)CC1 ZINC001160668400 1132977357 /nfs/dbraw/zinc/97/73/57/1132977357.db2.gz VYJRBHKMTBUDOL-LMWSTFAQSA-N 1 2 289.423 3.506 20 0 CHADLO Cn1nc(-c2ccccc2)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213027406 1132986237 /nfs/dbraw/zinc/98/62/37/1132986237.db2.gz YEJXKNDHQSIZJR-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO CC[C@H](COC)Nc1cc(-c2ccccc2)cc[nH+]1 ZINC001160933180 1132988006 /nfs/dbraw/zinc/98/80/06/1132988006.db2.gz CNTFKWKTCGFHTI-OAHLLOKOSA-N 1 2 256.349 3.586 20 0 CHADLO Cc1ncc(Cl)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213028129 1132988337 /nfs/dbraw/zinc/98/83/37/1132988337.db2.gz IISVKRMRFICFGO-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO CC(C)c1ccc(Nc2cccc3[nH+]c[nH]c32)cn1 ZINC001213028800 1132990149 /nfs/dbraw/zinc/99/01/49/1132990149.db2.gz XORHKRYIHNRTLF-UHFFFAOYSA-N 1 2 252.321 3.825 20 0 CHADLO CCOC(=O)/C=C/c1ccc[nH+]c1N[C@@H]1CCC(C)(C)C1 ZINC001161032448 1132990256 /nfs/dbraw/zinc/99/02/56/1132990256.db2.gz PYMAFJOSIARXOA-HSBSLETESA-N 1 2 288.391 3.649 20 0 CHADLO c1[nH]c2c(cccc2Nc2cccnc2C2CC2)[nH+]1 ZINC001213029029 1132992480 /nfs/dbraw/zinc/99/24/80/1132992480.db2.gz FDWXJPOOEMGKGR-UHFFFAOYSA-N 1 2 250.305 3.579 20 0 CHADLO c1[nH]c2c(cccc2Nc2cccnc2-c2ccccn2)[nH+]1 ZINC001213030657 1132994082 /nfs/dbraw/zinc/99/40/82/1132994082.db2.gz LFVFPKQDLNCJTK-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO COc1cccc(C)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213030680 1132994389 /nfs/dbraw/zinc/99/43/89/1132994389.db2.gz MDJSSDJUXTYZRD-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO Cc1cc(=O)oc2cc(Nc3cccc4[nH+]c[nH]c43)ccc12 ZINC001213031886 1132998298 /nfs/dbraw/zinc/99/82/98/1132998298.db2.gz QPDXFJKMCMBEFX-UHFFFAOYSA-N 1 2 291.310 3.721 20 0 CHADLO CC[C@@H](Nc1cc2ccccc2c[nH+]1)C(F)(F)F ZINC001161374906 1132999316 /nfs/dbraw/zinc/99/93/16/1132999316.db2.gz IVPSXIUAYKTEKL-LLVKDONJSA-N 1 2 254.255 3.988 20 0 CHADLO CCc1ccc(O)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032140 1132999366 /nfs/dbraw/zinc/99/93/66/1132999366.db2.gz VPPGBOOBKTZWAC-UHFFFAOYSA-N 1 2 253.305 3.575 20 0 CHADLO c1[nH]c2c(cccc2Nc2cccc3c2OCCC3)[nH+]1 ZINC001213032450 1133000766 /nfs/dbraw/zinc/00/07/66/1133000766.db2.gz GQVREHHPXOHYRY-UHFFFAOYSA-N 1 2 265.316 3.632 20 0 CHADLO CC1(C)C[C@H](CNc2cc3ccccc3c[nH+]2)CCO1 ZINC001161424742 1133000806 /nfs/dbraw/zinc/00/08/06/1133000806.db2.gz IUVXJSDLDNGJEP-CYBMUJFWSA-N 1 2 270.376 3.852 20 0 CHADLO Cc1cc(NC[C@H]2CCOC(C)(C)C2)nc(C(C)(C)C)[nH+]1 ZINC001161430443 1133002217 /nfs/dbraw/zinc/00/22/17/1133002217.db2.gz VVICWMPXPZPLMR-ZDUSSCGKSA-N 1 2 291.439 3.700 20 0 CHADLO Cc1ccc(Cl)nc1NCc1c[nH+]cn1CC1CCC1 ZINC001161530192 1133004884 /nfs/dbraw/zinc/00/48/84/1133004884.db2.gz XHKVWJCBNFODFH-UHFFFAOYSA-N 1 2 290.798 3.652 20 0 CHADLO Cc1cc(Cl)c(C)nc1NCc1c[nH+]cn1C(C)C ZINC001161566034 1133006235 /nfs/dbraw/zinc/00/62/35/1133006235.db2.gz ZNHDPUKDKXPLBL-UHFFFAOYSA-N 1 2 278.787 3.741 20 0 CHADLO CCSc1cc[nH+]c(NCc2cncn2C(C)C)c1 ZINC001161569120 1133006343 /nfs/dbraw/zinc/00/63/43/1133006343.db2.gz CIKABXWWWWQIIM-UHFFFAOYSA-N 1 2 276.409 3.583 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1c(Cl)ccnc1Cl ZINC001161564734 1133006898 /nfs/dbraw/zinc/00/68/98/1133006898.db2.gz DAVDRACPTZGJPE-UHFFFAOYSA-N 1 2 285.178 3.778 20 0 CHADLO COC(=O)c1cc(Nc2cccc3[nH+]c[nH]c32)c(C)cc1C ZINC001213033575 1133007618 /nfs/dbraw/zinc/00/76/18/1133007618.db2.gz NISQQFVBSJHDMO-UHFFFAOYSA-N 1 2 295.342 3.710 20 0 CHADLO CSc1cc(N[C@@H](C)CCc2ccccc2)nc(C)[nH+]1 ZINC001161612143 1133009222 /nfs/dbraw/zinc/00/92/22/1133009222.db2.gz UUIWQPLYMAWKCD-LBPRGKRZSA-N 1 2 287.432 3.940 20 0 CHADLO CC(C)c1cc(NCC(F)(F)F)nc(C(C)C)[nH+]1 ZINC001161626221 1133011665 /nfs/dbraw/zinc/01/16/65/1133011665.db2.gz WPZKKHKFMGWOCA-UHFFFAOYSA-N 1 2 261.291 3.698 20 0 CHADLO CCc1cccc(O)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213034489 1133014060 /nfs/dbraw/zinc/01/40/60/1133014060.db2.gz MOTVMBJQZPIYKE-UHFFFAOYSA-N 1 2 253.305 3.575 20 0 CHADLO CC(C)[C@H](CO)Nc1[nH+]ccc2cccc(C(F)(F)F)c21 ZINC001161736876 1133022403 /nfs/dbraw/zinc/02/24/03/1133022403.db2.gz GFTZXAKMCOWILF-LBPRGKRZSA-N 1 2 298.308 3.682 20 0 CHADLO COC(=O)c1ccc2cc[nH+]c(N[C@H]3CC=CCC3)c2c1 ZINC001161752888 1133023344 /nfs/dbraw/zinc/02/33/44/1133023344.db2.gz VWFFGJYEYDGJEW-AWEZNQCLSA-N 1 2 282.343 3.542 20 0 CHADLO Cc1cc(NC2Cc3ccccc3C2)[nH+]cc1C(F)(F)F ZINC001161754259 1133023638 /nfs/dbraw/zinc/02/36/38/1133023638.db2.gz XZNRCOGSXCFBDK-UHFFFAOYSA-N 1 2 292.304 3.988 20 0 CHADLO CCOC(=O)Cc1ccc[nH+]c1NC(C)(C)CC1CCC1 ZINC001161846564 1133034965 /nfs/dbraw/zinc/03/49/65/1133034965.db2.gz JJKKRHMJRLCKBN-UHFFFAOYSA-N 1 2 290.407 3.568 20 0 CHADLO COc1cc(C)c[nH+]c1NC1(CC2CCC2)CCOCC1 ZINC001161921748 1133039514 /nfs/dbraw/zinc/03/95/14/1133039514.db2.gz CDZPNTIWNKVEPP-UHFFFAOYSA-N 1 2 290.407 3.550 20 0 CHADLO Cc1c[nH+]cc(NCc2cccc(OC(F)F)c2)c1C ZINC001161987589 1133047281 /nfs/dbraw/zinc/04/72/81/1133047281.db2.gz VAAGBZZEVQYCEB-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO CCc1coc(CNc2cc3ccc(C)cc3c[nH+]2)n1 ZINC001162136296 1133053554 /nfs/dbraw/zinc/05/35/54/1133053554.db2.gz XCWPBJYPHRVRIR-UHFFFAOYSA-N 1 2 267.332 3.706 20 0 CHADLO Cc1[nH+]c(C(C)(C)C)nc(NCCC2CCOCC2)c1C ZINC001162222213 1133061178 /nfs/dbraw/zinc/06/11/78/1133061178.db2.gz HRFHZFVQGXCXAR-UHFFFAOYSA-N 1 2 291.439 3.620 20 0 CHADLO CC(F)(F)CNc1cc[nH+]c2[nH]cc(C(F)(F)F)c21 ZINC001162233101 1133062064 /nfs/dbraw/zinc/06/20/64/1133062064.db2.gz PBGPGOPOSKUYPH-UHFFFAOYSA-N 1 2 279.212 3.649 20 0 CHADLO Cc1nc(NCC(C)(C)CC(F)(F)F)c2c([nH+]1)CCC2 ZINC001162248899 1133064119 /nfs/dbraw/zinc/06/41/19/1133064119.db2.gz DPDZASBDYLAPBL-UHFFFAOYSA-N 1 2 287.329 3.664 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NC[C@H](O)c2ccco2)c1 ZINC001162261916 1133065045 /nfs/dbraw/zinc/06/50/45/1133065045.db2.gz ARLVKZSYHFQSTL-INIZCTEOSA-N 1 2 288.391 3.580 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1C[C@]12CCCOC2 ZINC001162371456 1133073117 /nfs/dbraw/zinc/07/31/17/1133073117.db2.gz SAWVLYSYWYQWEF-RDJZCZTQSA-N 1 2 268.360 3.524 20 0 CHADLO CCCC[N@H+](C)c1ccc(Nc2cnc(F)cn2)cc1 ZINC001162450125 1133078309 /nfs/dbraw/zinc/07/83/09/1133078309.db2.gz FLUDCKYIKQRLDP-UHFFFAOYSA-N 1 2 274.343 3.596 20 0 CHADLO CCCC[N@@H+](C)c1ccc(Nc2cnc(F)cn2)cc1 ZINC001162450125 1133078313 /nfs/dbraw/zinc/07/83/13/1133078313.db2.gz FLUDCKYIKQRLDP-UHFFFAOYSA-N 1 2 274.343 3.596 20 0 CHADLO C[C@@H]1CCCC[C@H]1C[NH2+]c1ccc2c(c1)NCCO2 ZINC001162523422 1133088017 /nfs/dbraw/zinc/08/80/17/1133088017.db2.gz AMLGZFCNHHETJX-OLZOCXBDSA-N 1 2 260.381 3.729 20 0 CHADLO Cc1nc2c(ccn2C)c(NC[C@H]2CCCC[C@@H]2C)[nH+]1 ZINC001162524118 1133088028 /nfs/dbraw/zinc/08/80/28/1133088028.db2.gz KJFBPCYCANYBJX-WCQYABFASA-N 1 2 272.396 3.515 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(N(C)C)c2)nc(C2CC2)[nH+]1 ZINC001162632670 1133096721 /nfs/dbraw/zinc/09/67/21/1133096721.db2.gz MBZSLFMOMYUCAA-ZDUSSCGKSA-N 1 2 296.418 3.902 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cc(Cl)nc(-c2cccnc2)n1 ZINC001162662020 1133101666 /nfs/dbraw/zinc/10/16/66/1133101666.db2.gz MWRGAGXDCZQGKV-UHFFFAOYSA-N 1 2 289.770 3.627 20 0 CHADLO CC[C@@H](C)CN(CC)c1nc(C)[nH+]c2c1CCCC2 ZINC001162680574 1133103670 /nfs/dbraw/zinc/10/36/70/1133103670.db2.gz LBZZSBHGBGMWJA-GFCCVEGCSA-N 1 2 261.413 3.536 20 0 CHADLO CCCc1cc(NCc2ccncc2C)nc(CCC)[nH+]1 ZINC001162715840 1133105774 /nfs/dbraw/zinc/10/57/74/1133105774.db2.gz OZPBHMFKQHVYDU-UHFFFAOYSA-N 1 2 284.407 3.697 20 0 CHADLO Cc1nc(NC2(Cc3ccc(O)cc3)CC2)cc(C(C)C)[nH+]1 ZINC001162729987 1133106691 /nfs/dbraw/zinc/10/66/91/1133106691.db2.gz SWSLGZRHXUSMOL-UHFFFAOYSA-N 1 2 297.402 3.801 20 0 CHADLO COc1c[nH+]c(NCc2cncc3ccccc32)c(C)c1 ZINC001162747183 1133108167 /nfs/dbraw/zinc/10/81/67/1133108167.db2.gz CLVHXRSBYNKXFH-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(c1)OCCN2C ZINC001213043448 1133108844 /nfs/dbraw/zinc/10/88/44/1133108844.db2.gz FJCUTJFSXDPZIX-UHFFFAOYSA-N 1 2 283.375 3.525 20 0 CHADLO CCCCN(c1cc(C)[nH+]c(C2CCC2)n1)C1CC1 ZINC001162813172 1133113422 /nfs/dbraw/zinc/11/34/22/1133113422.db2.gz XBHFDSDUZJAHNK-UHFFFAOYSA-N 1 2 259.397 3.821 20 0 CHADLO CCc1cc(N[C@H]2CCOC3(CCCC3)C2)nc(CC)[nH+]1 ZINC001162818198 1133114469 /nfs/dbraw/zinc/11/44/69/1133114469.db2.gz CXJMRYPBYKTNAQ-AWEZNQCLSA-N 1 2 289.423 3.505 20 0 CHADLO Cc1ccc2cc(NCc3ccc(C)nc3)[nH+]cc2c1 ZINC001162865380 1133117378 /nfs/dbraw/zinc/11/73/78/1133117378.db2.gz JCSGCFLCFADHAA-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO COc1c[nH+]c(N[C@@H]2CCc3c2c(F)ccc3F)c(C)c1 ZINC001162931419 1133123182 /nfs/dbraw/zinc/12/31/82/1133123182.db2.gz GCYICDKSXUBLQT-CQSZACIVSA-N 1 2 290.313 3.776 20 0 CHADLO CCN(CCC1CC1)c1nc2ccccc2n2c[nH+]cc12 ZINC001162999751 1133125605 /nfs/dbraw/zinc/12/56/05/1133125605.db2.gz AVDCXMMEDCJQSQ-UHFFFAOYSA-N 1 2 280.375 3.509 20 0 CHADLO CC(C)[C@H](Nc1cc(N)cc(Cl)[nH+]1)c1cccnc1 ZINC001163060115 1133128552 /nfs/dbraw/zinc/12/85/52/1133128552.db2.gz YSKBGDRABMEFGG-AWEZNQCLSA-N 1 2 276.771 3.521 20 0 CHADLO Cc1cc2ccccc2nc1NCc1ccc2[nH+]ccn2c1 ZINC001163136449 1133130393 /nfs/dbraw/zinc/13/03/93/1133130393.db2.gz HIHWAMUEPQVLPO-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO Clc1ccnc(Cl)c1NCc1ccc2[nH+]ccn2c1 ZINC001163138855 1133130431 /nfs/dbraw/zinc/13/04/31/1133130431.db2.gz BMLUSGDUZLFTLS-UHFFFAOYSA-N 1 2 293.157 3.648 20 0 CHADLO CCN(Cc1ccncc1)c1cc(C)[nH+]c(C(C)(C)C)n1 ZINC001163612714 1133163339 /nfs/dbraw/zinc/16/33/39/1133163339.db2.gz QFTNNSARLNJWRD-UHFFFAOYSA-N 1 2 284.407 3.504 20 0 CHADLO CN(CC(F)(F)F)c1cc(-c2ccccc2)cc[nH+]1 ZINC001163705710 1133166339 /nfs/dbraw/zinc/16/63/39/1133166339.db2.gz DGBOHVWBHSIQKF-UHFFFAOYSA-N 1 2 266.266 3.747 20 0 CHADLO Cc1ncc(NC(=[NH2+])C23CC4CC(CC(C4)C2)C3)nc1C ZINC001163847812 1133179011 /nfs/dbraw/zinc/17/90/11/1133179011.db2.gz BSRZPFSSXWLCOL-UHFFFAOYSA-N 1 2 284.407 3.699 20 0 CHADLO Cc1c[nH+]c(N2CCc3ccccc3[C@@H]2C)nc1NC(C)C ZINC001163953968 1133186551 /nfs/dbraw/zinc/18/65/51/1133186551.db2.gz KMTBACLVIPLVBU-AWEZNQCLSA-N 1 2 296.418 3.729 20 0 CHADLO CCSc1cc[nH+]c(N2CCCC(F)(F)CC2)c1 ZINC001163980105 1133191563 /nfs/dbraw/zinc/19/15/63/1133191563.db2.gz BDHIRMLHHVVURV-UHFFFAOYSA-N 1 2 272.364 3.819 20 0 CHADLO CC(C)c1ccc(N2CCCC(F)(F)CC2)[nH+]c1 ZINC001163979710 1133190940 /nfs/dbraw/zinc/19/09/40/1133190940.db2.gz MDDLKLJAJMIYRT-UHFFFAOYSA-N 1 2 254.324 3.831 20 0 CHADLO CCc1cc(N2CCc3ccsc3[C@H]2C)nc(C)[nH+]1 ZINC001164037820 1133193746 /nfs/dbraw/zinc/19/37/46/1133193746.db2.gz KDOBSPSTDAESSA-SNVBAGLBSA-N 1 2 273.405 3.533 20 0 CHADLO CC(C)(C)c1ccc(N2CC[C@@H](CF)C(F)(F)C2)[nH+]c1 ZINC001164100149 1133196522 /nfs/dbraw/zinc/19/65/22/1133196522.db2.gz WQOSRUMLRGSHOR-NSHDSACASA-N 1 2 286.341 3.810 20 0 CHADLO Cc1cc(N(C)Cc2cnc(Cl)s2)nc(C(C)C)[nH+]1 ZINC001164169319 1133202209 /nfs/dbraw/zinc/20/22/09/1133202209.db2.gz KXIZJBCSCKZKOU-UHFFFAOYSA-N 1 2 296.827 3.655 20 0 CHADLO COc1c[nH+]c(N[C@H](c2cccnc2)C(F)(F)F)cc1C ZINC001164181326 1133203355 /nfs/dbraw/zinc/20/33/55/1133203355.db2.gz XXRYDKVDKWOFPO-CYBMUJFWSA-N 1 2 297.280 3.509 20 0 CHADLO Cc1cccc(NC2=CN(C(=O)OC(C)(C)C)CCC2)[nH+]1 ZINC001213050361 1133207909 /nfs/dbraw/zinc/20/79/09/1133207909.db2.gz FWJJVONLEDKAOJ-UHFFFAOYSA-N 1 2 289.379 3.674 20 0 CHADLO Cc1cc([NH2+]CCSCc2ccco2)ccc1N ZINC001164226587 1133208287 /nfs/dbraw/zinc/20/82/87/1133208287.db2.gz BBWXHBOXLFIEIG-UHFFFAOYSA-N 1 2 262.378 3.516 20 0 CHADLO Cn1c[nH+]c2ccc(NCCSCc3ccco3)cc21 ZINC001164224563 1133208541 /nfs/dbraw/zinc/20/85/41/1133208541.db2.gz ZTTPWHMOJGOXHP-UHFFFAOYSA-N 1 2 287.388 3.512 20 0 CHADLO C[C@@]1(F)CCN(c2cc3ccccc3c[nH+]2)C[C@H]1F ZINC001164325217 1133212930 /nfs/dbraw/zinc/21/29/30/1133212930.db2.gz BHZGLFSSZQRWDW-UKRRQHHQSA-N 1 2 262.303 3.511 20 0 CHADLO CCOC(=O)c1c(C)cc(N2CCC(CC)CC2)[nH+]c1C ZINC001164451585 1133219008 /nfs/dbraw/zinc/21/90/08/1133219008.db2.gz TZXIQUTZDXHRQI-UHFFFAOYSA-N 1 2 290.407 3.502 20 0 CHADLO FC[C@@H]1CC(F)(F)CN1c1cc(-c2ccccc2)cc[nH+]1 ZINC001164521257 1133222321 /nfs/dbraw/zinc/22/23/21/1133222321.db2.gz GOIWRBLYEUZWMA-AWEZNQCLSA-N 1 2 292.304 3.932 20 0 CHADLO Cc1c(F)cc[nH+]c1N1Cc2ccccc2[C@@H](C)C1 ZINC001165217435 1133231080 /nfs/dbraw/zinc/23/10/80/1133231080.db2.gz LBVQGUOPXLTPLQ-NSHDSACASA-N 1 2 256.324 3.653 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NCCCc2ccncc2)c1 ZINC001165547693 1133245706 /nfs/dbraw/zinc/24/57/06/1133245706.db2.gz YUWZJDYLUYIIAK-UHFFFAOYSA-N 1 2 292.386 3.527 20 0 CHADLO CC(C)c1ccc(N2CC[C@@H](c3ccncc3)C2)[nH+]c1 ZINC001166567975 1133271473 /nfs/dbraw/zinc/27/14/73/1133271473.db2.gz DBDUNDKZCRAFKA-MRXNPFEDSA-N 1 2 267.376 3.594 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CC[C@H](c2ccncc2)C1 ZINC001166570190 1133271667 /nfs/dbraw/zinc/27/16/67/1133271667.db2.gz WGLVVWWDKSXSOD-INIZCTEOSA-N 1 2 275.355 3.624 20 0 CHADLO Cc1nc(N(C)C23CC4CC(CC(C4)C2)C3)c2c([nH+]1)CCC2 ZINC001166595823 1133274456 /nfs/dbraw/zinc/27/44/56/1133274456.db2.gz UIFSIGVJICLJMS-UHFFFAOYSA-N 1 2 297.446 3.679 20 0 CHADLO Cc1cc(N2CCC[C@@H](C)[C@@H]2C)nc(C(C)(C)C)[nH+]1 ZINC001166614821 1133276931 /nfs/dbraw/zinc/27/69/31/1133276931.db2.gz MZVKUKWXAJMPLM-YPMHNXCESA-N 1 2 261.413 3.707 20 0 CHADLO Cc1cc(N2CCC[C@@H](C)[C@H]2C)nc(C(C)(C)C)[nH+]1 ZINC001166614819 1133277084 /nfs/dbraw/zinc/27/70/84/1133277084.db2.gz MZVKUKWXAJMPLM-DGCLKSJQSA-N 1 2 261.413 3.707 20 0 CHADLO c1ccc(COC2CN(c3cc4ccccc4c[nH+]3)C2)cc1 ZINC001166630917 1133279115 /nfs/dbraw/zinc/27/91/15/1133279115.db2.gz VSZFCCXESCMQTQ-UHFFFAOYSA-N 1 2 290.366 3.640 20 0 CHADLO c1ccc(COC[C@H]2CCN2c2cccc(C3CC3)[nH+]2)cc1 ZINC001166670999 1133280391 /nfs/dbraw/zinc/28/03/91/1133280391.db2.gz MAVCDRWFQXCKAD-QGZVFWFLSA-N 1 2 294.398 3.755 20 0 CHADLO c1cc(CCNc2cccc(Cc3ccncc3)[nH+]2)cs1 ZINC001166791242 1133287441 /nfs/dbraw/zinc/28/74/41/1133287441.db2.gz IBMVFFDUMADEDQ-UHFFFAOYSA-N 1 2 295.411 3.784 20 0 CHADLO COC(C)(C)[C@H]1CCCN1c1ccc(C(C)C)c[nH+]1 ZINC001166796343 1133288378 /nfs/dbraw/zinc/28/83/78/1133288378.db2.gz VSGUBANEIQRYEW-CQSZACIVSA-N 1 2 262.397 3.599 20 0 CHADLO CCc1cn(Cc2csc(C3CCCCC3)n2)c[nH+]1 ZINC001166822908 1133290311 /nfs/dbraw/zinc/29/03/11/1133290311.db2.gz ORXDPGXWELZVTF-UHFFFAOYSA-N 1 2 275.421 3.998 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3cc(Cl)ccc32)C[C@H](C)O1 ZINC001166969745 1133295943 /nfs/dbraw/zinc/29/59/43/1133295943.db2.gz ZSQGKIGGSRQHNF-QWRGUYRKSA-N 1 2 276.767 3.502 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2nccs2)nc(C(C)C)[nH+]1 ZINC001167040578 1133302341 /nfs/dbraw/zinc/30/23/41/1133302341.db2.gz ADYGLCFJVCPYQM-GFCCVEGCSA-N 1 2 288.420 3.706 20 0 CHADLO Cc1cc(Oc2ccc(NC=CC(C)(C)O)cc2)cc[nH+]1 ZINC001203224365 1133306449 /nfs/dbraw/zinc/30/64/49/1133306449.db2.gz BHEVLIOAMBIIQG-PKNBQFBNSA-N 1 2 284.359 3.879 20 0 CHADLO Cc1ccc(Nc2ccnc(F)c2Br)c(C)[nH+]1 ZINC001203370879 1133319685 /nfs/dbraw/zinc/31/96/85/1133319685.db2.gz YRSFFNRZOACGEZ-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1cc(Nc2ccc(C)[nH+]c2C)n(CC(F)(F)F)n1 ZINC001203369743 1133319967 /nfs/dbraw/zinc/31/99/67/1133319967.db2.gz BVMZASJCORWGBI-UHFFFAOYSA-N 1 2 284.285 3.509 20 0 CHADLO Cc1ccc(Nc2cnc3ccc(O)cc3c2)c(C)[nH+]1 ZINC001203370837 1133320276 /nfs/dbraw/zinc/32/02/76/1133320276.db2.gz WHISMWYGRQRIER-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1ccc(Nc2cc(F)cc(N)c2Cl)c(C)[nH+]1 ZINC001203381308 1133321617 /nfs/dbraw/zinc/32/16/17/1133321617.db2.gz TVVVLTSOOXYOFP-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO COc1ncc(Nc2ccc3c(C)cc[nH+]c3c2)cc1F ZINC001203410897 1133324099 /nfs/dbraw/zinc/32/40/99/1133324099.db2.gz XDQLXKPJUKNVKO-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO CNc1ccc(Nc2cc(F)cc(Br)c2)c[nH+]1 ZINC001203456686 1133329544 /nfs/dbraw/zinc/32/95/44/1133329544.db2.gz QPMIIHJBDFOBPW-UHFFFAOYSA-N 1 2 296.143 3.769 20 0 CHADLO CNc1ccc(Nc2cc(C)nn2-c2cccc(F)c2)c[nH+]1 ZINC001203450105 1133329978 /nfs/dbraw/zinc/32/99/78/1133329978.db2.gz UMCMDSVTKNTWIH-UHFFFAOYSA-N 1 2 297.337 3.500 20 0 CHADLO CNc1ccc(Nc2ccc(Cl)c(F)c2F)c[nH+]1 ZINC001203458904 1133330601 /nfs/dbraw/zinc/33/06/01/1133330601.db2.gz UARSSVSSBDELBX-UHFFFAOYSA-N 1 2 269.682 3.799 20 0 CHADLO CNc1ccc(Nc2ccc(-n3cnc(C)c3)c(F)c2)c[nH+]1 ZINC001203460362 1133330677 /nfs/dbraw/zinc/33/06/77/1133330677.db2.gz UVAQJEKFBDRHPC-UHFFFAOYSA-N 1 2 297.337 3.500 20 0 CHADLO COc1cccc2[nH]c(NCc3csc(C)c3)[nH+]c21 ZINC001203548506 1133338920 /nfs/dbraw/zinc/33/89/20/1133338920.db2.gz PCHABRPFQNULPK-UHFFFAOYSA-N 1 2 273.361 3.554 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnc(OC(F)(F)F)c2)c1 ZINC001203661691 1133348778 /nfs/dbraw/zinc/34/87/78/1133348778.db2.gz YYONYWPRZOTNBL-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c(C)n(-c2cc(C)c[nH+]c2C)c2c1cccc2N ZINC001203667154 1133351446 /nfs/dbraw/zinc/35/14/46/1133351446.db2.gz JHHFLIBZPJXTDP-UHFFFAOYSA-N 1 2 265.360 3.841 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccccc2OC2CCOCC2)c1 ZINC001203666754 1133351896 /nfs/dbraw/zinc/35/18/96/1133351896.db2.gz FONSKWIRBKBQDL-UHFFFAOYSA-N 1 2 298.386 4.000 20 0 CHADLO CCc1nnc(Nc2ccc([NH+]3CCCCC3)cc2)s1 ZINC001203712917 1133357066 /nfs/dbraw/zinc/35/70/66/1133357066.db2.gz QTYJSVWXIMKXPI-UHFFFAOYSA-N 1 2 288.420 3.834 20 0 CHADLO CCc1cc(Nc2ccc([NH+]3CCCCC3)cc2)on1 ZINC001203718169 1133358255 /nfs/dbraw/zinc/35/82/55/1133358255.db2.gz UENXWXONOQXRMN-UHFFFAOYSA-N 1 2 271.364 3.971 20 0 CHADLO Cc1cnc(Nc2ccc([NH+]3CCCCC3)cc2)c(C)n1 ZINC001203718152 1133359142 /nfs/dbraw/zinc/35/91/42/1133359142.db2.gz SPJMLXJSKDVSKI-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO F[C@@H]1C[N@H+](Cc2cccc(Cl)c2)CCCC1(F)F ZINC001203795940 1133369507 /nfs/dbraw/zinc/36/95/07/1133369507.db2.gz ASWIFKQQVWGHII-GFCCVEGCSA-N 1 2 277.717 3.909 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2cccc(Cl)c2)CCCC1(F)F ZINC001203795940 1133369510 /nfs/dbraw/zinc/36/95/10/1133369510.db2.gz ASWIFKQQVWGHII-GFCCVEGCSA-N 1 2 277.717 3.909 20 0 CHADLO Cc1cccc(C[N@@H+]2CCOC[C@H]2c2ccc(F)cc2)c1 ZINC001203894459 1133380722 /nfs/dbraw/zinc/38/07/22/1133380722.db2.gz RSGRFVCNDJPLAI-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cccc(C[N@H+]2CCOC[C@H]2c2ccc(F)cc2)c1 ZINC001203894459 1133380729 /nfs/dbraw/zinc/38/07/29/1133380729.db2.gz RSGRFVCNDJPLAI-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO CCCC[N@H+](CC(=O)OCC)Cc1ccc(Cl)cc1 ZINC001203922264 1133385445 /nfs/dbraw/zinc/38/54/45/1133385445.db2.gz OJMAWRBBWXNRNM-UHFFFAOYSA-N 1 2 283.799 3.505 20 0 CHADLO CCCC[N@@H+](CC(=O)OCC)Cc1ccc(Cl)cc1 ZINC001203922264 1133385450 /nfs/dbraw/zinc/38/54/50/1133385450.db2.gz OJMAWRBBWXNRNM-UHFFFAOYSA-N 1 2 283.799 3.505 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1ccc[nH]1 ZINC001204009422 1133399751 /nfs/dbraw/zinc/39/97/51/1133399751.db2.gz XTRIQJKBJUOSDJ-HOTGVXAUSA-N 1 2 264.413 3.778 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1ccc[nH]1 ZINC001204009422 1133399759 /nfs/dbraw/zinc/39/97/59/1133399759.db2.gz XTRIQJKBJUOSDJ-HOTGVXAUSA-N 1 2 264.413 3.778 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1c[nH]c3ccccc13)C2 ZINC001204043067 1133403589 /nfs/dbraw/zinc/40/35/89/1133403589.db2.gz LHMJPTPZLUPNSO-UHFFFAOYSA-N 1 2 266.319 3.823 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1c[nH]c3ccccc13)C2 ZINC001204043067 1133403591 /nfs/dbraw/zinc/40/35/91/1133403591.db2.gz LHMJPTPZLUPNSO-UHFFFAOYSA-N 1 2 266.319 3.823 20 0 CHADLO C[N@H+](CCC(=O)c1cccs1)Cc1cc(F)ccc1F ZINC001204219872 1133421901 /nfs/dbraw/zinc/42/19/01/1133421901.db2.gz FZRSZSYNRWAWMK-UHFFFAOYSA-N 1 2 295.354 3.731 20 0 CHADLO C[N@@H+](CCC(=O)c1cccs1)Cc1cc(F)ccc1F ZINC001204219872 1133421904 /nfs/dbraw/zinc/42/19/04/1133421904.db2.gz FZRSZSYNRWAWMK-UHFFFAOYSA-N 1 2 295.354 3.731 20 0 CHADLO Cn1c[nH+]c2cc(Nc3c4cc[nH]c4ccc3F)ccc21 ZINC001204289405 1133429949 /nfs/dbraw/zinc/42/99/49/1133429949.db2.gz RMDSNZAEKWYVEY-UHFFFAOYSA-N 1 2 280.306 3.937 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@H+](C)Cc1nccs1 ZINC001204295255 1133431238 /nfs/dbraw/zinc/43/12/38/1133431238.db2.gz RCQTXCSAKVJTMU-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@@H+](C)Cc1nccs1 ZINC001204295255 1133431243 /nfs/dbraw/zinc/43/12/43/1133431243.db2.gz RCQTXCSAKVJTMU-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc(C[N@@H+]2Cc3cccc(F)c3C2)cc1C ZINC001204354572 1133439607 /nfs/dbraw/zinc/43/96/07/1133439607.db2.gz HTMKUEFSIMGMMM-UHFFFAOYSA-N 1 2 255.336 3.958 20 0 CHADLO Cc1ccc(C[N@H+]2Cc3cccc(F)c3C2)cc1C ZINC001204354572 1133439610 /nfs/dbraw/zinc/43/96/10/1133439610.db2.gz HTMKUEFSIMGMMM-UHFFFAOYSA-N 1 2 255.336 3.958 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3ccc(C(C)=O)cc3C2)o1 ZINC001204395186 1133442535 /nfs/dbraw/zinc/44/25/35/1133442535.db2.gz MAQRRAFXGPRXIV-UHFFFAOYSA-N 1 2 283.371 3.603 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3ccc(C(C)=O)cc3C2)o1 ZINC001204395186 1133442538 /nfs/dbraw/zinc/44/25/38/1133442538.db2.gz MAQRRAFXGPRXIV-UHFFFAOYSA-N 1 2 283.371 3.603 20 0 CHADLO CC(C)(C)c1ccc(C[NH+]2CC(Oc3cccnc3)C2)cc1 ZINC001204397321 1133442619 /nfs/dbraw/zinc/44/26/19/1133442619.db2.gz ICWLPUWXZDGCLQ-UHFFFAOYSA-N 1 2 296.414 3.642 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc3ccccc3n1)C2 ZINC001204502750 1133454309 /nfs/dbraw/zinc/45/43/09/1133454309.db2.gz KWUOHHSUWRKIRO-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc3ccccc3n1)C2 ZINC001204502750 1133454311 /nfs/dbraw/zinc/45/43/11/1133454311.db2.gz KWUOHHSUWRKIRO-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO Cc1cc(C)cc(C[N@@H+]2Cc3cnc(C)cc3C2)c1 ZINC001204526996 1133457708 /nfs/dbraw/zinc/45/77/08/1133457708.db2.gz YEWRVFHDPDWJSX-UHFFFAOYSA-N 1 2 252.361 3.523 20 0 CHADLO Cc1cc(C)cc(C[N@H+]2Cc3cnc(C)cc3C2)c1 ZINC001204526996 1133457711 /nfs/dbraw/zinc/45/77/11/1133457711.db2.gz YEWRVFHDPDWJSX-UHFFFAOYSA-N 1 2 252.361 3.523 20 0 CHADLO Fc1cc(C[N@H+]2CC[C@@H](F)C(F)(F)CC2)cc(F)c1F ZINC001204527456 1133458301 /nfs/dbraw/zinc/45/83/01/1133458301.db2.gz UTVIPLUSJIZCQY-LLVKDONJSA-N 1 2 297.242 3.673 20 0 CHADLO Fc1cc(C[N@@H+]2CC[C@@H](F)C(F)(F)CC2)cc(F)c1F ZINC001204527456 1133458302 /nfs/dbraw/zinc/45/83/02/1133458302.db2.gz UTVIPLUSJIZCQY-LLVKDONJSA-N 1 2 297.242 3.673 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cncc(C2CC2)c1 ZINC001204597217 1133465914 /nfs/dbraw/zinc/46/59/14/1133465914.db2.gz FKRJDZKOZGCNFH-UHFFFAOYSA-N 1 2 291.354 3.820 20 0 CHADLO c1ccc2[nH]c(C[N@@H+]3CCCC[C@H]3c3cccnc3)nc2c1 ZINC001204779335 1133484359 /nfs/dbraw/zinc/48/43/59/1133484359.db2.gz BEOGFWIZMNSSTN-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO c1ccc2[nH]c(C[N@H+]3CCCC[C@H]3c3cccnc3)nc2c1 ZINC001204779335 1133484362 /nfs/dbraw/zinc/48/43/62/1133484362.db2.gz BEOGFWIZMNSSTN-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO c1ccc2[nH]c(C[N@@H+]3CCSc4ccccc4C3)nc2c1 ZINC001204799857 1133486405 /nfs/dbraw/zinc/48/64/05/1133486405.db2.gz IPUWWIKUTSGQEK-UHFFFAOYSA-N 1 2 295.411 3.671 20 0 CHADLO c1ccc2[nH]c(C[N@H+]3CCSc4ccccc4C3)nc2c1 ZINC001204799857 1133486408 /nfs/dbraw/zinc/48/64/08/1133486408.db2.gz IPUWWIKUTSGQEK-UHFFFAOYSA-N 1 2 295.411 3.671 20 0 CHADLO CCC[C@H](C[N@@H+]1CCCC[C@@H]1c1noc(C(C)C)n1)OC ZINC001204850860 1133492998 /nfs/dbraw/zinc/49/29/98/1133492998.db2.gz DKHDBGINRQNVQH-ZIAGYGMSSA-N 1 2 295.427 3.535 20 0 CHADLO CCC[C@H](C[N@H+]1CCCC[C@@H]1c1noc(C(C)C)n1)OC ZINC001204850860 1133493002 /nfs/dbraw/zinc/49/30/02/1133493002.db2.gz DKHDBGINRQNVQH-ZIAGYGMSSA-N 1 2 295.427 3.535 20 0 CHADLO CCOc1cc(Nc2ccc3c(c2)c(C)nn3C)cc(C)[nH+]1 ZINC001204851239 1133493018 /nfs/dbraw/zinc/49/30/18/1133493018.db2.gz ZFIKYUIXADTBKO-UHFFFAOYSA-N 1 2 296.374 3.727 20 0 CHADLO Cc1cc2cc(Nc3cccn4cc(C)[nH+]c34)cnc2[nH]1 ZINC001204896679 1133497045 /nfs/dbraw/zinc/49/70/45/1133497045.db2.gz LQPFIOJKFVAEPX-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO c1cn2ccc(Nc3ccc(OCC4CCC4)nc3)cc2[nH+]1 ZINC001204959658 1133507762 /nfs/dbraw/zinc/50/77/62/1133507762.db2.gz SKKBONCYIPPOJO-UHFFFAOYSA-N 1 2 294.358 3.652 20 0 CHADLO Cc1nc(C(F)(F)F)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001204959706 1133508218 /nfs/dbraw/zinc/50/82/18/1133508218.db2.gz VAOZOPOUCCOLJC-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO Cc1c(C)c(-c2ccc(-n3cc[nH+]c3)cc2)ccc1CO ZINC001204969684 1133510290 /nfs/dbraw/zinc/51/02/90/1133510290.db2.gz PCUMSFMEWIPFQR-UHFFFAOYSA-N 1 2 278.355 3.648 20 0 CHADLO Fc1cccc(Cl)c1Nc1ccn2cc[nH+]c2c1 ZINC001204977226 1133511173 /nfs/dbraw/zinc/51/11/73/1133511173.db2.gz CQPUMEPMFGZHJI-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO Cc1ccc(Nc2ccn3cc[nH+]c3c2)c2cccnc12 ZINC001204977298 1133511518 /nfs/dbraw/zinc/51/15/18/1133511518.db2.gz DXHRPJCJHFLPNV-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1cc(Cl)c(Nc2ccn3cc[nH+]c3c2)cc1O ZINC001204990733 1133514082 /nfs/dbraw/zinc/51/40/82/1133514082.db2.gz FOLCZVHJDBHFDD-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO Oc1cccc(C(F)(F)F)c1Nc1ccn2cc[nH+]c2c1 ZINC001204991772 1133514189 /nfs/dbraw/zinc/51/41/89/1133514189.db2.gz VCKRIDNTOJWIJT-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO O=C1CCCc2cccc(Nc3ccn4cc[nH+]c4c3)c21 ZINC001204998064 1133515595 /nfs/dbraw/zinc/51/55/95/1133515595.db2.gz RULIWRPWZGJUNC-UHFFFAOYSA-N 1 2 277.327 3.597 20 0 CHADLO CCCC[C@H]([NH2+][C@H](C)[C@@H]1C[C@H]1c1cccs1)C(=O)OC ZINC001205291876 1133555818 /nfs/dbraw/zinc/55/58/18/1133555818.db2.gz RCRPCYFEKBLRQR-RQJABVFESA-N 1 2 295.448 3.562 20 0 CHADLO CCOC(=O)[C@H](C)[N@H+](Cc1ccc(Cl)c(C)c1)C1CC1 ZINC001205428010 1133575491 /nfs/dbraw/zinc/57/54/91/1133575491.db2.gz ZCDVJIXODLAIRC-LBPRGKRZSA-N 1 2 295.810 3.564 20 0 CHADLO CCOC(=O)[C@H](C)[N@@H+](Cc1ccc(Cl)c(C)c1)C1CC1 ZINC001205428010 1133575495 /nfs/dbraw/zinc/57/54/95/1133575495.db2.gz ZCDVJIXODLAIRC-LBPRGKRZSA-N 1 2 295.810 3.564 20 0 CHADLO CCCCC[C@@H]([NH2+]CCc1ccc(Cl)cc1)C(=O)OC ZINC001205434597 1133576483 /nfs/dbraw/zinc/57/64/83/1133576483.db2.gz OOYRAPBZXMIBFE-OAHLLOKOSA-N 1 2 297.826 3.594 20 0 CHADLO CC[C@H]1[C@H](C)CC[N@@H+]1Cc1nc(Br)cs1 ZINC001205489657 1133582728 /nfs/dbraw/zinc/58/27/28/1133582728.db2.gz WQGQFPJJIZXHEC-BDAKNGLRSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1[C@H](C)CC[N@H+]1Cc1nc(Br)cs1 ZINC001205489657 1133582730 /nfs/dbraw/zinc/58/27/30/1133582730.db2.gz WQGQFPJJIZXHEC-BDAKNGLRSA-N 1 2 289.242 3.526 20 0 CHADLO c1cc2cc(C[NH+]3CC(OCc4ccccc4)C3)ccc2[nH]1 ZINC001205594588 1133592560 /nfs/dbraw/zinc/59/25/60/1133592560.db2.gz WKDMWGBTUKQGRH-UHFFFAOYSA-N 1 2 292.382 3.569 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnc2c(ccn2C)c1 ZINC001205723392 1133606250 /nfs/dbraw/zinc/60/62/50/1133606250.db2.gz DTVJKLOAJRCIDS-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO C[C@H]1CSC[C@H]1[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC001205735049 1133606738 /nfs/dbraw/zinc/60/67/38/1133606738.db2.gz FZNOKVPGCCGWSV-JOYOIKCWSA-N 1 2 291.794 3.773 20 0 CHADLO Cc1cnccc1C[N@@H+]1CCc2c3ccccc3oc2C1 ZINC001205867667 1133624486 /nfs/dbraw/zinc/62/44/86/1133624486.db2.gz LALMOIXLALOBDL-UHFFFAOYSA-N 1 2 278.355 3.695 20 0 CHADLO Cc1cnccc1C[N@H+]1CCc2c3ccccc3oc2C1 ZINC001205867667 1133624488 /nfs/dbraw/zinc/62/44/88/1133624488.db2.gz LALMOIXLALOBDL-UHFFFAOYSA-N 1 2 278.355 3.695 20 0 CHADLO Fc1cc(-c2cc3[nH]ccc3c[nH+]2)cc(N2CCCC2)c1 ZINC001206093174 1133656719 /nfs/dbraw/zinc/65/67/19/1133656719.db2.gz CJRRJLJOMSKDFG-UHFFFAOYSA-N 1 2 281.334 3.969 20 0 CHADLO COc1nsc(C[N@@H+]2CC3(CCC3)[C@H]2C2CC2)c1Cl ZINC001206115947 1133659392 /nfs/dbraw/zinc/65/93/92/1133659392.db2.gz KAOIZLSYQPLOOU-GFCCVEGCSA-N 1 2 298.839 3.570 20 0 CHADLO COc1nsc(C[N@H+]2CC3(CCC3)[C@H]2C2CC2)c1Cl ZINC001206115947 1133659395 /nfs/dbraw/zinc/65/93/95/1133659395.db2.gz KAOIZLSYQPLOOU-GFCCVEGCSA-N 1 2 298.839 3.570 20 0 CHADLO Cc1ccc(/C=C/c2c[nH+]c(N3CCOCC3)c(C)c2)cc1 ZINC001206160616 1133665604 /nfs/dbraw/zinc/66/56/04/1133665604.db2.gz MKKRFGYLHWBBKQ-BQYQJAHWSA-N 1 2 294.398 3.705 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(C)cc2O)cc1 ZINC001206299879 1133686035 /nfs/dbraw/zinc/68/60/35/1133686035.db2.gz LEOYVUBPXYTMMJ-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(C)cc2O)cc1 ZINC001206299879 1133686038 /nfs/dbraw/zinc/68/60/38/1133686038.db2.gz LEOYVUBPXYTMMJ-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC(C)[C@H]1CCC[C@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC001206377216 1133695235 /nfs/dbraw/zinc/69/52/35/1133695235.db2.gz QIQSOUIGJLTDHR-HZPDHXFCSA-N 1 2 273.424 3.918 20 0 CHADLO COc1cnccc1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC001206487766 1133709184 /nfs/dbraw/zinc/70/91/84/1133709184.db2.gz RSFFLJIJCKKLDB-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cnccc1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC001206487766 1133709189 /nfs/dbraw/zinc/70/91/89/1133709189.db2.gz RSFFLJIJCKKLDB-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO CC(C)O[C@@H]1C[C@@H](Nc2c[nH+]cc3c2CCCC3)C1(C)C ZINC001206636441 1133730268 /nfs/dbraw/zinc/73/02/68/1133730268.db2.gz MOSHDPUCIXTZEJ-IAGOWNOFSA-N 1 2 288.435 3.964 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc2cnn([C@H]3CCCCO3)c2c1 ZINC001206657927 1133731411 /nfs/dbraw/zinc/73/14/11/1133731411.db2.gz YNQGFFNCKASSRG-QENPDKLCSA-N 1 2 283.375 3.611 20 0 CHADLO CCCOc1ccc(F)c(-c2[nH+]ccc3c2CCN3)c1F ZINC001206721878 1133735931 /nfs/dbraw/zinc/73/59/31/1133735931.db2.gz GRUMZVXGZSMQSQ-UHFFFAOYSA-N 1 2 290.313 3.784 20 0 CHADLO COc1cccc([C@H]2CCCC[N@@H+]2Cc2cc(C)on2)c1 ZINC001206818706 1133751336 /nfs/dbraw/zinc/75/13/36/1133751336.db2.gz CKBQMXWVAIMIEC-QGZVFWFLSA-N 1 2 286.375 3.719 20 0 CHADLO COc1cccc([C@H]2CCCC[N@H+]2Cc2cc(C)on2)c1 ZINC001206818706 1133751341 /nfs/dbraw/zinc/75/13/41/1133751341.db2.gz CKBQMXWVAIMIEC-QGZVFWFLSA-N 1 2 286.375 3.719 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2cc(-c3ccc(F)cc3)on2)C1 ZINC001206831112 1133753568 /nfs/dbraw/zinc/75/35/68/1133753568.db2.gz KFIPRKYEGWTWAS-NHYWBVRUSA-N 1 2 292.329 3.661 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2cc(-c3ccc(F)cc3)on2)C1 ZINC001206831112 1133753571 /nfs/dbraw/zinc/75/35/71/1133753571.db2.gz KFIPRKYEGWTWAS-NHYWBVRUSA-N 1 2 292.329 3.661 20 0 CHADLO CC[N@H+](Cc1cc(-c2ccccc2)on1)Cc1cccnc1 ZINC001206843696 1133754412 /nfs/dbraw/zinc/75/44/12/1133754412.db2.gz ICCYRXKXEFHQHW-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC[N@@H+](Cc1cc(-c2ccccc2)on1)Cc1cccnc1 ZINC001206843696 1133754415 /nfs/dbraw/zinc/75/44/15/1133754415.db2.gz ICCYRXKXEFHQHW-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO Fc1cncc(F)c1C[N@H+](Cc1ccccc1)C1CCC1 ZINC001206861444 1133757155 /nfs/dbraw/zinc/75/71/55/1133757155.db2.gz IQKLISGARZULFE-UHFFFAOYSA-N 1 2 288.341 3.915 20 0 CHADLO Fc1cncc(F)c1C[N@@H+](Cc1ccccc1)C1CCC1 ZINC001206861444 1133757159 /nfs/dbraw/zinc/75/71/59/1133757159.db2.gz IQKLISGARZULFE-UHFFFAOYSA-N 1 2 288.341 3.915 20 0 CHADLO CC[N@H+](Cc1ccc(F)cn1)Cc1cccc(F)c1F ZINC001207042846 1133761651 /nfs/dbraw/zinc/76/16/51/1133761651.db2.gz ADCWGIAPTOEUSB-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cn1)Cc1cccc(F)c1F ZINC001207042846 1133761654 /nfs/dbraw/zinc/76/16/54/1133761654.db2.gz ADCWGIAPTOEUSB-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO C[N@H+](Cc1ccc(C(F)(F)F)cc1)Cc1ncccc1F ZINC001207034423 1133761825 /nfs/dbraw/zinc/76/18/25/1133761825.db2.gz QLDNSEGBIUGOSR-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccc(C(F)(F)F)cc1)Cc1ncccc1F ZINC001207034423 1133761829 /nfs/dbraw/zinc/76/18/29/1133761829.db2.gz QLDNSEGBIUGOSR-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncc3ccccn32)cc1C ZINC001207054741 1133763039 /nfs/dbraw/zinc/76/30/39/1133763039.db2.gz QXEYFTRTVUUVSB-UHFFFAOYSA-N 1 2 279.387 3.583 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncc3ccccn32)cc1C ZINC001207054741 1133763044 /nfs/dbraw/zinc/76/30/44/1133763044.db2.gz QXEYFTRTVUUVSB-UHFFFAOYSA-N 1 2 279.387 3.583 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1cncc(C)c1 ZINC001207160805 1133773645 /nfs/dbraw/zinc/77/36/45/1133773645.db2.gz DSZHPGTUMFHXOF-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1cncc(C)c1 ZINC001207160805 1133773650 /nfs/dbraw/zinc/77/36/50/1133773650.db2.gz DSZHPGTUMFHXOF-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO Cc1cccc(CC[N@H+]2CC[C@H](C)C(F)(F)C2)c1 ZINC001207533141 1133816226 /nfs/dbraw/zinc/81/62/26/1133816226.db2.gz QHUFGTQJUCREOL-ZDUSSCGKSA-N 1 2 253.336 3.515 20 0 CHADLO Cc1cccc(CC[N@@H+]2CC[C@H](C)C(F)(F)C2)c1 ZINC001207533141 1133816232 /nfs/dbraw/zinc/81/62/32/1133816232.db2.gz QHUFGTQJUCREOL-ZDUSSCGKSA-N 1 2 253.336 3.515 20 0 CHADLO C[C@@H](C[N@H+]1CCC[C@@H](F)C(F)(F)C1)c1ccccc1 ZINC001207899477 1133860082 /nfs/dbraw/zinc/86/00/82/1133860082.db2.gz BUZNIAWDLZLZOE-GXTWGEPZSA-N 1 2 271.326 3.859 20 0 CHADLO C[C@@H](C[N@@H+]1CCC[C@@H](F)C(F)(F)C1)c1ccccc1 ZINC001207899477 1133860073 /nfs/dbraw/zinc/86/00/73/1133860073.db2.gz BUZNIAWDLZLZOE-GXTWGEPZSA-N 1 2 271.326 3.859 20 0 CHADLO CN(c1cccc(C(C)(C)C)c1)c1[nH+]cccc1N ZINC001208685034 1133927764 /nfs/dbraw/zinc/92/77/64/1133927764.db2.gz QGOZYWXGPXIIOX-UHFFFAOYSA-N 1 2 255.365 3.729 20 0 CHADLO CC/C=C\CCN1CC[C@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001208734218 1133938402 /nfs/dbraw/zinc/93/84/02/1133938402.db2.gz SKOAVPSVDQYIJP-JZIMQPMSSA-N 1 2 286.410 3.538 20 0 CHADLO Cc1ccc(NC2=CCN(c3ccccc3)CC2)c(C)[nH+]1 ZINC001209001780 1133969406 /nfs/dbraw/zinc/96/94/06/1133969406.db2.gz QQLMFPDSKHWCDE-UHFFFAOYSA-N 1 2 279.387 3.905 20 0 CHADLO Cc1cccc(NC2=CCN(c3ccccc3)CC2)[nH+]1 ZINC001209000867 1133969969 /nfs/dbraw/zinc/96/99/69/1133969969.db2.gz IMPQJZSNAJJIBN-UHFFFAOYSA-N 1 2 265.360 3.596 20 0 CHADLO COC(=O)CCCCCCCCC[NH+]1CC(F)(C2CC2)C1 ZINC001209094213 1133973658 /nfs/dbraw/zinc/97/36/58/1133973658.db2.gz PVHLTVJOBTXEGC-UHFFFAOYSA-N 1 2 299.430 3.714 20 0 CHADLO O=C1CCC[N@@H+](CCc2ccc(Cl)cc2Cl)CC1 ZINC001209081787 1133974819 /nfs/dbraw/zinc/97/48/19/1133974819.db2.gz VPJVIWSFPPIUSC-UHFFFAOYSA-N 1 2 286.202 3.591 20 0 CHADLO O=C1CCC[N@H+](CCc2ccc(Cl)cc2Cl)CC1 ZINC001209081787 1133974823 /nfs/dbraw/zinc/97/48/23/1133974823.db2.gz VPJVIWSFPPIUSC-UHFFFAOYSA-N 1 2 286.202 3.591 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](CCc1cc(F)cc(F)c1)C2 ZINC001209141131 1133980963 /nfs/dbraw/zinc/98/09/63/1133980963.db2.gz TUUBCAMKRHESEG-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)C[N@H+](CCc1cc(F)cc(F)c1)C2 ZINC001209141131 1133980969 /nfs/dbraw/zinc/98/09/69/1133980969.db2.gz TUUBCAMKRHESEG-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCc1cncc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001209190938 1133986569 /nfs/dbraw/zinc/98/65/69/1133986569.db2.gz IWOPACOFJFKJEY-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cnc(CC)s2)c1 ZINC000090228818 1134004258 /nfs/dbraw/zinc/00/42/58/1134004258.db2.gz SNPXILIKXJCANT-UHFFFAOYSA-N 1 2 293.461 3.966 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@@H+]2Cc2cncs2)c1 ZINC001209552506 1134036611 /nfs/dbraw/zinc/03/66/11/1134036611.db2.gz KGLXJJLZULBASS-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@H+]2Cc2cncs2)c1 ZINC001209552506 1134036616 /nfs/dbraw/zinc/03/66/16/1134036616.db2.gz KGLXJJLZULBASS-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Cc1cc(Cl)ncc1C[N@@H+]1CCc2ccsc2C1 ZINC001209637206 1134044774 /nfs/dbraw/zinc/04/47/74/1134044774.db2.gz SNKCFHQFEUDSNM-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(Cl)ncc1C[N@H+]1CCc2ccsc2C1 ZINC001209637206 1134044781 /nfs/dbraw/zinc/04/47/81/1134044781.db2.gz SNKCFHQFEUDSNM-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO COc1c(Cl)ccc(C[N@@H+](C)C2CC(F)(F)C2)c1F ZINC001209699403 1134052206 /nfs/dbraw/zinc/05/22/06/1134052206.db2.gz QOWCNTQPOMMJFN-UHFFFAOYSA-N 1 2 293.716 3.717 20 0 CHADLO COc1c(Cl)ccc(C[N@H+](C)Cc2ccncc2)c1F ZINC001209699151 1134052112 /nfs/dbraw/zinc/05/21/12/1134052112.db2.gz OGISYTCSGVAKEB-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1c(Cl)ccc(C[N@@H+](C)Cc2ccncc2)c1F ZINC001209699151 1134052121 /nfs/dbraw/zinc/05/21/21/1134052121.db2.gz OGISYTCSGVAKEB-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1c(Cl)ccc(C[N@H+](C)C2CC(F)(F)C2)c1F ZINC001209699403 1134052196 /nfs/dbraw/zinc/05/21/96/1134052196.db2.gz QOWCNTQPOMMJFN-UHFFFAOYSA-N 1 2 293.716 3.717 20 0 CHADLO Clc1ccc2[nH]c(C[N@@H+]3CCOCC34CCC4)cc2c1 ZINC001209721354 1134052884 /nfs/dbraw/zinc/05/28/84/1134052884.db2.gz GVDMUQOTKWRWKF-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1ccc2[nH]c(C[N@H+]3CCOCC34CCC4)cc2c1 ZINC001209721354 1134052892 /nfs/dbraw/zinc/05/28/92/1134052892.db2.gz GVDMUQOTKWRWKF-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO FC(F)C1C[NH+](Cc2cc3cc(Cl)ccc3[nH]2)C1 ZINC001209726503 1134055069 /nfs/dbraw/zinc/05/50/69/1134055069.db2.gz GIJDHNAWHQWCHG-UHFFFAOYSA-N 1 2 270.710 3.518 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc2c(c1)NCCO2 ZINC001209796368 1134065946 /nfs/dbraw/zinc/06/59/46/1134065946.db2.gz GRMIVLHHLSWALP-UHFFFAOYSA-N 1 2 269.348 3.753 20 0 CHADLO Cc1cc(C)c(Nc2ccc[nH+]c2N2CCOCC2)cc1C ZINC001209831387 1134072462 /nfs/dbraw/zinc/07/24/62/1134072462.db2.gz LLTJWDAKIKLOEV-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO c1[nH]c2ccc(Nc3ncccc3N3CCCCC3)cc2[nH+]1 ZINC001209849347 1134084477 /nfs/dbraw/zinc/08/44/77/1134084477.db2.gz XKXACRRNSMXYAX-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO CO[C@H](C)c1cccc(Nc2cccc3[nH+]ccn32)c1 ZINC001209947361 1134107558 /nfs/dbraw/zinc/10/75/58/1134107558.db2.gz VMBXADCCOOAEPY-GFCCVEGCSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)ccc1O ZINC001209975706 1134114426 /nfs/dbraw/zinc/11/44/26/1134114426.db2.gz VNDDGTMRZCIDBQ-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO COc1cc(Nc2ccc([NH+](C)C)c(C)c2)ccc1O ZINC001210041697 1134131038 /nfs/dbraw/zinc/13/10/38/1134131038.db2.gz QUGAXAJIQUUWSO-UHFFFAOYSA-N 1 2 272.348 3.519 20 0 CHADLO COc1cccc(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210040736 1134131409 /nfs/dbraw/zinc/13/14/09/1134131409.db2.gz AWBDRBWHKCFVIR-UHFFFAOYSA-N 1 2 256.349 3.813 20 0 CHADLO CC(=O)c1cc(Nc2ccc([NH+](C)C)c(C)c2)ccc1O ZINC001210042990 1134132136 /nfs/dbraw/zinc/13/21/36/1134132136.db2.gz HLUAHRNGTRDDOR-UHFFFAOYSA-N 1 2 284.359 3.713 20 0 CHADLO Cc1cc(Nc2ccc3ocnc3c2)ccc1[NH+](C)C ZINC001210042112 1134132234 /nfs/dbraw/zinc/13/22/34/1134132234.db2.gz WBLLFMRLCKLKBG-UHFFFAOYSA-N 1 2 267.332 3.946 20 0 CHADLO Cc1cc(Nc2cccc(C3=NCCO3)c2)ccc1[NH+](C)C ZINC001210043263 1134132294 /nfs/dbraw/zinc/13/22/94/1134132294.db2.gz BVGDNFVJUDMFDR-UHFFFAOYSA-N 1 2 295.386 3.581 20 0 CHADLO COc1cc(Nc2ccc([NH+](C)C)c(C)c2)cc(OC)c1 ZINC001210042236 1134132440 /nfs/dbraw/zinc/13/24/40/1134132440.db2.gz YKLGBSWIVLHCLE-UHFFFAOYSA-N 1 2 286.375 3.822 20 0 CHADLO Cc1cc(Nc2cccc(CO)c2C)ccc1[NH+](C)C ZINC001210042707 1134132540 /nfs/dbraw/zinc/13/25/40/1134132540.db2.gz AWRZJBFQAKIRLJ-UHFFFAOYSA-N 1 2 270.376 3.605 20 0 CHADLO CCCc1cc(Nc2ccc3c[nH+]ccc3c2)on1 ZINC001210044462 1134132918 /nfs/dbraw/zinc/13/29/18/1134132918.db2.gz QJTXMIJSSKMKKJ-UHFFFAOYSA-N 1 2 253.305 3.919 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[C@@H](O)CC3)ccc1[NH+](C)C ZINC001210044905 1134133454 /nfs/dbraw/zinc/13/34/54/1134133454.db2.gz QQBNRIFUDPENHG-SFHVURJKSA-N 1 2 282.387 3.784 20 0 CHADLO c1nc(Nc2ccc3c[nH+]ccc3c2)cn1CC1CCC1 ZINC001210047178 1134133996 /nfs/dbraw/zinc/13/39/96/1134133996.db2.gz OUEYVOCERRFQJG-UHFFFAOYSA-N 1 2 278.359 3.975 20 0 CHADLO Oc1cnc(Nc2ccc3c[nH+]ccc3c2)c(Cl)c1 ZINC001210047009 1134134924 /nfs/dbraw/zinc/13/49/24/1134134924.db2.gz FJLGIWFILBHADU-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO CC(C)n1cnc(Nc2ccc3c[nH+]ccc3c2)c1 ZINC001210047326 1134136223 /nfs/dbraw/zinc/13/62/23/1134136223.db2.gz XSVZAEZIPJGGJH-UHFFFAOYSA-N 1 2 252.321 3.756 20 0 CHADLO COc1ccc(C(C)=O)cc1Nc1c[nH+]c(C)cc1C ZINC001210075165 1134141608 /nfs/dbraw/zinc/14/16/08/1134141608.db2.gz BRYJHRHCWMENOW-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COc1ccc(C(C)=O)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001210079663 1134142738 /nfs/dbraw/zinc/14/27/38/1134142738.db2.gz STBCZXKHDWCEOH-UHFFFAOYSA-N 1 2 295.342 3.528 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cc(F)ccc3CO)ccc12 ZINC001210183730 1134160788 /nfs/dbraw/zinc/16/07/88/1134160788.db2.gz HEGUWNIEDACMLH-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO Oc1c(Cl)cccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001210220393 1134170265 /nfs/dbraw/zinc/17/02/65/1134170265.db2.gz UKWWACWTTAEXOJ-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Cc1cn2cccc(Nc3cccc4cc[nH+]cc43)c2n1 ZINC001210224373 1134171477 /nfs/dbraw/zinc/17/14/77/1134171477.db2.gz YJDDUAAUHZXHMW-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Fc1cnc(Nc2cccc3cc[nH+]cc32)c(F)c1 ZINC001210223614 1134171637 /nfs/dbraw/zinc/17/16/37/1134171637.db2.gz ABQIVIIMJIXDNZ-UHFFFAOYSA-N 1 2 257.243 3.652 20 0 CHADLO COC(=O)c1ccc(F)cc1Nc1cccc2cc[nH+]cc21 ZINC001210230288 1134172211 /nfs/dbraw/zinc/17/22/11/1134172211.db2.gz DPISLHFGTVMYFZ-UHFFFAOYSA-N 1 2 296.301 3.904 20 0 CHADLO COc1cc(O)ccc1Nc1cccc2cc[nH+]cc21 ZINC001210230953 1134173492 /nfs/dbraw/zinc/17/34/92/1134173492.db2.gz KYZOSHAUHMURNL-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO COc1cccc(N)c1Nc1cccc2cc[nH+]cc21 ZINC001210232760 1134174756 /nfs/dbraw/zinc/17/47/56/1134174756.db2.gz UZKNRNWKOUXPPW-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO COc1cc(Nc2cccnc2C(F)(F)F)[nH+]cc1C ZINC001210234057 1134175141 /nfs/dbraw/zinc/17/51/41/1134175141.db2.gz FSWAIICADXNDMK-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)c(N)c2)n(-c2cccc(C)c2)n1 ZINC001210286886 1134185227 /nfs/dbraw/zinc/18/52/27/1134185227.db2.gz GDWADHCZSJYWOZ-UHFFFAOYSA-N 1 2 293.374 3.518 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)c(Cl)nc2Cl)cc1N ZINC001210286685 1134185453 /nfs/dbraw/zinc/18/54/53/1134185453.db2.gz CVFFCINXCGOMKE-UHFFFAOYSA-N 1 2 287.125 3.557 20 0 CHADLO Cc1nc2cc(Nc3c[nH+]c(C)c(N)c3)c(C)cc2s1 ZINC001210289397 1134186468 /nfs/dbraw/zinc/18/64/68/1134186468.db2.gz JRDROCWRDXZAHY-UHFFFAOYSA-N 1 2 284.388 3.942 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(F)c2Br)cc1N ZINC001210290499 1134186829 /nfs/dbraw/zinc/18/68/29/1134186829.db2.gz MKOOOPJLBCOANG-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1[nH+]cc(N(c2ccccc2N)C2CCCC2)cc1N ZINC001210293262 1134187994 /nfs/dbraw/zinc/18/79/94/1134187994.db2.gz IWPCKBGAGRYRMN-UHFFFAOYSA-N 1 2 282.391 3.635 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OC(F)F)nc1 ZINC001210300592 1134188928 /nfs/dbraw/zinc/18/89/28/1134188928.db2.gz GDXLMNDGCLWFAR-UHFFFAOYSA-N 1 2 279.290 3.692 20 0 CHADLO FC(F)Oc1ccc(Nc2cccc3[nH+]ccn32)cc1 ZINC001210324767 1134192994 /nfs/dbraw/zinc/19/29/94/1134192994.db2.gz UJDGTBQUMYUXTN-UHFFFAOYSA-N 1 2 275.258 3.679 20 0 CHADLO Clc1cc(Nc2ccc3ncncc3c2)cn2cc[nH+]c12 ZINC001210456838 1134218831 /nfs/dbraw/zinc/21/88/31/1134218831.db2.gz ZNTDLHYHMMSJRJ-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO C[C@H](O)c1cccc(Nc2[nH+]cccc2C2CC2)c1 ZINC001210508912 1134235500 /nfs/dbraw/zinc/23/55/00/1134235500.db2.gz QVXMGQBXIULPNY-NSHDSACASA-N 1 2 254.333 3.756 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc3c2OCO3)cc1 ZINC001210564536 1134249853 /nfs/dbraw/zinc/24/98/53/1134249853.db2.gz AZGGJPFLUACCLK-UHFFFAOYSA-N 1 2 270.332 3.615 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc3c2OCO3)cc1 ZINC001210564536 1134249859 /nfs/dbraw/zinc/24/98/59/1134249859.db2.gz AZGGJPFLUACCLK-UHFFFAOYSA-N 1 2 270.332 3.615 20 0 CHADLO CCOc1cc(Nc2ccc3ocnc3c2)cc(C)[nH+]1 ZINC001210570971 1134252389 /nfs/dbraw/zinc/25/23/89/1134252389.db2.gz JLPXNPANBGQQDT-UHFFFAOYSA-N 1 2 269.304 3.674 20 0 CHADLO CC(C)(O)c1ccc(Nc2[nH+]cccc2N2CCCC2)cc1 ZINC001210617843 1134261421 /nfs/dbraw/zinc/26/14/21/1134261421.db2.gz RAUAEOXHBKICOT-UHFFFAOYSA-N 1 2 297.402 3.653 20 0 CHADLO COc1cc(Nc2cc(C)ccc2C(C)=O)cc(C)[nH+]1 ZINC001210780146 1134295584 /nfs/dbraw/zinc/29/55/84/1134295584.db2.gz CSUIYZXCQSLNHA-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COc1cc(Nc2ccc(C)c(O)c2Cl)cc(C)[nH+]1 ZINC001210780685 1134295737 /nfs/dbraw/zinc/29/57/37/1134295737.db2.gz LXHSQRBJRRYYJD-UHFFFAOYSA-N 1 2 278.739 3.810 20 0 CHADLO COc1cc(Nc2cccc(O)c2Cl)cc(C)[nH+]1 ZINC001210780837 1134295761 /nfs/dbraw/zinc/29/57/61/1134295761.db2.gz IWOLAOFDGACQMH-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO COc1cc(Nc2ccc3c(c2)CCCC3=O)cc(C)[nH+]1 ZINC001210780402 1134295981 /nfs/dbraw/zinc/29/59/81/1134295981.db2.gz IXHVKYZGALKZBR-UHFFFAOYSA-N 1 2 282.343 3.661 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(O)cc(C(F)(F)F)c1 ZINC001210823259 1134305214 /nfs/dbraw/zinc/30/52/14/1134305214.db2.gz YSCQSBIZDAGCKO-UHFFFAOYSA-N 1 2 298.264 3.948 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(OC3CC3)c2)[nH+]1 ZINC001210859395 1134313776 /nfs/dbraw/zinc/31/37/76/1134313776.db2.gz SXWGTAOBRCVCDZ-UHFFFAOYSA-N 1 2 279.343 3.928 20 0 CHADLO COc1c(F)cc(F)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001210868783 1134320496 /nfs/dbraw/zinc/32/04/96/1134320496.db2.gz URUMQHDXVPXTHR-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO Cc1cn(-c2ccc(Nc3ccc(C)c[nH+]3)cc2)cn1 ZINC001211011243 1134348020 /nfs/dbraw/zinc/34/80/20/1134348020.db2.gz PEZREFJRWHXAJP-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1ccc(Nc2cc(Br)c(F)cc2N)[nH+]c1 ZINC001211011910 1134349589 /nfs/dbraw/zinc/34/95/89/1134349589.db2.gz ITRDWKDHQBLGJX-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO COc1ccc(Nc2ccc3c(C)cc[nH+]c3c2)c(C)n1 ZINC001211045279 1134355116 /nfs/dbraw/zinc/35/51/16/1134355116.db2.gz RBUSRHQVRIEYDO-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CCOc1ccc(F)c(Nc2c[nH+]ccc2OCC)c1 ZINC001211052780 1134358701 /nfs/dbraw/zinc/35/87/01/1134358701.db2.gz FNAPUVLSMYGYSN-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO COc1nccc(Nc2c(C)cc[nH+]c2C(C)C)c1OC ZINC001211087469 1134366238 /nfs/dbraw/zinc/36/62/38/1134366238.db2.gz BLDQLJCVOCYWOQ-UHFFFAOYSA-N 1 2 287.363 3.669 20 0 CHADLO Cc1ccc2c(Nc3cnn4ccccc34)cccc2[nH+]1 ZINC001211095156 1134367184 /nfs/dbraw/zinc/36/71/84/1134367184.db2.gz MSECUMPGOSCYOH-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnccc2Cl)cc1 ZINC001211213547 1134392605 /nfs/dbraw/zinc/39/26/05/1134392605.db2.gz BRLOQAOIIHZBNF-UHFFFAOYSA-N 1 2 261.756 3.935 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnccc2Cl)cc1 ZINC001211213547 1134392612 /nfs/dbraw/zinc/39/26/12/1134392612.db2.gz BRLOQAOIIHZBNF-UHFFFAOYSA-N 1 2 261.756 3.935 20 0 CHADLO Oc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)ccc1F ZINC001211215156 1134393264 /nfs/dbraw/zinc/39/32/64/1134393264.db2.gz MPBRGGVJFNPTRC-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc4ccccc4[nH]3)ccc21 ZINC001211308678 1134407178 /nfs/dbraw/zinc/40/71/78/1134407178.db2.gz OUAWSFGPVZVQQS-UHFFFAOYSA-N 1 2 262.316 3.798 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)nc(F)c2)cc1 ZINC001213085482 1134424790 /nfs/dbraw/zinc/42/47/90/1134424790.db2.gz HXHQAWQMJLNSCS-UHFFFAOYSA-N 1 2 263.291 3.560 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)nc(F)c2)cc1 ZINC001213085482 1134424792 /nfs/dbraw/zinc/42/47/92/1134424792.db2.gz HXHQAWQMJLNSCS-UHFFFAOYSA-N 1 2 263.291 3.560 20 0 CHADLO Oc1cnc(Cl)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213087681 1134424935 /nfs/dbraw/zinc/42/49/35/1134424935.db2.gz VPSNZAHRUTXJQN-UHFFFAOYSA-N 1 2 286.722 3.574 20 0 CHADLO Nc1ccc2c(c1)N(c1ccc(-c3[nH]cc[nH+]3)cc1)CCC2 ZINC001213091092 1134425215 /nfs/dbraw/zinc/42/52/15/1134425215.db2.gz VXVHCQHJUCTXNJ-UHFFFAOYSA-N 1 2 290.370 3.743 20 0 CHADLO COc1ccc(F)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213089958 1134425598 /nfs/dbraw/zinc/42/55/98/1134425598.db2.gz MTOAMYOAFGREIH-UHFFFAOYSA-N 1 2 283.306 3.968 20 0 CHADLO Cc1cc(O)cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213089762 1134425884 /nfs/dbraw/zinc/42/58/84/1134425884.db2.gz CODMLKBDFKQFRR-UHFFFAOYSA-N 1 2 265.316 3.834 20 0 CHADLO Cn1cc2ccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cc2n1 ZINC001213089218 1134426142 /nfs/dbraw/zinc/42/61/42/1134426142.db2.gz ZRDFABLPYBLJGR-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc4c(c3)NCC4)cc2)c[nH+]1 ZINC001213153656 1134431883 /nfs/dbraw/zinc/43/18/83/1134431883.db2.gz LDRJYIZZIVSKNH-UHFFFAOYSA-N 1 2 290.370 3.643 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(OCC(F)F)cc1 ZINC001213299316 1134440011 /nfs/dbraw/zinc/44/00/11/1134440011.db2.gz XSHXPGCFGASCQV-UHFFFAOYSA-N 1 2 264.275 3.778 20 0 CHADLO COc1cc[nH+]c(Nc2c(F)cccc2C2CC2)c1 ZINC001213447726 1134449253 /nfs/dbraw/zinc/44/92/53/1134449253.db2.gz IUEURGAYSALIGN-UHFFFAOYSA-N 1 2 258.296 3.850 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(Cl)c(CO)c2)c1C ZINC001213534088 1134463023 /nfs/dbraw/zinc/46/30/23/1134463023.db2.gz LYSYFNXGAOSFPL-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cn2nc(Cl)ccc2n1 ZINC001213494736 1134453475 /nfs/dbraw/zinc/45/34/75/1134453475.db2.gz HTXVYFFBEIBYMK-UHFFFAOYSA-N 1 2 299.765 3.707 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(F)c(F)nc(F)c1F ZINC001213491828 1134453555 /nfs/dbraw/zinc/45/35/55/1134453555.db2.gz RVFFSHDCTYXGMW-UHFFFAOYSA-N 1 2 297.255 3.962 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(CO)cc1 ZINC001213499479 1134455075 /nfs/dbraw/zinc/45/50/75/1134455075.db2.gz KXZFVPXSCIMGRE-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(CO)cc1F ZINC001213499625 1134455395 /nfs/dbraw/zinc/45/53/95/1134455395.db2.gz SJCFQHQGSXWILI-UHFFFAOYSA-N 1 2 272.323 3.642 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2cnn(C)c2c1 ZINC001213497084 1134455560 /nfs/dbraw/zinc/45/55/60/1134455560.db2.gz HQIIZRPNWRSCLX-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO Cc1cc(C)c(Nc2nc3c(cccc3O)s2)c[nH+]1 ZINC001213503454 1134456085 /nfs/dbraw/zinc/45/60/85/1134456085.db2.gz HHDOMNFLNINMKV-UHFFFAOYSA-N 1 2 271.345 3.757 20 0 CHADLO COc1cc2ccn(-c3cc(C4CC4)c[nH+]c3C)c2c(N)c1 ZINC001213503516 1134456097 /nfs/dbraw/zinc/45/60/97/1134456097.db2.gz KGXQDKGLLAQOGA-UHFFFAOYSA-N 1 2 293.370 3.802 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1COC2=O ZINC001213501299 1134456830 /nfs/dbraw/zinc/45/68/30/1134456830.db2.gz RLIRHGBDEOQADB-UHFFFAOYSA-N 1 2 280.327 3.681 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1NC(=O)NC2 ZINC001213503370 1134457096 /nfs/dbraw/zinc/45/70/96/1134457096.db2.gz BKZQXIFGNTWYFA-UHFFFAOYSA-N 1 2 294.358 3.646 20 0 CHADLO Cc1cccc(-n2nccc2Nc2c[nH+]c(C)cc2C)c1 ZINC001213511146 1134457577 /nfs/dbraw/zinc/45/75/77/1134457577.db2.gz YWCTUGWOAVMZQL-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1cc(C)c(Nc2nc(Br)ccc2C)c[nH+]1 ZINC001213511037 1134457628 /nfs/dbraw/zinc/45/76/28/1134457628.db2.gz SDHJEJBKNDOHCE-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2cnc(Br)s2)c[nH+]1 ZINC001213510986 1134457924 /nfs/dbraw/zinc/45/79/24/1134457924.db2.gz QCRUAEHIRWIUFC-UHFFFAOYSA-N 1 2 284.182 3.661 20 0 CHADLO Cc1cc(C)c(Nc2ccnn2Cc2ccccc2F)c[nH+]1 ZINC001213505997 1134458106 /nfs/dbraw/zinc/45/81/06/1134458106.db2.gz XUNSEWHPWIVLLE-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO CC(=O)c1cc(Nc2c[nH+]c(C)cc2C)cc(C(C)=O)c1 ZINC001213521048 1134458535 /nfs/dbraw/zinc/45/85/35/1134458535.db2.gz QJDDARADXNHALX-UHFFFAOYSA-N 1 2 282.343 3.847 20 0 CHADLO COC(=O)c1cc(Nc2c[nH+]c(C)cc2C)ccc1Cl ZINC001213518439 1134458836 /nfs/dbraw/zinc/45/88/36/1134458836.db2.gz OKFRGMFWQBIUMV-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO CCOC(=O)c1ccsc1Nc1c[nH+]cc(C)c1C ZINC001213523137 1134460147 /nfs/dbraw/zinc/46/01/47/1134460147.db2.gz LSNQOVKAAKXNDS-UHFFFAOYSA-N 1 2 276.361 3.680 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnn2Cc2ccccc2F)c1C ZINC001213523682 1134460197 /nfs/dbraw/zinc/46/01/97/1134460197.db2.gz ZFXLEDCIECWGET-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO Cc1c[nH+]cc(Nc2c(C)nc(Cl)nc2Cl)c1C ZINC001213523520 1134460357 /nfs/dbraw/zinc/46/03/57/1134460357.db2.gz UVJKNTOMBQMMFN-UHFFFAOYSA-N 1 2 283.162 3.847 20 0 CHADLO Cc1c[nH+]cc(Nc2c[nH]c(=O)c3ccccc23)c1C ZINC001213524957 1134460664 /nfs/dbraw/zinc/46/06/64/1134460664.db2.gz KNRCESOWDMMZLL-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(-c3ccncn3)cc2)c1C ZINC001213532348 1134461299 /nfs/dbraw/zinc/46/12/99/1134461299.db2.gz DDSRWURZIWBHLL-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO COC(=O)c1c(F)ccc(F)c1Nc1c[nH+]cc(C)c1C ZINC001213532940 1134461442 /nfs/dbraw/zinc/46/14/42/1134461442.db2.gz XJYXDKILUOMEHC-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO COC(=O)c1cc(Nc2c[nH+]cc(C)c2C)ccc1Cl ZINC001213532533 1134461616 /nfs/dbraw/zinc/46/16/16/1134461616.db2.gz MVURAXMRCBEURO-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1nn(C)c2ccc(Nc3c[nH+]cc(C)c3C)cc12 ZINC001213532398 1134461930 /nfs/dbraw/zinc/46/19/30/1134461930.db2.gz GHNVMKPSQTWNOI-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO COC(=O)c1cc(Nc2c[nH+]cc(C)c2C)ccc1C ZINC001213530466 1134461957 /nfs/dbraw/zinc/46/19/57/1134461957.db2.gz BZMGIGQIMDJIMP-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(F)cc(N)c2Cl)c1C ZINC001213536480 1134462613 /nfs/dbraw/zinc/46/26/13/1134462613.db2.gz LKMXBBOVVFWQTL-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc(O)c2Br)c1C ZINC001213536211 1134462637 /nfs/dbraw/zinc/46/26/37/1134462637.db2.gz BMWZAHPAFKHPHT-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)C[C@@H](C)C(=O)N3C)c1C ZINC001213536732 1134462645 /nfs/dbraw/zinc/46/26/45/1134462645.db2.gz NPHAAZKNSLTRHQ-LLVKDONJSA-N 1 2 295.386 3.597 20 0 CHADLO COC(=O)c1c(F)ccc(Nc2c[nH+]cc(C)c2C)c1C ZINC001213534090 1134462928 /nfs/dbraw/zinc/46/29/28/1134462928.db2.gz MAZJRNMGLOLUNY-UHFFFAOYSA-N 1 2 288.322 3.676 20 0 CHADLO COC(=O)c1c(C)ccc(Nc2c[nH+]cc(C)c2C)c1F ZINC001213536835 1134462939 /nfs/dbraw/zinc/46/29/39/1134462939.db2.gz PZUJAXOZVMPUIH-UHFFFAOYSA-N 1 2 288.322 3.676 20 0 CHADLO COc1ccc2ccc(Nc3c[nH+]cc(C)c3C)cc2n1 ZINC001213536926 1134462989 /nfs/dbraw/zinc/46/29/89/1134462989.db2.gz SGIRFGGCJPVJSQ-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)C(=O)N(C2CC2)C3)c1C ZINC001213536893 1134463080 /nfs/dbraw/zinc/46/30/80/1134463080.db2.gz QQQNRXJXTKZPDF-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Cl)ccc2CO)c1C ZINC001213534263 1134463141 /nfs/dbraw/zinc/46/31/41/1134463141.db2.gz ULWIMCCEYPKPFD-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N3CCOCC3)cc2C)c[nH+]1 ZINC001213556710 1134463709 /nfs/dbraw/zinc/46/37/09/1134463709.db2.gz YGWSEFKNBPPZNU-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO COCc1ccc(C)c(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001213570962 1134464125 /nfs/dbraw/zinc/46/41/25/1134464125.db2.gz INSBWHSUZYRUFJ-UHFFFAOYSA-N 1 2 281.359 3.841 20 0 CHADLO COC(=O)Cc1cccc(Nc2cccc(C3CCC3)[nH+]2)c1 ZINC001213712064 1134479340 /nfs/dbraw/zinc/47/93/40/1134479340.db2.gz CEZVHLHIPDLBCQ-UHFFFAOYSA-N 1 2 296.370 3.808 20 0 CHADLO O=c1ccc2cc(Nc3cccc(C4CCC4)[nH+]3)ccc2[nH]1 ZINC001213771512 1134482730 /nfs/dbraw/zinc/48/27/30/1134482730.db2.gz BQAQDCNFCXVSBG-UHFFFAOYSA-N 1 2 291.354 3.934 20 0 CHADLO Cc1cc2cc(Nc3cccn4cc[nH+]c34)ccc2[nH]1 ZINC001213787094 1134483351 /nfs/dbraw/zinc/48/33/51/1134483351.db2.gz NTLFRVQJOCLFNB-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO Cc1cc2cc(Nc3ccc(N(C)C)[nH+]c3)ccc2[nH]1 ZINC001213785115 1134483818 /nfs/dbraw/zinc/48/38/18/1134483818.db2.gz RBVIKTKOPFSZRU-UHFFFAOYSA-N 1 2 266.348 3.681 20 0 CHADLO COc1cc[nH+]c(Nc2cc(C(F)(F)F)cnc2C)c1 ZINC001213825283 1134489106 /nfs/dbraw/zinc/48/91/06/1134489106.db2.gz XIVKWZKMQUBCAT-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO CCCOc1cc(F)ccc1Nc1[nH+]cc(C)cc1N ZINC001214079712 1134509591 /nfs/dbraw/zinc/50/95/91/1134509591.db2.gz ODKNISDBONYJOR-UHFFFAOYSA-N 1 2 275.327 3.644 20 0 CHADLO Cc1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)c(N)c1 ZINC001214184557 1134518532 /nfs/dbraw/zinc/51/85/32/1134518532.db2.gz MCGGJBJRCQELEU-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO COCOc1ccc(C)cc1Nc1[nH+]cc(C)cc1C ZINC001214236968 1134524668 /nfs/dbraw/zinc/52/46/68/1134524668.db2.gz LSZVBUGEIBRCOS-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(Cl)cc2O)[nH+]1 ZINC001214400132 1134535477 /nfs/dbraw/zinc/53/54/77/1134535477.db2.gz SLZGVERZICCQRR-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO COc1c(C)ccc(F)c1Nc1ccc(C)[nH+]c1C ZINC001214527873 1134547157 /nfs/dbraw/zinc/54/71/57/1134547157.db2.gz RRZTZMKGZDYKED-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(C(=O)OC)c1F ZINC001214576789 1134553482 /nfs/dbraw/zinc/55/34/82/1134553482.db2.gz OSAVCQYAQNFROH-UHFFFAOYSA-N 1 2 288.322 3.622 20 0 CHADLO CSc1cc(Nc2cccc(Cl)c2CO)cc[nH+]1 ZINC001214648034 1134561766 /nfs/dbraw/zinc/56/17/66/1134561766.db2.gz OWHIKKNDZBZXSQ-UHFFFAOYSA-N 1 2 280.780 3.693 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2c[nH+]c(C)cc2C)c1F ZINC001214755886 1134569777 /nfs/dbraw/zinc/56/97/77/1134569777.db2.gz SBNRIGBBUBQREY-UHFFFAOYSA-N 1 2 276.286 3.923 20 0 CHADLO CC(=O)c1cc(Nc2cccn3cc(C)[nH+]c23)cs1 ZINC001214773618 1134570113 /nfs/dbraw/zinc/57/01/13/1134570113.db2.gz KAMFZFHDSCPDSO-UHFFFAOYSA-N 1 2 271.345 3.650 20 0 CHADLO COc1cc(Nc2ccc(C(C)=O)c(F)c2F)[nH+]cc1C ZINC001214981985 1134591232 /nfs/dbraw/zinc/59/12/32/1134591232.db2.gz UPRJRQLJMZGQPS-UHFFFAOYSA-N 1 2 292.285 3.623 20 0 CHADLO CSc1ccc(F)cc1Nc1ccn2cc[nH+]c2c1 ZINC001215450960 1134628699 /nfs/dbraw/zinc/62/86/99/1134628699.db2.gz PPVKOWFQLPUSGG-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO Oc1cc(F)c(Nc2cc(Cl)c3[nH+]ccn3c2)cc1F ZINC001215571575 1134644564 /nfs/dbraw/zinc/64/45/64/1134644564.db2.gz DSFJVFSYBHMEAL-UHFFFAOYSA-N 1 2 295.676 3.715 20 0 CHADLO COCc1ccc(Cl)c(Nc2cc(C)[nH+]c(OC)c2)c1 ZINC001215597267 1134650770 /nfs/dbraw/zinc/65/07/70/1134650770.db2.gz RTSHOESNDDTTLX-UHFFFAOYSA-N 1 2 292.766 3.942 20 0 CHADLO COc1cc(C)cc(F)c1Nc1cccc2[nH+]c(C)cn21 ZINC001215705490 1134663468 /nfs/dbraw/zinc/66/34/68/1134663468.db2.gz ARBMSTCOVZXKEO-UHFFFAOYSA-N 1 2 285.322 3.842 20 0 CHADLO Cc1ccc(F)c(Nc2cccc(Cn3cc[nH+]c3)c2)c1O ZINC001215740697 1134670103 /nfs/dbraw/zinc/67/01/03/1134670103.db2.gz OLUMPHFEJRPBDO-UHFFFAOYSA-N 1 2 297.333 3.828 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCC2)c2c[nH]nc21 ZINC001215754015 1134673911 /nfs/dbraw/zinc/67/39/11/1134673911.db2.gz LCLMQPMWSHVFFF-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO CCOc1ccc(C)c(F)c1Nc1cccc2[nH+]ccn21 ZINC001215759937 1134677532 /nfs/dbraw/zinc/67/75/32/1134677532.db2.gz DJGAXORJMWYQQI-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO COc1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1C ZINC001215799654 1134690840 /nfs/dbraw/zinc/69/08/40/1134690840.db2.gz MJYYXRBMCXBDDE-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO COc1cnc(F)cc1Nc1c(C)cc[nH+]c1C(C)C ZINC001215805806 1134691743 /nfs/dbraw/zinc/69/17/43/1134691743.db2.gz NLALGGWDSLVKFQ-UHFFFAOYSA-N 1 2 275.327 3.800 20 0 CHADLO CSc1ncc(Nc2ccc(C)[nH+]c2C)cc1C ZINC001215826510 1134696345 /nfs/dbraw/zinc/69/63/45/1134696345.db2.gz PAWMZPHOVNEEQO-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO CSc1ncc(Nc2cccn3cc(C)[nH+]c23)cc1C ZINC001215827359 1134696408 /nfs/dbraw/zinc/69/64/08/1134696408.db2.gz RTBQIKUPSGUOKS-UHFFFAOYSA-N 1 2 284.388 3.812 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(F)c(C(=O)OC)c2)c1 ZINC001215853825 1134703218 /nfs/dbraw/zinc/70/32/18/1134703218.db2.gz UPOGSNGBXJAQIX-UHFFFAOYSA-N 1 2 288.322 3.703 20 0 CHADLO Cc1ccc(C)c(Nc2cc(Cl)cc3n[nH]cc32)[nH+]1 ZINC001215857047 1134704107 /nfs/dbraw/zinc/70/41/07/1134704107.db2.gz GJPHYHLMTXABOZ-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(Cl)cc3n[nH]cc32)[nH+]1 ZINC001215857925 1134704599 /nfs/dbraw/zinc/70/45/99/1134704599.db2.gz RREUIWPSRICMJJ-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)cnc1SC ZINC001215915206 1134718706 /nfs/dbraw/zinc/71/87/06/1134718706.db2.gz NXAUDPZZPLNDBI-UHFFFAOYSA-N 1 2 281.768 3.604 20 0 CHADLO Cc1cn2cccc(Nc3cc(C4CC4)cnc3F)c2[nH+]1 ZINC001215955790 1134730489 /nfs/dbraw/zinc/73/04/89/1134730489.db2.gz VIJMSNIMYVVYST-UHFFFAOYSA-N 1 2 282.322 3.798 20 0 CHADLO CCC[C@H]1CCCC[C@@H]1NC(=O)Nc1cc(C)[nH+]cc1C ZINC001215999610 1134746685 /nfs/dbraw/zinc/74/66/85/1134746685.db2.gz KFMDCQBPFZDPRD-GJZGRUSLSA-N 1 2 289.423 3.601 20 0 CHADLO CC(C)c1ccc(N)c(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001216017066 1134750978 /nfs/dbraw/zinc/75/09/78/1134750978.db2.gz IGJVURVLWLDKKJ-UHFFFAOYSA-N 1 2 270.380 3.597 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cnc(SC)c(Cl)c1 ZINC001216026749 1134753603 /nfs/dbraw/zinc/75/36/03/1134753603.db2.gz URFATNQXCBNYTL-UHFFFAOYSA-N 1 2 295.795 3.994 20 0 CHADLO CC(C)c1cc(Nc2cccn3cc[nH+]c23)ccc1N ZINC001216041808 1134758345 /nfs/dbraw/zinc/75/83/45/1134758345.db2.gz BETIQBYRYWPUCQ-UHFFFAOYSA-N 1 2 266.348 3.784 20 0 CHADLO CCc1cccc(Nc2cc(F)cc(N(C)C)c2)[nH+]1 ZINC001216159994 1134791564 /nfs/dbraw/zinc/79/15/64/1134791564.db2.gz PXHCBJDNUGDYBK-UHFFFAOYSA-N 1 2 259.328 3.593 20 0 CHADLO CSc1ncc(C)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001216242409 1134821862 /nfs/dbraw/zinc/82/18/62/1134821862.db2.gz APKVRYPGDFEGEK-UHFFFAOYSA-N 1 2 284.388 3.742 20 0 CHADLO Cc1c[nH+]c(Nc2cc(F)c(F)cc2Cl)c(N)c1 ZINC001216369733 1134870267 /nfs/dbraw/zinc/87/02/67/1134870267.db2.gz RUPSSOLGPNJSAZ-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO Oc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c(Cl)c1 ZINC001216427804 1134892215 /nfs/dbraw/zinc/89/22/15/1134892215.db2.gz FFEMQQCSLOWMSW-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO CCc1cccc(Nc2cc(Cl)cc(CO)c2)[nH+]1 ZINC001216434927 1134897115 /nfs/dbraw/zinc/89/71/15/1134897115.db2.gz HMGXNVIDEXEENQ-UHFFFAOYSA-N 1 2 262.740 3.533 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(Cl)cc(CO)c1 ZINC001216438273 1134897125 /nfs/dbraw/zinc/89/71/25/1134897125.db2.gz LIGJNHDWHDEDER-UHFFFAOYSA-N 1 2 276.767 3.842 20 0 CHADLO Nc1c(Cl)cccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001216527405 1134915367 /nfs/dbraw/zinc/91/53/67/1134915367.db2.gz DTHDOHMPDPUNFL-UHFFFAOYSA-N 1 2 284.750 3.852 20 0 CHADLO CCCOc1ccc(Nc2ccc[nH+]c2N2CCCC2)cn1 ZINC001216583888 1134923056 /nfs/dbraw/zinc/92/30/56/1134923056.db2.gz DDOYNYQWTAQARS-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO CCCOc1ccc(Nc2cc[nH+]c(SC)c2)cn1 ZINC001216585216 1134924085 /nfs/dbraw/zinc/92/40/85/1134924085.db2.gz FZHPROWNKPDXIG-UHFFFAOYSA-N 1 2 275.377 3.731 20 0 CHADLO CCOc1cc(Nc2ccc[nH+]c2N2CCCCC2)ccn1 ZINC001216622982 1134933157 /nfs/dbraw/zinc/93/31/57/1134933157.db2.gz ZHHDSHXTWKJENX-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO Cc1ccc2ccccc2c1O[C@H]1CC[NH2+]CC1(F)F ZINC001218007538 1135041890 /nfs/dbraw/zinc/04/18/90/1135041890.db2.gz RJEQCFYVDZEBLT-AWEZNQCLSA-N 1 2 277.314 3.524 20 0 CHADLO C[C@H](Oc1ccc[nH+]c1N)c1cccc(C(F)(F)F)c1 ZINC001218195027 1135067030 /nfs/dbraw/zinc/06/70/30/1135067030.db2.gz DIGCCTIVUTUNKZ-VIFPVBQESA-N 1 2 282.265 3.823 20 0 CHADLO Nc1cc[nH+]c(OC2CCC(OCc3ccccc3)CC2)c1 ZINC001218305804 1135083594 /nfs/dbraw/zinc/08/35/94/1135083594.db2.gz DRONCSVDBLHZFA-UHFFFAOYSA-N 1 2 298.386 3.571 20 0 CHADLO C[C@H]([NH2+]CC=C(Cl)Cl)c1c(F)cccc1F ZINC000407098536 1135095673 /nfs/dbraw/zinc/09/56/73/1135095673.db2.gz BVIUVNNSAQDDQO-ZETCQYMHSA-N 1 2 266.118 3.934 20 0 CHADLO C[C@@H](OCCn1cc[nH+]c1)c1ccc2ccccc2c1 ZINC001222128972 1135197810 /nfs/dbraw/zinc/19/78/10/1135197810.db2.gz IBJLPYAAXIIPPI-CQSZACIVSA-N 1 2 266.344 3.814 20 0 CHADLO Cn1c[nH+]cc1CO[C@H]1CC[C@@H](C(C)(C)C)CC1 ZINC001222599439 1135254138 /nfs/dbraw/zinc/25/41/38/1135254138.db2.gz BBVJIGLGSZPCNN-XBXGTLAGSA-N 1 2 250.386 3.542 20 0 CHADLO C=Cc1ccc(COC2C[NH+](Cc3ccccc3)C2)cc1 ZINC001223148994 1135310290 /nfs/dbraw/zinc/31/02/90/1135310290.db2.gz MCWKDQXVYZOILJ-UHFFFAOYSA-N 1 2 279.383 3.731 20 0 CHADLO c1ccc(C[NH+]2CC(O[C@H]3CCc4ccccc43)C2)cc1 ZINC001223417084 1135340971 /nfs/dbraw/zinc/34/09/71/1135340971.db2.gz TVOUJSRKALLHFX-IBGZPJMESA-N 1 2 279.383 3.575 20 0 CHADLO Cc1cc(CO[C@@H](C(C)C)C(F)(F)F)cc(C)[nH+]1 ZINC001223421925 1135343466 /nfs/dbraw/zinc/34/34/66/1135343466.db2.gz RZMDQXDVRFTSSE-LBPRGKRZSA-N 1 2 261.287 3.802 20 0 CHADLO COc1ccc2c(c1)CC[C@H](OCc1cc(C)[nH+]c(C)c1)C2 ZINC001223425451 1135344658 /nfs/dbraw/zinc/34/46/58/1135344658.db2.gz RXTZKMFQNPEZAR-IBGZPJMESA-N 1 2 297.398 3.781 20 0 CHADLO Cc1cc(COC[C@@H](C)Oc2ccccc2)cc(C)[nH+]1 ZINC001223425811 1135344975 /nfs/dbraw/zinc/34/49/75/1135344975.db2.gz VNUKSOBQBRLUFB-OAHLLOKOSA-N 1 2 271.360 3.683 20 0 CHADLO C[C@H](OC1C[NH+](Cc2ccccc2)C1)c1ccccc1 ZINC001223450977 1135346615 /nfs/dbraw/zinc/34/66/15/1135346615.db2.gz YWVLDGNZOLWZPX-HNNXBMFYSA-N 1 2 267.372 3.649 20 0 CHADLO CC(C)(C)[NH+]1CC(Oc2cc(Cl)ccc2Cl)C1 ZINC001225207340 1135514541 /nfs/dbraw/zinc/51/45/41/1135514541.db2.gz PBQRKLYXHUXFKY-UHFFFAOYSA-N 1 2 274.191 3.855 20 0 CHADLO COc1ccc([C@H](Oc2cc[nH+]cc2)C(C)C)cc1 ZINC001225291714 1135537086 /nfs/dbraw/zinc/53/70/86/1135537086.db2.gz WXAOVKAUAQHOQT-MRXNPFEDSA-N 1 2 257.333 3.866 20 0 CHADLO CCOC(=O)C[C@H](Oc1c(C)cc[nH+]c1C)c1ccccc1 ZINC001227260054 1135811141 /nfs/dbraw/zinc/81/11/41/1135811141.db2.gz NZRLFWHIAZVLBV-INIZCTEOSA-N 1 2 299.370 3.772 20 0 CHADLO COc1ccc([C@H](C)Oc2c(C)cc[nH+]c2C)cc1 ZINC001227266132 1135813074 /nfs/dbraw/zinc/81/30/74/1135813074.db2.gz WXGQNNYSXCERCH-ZDUSSCGKSA-N 1 2 257.333 3.847 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCCC[C@@H]1c1nnc(C2CCC2)o1 ZINC000347311289 529551772 /nfs/dbraw/zinc/55/17/72/529551772.db2.gz PINZZMINVXAQAY-CHWSQXEVSA-N 1 2 281.375 3.612 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCCC[C@@H]1c1nnc(C2CCC2)o1 ZINC000347311289 529551775 /nfs/dbraw/zinc/55/17/75/529551775.db2.gz PINZZMINVXAQAY-CHWSQXEVSA-N 1 2 281.375 3.612 20 0 CHADLO CCc1ccc(NCc2[nH+]cc(C)n2C)cc1Cl ZINC000353087521 529688631 /nfs/dbraw/zinc/68/86/31/529688631.db2.gz NSUNARSRUZNZFU-UHFFFAOYSA-N 1 2 263.772 3.556 20 0 CHADLO CCc1[nH+]c(C)ccc1OCCCCOC(C)(C)C ZINC000352552928 529730488 /nfs/dbraw/zinc/73/04/88/529730488.db2.gz DLFQVYAOADYFGD-UHFFFAOYSA-N 1 2 265.397 3.927 20 0 CHADLO C[N@H+](Cc1cncc(F)c1)Cc1cc(Cl)ccc1F ZINC000347117667 530016108 /nfs/dbraw/zinc/01/61/08/530016108.db2.gz GAMSLLACCBANAF-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1cncc(F)c1)Cc1cc(Cl)ccc1F ZINC000347117667 530016109 /nfs/dbraw/zinc/01/61/09/530016109.db2.gz GAMSLLACCBANAF-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO Cc1ccc(Cc2noc(C3(C)CCCCC3)n2)c[nH+]1 ZINC000573959217 334980541 /nfs/dbraw/zinc/98/05/41/334980541.db2.gz JMQSLYVRVYZGIW-UHFFFAOYSA-N 1 2 271.364 3.586 20 0 CHADLO Cc1c[nH+]c(CCSC[C@H]2CCO[C@H](C)C2)c(C)c1 ZINC000574400349 335016454 /nfs/dbraw/zinc/01/64/54/335016454.db2.gz PRBPHFFXKJDFNO-CABCVRRESA-N 1 2 279.449 3.789 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(C)c(C)[nH]1)c1ccc(F)cc1F ZINC000574531688 335027795 /nfs/dbraw/zinc/02/77/95/335027795.db2.gz FSTGUPBGQPLFOM-HNNXBMFYSA-N 1 2 293.361 3.936 20 0 CHADLO CCC[C@H](NCc1[nH]c(C)c(C)[nH+]1)c1ccc(F)cc1F ZINC000574531688 335027797 /nfs/dbraw/zinc/02/77/97/335027797.db2.gz FSTGUPBGQPLFOM-HNNXBMFYSA-N 1 2 293.361 3.936 20 0 CHADLO COc1cc[nH+]cc1COc1cc2ccccc2nc1C ZINC000352326235 533085613 /nfs/dbraw/zinc/08/56/13/533085613.db2.gz DJRWAEAFSAMPLA-UHFFFAOYSA-N 1 2 280.327 3.526 20 0 CHADLO Fc1cccc(F)c1CNc1ccc(N2CCCC2)[nH+]c1 ZINC000036982118 260060746 /nfs/dbraw/zinc/06/07/46/260060746.db2.gz GMKSTCVCRQRMMD-UHFFFAOYSA-N 1 2 289.329 3.572 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCC[C@@H](C(F)(F)F)C1 ZINC000179624054 260058956 /nfs/dbraw/zinc/05/89/56/260058956.db2.gz OMIDTUQEFMZGCX-SECBINFHSA-N 1 2 279.252 3.739 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCC[C@@H](C(F)(F)F)C1 ZINC000179624054 260058958 /nfs/dbraw/zinc/05/89/58/260058958.db2.gz OMIDTUQEFMZGCX-SECBINFHSA-N 1 2 279.252 3.739 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000179707410 260063736 /nfs/dbraw/zinc/06/37/36/260063736.db2.gz CESHLAQGFAPLDM-OAHLLOKOSA-N 1 2 294.320 3.780 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000179707410 260063737 /nfs/dbraw/zinc/06/37/37/260063737.db2.gz CESHLAQGFAPLDM-OAHLLOKOSA-N 1 2 294.320 3.780 20 0 CHADLO CO[C@]1(C)C[C@H](Nc2cc(C(F)(F)F)cc[nH+]2)C1(C)C ZINC000301548297 533381154 /nfs/dbraw/zinc/38/11/54/533381154.db2.gz LQGBYIQLJJSLJU-GXFFZTMASA-N 1 2 288.313 3.716 20 0 CHADLO COCCc1ccccc1NCCCc1ccc(C)[nH+]c1 ZINC000354921311 533324651 /nfs/dbraw/zinc/32/46/51/533324651.db2.gz LENWNBWEAUIWEI-UHFFFAOYSA-N 1 2 284.403 3.624 20 0 CHADLO C[C@@H](CC(=O)Nc1cccc2[nH+]ccn21)c1ccc(F)cc1 ZINC000460327620 533448124 /nfs/dbraw/zinc/44/81/24/533448124.db2.gz BENOPZNDBGPIIV-LBPRGKRZSA-N 1 2 297.333 3.606 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccccc2)no1 ZINC000334648110 533590992 /nfs/dbraw/zinc/59/09/92/533590992.db2.gz QEFZGSCJOHIYNA-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccccc2)no1 ZINC000334648110 533590996 /nfs/dbraw/zinc/59/09/96/533590996.db2.gz QEFZGSCJOHIYNA-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCSc2ccc(F)cc21)c1csnn1 ZINC000334835719 533546666 /nfs/dbraw/zinc/54/66/66/533546666.db2.gz IBXXHKPVWXQBLX-KCJUWKMLSA-N 1 2 295.408 3.565 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2F)on1 ZINC000334690156 533606996 /nfs/dbraw/zinc/60/69/96/533606996.db2.gz PEBJFPKMDKDSCZ-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2F)on1 ZINC000334690156 533607000 /nfs/dbraw/zinc/60/70/00/533607000.db2.gz PEBJFPKMDKDSCZ-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC[C@H]2CC(F)F)ccc1Cl ZINC000348784652 533619086 /nfs/dbraw/zinc/61/90/86/533619086.db2.gz OAZDPIQMZSKQMY-GFCCVEGCSA-N 1 2 289.753 3.504 20 0 CHADLO Cc1cc(C[N@H+]2CCOC[C@H]2CC(F)F)ccc1Cl ZINC000348784652 533619091 /nfs/dbraw/zinc/61/90/91/533619091.db2.gz OAZDPIQMZSKQMY-GFCCVEGCSA-N 1 2 289.753 3.504 20 0 CHADLO CCOC(=O)C[NH2+][C@@H](CCc1ccccc1)c1ccccc1 ZINC000341913480 130125638 /nfs/dbraw/zinc/12/56/38/130125638.db2.gz TUMYCKNIIXDHQS-SFHVURJKSA-N 1 2 297.398 3.513 20 0 CHADLO Cc1ccc(NCc2cn3c(cccc3C)[nH+]2)cc1 ZINC000008412064 170335280 /nfs/dbraw/zinc/33/52/80/170335280.db2.gz CCABBQDVHXQZRU-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1cc(NC(=O)CCc2nc3ccccc3s2)cc[nH+]1 ZINC000010163165 170399596 /nfs/dbraw/zinc/39/95/96/170399596.db2.gz OCIVODFCMWZHSZ-UHFFFAOYSA-N 1 2 297.383 3.571 20 0 CHADLO CCOc1ccc([NH2+]C2CCCCCC2)cc1CO ZINC000037901435 173830907 /nfs/dbraw/zinc/83/09/07/173830907.db2.gz IEVWJCHTBQBJCH-UHFFFAOYSA-N 1 2 263.381 3.712 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1ccccc1OC(F)F ZINC000040436080 174261455 /nfs/dbraw/zinc/26/14/55/174261455.db2.gz ZBNVKNHEYZRECX-SECBINFHSA-N 1 2 284.331 3.595 20 0 CHADLO Cc1nc(N[C@H](C)c2ccc(Br)cc2)cc[nH+]1 ZINC000054936262 175565383 /nfs/dbraw/zinc/56/53/83/175565383.db2.gz WMAUGVBOQGXIGQ-SECBINFHSA-N 1 2 292.180 3.721 20 0 CHADLO COc1c(C)c[nH+]c(CN2C[C@H](C)c3ccccc32)c1C ZINC000072093345 176314429 /nfs/dbraw/zinc/31/44/29/176314429.db2.gz LAMXATNRMVUNCC-ZDUSSCGKSA-N 1 2 282.387 3.831 20 0 CHADLO Fc1ccc(C[N@@H+]2CCCc3occc3C2)cc1F ZINC000344287727 225017634 /nfs/dbraw/zinc/01/76/34/225017634.db2.gz REOBCFQCYQRMFF-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO Fc1ccc(C[N@H+]2CCCc3occc3C2)cc1F ZINC000344287727 225017636 /nfs/dbraw/zinc/01/76/36/225017636.db2.gz REOBCFQCYQRMFF-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO NC(=O)C1CC[NH+](Cc2cc(Cl)sc2Cl)CC1 ZINC000334607006 225106114 /nfs/dbraw/zinc/10/61/14/225106114.db2.gz ZULVQFPSUWUURN-UHFFFAOYSA-N 1 2 293.219 3.802 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2cc(Cl)ccc2Cl)c2nccn21 ZINC000334745499 225270056 /nfs/dbraw/zinc/27/00/56/225270056.db2.gz HGZLHPULIKVJTR-TVQRCGJNSA-N 1 2 296.201 3.986 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@H]2CCC(F)(F)C2)c(C)[nH+]1 ZINC000334704861 225280437 /nfs/dbraw/zinc/28/04/37/225280437.db2.gz BBYDFYSTWLZARE-LBPRGKRZSA-N 1 2 297.349 3.564 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@@H](C)CC2(C)C)c(C)[nH+]1 ZINC000334711379 225284087 /nfs/dbraw/zinc/28/40/87/225284087.db2.gz BZBUJAOYQKIIGB-JTQLQIEISA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CCC[C@@H]1CC(C)C ZINC000334815003 225306034 /nfs/dbraw/zinc/30/60/34/225306034.db2.gz OJXVSOYSAXICBP-CQSZACIVSA-N 1 2 275.396 3.741 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2cc(F)c(F)c(F)c21)c1cscn1 ZINC000334907764 225361100 /nfs/dbraw/zinc/36/11/00/225361100.db2.gz WKLAGABOMQCANO-XCBNKYQSSA-N 1 2 298.333 3.899 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCC[C@H]1c1cccnc1 ZINC000346973837 226000667 /nfs/dbraw/zinc/00/06/67/226000667.db2.gz ILHHGHFZUGYNKS-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCC[C@H]1c1cccnc1 ZINC000346973837 226000670 /nfs/dbraw/zinc/00/06/70/226000670.db2.gz ILHHGHFZUGYNKS-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nccn1-c1ccccc1)c1ccco1 ZINC000347171312 226067337 /nfs/dbraw/zinc/06/73/37/226067337.db2.gz QEXSELROQNMNOT-ZIAGYGMSSA-N 1 2 281.359 3.877 20 0 CHADLO Cc1sc(CNc2cc[nH+]c(C(C)C)n2)nc1C(C)C ZINC000347179822 226070116 /nfs/dbraw/zinc/07/01/16/226070116.db2.gz INJFWOLNBJECNS-UHFFFAOYSA-N 1 2 290.436 3.522 20 0 CHADLO c1ccc([C@@H]2CCC[C@@H]2Nc2cc[nH+]c(C3CC3)n2)cc1 ZINC000347182438 226070415 /nfs/dbraw/zinc/07/04/15/226070415.db2.gz KYZCEAFIIJUPDW-HOTGVXAUSA-N 1 2 279.387 3.524 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2csc(Cc3ccccc3)n2)C1 ZINC000347333052 226117432 /nfs/dbraw/zinc/11/74/32/226117432.db2.gz AHUDDCIFERONER-MRXNPFEDSA-N 1 2 290.407 3.668 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2csc(Cc3ccccc3)n2)C1 ZINC000347333052 226117435 /nfs/dbraw/zinc/11/74/35/226117435.db2.gz AHUDDCIFERONER-MRXNPFEDSA-N 1 2 290.407 3.668 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@@H+]1CC[C@](C)(F)C1 ZINC000347363292 226135087 /nfs/dbraw/zinc/13/50/87/226135087.db2.gz XJEUWTFRUGYKNN-BONVTDFDSA-N 1 2 297.324 3.926 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@H+]1CC[C@](C)(F)C1 ZINC000347363292 226135090 /nfs/dbraw/zinc/13/50/90/226135090.db2.gz XJEUWTFRUGYKNN-BONVTDFDSA-N 1 2 297.324 3.926 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@@H](C)c2c(F)cccc2F)n1 ZINC000347374405 226137901 /nfs/dbraw/zinc/13/79/01/226137901.db2.gz ZDRSOVWAFXPTJQ-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@@H](C)c2c(F)cccc2F)n1 ZINC000347374405 226137907 /nfs/dbraw/zinc/13/79/07/226137907.db2.gz ZDRSOVWAFXPTJQ-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccccc2C(F)(F)F)C1 ZINC000347372862 226139027 /nfs/dbraw/zinc/13/90/27/226139027.db2.gz KMNJATWUHVEBKV-LBPRGKRZSA-N 1 2 261.262 3.639 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccccc2C(F)(F)F)C1 ZINC000347372862 226139031 /nfs/dbraw/zinc/13/90/31/226139031.db2.gz KMNJATWUHVEBKV-LBPRGKRZSA-N 1 2 261.262 3.639 20 0 CHADLO Cc1ccc(NCc2ccc3c(c2)COC(C)(C)O3)c(C)[nH+]1 ZINC000347409751 226164146 /nfs/dbraw/zinc/16/41/46/226164146.db2.gz ISNOIYDWJDSGLB-UHFFFAOYSA-N 1 2 298.386 3.956 20 0 CHADLO Cc1nc(C[N@H+](C)C/C=C/c2ccc(F)c(F)c2)cs1 ZINC000347663734 226214320 /nfs/dbraw/zinc/21/43/20/226214320.db2.gz CAHGZXMYGFUJDM-ONEGZZNKSA-N 1 2 294.370 3.875 20 0 CHADLO Cc1nc(C[N@@H+](C)C/C=C/c2ccc(F)c(F)c2)cs1 ZINC000347663734 226214324 /nfs/dbraw/zinc/21/43/24/226214324.db2.gz CAHGZXMYGFUJDM-ONEGZZNKSA-N 1 2 294.370 3.875 20 0 CHADLO Cc1ncsc1C[NH2+][C@H](C)c1csc(C(C)C)n1 ZINC000347838419 226382377 /nfs/dbraw/zinc/38/23/77/226382377.db2.gz TVOQQULZLSISDS-SECBINFHSA-N 1 2 281.450 3.882 20 0 CHADLO Cn1cc[nH+]c1CNc1ccc2oc(CC(C)(C)C)nc2c1 ZINC000347902584 226384234 /nfs/dbraw/zinc/38/42/34/226384234.db2.gz HVCLQJYCWRMPQT-UHFFFAOYSA-N 1 2 298.390 3.762 20 0 CHADLO COc1cc2c(cc1Cl)C[N@H+](Cc1ccc(C)o1)CC2 ZINC000354631096 227053316 /nfs/dbraw/zinc/05/33/16/227053316.db2.gz KLJASYJQBFMAIH-UHFFFAOYSA-N 1 2 291.778 3.808 20 0 CHADLO COc1cc2c(cc1Cl)C[N@@H+](Cc1ccc(C)o1)CC2 ZINC000354631096 227053321 /nfs/dbraw/zinc/05/33/21/227053321.db2.gz KLJASYJQBFMAIH-UHFFFAOYSA-N 1 2 291.778 3.808 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCC(C(C)(C)C)CC2)[nH+]1 ZINC000350705148 227059447 /nfs/dbraw/zinc/05/94/47/227059447.db2.gz ZDRNGAKNDPIDAH-UHFFFAOYSA-N 1 2 272.396 3.529 20 0 CHADLO Cc1ccc(C[S@@](=O)C2CCC(C)(C)CC2)c(C)[nH+]1 ZINC000336532749 227098254 /nfs/dbraw/zinc/09/82/54/227098254.db2.gz AZPGGZIKPSXSNF-LJQANCHMSA-N 1 2 279.449 3.916 20 0 CHADLO C[C@@H]1C[C@@H](C[N@@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000352618257 227117698 /nfs/dbraw/zinc/11/76/98/227117698.db2.gz NXIHKCKIPBXTPA-HIFRSBDPSA-N 1 2 283.362 3.569 20 0 CHADLO C[C@@H]1C[C@@H](C[N@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000352618257 227117699 /nfs/dbraw/zinc/11/76/99/227117699.db2.gz NXIHKCKIPBXTPA-HIFRSBDPSA-N 1 2 283.362 3.569 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCC=C(F)C2)cc1OCC ZINC000352782270 227217704 /nfs/dbraw/zinc/21/77/04/227217704.db2.gz CCCTVPVUVDLQQR-UHFFFAOYSA-N 1 2 279.355 3.543 20 0 CHADLO CCOc1ccc(C[N@H+]2CCC=C(F)C2)cc1OCC ZINC000352782270 227217712 /nfs/dbraw/zinc/21/77/12/227217712.db2.gz CCCTVPVUVDLQQR-UHFFFAOYSA-N 1 2 279.355 3.543 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+]Cc1nnc(C(C)C)o1 ZINC000355056463 227352259 /nfs/dbraw/zinc/35/22/59/227352259.db2.gz KKIFASQUBVUUEU-ZDUSSCGKSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1cc(N2C[C@H](C)C[C@@H]2c2cccc(F)c2)nc[nH+]1 ZINC000301447876 227541040 /nfs/dbraw/zinc/54/10/40/227541040.db2.gz KMMQZBFUILJQSO-IAQYHMDHSA-N 1 2 271.339 3.512 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@@H+]2[C@@H](C)c2ncc(C)o2)o1 ZINC000331462888 228104246 /nfs/dbraw/zinc/10/42/46/228104246.db2.gz ZGGGZJRIDOWMMV-JSGCOSHPSA-N 1 2 290.363 3.621 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@H+]2[C@@H](C)c2ncc(C)o2)o1 ZINC000331462888 228104247 /nfs/dbraw/zinc/10/42/47/228104247.db2.gz ZGGGZJRIDOWMMV-JSGCOSHPSA-N 1 2 290.363 3.621 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CC2CCCCC2)C2CCCCC2)n1 ZINC000330252285 228081732 /nfs/dbraw/zinc/08/17/32/228081732.db2.gz IHCYTCXXVKHTGH-HNNXBMFYSA-N 1 2 276.428 3.596 20 0 CHADLO C[C@H]1CC[C@H]([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000330261684 228083991 /nfs/dbraw/zinc/08/39/91/228083991.db2.gz PHLPDGLYWZACFP-QEJZJMRPSA-N 1 2 276.428 3.594 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH+]2Cc3ccccc3C2)o1 ZINC000353388885 228093496 /nfs/dbraw/zinc/09/34/96/228093496.db2.gz SJVDBWNBIOQYLU-LRDDRELGSA-N 1 2 253.345 3.919 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1cscc1C(F)(F)F ZINC000356107351 228122699 /nfs/dbraw/zinc/12/26/99/228122699.db2.gz GQVUHYUMAWHLQR-QMMMGPOBSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1cscc1C(F)(F)F ZINC000356107351 228122701 /nfs/dbraw/zinc/12/27/01/228122701.db2.gz GQVUHYUMAWHLQR-QMMMGPOBSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1cscc1C(F)(F)F ZINC000356107350 228123241 /nfs/dbraw/zinc/12/32/41/228123241.db2.gz GQVUHYUMAWHLQR-MRVPVSSYSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1cscc1C(F)(F)F ZINC000356107350 228123243 /nfs/dbraw/zinc/12/32/43/228123243.db2.gz GQVUHYUMAWHLQR-MRVPVSSYSA-N 1 2 281.368 3.704 20 0 CHADLO Cc1cc(-c2nc(Cc3ccc(C)[nH+]c3)no2)sc1C ZINC000356114661 228126873 /nfs/dbraw/zinc/12/68/73/228126873.db2.gz SCOWADMAPOEARG-UHFFFAOYSA-N 1 2 285.372 3.709 20 0 CHADLO Cc1ccc(Cc2noc(/C=C/c3ccc(F)cc3)n2)c[nH+]1 ZINC000356119938 228129152 /nfs/dbraw/zinc/12/91/52/228129152.db2.gz CLLDUXFZIYGOBW-RMKNXTFCSA-N 1 2 295.317 3.673 20 0 CHADLO Cc1cc(C)cc(-c2nc(Cc3ccc(C)[nH+]c3)no2)c1 ZINC000356128394 228133509 /nfs/dbraw/zinc/13/35/09/228133509.db2.gz PIAFEZFIVRYDES-UHFFFAOYSA-N 1 2 279.343 3.648 20 0 CHADLO Cc1ccoc1C[N@H+]1C[C@H](C)OC[C@H]1c1ccccc1 ZINC000353646179 228138660 /nfs/dbraw/zinc/13/86/60/228138660.db2.gz IKYHJSZQQQOIPZ-HOCLYGCPSA-N 1 2 271.360 3.550 20 0 CHADLO Cc1ccoc1C[N@@H+]1C[C@H](C)OC[C@H]1c1ccccc1 ZINC000353646179 228138661 /nfs/dbraw/zinc/13/86/61/228138661.db2.gz IKYHJSZQQQOIPZ-HOCLYGCPSA-N 1 2 271.360 3.550 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2ccc3c(c2)CCC3)o1 ZINC000353668781 228142439 /nfs/dbraw/zinc/14/24/39/228142439.db2.gz AROXYJYDQFLTSK-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2ccc3c(c2)CCC3)o1 ZINC000353668781 228142441 /nfs/dbraw/zinc/14/24/41/228142441.db2.gz AROXYJYDQFLTSK-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCc3ccsc3C2)cc1F ZINC000353684358 228144969 /nfs/dbraw/zinc/14/49/69/228144969.db2.gz RWDVMEICROZMHI-UHFFFAOYSA-N 1 2 283.318 3.724 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCc3ccsc3C2)cc1F ZINC000353684358 228144971 /nfs/dbraw/zinc/14/49/71/228144971.db2.gz RWDVMEICROZMHI-UHFFFAOYSA-N 1 2 283.318 3.724 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@@H](C)OC1CCCCCC1 ZINC000332044510 228145014 /nfs/dbraw/zinc/14/50/14/228145014.db2.gz WDKZSTPMTBFSKF-CQSZACIVSA-N 1 2 290.407 3.765 20 0 CHADLO Cc1noc(C)c1CC[N@@H+]1Cc2cccc(Cl)c2C1 ZINC000335959928 228169761 /nfs/dbraw/zinc/16/97/61/228169761.db2.gz MNIZRAVGZKOELA-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1noc(C)c1CC[N@H+]1Cc2cccc(Cl)c2C1 ZINC000335959928 228169762 /nfs/dbraw/zinc/16/97/62/228169762.db2.gz MNIZRAVGZKOELA-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1ccc(-c2csc(C[NH2+][C@@H](C)c3ccon3)n2)cc1 ZINC000351993437 228172794 /nfs/dbraw/zinc/17/27/94/228172794.db2.gz IZRDZCQGICJGIJ-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccc([C@@H](C)N[C@H]2CC[N@H+](Cc3ccccc3)C2)o1 ZINC000036960101 260057949 /nfs/dbraw/zinc/05/79/49/260057949.db2.gz GBVWCJICLYCCII-WBVHZDCISA-N 1 2 284.403 3.513 20 0 CHADLO Cc1ccc([C@@H](C)N[C@H]2CC[N@@H+](Cc3ccccc3)C2)o1 ZINC000036960101 260057950 /nfs/dbraw/zinc/05/79/50/260057950.db2.gz GBVWCJICLYCCII-WBVHZDCISA-N 1 2 284.403 3.513 20 0 CHADLO CC[C@@H](NC(=O)Nc1cc[nH+]c(C)c1)c1cccs1 ZINC000179802442 260072207 /nfs/dbraw/zinc/07/22/07/260072207.db2.gz BQUTZZGOYXBONT-GFCCVEGCSA-N 1 2 275.377 3.724 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2ccc(Cl)s2)cc[nH+]1 ZINC000176469339 260153561 /nfs/dbraw/zinc/15/35/61/260153561.db2.gz ZPNPXCQKDJMAIW-VIFPVBQESA-N 1 2 280.780 3.847 20 0 CHADLO C[C@H](Nc1cccc2ccccc21)c1[nH+]ccn1C ZINC000070443244 260157671 /nfs/dbraw/zinc/15/76/71/260157671.db2.gz CSFFLSGVKQWGAH-LBPRGKRZSA-N 1 2 251.333 3.746 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+][C@H](C)c1nccs1 ZINC000080266141 260179171 /nfs/dbraw/zinc/17/91/71/260179171.db2.gz KNGUDEVWLTYZFZ-GFCCVEGCSA-N 1 2 276.405 3.781 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cc(C)ccc2C)c1 ZINC000214082243 260228568 /nfs/dbraw/zinc/22/85/68/260228568.db2.gz CPNTWGKVKRTEKV-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO FC(F)Oc1cccc(NCc2cn3ccccc3[nH+]2)c1 ZINC000088627135 260233320 /nfs/dbraw/zinc/23/33/20/260233320.db2.gz FGIQYMZCGQRXGG-UHFFFAOYSA-N 1 2 289.285 3.548 20 0 CHADLO Cc1[nH+]cccc1NCc1csc(-c2ccccn2)n1 ZINC000091496104 260266429 /nfs/dbraw/zinc/26/64/29/260266429.db2.gz GIUICNJLEROGGX-UHFFFAOYSA-N 1 2 282.372 3.521 20 0 CHADLO Cc1[nH+]cc(CNc2ccccc2F)n1-c1ccccc1 ZINC000092128693 260267845 /nfs/dbraw/zinc/26/78/45/260267845.db2.gz SCHZLMPPKZCZTI-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO CC(C)Oc1cccc(CNc2ccc(N(C)C)[nH+]c2)c1 ZINC000040640386 260340552 /nfs/dbraw/zinc/34/05/52/260340552.db2.gz NPSWWSSWPYEXTI-UHFFFAOYSA-N 1 2 285.391 3.547 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(F)cc2F)s1 ZINC000130469324 260397148 /nfs/dbraw/zinc/39/71/48/260397148.db2.gz AJDAMNMZGLXSSV-SECBINFHSA-N 1 2 282.359 3.835 20 0 CHADLO Cc1cccn2cc(CSc3cccc(O)c3)[nH+]c12 ZINC000130909351 260399912 /nfs/dbraw/zinc/39/99/12/260399912.db2.gz UAFUUWHWICAJGB-UHFFFAOYSA-N 1 2 270.357 3.641 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1nc(C2CCCC2)no1 ZINC000132455804 260499601 /nfs/dbraw/zinc/49/96/01/260499601.db2.gz NBKOHOPRBDSKPI-LLVKDONJSA-N 1 2 291.420 3.982 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1nc(C2CCCC2)no1 ZINC000132455804 260499602 /nfs/dbraw/zinc/49/96/02/260499602.db2.gz NBKOHOPRBDSKPI-LLVKDONJSA-N 1 2 291.420 3.982 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2CCc3cc(F)ccc32)c(C)[nH+]1 ZINC000152379987 261063541 /nfs/dbraw/zinc/06/35/41/261063541.db2.gz WVYAPBZOQLGXSO-INIZCTEOSA-N 1 2 299.349 3.647 20 0 CHADLO C[N@H+](Cc1ccnn1C1CCCC1)Cc1cccc(F)c1 ZINC000449001137 261066062 /nfs/dbraw/zinc/06/60/62/261066062.db2.gz BVIXOTZPPBQIJD-UHFFFAOYSA-N 1 2 287.382 3.769 20 0 CHADLO C[N@@H+](Cc1ccnn1C1CCCC1)Cc1cccc(F)c1 ZINC000449001137 261066064 /nfs/dbraw/zinc/06/60/64/261066064.db2.gz BVIXOTZPPBQIJD-UHFFFAOYSA-N 1 2 287.382 3.769 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccc(F)cc1F)c1nccs1 ZINC000152639761 261066598 /nfs/dbraw/zinc/06/65/98/261066598.db2.gz DNISGLDFJIEMOK-CQSZACIVSA-N 1 2 282.359 3.836 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccc(F)cc1F)c1nccs1 ZINC000152639636 261066682 /nfs/dbraw/zinc/06/66/82/261066682.db2.gz DNISGLDFJIEMOK-AWEZNQCLSA-N 1 2 282.359 3.836 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000153373229 261077707 /nfs/dbraw/zinc/07/77/07/261077707.db2.gz XDCQGBWBGMLPIW-PWSUYJOCSA-N 1 2 292.452 3.815 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@@H](C(F)(F)F)[C@@H]1C ZINC000360702916 261094729 /nfs/dbraw/zinc/09/47/29/261094729.db2.gz LCBLGOOAJZESSW-NWDGAFQWSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@@H](C(F)(F)F)[C@@H]1C ZINC000360702916 261094730 /nfs/dbraw/zinc/09/47/30/261094730.db2.gz LCBLGOOAJZESSW-NWDGAFQWSA-N 1 2 289.345 3.627 20 0 CHADLO CCOCCC[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000444698033 261106818 /nfs/dbraw/zinc/10/68/18/261106818.db2.gz LNQMXWQLSQHJQG-XJKSGUPXSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCC[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000444698033 261106820 /nfs/dbraw/zinc/10/68/20/261106820.db2.gz LNQMXWQLSQHJQG-XJKSGUPXSA-N 1 2 297.826 3.528 20 0 CHADLO CCCOc1ccc(NCc2[nH+]ccn2C(C)C)cc1 ZINC000155797304 261108035 /nfs/dbraw/zinc/10/80/35/261108035.db2.gz WLCNNEFEMVENCA-UHFFFAOYSA-N 1 2 273.380 3.865 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC23CCC3)s1 ZINC000448339361 261119343 /nfs/dbraw/zinc/11/93/43/261119343.db2.gz MVLPMSIBELEYGG-UHFFFAOYSA-N 1 2 250.411 3.569 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC23CCC3)s1 ZINC000448339361 261119345 /nfs/dbraw/zinc/11/93/45/261119345.db2.gz MVLPMSIBELEYGG-UHFFFAOYSA-N 1 2 250.411 3.569 20 0 CHADLO CC(C)(C)[C@@H]1C[N@H+](Cc2cc(Cl)cs2)CCO1 ZINC000448374478 261133176 /nfs/dbraw/zinc/13/31/76/261133176.db2.gz LBIQVDKHJXNMNE-LBPRGKRZSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)(C)[C@@H]1C[N@@H+](Cc2cc(Cl)cs2)CCO1 ZINC000448374478 261133177 /nfs/dbraw/zinc/13/31/77/261133177.db2.gz LBIQVDKHJXNMNE-LBPRGKRZSA-N 1 2 273.829 3.648 20 0 CHADLO CCc1nnc(C[N@@H+]2CCCCC[C@@H]2c2ccc(C)o2)o1 ZINC000158648370 261168818 /nfs/dbraw/zinc/16/88/18/261168818.db2.gz YPFKKKVLQZUVBA-CYBMUJFWSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1nnc(C[N@H+]2CCCCC[C@@H]2c2ccc(C)o2)o1 ZINC000158648370 261168819 /nfs/dbraw/zinc/16/88/19/261168819.db2.gz YPFKKKVLQZUVBA-CYBMUJFWSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1nc(C[N@@H+]2CCCCC[C@@H]2c2ccc(C)o2)no1 ZINC000158680401 261168925 /nfs/dbraw/zinc/16/89/25/261168925.db2.gz PWXGEFMBYNMDPJ-CYBMUJFWSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1nc(C[N@H+]2CCCCC[C@@H]2c2ccc(C)o2)no1 ZINC000158680401 261168926 /nfs/dbraw/zinc/16/89/26/261168926.db2.gz PWXGEFMBYNMDPJ-CYBMUJFWSA-N 1 2 289.379 3.651 20 0 CHADLO c1cc2cccc(CNc3cccc(-n4cc[nH+]c4)c3)c2[nH]1 ZINC000318474088 261211468 /nfs/dbraw/zinc/21/14/68/261211468.db2.gz JYWASKNXVSIFRL-UHFFFAOYSA-N 1 2 288.354 3.966 20 0 CHADLO Cc1ccc(NC(=O)Cc2c[nH]c3c2cccc3C)c(C)[nH+]1 ZINC000176198661 261269549 /nfs/dbraw/zinc/26/95/49/261269549.db2.gz XQLIIVKQSMKUCG-UHFFFAOYSA-N 1 2 293.370 3.669 20 0 CHADLO CCn1cc(C[N@H+](Cc2ccco2)Cc2ccccc2)cn1 ZINC000162205593 261273666 /nfs/dbraw/zinc/27/36/66/261273666.db2.gz NNJLRUACJPGUGP-UHFFFAOYSA-N 1 2 295.386 3.698 20 0 CHADLO CCn1cc(C[N@@H+](Cc2ccco2)Cc2ccccc2)cn1 ZINC000162205593 261273668 /nfs/dbraw/zinc/27/36/68/261273668.db2.gz NNJLRUACJPGUGP-UHFFFAOYSA-N 1 2 295.386 3.698 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2cncs2)cs1 ZINC000162324460 261277823 /nfs/dbraw/zinc/27/78/23/261277823.db2.gz BHGOSKGHDMHRTG-IUCAKERBSA-N 1 2 267.423 3.574 20 0 CHADLO CC(C)c1cc(N2C[C@@H](C)O[C@H](C)[C@H]2C)nc(C(C)C)[nH+]1 ZINC000445663130 261292771 /nfs/dbraw/zinc/29/27/71/261292771.db2.gz WWZUXXJYMYAWQE-MGPQQGTHSA-N 1 2 291.439 3.726 20 0 CHADLO CC(C)c1nc(C[NH2+][C@H]2CCc3c2cccc3O)cs1 ZINC000105486458 261310583 /nfs/dbraw/zinc/31/05/83/261310583.db2.gz UGFUDBKFOAOFAK-AWEZNQCLSA-N 1 2 288.416 3.749 20 0 CHADLO CCCCc1noc(C[N@@H+]2C[C@H](C)[C@@H]2c2ccccc2)n1 ZINC000177826952 261370360 /nfs/dbraw/zinc/37/03/60/261370360.db2.gz CRLIFMFUDKRVEP-SUMWQHHRSA-N 1 2 285.391 3.605 20 0 CHADLO CCCCc1noc(C[N@H+]2C[C@H](C)[C@@H]2c2ccccc2)n1 ZINC000177826952 261370362 /nfs/dbraw/zinc/37/03/62/261370362.db2.gz CRLIFMFUDKRVEP-SUMWQHHRSA-N 1 2 285.391 3.605 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cc3ccccc3o2)o1 ZINC000182645803 261454336 /nfs/dbraw/zinc/45/43/36/261454336.db2.gz LWEHBCRHSCLJDF-LLVKDONJSA-N 1 2 256.305 3.580 20 0 CHADLO CC(C)=CC[N@@H+](C)Cc1nc(C(F)(F)F)cs1 ZINC000174979791 261590661 /nfs/dbraw/zinc/59/06/61/261590661.db2.gz DKNMYPWOICLINH-UHFFFAOYSA-N 1 2 264.316 3.560 20 0 CHADLO CC(C)=CC[N@H+](C)Cc1nc(C(F)(F)F)cs1 ZINC000174979791 261590663 /nfs/dbraw/zinc/59/06/63/261590663.db2.gz DKNMYPWOICLINH-UHFFFAOYSA-N 1 2 264.316 3.560 20 0 CHADLO COc1cc(C)[nH+]c(COc2cccc3cccnc32)c1 ZINC000314274837 261603689 /nfs/dbraw/zinc/60/36/89/261603689.db2.gz YCYQWIMQNOMHLJ-UHFFFAOYSA-N 1 2 280.327 3.526 20 0 CHADLO C[C@H](C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1)C1CC1 ZINC000178491124 261745299 /nfs/dbraw/zinc/74/52/99/261745299.db2.gz HRNTYBHPYGMBDX-LBPRGKRZSA-N 1 2 282.343 3.859 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000179398817 261783964 /nfs/dbraw/zinc/78/39/64/261783964.db2.gz SEZFXBLPHCYQJD-CYBMUJFWSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000179398817 261783966 /nfs/dbraw/zinc/78/39/66/261783966.db2.gz SEZFXBLPHCYQJD-CYBMUJFWSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1nnc(C[NH2+][C@H](CC2CCCC2)c2ccccc2)o1 ZINC000181944221 261916490 /nfs/dbraw/zinc/91/64/90/261916490.db2.gz GTSKVRXUAMNHTH-MRXNPFEDSA-N 1 2 285.391 3.789 20 0 CHADLO C[C@H]([NH2+]c1ccc(OC(C)(C)C)cc1)[C@H]1CCOC1 ZINC000182013230 261919885 /nfs/dbraw/zinc/91/98/85/261919885.db2.gz DPMSPOUKQARDIZ-STQMWFEESA-N 1 2 263.381 3.701 20 0 CHADLO Fc1cc(F)cc(CNc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000118963839 262139234 /nfs/dbraw/zinc/13/92/34/262139234.db2.gz MITQSFZXMUKOKY-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO COC(=O)[C@@H]([NH2+]CCCCc1ccccc1)c1ccccc1 ZINC000319299685 262194048 /nfs/dbraw/zinc/19/40/48/262194048.db2.gz OMWSXTJKEATJKC-SFHVURJKSA-N 1 2 297.398 3.513 20 0 CHADLO CC[C@@H]1C[C@]1([NH2+]Cc1nc(C(C)C)no1)c1cccc(C)c1 ZINC000502580975 262222551 /nfs/dbraw/zinc/22/25/51/262222551.db2.gz KOECNICGQHMLEC-RDTXWAMCSA-N 1 2 299.418 3.916 20 0 CHADLO COc1cccc([C@H](C)[N@@H+]2CC[C@@H](C)C(F)(F)C2)c1 ZINC000494279720 262272543 /nfs/dbraw/zinc/27/25/43/262272543.db2.gz NMRFBVAHUJTREY-NEPJUHHUSA-N 1 2 269.335 3.733 20 0 CHADLO COc1cccc([C@H](C)[N@H+]2CC[C@@H](C)C(F)(F)C2)c1 ZINC000494279720 262272545 /nfs/dbraw/zinc/27/25/45/262272545.db2.gz NMRFBVAHUJTREY-NEPJUHHUSA-N 1 2 269.335 3.733 20 0 CHADLO CCc1ccc2occ(C(=O)Nc3cc(C)[nH+]cc3C)c2c1 ZINC000521415600 262318865 /nfs/dbraw/zinc/31/88/65/262318865.db2.gz QEOITSVGHROKHX-UHFFFAOYSA-N 1 2 294.354 3.681 20 0 CHADLO CCSc1cccc(CNc2cc[nH+]c3ccncc23)c1 ZINC000488717410 262381922 /nfs/dbraw/zinc/38/19/22/262381922.db2.gz ZPFHZLVYHMRWRH-UHFFFAOYSA-N 1 2 295.411 3.776 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(Cl)cc2Cl)cn1 ZINC000060393441 262392222 /nfs/dbraw/zinc/39/22/22/262392222.db2.gz SGVHWHQUWONRBK-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@@H](C)c2ccsc2)cs1 ZINC000269103982 262965728 /nfs/dbraw/zinc/96/57/28/262965728.db2.gz UTEZPZZQROXNKB-UWVGGRQHSA-N 1 2 282.434 3.763 20 0 CHADLO C[C@H]1[C@H](CO)CCC[N@@H+]1Cc1csc(Cl)c1Cl ZINC000414589508 263001884 /nfs/dbraw/zinc/00/18/84/263001884.db2.gz WZGLDTHJURAABZ-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1[C@H](CO)CCC[N@H+]1Cc1csc(Cl)c1Cl ZINC000414589508 263001885 /nfs/dbraw/zinc/00/18/85/263001885.db2.gz WZGLDTHJURAABZ-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1[C@@H](CO)CCC[N@@H+]1Cc1csc(Cl)c1Cl ZINC000414589511 263001972 /nfs/dbraw/zinc/00/19/72/263001972.db2.gz WZGLDTHJURAABZ-RKDXNWHRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1[C@@H](CO)CCC[N@H+]1Cc1csc(Cl)c1Cl ZINC000414589511 263001973 /nfs/dbraw/zinc/00/19/73/263001973.db2.gz WZGLDTHJURAABZ-RKDXNWHRSA-N 1 2 294.247 3.648 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@H+]2CC(C)=C[C@@H](C)C2)cs1 ZINC000430265501 263010432 /nfs/dbraw/zinc/01/04/32/263010432.db2.gz VJPKKKSYIRRRFH-YPMHNXCESA-N 1 2 280.437 3.639 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@@H+]2CC(C)=C[C@@H](C)C2)cs1 ZINC000430265501 263010433 /nfs/dbraw/zinc/01/04/33/263010433.db2.gz VJPKKKSYIRRRFH-YPMHNXCESA-N 1 2 280.437 3.639 20 0 CHADLO CCN(Cc1[nH+]ccn1C(C)C)c1ccc2[nH]ccc2c1 ZINC000276329707 263036374 /nfs/dbraw/zinc/03/63/74/263036374.db2.gz FQTJDDGMJAWPBP-UHFFFAOYSA-N 1 2 282.391 3.972 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC000281044026 263066133 /nfs/dbraw/zinc/06/61/33/263066133.db2.gz HBPGZPGNRSZHCB-INIZCTEOSA-N 1 2 287.382 3.769 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC000281044026 263066134 /nfs/dbraw/zinc/06/61/34/263066134.db2.gz HBPGZPGNRSZHCB-INIZCTEOSA-N 1 2 287.382 3.769 20 0 CHADLO FC(F)C1CCC([NH2+]c2ccc(OC3COC3)cc2)CC1 ZINC000282475308 263076981 /nfs/dbraw/zinc/07/69/81/263076981.db2.gz WPWMYHVELFRAEX-UHFFFAOYSA-N 1 2 297.345 3.700 20 0 CHADLO CCn1c2ccccc2nc1[C@H](C)[NH2+][C@@H](C)c1cc(C)on1 ZINC000282695438 263078205 /nfs/dbraw/zinc/07/82/05/263078205.db2.gz KPXYSKLFKCRDRC-STQMWFEESA-N 1 2 298.390 3.764 20 0 CHADLO Cc1nc2cc(NCCCc3c[nH+]ccc3C)ccc2o1 ZINC000289308351 263108836 /nfs/dbraw/zinc/10/88/36/263108836.db2.gz RSOMBPBHAKVMIF-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2ccc(OC(C)C)cc2)no1 ZINC000289307137 263108863 /nfs/dbraw/zinc/10/88/63/263108863.db2.gz DNYRMNRNWDPNLB-NEPJUHHUSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2cc(Cl)ccc2Cl)ncn1 ZINC000292313992 263131012 /nfs/dbraw/zinc/13/10/12/263131012.db2.gz ANWCXRYUTGIVEN-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO Clc1csc(C[N@@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000293864548 263151318 /nfs/dbraw/zinc/15/13/18/263151318.db2.gz CDTBLQPCRGBGFV-ZIAGYGMSSA-N 1 2 283.824 3.545 20 0 CHADLO Clc1csc(C[N@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000293864548 263151320 /nfs/dbraw/zinc/15/13/20/263151320.db2.gz CDTBLQPCRGBGFV-ZIAGYGMSSA-N 1 2 283.824 3.545 20 0 CHADLO CCCC[C@H](CC)C[NH2+][C@@H](c1cccs1)c1nnc[nH]1 ZINC000293870446 263151574 /nfs/dbraw/zinc/15/15/74/263151574.db2.gz UWLDWXHGHWBHPF-JSGCOSHPSA-N 1 2 292.452 3.762 20 0 CHADLO C[C@H]([NH2+]Cc1csc(Cl)n1)c1ccc(F)c(F)c1 ZINC000296273736 263188893 /nfs/dbraw/zinc/18/88/93/263188893.db2.gz KNZDCGVPKNWLMQ-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1cc2c(s1)CCC2 ZINC000453293544 263222014 /nfs/dbraw/zinc/22/20/14/263222014.db2.gz ONZQOUUKGPKCIX-RKDXNWHRSA-N 1 2 259.365 3.931 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc2ccccc2n1 ZINC000453298998 263223448 /nfs/dbraw/zinc/22/34/48/263223448.db2.gz TXDHDUHHOMPRSC-QWRGUYRKSA-N 1 2 264.319 3.929 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccccc2F)C(C)C)no1 ZINC000299333778 263239451 /nfs/dbraw/zinc/23/94/51/263239451.db2.gz CQJFWCOUFDEYCJ-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccccc2F)C(C)C)no1 ZINC000299333778 263239452 /nfs/dbraw/zinc/23/94/52/263239452.db2.gz CQJFWCOUFDEYCJ-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2ccccc2Cl)o1 ZINC000453391341 263261191 /nfs/dbraw/zinc/26/11/91/263261191.db2.gz YTDMGVOUYWUHIZ-SNVBAGLBSA-N 1 2 264.756 3.741 20 0 CHADLO COCc1cnc(C[N@@H+]2CCC[C@H]2c2ccsc2)s1 ZINC000342677029 263462510 /nfs/dbraw/zinc/46/25/10/263462510.db2.gz PQXNVKZKCBJDIN-ZDUSSCGKSA-N 1 2 294.445 3.688 20 0 CHADLO COCc1cnc(C[N@H+]2CCC[C@H]2c2ccsc2)s1 ZINC000342677029 263462514 /nfs/dbraw/zinc/46/25/14/263462514.db2.gz PQXNVKZKCBJDIN-ZDUSSCGKSA-N 1 2 294.445 3.688 20 0 CHADLO Fc1c(Cl)cccc1CN1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000342683911 263463716 /nfs/dbraw/zinc/46/37/16/263463716.db2.gz FWMCFWSJCIZFIZ-LBPRGKRZSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1nc(C2CC2)cs1 ZINC000342688570 263463913 /nfs/dbraw/zinc/46/39/13/263463913.db2.gz BJRZDHNYXIXHCI-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1nc(C2CC2)cs1 ZINC000342688570 263463914 /nfs/dbraw/zinc/46/39/14/263463914.db2.gz BJRZDHNYXIXHCI-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO CO[C@@H]1CCC[C@@H](CC(=O)Nc2c(C)cc(C)[nH+]c2C)C1 ZINC000331154609 264141206 /nfs/dbraw/zinc/14/12/06/264141206.db2.gz IQGHGEAGXWJYHM-HUUCEWRRSA-N 1 2 290.407 3.541 20 0 CHADLO CC[C@H]1CCCC[C@H]1OCC(=O)Nc1c(C)cc[nH+]c1C ZINC000331244895 264178864 /nfs/dbraw/zinc/17/88/64/264178864.db2.gz REWRUXCTHQNBLV-LSDHHAIUSA-N 1 2 290.407 3.622 20 0 CHADLO CC[C@@H](F)C[NH2+][C@@H](C)c1nc(C2CCCCC2)no1 ZINC000331314153 264187258 /nfs/dbraw/zinc/18/72/58/264187258.db2.gz WTSVFRAVIJINNT-CMPLNLGQSA-N 1 2 269.364 3.516 20 0 CHADLO CCCn1c2ccccc2nc1C[NH+]1CC(CC(F)F)C1 ZINC000425365791 264211393 /nfs/dbraw/zinc/21/13/93/264211393.db2.gz MTACKCHNIOJVGB-UHFFFAOYSA-N 1 2 293.361 3.533 20 0 CHADLO C[C@]1(CNc2ccc3ccccc3[nH+]2)CCCS1 ZINC000126395231 264219024 /nfs/dbraw/zinc/21/90/24/264219024.db2.gz DJJGOQDTWQITQW-OAHLLOKOSA-N 1 2 258.390 3.932 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2cccc(F)c2F)n1 ZINC000070943470 264243043 /nfs/dbraw/zinc/24/30/43/264243043.db2.gz BRWAAXYSTNNACS-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO CCn1c2ccccc2nc1[C@H](C)[NH2+]Cc1ccco1 ZINC000127052857 264247003 /nfs/dbraw/zinc/24/70/03/264247003.db2.gz KSXBLXUKCNYBET-LBPRGKRZSA-N 1 2 269.348 3.500 20 0 CHADLO CCC[C@H]([NH2+]C1CC(c2ccc(Cl)cc2)C1)C(=O)OC ZINC000475085666 264269072 /nfs/dbraw/zinc/26/90/72/264269072.db2.gz FHEKPXLJXPRSGE-ZALBZXLWSA-N 1 2 295.810 3.517 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CCCc3sc(Cl)cc32)no1 ZINC000128173219 264282055 /nfs/dbraw/zinc/28/20/55/264282055.db2.gz PLBNDFMSBBRSJQ-NSHDSACASA-N 1 2 282.796 3.865 20 0 CHADLO FC(F)c1noc(C[N@H+](Cc2ccco2)C2CCCC2)n1 ZINC000425402240 264282362 /nfs/dbraw/zinc/28/23/62/264282362.db2.gz FYOOIWVVEVTDPC-UHFFFAOYSA-N 1 2 297.305 3.545 20 0 CHADLO FC(F)c1noc(C[N@@H+](Cc2ccco2)C2CCCC2)n1 ZINC000425402240 264282364 /nfs/dbraw/zinc/28/23/64/264282364.db2.gz FYOOIWVVEVTDPC-UHFFFAOYSA-N 1 2 297.305 3.545 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCCC[C@H]2C)c(C)[nH+]1 ZINC000425419333 264296173 /nfs/dbraw/zinc/29/61/73/264296173.db2.gz PVZBOLWFRWDBJK-YGRLFVJLSA-N 1 2 260.381 3.772 20 0 CHADLO O=C(CC1CCCCC1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000161808157 264299868 /nfs/dbraw/zinc/29/98/68/264299868.db2.gz CXKNVJZXPBOLPZ-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO CC[N@H+](Cc1cncc(C)c1)Cc1c(F)cccc1F ZINC000189859150 264335798 /nfs/dbraw/zinc/33/57/98/264335798.db2.gz KLNHGQVWSGHGTE-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1cncc(C)c1)Cc1c(F)cccc1F ZINC000189859150 264335799 /nfs/dbraw/zinc/33/57/99/264335799.db2.gz KLNHGQVWSGHGTE-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO Cc1ccc(C2CC2)c(C(=O)NCc2cc(C)[nH+]c(C)c2)c1 ZINC000424890088 264340126 /nfs/dbraw/zinc/34/01/26/264340126.db2.gz JWUVKFRZKIBYIC-UHFFFAOYSA-N 1 2 294.398 3.814 20 0 CHADLO CCCc1nc(C[N@H+](CC)Cc2c[nH]c3ccccc23)no1 ZINC000190461020 264362671 /nfs/dbraw/zinc/36/26/71/264362671.db2.gz JPJUBMHWLBAFBA-UHFFFAOYSA-N 1 2 298.390 3.526 20 0 CHADLO CCCc1nc(C[N@@H+](CC)Cc2c[nH]c3ccccc23)no1 ZINC000190461020 264362673 /nfs/dbraw/zinc/36/26/73/264362673.db2.gz JPJUBMHWLBAFBA-UHFFFAOYSA-N 1 2 298.390 3.526 20 0 CHADLO CCCC[C@H](CC)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000345965120 265103749 /nfs/dbraw/zinc/10/37/49/265103749.db2.gz RCDWHLVCGBVVGU-OWCLPIDISA-N 1 2 291.439 3.509 20 0 CHADLO CC(C)c1noc(C[N@H+](C)[C@@H]2CCCc3ccccc32)n1 ZINC000514530066 265174057 /nfs/dbraw/zinc/17/40/57/265174057.db2.gz OVBMDAFTBFOCNV-OAHLLOKOSA-N 1 2 285.391 3.702 20 0 CHADLO CC(C)c1noc(C[N@@H+](C)[C@@H]2CCCc3ccccc32)n1 ZINC000514530066 265174058 /nfs/dbraw/zinc/17/40/58/265174058.db2.gz OVBMDAFTBFOCNV-OAHLLOKOSA-N 1 2 285.391 3.702 20 0 CHADLO FC(F)(F)c1cccnc1C[N@@H+]1CCc2sccc2C1 ZINC000349970030 265218039 /nfs/dbraw/zinc/21/80/39/265218039.db2.gz HEQCYABRHNNWEK-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1cccnc1C[N@H+]1CCc2sccc2C1 ZINC000349970030 265218042 /nfs/dbraw/zinc/21/80/42/265218042.db2.gz HEQCYABRHNNWEK-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N3C[C@@H](C)CCC[C@H]3C)cc2n1C ZINC000356193540 266068649 /nfs/dbraw/zinc/06/86/49/266068649.db2.gz POQVHMZTSOILNF-QWHCGFSZSA-N 1 2 299.418 3.532 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1cccnc1C)CC3 ZINC000367229161 266096478 /nfs/dbraw/zinc/09/64/78/266096478.db2.gz PDLIZLSGZRAGFJ-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1cccnc1C)CC3 ZINC000367229161 266096481 /nfs/dbraw/zinc/09/64/81/266096481.db2.gz PDLIZLSGZRAGFJ-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO COc1cc(F)c(F)cc1NCCCc1c[nH+]ccc1C ZINC000356364631 266102932 /nfs/dbraw/zinc/10/29/32/266102932.db2.gz MCVIGNWKIKGARF-UHFFFAOYSA-N 1 2 292.329 3.722 20 0 CHADLO CCC(CC)c1noc(CCc2[nH]c3ccccc3[nH+]2)n1 ZINC000356541742 266134133 /nfs/dbraw/zinc/13/41/33/266134133.db2.gz NJYUHZAUFWMHBD-UHFFFAOYSA-N 1 2 284.363 3.635 20 0 CHADLO C[C@@H]1CCCC[C@H]1c1noc(C[N@H+](C)Cc2ccccc2)n1 ZINC000356589653 266143772 /nfs/dbraw/zinc/14/37/72/266143772.db2.gz BGSXLDUHIFPFFI-GDBMZVCRSA-N 1 2 299.418 3.995 20 0 CHADLO C[C@@H]1CCCC[C@H]1c1noc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000356589653 266143776 /nfs/dbraw/zinc/14/37/76/266143776.db2.gz BGSXLDUHIFPFFI-GDBMZVCRSA-N 1 2 299.418 3.995 20 0 CHADLO C(=C/C1CCC1)\c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000356643394 266153381 /nfs/dbraw/zinc/15/33/81/266153381.db2.gz LIRRANYZBJLVAY-BQYQJAHWSA-N 1 2 292.342 3.736 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+](C)Cc1ccoc1 ZINC000356746370 266168484 /nfs/dbraw/zinc/16/84/84/266168484.db2.gz YUCNZOKQXGEIHM-NSHDSACASA-N 1 2 262.353 3.758 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+](C)Cc1ccoc1 ZINC000356746370 266168487 /nfs/dbraw/zinc/16/84/87/266168487.db2.gz YUCNZOKQXGEIHM-NSHDSACASA-N 1 2 262.353 3.758 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C)s1)c1ccc(Cl)s1 ZINC000356789682 266175305 /nfs/dbraw/zinc/17/53/05/266175305.db2.gz RPNXFWGQJZZLBP-MRVPVSSYSA-N 1 2 287.841 3.802 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Oc3ccccc3)o2)C[C@H](C)O1 ZINC000356935223 266214043 /nfs/dbraw/zinc/21/40/43/266214043.db2.gz ZBMHACAXNQXTNK-OKILXGFUSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Oc3ccccc3)o2)C[C@H](C)O1 ZINC000356935223 266214046 /nfs/dbraw/zinc/21/40/46/266214046.db2.gz ZBMHACAXNQXTNK-OKILXGFUSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Oc3ccccc3)o2)[C@H](C)CO1 ZINC000356996170 266226989 /nfs/dbraw/zinc/22/69/89/266226989.db2.gz QAUPMUNCGSSKCQ-ZIAGYGMSSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Oc3ccccc3)o2)[C@H](C)CO1 ZINC000356996170 266226993 /nfs/dbraw/zinc/22/69/93/266226993.db2.gz QAUPMUNCGSSKCQ-ZIAGYGMSSA-N 1 2 287.359 3.681 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CCC[C@@H](C)C3)cc2[nH+]1 ZINC000357024471 266234934 /nfs/dbraw/zinc/23/49/34/266234934.db2.gz DUMPORWUVYKXLW-ZYHUDNBSSA-N 1 2 271.364 3.636 20 0 CHADLO COCc1cc(N2CCC[C@@H](C)C2)c2cc(F)ccc2[nH+]1 ZINC000357105940 266250146 /nfs/dbraw/zinc/25/01/46/266250146.db2.gz OZHDKSSFHIYTGD-GFCCVEGCSA-N 1 2 288.366 3.757 20 0 CHADLO C[C@@H](CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1)C1CCCCC1 ZINC000357130203 266252407 /nfs/dbraw/zinc/25/24/07/266252407.db2.gz PWFJOIKXKOSKSH-DZGCQCFKSA-N 1 2 289.423 3.680 20 0 CHADLO CC[C@@H](O)CCCNc1cc[nH+]c2c(Cl)cccc12 ZINC000357507794 266312354 /nfs/dbraw/zinc/31/23/54/266312354.db2.gz YSPTWMDEGREYHO-LLVKDONJSA-N 1 2 278.783 3.851 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2c(F)cccc2Br)C1 ZINC000357611088 266335362 /nfs/dbraw/zinc/33/53/62/266335362.db2.gz PHRORUJOAYYEBX-LBPRGKRZSA-N 1 2 290.151 3.522 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2c(F)cccc2Br)C1 ZINC000357611088 266335365 /nfs/dbraw/zinc/33/53/65/266335365.db2.gz PHRORUJOAYYEBX-LBPRGKRZSA-N 1 2 290.151 3.522 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CC23CCCCC3)c(C)[nH+]1 ZINC000357661298 266342277 /nfs/dbraw/zinc/34/22/77/266342277.db2.gz RQGCFNZNPFKMJX-CYBMUJFWSA-N 1 2 258.365 3.607 20 0 CHADLO Cc1ccc(Cc2nc([C@@H](C)c3ccccc3C)no2)c[nH+]1 ZINC000357706229 266349695 /nfs/dbraw/zinc/34/96/95/266349695.db2.gz HTDAQRILPUKIKU-AWEZNQCLSA-N 1 2 293.370 3.824 20 0 CHADLO c1cc2[nH+]ccc(NCc3ccc(C4CC4)cc3)c2cn1 ZINC000357754350 266355919 /nfs/dbraw/zinc/35/59/19/266355919.db2.gz MKOUAPCBWBIJAR-UHFFFAOYSA-N 1 2 275.355 3.541 20 0 CHADLO Cc1ccc(C[S@](=O)[C@H](C)c2ccc(F)cc2)c(C)[nH+]1 ZINC000357785176 266361625 /nfs/dbraw/zinc/36/16/25/266361625.db2.gz GNEYTWBBKHTXKU-XCLFUZPHSA-N 1 2 291.391 3.847 20 0 CHADLO COc1cccc(CNc2c[nH+]c3c(c2)CCCC3)c1OC ZINC000359819969 266642403 /nfs/dbraw/zinc/64/24/03/266642403.db2.gz LVIZVJCSMZZJNK-UHFFFAOYSA-N 1 2 298.386 3.590 20 0 CHADLO CCc1cccc(C)c1NCc1[nH+]ccn1CC(F)(F)F ZINC000360333264 266706980 /nfs/dbraw/zinc/70/69/80/266706980.db2.gz LRGSARSHSCHGTO-UHFFFAOYSA-N 1 2 297.324 3.928 20 0 CHADLO CC[C@H](C)CSCc1[nH+]ccn1CC(F)(F)F ZINC000362414325 266982906 /nfs/dbraw/zinc/98/29/06/266982906.db2.gz ACKMLOVRIBJWAC-VIFPVBQESA-N 1 2 266.332 3.725 20 0 CHADLO Cc1cccc2[nH+]c(CSC[C@H]3CCO[C@@H](C)C3)cn21 ZINC000362458807 266990707 /nfs/dbraw/zinc/99/07/07/266990707.db2.gz UDVZRNRSSIKGKS-KBPBESRZSA-N 1 2 290.432 3.691 20 0 CHADLO Cc1cnc(CNc2[nH+]cccc2OCc2ccccc2)o1 ZINC000362639840 267028049 /nfs/dbraw/zinc/02/80/49/267028049.db2.gz YRBSNDRKSBNEKH-UHFFFAOYSA-N 1 2 295.342 3.569 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2coc3ccccc23)[nH]1 ZINC000362987885 267070471 /nfs/dbraw/zinc/07/04/71/267070471.db2.gz VZXQZSUNUXSCNQ-RYUDHWBXSA-N 1 2 298.390 3.915 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1ccc2scnc2c1 ZINC000362996000 267072012 /nfs/dbraw/zinc/07/20/12/267072012.db2.gz GSMWCAISHBETTP-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO Cc1ccc(C(=O)N2CCCCC2)cc1Oc1cc[nH+]cc1 ZINC000115314179 267095151 /nfs/dbraw/zinc/09/51/51/267095151.db2.gz ICYMZFDOYXNSKV-UHFFFAOYSA-N 1 2 296.370 3.808 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)CN1c1cc[nH+]c(C2CC2)n1 ZINC000115520195 267098238 /nfs/dbraw/zinc/09/82/38/267098238.db2.gz ZYWWAUMROBFJRU-BBRMVZONSA-N 1 2 279.387 3.736 20 0 CHADLO CC(C)(C)CCCCC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000367839357 267121120 /nfs/dbraw/zinc/12/11/20/267121120.db2.gz ALAKTMXFJGEAHM-CYBMUJFWSA-N 1 2 277.412 3.680 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1F)c1nccn1-c1ccccc1 ZINC000367882175 267124019 /nfs/dbraw/zinc/12/40/19/267124019.db2.gz AXTYMQJZNPFWIW-CQSZACIVSA-N 1 2 295.361 3.862 20 0 CHADLO Cc1cc(NC(=O)Cc2coc3c2ccc(C)c3C)cc[nH+]1 ZINC000017820868 267161044 /nfs/dbraw/zinc/16/10/44/267161044.db2.gz QDCUWFMGABSWBG-UHFFFAOYSA-N 1 2 294.354 3.934 20 0 CHADLO Clc1scc(C[N@@H+]2CCC23CCOCC3)c1Cl ZINC000368475723 267176290 /nfs/dbraw/zinc/17/62/90/267176290.db2.gz VVQVOOLNJRNFRB-UHFFFAOYSA-N 1 2 292.231 3.810 20 0 CHADLO Clc1scc(C[N@H+]2CCC23CCOCC3)c1Cl ZINC000368475723 267176292 /nfs/dbraw/zinc/17/62/92/267176292.db2.gz VVQVOOLNJRNFRB-UHFFFAOYSA-N 1 2 292.231 3.810 20 0 CHADLO CC(C)(C)CCCCC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000368598140 267189872 /nfs/dbraw/zinc/18/98/72/267189872.db2.gz MQOZDOBMOOXHDR-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CC(C)(C)c1cnc(CN2CCC[C@@H]2c2[nH]cc[nH+]2)s1 ZINC000369214051 267226555 /nfs/dbraw/zinc/22/65/55/267226555.db2.gz JIHACSSKVOZJFR-LLVKDONJSA-N 1 2 290.436 3.501 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@@H](CC(C)C)C2)cc[nH+]1 ZINC000119195059 267306153 /nfs/dbraw/zinc/30/61/53/267306153.db2.gz XJJHARMKXOFKSR-AWEZNQCLSA-N 1 2 275.396 3.680 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)CCC2CC2)[nH+]1 ZINC000370742620 267344092 /nfs/dbraw/zinc/34/40/92/267344092.db2.gz XOIPUVPDDYJNAN-UHFFFAOYSA-N 1 2 269.348 3.514 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)CC(C)(C)O1 ZINC000119509010 267344158 /nfs/dbraw/zinc/34/41/58/267344158.db2.gz VRSRQPXDLGGISV-GFCCVEGCSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)CC(C)(C)O1 ZINC000119509010 267344161 /nfs/dbraw/zinc/34/41/61/267344161.db2.gz VRSRQPXDLGGISV-GFCCVEGCSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(C(F)(F)F)s2)[C@@H](C)[C@H]1C ZINC000418127353 267355517 /nfs/dbraw/zinc/35/55/17/267355517.db2.gz QVKNMWIMTXGRCI-VGMNWLOBSA-N 1 2 278.343 3.638 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(C(F)(F)F)s2)[C@@H](C)[C@H]1C ZINC000418127353 267355519 /nfs/dbraw/zinc/35/55/19/267355519.db2.gz QVKNMWIMTXGRCI-VGMNWLOBSA-N 1 2 278.343 3.638 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)CC(C)(C)C)[nH+]1 ZINC000371247434 267376864 /nfs/dbraw/zinc/37/68/64/267376864.db2.gz NBQOIVRSHWKBEO-UHFFFAOYSA-N 1 2 271.364 3.760 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)Cc2ccccc2)[nH+]1 ZINC000371253564 267378325 /nfs/dbraw/zinc/37/83/25/267378325.db2.gz LQZPJPZKQCZPSW-UHFFFAOYSA-N 1 2 291.354 3.566 20 0 CHADLO Clc1ccc(/C=C/C[N@@H+]2CCC[C@H]2c2ncon2)cc1 ZINC000371371510 267396168 /nfs/dbraw/zinc/39/61/68/267396168.db2.gz CCQQZPZWPVULCI-YOUVMVQBSA-N 1 2 289.766 3.573 20 0 CHADLO Clc1ccc(/C=C/C[N@H+]2CCC[C@H]2c2ncon2)cc1 ZINC000371371510 267396171 /nfs/dbraw/zinc/39/61/71/267396171.db2.gz CCQQZPZWPVULCI-YOUVMVQBSA-N 1 2 289.766 3.573 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cnc3ccccc3c2)no1 ZINC000371397049 267399752 /nfs/dbraw/zinc/39/97/52/267399752.db2.gz XFELBHVASDEANQ-GOSISDBHSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cnc3ccccc3c2)no1 ZINC000371397049 267399756 /nfs/dbraw/zinc/39/97/56/267399756.db2.gz XFELBHVASDEANQ-GOSISDBHSA-N 1 2 293.370 3.868 20 0 CHADLO CCCC1CCC([NH2+][C@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000372921810 267615709 /nfs/dbraw/zinc/61/57/09/267615709.db2.gz KFPSHEMYHPPHQT-PREGVCBESA-N 1 2 298.434 3.843 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](c2ccccc2)[C@H]2CCCO2)no1 ZINC000377086476 268046481 /nfs/dbraw/zinc/04/64/81/268046481.db2.gz LYDWKXRPQRNJBY-XKQJLSEDSA-N 1 2 286.375 3.554 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1N[C@H]1CCC[C@H]2C[C@H]21 ZINC000378880015 327763001 /nfs/dbraw/zinc/76/30/01/327763001.db2.gz FJMWEZNONCAKOX-IMJJTQAJSA-N 1 2 271.408 3.672 20 0 CHADLO CC(C)([NH2+]Cc1nccs1)c1cccc(Cl)c1F ZINC000185847173 327847777 /nfs/dbraw/zinc/84/77/77/327847777.db2.gz CNTZSDMLHXZGHD-UHFFFAOYSA-N 1 2 284.787 3.961 20 0 CHADLO Cc1cc(N2CCCC[C@H]2Cc2ccc(F)cc2)nc[nH+]1 ZINC000531392780 327903004 /nfs/dbraw/zinc/90/30/04/327903004.db2.gz BHOPBMUGIZIMTM-INIZCTEOSA-N 1 2 285.366 3.526 20 0 CHADLO Cc1c[nH+]c(CCS[C@H](C)c2cnccn2)c(C)c1 ZINC000567882743 327930693 /nfs/dbraw/zinc/93/06/93/327930693.db2.gz BRENJRZVCXLSBR-CYBMUJFWSA-N 1 2 273.405 3.525 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@@H](C)c2nnc(C)s2)cc1 ZINC000274252475 327980321 /nfs/dbraw/zinc/98/03/21/327980321.db2.gz SLCIOZVQMDSABO-QWRGUYRKSA-N 1 2 291.420 3.657 20 0 CHADLO C[C@@H]1CCN(c2cc[nH+]c(C3CC3)n2)[C@@H]2CCCC[C@H]12 ZINC000531531450 328014949 /nfs/dbraw/zinc/01/49/49/328014949.db2.gz YBYURZZARCDAPZ-BPLDGKMQSA-N 1 2 271.408 3.759 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@H](C)[C@H]3CCCC[C@@H]32)[nH+]1 ZINC000531531360 328015069 /nfs/dbraw/zinc/01/50/69/328015069.db2.gz DTCAHSIOCWWFLL-LNSITVRQSA-N 1 2 284.407 3.671 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccsc2)nc2ccccc12 ZINC000531706683 328027138 /nfs/dbraw/zinc/02/71/38/328027138.db2.gz UMMMRBSRQURWTL-NSHDSACASA-N 1 2 283.400 3.851 20 0 CHADLO CN(C)c1[nH+]cccc1NC(=O)c1ccc2ccccc2c1 ZINC000047976162 328032478 /nfs/dbraw/zinc/03/24/78/328032478.db2.gz UGBWMIZTBYFRQR-UHFFFAOYSA-N 1 2 291.354 3.553 20 0 CHADLO Cc1cccc([C@H]2CCCN2c2[nH+]c3ccccc3n2C)n1 ZINC000534244693 328041627 /nfs/dbraw/zinc/04/16/27/328041627.db2.gz MQEVWYDMHCIJCG-QGZVFWFLSA-N 1 2 292.386 3.618 20 0 CHADLO Cc1cc(NC(=O)[C@@H](CC(C)C)c2ccccc2)c(C)c[nH+]1 ZINC000534299770 328043977 /nfs/dbraw/zinc/04/39/77/328043977.db2.gz CUAKKRWMJIJBIT-KRWDZBQOSA-N 1 2 296.414 3.889 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)c2cccc(C(C)(C)C)c2)c2[nH+]ccn21 ZINC000563364862 328059294 /nfs/dbraw/zinc/05/92/94/328059294.db2.gz XANWFQMLMRXJJK-SWLSCSKDSA-N 1 2 297.402 3.616 20 0 CHADLO c1nc(C2CC2)sc1C[N@@H+]1CCOC2(CCCCC2)C1 ZINC000571112415 328086600 /nfs/dbraw/zinc/08/66/00/328086600.db2.gz YIAJTZIKTQYQSO-UHFFFAOYSA-N 1 2 292.448 3.556 20 0 CHADLO c1nc(C2CC2)sc1C[N@H+]1CCOC2(CCCCC2)C1 ZINC000571112415 328086601 /nfs/dbraw/zinc/08/66/01/328086601.db2.gz YIAJTZIKTQYQSO-UHFFFAOYSA-N 1 2 292.448 3.556 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1cccc(OCCF)c1 ZINC000571207462 328091638 /nfs/dbraw/zinc/09/16/38/328091638.db2.gz SWOHUZZMUHCPJO-UHFFFAOYSA-N 1 2 288.366 3.783 20 0 CHADLO Cc1c(F)cccc1-c1ccc(CNc2c[nH]c[nH+]2)o1 ZINC000571576506 328134737 /nfs/dbraw/zinc/13/47/37/328134737.db2.gz BVJTYGGLQUSPDS-UHFFFAOYSA-N 1 2 271.295 3.729 20 0 CHADLO CCc1nc2sccc2c(NCCc2ccc(C)c[nH+]2)n1 ZINC000413352459 328143683 /nfs/dbraw/zinc/14/36/83/328143683.db2.gz NIICKDBETLFXQV-UHFFFAOYSA-N 1 2 298.415 3.612 20 0 CHADLO COc1cccc2c(N[C@H]3CS[C@H](C)C3)cc[nH+]c12 ZINC000413516495 328147712 /nfs/dbraw/zinc/14/77/12/328147712.db2.gz LKWJZRZUFRZKIK-GHMZBOCLSA-N 1 2 274.389 3.549 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2ccn(C(C)C)n2)c(Cl)c1 ZINC000571643564 328183791 /nfs/dbraw/zinc/18/37/91/328183791.db2.gz WHYBQRKXOGRFRB-UHFFFAOYSA-N 1 2 295.789 3.855 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@@H](C)c2c(F)cccc2F)n1 ZINC000269017016 328188500 /nfs/dbraw/zinc/18/85/00/328188500.db2.gz ONBVBEJHUZQJEZ-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@H](C)c2c(F)cccc2F)n1 ZINC000269017014 328188575 /nfs/dbraw/zinc/18/85/75/328188575.db2.gz ONBVBEJHUZQJEZ-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO CCc1noc(CC)c1C[NH2+][C@H](C)c1cccnc1Cl ZINC000269093895 328189558 /nfs/dbraw/zinc/18/95/58/328189558.db2.gz RFAYBCKXBUQDGB-SNVBAGLBSA-N 1 2 293.798 3.699 20 0 CHADLO c1cc(C2CC2)ccc1C[NH2+]Cc1nnc(C2CC2)s1 ZINC000414549538 328193664 /nfs/dbraw/zinc/19/36/64/328193664.db2.gz AWDRVNOVFNGJDU-UHFFFAOYSA-N 1 2 285.416 3.583 20 0 CHADLO CCCc1cccc(C[NH2+]Cc2nnc(C3CC3)s2)c1 ZINC000414556642 328194330 /nfs/dbraw/zinc/19/43/30/328194330.db2.gz OPOJPOOMJXMBJG-UHFFFAOYSA-N 1 2 287.432 3.658 20 0 CHADLO FC1=CCC[N@H+](Cc2ccccc2OCc2cccnc2)C1 ZINC000272853066 328200898 /nfs/dbraw/zinc/20/08/98/328200898.db2.gz STGXNWAPWXDLSH-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccccc2OCc2cccnc2)C1 ZINC000272853066 328200900 /nfs/dbraw/zinc/20/09/00/328200900.db2.gz STGXNWAPWXDLSH-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO CCc1cnc(C[N@@H+](C)CCSc2ccccc2)s1 ZINC000175502924 329169170 /nfs/dbraw/zinc/16/91/70/329169170.db2.gz CBRTUBHPSKJZJA-UHFFFAOYSA-N 1 2 292.473 3.930 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ncccc1F ZINC000528822883 328213017 /nfs/dbraw/zinc/21/30/17/328213017.db2.gz CPZHMVYHBNRSPQ-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@H+]1Cc1ccnc(Cl)c1 ZINC000283631397 328244470 /nfs/dbraw/zinc/24/44/70/328244470.db2.gz ACUZHVZUJWJAKF-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1ccnc(Cl)c1 ZINC000283631397 328244473 /nfs/dbraw/zinc/24/44/73/328244473.db2.gz ACUZHVZUJWJAKF-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO COc1ccccc1[C@H](C)N[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000366701264 328282641 /nfs/dbraw/zinc/28/26/41/328282641.db2.gz YONDLPXIXAHWHG-KSSFIOAISA-N 1 2 296.414 3.533 20 0 CHADLO COc1ccccc1[C@H](C)N[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000366701264 328282642 /nfs/dbraw/zinc/28/26/42/328282642.db2.gz YONDLPXIXAHWHG-KSSFIOAISA-N 1 2 296.414 3.533 20 0 CHADLO Cc1sccc1C[N@@H+]1CCO[C@@H](c2ccsc2)C1 ZINC000295064602 328284754 /nfs/dbraw/zinc/28/47/54/328284754.db2.gz AGOBBIKEYMUMPC-CQSZACIVSA-N 1 2 279.430 3.692 20 0 CHADLO Cc1sccc1C[N@H+]1CCO[C@@H](c2ccsc2)C1 ZINC000295064602 328284755 /nfs/dbraw/zinc/28/47/55/328284755.db2.gz AGOBBIKEYMUMPC-CQSZACIVSA-N 1 2 279.430 3.692 20 0 CHADLO Fc1cc(F)c(C[NH2+][C@H]2CCCc3occc32)c(F)c1 ZINC000340496728 328285526 /nfs/dbraw/zinc/28/55/26/328285526.db2.gz LJVZMDVWVPLFPA-AWEZNQCLSA-N 1 2 281.277 3.864 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ncc(C(F)(F)F)cn2)c(C)o1 ZINC000296627285 328291481 /nfs/dbraw/zinc/29/14/81/328291481.db2.gz XEDZZSANPSLLCH-SECBINFHSA-N 1 2 299.296 3.556 20 0 CHADLO COc1cc(C)[nH+]c(CN2C[C@H](C)[C@H]2c2ccccc2)c1 ZINC000534551439 328305941 /nfs/dbraw/zinc/30/59/41/328305941.db2.gz IFRWYRJBSLNSRN-UGSOOPFHSA-N 1 2 282.387 3.592 20 0 CHADLO Cn1cc[nH+]c1CNc1ccc2c(c1)C(C)(C)CC2(C)C ZINC000534646864 328329434 /nfs/dbraw/zinc/32/94/34/328329434.db2.gz GKWQVSMXESAGHQ-UHFFFAOYSA-N 1 2 283.419 3.991 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)[C@@H](C)SC)cc2[nH+]1 ZINC000049486869 328363844 /nfs/dbraw/zinc/36/38/44/328363844.db2.gz RLZGXBYACFSEMW-SNVBAGLBSA-N 1 2 291.420 3.596 20 0 CHADLO Cc1ccccc1COc1cccc(-n2cc[nH+]c2)c1 ZINC000303957800 328371427 /nfs/dbraw/zinc/37/14/27/328371427.db2.gz YCBUYQFNDWPQFZ-UHFFFAOYSA-N 1 2 264.328 3.760 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC[C@H]1c1cccs1 ZINC000534978520 328372976 /nfs/dbraw/zinc/37/29/76/328372976.db2.gz CQNFVIQXQCCTNR-AWEZNQCLSA-N 1 2 283.400 3.976 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CCC(C(F)F)CC2)cs1 ZINC000531981909 328375860 /nfs/dbraw/zinc/37/58/60/328375860.db2.gz PYNKKVIHAZFBDM-UHFFFAOYSA-N 1 2 288.407 3.918 20 0 CHADLO CCCCCc1ccc(NCc2[nH+]ccn2C)cc1 ZINC000049856674 328380432 /nfs/dbraw/zinc/38/04/32/328380432.db2.gz BRXRUJIYGLNOND-UHFFFAOYSA-N 1 2 257.381 3.765 20 0 CHADLO FC(F)OCCCNc1cc[nH+]c2c(Cl)cccc12 ZINC000343154651 328423247 /nfs/dbraw/zinc/42/32/47/328423247.db2.gz RKDAKSNCYOTDQM-UHFFFAOYSA-N 1 2 286.709 3.929 20 0 CHADLO Clc1ccc(-c2ccc(CNc3c[nH]c[nH+]3)o2)cc1 ZINC000563790939 328518184 /nfs/dbraw/zinc/51/81/84/328518184.db2.gz NVTGBGXLKLYJCG-UHFFFAOYSA-N 1 2 273.723 3.935 20 0 CHADLO Clc1ccc(-c2cnc(COc3cc[nH+]cc3)o2)cc1 ZINC000530506071 326820666 /nfs/dbraw/zinc/82/06/66/326820666.db2.gz QQBCDBNYBJZTJR-UHFFFAOYSA-N 1 2 286.718 3.969 20 0 CHADLO CC(C)Cc1ccc(C[NH2+][C@H](C)c2csnn2)cc1 ZINC000398240251 326875927 /nfs/dbraw/zinc/87/59/27/326875927.db2.gz OIYKHOOZXBAXOM-GFCCVEGCSA-N 1 2 275.421 3.587 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(Cl)c1Cl)c1csnn1 ZINC000398305438 326878108 /nfs/dbraw/zinc/87/81/08/326878108.db2.gz WPHBTXHOLYTVLK-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO O=C(/C=C\c1ccc(-n2cc[nH+]c2)cc1)Nc1ccsc1 ZINC000255108773 326943077 /nfs/dbraw/zinc/94/30/77/326943077.db2.gz UHUJCSYMHZUQAQ-UTCJRWHESA-N 1 2 295.367 3.586 20 0 CHADLO Cc1ccoc1CNc1c[nH+]ccc1OC(C)(C)C ZINC000158456623 326961979 /nfs/dbraw/zinc/96/19/79/326961979.db2.gz KTJICQQCXUUPFL-UHFFFAOYSA-N 1 2 260.337 3.772 20 0 CHADLO C(=C\c1ccccc1)\C[N@@H+]1CCO[C@@H](c2cccs2)C1 ZINC000255840947 327020430 /nfs/dbraw/zinc/02/04/30/327020430.db2.gz CZBZQQOPCFDBLG-LWAXHKBTSA-N 1 2 285.412 3.835 20 0 CHADLO C(=C\c1ccccc1)\C[N@H+]1CCO[C@@H](c2cccs2)C1 ZINC000255840947 327020432 /nfs/dbraw/zinc/02/04/32/327020432.db2.gz CZBZQQOPCFDBLG-LWAXHKBTSA-N 1 2 285.412 3.835 20 0 CHADLO Cc1cc(N[C@H]2CSC[C@H]2C)c2cccc(F)c2[nH+]1 ZINC000557737042 327023776 /nfs/dbraw/zinc/02/37/76/327023776.db2.gz OBKJEDDNZYPMAH-OTYXRUKQSA-N 1 2 276.380 3.846 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCCC2(CCOCC2)C1 ZINC000557947507 327037000 /nfs/dbraw/zinc/03/70/00/327037000.db2.gz QSQLOOIDXWXQNT-UHFFFAOYSA-N 1 2 296.414 3.940 20 0 CHADLO CC[C@H](C)C[NH2+][C@@H](C(=O)OC)c1ccc2ccccc2c1 ZINC000558011880 327042740 /nfs/dbraw/zinc/04/27/40/327042740.db2.gz HDPBZGJBOLFLKN-SUMWQHHRSA-N 1 2 285.387 3.690 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2ccccc2)c2ccncc2)cs1 ZINC000040418849 327050877 /nfs/dbraw/zinc/05/08/77/327050877.db2.gz PNRXGKTUHOTSNN-KRWDZBQOSA-N 1 2 295.411 3.726 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CC=C(c2ccc(F)cc2)CC1 ZINC000584182620 327061857 /nfs/dbraw/zinc/06/18/57/327061857.db2.gz GNKZADRDYBFJQE-UHFFFAOYSA-N 1 2 283.350 3.526 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCc1ccc([C@@H]2C[C@H]2C)o1 ZINC000558449806 327095230 /nfs/dbraw/zinc/09/52/30/327095230.db2.gz RQLAYYBYUPFFBH-BXUZGUMPSA-N 1 2 299.374 3.737 20 0 CHADLO Cc1c[nH+]c(C)nc1N(C)Cc1csc2ccccc12 ZINC000558523680 327101714 /nfs/dbraw/zinc/10/17/14/327101714.db2.gz DTOHLWHGEFLVAY-UHFFFAOYSA-N 1 2 283.400 3.945 20 0 CHADLO COc1cc(CNc2ccc[nH+]c2C)ccc1OC(C)C ZINC000091495411 327102543 /nfs/dbraw/zinc/10/25/43/327102543.db2.gz XNLMPDPPMMKAPJ-UHFFFAOYSA-N 1 2 286.375 3.798 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000558681166 327113989 /nfs/dbraw/zinc/11/39/89/327113989.db2.gz FESJOUNMJWKRSH-PWSUYJOCSA-N 1 2 292.452 3.815 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1F)Cc1cc(F)ccc1F ZINC000530994455 327122170 /nfs/dbraw/zinc/12/21/70/327122170.db2.gz GJIZZCQUULAJIB-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1F)Cc1cc(F)ccc1F ZINC000530994455 327122172 /nfs/dbraw/zinc/12/21/72/327122172.db2.gz GJIZZCQUULAJIB-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO CC(C)(C)[C@@H]1C[N@H+](Cc2sccc2Cl)CCO1 ZINC000558830007 327123963 /nfs/dbraw/zinc/12/39/63/327123963.db2.gz RHTZWVRYPXXBKZ-LBPRGKRZSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)(C)[C@@H]1C[N@@H+](Cc2sccc2Cl)CCO1 ZINC000558830007 327123964 /nfs/dbraw/zinc/12/39/64/327123964.db2.gz RHTZWVRYPXXBKZ-LBPRGKRZSA-N 1 2 273.829 3.648 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnc(C2CC2)s1 ZINC000559305061 327157103 /nfs/dbraw/zinc/15/71/03/327157103.db2.gz JUZBZJUIWQPSBB-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnc(C2CC2)s1 ZINC000559305061 327157104 /nfs/dbraw/zinc/15/71/04/327157104.db2.gz JUZBZJUIWQPSBB-UHFFFAOYSA-N 1 2 262.378 3.554 20 0 CHADLO CCCCc1nc(C[NH2+]C(C)(C)c2ccccc2C)no1 ZINC000559326598 327160285 /nfs/dbraw/zinc/16/02/85/327160285.db2.gz PNGFGVXFPLYUAC-UHFFFAOYSA-N 1 2 287.407 3.746 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2ccc(C3CCC3)cc2)n1 ZINC000569495632 327185984 /nfs/dbraw/zinc/18/59/84/327185984.db2.gz IROTULXMGYARDY-GFCCVEGCSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2ccc(C3CCC3)cc2)n1 ZINC000569495632 327185986 /nfs/dbraw/zinc/18/59/86/327185986.db2.gz IROTULXMGYARDY-GFCCVEGCSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1cccc(N(C)C)c1C ZINC000569530237 327194228 /nfs/dbraw/zinc/19/42/28/327194228.db2.gz LHXDGAUHWFKEHM-UHFFFAOYSA-N 1 2 283.419 3.809 20 0 CHADLO CCc1ccc(CN(C)Cc2cc(OC)cc(C)[nH+]2)cc1 ZINC000533844488 327222482 /nfs/dbraw/zinc/22/24/82/327222482.db2.gz VIHDIASFWNRYLB-UHFFFAOYSA-N 1 2 284.403 3.593 20 0 CHADLO CCCN(CC(C)(C)O)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000536386507 327234668 /nfs/dbraw/zinc/23/46/68/327234668.db2.gz PNLKVOTXGXOZIP-UHFFFAOYSA-N 1 2 293.455 3.711 20 0 CHADLO Fc1ccc(CC[N@@H+](CC(F)F)CC2CCC2)cc1F ZINC000536420950 327236798 /nfs/dbraw/zinc/23/67/98/327236798.db2.gz PATCAAOIRXQPQW-UHFFFAOYSA-N 1 2 289.316 3.875 20 0 CHADLO Fc1ccc(CC[N@H+](CC(F)F)CC2CCC2)cc1F ZINC000536420950 327236799 /nfs/dbraw/zinc/23/67/99/327236799.db2.gz PATCAAOIRXQPQW-UHFFFAOYSA-N 1 2 289.316 3.875 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1ccccc1Br ZINC000042371582 327237406 /nfs/dbraw/zinc/23/74/06/327237406.db2.gz VSZPVEJLLWUFSV-UHFFFAOYSA-N 1 2 280.165 3.674 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1ccccc1Br ZINC000042371582 327237407 /nfs/dbraw/zinc/23/74/07/327237407.db2.gz VSZPVEJLLWUFSV-UHFFFAOYSA-N 1 2 280.165 3.674 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(Cl)c(F)c2)o1 ZINC000536487284 327241140 /nfs/dbraw/zinc/24/11/40/327241140.db2.gz YKQTWRUXSUEVSL-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(Cl)c(F)c2)o1 ZINC000536487284 327241142 /nfs/dbraw/zinc/24/11/42/327241142.db2.gz YKQTWRUXSUEVSL-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO COc1ccc2c(c1)CCCN(c1[nH]c3ccccc3[nH+]1)C2 ZINC000536502699 327241873 /nfs/dbraw/zinc/24/18/73/327241873.db2.gz PMGJGUQCQNQTTF-UHFFFAOYSA-N 1 2 293.370 3.524 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(C)cc2OC)s1 ZINC000224318881 327254098 /nfs/dbraw/zinc/25/40/98/327254098.db2.gz HCGRQLRVYILPSX-GFCCVEGCSA-N 1 2 290.432 3.873 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)Cc2ccc(C)cc2C)c1C ZINC000559819708 327263135 /nfs/dbraw/zinc/26/31/35/327263135.db2.gz YFRZELBRTWXOQD-UHFFFAOYSA-N 1 2 298.430 3.956 20 0 CHADLO COc1cc([C@H](C)[NH2+]CC(C)(F)F)ccc1OC(F)F ZINC000559886911 327268292 /nfs/dbraw/zinc/26/82/92/327268292.db2.gz UCMZEQDCCRRIIC-QMMMGPOBSA-N 1 2 295.276 3.602 20 0 CHADLO CC[N@H+](C)[C@@H](c1nc(CC2CCCC2)no1)c1ccccc1 ZINC000560033846 327279077 /nfs/dbraw/zinc/27/90/77/327279077.db2.gz HGHOFYUNWAECNA-QGZVFWFLSA-N 1 2 299.418 3.843 20 0 CHADLO CC[N@@H+](C)[C@@H](c1nc(CC2CCCC2)no1)c1ccccc1 ZINC000560033846 327279078 /nfs/dbraw/zinc/27/90/78/327279078.db2.gz HGHOFYUNWAECNA-QGZVFWFLSA-N 1 2 299.418 3.843 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nccc(C(F)F)n2)c(Cl)c1 ZINC000566338171 327322827 /nfs/dbraw/zinc/32/28/27/327322827.db2.gz LBZMCFSOHFSKQN-UHFFFAOYSA-N 1 2 297.736 3.666 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)CC[C@@H](C)c2ccccc2)[nH+]1 ZINC000566364295 327338298 /nfs/dbraw/zinc/33/82/98/327338298.db2.gz LMSLKLHHCYFUMU-CYBMUJFWSA-N 1 2 299.418 3.653 20 0 CHADLO Clc1ccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)nc1 ZINC000574594315 327353888 /nfs/dbraw/zinc/35/38/88/327353888.db2.gz ACQUDYPLEAKYTI-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Clc1ccc(C[N@H+]2CCc3ccc(Cl)cc3C2)nc1 ZINC000574594315 327353890 /nfs/dbraw/zinc/35/38/90/327353890.db2.gz ACQUDYPLEAKYTI-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCC(=O)CC12CCC2 ZINC000566429689 327361567 /nfs/dbraw/zinc/36/15/67/327361567.db2.gz YSGDDKQTRSVFRU-UHFFFAOYSA-N 1 2 286.419 3.601 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCC(=O)CC12CCC2 ZINC000566429689 327361568 /nfs/dbraw/zinc/36/15/68/327361568.db2.gz YSGDDKQTRSVFRU-UHFFFAOYSA-N 1 2 286.419 3.601 20 0 CHADLO C[C@H](Nc1cc(N2CCCC2)nc[nH+]1)[C@@H](C)c1ccccc1 ZINC000561262425 327380816 /nfs/dbraw/zinc/38/08/16/327380816.db2.gz JXTGADSIPAYLOC-CABCVRRESA-N 1 2 296.418 3.681 20 0 CHADLO C[C@H](Nc1cc(N2CCCC2)[nH+]cn1)[C@@H](C)c1ccccc1 ZINC000561262425 327380818 /nfs/dbraw/zinc/38/08/18/327380818.db2.gz JXTGADSIPAYLOC-CABCVRRESA-N 1 2 296.418 3.681 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@H]3CCC(C)C)ccn12 ZINC000570223772 327577426 /nfs/dbraw/zinc/57/74/26/327577426.db2.gz FFTCFNVLJHHHQD-INIZCTEOSA-N 1 2 299.418 3.684 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)c2ccccc2n1)c1ccncc1 ZINC000570319199 327583124 /nfs/dbraw/zinc/58/31/24/327583124.db2.gz QUWUAKQGKVLCJY-INIZCTEOSA-N 1 2 292.386 3.574 20 0 CHADLO CC(C)c1nc(N2CC(C)(C)[C@H]3CCC[C@@H]32)cc[nH+]1 ZINC000570337960 327584673 /nfs/dbraw/zinc/58/46/73/327584673.db2.gz JKEIWAGFFJTIMZ-STQMWFEESA-N 1 2 259.397 3.615 20 0 CHADLO CC(C)c1nc(N2CC(C)(C)[C@@H]3CCC[C@@H]32)cc[nH+]1 ZINC000570337958 327584724 /nfs/dbraw/zinc/58/47/24/327584724.db2.gz JKEIWAGFFJTIMZ-OLZOCXBDSA-N 1 2 259.397 3.615 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)CN1c1[nH]c2ccccc2[nH+]1 ZINC000535693395 328613658 /nfs/dbraw/zinc/61/36/58/328613658.db2.gz MZKWBKYSJXRDMJ-HIFRSBDPSA-N 1 2 277.371 3.945 20 0 CHADLO CCCOc1ccccc1NC(=O)Nc1cc(C)[nH+]cc1C ZINC000535772697 328629948 /nfs/dbraw/zinc/62/99/48/328629948.db2.gz QDRKGFBFNPDYDU-UHFFFAOYSA-N 1 2 299.374 3.553 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@@H]2CCC(C)C)c(C)c[nH+]1 ZINC000535773494 328630117 /nfs/dbraw/zinc/63/01/17/328630117.db2.gz RZTIIRZEYKXILR-OAHLLOKOSA-N 1 2 289.423 3.553 20 0 CHADLO Cc1ccc(F)cc1COc1ccccc1-n1cc[nH+]c1 ZINC000527356122 328665628 /nfs/dbraw/zinc/66/56/28/328665628.db2.gz QIJGHVWEDHUKCW-UHFFFAOYSA-N 1 2 282.318 3.899 20 0 CHADLO CC[C@@H](NC(=O)Nc1cc(C)[nH+]cc1C)c1ccc(C)cc1 ZINC000536618120 328670524 /nfs/dbraw/zinc/67/05/24/328670524.db2.gz HIJIJMGFCKLFBA-MRXNPFEDSA-N 1 2 297.402 3.702 20 0 CHADLO Cc1cc(NC(=O)Nc2ccccc2OC(C)C)c(C)c[nH+]1 ZINC000536619297 328670570 /nfs/dbraw/zinc/67/05/70/328670570.db2.gz YPUTZBXJTRJPKD-UHFFFAOYSA-N 1 2 299.374 3.552 20 0 CHADLO C[C@H]([NH2+][C@H](c1nccn1C)c1ccccc1)c1cccs1 ZINC000532199470 328704786 /nfs/dbraw/zinc/70/47/86/328704786.db2.gz KCNIKGZBJMUXFQ-BBRMVZONSA-N 1 2 297.427 3.922 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cccc(F)c2)nc2ccccc12 ZINC000532218519 328706210 /nfs/dbraw/zinc/70/62/10/328706210.db2.gz AKRGLYXQBOVTSI-GFCCVEGCSA-N 1 2 295.361 3.928 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@@H](C)c1cc(C)ccn1 ZINC000583646803 328725984 /nfs/dbraw/zinc/72/59/84/328725984.db2.gz LHDYLXMUVWNDSY-QWHCGFSZSA-N 1 2 288.366 3.950 20 0 CHADLO CC[C@@H](CC(F)(F)F)NC(=O)Nc1c(C)cc[nH+]c1C ZINC000351930986 328740753 /nfs/dbraw/zinc/74/07/53/328740753.db2.gz MURCYESSOGBTMT-JTQLQIEISA-N 1 2 289.301 3.551 20 0 CHADLO CCc1ccc(CNc2ccc(N3CCCCC3)c[nH+]2)o1 ZINC000532680506 328804884 /nfs/dbraw/zinc/80/48/84/328804884.db2.gz RWNNCTKMMUQJQJ-UHFFFAOYSA-N 1 2 285.391 3.839 20 0 CHADLO CC(C)Oc1ccc(C[NH2+][C@H](C)C(C)(F)F)cc1 ZINC000389457433 328846867 /nfs/dbraw/zinc/84/68/67/328846867.db2.gz BHUCJEOSFGSKHN-LLVKDONJSA-N 1 2 257.324 3.607 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(Br)c1)C(C)(F)F ZINC000389458521 328847271 /nfs/dbraw/zinc/84/72/71/328847271.db2.gz ADZDIOIJHSXXIX-QMMMGPOBSA-N 1 2 278.140 3.582 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccccc1OC(F)F ZINC000389467460 328848800 /nfs/dbraw/zinc/84/88/00/328848800.db2.gz MCNOMGQZCQBDRA-IUCAKERBSA-N 1 2 279.277 3.982 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(F)c(C(F)(F)F)c1)C(C)(F)F ZINC000389475973 328850300 /nfs/dbraw/zinc/85/03/00/328850300.db2.gz ZMEJFZGSLCEYEU-SSDOTTSWSA-N 1 2 285.231 3.978 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1csc(C(C)(C)C)n1 ZINC000336789150 328853663 /nfs/dbraw/zinc/85/36/63/328853663.db2.gz IMTZYMLMAVDPGH-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO COc1cccc2c(NCCCc3nccs3)cc[nH+]c12 ZINC000357635169 328873661 /nfs/dbraw/zinc/87/36/61/328873661.db2.gz ZYNSJPIXNWLKLB-UHFFFAOYSA-N 1 2 299.399 3.745 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCc1noc(CCC(C)C)n1 ZINC000359127043 328895959 /nfs/dbraw/zinc/89/59/59/328895959.db2.gz ACTCALRJRPIUAB-UHFFFAOYSA-N 1 2 298.390 3.559 20 0 CHADLO Fc1ccccc1[C@@H]1CC[C@H](Nc2cccc[nH+]2)C1 ZINC000360274847 328905636 /nfs/dbraw/zinc/90/56/36/328905636.db2.gz MZYRMEFWQQLMPK-OLZOCXBDSA-N 1 2 256.324 3.969 20 0 CHADLO CCC(CC)CN(CC)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117608240 328942173 /nfs/dbraw/zinc/94/21/73/328942173.db2.gz HMCVAKLVOZAMRW-UHFFFAOYSA-N 1 2 299.418 3.771 20 0 CHADLO O=C(CCCC1CCCCC1)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000378407050 329049419 /nfs/dbraw/zinc/04/94/19/329049419.db2.gz VTJTWSRDVJTGFF-OAHLLOKOSA-N 1 2 289.423 3.824 20 0 CHADLO CCc1ccc([C@@H](C)N[C@@H]2C[N@H+](C)Cc3ccccc32)o1 ZINC000360053343 329070674 /nfs/dbraw/zinc/07/06/74/329070674.db2.gz DDGTYGBSPXMUPB-CXAGYDPISA-N 1 2 284.403 3.679 20 0 CHADLO CCc1ccc([C@@H](C)N[C@@H]2C[N@@H+](C)Cc3ccccc32)o1 ZINC000360053343 329070675 /nfs/dbraw/zinc/07/06/75/329070675.db2.gz DDGTYGBSPXMUPB-CXAGYDPISA-N 1 2 284.403 3.679 20 0 CHADLO O=C(c1ccc2[nH+]ccn2c1)N1CCC2(CCCCC2)CC1 ZINC000171174311 329073219 /nfs/dbraw/zinc/07/32/19/329073219.db2.gz SSBTVQYPDZMHBK-UHFFFAOYSA-N 1 2 297.402 3.521 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(C3CCCC3)n2)CCS1 ZINC000171686324 329078258 /nfs/dbraw/zinc/07/82/58/329078258.db2.gz AWZZCJJBQDRFQA-NSHDSACASA-N 1 2 282.478 3.738 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(C3CCCC3)n2)CCS1 ZINC000171686324 329078259 /nfs/dbraw/zinc/07/82/59/329078259.db2.gz AWZZCJJBQDRFQA-NSHDSACASA-N 1 2 282.478 3.738 20 0 CHADLO c1c[nH]c(C[N@H+]2Cc3ccccc3[C@H](c3ccccc3)C2)n1 ZINC000171915202 329082264 /nfs/dbraw/zinc/08/22/64/329082264.db2.gz WWJCKBPUFFXJOE-SFHVURJKSA-N 1 2 289.382 3.557 20 0 CHADLO c1c[nH]c(C[N@@H+]2Cc3ccccc3[C@H](c3ccccc3)C2)n1 ZINC000171915202 329082265 /nfs/dbraw/zinc/08/22/65/329082265.db2.gz WWJCKBPUFFXJOE-SFHVURJKSA-N 1 2 289.382 3.557 20 0 CHADLO Fc1ccc(C[N@H+](Cc2nc3ccccc3[nH]2)C2CC2)cc1 ZINC000172670718 329136432 /nfs/dbraw/zinc/13/64/32/329136432.db2.gz OCHVIZOYKXCBFK-UHFFFAOYSA-N 1 2 295.361 3.867 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2nc3ccccc3[nH]2)C2CC2)cc1 ZINC000172670718 329136434 /nfs/dbraw/zinc/13/64/34/329136434.db2.gz OCHVIZOYKXCBFK-UHFFFAOYSA-N 1 2 295.361 3.867 20 0 CHADLO CCCCCC[C@H](C)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000173354505 329146207 /nfs/dbraw/zinc/14/62/07/329146207.db2.gz VGLXHUNEYYIJRM-HNNXBMFYSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1ccc(C)c(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000174663496 329160635 /nfs/dbraw/zinc/16/06/35/329160635.db2.gz YPGYUJIEMSJXGS-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO CCc1cnc(C[N@H+](C)CCSc2ccccc2)s1 ZINC000175502924 329169169 /nfs/dbraw/zinc/16/91/69/329169169.db2.gz CBRTUBHPSKJZJA-UHFFFAOYSA-N 1 2 292.473 3.930 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(C)cc2)s1 ZINC000175529617 329169372 /nfs/dbraw/zinc/16/93/72/329169372.db2.gz AJOYJFYECLHIOM-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(C)cc2)s1 ZINC000175529617 329169373 /nfs/dbraw/zinc/16/93/73/329169373.db2.gz AJOYJFYECLHIOM-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO C[N@H+](Cc1nc2c(s1)CCCC2)Cc1cccc(F)c1 ZINC000175546237 329169581 /nfs/dbraw/zinc/16/95/81/329169581.db2.gz XFNQMUZHCYDCIZ-UHFFFAOYSA-N 1 2 290.407 3.793 20 0 CHADLO C[N@@H+](Cc1nc2c(s1)CCCC2)Cc1cccc(F)c1 ZINC000175546237 329169582 /nfs/dbraw/zinc/16/95/82/329169582.db2.gz XFNQMUZHCYDCIZ-UHFFFAOYSA-N 1 2 290.407 3.793 20 0 CHADLO Clc1ccccc1CN1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000177040201 329178433 /nfs/dbraw/zinc/17/84/33/329178433.db2.gz ZKZFWEUEIARLBD-CQSZACIVSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccccc1C[N@@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000177040201 329178434 /nfs/dbraw/zinc/17/84/34/329178434.db2.gz ZKZFWEUEIARLBD-CQSZACIVSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccccc1C[N@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000177040201 329178435 /nfs/dbraw/zinc/17/84/35/329178435.db2.gz ZKZFWEUEIARLBD-CQSZACIVSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1cccc(CN2CCCC[C@H]2c2[nH]cc[nH+]2)c1 ZINC000177040636 329178498 /nfs/dbraw/zinc/17/84/98/329178498.db2.gz WLYCBUREFQSRLP-AWEZNQCLSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1cccc(C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)c1 ZINC000177040636 329178499 /nfs/dbraw/zinc/17/84/99/329178499.db2.gz WLYCBUREFQSRLP-AWEZNQCLSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1cccc(C[N@H+]2CCCC[C@H]2c2ncc[nH]2)c1 ZINC000177040636 329178501 /nfs/dbraw/zinc/17/85/01/329178501.db2.gz WLYCBUREFQSRLP-AWEZNQCLSA-N 1 2 275.783 3.790 20 0 CHADLO CSc1ccccc1NCc1cn2c(cccc2C)[nH+]1 ZINC000177183049 329181648 /nfs/dbraw/zinc/18/16/48/329181648.db2.gz SWACVYIUNITTRZ-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO C[C@@H](CC(=O)NCc1ccn2cc[nH+]c2c1)C1CCCCC1 ZINC000178350829 329199145 /nfs/dbraw/zinc/19/91/45/329199145.db2.gz RNPNQBYHKFRPPX-AWEZNQCLSA-N 1 2 299.418 3.557 20 0 CHADLO C[C@@H]([NH2+]Cc1nccs1)c1ccc2ccccc2n1 ZINC000178423109 329199661 /nfs/dbraw/zinc/19/96/61/329199661.db2.gz ORQVDRDMPFMCKO-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CCCCc2ccccc21 ZINC000178718707 329200076 /nfs/dbraw/zinc/20/00/76/329200076.db2.gz BJMVUGHUPBKUDH-UHFFFAOYSA-N 1 2 269.392 3.807 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2ccn(C(C)C)n2)n1 ZINC000178684261 329202231 /nfs/dbraw/zinc/20/22/31/329202231.db2.gz SACIENCEHOPRSW-QGZVFWFLSA-N 1 2 284.407 3.505 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2ccn(C(C)C)n2)n1 ZINC000178684261 329202232 /nfs/dbraw/zinc/20/22/32/329202232.db2.gz SACIENCEHOPRSW-QGZVFWFLSA-N 1 2 284.407 3.505 20 0 CHADLO C[C@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1ccccn1 ZINC000179614493 329210744 /nfs/dbraw/zinc/21/07/44/329210744.db2.gz KHKWBIDITPTNDY-LBPRGKRZSA-N 1 2 282.322 3.580 20 0 CHADLO C[N@H+](Cc1ccc(-c2ccc(F)cc2)o1)Cc1cnccn1 ZINC000179660659 329211076 /nfs/dbraw/zinc/21/10/76/329211076.db2.gz NRTBJFUOMIPHBG-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1ccc(-c2ccc(F)cc2)o1)Cc1cnccn1 ZINC000179660659 329211077 /nfs/dbraw/zinc/21/10/77/329211077.db2.gz NRTBJFUOMIPHBG-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+](C)Cc1nc2ccccc2n1C ZINC000180148005 329217856 /nfs/dbraw/zinc/21/78/56/329217856.db2.gz MTKKAJPHUULOJL-UHFFFAOYSA-N 1 2 297.377 3.653 20 0 CHADLO Cc1ccc(F)cc1C[N@H+](C)Cc1nc2ccccc2n1C ZINC000180148005 329217858 /nfs/dbraw/zinc/21/78/58/329217858.db2.gz MTKKAJPHUULOJL-UHFFFAOYSA-N 1 2 297.377 3.653 20 0 CHADLO Cc1ccc2[nH]c([C@@H]3CCCN(CC(F)(F)F)C3)[nH+]c2c1 ZINC000181149802 329232951 /nfs/dbraw/zinc/23/29/51/329232951.db2.gz AHPBZLRJPOURJD-LLVKDONJSA-N 1 2 297.324 3.613 20 0 CHADLO Cc1cc(NC(=O)c2cccn2C2CCCC2)cc[nH+]1 ZINC000181820378 329237578 /nfs/dbraw/zinc/23/75/78/329237578.db2.gz SOPZXZJXPHDAAH-UHFFFAOYSA-N 1 2 269.348 3.559 20 0 CHADLO Cc1nc([C@H]([NH2+][C@H](C)CC(C)C)c2ccccc2F)no1 ZINC000182083439 329239590 /nfs/dbraw/zinc/23/95/90/329239590.db2.gz ONYFFHGDCCVIKK-IAQYHMDHSA-N 1 2 291.370 3.631 20 0 CHADLO Cc1cc(C)cc([C@H]2CC[N@H+](Cc3noc(C4CC4)n3)C2)c1 ZINC000183490751 329248124 /nfs/dbraw/zinc/24/81/24/329248124.db2.gz BXCBVHWYZHWLNL-HNNXBMFYSA-N 1 2 297.402 3.553 20 0 CHADLO Cc1cc(C)cc([C@H]2CC[N@@H+](Cc3noc(C4CC4)n3)C2)c1 ZINC000183490751 329248125 /nfs/dbraw/zinc/24/81/25/329248125.db2.gz BXCBVHWYZHWLNL-HNNXBMFYSA-N 1 2 297.402 3.553 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1nc(C2CC2)no1 ZINC000183546167 329248420 /nfs/dbraw/zinc/24/84/20/329248420.db2.gz YLSVIQAJXAYETG-LLVKDONJSA-N 1 2 297.358 3.852 20 0 CHADLO Cc1ccc(CSCCCOCC(F)(F)F)c(C)[nH+]1 ZINC000184819032 329263027 /nfs/dbraw/zinc/26/30/27/329263027.db2.gz QIFLPHDERZRVJS-UHFFFAOYSA-N 1 2 293.354 3.901 20 0 CHADLO Cc1cc[nH+]cc1NCc1cc(F)cc2cccnc21 ZINC000184893478 329263608 /nfs/dbraw/zinc/26/36/08/329263608.db2.gz UGIGPTLUCWGZFZ-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO CC(C)(C)c1[nH]c2ccc(NC(=O)Cc3ccoc3)cc2[nH+]1 ZINC000185156003 329266630 /nfs/dbraw/zinc/26/66/30/329266630.db2.gz CNAGODPTVKYDFA-UHFFFAOYSA-N 1 2 297.358 3.635 20 0 CHADLO CC(=O)CCc1ccc(OCc2ccc(C)[nH+]c2C)cc1 ZINC000185252501 329269601 /nfs/dbraw/zinc/26/96/01/329269601.db2.gz JXBVMXVUOHIJAV-UHFFFAOYSA-N 1 2 283.371 3.799 20 0 CHADLO Cc1ccc(COc2ccc(CC[C@H](C)O)cc2)c(C)[nH+]1 ZINC000185335062 329270587 /nfs/dbraw/zinc/27/05/87/329270587.db2.gz HTHDHBNANLWMIB-AWEZNQCLSA-N 1 2 285.387 3.591 20 0 CHADLO CC(=O)c1ccc(OCc2ccc(C)[nH+]c2C)cc1F ZINC000185368716 329271408 /nfs/dbraw/zinc/27/14/08/329271408.db2.gz OOMVRRJTQPJUGE-UHFFFAOYSA-N 1 2 273.307 3.619 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2cc3ccccc3s2)n1 ZINC000582912707 329287537 /nfs/dbraw/zinc/28/75/37/329287537.db2.gz CXNGSZDYUVTCOL-SNVBAGLBSA-N 1 2 287.388 3.786 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2cc3ccccc3s2)n1 ZINC000582912707 329287539 /nfs/dbraw/zinc/28/75/39/329287539.db2.gz CXNGSZDYUVTCOL-SNVBAGLBSA-N 1 2 287.388 3.786 20 0 CHADLO CCCC[C@@H]([NH2+]Cc1cc2ccccc2s1)C(=O)OC ZINC000582919471 329288974 /nfs/dbraw/zinc/28/89/74/329288974.db2.gz AZWBGLJAOZUVCV-CQSZACIVSA-N 1 2 291.416 3.723 20 0 CHADLO COC(=O)[C@H]([NH2+]C[C@H]1CC(C)=C[C@@H](C)C1)c1cccs1 ZINC000582995523 329294684 /nfs/dbraw/zinc/29/46/84/329294684.db2.gz AZGMTZROKCXMAP-UXIGCNINSA-N 1 2 293.432 3.544 20 0 CHADLO CC(C)[C@H]1C[C@@H]1C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000185767601 329331177 /nfs/dbraw/zinc/33/11/77/329331177.db2.gz ZUQFRQULQHGFNR-KGLIPLIRSA-N 1 2 297.402 3.681 20 0 CHADLO CC(C)[C@@]1(C)C[C@@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000185917097 329332495 /nfs/dbraw/zinc/33/24/95/329332495.db2.gz FNAAXRLSBKJUHM-RHSMWYFYSA-N 1 2 283.375 3.697 20 0 CHADLO CC(C)[C@@]1(C)C[C@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000185917131 329332660 /nfs/dbraw/zinc/33/26/60/329332660.db2.gz FNAAXRLSBKJUHM-WMLDXEAASA-N 1 2 283.375 3.697 20 0 CHADLO Cc1ccc(CNc2[nH+]c3c(cccc3Cl)n2C)o1 ZINC000185857570 329333714 /nfs/dbraw/zinc/33/37/14/329333714.db2.gz AYHKZCCJAHKOQO-UHFFFAOYSA-N 1 2 275.739 3.740 20 0 CHADLO CCc1ccc(C[NH2+]Cc2ncc(-c3ccccc3)cn2)o1 ZINC000185864406 329333799 /nfs/dbraw/zinc/33/37/99/329333799.db2.gz VDQXFSPRRPKUNR-UHFFFAOYSA-N 1 2 293.370 3.589 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[NH2+][C@@H](C)C(C)(F)F ZINC000459575721 329337710 /nfs/dbraw/zinc/33/77/10/329337710.db2.gz VIOCETZQNJMHMO-VIFPVBQESA-N 1 2 299.390 3.715 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(OC(F)(F)F)cc1 ZINC000186427166 329342230 /nfs/dbraw/zinc/34/22/30/329342230.db2.gz PTJDBHXGMNGLKN-UHFFFAOYSA-N 1 2 286.228 3.731 20 0 CHADLO CCCOc1cccc(CNc2cc[nH+]cc2F)c1 ZINC000186426867 329342232 /nfs/dbraw/zinc/34/22/32/329342232.db2.gz GKCBSOUXFXGKJL-UHFFFAOYSA-N 1 2 260.312 3.622 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)c(C)n1 ZINC000187103071 329353310 /nfs/dbraw/zinc/35/33/10/329353310.db2.gz XLCCUNIFYZRELW-DNVCBOLYSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)c(C)n1 ZINC000187103071 329353312 /nfs/dbraw/zinc/35/33/12/329353312.db2.gz XLCCUNIFYZRELW-DNVCBOLYSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1coc(SCc2cn3cc(Cl)ccc3[nH+]2)n1 ZINC000188327788 329366381 /nfs/dbraw/zinc/36/63/81/329366381.db2.gz PLNAJFUKMQBCAX-UHFFFAOYSA-N 1 2 279.752 3.576 20 0 CHADLO FC(F)C(F)(F)C[NH2+]Cc1cccc(C2CC2)c1 ZINC000230670830 329368369 /nfs/dbraw/zinc/36/83/69/329368369.db2.gz IKNZLLWCYNHSTE-UHFFFAOYSA-N 1 2 261.262 3.554 20 0 CHADLO CCN(C)c1ccc(CNc2ccc(SC)cc2)c[nH+]1 ZINC000190815638 329401035 /nfs/dbraw/zinc/40/10/35/329401035.db2.gz QBWQSMKODJKPJU-UHFFFAOYSA-N 1 2 287.432 3.872 20 0 CHADLO CC(C)Oc1cccc(CNc2cc[nH+]cc2F)c1 ZINC000192150262 329429662 /nfs/dbraw/zinc/42/96/62/329429662.db2.gz XPMOAWQWIPNSBS-UHFFFAOYSA-N 1 2 260.312 3.620 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(-c2ccc(F)cc2)no1)c1ccccc1 ZINC000102676727 329534570 /nfs/dbraw/zinc/53/45/70/329534570.db2.gz SGDQJRBSZUDKKZ-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C)ccc1F)c1nccs1 ZINC000119831960 329548695 /nfs/dbraw/zinc/54/86/95/329548695.db2.gz JABNFCFAEBSDNJ-ZDUSSCGKSA-N 1 2 264.369 3.832 20 0 CHADLO Cc1cc(NC(=O)C2(c3ccccc3F)CCCC2)cc[nH+]1 ZINC000041527205 329565758 /nfs/dbraw/zinc/56/57/58/329565758.db2.gz OOFUZRSBMCFMBQ-UHFFFAOYSA-N 1 2 298.361 3.980 20 0 CHADLO CCn1cc[nH+]c1CNc1ccc(Cl)c(Cl)c1 ZINC000051920821 329655428 /nfs/dbraw/zinc/65/54/28/329655428.db2.gz OVGBMYUQLKZOKM-UHFFFAOYSA-N 1 2 270.163 3.822 20 0 CHADLO Cc1cc(OCCC2CCOCC2)c2ccccc2[nH+]1 ZINC000418962791 329702384 /nfs/dbraw/zinc/70/23/84/329702384.db2.gz LVTLBEHCSQGENJ-UHFFFAOYSA-N 1 2 271.360 3.739 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H](C)C(C)(C)C)n1 ZINC000420510263 329772552 /nfs/dbraw/zinc/77/25/52/329772552.db2.gz HXTSSBJNRJVNDD-JTQLQIEISA-N 1 2 259.353 3.513 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(-c2ccc(C(C)C)cn2)n1 ZINC000420513854 329773465 /nfs/dbraw/zinc/77/34/65/329773465.db2.gz XVPKWFPFXYDITL-UHFFFAOYSA-N 1 2 294.358 3.549 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H](C)Cc2ccccc2)n1 ZINC000420514258 329773595 /nfs/dbraw/zinc/77/35/95/329773595.db2.gz ZNJHUYRIGGLGEI-CYBMUJFWSA-N 1 2 293.370 3.710 20 0 CHADLO Cc1cc(N2C[C@@H](C)[C@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000246264964 329810565 /nfs/dbraw/zinc/81/05/65/329810565.db2.gz YZROCJCPVDDDCP-PXAZEXFGSA-N 1 2 279.387 3.860 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2cc(C)cc(C)c2)nn1C ZINC000421320722 329811621 /nfs/dbraw/zinc/81/16/21/329811621.db2.gz CKYZCCYJWUHYRB-GFCCVEGCSA-N 1 2 291.826 3.850 20 0 CHADLO Cc1cc(CNC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)cc(C)[nH+]1 ZINC000421579047 329843400 /nfs/dbraw/zinc/84/34/00/329843400.db2.gz JOAGMYBFLZCMQS-MJGOQNOKSA-N 1 2 294.398 3.508 20 0 CHADLO c1cc(-c2ccccc2)oc1CNc1cccc[nH+]1 ZINC000069831492 329854714 /nfs/dbraw/zinc/85/47/14/329854714.db2.gz VVHQFZKBCACHET-UHFFFAOYSA-N 1 2 250.301 3.954 20 0 CHADLO C[C@@H](Nc1cc(Cl)cc(Cl)c1)c1[nH+]ccn1C ZINC000070443347 329855671 /nfs/dbraw/zinc/85/56/71/329855671.db2.gz KTJBKYKIGUJAGU-MRVPVSSYSA-N 1 2 270.163 3.900 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)c(F)c2)n1 ZINC000073637226 329861113 /nfs/dbraw/zinc/86/11/13/329861113.db2.gz GVVDRNGBGTYGIS-VHSXEESVSA-N 1 2 295.333 3.883 20 0 CHADLO CCCc1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000074947085 329865732 /nfs/dbraw/zinc/86/57/32/329865732.db2.gz PGRSFVHFHQQCEE-UHFFFAOYSA-N 1 2 254.333 3.595 20 0 CHADLO C[C@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(Cl)cc1 ZINC000393295213 329914906 /nfs/dbraw/zinc/91/49/06/329914906.db2.gz CFNZJWKKOQGGAF-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO C[C@H]([NH2+]Cc1nn(C)cc1Cl)c1cccc(Cl)c1 ZINC000393324649 329916869 /nfs/dbraw/zinc/91/68/69/329916869.db2.gz KRUFWQUHDOUXDJ-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2ccccc2o1)c1nccs1 ZINC000070942509 329933276 /nfs/dbraw/zinc/93/32/76/329933276.db2.gz WDFIWUHAUJQMTL-SNVBAGLBSA-N 1 2 258.346 3.740 20 0 CHADLO O=C(CCC1CCCC1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000161765611 329954772 /nfs/dbraw/zinc/95/47/72/329954772.db2.gz RMFKEBCUMGBNNT-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO Cc1cc(NC(=O)c2occc2-c2ccccc2)cc[nH+]1 ZINC000078579250 329965169 /nfs/dbraw/zinc/96/51/69/329965169.db2.gz ZQHONFNYUXAVGV-UHFFFAOYSA-N 1 2 278.311 3.902 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H](C)c2ccncc2Cl)n1 ZINC000421827895 330084090 /nfs/dbraw/zinc/08/40/90/330084090.db2.gz ZYOWTIMUFSULAI-NXEZZACHSA-N 1 2 281.812 3.912 20 0 CHADLO COc1cc(Cl)ccc1[C@H](C)[NH2+][C@H](C)c1ccon1 ZINC000421842986 330094121 /nfs/dbraw/zinc/09/41/21/330094121.db2.gz PIARXATVIYKAJL-VHSXEESVSA-N 1 2 280.755 3.748 20 0 CHADLO CC[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)C[C@H](C)O1 ZINC000516987267 330110089 /nfs/dbraw/zinc/11/00/89/330110089.db2.gz MOICUJISSRAKCM-NWDGAFQWSA-N 1 2 290.794 3.892 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H](C)c2ccc(C)o2)n1 ZINC000080274420 330116399 /nfs/dbraw/zinc/11/63/99/330116399.db2.gz IVWICJUHGPITPM-GHMZBOCLSA-N 1 2 250.367 3.765 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H](C)c2ccc(C)o2)n1 ZINC000080274423 330116568 /nfs/dbraw/zinc/11/65/68/330116568.db2.gz IVWICJUHGPITPM-WDEREUQCSA-N 1 2 250.367 3.765 20 0 CHADLO C[N@H+](Cc1nc2ccccc2[nH]1)Cc1cccc(Cl)c1 ZINC000171017942 330125829 /nfs/dbraw/zinc/12/58/29/330125829.db2.gz APHZXCFZHOWSDO-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2[nH]1)Cc1cccc(Cl)c1 ZINC000171017942 330125830 /nfs/dbraw/zinc/12/58/30/330125830.db2.gz APHZXCFZHOWSDO-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO CCC[C@@H]1CCCCN1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000422196850 330129901 /nfs/dbraw/zinc/12/99/01/330129901.db2.gz ANWYMDSVUJSBFJ-MRXNPFEDSA-N 1 2 289.423 3.563 20 0 CHADLO Cc1c([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)cnn1C(C)C ZINC000423210351 330177636 /nfs/dbraw/zinc/17/76/36/330177636.db2.gz FUWUVQOPRFZROS-GFCCVEGCSA-N 1 2 284.407 3.914 20 0 CHADLO Fc1ccc2c(c1)CC[C@@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423220218 330179381 /nfs/dbraw/zinc/17/93/81/330179381.db2.gz VBPNRGLKWGOZPF-KRWDZBQOSA-N 1 2 268.335 3.893 20 0 CHADLO CO[C@@H](CSCc1ccc(-n2cc[nH+]c2)cc1)C1CC1 ZINC000424118186 330243942 /nfs/dbraw/zinc/24/39/42/330243942.db2.gz CQLBTFINLVXEBV-INIZCTEOSA-N 1 2 288.416 3.531 20 0 CHADLO CCOC(=O)[C@@H]([NH2+]Cc1ccc2occc2c1)[C@@H](C)CC ZINC000580483989 330257558 /nfs/dbraw/zinc/25/75/58/330257558.db2.gz YVWOCSBOIZEVAO-LRDDRELGSA-N 1 2 289.375 3.500 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc2c1OC(C)(C)CC2 ZINC000527813716 330285022 /nfs/dbraw/zinc/28/50/22/330285022.db2.gz OOUOOIZGOJMAPT-UHFFFAOYSA-N 1 2 285.391 3.619 20 0 CHADLO COc1ccc[nH+]c1NCc1ccccc1COC(C)C ZINC000527841046 330287345 /nfs/dbraw/zinc/28/73/45/330287345.db2.gz VEZHYQQMKXNTPI-UHFFFAOYSA-N 1 2 286.375 3.627 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2cc(F)c(Cl)cc21)c1csnn1 ZINC000527907873 330290464 /nfs/dbraw/zinc/29/04/64/330290464.db2.gz JBTJWKGUVRIABZ-JMCQJSRRSA-N 1 2 297.786 3.669 20 0 CHADLO CC[C@@H](C)c1ccc([C@@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000527910012 330290730 /nfs/dbraw/zinc/29/07/30/330290730.db2.gz DMUXRKCJVJZPRT-ZIAGYGMSSA-N 1 2 269.392 3.841 20 0 CHADLO CC[C@H](C)c1ccc([C@@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000527910010 330290743 /nfs/dbraw/zinc/29/07/43/330290743.db2.gz DMUXRKCJVJZPRT-UONOGXRCSA-N 1 2 269.392 3.841 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@@H](C)[C@H]1CC12CC2 ZINC000527875275 330291066 /nfs/dbraw/zinc/29/10/66/330291066.db2.gz CLMIPBMGYMXWRT-UONOGXRCSA-N 1 2 275.392 3.621 20 0 CHADLO CC[C@H](C)c1ccc([C@@H](C)[NH2+][C@@H](C)c2ncn(C)n2)cc1 ZINC000527892859 330292515 /nfs/dbraw/zinc/29/25/15/330292515.db2.gz LQSDDGITOJBQSO-MJBXVCDLSA-N 1 2 286.423 3.740 20 0 CHADLO Clc1cc2c(cc1N[C@@H]1CCn3cc[nH+]c31)CCC2 ZINC000528001846 330300264 /nfs/dbraw/zinc/30/02/64/330300264.db2.gz NTQKZOGNOBFRDV-CYBMUJFWSA-N 1 2 273.767 3.582 20 0 CHADLO C[C@H](CN(C)c1[nH+]ccc2ccccc21)c1nccs1 ZINC000527962124 330300819 /nfs/dbraw/zinc/30/08/19/330300819.db2.gz MRFZLDGTTBNAKX-GFCCVEGCSA-N 1 2 283.400 3.931 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2ncccc2F)oc1C ZINC000528038118 330303276 /nfs/dbraw/zinc/30/32/76/330303276.db2.gz PZPJHERLZGWEGT-MNOVXSKESA-N 1 2 262.328 3.842 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC2(CCOCC2)CC1 ZINC000528008507 330303688 /nfs/dbraw/zinc/30/36/88/330303688.db2.gz GRZJQTZFAKEMQJ-UHFFFAOYSA-N 1 2 282.387 3.632 20 0 CHADLO CC1(C)CCc2cccc(N[C@H]3CCn4cc[nH+]c43)c2O1 ZINC000528008826 330303789 /nfs/dbraw/zinc/30/37/89/330303789.db2.gz JCDJGTZADRNSLG-AWEZNQCLSA-N 1 2 283.375 3.544 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCOC(C2CC2)(C2CC2)C1 ZINC000528056761 330306435 /nfs/dbraw/zinc/30/64/35/330306435.db2.gz DCNZIQXHIAQYCH-UHFFFAOYSA-N 1 2 294.398 3.630 20 0 CHADLO COCCC1CCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000528091025 330307506 /nfs/dbraw/zinc/30/75/06/330307506.db2.gz ZPDJMGRVZLBMDU-UHFFFAOYSA-N 1 2 288.366 3.627 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc(-c2ncco2)c1 ZINC000424163598 330308027 /nfs/dbraw/zinc/30/80/27/330308027.db2.gz VNZFCGRLGPYJTB-UHFFFAOYSA-N 1 2 282.347 3.731 20 0 CHADLO Cc1ccc2sc(C[N@H+](C)Cc3ccco3)nc2c1 ZINC000528335278 330319280 /nfs/dbraw/zinc/31/92/80/330319280.db2.gz CQRUBGNYAXNGEX-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1ccc2sc(C[N@@H+](C)Cc3ccco3)nc2c1 ZINC000528335278 330319281 /nfs/dbraw/zinc/31/92/81/330319281.db2.gz CQRUBGNYAXNGEX-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1cnc(OC(C)C)c(NCc2c[nH+]cn2C(C)C)c1 ZINC000424185334 330320529 /nfs/dbraw/zinc/32/05/29/330320529.db2.gz ZFSLJYLWXNERDB-UHFFFAOYSA-N 1 2 288.395 3.567 20 0 CHADLO Cc1ccc2sc(C[N@H+]3C[C@@H](C)OC(C)(C)C3)nc2c1 ZINC000528359005 330322219 /nfs/dbraw/zinc/32/22/19/330322219.db2.gz NJAUHGUEFWLJJA-GFCCVEGCSA-N 1 2 290.432 3.604 20 0 CHADLO Cc1ccc2sc(C[N@@H+]3C[C@@H](C)OC(C)(C)C3)nc2c1 ZINC000528359005 330322220 /nfs/dbraw/zinc/32/22/20/330322220.db2.gz NJAUHGUEFWLJJA-GFCCVEGCSA-N 1 2 290.432 3.604 20 0 CHADLO Cc1ccc(C)c([N@@H+](CC2CCOCC2)C(C)C)c1 ZINC000533049833 330326433 /nfs/dbraw/zinc/32/64/33/330326433.db2.gz ZVRUORBCRVHTHF-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO Cc1ccc(C)c([N@H+](CC2CCOCC2)C(C)C)c1 ZINC000533049833 330326434 /nfs/dbraw/zinc/32/64/34/330326434.db2.gz ZVRUORBCRVHTHF-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2nc(C)cs2)c(Cl)c1 ZINC000424264237 330332752 /nfs/dbraw/zinc/33/27/52/330332752.db2.gz SYKWAOUFGPNCOP-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO O=C(CC1=CCCCC1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000106761091 330343693 /nfs/dbraw/zinc/34/36/93/330343693.db2.gz LEQREONVCJJLTE-UHFFFAOYSA-N 1 2 281.359 3.906 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC000045763849 330348139 /nfs/dbraw/zinc/34/81/39/330348139.db2.gz ONRIKWCPIUCCDE-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)sc1C ZINC000046105662 330351414 /nfs/dbraw/zinc/35/14/14/330351414.db2.gz OHZCMLYRJLVJPV-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO CC[C@@]1(C)CCN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000533179769 330352033 /nfs/dbraw/zinc/35/20/33/330352033.db2.gz DVVBNWXYHHKKCE-LBPRGKRZSA-N 1 2 258.287 3.727 20 0 CHADLO CO[C@H]1C[C@H]2c3c([nH+]c4ccccc4c3C)C[C@H]2C1 ZINC000424522911 330364446 /nfs/dbraw/zinc/36/44/46/330364446.db2.gz IAHUXZZDJZSOGR-YRGRVCCFSA-N 1 2 253.345 3.608 20 0 CHADLO Cc1ccc(NC(=O)CCc2cccc(Cl)c2)c(C)[nH+]1 ZINC000119198115 330370959 /nfs/dbraw/zinc/37/09/59/330370959.db2.gz GCMRPCIRCCESMA-UHFFFAOYSA-N 1 2 288.778 3.923 20 0 CHADLO Cc1cc(NC(=O)N(C)CCC2CCCCC2)cc[nH+]1 ZINC000119253641 330371532 /nfs/dbraw/zinc/37/15/32/330371532.db2.gz WSBQECFUOPCZDF-UHFFFAOYSA-N 1 2 275.396 3.824 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cccc(OC(C)C)c2)o1 ZINC000537024696 330385967 /nfs/dbraw/zinc/38/59/67/330385967.db2.gz UZIBAAXCQLZHOV-ZDUSSCGKSA-N 1 2 288.391 3.875 20 0 CHADLO CC(C)c1cc(Br)ccc1NCc1[nH]cc[nH+]1 ZINC000089807191 330388175 /nfs/dbraw/zinc/38/81/75/330388175.db2.gz WNSJHZNXAIIEKS-UHFFFAOYSA-N 1 2 294.196 3.908 20 0 CHADLO Cc1n[nH]cc1C[NH+]1Cc2ccccc2-c2ccccc2C1 ZINC000092968987 330415171 /nfs/dbraw/zinc/41/51/71/330415171.db2.gz VOJSKZLWHRWDEB-UHFFFAOYSA-N 1 2 289.382 3.901 20 0 CHADLO Cc1cc2[nH+]cn(Cc3ccc(F)cc3F)c2cc1C ZINC000122238248 330416305 /nfs/dbraw/zinc/41/63/05/330416305.db2.gz FATNIWZHYRSJSO-UHFFFAOYSA-N 1 2 272.298 3.980 20 0 CHADLO CC(C)C[C@H]1C[C@H](Nc2ccc3ccccc3[nH+]2)CCO1 ZINC000537389993 330435694 /nfs/dbraw/zinc/43/56/94/330435694.db2.gz PWTANTJSGASOTK-CVEARBPZSA-N 1 2 284.403 3.662 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc2ccc(Cl)cc2n1 ZINC000084188315 330485040 /nfs/dbraw/zinc/48/50/40/330485040.db2.gz HYRDQKOYOQRERP-UHFFFAOYSA-N 1 2 297.745 3.844 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2ccc(Cl)cn2)o1 ZINC000426335189 330504773 /nfs/dbraw/zinc/50/47/73/330504773.db2.gz CTKIVOVIOPYREZ-JTQLQIEISA-N 1 2 293.798 3.835 20 0 CHADLO COc1cc(C)[nH+]c(CN(C#N)c2ccccc2Cl)c1 ZINC000429791875 330555114 /nfs/dbraw/zinc/55/51/14/330555114.db2.gz JJEHTNASANKMEH-UHFFFAOYSA-N 1 2 287.750 3.540 20 0 CHADLO COC(=O)[C@@H]([NH2+]CC(C)(C)C(C)(C)C)c1ccsc1 ZINC000431121855 330579518 /nfs/dbraw/zinc/57/95/18/330579518.db2.gz RUGUNFZJOGOZHX-LBPRGKRZSA-N 1 2 283.437 3.624 20 0 CHADLO Cc1ccc(CCCC(=O)N[C@H](c2[nH]cc[nH+]2)C(C)C)cc1 ZINC000431407667 330584180 /nfs/dbraw/zinc/58/41/80/330584180.db2.gz PXNXUEGRHVLMGL-KRWDZBQOSA-N 1 2 299.418 3.554 20 0 CHADLO c1cc(OCC2CCC(COc3cc[nH+]cc3)CC2)ccn1 ZINC000431502584 330585820 /nfs/dbraw/zinc/58/58/20/330585820.db2.gz PMCJQNQJOUUZRD-UHFFFAOYSA-N 1 2 298.386 3.741 20 0 CHADLO CN(c1ccccc1)c1cc(N[C@@H]2CC[C@@H](F)C2)[nH+]cn1 ZINC000432166105 330594283 /nfs/dbraw/zinc/59/42/83/330594283.db2.gz WRVHBEHGGUWQGJ-CHWSQXEVSA-N 1 2 286.354 3.547 20 0 CHADLO Cc1nc(N2CC=C(c3ccc(Cl)cc3)CC2)cc[nH+]1 ZINC000433635113 330629761 /nfs/dbraw/zinc/62/97/61/330629761.db2.gz WWZUYEAZFFHEAO-UHFFFAOYSA-N 1 2 285.778 3.732 20 0 CHADLO Cc1ccc(N[C@H]2CCC[C@@H](C(F)(F)F)C2)c[nH+]1 ZINC000154237561 330654613 /nfs/dbraw/zinc/65/46/13/330654613.db2.gz HWZNVOMOYOURCF-MNOVXSKESA-N 1 2 258.287 3.923 20 0 CHADLO CSCc1ccc(Nc2cc(C)[nH+]c(C)n2)cc1 ZINC000436299038 330674544 /nfs/dbraw/zinc/67/45/44/330674544.db2.gz RCZNNEXICFQARN-UHFFFAOYSA-N 1 2 259.378 3.700 20 0 CHADLO COc1cccc2c(NCCC(F)(F)F)cc[nH+]c12 ZINC000436434605 330677570 /nfs/dbraw/zinc/67/75/70/330677570.db2.gz YDXZZBYTRXJWLG-UHFFFAOYSA-N 1 2 270.254 3.608 20 0 CHADLO Cc1ccc(CNC(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000436497948 330678681 /nfs/dbraw/zinc/67/86/81/330678681.db2.gz UBYAWSZTXDSXHN-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Fc1ccc(NCc2c[nH+]cn2Cc2ccccc2)cc1 ZINC000438311107 330721427 /nfs/dbraw/zinc/72/14/27/330721427.db2.gz DWWVESQENDSZNY-UHFFFAOYSA-N 1 2 281.334 3.683 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1cc(C)cc(C)c1)C(=O)OCC ZINC000439595512 330740869 /nfs/dbraw/zinc/74/08/69/330740869.db2.gz KDSIMJHQGQDDGX-GOEBONIOSA-N 1 2 277.408 3.686 20 0 CHADLO CC[C@H](F)C[NH2+][C@@H](C)c1ncc(-c2ccccc2)o1 ZINC000440531134 330750095 /nfs/dbraw/zinc/75/00/95/330750095.db2.gz ISHFUDGNKPCQET-AAEUAGOBSA-N 1 2 262.328 3.740 20 0 CHADLO CCCCC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccc(C)cc1C ZINC000441484333 330770068 /nfs/dbraw/zinc/77/00/68/330770068.db2.gz FILVITROARZJDL-KRWDZBQOSA-N 1 2 299.418 3.548 20 0 CHADLO Cc1cc(N2CC[C@@H](C)C[C@@H](C)C2)nc(C2CC2)[nH+]1 ZINC000443881798 330807081 /nfs/dbraw/zinc/80/70/81/330807081.db2.gz SSXJXQGETLFYHW-VXGBXAGGSA-N 1 2 259.397 3.535 20 0 CHADLO CC(C)[C@@H]1CCCN(c2cc[nH+]c3ccncc32)C1 ZINC000443996340 330808809 /nfs/dbraw/zinc/80/88/09/330808809.db2.gz TYCVBXBKASGSJF-CYBMUJFWSA-N 1 2 255.365 3.502 20 0 CHADLO Fc1cccc(F)c1C[NH2+]Cc1ccc2c(n1)CCCC2 ZINC000444006562 330809307 /nfs/dbraw/zinc/80/93/07/330809307.db2.gz BAEXPHJBXFMNTR-UHFFFAOYSA-N 1 2 288.341 3.528 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c2ccncc12)c1cc(F)ccc1F ZINC000444074914 330809881 /nfs/dbraw/zinc/80/98/81/330809881.db2.gz URXJSJBPFWCIBY-OAHLLOKOSA-N 1 2 299.324 3.893 20 0 CHADLO Cc1ccccc1[C@@H](CC(F)(F)F)[NH2+]Cc1ncccn1 ZINC000444790418 330825879 /nfs/dbraw/zinc/82/58/79/330825879.db2.gz IMMZRYKKOLCNQV-CYBMUJFWSA-N 1 2 295.308 3.568 20 0 CHADLO Cc1c2cc(C)ccc2sc1C(=O)N(C)Cc1[nH]cc[nH+]1 ZINC000446047354 330843097 /nfs/dbraw/zinc/84/30/97/330843097.db2.gz PPIPDJJNIAKCNW-UHFFFAOYSA-N 1 2 299.399 3.513 20 0 CHADLO Cc1ccc(NC(=O)NC(C)(C)c2cccs2)c(C)[nH+]1 ZINC000447185665 330862651 /nfs/dbraw/zinc/86/26/51/330862651.db2.gz YQISXBUYLYWEOS-UHFFFAOYSA-N 1 2 289.404 3.817 20 0 CHADLO CCc1cc(OC[C@@H]2CCO[C@H](C)C2)c2ccccc2[nH+]1 ZINC000447323404 330864719 /nfs/dbraw/zinc/86/47/19/330864719.db2.gz GBAJRGCYFWPJLG-ZIAGYGMSSA-N 1 2 285.387 3.991 20 0 CHADLO C[C@@H]1CCC[C@@]1(C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448840077 330885499 /nfs/dbraw/zinc/88/54/99/330885499.db2.gz HYPWNICRRGPKBF-SJKOYZFVSA-N 1 2 283.375 3.842 20 0 CHADLO CCSCc1cc[nH+]c(NC2CCC(COC)CC2)c1 ZINC000449216000 330906828 /nfs/dbraw/zinc/90/68/28/330906828.db2.gz PLEWSJUQULGCNX-UHFFFAOYSA-N 1 2 294.464 3.952 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000449837995 330951635 /nfs/dbraw/zinc/95/16/35/330951635.db2.gz YREAXOQOAJRTTJ-LSDHHAIUSA-N 1 2 291.439 3.578 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+][C@@H](C)c1csnn1 ZINC000450662404 330991897 /nfs/dbraw/zinc/99/18/97/330991897.db2.gz IOAKHHNEFCEOOZ-WCQYABFASA-N 1 2 291.420 3.739 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nnc(C3CC3)s2)cc1F ZINC000450680612 330993213 /nfs/dbraw/zinc/99/32/13/330993213.db2.gz LBOULBNDTALOBK-SNVBAGLBSA-N 1 2 291.395 3.714 20 0 CHADLO C[C@H](CC(C)(C)O)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000450688762 330993742 /nfs/dbraw/zinc/99/37/42/330993742.db2.gz FTUOKDWPNQTGHB-SNVBAGLBSA-N 1 2 278.783 3.850 20 0 CHADLO Cc1ccc[nH+]c1CCCNc1ncc(Cl)cc1F ZINC000450723613 330995937 /nfs/dbraw/zinc/99/59/37/330995937.db2.gz IWVKBRVSYBURDH-UHFFFAOYSA-N 1 2 279.746 3.622 20 0 CHADLO Cc1nc(N2CC(c3cccc(Cl)c3)C2)c(C)c(C)[nH+]1 ZINC000450822172 331001136 /nfs/dbraw/zinc/00/11/36/331001136.db2.gz SOXSBIGUXUWJGE-UHFFFAOYSA-N 1 2 287.794 3.659 20 0 CHADLO CC[N@H+](Cc1c[nH]c2ccccc12)[C@@H](C)c1csnn1 ZINC000450832149 331001757 /nfs/dbraw/zinc/00/17/57/331001757.db2.gz QRIGEQPFYDAKHH-NSHDSACASA-N 1 2 286.404 3.603 20 0 CHADLO CC[N@@H+](Cc1c[nH]c2ccccc12)[C@@H](C)c1csnn1 ZINC000450832149 331001758 /nfs/dbraw/zinc/00/17/58/331001758.db2.gz QRIGEQPFYDAKHH-NSHDSACASA-N 1 2 286.404 3.603 20 0 CHADLO CCCc1ncc(CNc2cc(C(F)(F)F)cc[nH+]2)o1 ZINC000450843154 331002362 /nfs/dbraw/zinc/00/23/62/331002362.db2.gz DDLSBUSZJAIGGN-UHFFFAOYSA-N 1 2 285.269 3.653 20 0 CHADLO CC(=O)c1ccc(C[N@@H+]2CCOc3ccc(C)cc3C2)cc1 ZINC000450983428 331010201 /nfs/dbraw/zinc/01/02/01/331010201.db2.gz QLHWZHJWLPZAMF-UHFFFAOYSA-N 1 2 295.382 3.592 20 0 CHADLO CC(=O)c1ccc(C[N@H+]2CCOc3ccc(C)cc3C2)cc1 ZINC000450983428 331010202 /nfs/dbraw/zinc/01/02/02/331010202.db2.gz QLHWZHJWLPZAMF-UHFFFAOYSA-N 1 2 295.382 3.592 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCC[C@@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451020452 331012199 /nfs/dbraw/zinc/01/21/99/331012199.db2.gz ZXAZSNWKMYOZIE-SWLSCSKDSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@H](F)C[N@H+]1CCC[C@@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451020452 331012200 /nfs/dbraw/zinc/01/22/00/331012200.db2.gz ZXAZSNWKMYOZIE-SWLSCSKDSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451020452 331012201 /nfs/dbraw/zinc/01/22/01/331012201.db2.gz ZXAZSNWKMYOZIE-SWLSCSKDSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@H](F)C[N@H+]1CCC[C@@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451020452 331012202 /nfs/dbraw/zinc/01/22/02/331012202.db2.gz ZXAZSNWKMYOZIE-SWLSCSKDSA-N 1 2 275.371 3.756 20 0 CHADLO FC(F)(F)C1CCC(SCCn2cc[nH+]c2)CC1 ZINC000451036159 331012831 /nfs/dbraw/zinc/01/28/31/331012831.db2.gz JZEGHSWYSZPRBL-UHFFFAOYSA-N 1 2 278.343 3.737 20 0 CHADLO FC1(F)CC(C[N@@H+]2CCn3c(cc4ccccc43)C2)C1 ZINC000451052057 331013685 /nfs/dbraw/zinc/01/36/85/331013685.db2.gz VFLNTCVBVZCZJO-UHFFFAOYSA-N 1 2 276.330 3.502 20 0 CHADLO FC1(F)CC(C[N@H+]2CCn3c(cc4ccccc43)C2)C1 ZINC000451052057 331013686 /nfs/dbraw/zinc/01/36/86/331013686.db2.gz VFLNTCVBVZCZJO-UHFFFAOYSA-N 1 2 276.330 3.502 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1cccc(C2CC2)c1 ZINC000451109628 331015707 /nfs/dbraw/zinc/01/57/07/331015707.db2.gz BIMRZLZSUYYUGS-MNOVXSKESA-N 1 2 273.405 3.827 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ncc(-c3cccc(F)c3)o2)C1 ZINC000451111252 331015934 /nfs/dbraw/zinc/01/59/34/331015934.db2.gz FFGUYAXOYVLJQL-MRXNPFEDSA-N 1 2 292.329 3.805 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ncc(-c3cccc(F)c3)o2)C1 ZINC000451111252 331015935 /nfs/dbraw/zinc/01/59/35/331015935.db2.gz FFGUYAXOYVLJQL-MRXNPFEDSA-N 1 2 292.329 3.805 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@@H+]1CC[C@H](F)C1 ZINC000451187360 331019386 /nfs/dbraw/zinc/01/93/86/331019386.db2.gz NDOGBGPWWUTUGE-CABZTGNLSA-N 1 2 272.161 3.554 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@H+]1CC[C@H](F)C1 ZINC000451187360 331019387 /nfs/dbraw/zinc/01/93/87/331019387.db2.gz NDOGBGPWWUTUGE-CABZTGNLSA-N 1 2 272.161 3.554 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CC[C@H](F)C2)cc1Cl ZINC000451194888 331019897 /nfs/dbraw/zinc/01/98/97/331019897.db2.gz KWJGMMVUAPLDRC-LBPRGKRZSA-N 1 2 271.763 3.671 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CC[C@H](F)C2)cc1Cl ZINC000451194888 331019898 /nfs/dbraw/zinc/01/98/98/331019898.db2.gz KWJGMMVUAPLDRC-LBPRGKRZSA-N 1 2 271.763 3.671 20 0 CHADLO Clc1ccc([C@H]2CCCC[N@@H+]2Cc2ncccn2)cc1 ZINC000451731239 331040455 /nfs/dbraw/zinc/04/04/55/331040455.db2.gz DELYJBRJQKKQEJ-OAHLLOKOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1ccc([C@H]2CCCC[N@H+]2Cc2ncccn2)cc1 ZINC000451731239 331040456 /nfs/dbraw/zinc/04/04/56/331040456.db2.gz DELYJBRJQKKQEJ-OAHLLOKOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1ccc([C@H]2CCCC[N@@H+]2Cc2cnns2)cc1 ZINC000451732711 331040631 /nfs/dbraw/zinc/04/06/31/331040631.db2.gz HDRJMPBPSYDEAW-CQSZACIVSA-N 1 2 293.823 3.919 20 0 CHADLO Clc1ccc([C@H]2CCCC[N@H+]2Cc2cnns2)cc1 ZINC000451732711 331040632 /nfs/dbraw/zinc/04/06/32/331040632.db2.gz HDRJMPBPSYDEAW-CQSZACIVSA-N 1 2 293.823 3.919 20 0 CHADLO CC(C)[C@H](C(=O)Nc1c[nH+]c2n1CCCC2)c1ccccc1 ZINC000452333559 331062620 /nfs/dbraw/zinc/06/26/20/331062620.db2.gz JXBQQXFMNCIUKA-KRWDZBQOSA-N 1 2 297.402 3.598 20 0 CHADLO CCC1(NC(=O)c2ccc(C)c(Oc3cc[nH+]cc3)c2)CC1 ZINC000452456454 331065082 /nfs/dbraw/zinc/06/50/82/331065082.db2.gz BXDDOWGHFAWOMQ-UHFFFAOYSA-N 1 2 296.370 3.855 20 0 CHADLO Cc1ccc(CS(=O)(=O)CC2(C)CCCCC2)c(C)[nH+]1 ZINC000453042109 331084666 /nfs/dbraw/zinc/08/46/66/331084666.db2.gz QESSSYSFHYLRMM-UHFFFAOYSA-N 1 2 295.448 3.584 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@@H]2CC[N@@H+]3CCCC[C@H]23)c2sccc21 ZINC000453070131 331086331 /nfs/dbraw/zinc/08/63/31/331086331.db2.gz ZZEMZJHAGNGXOS-DMRZNYOFSA-N 1 2 290.476 3.903 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@@H]2CC[N@H+]3CCCC[C@H]23)c2sccc21 ZINC000453070131 331086332 /nfs/dbraw/zinc/08/63/32/331086332.db2.gz ZZEMZJHAGNGXOS-DMRZNYOFSA-N 1 2 290.476 3.903 20 0 CHADLO CSc1ccc(F)cc1C[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000453128485 331092066 /nfs/dbraw/zinc/09/20/66/331092066.db2.gz WRNHYVXCDOEECW-GWCFXTLKSA-N 1 2 291.395 3.540 20 0 CHADLO CCc1[nH+]c2ccccc2n1Cc1noc([C@@H]2C[C@H]2CC)n1 ZINC000453138018 331093142 /nfs/dbraw/zinc/09/31/42/331093142.db2.gz DISNIUSKDGIVGV-VXGBXAGGSA-N 1 2 296.374 3.544 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1ccccc1OC1CCC1 ZINC000453145972 331094091 /nfs/dbraw/zinc/09/40/91/331094091.db2.gz OLLBKBIXHFLEKZ-CQSZACIVSA-N 1 2 299.418 3.685 20 0 CHADLO CCOCc1ccc(N[C@H](C)c2[nH+]ccn2CC)cc1 ZINC000453163147 331095986 /nfs/dbraw/zinc/09/59/86/331095986.db2.gz IPBUWMVWNWUGSD-CYBMUJFWSA-N 1 2 273.380 3.613 20 0 CHADLO CCc1ccc(N[C@H]2CCn3cc[nH+]c32)cc1CC ZINC000453178516 331097542 /nfs/dbraw/zinc/09/75/42/331097542.db2.gz HMSASNBQTCJDNH-HNNXBMFYSA-N 1 2 255.365 3.565 20 0 CHADLO CCCCn1ncc(N[C@@H]2CCn3cc[nH+]c32)c1C(C)C ZINC000453208357 331101511 /nfs/dbraw/zinc/10/15/11/331101511.db2.gz KAKZJIMXGTUOGD-CYBMUJFWSA-N 1 2 287.411 3.560 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)c1ccc(OC)c(OC)c1)C(F)F ZINC000453227779 331103982 /nfs/dbraw/zinc/10/39/82/331103982.db2.gz BISPNJYOTORWHA-NWDGAFQWSA-N 1 2 287.350 3.788 20 0 CHADLO CCc1nc2c(s1)[C@H]([NH2+][C@H](CC)C(F)F)CCC2 ZINC000453249386 331107417 /nfs/dbraw/zinc/10/74/17/331107417.db2.gz QJHACNDLTFUMCE-RKDXNWHRSA-N 1 2 274.380 3.716 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCc2c1c(F)ccc2F)C(F)F ZINC000453254900 331108125 /nfs/dbraw/zinc/10/81/25/331108125.db2.gz YOKRXBXBLMBGJU-GHMZBOCLSA-N 1 2 261.262 3.585 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc2c(s1)CCC2)C(F)F ZINC000453254912 331108175 /nfs/dbraw/zinc/10/81/75/331108175.db2.gz YRPWRHPYCQNAKJ-SCZZXKLOSA-N 1 2 259.365 3.931 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1C[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000453277866 331110191 /nfs/dbraw/zinc/11/01/91/331110191.db2.gz CESQBVJADQZQRB-DJIMGWMZSA-N 1 2 298.434 3.700 20 0 CHADLO CCc1nc2c(s1)[C@H]([NH2+][C@H](C)C(C)(F)F)CCC2 ZINC000453280686 331110489 /nfs/dbraw/zinc/11/04/89/331110489.db2.gz HETYUEKKOKJKPS-RKDXNWHRSA-N 1 2 274.380 3.716 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@H](C)C(C)(F)F)cnn1C(C)(C)C ZINC000453298974 331112778 /nfs/dbraw/zinc/11/27/78/331112778.db2.gz TWJAJDWKMWVGCH-GXSJLCMTSA-N 1 2 273.371 3.641 20 0 CHADLO C[C@@H](Nc1ccccc1C(C)(F)F)c1[nH+]ccn1C ZINC000453298549 331112794 /nfs/dbraw/zinc/11/27/94/331112794.db2.gz SRKFDGIANAKRBS-SNVBAGLBSA-N 1 2 265.307 3.705 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@@H](C)C(C)(F)F)cnn1C(C)(C)C ZINC000453298975 331112850 /nfs/dbraw/zinc/11/28/50/331112850.db2.gz TWJAJDWKMWVGCH-KOLCDFICSA-N 1 2 273.371 3.641 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(-n2cccn2)cc1 ZINC000453299560 331112886 /nfs/dbraw/zinc/11/28/86/331112886.db2.gz USNJPJKCJGCFFD-NEPJUHHUSA-N 1 2 279.334 3.567 20 0 CHADLO CCn1ccnc1C[NH2+][C@H](C)c1cc2ccc(C)cc2o1 ZINC000453310824 331114709 /nfs/dbraw/zinc/11/47/09/331114709.db2.gz GEQAZPDXBMIKEN-CYBMUJFWSA-N 1 2 283.375 3.808 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)cc(C)c1F ZINC000453313059 331115079 /nfs/dbraw/zinc/11/50/79/331115079.db2.gz DBBUNCNUTWECCB-LLVKDONJSA-N 1 2 295.789 3.680 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)c1C ZINC000453317102 331115704 /nfs/dbraw/zinc/11/57/04/331115704.db2.gz IRSJSOJVQNREHE-GFCCVEGCSA-N 1 2 277.799 3.541 20 0 CHADLO CCc1ccc(N[C@H](c2[nH+]ccn2C)C2CC2)cc1OC ZINC000453338778 331118707 /nfs/dbraw/zinc/11/87/07/331118707.db2.gz AYNWBLDBPPOYJU-INIZCTEOSA-N 1 2 285.391 3.554 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2cnc(C3CC3)o2)o1 ZINC000453350942 331120654 /nfs/dbraw/zinc/12/06/54/331120654.db2.gz KDJLTSNRMMPJNI-JTQLQIEISA-N 1 2 260.337 3.558 20 0 CHADLO CCc1cccc(N(C)Cc2[nH+]ccn2CC(F)(F)F)c1 ZINC000453624092 331137152 /nfs/dbraw/zinc/13/71/52/331137152.db2.gz ZWMCXALKUBKFJJ-UHFFFAOYSA-N 1 2 297.324 3.644 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3=CC[C@@H](C)CC3)cc2[nH+]1 ZINC000454441519 331146197 /nfs/dbraw/zinc/14/61/97/331146197.db2.gz SZCSPLDIHPKTSV-SNVBAGLBSA-N 1 2 269.348 3.556 20 0 CHADLO Cc1ccc(NC(=O)N2CC[C@H](CC(C)(C)C)C2)c(C)[nH+]1 ZINC000455035794 331159347 /nfs/dbraw/zinc/15/93/47/331159347.db2.gz BMHRIPKWQLZQIH-CQSZACIVSA-N 1 2 289.423 3.988 20 0 CHADLO CC[C@@]1(C(C)C)C[C@H]1NC(=O)Nc1c(C)cc[nH+]c1C ZINC000455433076 331169814 /nfs/dbraw/zinc/16/98/14/331169814.db2.gz FJAUEPVPUCIJNL-CJNGLKHVSA-N 1 2 275.396 3.645 20 0 CHADLO Cc1cc(C)c(NC(=O)N(CC(C)C)C2CC2)c(C)[nH+]1 ZINC000455473780 331170457 /nfs/dbraw/zinc/17/04/57/331170457.db2.gz JUEHXFGFVXGSHZ-UHFFFAOYSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cccc(CN(C)C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000455476092 331170584 /nfs/dbraw/zinc/17/05/84/331170584.db2.gz LIWDMWHEWHOJDZ-UHFFFAOYSA-N 1 2 297.402 3.979 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)[C@H](C)CC1CCCCC1 ZINC000456349866 331190512 /nfs/dbraw/zinc/19/05/12/331190512.db2.gz JOHYFKBGNRIKCR-KGLIPLIRSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C(CC(C)C)CC(C)C ZINC000456353922 331190616 /nfs/dbraw/zinc/19/06/16/331190616.db2.gz OUTNMDZOPXAXLB-CQSZACIVSA-N 1 2 293.455 3.789 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C[C@H]1CCCCC1(C)C ZINC000456356447 331190681 /nfs/dbraw/zinc/19/06/81/331190681.db2.gz OWBZGDQUIIHNBM-UONOGXRCSA-N 1 2 291.439 3.687 20 0 CHADLO CC(C)CC1(C(=O)N[C@H]2C[C@@H](C)n3cc[nH+]c32)CCCC1 ZINC000456396516 331192042 /nfs/dbraw/zinc/19/20/42/331192042.db2.gz BBNWNFHEBINPGL-KGLIPLIRSA-N 1 2 289.423 3.612 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)c2cccc3ccsc32)c2[nH+]ccn21 ZINC000456396539 331192121 /nfs/dbraw/zinc/19/21/21/331192121.db2.gz BDDSVJFPCZHZAW-MFKMUULPSA-N 1 2 297.383 3.534 20 0 CHADLO Cc1cc(C)cc(CCC(=O)NCc2c[nH+]c(C)cc2C)c1 ZINC000456840233 331207249 /nfs/dbraw/zinc/20/72/49/331207249.db2.gz NFVCOHWTMRVUNP-UHFFFAOYSA-N 1 2 296.414 3.564 20 0 CHADLO CC[C@H]1CC[C@H](C(=O)NCc2c[nH+]c(C)cc2C)CC1 ZINC000456850317 331207389 /nfs/dbraw/zinc/20/73/89/331207389.db2.gz WHKKYNFQHWQBNS-SHTZXODSSA-N 1 2 274.408 3.531 20 0 CHADLO CCCCN(CCCC)C(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000459051657 331268498 /nfs/dbraw/zinc/26/84/98/331268498.db2.gz FVUCVXWMWWRCAC-AWEZNQCLSA-N 1 2 294.443 3.576 20 0 CHADLO CC[N@H+](Cc1cnn(C)c1)Cc1c(F)cc(C)cc1Cl ZINC000459317989 331275941 /nfs/dbraw/zinc/27/59/41/331275941.db2.gz BROROFQFDDQZSN-UHFFFAOYSA-N 1 2 295.789 3.543 20 0 CHADLO CC[N@@H+](Cc1cnn(C)c1)Cc1c(F)cc(C)cc1Cl ZINC000459317989 331275942 /nfs/dbraw/zinc/27/59/42/331275942.db2.gz BROROFQFDDQZSN-UHFFFAOYSA-N 1 2 295.789 3.543 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1cnc(C3CC3)nc1)C2 ZINC000459331685 331276579 /nfs/dbraw/zinc/27/65/79/331276579.db2.gz FEORYTSZTRJDQT-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1cnc(C3CC3)nc1)C2 ZINC000459331685 331276580 /nfs/dbraw/zinc/27/65/80/331276580.db2.gz FEORYTSZTRJDQT-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO c1cnn(-c2ccc([NH2+]C3CC4(CCC4)C3)cc2)c1 ZINC000459359708 331278146 /nfs/dbraw/zinc/27/81/46/331278146.db2.gz UHVPWXRSGOGZHH-UHFFFAOYSA-N 1 2 253.349 3.617 20 0 CHADLO FC(F)C[NH2+]Cc1c(OC(F)F)ccc2ccccc21 ZINC000459541252 331286659 /nfs/dbraw/zinc/28/66/59/331286659.db2.gz SXSYPQAXRMORAO-UHFFFAOYSA-N 1 2 287.256 3.796 20 0 CHADLO CC(C)(C)c1cnc(C[NH+]2CC3(C2)CCCC3)s1 ZINC000459567457 331288243 /nfs/dbraw/zinc/28/82/43/331288243.db2.gz VZNDSWPERZSSTN-UHFFFAOYSA-N 1 2 264.438 3.817 20 0 CHADLO CC[C@H]([NH2+]Cc1c(C)nc2scc(C3CC3)n12)C(F)F ZINC000459577721 331289071 /nfs/dbraw/zinc/28/90/71/331289071.db2.gz WJPVIFVSPKKAAQ-JTQLQIEISA-N 1 2 299.390 3.715 20 0 CHADLO CCc1noc(C)c1C[NH2+]Cc1c(F)cc(C)cc1Cl ZINC000459583894 331289289 /nfs/dbraw/zinc/28/92/89/331289289.db2.gz GTLIENCFHDJYRR-UHFFFAOYSA-N 1 2 296.773 3.936 20 0 CHADLO CC[N@@H+]1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000459589419 331289742 /nfs/dbraw/zinc/28/97/42/331289742.db2.gz IPCIIXSDEPCBJO-CYBMUJFWSA-N 1 2 263.772 3.763 20 0 CHADLO CC[N@H+]1CCCC[C@@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000459589419 331289743 /nfs/dbraw/zinc/28/97/43/331289743.db2.gz IPCIIXSDEPCBJO-CYBMUJFWSA-N 1 2 263.772 3.763 20 0 CHADLO CCC1(CC)C[NH+](Cc2ncc(C(C)C)s2)C1 ZINC000459601437 331290147 /nfs/dbraw/zinc/29/01/47/331290147.db2.gz XXCREKLTSTVFDA-UHFFFAOYSA-N 1 2 252.427 3.889 20 0 CHADLO c1sc(C2CC2)nc1C[NH+]1CC2(C1)CCCCC2 ZINC000459601794 331290237 /nfs/dbraw/zinc/29/02/37/331290237.db2.gz ZPUYKBHSTVKWFV-UHFFFAOYSA-N 1 2 262.422 3.787 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2cccc(F)c2)C1 ZINC000459638745 331291523 /nfs/dbraw/zinc/29/15/23/331291523.db2.gz NNFPWRPKLPSJOW-UHFFFAOYSA-N 1 2 261.262 3.600 20 0 CHADLO CCn1nc(C)c(C[N@@H+]2Cc3ccc(Cl)cc3C2)c1C ZINC000459743023 331297638 /nfs/dbraw/zinc/29/76/38/331297638.db2.gz GNYYWYZHDYIKLZ-UHFFFAOYSA-N 1 2 289.810 3.689 20 0 CHADLO CCn1nc(C)c(C[N@H+]2Cc3ccc(Cl)cc3C2)c1C ZINC000459743023 331297639 /nfs/dbraw/zinc/29/76/39/331297639.db2.gz GNYYWYZHDYIKLZ-UHFFFAOYSA-N 1 2 289.810 3.689 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1ccn(C)n1 ZINC000459744970 331297768 /nfs/dbraw/zinc/29/77/68/331297768.db2.gz IBGYUAPWBBLRQW-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CS3)cc1C(F)(F)F ZINC000459771057 331299882 /nfs/dbraw/zinc/29/98/82/331299882.db2.gz SJKTWULIYBURBA-UWVGGRQHSA-N 1 2 291.313 3.534 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CS3)cc1C(F)(F)F ZINC000459771057 331299883 /nfs/dbraw/zinc/29/98/83/331299883.db2.gz SJKTWULIYBURBA-UWVGGRQHSA-N 1 2 291.313 3.534 20 0 CHADLO Clc1scc(C[N@@H+]2C[C@@H]3C[C@H]2CS3)c1Cl ZINC000459783423 331300886 /nfs/dbraw/zinc/30/08/86/331300886.db2.gz ZNPABZDJPSXIDR-YUMQZZPRSA-N 1 2 280.245 3.745 20 0 CHADLO Clc1scc(C[N@H+]2C[C@@H]3C[C@H]2CS3)c1Cl ZINC000459783423 331300887 /nfs/dbraw/zinc/30/08/87/331300887.db2.gz ZNPABZDJPSXIDR-YUMQZZPRSA-N 1 2 280.245 3.745 20 0 CHADLO CC[C@H]1CCC[C@@H]1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000459935767 331303545 /nfs/dbraw/zinc/30/35/45/331303545.db2.gz UDYMBEUYNVELBY-KBPBESRZSA-N 1 2 260.381 3.772 20 0 CHADLO CC[C@H]1CCC[C@H]1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000459935770 331303596 /nfs/dbraw/zinc/30/35/96/331303596.db2.gz UDYMBEUYNVELBY-UONOGXRCSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1nc(N[C@H]2CCCC[C@H]2c2ccccc2)cc[nH+]1 ZINC000462788858 331329122 /nfs/dbraw/zinc/32/91/22/331329122.db2.gz ISNQIYRROFNOTH-HOTGVXAUSA-N 1 2 267.376 3.923 20 0 CHADLO Cc1ccc(CCC2CCN(c3cc[nH+]c(C)n3)CC2)cc1 ZINC000462923072 331335145 /nfs/dbraw/zinc/33/51/45/331335145.db2.gz KGTDDHAUONCYGY-UHFFFAOYSA-N 1 2 295.430 3.943 20 0 CHADLO C[C@@H](CNc1ccc2ccccc2[nH+]1)Oc1ccc(F)cc1 ZINC000463072937 331339423 /nfs/dbraw/zinc/33/94/23/331339423.db2.gz XUCPIOTVLWLQIM-ZDUSSCGKSA-N 1 2 296.345 3.675 20 0 CHADLO C[C@@H](CNc1ccc2ccccc2[nH+]1)Oc1cccc(F)c1 ZINC000463077379 331339601 /nfs/dbraw/zinc/33/96/01/331339601.db2.gz IJOYUDNHKGQVPZ-ZDUSSCGKSA-N 1 2 296.345 3.675 20 0 CHADLO C[C@H]1CC[N@H+](C[C@@H]2C[C@H]2c2ccccc2)CC1(F)F ZINC000463209605 331342387 /nfs/dbraw/zinc/34/23/87/331342387.db2.gz CNNGORXVHYXRLF-QEJZJMRPSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@H]1CC[N@@H+](C[C@@H]2C[C@H]2c2ccccc2)CC1(F)F ZINC000463209605 331342388 /nfs/dbraw/zinc/34/23/88/331342388.db2.gz CNNGORXVHYXRLF-QEJZJMRPSA-N 1 2 265.347 3.767 20 0 CHADLO CC(C)[C@@H](C)SCCc1[nH+]c2ccccc2n1C ZINC000463232725 331343301 /nfs/dbraw/zinc/34/33/01/331343301.db2.gz IFYCCKBMMXVLHJ-GFCCVEGCSA-N 1 2 262.422 3.894 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1ccsc1 ZINC000463516319 331348889 /nfs/dbraw/zinc/34/88/89/331348889.db2.gz MGMFMMMQOMQXAE-UHFFFAOYSA-N 1 2 291.807 3.805 20 0 CHADLO COc1ccc2c(c1)[nH+]ccc2OCc1cccc(F)c1 ZINC000465015753 331376016 /nfs/dbraw/zinc/37/60/16/331376016.db2.gz YVCNXZCTZJQFQB-UHFFFAOYSA-N 1 2 283.302 3.962 20 0 CHADLO c1c(CN2CCC=Cc3ccccc32)[nH+]c2ccccn12 ZINC000467276088 331413616 /nfs/dbraw/zinc/41/36/16/331413616.db2.gz XNFMWCFWCNACLY-UHFFFAOYSA-N 1 2 275.355 3.758 20 0 CHADLO CC1CC(Nc2cccc3c2CN(c2cccc[nH+]2)C3)C1 ZINC000467749321 331423744 /nfs/dbraw/zinc/42/37/44/331423744.db2.gz MDSHZLUBWPHJJP-UHFFFAOYSA-N 1 2 279.387 3.812 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@@H]2COc3ccc(F)cc32)o1 ZINC000468036479 331427190 /nfs/dbraw/zinc/42/71/90/331427190.db2.gz KBQVRJXRIRXIRS-IINYFYTJSA-N 1 2 275.323 3.765 20 0 CHADLO c1[nH+]c2ccccn2c1CN(CC1CC1)c1ccccc1 ZINC000469188034 331447322 /nfs/dbraw/zinc/44/73/22/331447322.db2.gz GBUJJOXPGKXFQM-UHFFFAOYSA-N 1 2 277.371 3.751 20 0 CHADLO C[C@H]([NH2+][C@H]1CCC[C@@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC000470329365 331479707 /nfs/dbraw/zinc/47/97/07/331479707.db2.gz XIVYYJBLRPEIDG-IMJJTQAJSA-N 1 2 289.419 3.643 20 0 CHADLO CC[C@H]1CC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000479411350 331727697 /nfs/dbraw/zinc/72/76/97/331727697.db2.gz QJIYUFFFANHPBA-KOMQPUFPSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1[nH+]c[nH]c1CNC(=O)[C@H](CC1CCCCC1)C(C)C ZINC000479999845 331753714 /nfs/dbraw/zinc/75/37/14/331753714.db2.gz YCTZNPLEZQLAGE-OAHLLOKOSA-N 1 2 291.439 3.577 20 0 CHADLO Fc1ccc([C@@H]2CCN(c3cc[nH+]c4ccncc43)C2)cc1 ZINC000480779081 331788088 /nfs/dbraw/zinc/78/80/88/331788088.db2.gz JYWZJFFYTJSKMO-CQSZACIVSA-N 1 2 293.345 3.763 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@@H]1CCC[C@@H](C)[C@H]1C ZINC000485500718 331915786 /nfs/dbraw/zinc/91/57/86/331915786.db2.gz REDRDOAXZZBONM-KYOSRNDESA-N 1 2 289.423 3.892 20 0 CHADLO Fc1c[nH+]ccc1NCc1cccc(OC2CCCC2)c1 ZINC000486342041 331935442 /nfs/dbraw/zinc/93/54/42/331935442.db2.gz OVUBBSOFSMYUIP-UHFFFAOYSA-N 1 2 286.350 3.576 20 0 CHADLO COC(=O)C1([NH2+]Cc2ccc(C3CCC3)cc2)CCCC1 ZINC000488130799 331991496 /nfs/dbraw/zinc/99/14/96/331991496.db2.gz WRRNOLIZHNBIIM-UHFFFAOYSA-N 1 2 287.403 3.530 20 0 CHADLO C[C@H]1C[C@@H]1CNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000488440026 332008750 /nfs/dbraw/zinc/00/87/50/332008750.db2.gz AGGVZGKYFQWNCT-DZGCQCFKSA-N 1 2 279.387 3.670 20 0 CHADLO CSc1ccc(N[C@H]2CS[C@H](C(C)C)C2)[nH+]c1 ZINC000488449295 332010160 /nfs/dbraw/zinc/01/01/60/332010160.db2.gz PEJCEFQRJGJFAY-PWSUYJOCSA-N 1 2 268.451 3.746 20 0 CHADLO C[C@@H]1C[C@H]1C[N@@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000488521381 332015340 /nfs/dbraw/zinc/01/53/40/332015340.db2.gz XPEWHIKNAMVJPW-XUJVJEKNSA-N 1 2 299.336 3.735 20 0 CHADLO C[C@@H]1C[C@H]1C[N@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000488521381 332015341 /nfs/dbraw/zinc/01/53/41/332015341.db2.gz XPEWHIKNAMVJPW-XUJVJEKNSA-N 1 2 299.336 3.735 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000488521378 332015357 /nfs/dbraw/zinc/01/53/57/332015357.db2.gz XPEWHIKNAMVJPW-JMSVASOKSA-N 1 2 299.336 3.735 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000488521378 332015358 /nfs/dbraw/zinc/01/53/58/332015358.db2.gz XPEWHIKNAMVJPW-JMSVASOKSA-N 1 2 299.336 3.735 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000488587786 332019464 /nfs/dbraw/zinc/01/94/64/332019464.db2.gz ZXRYPPKPEUXYEJ-HFAKWTLXSA-N 1 2 283.774 3.508 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000488587786 332019465 /nfs/dbraw/zinc/01/94/65/332019465.db2.gz ZXRYPPKPEUXYEJ-HFAKWTLXSA-N 1 2 283.774 3.508 20 0 CHADLO Cc1cc(NCCCC2CCCC2)nc(-c2ccncc2)[nH+]1 ZINC000489187153 332042905 /nfs/dbraw/zinc/04/29/05/332042905.db2.gz WHBDIMSWOVNFOT-UHFFFAOYSA-N 1 2 296.418 3.651 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+][C@@H](c1ccc(F)cc1)C1CCC1 ZINC000489992236 332075847 /nfs/dbraw/zinc/07/58/47/332075847.db2.gz YUZVSLAVFJDLGE-MRXNPFEDSA-N 1 2 293.382 3.598 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@@H](CC)c1ccccc1 ZINC000511881550 332946582 /nfs/dbraw/zinc/94/65/82/332946582.db2.gz WRWXPWYZGQGTRJ-CQSZACIVSA-N 1 2 282.387 3.588 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1cccc2ccccc21 ZINC000492249402 332389001 /nfs/dbraw/zinc/38/90/01/332389001.db2.gz GDOVJIPVNPKZGH-KHPPLWFESA-N 1 2 291.354 3.708 20 0 CHADLO CCc1cccc(NC(=O)/C=C/c2[nH+]ccn2CC)c1CC ZINC000492952980 332420036 /nfs/dbraw/zinc/42/00/36/332420036.db2.gz OEASLRLSIBZPSG-ZHACJKMWSA-N 1 2 297.402 3.680 20 0 CHADLO O=C(C=Cc1c[nH]c[nH+]1)Nc1ccccc1-c1ccccc1 ZINC000492982764 332421281 /nfs/dbraw/zinc/42/12/81/332421281.db2.gz LIENCUYDDGFFIN-ZHACJKMWSA-N 1 2 289.338 3.729 20 0 CHADLO CCOC=CC(=O)Nc1c2ccccc2[nH+]c(C)c1C(C)C ZINC000493416357 332439328 /nfs/dbraw/zinc/43/93/28/332439328.db2.gz HYELDKMZKKIIGG-KHPPLWFESA-N 1 2 298.386 3.577 20 0 CHADLO Cc1ccccc1[C@@H](C(C)C)N(C)C(=O)C=Cc1c[nH]c[nH+]1 ZINC000493497935 332442791 /nfs/dbraw/zinc/44/27/91/332442791.db2.gz QBQWDIVJQXFEPP-QZEKMECESA-N 1 2 297.402 3.587 20 0 CHADLO CC[C@@H](NC(=O)/C=C/c1ccc(C2CC2)cc1)c1[nH]cc[nH+]1 ZINC000493784463 332453884 /nfs/dbraw/zinc/45/38/84/332453884.db2.gz GTZSCMXAYZAHEQ-ZWIJEDICSA-N 1 2 295.386 3.568 20 0 CHADLO CC1(C)CN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000553275902 334373232 /nfs/dbraw/zinc/37/32/32/334373232.db2.gz XXSFRTSSJHUTQK-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO CCCC[C@@H](C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000503463501 332690072 /nfs/dbraw/zinc/69/00/72/332690072.db2.gz IDQZVWCXNTZOOS-GFCCVEGCSA-N 1 2 271.364 3.842 20 0 CHADLO FC(F)C1([NH2+][C@@H]2CCc3ccc(Cl)cc32)CC1 ZINC000503931467 332699798 /nfs/dbraw/zinc/69/97/98/332699798.db2.gz VXBMNJDFZSLBKR-LLVKDONJSA-N 1 2 257.711 3.715 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H]1CCC[C@@H]1CCC(C)C ZINC000504157417 332705026 /nfs/dbraw/zinc/70/50/26/332705026.db2.gz PPYYVRKGNKPPNM-ZBFHGGJFSA-N 1 2 289.423 3.538 20 0 CHADLO Cc1cc(NC(=O)N2CCCC[C@@H]2CCC(C)C)cc[nH+]1 ZINC000504177275 332705452 /nfs/dbraw/zinc/70/54/52/332705452.db2.gz POICWSTXDMMJIZ-MRXNPFEDSA-N 1 2 289.423 3.635 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1C[C@H]1CCC2(CCCCC2)O1 ZINC000513213055 333009024 /nfs/dbraw/zinc/00/90/24/333009024.db2.gz BCISZOHWVBEHOD-CHWSQXEVSA-N 1 2 273.367 3.598 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1C[C@H]1CCC2(CCCCC2)O1 ZINC000513213055 333009026 /nfs/dbraw/zinc/00/90/26/333009026.db2.gz BCISZOHWVBEHOD-CHWSQXEVSA-N 1 2 273.367 3.598 20 0 CHADLO C/C(=C\c1ccc(Cl)cc1)C[NH2+]Cc1cn[nH]c1C ZINC000513501226 333023229 /nfs/dbraw/zinc/02/32/29/333023229.db2.gz RNOFIJKOLZRAOL-YRNVUSSQSA-N 1 2 275.783 3.565 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nccs1)c1ccccc1)c1ccccn1 ZINC000177082633 333053506 /nfs/dbraw/zinc/05/35/06/333053506.db2.gz KZMVYXQYDWBOEN-CJNGLKHVSA-N 1 2 295.411 3.978 20 0 CHADLO CC(C)CCc1noc(C[N@@H+]2C[C@@H](C)[C@H]2c2ccccc2)n1 ZINC000177827793 333063781 /nfs/dbraw/zinc/06/37/81/333063781.db2.gz YAGMXNDHWASKNS-KDOFPFPSSA-N 1 2 299.418 3.851 20 0 CHADLO CC(C)CCc1noc(C[N@H+]2C[C@@H](C)[C@H]2c2ccccc2)n1 ZINC000177827793 333063782 /nfs/dbraw/zinc/06/37/82/333063782.db2.gz YAGMXNDHWASKNS-KDOFPFPSSA-N 1 2 299.418 3.851 20 0 CHADLO CCc1cc(C(=O)Nc2ccc(C)[nH+]c2C)oc1CC ZINC000178118122 333067957 /nfs/dbraw/zinc/06/79/57/333067957.db2.gz PLNFWYHDJLTBBC-UHFFFAOYSA-N 1 2 272.348 3.669 20 0 CHADLO CCc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1ncccn1 ZINC000517270995 333096676 /nfs/dbraw/zinc/09/66/76/333096676.db2.gz HXTGESJQIPAIAJ-GFCCVEGCSA-N 1 2 281.359 3.636 20 0 CHADLO CCC[C@H](CC)Nc1cc(CC)[nH+]c(-c2ccncc2)n1 ZINC000517602204 333103452 /nfs/dbraw/zinc/10/34/52/333103452.db2.gz CZIPNPCHUOJDEO-AWEZNQCLSA-N 1 2 284.407 3.514 20 0 CHADLO CC(C)CCc1ccc(Nc2[nH+]cnc3c2cnn3C)cc1 ZINC000521100580 333111717 /nfs/dbraw/zinc/11/17/17/333111717.db2.gz IZONWWYTEGOBTC-UHFFFAOYSA-N 1 2 295.390 3.696 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@@H]1CCc2ccccc21 ZINC000521293100 333117377 /nfs/dbraw/zinc/11/73/77/333117377.db2.gz NROOVBMKPQRYET-HNNXBMFYSA-N 1 2 295.386 3.550 20 0 CHADLO Cc1ccc(C(=O)CCCC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000183905126 333123280 /nfs/dbraw/zinc/12/32/80/333123280.db2.gz QWKSFTFGHWRJPL-UHFFFAOYSA-N 1 2 296.370 3.690 20 0 CHADLO CCC1(CC)C[N@@H+]([C@@H](C)c2ccccc2F)CC[S@@]1=O ZINC000185243290 333137545 /nfs/dbraw/zinc/13/75/45/333137545.db2.gz UCHMALBZWGGJPE-RBZFPXEDSA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@@H](C)c2ccccc2F)CC[S@@]1=O ZINC000185243290 333137546 /nfs/dbraw/zinc/13/75/46/333137546.db2.gz UCHMALBZWGGJPE-RBZFPXEDSA-N 1 2 297.439 3.510 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1coc2cc(C)c(C)cc12 ZINC000185485869 333141651 /nfs/dbraw/zinc/14/16/51/333141651.db2.gz SAEURLSEVSWOAZ-UHFFFAOYSA-N 1 2 296.326 3.706 20 0 CHADLO O=C(C[C@H]1C=CCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000186797864 333165241 /nfs/dbraw/zinc/16/52/41/333165241.db2.gz SIRIKHFALRFGHE-AWEZNQCLSA-N 1 2 281.359 3.557 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccc3nc(C)ccc3c2)o1 ZINC000220868305 333170106 /nfs/dbraw/zinc/17/01/06/333170106.db2.gz BEXIMVWMCJNDBX-CYBMUJFWSA-N 1 2 281.359 3.690 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+][C@H](CC(C)(C)C)C(F)(F)F)C[C@H](C)O1 ZINC000564162862 333181568 /nfs/dbraw/zinc/18/15/68/333181568.db2.gz GPCMPQIKTISXLD-WRWGMCAJSA-N 1 2 281.362 3.899 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCC[C@@H]1CCCCO1 ZINC000224176987 333217324 /nfs/dbraw/zinc/21/73/24/333217324.db2.gz IMILLTIQIALJJI-ZDUSSCGKSA-N 1 2 286.375 3.615 20 0 CHADLO CC(C)c1nc(N2CCC(c3ccccc3)CC2)cc[nH+]1 ZINC000521919641 333223311 /nfs/dbraw/zinc/22/33/11/333223311.db2.gz UEIYHCOMZPGSBA-UHFFFAOYSA-N 1 2 281.403 3.984 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1cnc2ccsc2c1 ZINC000522019088 333230580 /nfs/dbraw/zinc/23/05/80/333230580.db2.gz RQGWEQHODNKXJE-QMMMGPOBSA-N 1 2 256.321 3.602 20 0 CHADLO Cc1cc(OCCOC(C)(C)C)c2ccccc2[nH+]1 ZINC000192191953 333232049 /nfs/dbraw/zinc/23/20/49/333232049.db2.gz LHSKZSUMLJBPKI-UHFFFAOYSA-N 1 2 259.349 3.737 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@H](C)c2cc(F)cc(F)c2)n1 ZINC000522053326 333232080 /nfs/dbraw/zinc/23/20/80/333232080.db2.gz MNYXALRRDPHWFT-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO Cc1noc(C)c1CSCc1cn2c(cccc2C)[nH+]1 ZINC000192720677 333238263 /nfs/dbraw/zinc/23/82/63/333238263.db2.gz ZQIUIYBEQCGXHU-UHFFFAOYSA-N 1 2 287.388 3.681 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)cs1)c1nc(C(C)(C)C)no1 ZINC000193132325 333243257 /nfs/dbraw/zinc/24/32/57/333243257.db2.gz RIXSVEGCHVIKJF-QMMMGPOBSA-N 1 2 299.827 3.933 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+](C)CC(=O)OC(C)(C)C ZINC000195732456 333262495 /nfs/dbraw/zinc/26/24/95/333262495.db2.gz LSAWHWBLJAPWBZ-CQSZACIVSA-N 1 2 281.371 3.550 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+](C)CC(=O)OC(C)(C)C ZINC000195732456 333262496 /nfs/dbraw/zinc/26/24/96/333262496.db2.gz LSAWHWBLJAPWBZ-CQSZACIVSA-N 1 2 281.371 3.550 20 0 CHADLO c1csc(C[NH2+]Cc2ccc(-c3ccccc3)o2)n1 ZINC000194072124 333264800 /nfs/dbraw/zinc/26/48/00/333264800.db2.gz VBDNOPNGVUMJFN-UHFFFAOYSA-N 1 2 270.357 3.693 20 0 CHADLO COc1cccc([C@@H](C)C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000194863897 333278523 /nfs/dbraw/zinc/27/85/23/333278523.db2.gz IOFZKIZYZRLLKQ-CYBMUJFWSA-N 1 2 298.386 3.758 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(CNc2ccc3ccccc3[nH+]2)o1 ZINC000522284672 333279016 /nfs/dbraw/zinc/27/90/16/333279016.db2.gz LFKFJRIWPYRODL-DOMZBBRYSA-N 1 2 278.355 3.985 20 0 CHADLO Cc1c[nH+]cc(NCc2ccccc2OC(F)(F)F)c1 ZINC000227603759 333286767 /nfs/dbraw/zinc/28/67/67/333286767.db2.gz JUZYAHWEPJZYQQ-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(OC(F)(F)F)cc2)c1 ZINC000227603000 333286856 /nfs/dbraw/zinc/28/68/56/333286856.db2.gz VMNGRRXELBIOLZ-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO COc1cccc(C[NH2+]CC(F)(F)c2ccccc2)c1 ZINC000227899463 333290435 /nfs/dbraw/zinc/29/04/35/333290435.db2.gz XGDWCBUITLLNKH-UHFFFAOYSA-N 1 2 277.314 3.577 20 0 CHADLO CSCCCSCCCc1[nH]c2ccccc2[nH+]1 ZINC000575927120 335132309 /nfs/dbraw/zinc/13/23/09/335132309.db2.gz UXAYKSLFLZJRFW-UHFFFAOYSA-N 1 2 280.462 3.982 20 0 CHADLO CCS[C@H]1CCC[C@H]1Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000230186082 333315636 /nfs/dbraw/zinc/31/56/36/333315636.db2.gz KIIRLOOMRARCLG-OLZOCXBDSA-N 1 2 279.453 3.995 20 0 CHADLO CCCC[C@@H]([NH2+]CC[C@H](C)c1ccccc1)C(=O)OC ZINC000230495651 333318865 /nfs/dbraw/zinc/31/88/65/333318865.db2.gz XBQFHTXWZQXHJO-GOEBONIOSA-N 1 2 277.408 3.502 20 0 CHADLO CC[N@H+](CCCNC(=O)[C@@H](C)C(C)(C)C)c1ccccc1 ZINC000523710505 333340982 /nfs/dbraw/zinc/34/09/82/333340982.db2.gz KAPXQWWMSNITML-OAHLLOKOSA-N 1 2 290.451 3.701 20 0 CHADLO CC[N@@H+](CCCNC(=O)[C@@H](C)C(C)(C)C)c1ccccc1 ZINC000523710505 333340984 /nfs/dbraw/zinc/34/09/84/333340984.db2.gz KAPXQWWMSNITML-OAHLLOKOSA-N 1 2 290.451 3.701 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ccc(F)cc2F)C[C@H](C)O1 ZINC000303494575 333342887 /nfs/dbraw/zinc/34/28/87/333342887.db2.gz SWZWDYDAZSHBBF-GMXVVIOVSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ccc(F)cc2F)C[C@H](C)O1 ZINC000303494575 333342888 /nfs/dbraw/zinc/34/28/88/333342888.db2.gz SWZWDYDAZSHBBF-GMXVVIOVSA-N 1 2 269.335 3.525 20 0 CHADLO Cc1cc(NCC(C)(C)c2cccs2)nc(C2CC2)[nH+]1 ZINC000523872395 333348760 /nfs/dbraw/zinc/34/87/60/333348760.db2.gz DTONVRMNLXKAEF-UHFFFAOYSA-N 1 2 287.432 3.536 20 0 CHADLO CC[C@H](NC(=O)Nc1ccc2[nH+]c(C)cn2c1)C(C)(C)C ZINC000524131364 333354055 /nfs/dbraw/zinc/35/40/55/333354055.db2.gz OXPFOVXHHFRWPN-ZDUSSCGKSA-N 1 2 288.395 3.589 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(C)c(F)c2)s1 ZINC000338273210 335135581 /nfs/dbraw/zinc/13/55/81/335135581.db2.gz FFKDQBIDNVETPR-NXEZZACHSA-N 1 2 279.384 3.706 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1csc(C)n1 ZINC000234937750 333363244 /nfs/dbraw/zinc/36/32/44/333363244.db2.gz SDJHCURSTUROCN-SECBINFHSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1ccc(CNc2[nH]c3cc(F)ccc3[nH+]2)cc1 ZINC000235984247 333372662 /nfs/dbraw/zinc/37/26/62/333372662.db2.gz SFDQTWQKTVKEQO-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO Cc1ccc(N[C@@H]2CCCc3ccc(O)cc32)c[nH+]1 ZINC000235982614 333372707 /nfs/dbraw/zinc/37/27/07/333372707.db2.gz AECMZLMIXHXQLH-MRXNPFEDSA-N 1 2 254.333 3.585 20 0 CHADLO Cc1ccsc1C[NH2+][C@@H](CC(C)C)C(=O)OC(C)C ZINC000236652753 333374390 /nfs/dbraw/zinc/37/43/90/333374390.db2.gz LLLASJGFCYTNDG-ZDUSSCGKSA-N 1 2 283.437 3.512 20 0 CHADLO COC(=O)[C@H]([NH2+]CC[C@@H](C)c1ccccc1)c1ccccc1 ZINC000237119688 333377617 /nfs/dbraw/zinc/37/76/17/333377617.db2.gz WNTHJMQJUZNOBO-CRAIPNDOSA-N 1 2 297.398 3.684 20 0 CHADLO CCOC(=O)c1c[nH+]c2ccc(C)cc2c1N(C)CC1CC1 ZINC000524945848 333393728 /nfs/dbraw/zinc/39/37/28/333393728.db2.gz QCGKJYAOZNFCAQ-UHFFFAOYSA-N 1 2 298.386 3.566 20 0 CHADLO COc1ccc2c(c1)[nH+]ccc2OCc1ccccc1 ZINC000525128991 333400556 /nfs/dbraw/zinc/40/05/56/333400556.db2.gz YQXSXADGWQHLES-UHFFFAOYSA-N 1 2 265.312 3.822 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]Cc2nn(C)cc2Cl)cc1 ZINC000393376612 333401336 /nfs/dbraw/zinc/40/13/36/333401336.db2.gz WIIPJFKRBGCUTG-JTQLQIEISA-N 1 2 295.839 3.646 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccc1F)c1nc2ccccc2o1 ZINC000528822233 333460295 /nfs/dbraw/zinc/46/02/95/333460295.db2.gz AVHAAOFHRTZJCV-WDEREUQCSA-N 1 2 285.322 3.774 20 0 CHADLO CCC(NC(=O)Nc1c(C)cc[nH+]c1C)(C1CC1)C1CC1 ZINC000529090709 333472372 /nfs/dbraw/zinc/47/23/72/333472372.db2.gz FIAXGHCLOWRAAV-UHFFFAOYSA-N 1 2 287.407 3.789 20 0 CHADLO Cc1ccccc1C[NH2+][C@@H](c1ncc[nH]1)c1ccccc1 ZINC000125542757 333567629 /nfs/dbraw/zinc/56/76/29/333567629.db2.gz MWJHNHVJMXNKIE-QGZVFWFLSA-N 1 2 277.371 3.597 20 0 CHADLO CCc1cnc(C[NH2+][C@H](CC)c2ccc(F)cc2F)o1 ZINC000538187085 333578185 /nfs/dbraw/zinc/57/81/85/333578185.db2.gz GEZPEJXGPTVDHP-CQSZACIVSA-N 1 2 280.318 3.756 20 0 CHADLO Cc1ccc(OC(F)F)c([C@H](C)[NH2+]Cc2cscn2)c1 ZINC000127311377 333626038 /nfs/dbraw/zinc/62/60/38/333626038.db2.gz UQMVLFBKHGJSKS-JTQLQIEISA-N 1 2 298.358 3.904 20 0 CHADLO CC(C)(C)c1ccc(C(C)(C)[NH2+]Cc2csnn2)cc1 ZINC000540626769 333739177 /nfs/dbraw/zinc/73/91/77/333739177.db2.gz NYHCOMWVIBWPOD-UHFFFAOYSA-N 1 2 289.448 3.861 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H]1CCc2ccccc21)C(=O)OC(C)(C)C ZINC000540781001 333747125 /nfs/dbraw/zinc/74/71/25/333747125.db2.gz BBQWCKQDAUVLDN-HZPDHXFCSA-N 1 2 289.419 3.630 20 0 CHADLO Cn1cccc1CNc1[nH+]c2ccccc2n1C1CCCC1 ZINC000540807557 333747929 /nfs/dbraw/zinc/74/79/29/333747929.db2.gz ASVFILMBMNFOBJ-UHFFFAOYSA-N 1 2 294.402 3.524 20 0 CHADLO O=C(CC1=CCCCC1)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000540851800 333750158 /nfs/dbraw/zinc/75/01/58/333750158.db2.gz CCNVPSYFLFQRJQ-UHFFFAOYSA-N 1 2 295.386 3.583 20 0 CHADLO Cc1nc(N2CCc3sccc3[C@@H]2C)c2c([nH+]1)CCCC2 ZINC000541077899 333761825 /nfs/dbraw/zinc/76/18/25/333761825.db2.gz GDZUFARMQILUDQ-NSHDSACASA-N 1 2 299.443 3.849 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cnc2ccsc2c1)c1ccon1 ZINC000542698116 333837015 /nfs/dbraw/zinc/83/70/15/333837015.db2.gz DCEYLYRPTSSEDL-NXEZZACHSA-N 1 2 273.361 3.696 20 0 CHADLO CC[C@@H]1CN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CCCO1 ZINC000543068360 333855268 /nfs/dbraw/zinc/85/52/68/333855268.db2.gz XMHVBXYLNYCYGD-CQSZACIVSA-N 1 2 291.439 3.729 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)CCN1c1cccc[nH+]1 ZINC000543068609 333855340 /nfs/dbraw/zinc/85/53/40/333855340.db2.gz XSBHDFBOHBDPDN-GOEBONIOSA-N 1 2 252.361 3.854 20 0 CHADLO CC(C)C[C@H](C)CC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000543125764 333859383 /nfs/dbraw/zinc/85/93/83/333859383.db2.gz IBCLMPFRRBGPMA-AWEZNQCLSA-N 1 2 299.418 3.765 20 0 CHADLO CC(C)c1cc(N[C@]2(C)CCO[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000543133843 333859646 /nfs/dbraw/zinc/85/96/46/333859646.db2.gz BPNRYQHJONEVQK-MLGOLLRUSA-N 1 2 277.412 3.703 20 0 CHADLO Cc1ccc([C@@H]2CCN(c3cc(C)[nH+]c(C4CC4)n3)C2)cc1 ZINC000543196727 333863929 /nfs/dbraw/zinc/86/39/29/333863929.db2.gz GAZXBPNKOXPQCF-QGZVFWFLSA-N 1 2 293.414 3.965 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC(C)(C)Cc2occc21)c1ccon1 ZINC000543627947 333886092 /nfs/dbraw/zinc/88/60/92/333886092.db2.gz ZHNYNXFMUUSEBM-ZWNOBZJWSA-N 1 2 260.337 3.632 20 0 CHADLO C[C@@H]1C[C@@H](C)C[NH+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000131272237 333919165 /nfs/dbraw/zinc/91/91/65/333919165.db2.gz ITLUYOOHKMVMHT-RKDXNWHRSA-N 1 2 278.343 3.640 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1cc(Cl)ccc1Cl ZINC000131384786 333933110 /nfs/dbraw/zinc/93/31/10/333933110.db2.gz PJRKDBVLXIWVJG-UHFFFAOYSA-N 1 2 297.141 3.649 20 0 CHADLO CCC[C@H]([NH2+]Cc1nnc(C(C)C)s1)c1cccnc1 ZINC000544575872 333937132 /nfs/dbraw/zinc/93/71/32/333937132.db2.gz UIEDRMYSORGBOC-ZDUSSCGKSA-N 1 2 290.436 3.688 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1Cl)c1ccon1 ZINC000544603545 333938619 /nfs/dbraw/zinc/93/86/19/333938619.db2.gz JJFQKJDBSYBXPW-RKDXNWHRSA-N 1 2 268.719 3.879 20 0 CHADLO CC[C@]1(C)C[C@@H]1C(=O)Nc1c[nH+]ccc1OC(C)(C)C ZINC000132131241 333972884 /nfs/dbraw/zinc/97/28/84/333972884.db2.gz MGVNXYRYVQSUMU-BDJLRTHQSA-N 1 2 276.380 3.634 20 0 CHADLO Cn1c2ccc(NC(=O)C3(C)CC3)cc2[nH+]c1C(C)(C)C ZINC000132503056 333982067 /nfs/dbraw/zinc/98/20/67/333982067.db2.gz DNDGHIXNAYHXIO-UHFFFAOYSA-N 1 2 285.391 3.609 20 0 CHADLO CCCCCc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000545689209 333994902 /nfs/dbraw/zinc/99/49/02/333994902.db2.gz BAQBWPGPCFGYKW-UHFFFAOYSA-N 1 2 282.347 3.655 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3[C@H]2C)c2ccccc2n1 ZINC000074329658 334003739 /nfs/dbraw/zinc/00/37/39/334003739.db2.gz YZOAXNKUYRACMQ-OAHLLOKOSA-N 1 2 291.398 3.922 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3[C@H]2C)c2ccccc2n1 ZINC000074329658 334003740 /nfs/dbraw/zinc/00/37/40/334003740.db2.gz YZOAXNKUYRACMQ-OAHLLOKOSA-N 1 2 291.398 3.922 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CCC2)s1)c1nccs1 ZINC000133131575 334019261 /nfs/dbraw/zinc/01/92/61/334019261.db2.gz OTOHKHWCRIFTNQ-VIFPVBQESA-N 1 2 279.434 3.718 20 0 CHADLO c1nc(C[NH2+]Cc2cccc(OCc3ccccc3)c2)co1 ZINC000341230090 335167004 /nfs/dbraw/zinc/16/70/04/335167004.db2.gz PHYKFYMPUJYSHU-UHFFFAOYSA-N 1 2 294.354 3.543 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2C(F)F)cc(C)c1O ZINC000564303075 334033800 /nfs/dbraw/zinc/03/38/00/334033800.db2.gz BTHDRVKYLYSYGJ-CYBMUJFWSA-N 1 2 269.335 3.629 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2C(F)F)cc(C)c1O ZINC000564303075 334033801 /nfs/dbraw/zinc/03/38/01/334033801.db2.gz BTHDRVKYLYSYGJ-CYBMUJFWSA-N 1 2 269.335 3.629 20 0 CHADLO CC(C)c1nc(NC[C@H](C[C@@H](C)O)c2ccccc2)cc[nH+]1 ZINC000133610947 334047733 /nfs/dbraw/zinc/04/77/33/334047733.db2.gz QENDTVHYGLDPRG-ZBFHGGJFSA-N 1 2 299.418 3.567 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cccc(F)c3F)cn2c1 ZINC000133623363 334047908 /nfs/dbraw/zinc/04/79/08/334047908.db2.gz XUCIHNNJUBWEOI-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO CC[N@H+](Cc1nc(Cc2c(C)cc(C)cc2C)no1)C1CC1 ZINC000547021807 334062334 /nfs/dbraw/zinc/06/23/34/334062334.db2.gz VSQBBHGHNYREDO-UHFFFAOYSA-N 1 2 299.418 3.570 20 0 CHADLO CC[N@@H+](Cc1nc(Cc2c(C)cc(C)cc2C)no1)C1CC1 ZINC000547021807 334062335 /nfs/dbraw/zinc/06/23/35/334062335.db2.gz VSQBBHGHNYREDO-UHFFFAOYSA-N 1 2 299.418 3.570 20 0 CHADLO Cc1ccc(Cc2noc(-c3cccc4[nH]ccc43)n2)c[nH+]1 ZINC000547046148 334063728 /nfs/dbraw/zinc/06/37/28/334063728.db2.gz HITCZRVWPHFWTF-UHFFFAOYSA-N 1 2 290.326 3.512 20 0 CHADLO CC[C@@H](Cc1nc(Cc2ccc(C)[nH+]c2)no1)C(C)C ZINC000547057632 334064208 /nfs/dbraw/zinc/06/42/08/334064208.db2.gz ZSTGUMCTKHGQNV-AWEZNQCLSA-N 1 2 273.380 3.589 20 0 CHADLO C[C@H](c1noc(CCc2[nH]c3ccccc3[nH+]2)n1)C(C)(C)C ZINC000547140355 334068766 /nfs/dbraw/zinc/06/87/66/334068766.db2.gz SHNWUOUSEMWOJL-LLVKDONJSA-N 1 2 298.390 3.881 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)[C@@H](C)c1ccccc1)c1ccccc1 ZINC000237123859 334081982 /nfs/dbraw/zinc/08/19/82/334081982.db2.gz GSDBJZCCCKPVMU-IIDMSEBBSA-N 1 2 297.398 3.683 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2ccc(F)cc21)c1cccc(F)c1F ZINC000547356971 334083061 /nfs/dbraw/zinc/08/30/61/334083061.db2.gz RDOWWUSXNHIQSS-OTYXRUKQSA-N 1 2 293.288 3.888 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2ncc(Cl)s2)o1 ZINC000547435730 334088372 /nfs/dbraw/zinc/08/83/72/334088372.db2.gz NSDUSIYUDYALMB-WCBMZHEXSA-N 1 2 282.796 3.803 20 0 CHADLO Cn1c2ccc(Cl)cc2[nH+]c1NCc1cccs1 ZINC000134429354 334090393 /nfs/dbraw/zinc/09/03/93/334090393.db2.gz OZCQORMKOWCMNA-UHFFFAOYSA-N 1 2 277.780 3.900 20 0 CHADLO CC(C)(C)C[N@H+](C[C@H]1CCCOC1)c1ccccc1 ZINC000547623628 334103440 /nfs/dbraw/zinc/10/34/40/334103440.db2.gz OZJPSMLQJUCCTG-OAHLLOKOSA-N 1 2 261.409 3.966 20 0 CHADLO CC(C)(C)C[N@@H+](C[C@H]1CCCOC1)c1ccccc1 ZINC000547623628 334103442 /nfs/dbraw/zinc/10/34/42/334103442.db2.gz OZJPSMLQJUCCTG-OAHLLOKOSA-N 1 2 261.409 3.966 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC[C@@H](C)C1)c1ccc2ccccc2c1 ZINC000547664420 334107109 /nfs/dbraw/zinc/10/71/09/334107109.db2.gz HTWZORIFFOVMPH-FSPWUOQZSA-N 1 2 297.398 3.832 20 0 CHADLO CC[N@H+](Cc1nccs1)Cc1cccc(Cl)c1 ZINC000134990233 334111138 /nfs/dbraw/zinc/11/11/38/334111138.db2.gz WJSOHCWXQWLVPC-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1nccs1)Cc1cccc(Cl)c1 ZINC000134990233 334111139 /nfs/dbraw/zinc/11/11/39/334111139.db2.gz WJSOHCWXQWLVPC-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC(=O)c1ccc(NCc2cn3cccc(C)c3[nH+]2)cc1C ZINC000135080855 334114697 /nfs/dbraw/zinc/11/46/97/334114697.db2.gz YVLXEVVWSFGMOU-UHFFFAOYSA-N 1 2 293.370 3.766 20 0 CHADLO Cc1ccc(CN2CCOc3c(Cl)cccc32)c(C)[nH+]1 ZINC000547830591 334124528 /nfs/dbraw/zinc/12/45/28/334124528.db2.gz VYXSPTCIMZLDHQ-UHFFFAOYSA-N 1 2 288.778 3.751 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccc(F)cc3C)cc2[nH+]1 ZINC000135322547 334125714 /nfs/dbraw/zinc/12/57/14/334125714.db2.gz WRWNDKJWPUAQFU-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO Cc1[nH]c2cc(NC(=O)c3ccc(F)cc3C)ccc2[nH+]1 ZINC000135322547 334125715 /nfs/dbraw/zinc/12/57/15/334125715.db2.gz WRWNDKJWPUAQFU-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO CCC(=O)c1cccc(NCc2cn3ccccc3[nH+]2)c1 ZINC000135753981 334137971 /nfs/dbraw/zinc/13/79/71/334137971.db2.gz IIEBSNVTHQZHMV-UHFFFAOYSA-N 1 2 279.343 3.539 20 0 CHADLO Cc1cccc2[nH+]c(CNc3cccc4cc[nH]c43)cn21 ZINC000548139331 334141980 /nfs/dbraw/zinc/14/19/80/334141980.db2.gz IYFPXYZHAXPOAC-UHFFFAOYSA-N 1 2 276.343 3.736 20 0 CHADLO Cc1csc(C[NH2+]C2(c3ccc(F)cc3F)CCC2)n1 ZINC000136130806 334147811 /nfs/dbraw/zinc/14/78/11/334147811.db2.gz DRDRLJNRMNTEKE-UHFFFAOYSA-N 1 2 294.370 3.899 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(F)cc3F)CCC2)cs1 ZINC000136136867 334147869 /nfs/dbraw/zinc/14/78/69/334147869.db2.gz SPHOEWQSLNQKOX-UHFFFAOYSA-N 1 2 294.370 3.899 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)cc2c1OCC2)c1nccs1 ZINC000136398764 334153901 /nfs/dbraw/zinc/15/39/01/334153901.db2.gz ISFUEULXEOWWJQ-VIFPVBQESA-N 1 2 294.807 3.582 20 0 CHADLO Fc1cc(-c2ccccc2)ccc1C[N@@H+]1CCOCC12CC2 ZINC000564352440 334159283 /nfs/dbraw/zinc/15/92/83/334159283.db2.gz XNSJMXXGHKCBNL-UHFFFAOYSA-N 1 2 297.373 3.858 20 0 CHADLO Fc1cc(-c2ccccc2)ccc1C[N@H+]1CCOCC12CC2 ZINC000564352440 334159284 /nfs/dbraw/zinc/15/92/84/334159284.db2.gz XNSJMXXGHKCBNL-UHFFFAOYSA-N 1 2 297.373 3.858 20 0 CHADLO COc1ccc[nH+]c1N[C@@H](C)CCCC(F)(F)F ZINC000548493869 334160573 /nfs/dbraw/zinc/16/05/73/334160573.db2.gz NDJVMOCXZKZKJZ-VIFPVBQESA-N 1 2 262.275 3.623 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(C(C)C)cc2)o1 ZINC000079876764 334163606 /nfs/dbraw/zinc/16/36/06/334163606.db2.gz RTKBBEFRMAJIGT-NEPJUHHUSA-N 1 2 273.380 3.913 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@H]3C=CCCC3)ccc2n1C ZINC000548618422 334163898 /nfs/dbraw/zinc/16/38/98/334163898.db2.gz YSAUNNMFCPSZJO-ZDUSSCGKSA-N 1 2 283.375 3.567 20 0 CHADLO CC[C@@H]([NH2+]C/C(C)=C\c1ccccc1)C(=O)OC(C)(C)C ZINC000548779685 334173748 /nfs/dbraw/zinc/17/37/48/334173748.db2.gz LFTFQJSCGWJIDI-OBEJXRGXSA-N 1 2 289.419 3.800 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(C)c3ccccc3n2)oc1C ZINC000548790874 334174247 /nfs/dbraw/zinc/17/42/47/334174247.db2.gz NFMUJJGDQXTSCE-CYBMUJFWSA-N 1 2 295.386 3.999 20 0 CHADLO Cc1cc(NCC(C)(F)F)c2cccc(F)c2[nH+]1 ZINC000549188962 334187396 /nfs/dbraw/zinc/18/73/96/334187396.db2.gz FOWCQZAWCWILDC-UHFFFAOYSA-N 1 2 254.255 3.749 20 0 CHADLO Cc1cc(N2CC(C3CCCCC3)C2)nc(C2CC2)[nH+]1 ZINC000549268118 334189258 /nfs/dbraw/zinc/18/92/58/334189258.db2.gz GCKMKTMBZSTZEU-UHFFFAOYSA-N 1 2 271.408 3.679 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@@H+]1CCC[C@H]1CF ZINC000550029543 334211787 /nfs/dbraw/zinc/21/17/87/334211787.db2.gz VLDYABWVEPWTIU-ZJUUUORDSA-N 1 2 259.727 3.974 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@H+]1CCC[C@H]1CF ZINC000550029543 334211788 /nfs/dbraw/zinc/21/17/88/334211788.db2.gz VLDYABWVEPWTIU-ZJUUUORDSA-N 1 2 259.727 3.974 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@@H+]1Cc1c(F)ccc(Br)c1F ZINC000549921952 334209081 /nfs/dbraw/zinc/20/90/81/334209081.db2.gz SBDMNEMSLCMGIL-JGVFFNPUSA-N 1 2 290.151 3.568 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@H+]1Cc1c(F)ccc(Br)c1F ZINC000549921952 334209083 /nfs/dbraw/zinc/20/90/83/334209083.db2.gz SBDMNEMSLCMGIL-JGVFFNPUSA-N 1 2 290.151 3.568 20 0 CHADLO CC1=CC[N@H+](Cc2csc(CCC(C)C)n2)CC1 ZINC000549971274 334210598 /nfs/dbraw/zinc/21/05/98/334210598.db2.gz QGBGJZAHYZBRRY-UHFFFAOYSA-N 1 2 264.438 3.884 20 0 CHADLO CC1=CC[N@@H+](Cc2csc(CCC(C)C)n2)CC1 ZINC000549971274 334210599 /nfs/dbraw/zinc/21/05/99/334210599.db2.gz QGBGJZAHYZBRRY-UHFFFAOYSA-N 1 2 264.438 3.884 20 0 CHADLO CC[C@@H]([NH2+]CC(C)(F)F)c1ccc(OC(F)F)cc1 ZINC000550861050 334230182 /nfs/dbraw/zinc/23/01/82/334230182.db2.gz UXVJMQFLICVEQN-LLVKDONJSA-N 1 2 279.277 3.984 20 0 CHADLO CC(C)(C)C(C)(C)C[NH2+][C@H](c1cccs1)c1nnc[nH]1 ZINC000551229826 334241860 /nfs/dbraw/zinc/24/18/60/334241860.db2.gz YAKFOERESOZRLB-GFCCVEGCSA-N 1 2 292.452 3.618 20 0 CHADLO CC1(C)CCC[C@H]1C[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000551307949 334246082 /nfs/dbraw/zinc/24/60/82/334246082.db2.gz HCDVVRSXIDXAJM-GJZGRUSLSA-N 1 2 290.455 3.842 20 0 CHADLO c1[nH]cc(NCc2ccc(OCc3ccccc3)cc2)[nH+]1 ZINC000551327571 334247049 /nfs/dbraw/zinc/24/70/49/334247049.db2.gz FRAYDKUSDUZCIU-UHFFFAOYSA-N 1 2 279.343 3.601 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1c(F)cccc1OC(F)(F)F ZINC000551583923 334255161 /nfs/dbraw/zinc/25/51/61/334255161.db2.gz WUKUSWBVVYIWCK-IUCAKERBSA-N 1 2 277.261 3.707 20 0 CHADLO CCOc1cccc(C[N@H+](C)Cc2csc(CC)n2)c1 ZINC000552143971 334294573 /nfs/dbraw/zinc/29/45/73/334294573.db2.gz LDXQGKUUAGVCLJ-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCOc1cccc(C[N@@H+](C)Cc2csc(CC)n2)c1 ZINC000552143971 334294575 /nfs/dbraw/zinc/29/45/75/334294575.db2.gz LDXQGKUUAGVCLJ-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CC(C)CCc1noc(C[N@@H+]2C[C@@H](C)[C@@H]2c2ccccc2)n1 ZINC000248370855 334299106 /nfs/dbraw/zinc/29/91/06/334299106.db2.gz YAGMXNDHWASKNS-RDTXWAMCSA-N 1 2 299.418 3.851 20 0 CHADLO CC(C)CCc1noc(C[N@H+]2C[C@@H](C)[C@@H]2c2ccccc2)n1 ZINC000248370855 334299107 /nfs/dbraw/zinc/29/91/07/334299107.db2.gz YAGMXNDHWASKNS-RDTXWAMCSA-N 1 2 299.418 3.851 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)cc1Cl ZINC000552424223 334326293 /nfs/dbraw/zinc/32/62/93/334326293.db2.gz QBOKBTUPTQFIPL-JKSUJKDBSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)cc1Cl ZINC000552424223 334326294 /nfs/dbraw/zinc/32/62/94/334326294.db2.gz QBOKBTUPTQFIPL-JKSUJKDBSA-N 1 2 295.785 3.623 20 0 CHADLO Cc1ccsc1C[N@@H+](C)[C@@H](C)c1nc(C(C)(C)C)no1 ZINC000552470269 334330118 /nfs/dbraw/zinc/33/01/18/334330118.db2.gz JLSWKOLENDCOBF-NSHDSACASA-N 1 2 293.436 3.930 20 0 CHADLO Cc1ccsc1C[N@H+](C)[C@@H](C)c1nc(C(C)(C)C)no1 ZINC000552470269 334330119 /nfs/dbraw/zinc/33/01/19/334330119.db2.gz JLSWKOLENDCOBF-NSHDSACASA-N 1 2 293.436 3.930 20 0 CHADLO Cc1cc[nH+]c(NC[C@@](C)(O)CCC(C)C)c1Cl ZINC000553080618 334360318 /nfs/dbraw/zinc/36/03/18/334360318.db2.gz SJHXAKRLINTBCN-AWEZNQCLSA-N 1 2 270.804 3.643 20 0 CHADLO Cc1cc(N2C[C@H](c3ccccc3)[C@@H]3CCC[C@H]32)nc[nH+]1 ZINC000553264425 334372481 /nfs/dbraw/zinc/37/24/81/334372481.db2.gz MACUUWBSQDYEFY-GVDBMIGSSA-N 1 2 279.387 3.558 20 0 CHADLO COc1cc(C)ccc1CNc1[nH+]ccc(C)c1Cl ZINC000553443488 334385618 /nfs/dbraw/zinc/38/56/18/334385618.db2.gz CGVGJHALNSYPFK-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO CCc1cc(N2CC[C@H]2c2ccc(Cl)cc2)nc(C)[nH+]1 ZINC000553602266 334393280 /nfs/dbraw/zinc/39/32/80/334393280.db2.gz ODVDUQANSVVJNH-HNNXBMFYSA-N 1 2 287.794 3.952 20 0 CHADLO CC=Cc1ccc(NC(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000554298048 334420267 /nfs/dbraw/zinc/42/02/67/334420267.db2.gz AKHADKRWVNXFFM-PLNGDYQASA-N 1 2 281.359 3.797 20 0 CHADLO C[C@@H]1CCC[C@@]1(C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000556126323 334496587 /nfs/dbraw/zinc/49/65/87/334496587.db2.gz KWFHIHROEUNNKJ-SJKOYZFVSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@H]1CCC[C@]1(C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000556126322 334496648 /nfs/dbraw/zinc/49/66/48/334496648.db2.gz KWFHIHROEUNNKJ-SJCJKPOMSA-N 1 2 283.375 3.842 20 0 CHADLO F[C@H]1CCC[C@H](Nc2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000564902847 334527086 /nfs/dbraw/zinc/52/70/86/334527086.db2.gz DADSZSQGVWOLEB-UWVGGRQHSA-N 1 2 262.250 3.793 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H](C)c1cccc(C2CC2)c1 ZINC000565582259 334576105 /nfs/dbraw/zinc/57/61/05/334576105.db2.gz SMMPJLKCKYWCLI-CYBMUJFWSA-N 1 2 295.386 3.572 20 0 CHADLO Fc1cccc(Oc2ccc(CNc3c[nH]c[nH+]3)cc2)c1 ZINC000566946501 334670531 /nfs/dbraw/zinc/67/05/31/334670531.db2.gz HYWVCQQHLSPOLO-UHFFFAOYSA-N 1 2 283.306 3.953 20 0 CHADLO O=C(Nc1cccc(Oc2cc[nH+]cc2)c1)C1=CCCC1 ZINC000154834792 334705685 /nfs/dbraw/zinc/70/56/85/334705685.db2.gz MXSBQBVFHNVCCZ-UHFFFAOYSA-N 1 2 280.327 3.923 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+]Cc1ncccc1F ZINC000567462259 334722051 /nfs/dbraw/zinc/72/20/51/334722051.db2.gz ZUZXYFCMKLMNTE-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(C3CCCC3)cc2)n1 ZINC000567513114 334727483 /nfs/dbraw/zinc/72/74/83/334727483.db2.gz YDZAOJQLNHOFCF-LBPRGKRZSA-N 1 2 285.391 3.886 20 0 CHADLO CC[C@@H]([NH2+]Cc1cocn1)c1ccc(Cl)s1 ZINC000272250964 334750940 /nfs/dbraw/zinc/75/09/40/334750940.db2.gz ZPIIANLAFUGJHY-SECBINFHSA-N 1 2 256.758 3.630 20 0 CHADLO Cc1ccccc1[C@H](C)c1noc(C[C@@H](C)n2cc[nH+]c2)n1 ZINC000568009445 334783681 /nfs/dbraw/zinc/78/36/81/334783681.db2.gz DSFXHJLXBHFNCG-KGLIPLIRSA-N 1 2 296.374 3.530 20 0 CHADLO COC[C@@H]1CCCN(c2[nH+]c3ccccc3cc2C)CC1 ZINC000568183725 334801947 /nfs/dbraw/zinc/80/19/47/334801947.db2.gz UPHLSJDQZLJFEK-OAHLLOKOSA-N 1 2 284.403 3.796 20 0 CHADLO COC[C@H]1CCCN(c2[nH+]c3ccccc3cc2C)CC1 ZINC000568183724 334802014 /nfs/dbraw/zinc/80/20/14/334802014.db2.gz UPHLSJDQZLJFEK-HNNXBMFYSA-N 1 2 284.403 3.796 20 0 CHADLO CC[C@H]1CCC[C@H]1C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000572591336 334802656 /nfs/dbraw/zinc/80/26/56/334802656.db2.gz LWAJWYVTALKBRP-GOEBONIOSA-N 1 2 297.402 3.519 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2ccnc(OC)c2)cs1 ZINC000568237657 334807166 /nfs/dbraw/zinc/80/71/66/334807166.db2.gz DAKGPUOSONPDBB-QWRGUYRKSA-N 1 2 291.420 3.521 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@H](C)c2cscn2)cccc1[N+](=O)[O-] ZINC000568276859 334811753 /nfs/dbraw/zinc/81/17/53/334811753.db2.gz QLNPLGXVJRFHID-WDEREUQCSA-N 1 2 291.376 3.772 20 0 CHADLO CC(C)n1ccc(C[NH2+]Cc2ccc(Cl)cc2F)n1 ZINC000568468650 334826091 /nfs/dbraw/zinc/82/60/91/334826091.db2.gz IGSXCLZABOSECX-UHFFFAOYSA-N 1 2 281.762 3.546 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc3occc3c2)s1 ZINC000576486669 335210952 /nfs/dbraw/zinc/21/09/52/335210952.db2.gz BGFLGLYHZBRQIW-UHFFFAOYSA-N 1 2 287.388 3.698 20 0 CHADLO CC(C)[C@@H](CO)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000576608137 335225934 /nfs/dbraw/zinc/22/59/34/335225934.db2.gz KFLXFOKYVHOYEA-LLVKDONJSA-N 1 2 278.783 3.565 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2cccc3ccccc32)o1 ZINC000192503624 335265693 /nfs/dbraw/zinc/26/56/93/335265693.db2.gz UEFSBNFLBPVKAX-GFCCVEGCSA-N 1 2 281.359 3.636 20 0 CHADLO CC(C)c1nc(N(C)[C@H]2CCCOC2)cc(C(C)(C)C)[nH+]1 ZINC000577133004 335306191 /nfs/dbraw/zinc/30/61/91/335306191.db2.gz VJYKHMVIZKYIBT-ZDUSSCGKSA-N 1 2 291.439 3.513 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C)[C@@H](C)C3CCCCC3)ccn12 ZINC000577190511 335319924 /nfs/dbraw/zinc/31/99/24/335319924.db2.gz NSPIUUGGMXIQTK-AWEZNQCLSA-N 1 2 299.418 3.684 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccncc1Cl)c1cscn1 ZINC000577530502 335365847 /nfs/dbraw/zinc/36/58/47/335365847.db2.gz IWSQLLVBAVMRQY-IUCAKERBSA-N 1 2 267.785 3.603 20 0 CHADLO C[C@H]([NH2+]Cc1sccc1Cl)c1cscn1 ZINC000578644088 335658575 /nfs/dbraw/zinc/65/85/75/335658575.db2.gz MQHLFWJYDMZXQS-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO COc1c(C)cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1C ZINC000181434501 335762155 /nfs/dbraw/zinc/76/21/55/335762155.db2.gz ZPRGKSJJMKSITL-UHFFFAOYSA-N 1 2 298.386 3.885 20 0 CHADLO CC(C)c1cc(N[C@H]2CCCOCC2)nc(C(C)C)[nH+]1 ZINC000182993389 335855112 /nfs/dbraw/zinc/85/51/12/335855112.db2.gz WGVMIBNCGGCOLH-ZDUSSCGKSA-N 1 2 277.412 3.704 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1nccn1C)c1ccc(F)cc1F ZINC000183118621 335864219 /nfs/dbraw/zinc/86/42/19/335864219.db2.gz RTARPYHQETUSTC-IAQYHMDHSA-N 1 2 293.361 3.890 20 0 CHADLO CC[C@H]1CCc2ccccc2N1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000580894723 335980964 /nfs/dbraw/zinc/98/09/64/335980964.db2.gz FBZLWNOEQRSJMA-HOCLYGCPSA-N 1 2 297.402 3.592 20 0 CHADLO c1ccc(C[C@H]2CCC[C@@H]2Nc2cc[nH+]c(C3CC3)n2)cc1 ZINC000581218754 336026040 /nfs/dbraw/zinc/02/60/40/336026040.db2.gz WNKCRALHYWQFQY-SJORKVTESA-N 1 2 293.414 3.599 20 0 CHADLO Cc1csc(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)c1 ZINC000380989549 336042974 /nfs/dbraw/zinc/04/29/74/336042974.db2.gz HCPLOIQYFODLLI-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO COc1cc(C)[nH+]c(COc2ccc(Cl)cc2F)c1 ZINC000381736965 336072155 /nfs/dbraw/zinc/07/21/55/336072155.db2.gz NZQUKIOODOGXEV-UHFFFAOYSA-N 1 2 281.714 3.770 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2F)[C@H]1c1cccnc1 ZINC000581465360 336082773 /nfs/dbraw/zinc/08/27/73/336082773.db2.gz JLGYPARNIGCKDF-INIZCTEOSA-N 1 2 288.341 3.943 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2F)[C@H]1c1cccnc1 ZINC000581465360 336082775 /nfs/dbraw/zinc/08/27/75/336082775.db2.gz JLGYPARNIGCKDF-INIZCTEOSA-N 1 2 288.341 3.943 20 0 CHADLO Cc1cccnc1C[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000581665183 336120573 /nfs/dbraw/zinc/12/05/73/336120573.db2.gz ZXSASYCHBXOHAH-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cccnc1C[N@H+]1CCc2sc(Cl)cc2C1 ZINC000581665183 336120575 /nfs/dbraw/zinc/12/05/75/336120575.db2.gz ZXSASYCHBXOHAH-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCCO1 ZINC000581683658 336128143 /nfs/dbraw/zinc/12/81/43/336128143.db2.gz ATNGJDQYPQVHMF-GFCCVEGCSA-N 1 2 290.794 3.894 20 0 CHADLO CC(C)c1ccccc1N(C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000581729675 336139180 /nfs/dbraw/zinc/13/91/80/336139180.db2.gz HCPPLDNURNMITQ-CQSZACIVSA-N 1 2 285.391 3.621 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+]Cc2ccco2)cs1 ZINC000076900290 519866397 /nfs/dbraw/zinc/86/63/97/519866397.db2.gz SRKQIEGXRDVRLH-SNVBAGLBSA-N 1 2 250.367 3.710 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+]Cc2ccco2)cs1 ZINC000076900293 519867462 /nfs/dbraw/zinc/86/74/62/519867462.db2.gz SRKQIEGXRDVRLH-JTQLQIEISA-N 1 2 250.367 3.710 20 0 CHADLO CCC[C@@H](CC)[S@](=O)Cc1cn2cc(Cl)ccc2[nH+]1 ZINC000280109867 520693036 /nfs/dbraw/zinc/69/30/36/520693036.db2.gz YLZKQFHPEXNKJT-BFUOFWGJSA-N 1 2 298.839 3.815 20 0 CHADLO Cc1cc(Cl)ccc1C[NH2+]CC(F)(F)C(F)F ZINC000191772180 533780633 /nfs/dbraw/zinc/78/06/33/533780633.db2.gz HPVPQQFRWGCGBZ-UHFFFAOYSA-N 1 2 269.669 3.638 20 0 CHADLO Cc1cc(N2CCc3ccccc3CC2)nc(C(C)C)[nH+]1 ZINC000301326557 533912926 /nfs/dbraw/zinc/91/29/26/533912926.db2.gz ZIFJDTWURJNISK-UHFFFAOYSA-N 1 2 281.403 3.514 20 0 CHADLO COc1ccc(F)cc1C[N@@H+]1CCc2cc(F)ccc2C1 ZINC000351928547 533932973 /nfs/dbraw/zinc/93/29/73/533932973.db2.gz URFXPGJOGOVZOY-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(F)cc1C[N@H+]1CCc2cc(F)ccc2C1 ZINC000351928547 533932984 /nfs/dbraw/zinc/93/29/84/533932984.db2.gz URFXPGJOGOVZOY-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1nccc2ccccc21 ZINC000353385465 533941458 /nfs/dbraw/zinc/94/14/58/533941458.db2.gz MJECFQPMBKKUGO-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]Cc2cc(Cl)ccc2Cl)c2nccn21 ZINC000334745497 533954299 /nfs/dbraw/zinc/95/42/99/533954299.db2.gz HGZLHPULIKVJTR-RNCFNFMXSA-N 1 2 296.201 3.986 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCCC[C@H]1c1ccc(F)cc1F ZINC000356121798 533988893 /nfs/dbraw/zinc/98/88/93/533988893.db2.gz KOXFEXJGWNZTER-INIZCTEOSA-N 1 2 291.345 3.724 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCCC[C@H]1c1ccc(F)cc1F ZINC000356121798 533988899 /nfs/dbraw/zinc/98/88/99/533988899.db2.gz KOXFEXJGWNZTER-INIZCTEOSA-N 1 2 291.345 3.724 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2cc(F)c(F)c(F)c21)c1cscn1 ZINC000334907765 534013496 /nfs/dbraw/zinc/01/34/96/534013496.db2.gz WKLAGABOMQCANO-XVKPBYJWSA-N 1 2 298.333 3.899 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ncc(Cl)s2)CC1(C)C ZINC000351983501 534044606 /nfs/dbraw/zinc/04/46/06/534044606.db2.gz SXOIKVDKHLRECI-SECBINFHSA-N 1 2 258.818 3.665 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ncc(Cl)s2)CC1(C)C ZINC000351983501 534044614 /nfs/dbraw/zinc/04/46/14/534044614.db2.gz SXOIKVDKHLRECI-SECBINFHSA-N 1 2 258.818 3.665 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC(C(C)(C)C)C2)c(C)[nH+]1 ZINC000334705200 534073307 /nfs/dbraw/zinc/07/33/07/534073307.db2.gz JCTVDWFMDVNLGR-UHFFFAOYSA-N 1 2 275.396 3.517 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@H](C)C2(C)CC2)c(C)[nH+]1 ZINC000334712128 534073802 /nfs/dbraw/zinc/07/38/02/534073802.db2.gz LDGWFSXOWCAJRX-CYBMUJFWSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCC[C@H](C)C2)c(C)[nH+]1 ZINC000334705125 534073954 /nfs/dbraw/zinc/07/39/54/534073954.db2.gz ISXGWGMDKBALAW-NSHDSACASA-N 1 2 275.396 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)C[C@@H]2CC=CCC2)c(C)[nH+]1 ZINC000334718179 534074462 /nfs/dbraw/zinc/07/44/62/534074462.db2.gz QQAVENQTALJEPG-OAHLLOKOSA-N 1 2 287.407 3.827 20 0 CHADLO C[C@@H](F)CC[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000352016078 534276161 /nfs/dbraw/zinc/27/61/61/534276161.db2.gz UXBLQYSHQKBTGJ-QMTHXVAHSA-N 1 2 289.753 3.601 20 0 CHADLO C[C@@H](F)CC[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000352016078 534276163 /nfs/dbraw/zinc/27/61/63/534276163.db2.gz UXBLQYSHQKBTGJ-QMTHXVAHSA-N 1 2 289.753 3.601 20 0 CHADLO Cc1nc(C[N@@H+]([C@H](C)c2ccccc2)C2CCCC2)no1 ZINC000347704421 534350917 /nfs/dbraw/zinc/35/09/17/534350917.db2.gz IRRRPFMZUCNFJH-CYBMUJFWSA-N 1 2 285.391 3.884 20 0 CHADLO Cc1nc(C[N@H+]([C@H](C)c2ccccc2)C2CCCC2)no1 ZINC000347704421 534350921 /nfs/dbraw/zinc/35/09/21/534350921.db2.gz IRRRPFMZUCNFJH-CYBMUJFWSA-N 1 2 285.391 3.884 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[C@@H](Nc1cc[nH+]c(C)n1)CC3 ZINC000351896165 534369128 /nfs/dbraw/zinc/36/91/28/534369128.db2.gz OGAUWUDSXBQKCW-ZDUSSCGKSA-N 1 2 292.386 3.544 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N(Cc1cccnc1)C(C)C ZINC000351942308 534386338 /nfs/dbraw/zinc/38/63/38/534386338.db2.gz DUSPPXKPCMZPKX-UHFFFAOYSA-N 1 2 298.390 3.536 20 0 CHADLO CC(C)CC(C)(C)C[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000290462431 519616551 /nfs/dbraw/zinc/61/65/51/519616551.db2.gz WPIJBRGYIMVFCY-HNNXBMFYSA-N 1 2 286.423 3.556 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@H](C)c1csnn1)CCC2 ZINC000334847694 534438601 /nfs/dbraw/zinc/43/86/01/534438601.db2.gz PAMNEPVGVCGCOO-RISCZKNCSA-N 1 2 273.405 3.575 20 0 CHADLO CC(C)N(C)c1ccc([NH2+][C@H]2CCO[C@@H](C)C2)cc1F ZINC000070349507 519633777 /nfs/dbraw/zinc/63/37/77/519633777.db2.gz WEDAJZYCHYCNST-JSGCOSHPSA-N 1 2 280.387 3.650 20 0 CHADLO CC(C)CCOCCNc1[nH+]ccc2ccc(F)cc21 ZINC000354567153 519668964 /nfs/dbraw/zinc/66/89/64/519668964.db2.gz XCIGAUVEHHXYHP-UHFFFAOYSA-N 1 2 276.355 3.849 20 0 CHADLO CC(C)COCCSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000336966344 519755119 /nfs/dbraw/zinc/75/51/19/519755119.db2.gz KLQONDULFLHISG-UHFFFAOYSA-N 1 2 290.432 3.778 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](c1nccs1)c1ccccc1 ZINC000343863380 534450134 /nfs/dbraw/zinc/45/01/34/534450134.db2.gz KBXNJNVVPRLLSM-HNNXBMFYSA-N 1 2 284.384 3.924 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)Cc2ncnn2C(C)C)cc1 ZINC000279869183 519835848 /nfs/dbraw/zinc/83/58/48/519835848.db2.gz BZODKRPZNCMMAD-UHFFFAOYSA-N 1 2 286.423 3.614 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)Cc2ncnn2C(C)C)cc1 ZINC000279869183 519835849 /nfs/dbraw/zinc/83/58/49/519835849.db2.gz BZODKRPZNCMMAD-UHFFFAOYSA-N 1 2 286.423 3.614 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC(C)(C)[C@H]2[C@H]2CCCO2)s1 ZINC000367956525 519850126 /nfs/dbraw/zinc/85/01/26/519850126.db2.gz BYADLXXKEACCJQ-IUODEOHRSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC(C)(C)[C@H]2[C@H]2CCCO2)s1 ZINC000367956525 519850127 /nfs/dbraw/zinc/85/01/27/519850127.db2.gz BYADLXXKEACCJQ-IUODEOHRSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1nc(C[NH2+]C(C)(C)c2ccc(Cl)cc2)no1 ZINC000103481981 519863828 /nfs/dbraw/zinc/86/38/28/519863828.db2.gz COOZULQAZYRDNQ-UHFFFAOYSA-N 1 2 293.798 3.871 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)o1 ZINC000247266787 519886625 /nfs/dbraw/zinc/88/66/25/519886625.db2.gz FPOWURKVWZNJFA-CHWSQXEVSA-N 1 2 289.379 3.759 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)o1 ZINC000247266787 519886630 /nfs/dbraw/zinc/88/66/30/519886630.db2.gz FPOWURKVWZNJFA-CHWSQXEVSA-N 1 2 289.379 3.759 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@H](C)c1csnn1)CCC2 ZINC000334847690 534453916 /nfs/dbraw/zinc/45/39/16/534453916.db2.gz PAMNEPVGVCGCOO-BXUZGUMPSA-N 1 2 273.405 3.575 20 0 CHADLO CC(C)n1ccnc1C[NH2+]C(C)(C)c1ccccc1F ZINC000292173433 520031380 /nfs/dbraw/zinc/03/13/80/520031380.db2.gz PXUVBGRKSHRIJT-UHFFFAOYSA-N 1 2 275.371 3.628 20 0 CHADLO CCOCCSCc1ccc(C(C)C)[nH+]c1C ZINC000338554026 520061525 /nfs/dbraw/zinc/06/15/25/520061525.db2.gz FCFACEBJAHFWML-UHFFFAOYSA-N 1 2 253.411 3.783 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cc2)[C@H]1c1cccnc1 ZINC000292887038 520479427 /nfs/dbraw/zinc/47/94/27/520479427.db2.gz YKYXMOKXQOACJP-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cc2)[C@H]1c1cccnc1 ZINC000292887038 520479433 /nfs/dbraw/zinc/47/94/33/520479433.db2.gz YKYXMOKXQOACJP-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@H]3CCCSC3)c2c1 ZINC000354537347 534510048 /nfs/dbraw/zinc/51/00/48/534510048.db2.gz KHCBCDFHPXIFSC-LBPRGKRZSA-N 1 2 262.353 3.681 20 0 CHADLO CCC[C@@H](CCO)CNc1[nH+]c2ccccc2cc1C ZINC000225359957 520703237 /nfs/dbraw/zinc/70/32/37/520703237.db2.gz PLONRQFVVLXHSF-AWEZNQCLSA-N 1 2 272.392 3.754 20 0 CHADLO CCN(CC)c1ccc(NCCCc2ccc(C)nc2)c[nH+]1 ZINC000354560719 520920737 /nfs/dbraw/zinc/92/07/37/520920737.db2.gz CXNAYPKXUFTNGO-UHFFFAOYSA-N 1 2 298.434 3.676 20 0 CHADLO Fc1ccccc1[C@@]1(F)CC[N@H+](CCC(F)(F)F)C1 ZINC000367182326 534535137 /nfs/dbraw/zinc/53/51/37/534535137.db2.gz ZBJWLOOZQQSVBC-GFCCVEGCSA-N 1 2 279.252 3.649 20 0 CHADLO Fc1ccccc1[C@@]1(F)CC[N@@H+](CCC(F)(F)F)C1 ZINC000367182326 534535139 /nfs/dbraw/zinc/53/51/39/534535139.db2.gz ZBJWLOOZQQSVBC-GFCCVEGCSA-N 1 2 279.252 3.649 20 0 CHADLO CCOc1ccc(NC2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000237058128 521126210 /nfs/dbraw/zinc/12/62/10/521126210.db2.gz XISLNMWCYPEBTN-UHFFFAOYSA-N 1 2 297.402 3.561 20 0 CHADLO CCOc1ccc([NH2+][C@@H]2CCOC3(CCC3)C2)cc1 ZINC000094365144 521136716 /nfs/dbraw/zinc/13/67/16/521136716.db2.gz PYABCQAFDXHRHW-CQSZACIVSA-N 1 2 261.365 3.599 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+](C)Cc2cccc(F)c2)n1 ZINC000097120353 521348499 /nfs/dbraw/zinc/34/84/99/521348499.db2.gz UWOHPKVEKATXKJ-LBPRGKRZSA-N 1 2 291.370 3.744 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+](C)Cc2cccc(F)c2)n1 ZINC000097120353 521348512 /nfs/dbraw/zinc/34/85/12/521348512.db2.gz UWOHPKVEKATXKJ-LBPRGKRZSA-N 1 2 291.370 3.744 20 0 CHADLO CCCCn1c(SC(C)C)nnc1[C@H](CC)[NH+](C)C ZINC000058089048 521370508 /nfs/dbraw/zinc/37/05/08/521370508.db2.gz CQWVNAZKEIZWCI-LBPRGKRZSA-N 1 2 284.473 3.591 20 0 CHADLO CCCc1ccc(C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)cc1 ZINC000299662648 521390297 /nfs/dbraw/zinc/39/02/97/521390297.db2.gz NHFJJJPIYGYKOB-INIZCTEOSA-N 1 2 297.402 3.730 20 0 CHADLO Cc1cnc(N2CCC(c3ccc(C)[nH+]c3)CC2)s1 ZINC000336145120 534560291 /nfs/dbraw/zinc/56/02/91/534560291.db2.gz CANVIUIXECAKMO-UHFFFAOYSA-N 1 2 273.405 3.539 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2cc(F)c(F)cc2F)o1 ZINC000291700193 521497023 /nfs/dbraw/zinc/49/70/23/521497023.db2.gz KDKGMKRBVSQOON-UHFFFAOYSA-N 1 2 298.308 3.643 20 0 CHADLO CCC(CC)C(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000078299661 521504728 /nfs/dbraw/zinc/50/47/28/521504728.db2.gz IYCRGYKIMJTFBJ-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](c2ccccc2)C(C)(C)C)n1 ZINC000072579609 521586127 /nfs/dbraw/zinc/58/61/27/521586127.db2.gz XMSFGNQBTNMLFP-INIZCTEOSA-N 1 2 287.407 3.899 20 0 CHADLO CCCc1occc1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000336907034 521610754 /nfs/dbraw/zinc/61/07/54/521610754.db2.gz YQTIRZVVAWIFOF-UHFFFAOYSA-N 1 2 295.342 3.670 20 0 CHADLO CC(C)(C)CCCCC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000342226877 534583648 /nfs/dbraw/zinc/58/36/48/534583648.db2.gz VJABIOFFWJKGTL-UHFFFAOYSA-N 1 2 287.407 3.557 20 0 CHADLO CCc1cccc2c1OCC[C@H]2[NH2+][C@@H](C)c1cc(C)on1 ZINC000290751100 521802062 /nfs/dbraw/zinc/80/20/62/521802062.db2.gz QSLDUBOAKJYWGU-SWLSCSKDSA-N 1 2 286.375 3.720 20 0 CHADLO CC[C@@H](C)CC(=O)N(Cc1[nH]cc[nH+]1)c1cccc(F)c1 ZINC000276902890 521930408 /nfs/dbraw/zinc/93/04/08/521930408.db2.gz NRNYBNYRXJZQCP-GFCCVEGCSA-N 1 2 289.354 3.518 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@@H](C)c1ccccc1OC ZINC000265243767 522078382 /nfs/dbraw/zinc/07/83/82/522078382.db2.gz RSDVUHWXMJHHTO-CYBMUJFWSA-N 1 2 298.386 3.785 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCCOCc1ccccc1 ZINC000265061814 522079254 /nfs/dbraw/zinc/07/92/54/522079254.db2.gz QXPLHIWQZNBQBJ-UHFFFAOYSA-N 1 2 298.386 3.580 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCCOc1cccc(C)c1 ZINC000265028675 522079702 /nfs/dbraw/zinc/07/97/02/522079702.db2.gz SOAWCRAFVURNSU-UHFFFAOYSA-N 1 2 298.386 3.750 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H]1C[C@H]1c1ccc(F)cc1 ZINC000265254853 522081554 /nfs/dbraw/zinc/08/15/54/522081554.db2.gz UJVPZQOAVZBLCY-GJZGRUSLSA-N 1 2 284.334 3.525 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1ccc(C)c(C)c1 ZINC000265038777 522082690 /nfs/dbraw/zinc/08/26/90/522082690.db2.gz VPRHQBJKBPKMRO-UHFFFAOYSA-N 1 2 254.333 3.513 20 0 CHADLO CCc1nc(C)c(CNc2cc[nH+]c3c(OC)cccc23)o1 ZINC000292378572 522211627 /nfs/dbraw/zinc/21/16/27/522211627.db2.gz SLSDWELYACCWLH-UHFFFAOYSA-N 1 2 297.358 3.714 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2cccc(OC)c2)cc(C)[nH+]1 ZINC000339972283 522237680 /nfs/dbraw/zinc/23/76/80/522237680.db2.gz HJAXRCMIEFNXGZ-MRXNPFEDSA-N 1 2 297.402 3.698 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccc(Cl)c(F)c1)c1ccco1 ZINC000080239323 522359935 /nfs/dbraw/zinc/35/99/35/522359935.db2.gz KPTPOZGWFODWNW-CYBMUJFWSA-N 1 2 283.730 3.549 20 0 CHADLO COC[C@@H]([NH2+]Cc1csc(C)n1)c1cccc(Cl)c1 ZINC000288708091 522369025 /nfs/dbraw/zinc/36/90/25/522369025.db2.gz ZDAXDKBSJFQXMY-CQSZACIVSA-N 1 2 296.823 3.582 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2cc(C)ccc2F)[nH]1 ZINC000277392981 522437944 /nfs/dbraw/zinc/43/79/44/522437944.db2.gz JSZWICLOULLDNZ-VXGBXAGGSA-N 1 2 290.386 3.617 20 0 CHADLO CCc1[nH+]c2cc(CNC(=O)[C@@H](C)CC)ccc2n1C1CC1 ZINC000338502367 522482260 /nfs/dbraw/zinc/48/22/60/522482260.db2.gz NBCBSOKBDAGXAE-LBPRGKRZSA-N 1 2 299.418 3.596 20 0 CHADLO COC1(CC[NH2+][C@@H](c2ccccc2)C(F)F)CCC1 ZINC000339223184 522595825 /nfs/dbraw/zinc/59/58/25/522595825.db2.gz JQVHCBFGNYLZSL-ZDUSSCGKSA-N 1 2 269.335 3.542 20 0 CHADLO CC[C@@H](COC)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000278588959 522597607 /nfs/dbraw/zinc/59/76/07/522597607.db2.gz YTSXNRXGNLJIJF-HNNXBMFYSA-N 1 2 299.418 3.723 20 0 CHADLO Clc1cccc([C@H]2CCC[N@@H+]2Cc2cnns2)c1 ZINC000334669337 534648781 /nfs/dbraw/zinc/64/87/81/534648781.db2.gz XVCKOKUYJLBTOL-CYBMUJFWSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1cccc([C@H]2CCC[N@H+]2Cc2cnns2)c1 ZINC000334669337 534648784 /nfs/dbraw/zinc/64/87/84/534648784.db2.gz XVCKOKUYJLBTOL-CYBMUJFWSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccccc1C[N@@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000352211263 534649784 /nfs/dbraw/zinc/64/97/84/534649784.db2.gz JHXLLSFSNYQQHJ-OAHLLOKOSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccccc1C[N@H+]1CCCC[C@@H]1c1cc[nH]n1 ZINC000352211263 534649787 /nfs/dbraw/zinc/64/97/87/534649787.db2.gz JHXLLSFSNYQQHJ-OAHLLOKOSA-N 1 2 275.783 3.790 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1sccc1Cl ZINC000338545759 522695695 /nfs/dbraw/zinc/69/56/95/522695695.db2.gz HMVXIPYQEITQOE-UHFFFAOYSA-N 1 2 257.708 3.786 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1sccc1Cl ZINC000338545759 522695699 /nfs/dbraw/zinc/69/56/99/522695699.db2.gz HMVXIPYQEITQOE-UHFFFAOYSA-N 1 2 257.708 3.786 20 0 CHADLO CCc1nnc(C[NH2+]Cc2ccc(C(C)(C)C)cc2)s1 ZINC000289696207 522741729 /nfs/dbraw/zinc/74/17/29/522741729.db2.gz DMYFTMCLPQNZRP-UHFFFAOYSA-N 1 2 289.448 3.688 20 0 CHADLO COC[C@H]([NH2+]Cc1cc2ccccc2o1)c1ccc(C)o1 ZINC000080237707 522748212 /nfs/dbraw/zinc/74/82/12/522748212.db2.gz WJTSSZATBSORDC-HNNXBMFYSA-N 1 2 285.343 3.812 20 0 CHADLO COC[C@H]([NH2+]Cc1cc(C)ccc1F)c1ccc(C)o1 ZINC000080238681 522748276 /nfs/dbraw/zinc/74/82/76/522748276.db2.gz XYAMKOLUAMYBTR-HNNXBMFYSA-N 1 2 277.339 3.513 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cc(C)on1)c1cccc(Cl)c1 ZINC000290585153 522765718 /nfs/dbraw/zinc/76/57/18/522765718.db2.gz GFBNBDXUZYARBZ-ABAIWWIYSA-N 1 2 294.782 3.675 20 0 CHADLO CC[C@@H]([NH2+]Cc1cn[nH]c1C)c1ccc(Cl)s1 ZINC000265191889 522868063 /nfs/dbraw/zinc/86/80/63/522868063.db2.gz YMEZQKRVDAWRLU-SNVBAGLBSA-N 1 2 269.801 3.674 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C)no1)c1ccc(F)cc1F ZINC000125606858 522869313 /nfs/dbraw/zinc/86/93/13/522869313.db2.gz RIVJNANDDYRWBC-CQSZACIVSA-N 1 2 266.291 3.502 20 0 CHADLO CC[C@@H](c1cccc(OC)c1)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000340784590 523095339 /nfs/dbraw/zinc/09/53/39/523095339.db2.gz RRSNYVJFHHSHBJ-INIZCTEOSA-N 1 2 297.402 3.950 20 0 CHADLO COCc1nc(C[NH2+]Cc2ccc(C)c(Cl)c2)cs1 ZINC000289843319 523202786 /nfs/dbraw/zinc/20/27/86/523202786.db2.gz PAVSXYNMIHMAQR-UHFFFAOYSA-N 1 2 296.823 3.541 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1occc1C ZINC000158886496 523287355 /nfs/dbraw/zinc/28/73/55/523287355.db2.gz DYIUNPXNDSZATF-UHFFFAOYSA-N 1 2 255.321 3.570 20 0 CHADLO CCc1cc(N[C@@H](C)c2ccc(Cl)cc2)nc[nH+]1 ZINC000049526142 523823261 /nfs/dbraw/zinc/82/32/61/523823261.db2.gz QRWOWCAZWRDIOM-JTQLQIEISA-N 1 2 261.756 3.866 20 0 CHADLO O=C(c1cccc(Oc2cc[nH+]cc2)c1)N1CCC12CCC2 ZINC000336070575 534739186 /nfs/dbraw/zinc/73/91/86/534739186.db2.gz MBNVCLZMMJONAF-UHFFFAOYSA-N 1 2 294.354 3.643 20 0 CHADLO CCc1ccc(NC2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000037212905 523994876 /nfs/dbraw/zinc/99/48/76/523994876.db2.gz VYVYVHGPYKWFSD-UHFFFAOYSA-N 1 2 281.403 3.725 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2ncc(C)cn2)o1 ZINC000340692330 524040436 /nfs/dbraw/zinc/04/04/36/524040436.db2.gz XBUKPGQZXLIYHU-BBRMVZONSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2ncc(C)cn2)o1 ZINC000340692330 524040438 /nfs/dbraw/zinc/04/04/38/524040438.db2.gz XBUKPGQZXLIYHU-BBRMVZONSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@H](C)c2nnc([C@H](C)CC)[nH]2)o1 ZINC000277188917 524047721 /nfs/dbraw/zinc/04/77/21/524047721.db2.gz IMJTXZDWISKFOC-GRYCIOLGSA-N 1 2 290.411 3.885 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC000354545313 524325752 /nfs/dbraw/zinc/32/57/52/524325752.db2.gz NGYGZADIRMZSIQ-QWHCGFSZSA-N 1 2 285.391 3.976 20 0 CHADLO C[C@@H]1CCC[C@@H]1NC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264935496 524334897 /nfs/dbraw/zinc/33/48/97/524334897.db2.gz ZWDUUFIKWMMSDH-DYVFJYSZSA-N 1 2 296.370 3.792 20 0 CHADLO C[C@@H]1CCC[C@H](C)CN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000150788539 524351652 /nfs/dbraw/zinc/35/16/52/524351652.db2.gz RAADKBJOLDLPFG-LSDHHAIUSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccco2)Cc2ccno2)s1 ZINC000264635387 524422249 /nfs/dbraw/zinc/42/22/49/524422249.db2.gz SPQXOXQQTPVSLN-UHFFFAOYSA-N 1 2 288.372 3.840 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccco2)Cc2ccno2)s1 ZINC000264635387 524422258 /nfs/dbraw/zinc/42/22/58/524422258.db2.gz SPQXOXQQTPVSLN-UHFFFAOYSA-N 1 2 288.372 3.840 20 0 CHADLO Cc1ccc(C[N@@H+]2CCOC[C@H]2CC(F)F)cc1Cl ZINC000289870023 524463703 /nfs/dbraw/zinc/46/37/03/524463703.db2.gz VSORGNSAAWEMKU-GFCCVEGCSA-N 1 2 289.753 3.504 20 0 CHADLO Cc1ccc(C[N@H+]2CCOC[C@H]2CC(F)F)cc1Cl ZINC000289870023 524463707 /nfs/dbraw/zinc/46/37/07/524463707.db2.gz VSORGNSAAWEMKU-GFCCVEGCSA-N 1 2 289.753 3.504 20 0 CHADLO Cc1ccc(C[NH2+]Cc2noc(-c3ccccc3C)n2)s1 ZINC000275036527 524587129 /nfs/dbraw/zinc/58/71/29/524587129.db2.gz SDSIZBAJXGMXMH-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO C[C@H]1CN(c2cc(C(F)(F)F)cc[nH+]2)CCC1(F)F ZINC000291135010 524666089 /nfs/dbraw/zinc/66/60/89/524666089.db2.gz NKPXDPKKLHKELU-QMMMGPOBSA-N 1 2 280.240 3.582 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)ncn1 ZINC000292003622 524668994 /nfs/dbraw/zinc/66/89/94/524668994.db2.gz CBXBMQNWPMGGHO-SJKOYZFVSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)ncn1 ZINC000292003622 524669003 /nfs/dbraw/zinc/66/90/03/524669003.db2.gz CBXBMQNWPMGGHO-SJKOYZFVSA-N 1 2 297.402 3.666 20 0 CHADLO COc1ccc([NH2+]C2CCC3(CCCO3)CC2)c(OC)c1 ZINC000364954141 524675761 /nfs/dbraw/zinc/67/57/61/524675761.db2.gz RIUJIHJZOOPAHZ-UHFFFAOYSA-N 1 2 291.391 3.608 20 0 CHADLO Cc1ccc(Cc2nc([C@H]3C[C@H]3c3ccccc3)no2)c[nH+]1 ZINC000338076426 524694866 /nfs/dbraw/zinc/69/48/66/524694866.db2.gz FNBSQZNOCGCXIY-HOTGVXAUSA-N 1 2 291.354 3.635 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCCC[C@H]2[C@H]2CCOC2)cc1 ZINC000365073422 524702755 /nfs/dbraw/zinc/70/27/55/524702755.db2.gz RPBDJRPQMQLGNA-RRQGHBQHSA-N 1 2 275.392 3.702 20 0 CHADLO C[C@H]1C[C@H](c2ccc(F)cc2)CN1c1cc[nH+]c(C2CC2)n1 ZINC000119948569 524938862 /nfs/dbraw/zinc/93/88/62/524938862.db2.gz ZPHFYAIGONXLSK-WFASDCNBSA-N 1 2 297.377 3.876 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(-c3ccco3)n2)CCC1(F)F ZINC000289988088 525024781 /nfs/dbraw/zinc/02/47/81/525024781.db2.gz YEBWTVWYESTPGY-SNVBAGLBSA-N 1 2 298.358 3.880 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(-c3ccco3)n2)CCC1(F)F ZINC000289988088 525024787 /nfs/dbraw/zinc/02/47/87/525024787.db2.gz YEBWTVWYESTPGY-SNVBAGLBSA-N 1 2 298.358 3.880 20 0 CHADLO Cc1cc(N2CCc3cc(F)cc(C)c3C2)nc(C2CC2)[nH+]1 ZINC000336917018 525095383 /nfs/dbraw/zinc/09/53/83/525095383.db2.gz CQFMNKVERDUZOK-UHFFFAOYSA-N 1 2 297.377 3.673 20 0 CHADLO Cc1cc(N2Cc3ccccc3[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000340788367 525099815 /nfs/dbraw/zinc/09/98/15/525099815.db2.gz VQEKIKGVMQDTMD-ZDUSSCGKSA-N 1 2 267.376 3.990 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cnc(Cl)s1 ZINC000094194847 525132184 /nfs/dbraw/zinc/13/21/84/525132184.db2.gz XRRUTBMHNJBFJQ-QMMMGPOBSA-N 1 2 284.837 3.977 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cnc(Cl)s1 ZINC000094194847 525132192 /nfs/dbraw/zinc/13/21/92/525132192.db2.gz XRRUTBMHNJBFJQ-QMMMGPOBSA-N 1 2 284.837 3.977 20 0 CHADLO C[C@H]1c2ccsc2CCN1c1cc[nH+]c(C2CC2)n1 ZINC000264643371 525133179 /nfs/dbraw/zinc/13/31/79/525133179.db2.gz NFNWLZVYIMOXAB-JTQLQIEISA-N 1 2 271.389 3.539 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2nc(C(C)C)no2)cc1 ZINC000299392704 525160577 /nfs/dbraw/zinc/16/05/77/525160577.db2.gz KDCXLCZVGWPUIO-NEPJUHHUSA-N 1 2 289.379 3.613 20 0 CHADLO Cc1ccc(NCc2c[nH]nc2-c2ccccc2F)c(C)[nH+]1 ZINC000353183864 525281718 /nfs/dbraw/zinc/28/17/18/525281718.db2.gz AYDJPDZZQPTXQR-UHFFFAOYSA-N 1 2 296.349 3.840 20 0 CHADLO Cc1ccc(NCc2ccc(F)c(Br)c2)c[nH+]1 ZINC000149812875 525282897 /nfs/dbraw/zinc/28/28/97/525282897.db2.gz HVBTYMSWSWQCLC-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO CSC1(CNc2ccc([NH+]3CCCC3)cc2)CCC1 ZINC000290354284 525340816 /nfs/dbraw/zinc/34/08/16/525340816.db2.gz FYRLLJDLAWTSFO-UHFFFAOYSA-N 1 2 276.449 3.984 20 0 CHADLO CSC1(C[NH2+]c2ccc(N3CCCC3)cc2)CCC1 ZINC000290354284 525340824 /nfs/dbraw/zinc/34/08/24/525340824.db2.gz FYRLLJDLAWTSFO-UHFFFAOYSA-N 1 2 276.449 3.984 20 0 CHADLO CSC1(C[NH2+]c2ccc(OCC(C)(C)O)cc2)CCC1 ZINC000290585318 525341165 /nfs/dbraw/zinc/34/11/65/525341165.db2.gz ZUQRGRPHSLVXMA-UHFFFAOYSA-N 1 2 295.448 3.534 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1nnc2n1CCCCC2 ZINC000299596835 525349066 /nfs/dbraw/zinc/34/90/66/525349066.db2.gz LISDCRBORCDEIX-OAHLLOKOSA-N 1 2 298.434 3.506 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1nnc2n1CCCCC2 ZINC000299596835 525349074 /nfs/dbraw/zinc/34/90/74/525349074.db2.gz LISDCRBORCDEIX-OAHLLOKOSA-N 1 2 298.434 3.506 20 0 CHADLO Cc1ccc(OC(F)F)c(CSCCn2cc[nH+]c2)c1 ZINC000292120757 525371694 /nfs/dbraw/zinc/37/16/94/525371694.db2.gz RJMGLHCTKQRUIO-UHFFFAOYSA-N 1 2 298.358 3.726 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000053611453 525383442 /nfs/dbraw/zinc/38/34/42/525383442.db2.gz XDUSFSIAKIXPJK-HNNXBMFYSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@H+]1Cc1noc(C2CC2)n1 ZINC000053611453 525383449 /nfs/dbraw/zinc/38/34/49/525383449.db2.gz XDUSFSIAKIXPJK-HNNXBMFYSA-N 1 2 283.375 3.593 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cc1F)c1cscn1 ZINC000275295362 525442070 /nfs/dbraw/zinc/44/20/70/525442070.db2.gz HDKJYZKQPQJEEM-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1cc([C@@H](C)NC(=O)Nc2cc[nH+]c(C)c2)c(C)s1 ZINC000119663691 525453870 /nfs/dbraw/zinc/45/38/70/525453870.db2.gz GAIMBSBDTKOTEJ-LLVKDONJSA-N 1 2 289.404 3.951 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2nnc(C(C)C)[nH]2)c(C)o1 ZINC000278668068 525472493 /nfs/dbraw/zinc/47/24/93/525472493.db2.gz JBRSNVJNFAKSLQ-MNOVXSKESA-N 1 2 276.384 3.550 20 0 CHADLO C[C@H]([NH2+]Cc1csc(Cl)n1)c1ccc(F)cc1F ZINC000290112823 525482678 /nfs/dbraw/zinc/48/26/78/525482678.db2.gz SVPNPHPZEMQPMO-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1ccncc1F ZINC000338346119 525509405 /nfs/dbraw/zinc/50/94/05/525509405.db2.gz UNGCKULNGCIJFE-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc(Cl)cc(Cl)c1)c1ncnn1C ZINC000276732808 525528145 /nfs/dbraw/zinc/52/81/45/525528145.db2.gz YNYNDQLCWGRUPC-IUCAKERBSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1ncc(C2CC2)o1)C(C)C ZINC000270484887 525549709 /nfs/dbraw/zinc/54/97/09/525549709.db2.gz FHLFFKWXIIUWPA-INIZCTEOSA-N 1 2 285.391 3.742 20 0 CHADLO CSC[C@@H](C)C(=O)OCc1ccc(C(C)C)[nH+]c1C ZINC000292988517 525616392 /nfs/dbraw/zinc/61/63/92/525616392.db2.gz HYGGJQDVAYQISZ-LLVKDONJSA-N 1 2 281.421 3.556 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N(C)C[C@@H](C)c1ccccc1 ZINC000287486226 525638667 /nfs/dbraw/zinc/63/86/67/525638667.db2.gz KOMAMJNOALIGIP-CQSZACIVSA-N 1 2 297.402 3.966 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC[C@@H]1CCCCO1 ZINC000227315382 525656075 /nfs/dbraw/zinc/65/60/75/525656075.db2.gz HPCSRFGUIZAYHA-HNNXBMFYSA-N 1 2 270.376 3.914 20 0 CHADLO Cc1cc2[nH+]cn(CCCOCC(F)(F)F)c2cc1C ZINC000077238604 525684567 /nfs/dbraw/zinc/68/45/67/525684567.db2.gz AVGZSQGOBOQHGP-UHFFFAOYSA-N 1 2 286.297 3.622 20 0 CHADLO C[C@@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1ccncc1F ZINC000337853307 525697704 /nfs/dbraw/zinc/69/77/04/525697704.db2.gz KBYTYONFILUIHN-MRVPVSSYSA-N 1 2 285.244 3.808 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccc(Cl)nc1Cl ZINC000278520484 525732719 /nfs/dbraw/zinc/73/27/19/525732719.db2.gz CLHTUCLTGGSWRC-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccc(Cl)nc1Cl ZINC000278520484 525732725 /nfs/dbraw/zinc/73/27/25/525732725.db2.gz CLHTUCLTGGSWRC-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1sccc1Cl ZINC000338542853 525738525 /nfs/dbraw/zinc/73/85/25/525738525.db2.gz HEBPBGSBVIJVEJ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1sccc1Cl ZINC000338542853 525738533 /nfs/dbraw/zinc/73/85/33/525738533.db2.gz HEBPBGSBVIJVEJ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](C)c2nc(C(C)C)no2)cc1 ZINC000079323980 525742760 /nfs/dbraw/zinc/74/27/60/525742760.db2.gz IUCSVDQRKFDVGU-OLZOCXBDSA-N 1 2 273.380 3.913 20 0 CHADLO Cc1nc(C(F)(F)F)c(C[N@H+](C)Cc2ccoc2)s1 ZINC000353550169 534879477 /nfs/dbraw/zinc/87/94/77/534879477.db2.gz QUINEHJBUSZPLX-UHFFFAOYSA-N 1 2 290.310 3.695 20 0 CHADLO Cc1nc(C(F)(F)F)c(C[N@@H+](C)Cc2ccoc2)s1 ZINC000353550169 534879478 /nfs/dbraw/zinc/87/94/78/534879478.db2.gz QUINEHJBUSZPLX-UHFFFAOYSA-N 1 2 290.310 3.695 20 0 CHADLO Cc1ccc([C@@H]2OCCC[C@H]2Nc2cccc[nH+]2)cc1 ZINC000337864538 525863871 /nfs/dbraw/zinc/86/38/71/525863871.db2.gz JZYTZDZDNAZPAG-WBVHZDCISA-N 1 2 268.360 3.722 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](C)c2nc(C3CC3)no2)cc1C ZINC000299404079 525935394 /nfs/dbraw/zinc/93/53/94/525935394.db2.gz MXSPEJKZEBQIBP-STQMWFEESA-N 1 2 285.391 3.976 20 0 CHADLO Cc1c2ccsc2nc2c1CN(c1cccc[nH+]1)CC2 ZINC000291858050 525992333 /nfs/dbraw/zinc/99/23/33/525992333.db2.gz IKIUMBBZFZIZPS-UHFFFAOYSA-N 1 2 281.384 3.562 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc(Cl)cc2)C2CC2)nc1 ZINC000340664051 526011543 /nfs/dbraw/zinc/01/15/43/526011543.db2.gz XQULOCQJVIKPTQ-UHFFFAOYSA-N 1 2 287.794 3.603 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc(Cl)cc2)C2CC2)nc1 ZINC000340664051 526011553 /nfs/dbraw/zinc/01/15/53/526011553.db2.gz XQULOCQJVIKPTQ-UHFFFAOYSA-N 1 2 287.794 3.603 20 0 CHADLO Cc1c[nH]cc1C(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000340905309 526012582 /nfs/dbraw/zinc/01/25/82/526012582.db2.gz ICIVVYMPVANADD-UHFFFAOYSA-N 1 2 294.358 3.719 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)Cc1ccccn1 ZINC000264282657 526032353 /nfs/dbraw/zinc/03/23/53/526032353.db2.gz OXAFDDDGAFBTGB-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)Cc1ccccn1 ZINC000264282657 526032361 /nfs/dbraw/zinc/03/23/61/526032361.db2.gz OXAFDDDGAFBTGB-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1ccn(C)n1 ZINC000271062415 526038735 /nfs/dbraw/zinc/03/87/35/526038735.db2.gz HGGAVDWPSCMYTI-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1ccn(C)n1 ZINC000271062415 526038743 /nfs/dbraw/zinc/03/87/43/526038743.db2.gz HGGAVDWPSCMYTI-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO Cc1ccc2[nH]c([C@H]3CCC[N@@H+]3Cc3ccncc3)nc2c1 ZINC000289427736 526108680 /nfs/dbraw/zinc/10/86/80/526108680.db2.gz VIHFJTBJEJJSCD-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2[nH]c([C@H]3CCC[N@H+]3Cc3ccncc3)nc2c1 ZINC000289427736 526108689 /nfs/dbraw/zinc/10/86/89/526108689.db2.gz VIHFJTBJEJJSCD-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2nc([C@H]3CCC[N@@H+]3Cc3ccncc3)[nH]c2c1 ZINC000289427736 526108697 /nfs/dbraw/zinc/10/86/97/526108697.db2.gz VIHFJTBJEJJSCD-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2nc([C@H]3CCC[N@H+]3Cc3ccncc3)[nH]c2c1 ZINC000289427736 526108700 /nfs/dbraw/zinc/10/87/00/526108700.db2.gz VIHFJTBJEJJSCD-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1cncs1 ZINC000130843862 526111419 /nfs/dbraw/zinc/11/14/19/526111419.db2.gz IXRIOIWFAVWAKR-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1cncs1 ZINC000130843862 526111431 /nfs/dbraw/zinc/11/14/31/526111431.db2.gz IXRIOIWFAVWAKR-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278587815 526113497 /nfs/dbraw/zinc/11/34/97/526113497.db2.gz KTZLXXRGVFZEER-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278587815 526113501 /nfs/dbraw/zinc/11/35/01/526113501.db2.gz KTZLXXRGVFZEER-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO CSc1ccccc1[C@H](C)Nc1cc[nH+]c2ccncc12 ZINC000336940206 526188123 /nfs/dbraw/zinc/18/81/23/526188123.db2.gz XPDMOHDWPSQPAV-LBPRGKRZSA-N 1 2 295.411 3.947 20 0 CHADLO Cc1c[nH+]ccc1CCNc1ncc(C(F)(F)F)s1 ZINC000276729475 526228065 /nfs/dbraw/zinc/22/80/65/526228065.db2.gz LHAKLUFJRFAFRI-UHFFFAOYSA-N 1 2 287.310 3.520 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cnc4ccccc4c3)cn2c1 ZINC000340692803 526250358 /nfs/dbraw/zinc/25/03/58/526250358.db2.gz CRTDOFSTTWHMLJ-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO FCCCCCSCc1cn2ccccc2[nH+]1 ZINC000340618676 526280829 /nfs/dbraw/zinc/28/08/29/526280829.db2.gz CWLOFUAUECZSLT-UHFFFAOYSA-N 1 2 252.358 3.707 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2cscn2)cc1 ZINC000247488427 526366376 /nfs/dbraw/zinc/36/63/76/526366376.db2.gz AQTOXDSHUUWQHE-CQSZACIVSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2cscn2)cc1 ZINC000247488427 526366379 /nfs/dbraw/zinc/36/63/79/526366379.db2.gz AQTOXDSHUUWQHE-CQSZACIVSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@H]3CCC[C@H]3OC(F)F)c2c1 ZINC000336882648 526376192 /nfs/dbraw/zinc/37/61/92/526376192.db2.gz CCZOBGVOJMJBCG-QWHCGFSZSA-N 1 2 296.292 3.946 20 0 CHADLO c1c(C[NH+]2Cc3ccccc3C2)[nH]nc1-c1ccccc1 ZINC000119978016 526399983 /nfs/dbraw/zinc/39/99/83/526399983.db2.gz QETZLOKYSBVYCM-UHFFFAOYSA-N 1 2 275.355 3.593 20 0 CHADLO c1c(CSCCCC2CCOCC2)[nH+]c2ccccn12 ZINC000279802935 526401204 /nfs/dbraw/zinc/40/12/04/526401204.db2.gz PFZFDSVRSINPIL-UHFFFAOYSA-N 1 2 290.432 3.774 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2cccnc2Cl)CC1 ZINC000076766581 526409371 /nfs/dbraw/zinc/40/93/71/526409371.db2.gz DTXIRHQGFJPYTP-UHFFFAOYSA-N 1 2 276.742 3.653 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccc(F)cc2F)n1 ZINC000069168525 526413684 /nfs/dbraw/zinc/41/36/84/526413684.db2.gz FMCPKAHDSXYAAR-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO c1ccc([C@@H]2OCCC[C@H]2CNc2cccc[nH+]2)cc1 ZINC000299208907 526496351 /nfs/dbraw/zinc/49/63/51/526496351.db2.gz BJKISYDBALVJQP-RDJZCZTQSA-N 1 2 268.360 3.661 20 0 CHADLO c1cn(Cc2cccc(-c3nc(C4CCCC4)no3)c2)c[nH+]1 ZINC000264609797 526534938 /nfs/dbraw/zinc/53/49/38/526534938.db2.gz JYECHEDDAVGVOM-UHFFFAOYSA-N 1 2 294.358 3.639 20 0 CHADLO CC(C)(C)OCCCCOc1cccc(-n2cc[nH+]c2)c1 ZINC000352548284 526551307 /nfs/dbraw/zinc/55/13/07/526551307.db2.gz OPUGCTUUIAAXHJ-UHFFFAOYSA-N 1 2 288.391 3.846 20 0 CHADLO Cc1[nH]ncc1C[NH2+]C1(c2cccc(Cl)c2)CCCC1 ZINC000130424050 526553561 /nfs/dbraw/zinc/55/35/61/526553561.db2.gz MURFEOIEYTXSIX-UHFFFAOYSA-N 1 2 289.810 3.931 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CCCc2c3cc(C)ccc3[nH]c21 ZINC000290418403 526554266 /nfs/dbraw/zinc/55/42/66/526554266.db2.gz UQENYALJBVZPNG-QGZVFWFLSA-N 1 2 294.402 3.675 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](c1ccccc1F)C1CCCC1 ZINC000088765422 526556110 /nfs/dbraw/zinc/55/61/10/526556110.db2.gz WLNRVIKDLFLZCI-KRWDZBQOSA-N 1 2 287.382 3.878 20 0 CHADLO Cc1nc(C(C)(C)C)sc1C(=O)Nc1cc[nH+]cc1C ZINC000264559590 526660888 /nfs/dbraw/zinc/66/08/88/526660888.db2.gz UOGYONLRXAQWFG-UHFFFAOYSA-N 1 2 289.404 3.705 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2cccc3ccccc32)no1 ZINC000264386555 526663427 /nfs/dbraw/zinc/66/34/27/526663427.db2.gz YORAJLRAAYGKLD-UHFFFAOYSA-N 1 2 281.359 3.556 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COC(=O)C[C@@H]1CCCCO1 ZINC000293004072 526668292 /nfs/dbraw/zinc/66/82/92/526668292.db2.gz JGDSUJFJJKIBBL-HNNXBMFYSA-N 1 2 291.391 3.516 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@@H]1CCCOC1 ZINC000292677395 526668452 /nfs/dbraw/zinc/66/84/52/526668452.db2.gz OQUFKOKKEYECCF-CQSZACIVSA-N 1 2 265.422 3.926 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@H]1CCCOC1 ZINC000292677390 526669029 /nfs/dbraw/zinc/66/90/29/526669029.db2.gz OQUFKOKKEYECCF-AWEZNQCLSA-N 1 2 265.422 3.926 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSC[C@H]1CCCO1 ZINC000338561925 526669342 /nfs/dbraw/zinc/66/93/42/526669342.db2.gz CQVBILAKWRODFD-CQSZACIVSA-N 1 2 265.422 3.926 20 0 CHADLO Cc1nc(CC[NH2+][C@H](c2ccccc2)C(F)F)cs1 ZINC000292844210 526828173 /nfs/dbraw/zinc/82/81/73/526828173.db2.gz VBWAEMPSDWWOFJ-CYBMUJFWSA-N 1 2 282.359 3.590 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(Cl)cc2)cs1 ZINC000042977816 526841438 /nfs/dbraw/zinc/84/14/38/526841438.db2.gz MEVBXRYKDWPIJH-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(Cl)cc2)cs1 ZINC000042977816 526841443 /nfs/dbraw/zinc/84/14/43/526841443.db2.gz MEVBXRYKDWPIJH-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H]2CCCc3nc(C)sc32)cs1 ZINC000076729832 526844012 /nfs/dbraw/zinc/84/40/12/526844012.db2.gz INWPKOKOXPCEDY-CYBMUJFWSA-N 1 2 293.461 3.726 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H]2CCCc3nc(C)sc32)cs1 ZINC000076729832 526844016 /nfs/dbraw/zinc/84/40/16/526844016.db2.gz INWPKOKOXPCEDY-CYBMUJFWSA-N 1 2 293.461 3.726 20 0 CHADLO O=C(c1coc2ccccc12)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000264890141 526899242 /nfs/dbraw/zinc/89/92/42/526899242.db2.gz LXMCAFOXXFZGCM-AWEZNQCLSA-N 1 2 295.342 3.523 20 0 CHADLO Clc1cccc(C2CC[NH+](Cc3csnn3)CC2)c1 ZINC000280133213 526975058 /nfs/dbraw/zinc/97/50/58/526975058.db2.gz CMAXDKVOZAABDQ-UHFFFAOYSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1ccccc1SCCCn1cc[nH+]c1 ZINC000103139410 526993100 /nfs/dbraw/zinc/99/31/00/526993100.db2.gz VTPAADLQFPNHMX-UHFFFAOYSA-N 1 2 252.770 3.719 20 0 CHADLO Cn1c(CCSCCOC(C)(C)C)[nH+]c2ccccc21 ZINC000289500049 527049950 /nfs/dbraw/zinc/04/99/50/527049950.db2.gz ZFJDZYMVYHKVLB-UHFFFAOYSA-N 1 2 292.448 3.664 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccn(C(C)C)c3C)cc2[nH+]1 ZINC000338668457 527147221 /nfs/dbraw/zinc/14/72/21/527147221.db2.gz HYIUUNUBEMMFHC-UHFFFAOYSA-N 1 2 296.374 3.814 20 0 CHADLO Cc1[nH]c2cc(NC(=O)c3ccn(C(C)C)c3C)ccc2[nH+]1 ZINC000338668457 527147228 /nfs/dbraw/zinc/14/72/28/527147228.db2.gz HYIUUNUBEMMFHC-UHFFFAOYSA-N 1 2 296.374 3.814 20 0 CHADLO Cc1[nH+]c2ccccc2n1Cc1nc(CC2CCCC2)no1 ZINC000293306297 527171770 /nfs/dbraw/zinc/17/17/70/527171770.db2.gz JVTWISVZHNBNIU-UHFFFAOYSA-N 1 2 296.374 3.509 20 0 CHADLO [NH3+][C@@H]1C[C@H]([NH2+]Cc2ccc(F)c(Cl)c2)c2ccccc21 ZINC000157440207 527377186 /nfs/dbraw/zinc/37/71/86/527377186.db2.gz WDQJVFAHLPZDBQ-CVEARBPZSA-N 1 2 290.769 3.714 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)c1ccoc1C1CC1 ZINC000336899270 527404330 /nfs/dbraw/zinc/40/43/30/527404330.db2.gz VKEIMDRGYBRDBB-UHFFFAOYSA-N 1 2 293.326 3.595 20 0 CHADLO CC(C)(C)CCCCNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000355050536 527660241 /nfs/dbraw/zinc/66/02/41/527660241.db2.gz MMBFLUORCLOBDZ-UHFFFAOYSA-N 1 2 299.418 3.819 20 0 CHADLO FC(F)(F)c1cnc(C[NH+]2CCCCCC2)s1 ZINC000279469920 527688070 /nfs/dbraw/zinc/68/80/70/527688070.db2.gz VMBPFMALOYESSZ-UHFFFAOYSA-N 1 2 264.316 3.538 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+]1CCC[C@@H]1c1cc[nH]n1 ZINC000278499855 527686933 /nfs/dbraw/zinc/68/69/33/527686933.db2.gz ACJBYFMDERHCPH-CQSZACIVSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+]1CCC[C@@H]1c1cc[nH]n1 ZINC000278499855 527686935 /nfs/dbraw/zinc/68/69/35/527686935.db2.gz ACJBYFMDERHCPH-CQSZACIVSA-N 1 2 295.308 3.766 20 0 CHADLO CCN(C)C(=O)c1ccc(Oc2cc[nH+]cc2)c(Cl)c1 ZINC000114233728 527785099 /nfs/dbraw/zinc/78/50/99/527785099.db2.gz VBXJOXMKEXSZEZ-UHFFFAOYSA-N 1 2 290.750 3.619 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc(SC)c[nH+]2)C12CCCC2 ZINC000347257770 527847352 /nfs/dbraw/zinc/84/73/52/527847352.db2.gz MWWDOKHFJRJMHW-ZIAGYGMSSA-N 1 2 292.448 3.953 20 0 CHADLO CC(C)CCc1nc(C[N@@H+]2CC[C@](C)(F)C2)cs1 ZINC000347396694 527870612 /nfs/dbraw/zinc/87/06/12/527870612.db2.gz YQVYAIUMGOSLKK-AWEZNQCLSA-N 1 2 270.417 3.666 20 0 CHADLO CC(C)CCc1nc(C[N@H+]2CC[C@](C)(F)C2)cs1 ZINC000347396694 527870617 /nfs/dbraw/zinc/87/06/17/527870617.db2.gz YQVYAIUMGOSLKK-AWEZNQCLSA-N 1 2 270.417 3.666 20 0 CHADLO CC1=C(C(=O)Nc2cccc(-c3[nH+]ccn3C)c2)CCC1 ZINC000336161405 528082079 /nfs/dbraw/zinc/08/20/79/528082079.db2.gz HWZPJCZVERRMSZ-UHFFFAOYSA-N 1 2 281.359 3.526 20 0 CHADLO CC(C)c1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@](C)(F)C1 ZINC000347389306 528200051 /nfs/dbraw/zinc/20/00/51/528200051.db2.gz RDIDGQMUDSSUMT-GUYCJALGSA-N 1 2 292.398 3.571 20 0 CHADLO CC(C)c1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@](C)(F)C1 ZINC000347389306 528200057 /nfs/dbraw/zinc/20/00/57/528200057.db2.gz RDIDGQMUDSSUMT-GUYCJALGSA-N 1 2 292.398 3.571 20 0 CHADLO CCOC(=O)c1cccc(NCCCc2ccc(C)[nH+]c2)c1 ZINC000354658109 528254523 /nfs/dbraw/zinc/25/45/23/528254523.db2.gz SJBYJBNZJAYWDK-UHFFFAOYSA-N 1 2 298.386 3.611 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC(C)(C)[C@H]2[C@H]2CCCO2)cs1 ZINC000351958546 528276286 /nfs/dbraw/zinc/27/62/86/528276286.db2.gz NVKLLPGYQPXQGD-ZIAGYGMSSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC(C)(C)[C@H]2[C@H]2CCCO2)cs1 ZINC000351958546 528276292 /nfs/dbraw/zinc/27/62/92/528276292.db2.gz NVKLLPGYQPXQGD-ZIAGYGMSSA-N 1 2 294.464 3.656 20 0 CHADLO CCOc1ccc(Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000346668265 528556935 /nfs/dbraw/zinc/55/69/35/528556935.db2.gz JUOQYEOUZABEQW-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO CC(C)Cc1ncc(C[N@H+](C)Cc2ccoc2)s1 ZINC000352643144 528667388 /nfs/dbraw/zinc/66/73/88/528667388.db2.gz KYPJAHDOUYXPFD-UHFFFAOYSA-N 1 2 264.394 3.567 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+](C)Cc2ccoc2)s1 ZINC000352643144 528667397 /nfs/dbraw/zinc/66/73/97/528667397.db2.gz KYPJAHDOUYXPFD-UHFFFAOYSA-N 1 2 264.394 3.567 20 0 CHADLO CCCCOc1cccc(CNc2cccc[nH+]2)c1 ZINC000019777544 528695643 /nfs/dbraw/zinc/69/56/43/528695643.db2.gz PVFHIPGHEKZXLV-UHFFFAOYSA-N 1 2 256.349 3.873 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC(F)(F)[C@H](C)C2)cs1 ZINC000351992851 528745146 /nfs/dbraw/zinc/74/51/46/528745146.db2.gz GNMIDSQNNYVYLS-SNVBAGLBSA-N 1 2 274.380 3.573 20 0 CHADLO CCCc1nc(C[N@H+]2CCC(F)(F)[C@H](C)C2)cs1 ZINC000351992851 528745152 /nfs/dbraw/zinc/74/51/52/528745152.db2.gz GNMIDSQNNYVYLS-SNVBAGLBSA-N 1 2 274.380 3.573 20 0 CHADLO CCOC(=O)C[N@H+](Cc1cccc(SCC)c1)C(C)C ZINC000347279066 528802982 /nfs/dbraw/zinc/80/29/82/528802982.db2.gz PSYPTIDXQKTPMJ-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1cccc(SCC)c1)C(C)C ZINC000347279066 528802987 /nfs/dbraw/zinc/80/29/87/528802987.db2.gz PSYPTIDXQKTPMJ-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO CC[N@H+](Cc1cccc(OC)n1)Cc1ccccc1Cl ZINC000353324247 528814797 /nfs/dbraw/zinc/81/47/97/528814797.db2.gz AQAJLQMXIJDDFH-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1cccc(OC)n1)Cc1ccccc1Cl ZINC000353324247 528814802 /nfs/dbraw/zinc/81/48/02/528814802.db2.gz AQAJLQMXIJDDFH-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC1(C)CCC(CSCCn2cc[nH+]c2)CC1 ZINC000344477772 528905626 /nfs/dbraw/zinc/90/56/26/528905626.db2.gz ACSYQJNHRYDODO-UHFFFAOYSA-N 1 2 252.427 3.833 20 0 CHADLO CCc1cccc(Cl)c1C[N@H+](C)Cc1cccnc1 ZINC000354922611 529171439 /nfs/dbraw/zinc/17/14/39/529171439.db2.gz BHVOFJKERPSDNW-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1cccc(Cl)c1C[N@@H+](C)Cc1cccnc1 ZINC000354922611 529171440 /nfs/dbraw/zinc/17/14/40/529171440.db2.gz BHVOFJKERPSDNW-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1cccc(F)c1C[NH2+]Cc1nccn1CC(C)C ZINC000354586848 529174357 /nfs/dbraw/zinc/17/43/57/529174357.db2.gz QQPAWZRZRWSKHX-UHFFFAOYSA-N 1 2 289.398 3.530 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)C2CCOCC2)c1 ZINC000347197919 529202542 /nfs/dbraw/zinc/20/25/42/529202542.db2.gz DCFCHZRVVBPUKS-GFCCVEGCSA-N 1 2 280.437 3.562 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000342244048 535097085 /nfs/dbraw/zinc/09/70/85/535097085.db2.gz YRYBTNNZBMUPNX-CQSZACIVSA-N 1 2 299.418 3.561 20 0 CHADLO CC/C=C/CC[N@@H+]1CCOC[C@H]1c1ccc(CC)o1 ZINC000342196307 535231545 /nfs/dbraw/zinc/23/15/45/535231545.db2.gz FJGSCLYTABQBEQ-NFAHFFEMSA-N 1 2 263.381 3.572 20 0 CHADLO CC/C=C/CC[N@H+]1CCOC[C@H]1c1ccc(CC)o1 ZINC000342196307 535231548 /nfs/dbraw/zinc/23/15/48/535231548.db2.gz FJGSCLYTABQBEQ-NFAHFFEMSA-N 1 2 263.381 3.572 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2cccc(C)c2C)o1 ZINC000341878869 535858786 /nfs/dbraw/zinc/85/87/86/535858786.db2.gz YDRXVWZRCBCJOP-CHWSQXEVSA-N 1 2 273.380 3.661 20 0 CHADLO CN(C[C@H]1CCCO1)c1cc[nH+]c2c(Cl)cccc12 ZINC000342121125 536447168 /nfs/dbraw/zinc/44/71/68/536447168.db2.gz RSVLDQYRPQUNCB-LLVKDONJSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)Cc1ccoc1 ZINC000823495582 1131429767 /nfs/dbraw/zinc/42/97/67/1131429767.db2.gz RGXODMNNPLBDFV-ZDUSSCGKSA-N 1 2 258.365 3.697 20 0 CHADLO CC1(C)C[NH+](Cc2cccc(Cl)c2F)CC(C)(C)O1 ZINC001137962391 1131442484 /nfs/dbraw/zinc/44/24/84/1131442484.db2.gz HCMTUAQHUPVPBZ-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)cc2C)n(C(C)(C)C)n1 ZINC001213505838 1117830830 /nfs/dbraw/zinc/83/08/30/1117830830.db2.gz RISPSMBAKUMHPC-UHFFFAOYSA-N 1 2 258.369 3.702 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(C3CCCC3)n2)[C@H]1C ZINC000339579049 1117886243 /nfs/dbraw/zinc/88/62/43/1117886243.db2.gz KXXDHGWNTQKLHN-QWRGUYRKSA-N 1 2 250.411 3.641 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(C3CCCC3)n2)[C@H]1C ZINC000339579049 1117886251 /nfs/dbraw/zinc/88/62/51/1117886251.db2.gz KXXDHGWNTQKLHN-QWRGUYRKSA-N 1 2 250.411 3.641 20 0 CHADLO Clc1ccc(-c2nc(Cn3cc[nH+]c3)cs2)cc1 ZINC000048393239 1125482784 /nfs/dbraw/zinc/48/27/84/1125482784.db2.gz CVSCJPNCJQXAGF-UHFFFAOYSA-N 1 2 275.764 3.708 20 0 CHADLO CCc1nc(C)c([C@@H](C)[NH2+]CC(F)(F)CC)s1 ZINC000624148098 1117989215 /nfs/dbraw/zinc/98/92/15/1117989215.db2.gz VJKRZIDBJPVSLH-MRVPVSSYSA-N 1 2 262.369 3.710 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ccc(Cl)o3)CCC2)n1 ZINC000340433786 1118240937 /nfs/dbraw/zinc/24/09/37/1118240937.db2.gz YGISVSAEDHZMSI-UHFFFAOYSA-N 1 2 282.796 3.867 20 0 CHADLO C[C@@H]1CSCCC[N@@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000934300440 1119015223 /nfs/dbraw/zinc/01/52/23/1119015223.db2.gz PBFWBGSZJDZICY-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CSCCC[N@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000934300440 1119015228 /nfs/dbraw/zinc/01/52/28/1119015228.db2.gz PBFWBGSZJDZICY-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](F)C[C@H]2C)cc(C(F)(F)F)c1 ZINC001143448583 1119272638 /nfs/dbraw/zinc/27/26/38/1119272638.db2.gz PTMFIKSGBNVQTB-MFKMUULPSA-N 1 2 275.289 3.946 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](F)C[C@H]2C)cc(C(F)(F)F)c1 ZINC001143448583 1119272641 /nfs/dbraw/zinc/27/26/41/1119272641.db2.gz PTMFIKSGBNVQTB-MFKMUULPSA-N 1 2 275.289 3.946 20 0 CHADLO COCc1ccc([C@H]2CCC[N@@H+]2Cc2csc(C)n2)o1 ZINC000131331522 1125491259 /nfs/dbraw/zinc/49/12/59/1125491259.db2.gz UKDGVRRDEVYMJD-CQSZACIVSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1ccc([C@H]2CCC[N@H+]2Cc2csc(C)n2)o1 ZINC000131331522 1125491268 /nfs/dbraw/zinc/49/12/68/1125491268.db2.gz UKDGVRRDEVYMJD-CQSZACIVSA-N 1 2 292.404 3.528 20 0 CHADLO CCOc1cc(Nc2ccnc(OC)c2Cl)cc(C)[nH+]1 ZINC001211945622 1125498488 /nfs/dbraw/zinc/49/84/88/1125498488.db2.gz WJNACVLWTXCMKB-UHFFFAOYSA-N 1 2 293.754 3.589 20 0 CHADLO c1csc(-c2cc(C[N@H+]3[C@H]4C=CC[C@@H]3CC4)no2)c1 ZINC000359884518 1125498727 /nfs/dbraw/zinc/49/87/27/1125498727.db2.gz YLZQGRWWYMUIQO-QWHCGFSZSA-N 1 2 272.373 3.696 20 0 CHADLO c1csc(-c2cc(C[N@@H+]3[C@H]4C=CC[C@@H]3CC4)no2)c1 ZINC000359884518 1125498731 /nfs/dbraw/zinc/49/87/31/1125498731.db2.gz YLZQGRWWYMUIQO-QWHCGFSZSA-N 1 2 272.373 3.696 20 0 CHADLO COc1ccc(C[N@@H+]2C[C@H](F)C[C@H]2C)c(C(F)(F)F)c1 ZINC001143472249 1119994694 /nfs/dbraw/zinc/99/46/94/1119994694.db2.gz BMSBCUFRHKUSAT-MWLCHTKSSA-N 1 2 291.288 3.646 20 0 CHADLO COc1ccc(C[N@H+]2C[C@H](F)C[C@H]2C)c(C(F)(F)F)c1 ZINC001143472249 1119994698 /nfs/dbraw/zinc/99/46/98/1119994698.db2.gz BMSBCUFRHKUSAT-MWLCHTKSSA-N 1 2 291.288 3.646 20 0 CHADLO C[C@@H]1CCC[C@H](C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000133030484 1125507498 /nfs/dbraw/zinc/50/74/98/1125507498.db2.gz AMWBLGBCNRSYPL-KGLIPLIRSA-N 1 2 283.375 3.637 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2occc2C)c1Cl ZINC000660614329 1120668509 /nfs/dbraw/zinc/66/85/09/1120668509.db2.gz KBQYPGYWIXXRNQ-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2occc2C)c1Cl ZINC000660614329 1120668511 /nfs/dbraw/zinc/66/85/11/1120668511.db2.gz KBQYPGYWIXXRNQ-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO CSc1ccccc1C[NH2+][C@H](C)c1cscn1 ZINC000661414666 1120763415 /nfs/dbraw/zinc/76/34/15/1120763415.db2.gz BQCRHVKPXFUXEJ-SNVBAGLBSA-N 1 2 264.419 3.716 20 0 CHADLO C[C@]1(CCc2ccccc2)CC[N@H+](CC(F)F)C1 ZINC000674376052 1121125970 /nfs/dbraw/zinc/12/59/70/1121125970.db2.gz WDGOJRXNBFXQAA-HNNXBMFYSA-N 1 2 253.336 3.596 20 0 CHADLO C[C@]1(CCc2ccccc2)CC[N@@H+](CC(F)F)C1 ZINC000674376052 1121125972 /nfs/dbraw/zinc/12/59/72/1121125972.db2.gz WDGOJRXNBFXQAA-HNNXBMFYSA-N 1 2 253.336 3.596 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cc(C)ccc2F)s1 ZINC000226783493 1121162777 /nfs/dbraw/zinc/16/27/77/1121162777.db2.gz MGSSIIQSONZNPU-NSHDSACASA-N 1 2 264.369 3.750 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)n(C)n1)c1ccccc1Cl ZINC000706176455 1121420527 /nfs/dbraw/zinc/42/05/27/1121420527.db2.gz ZJHCIMCISBUMOK-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO CCC(CC)CN(CC)c1cc(C)[nH+]c(C2CC2)n1 ZINC001185898732 1122365239 /nfs/dbraw/zinc/36/52/39/1122365239.db2.gz OWQFHBRKSAGSMQ-UHFFFAOYSA-N 1 2 261.413 3.925 20 0 CHADLO Cc1[nH+]c2cc(OCC[C@H]3CC3(Cl)Cl)ccc2n1C ZINC000853706454 1123448812 /nfs/dbraw/zinc/44/88/12/1123448812.db2.gz KLDOHMCOTPWJPT-JTQLQIEISA-N 1 2 299.201 3.844 20 0 CHADLO CCC(F)(F)C[NH2+]C[C@H](O)c1ccc(Cl)cc1Cl ZINC000863578334 1123877163 /nfs/dbraw/zinc/87/71/63/1123877163.db2.gz WSQYGTFFHFDYEV-NSHDSACASA-N 1 2 298.160 3.662 20 0 CHADLO COc1cccc2c(NCCCCSC)cc[nH+]c12 ZINC000302561134 1123972983 /nfs/dbraw/zinc/97/29/83/1123972983.db2.gz UHVDQXRIKQZIFO-UHFFFAOYSA-N 1 2 276.405 3.799 20 0 CHADLO CC[C@@H]([NH2+]Cc1oc(C(C)C)nc1C)c1nccs1 ZINC000428352438 1123992461 /nfs/dbraw/zinc/99/24/61/1123992461.db2.gz PVTXLMKZBJBWMR-LLVKDONJSA-N 1 2 279.409 3.804 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@H](C)c2ccns2)on1 ZINC000925157710 1124047167 /nfs/dbraw/zinc/04/71/67/1124047167.db2.gz SZNMDOXKEAVWAQ-SNVBAGLBSA-N 1 2 279.409 3.886 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(Cl)ccc2F)cn1 ZINC000446975693 1124129536 /nfs/dbraw/zinc/12/95/36/1124129536.db2.gz COCBOSFGEXNHPE-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000877956330 1125105591 /nfs/dbraw/zinc/10/55/91/1125105591.db2.gz LVEFLCDKIVMGFL-UHFFFAOYSA-N 1 2 288.269 3.535 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000877956330 1125105593 /nfs/dbraw/zinc/10/55/93/1125105593.db2.gz LVEFLCDKIVMGFL-UHFFFAOYSA-N 1 2 288.269 3.535 20 0 CHADLO Clc1ccnc(Cl)c1C[NH+]1C2CCC1CC2 ZINC001139480068 1131610525 /nfs/dbraw/zinc/61/05/25/1131610525.db2.gz RYBSBCQPQFMSAP-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO CCCCc1[nH]cc(CN2CCCC[C@@H]2C(F)(F)F)[nH+]1 ZINC001141069730 1132003628 /nfs/dbraw/zinc/00/36/28/1132003628.db2.gz BANONDQGSXHESE-GFCCVEGCSA-N 1 2 289.345 3.669 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC3(CCCC3)C2)c(Cl)n1 ZINC001141136232 1132026482 /nfs/dbraw/zinc/02/64/82/1132026482.db2.gz SPYMWAYCYBUWEC-UHFFFAOYSA-N 1 2 286.206 3.550 20 0 CHADLO Clc1cnc(C[N@H+]2CCC3(CCCC3)C2)c(Cl)n1 ZINC001141136232 1132026491 /nfs/dbraw/zinc/02/64/91/1132026491.db2.gz SPYMWAYCYBUWEC-UHFFFAOYSA-N 1 2 286.206 3.550 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875905 1132534197 /nfs/dbraw/zinc/53/41/97/1132534197.db2.gz HKWAWDWFBNRYGP-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875905 1132534200 /nfs/dbraw/zinc/53/42/00/1132534200.db2.gz HKWAWDWFBNRYGP-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CC(C)(C)C1CC[NH+](Cc2cc(O)c(F)c(F)c2)CC1 ZINC001232970342 1132553341 /nfs/dbraw/zinc/55/33/41/1132553341.db2.gz KQUFDNMUPKXVCB-UHFFFAOYSA-N 1 2 283.362 3.929 20 0 CHADLO Oc1cnc(Cl)cc1C[N@@H+]1CCC2(C1)CCCCC2 ZINC001233040141 1132564574 /nfs/dbraw/zinc/56/45/74/1132564574.db2.gz KYNSJVNJTFSVBM-UHFFFAOYSA-N 1 2 280.799 3.597 20 0 CHADLO Oc1cnc(Cl)cc1C[N@H+]1CCC2(C1)CCCCC2 ZINC001233040141 1132564580 /nfs/dbraw/zinc/56/45/80/1132564580.db2.gz KYNSJVNJTFSVBM-UHFFFAOYSA-N 1 2 280.799 3.597 20 0 CHADLO CC(C)[N@H+](C)Cc1c(F)cc(Br)c(F)c1F ZINC001233059333 1132568460 /nfs/dbraw/zinc/56/84/60/1132568460.db2.gz BNZFJMYJHPBSPN-UHFFFAOYSA-N 1 2 296.130 3.707 20 0 CHADLO CC(C)[N@@H+](C)Cc1c(F)cc(Br)c(F)c1F ZINC001233059333 1132568461 /nfs/dbraw/zinc/56/84/61/1132568461.db2.gz BNZFJMYJHPBSPN-UHFFFAOYSA-N 1 2 296.130 3.707 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(N)cc(Br)c2)c1 ZINC001203663166 1133349265 /nfs/dbraw/zinc/34/92/65/1133349265.db2.gz ASMONJIGTBZVGG-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO CS[C@H](C)CC[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001208147650 1133886929 /nfs/dbraw/zinc/88/69/29/1133886929.db2.gz KFOQKSPQVZGDOA-DOMZBBRYSA-N 1 2 299.867 3.855 20 0 CHADLO CS[C@H](C)CC[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001208147650 1133886932 /nfs/dbraw/zinc/88/69/32/1133886932.db2.gz KFOQKSPQVZGDOA-DOMZBBRYSA-N 1 2 299.867 3.855 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(Cl)cc1O ZINC001214402270 1134535919 /nfs/dbraw/zinc/53/59/19/1134535919.db2.gz OKHCSDUXNUWEBW-UHFFFAOYSA-N 1 2 264.712 3.583 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCC[C@H]3c3ccc[nH]3)nc2c1 ZINC000132985424 1125508180 /nfs/dbraw/zinc/50/81/80/1125508180.db2.gz AIUWUGYXXLHRSI-AWEZNQCLSA-N 1 2 285.322 3.632 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCC[C@H]3c3ccc[nH]3)nc2c1 ZINC000132985424 1125508188 /nfs/dbraw/zinc/50/81/88/1125508188.db2.gz AIUWUGYXXLHRSI-AWEZNQCLSA-N 1 2 285.322 3.632 20 0 CHADLO Fc1cccc(C[NH2+]C2(c3nccs3)CCCC2)c1F ZINC000116374015 1125508664 /nfs/dbraw/zinc/50/86/64/1125508664.db2.gz BKGSNAUQUIQSNK-UHFFFAOYSA-N 1 2 294.370 3.980 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000245441471 1125571606 /nfs/dbraw/zinc/57/16/06/1125571606.db2.gz VLLZZECELOVERA-LALPHHSUSA-N 1 2 283.375 3.701 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000245441471 1125571612 /nfs/dbraw/zinc/57/16/12/1125571612.db2.gz VLLZZECELOVERA-LALPHHSUSA-N 1 2 283.375 3.701 20 0 CHADLO C[C@H](F)CC[N@@H+]1C[C@@H](c2ccccc2Cl)OC[C@@H]1C ZINC000509674068 1125572576 /nfs/dbraw/zinc/57/25/76/1125572576.db2.gz SUUXUZNTYXRXEV-HUBLWGQQSA-N 1 2 285.790 3.850 20 0 CHADLO C[C@H](F)CC[N@H+]1C[C@@H](c2ccccc2Cl)OC[C@@H]1C ZINC000509674068 1125572581 /nfs/dbraw/zinc/57/25/81/1125572581.db2.gz SUUXUZNTYXRXEV-HUBLWGQQSA-N 1 2 285.790 3.850 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)o1 ZINC000245809905 1125595165 /nfs/dbraw/zinc/59/51/65/1125595165.db2.gz ZFGVELUKIUJAEG-PBHICJAKSA-N 1 2 271.360 3.550 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)o1 ZINC000245809905 1125595170 /nfs/dbraw/zinc/59/51/70/1125595170.db2.gz ZFGVELUKIUJAEG-PBHICJAKSA-N 1 2 271.360 3.550 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000533531131 1125612767 /nfs/dbraw/zinc/61/27/67/1125612767.db2.gz MNWXFSCTBLGTSY-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1CCc2ccc(O)cc2C1 ZINC000533531131 1125612772 /nfs/dbraw/zinc/61/27/72/1125612772.db2.gz MNWXFSCTBLGTSY-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO Cc1cc(NC(=O)Cc2ccc(Cl)c(Cl)c2)cc[nH+]1 ZINC000072672362 1125635116 /nfs/dbraw/zinc/63/51/16/1125635116.db2.gz VVHHMBOSAJRAKL-UHFFFAOYSA-N 1 2 295.169 3.878 20 0 CHADLO Cc1cc(NC(=O)[C@H]2C[C@@H]2c2ccccc2Cl)cc[nH+]1 ZINC000073409148 1125638384 /nfs/dbraw/zinc/63/83/84/1125638384.db2.gz HBTOOKPTNWVAHB-KGLIPLIRSA-N 1 2 286.762 3.786 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nccs2)[C@@H](c2ccco2)C1 ZINC000246459819 1125638695 /nfs/dbraw/zinc/63/86/95/1125638695.db2.gz FJGXUWLBOOHNNY-VXGBXAGGSA-N 1 2 262.378 3.709 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nccs2)[C@@H](c2ccco2)C1 ZINC000246459819 1125638704 /nfs/dbraw/zinc/63/87/04/1125638704.db2.gz FJGXUWLBOOHNNY-VXGBXAGGSA-N 1 2 262.378 3.709 20 0 CHADLO Oc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c(F)c1F ZINC001212007558 1125647284 /nfs/dbraw/zinc/64/72/84/1125647284.db2.gz NDGHPLWWCXLXNV-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO CCCCC(=O)Nc1nc(C[N@@H+]2CCC[C@H](C)C2)cs1 ZINC000248259546 1125663266 /nfs/dbraw/zinc/66/32/66/1125663266.db2.gz VTLBKRBURSHPKA-LBPRGKRZSA-N 1 2 295.452 3.504 20 0 CHADLO CCCCC(=O)Nc1nc(C[N@H+]2CCC[C@H](C)C2)cs1 ZINC000248259546 1125663270 /nfs/dbraw/zinc/66/32/70/1125663270.db2.gz VTLBKRBURSHPKA-LBPRGKRZSA-N 1 2 295.452 3.504 20 0 CHADLO CC1(C)Cc2cccc(C[NH2+]Cc3cc(C4CC4)no3)c2O1 ZINC000886271923 1125664139 /nfs/dbraw/zinc/66/41/39/1125664139.db2.gz AVADARLMOGDLSE-UHFFFAOYSA-N 1 2 298.386 3.555 20 0 CHADLO Cc1cc(C)c2cc(NCc3c[nH+]c4n3CCC4)ccc2n1 ZINC000886369373 1125673960 /nfs/dbraw/zinc/67/39/60/1125673960.db2.gz DHWZAOLWHPZXMM-UHFFFAOYSA-N 1 2 292.386 3.606 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2ccc(C)cc2C)[nH+]1 ZINC001202734964 1125678982 /nfs/dbraw/zinc/67/89/82/1125678982.db2.gz NNUGMCJPCVXVIC-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO Cc1c(Br)cccc1C[NH2+][C@H](C)c1ncco1 ZINC000886536585 1125685810 /nfs/dbraw/zinc/68/58/10/1125685810.db2.gz LHOHRZDOYSKGPS-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ncc(Cl)n2C)[C@H]1c1ccccc1 ZINC000886545280 1125688779 /nfs/dbraw/zinc/68/87/79/1125688779.db2.gz KDUKWZRNFYFINY-MLGOLLRUSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ncc(Cl)n2C)[C@H]1c1ccccc1 ZINC000886545280 1125688783 /nfs/dbraw/zinc/68/87/83/1125688783.db2.gz KDUKWZRNFYFINY-MLGOLLRUSA-N 1 2 289.810 3.657 20 0 CHADLO FC[C@@H]([NH2+][C@@H]1CCc2cccnc21)c1ccc(F)cc1 ZINC000886648611 1125702232 /nfs/dbraw/zinc/70/22/32/1125702232.db2.gz YXRFPCDNDUMBBX-HUUCEWRRSA-N 1 2 274.314 3.508 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C2CC2)no1)c1ccc2ccccc2n1 ZINC000886684599 1125707154 /nfs/dbraw/zinc/70/71/54/1125707154.db2.gz OJHQAKQBHGXTRE-LBPRGKRZSA-N 1 2 293.370 3.951 20 0 CHADLO CC(=O)Oc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001212075521 1125713538 /nfs/dbraw/zinc/71/35/38/1125713538.db2.gz IAQDJMJQIQIIGS-UHFFFAOYSA-N 1 2 293.326 3.541 20 0 CHADLO Brc1cccc2c(N3CCCC3)cc[nH+]c12 ZINC000258479799 1125730271 /nfs/dbraw/zinc/73/02/71/1125730271.db2.gz JQZWNUPBPTVIEA-UHFFFAOYSA-N 1 2 277.165 3.598 20 0 CHADLO CSc1cc(C)cc(C[N@H+](C)Cc2nccs2)c1 ZINC001238683488 1131231273 /nfs/dbraw/zinc/23/12/73/1131231273.db2.gz VCTAXMPOEOMOFF-UHFFFAOYSA-N 1 2 278.446 3.805 20 0 CHADLO CSc1cc(C)cc(C[N@@H+](C)Cc2nccs2)c1 ZINC001238683488 1131231278 /nfs/dbraw/zinc/23/12/78/1131231278.db2.gz VCTAXMPOEOMOFF-UHFFFAOYSA-N 1 2 278.446 3.805 20 0 CHADLO C[C@@H](SCCn1cc[nH+]c1)c1ccc(F)c(F)c1 ZINC000090200683 1125741398 /nfs/dbraw/zinc/74/13/98/1125741398.db2.gz OBNBVDPHRVJIKR-SNVBAGLBSA-N 1 2 268.332 3.656 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1cc(F)ccc1F ZINC000091703192 1125751174 /nfs/dbraw/zinc/75/11/74/1125751174.db2.gz UEUGPWYRYKSIPC-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1cc(F)ccc1F ZINC000091703192 1125751180 /nfs/dbraw/zinc/75/11/80/1125751180.db2.gz UEUGPWYRYKSIPC-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO Clc1cc(C[NH+]2CC([C@@H]3CCOC3)C2)c(Cl)s1 ZINC000648562973 1125752947 /nfs/dbraw/zinc/75/29/47/1125752947.db2.gz CIBIRNIOCCCDRG-MRVPVSSYSA-N 1 2 292.231 3.523 20 0 CHADLO CCCCCn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000092918209 1125763085 /nfs/dbraw/zinc/76/30/85/1125763085.db2.gz KJRDXMAVXODAIU-UHFFFAOYSA-N 1 2 257.259 3.640 20 0 CHADLO COc1cc(F)cc(C[NH2+]C2(C(F)F)CCCC2)c1 ZINC000648589706 1125763532 /nfs/dbraw/zinc/76/35/32/1125763532.db2.gz MOQUSQPOYFNACB-UHFFFAOYSA-N 1 2 273.298 3.502 20 0 CHADLO CC[C@@H](C)C[NH2+][C@@H](c1noc(C)n1)c1ccc(Cl)cc1 ZINC000093112214 1125763957 /nfs/dbraw/zinc/76/39/57/1125763957.db2.gz XDGHBDRVGOPDOR-QMTHXVAHSA-N 1 2 293.798 3.757 20 0 CHADLO CC(C)COc1cccc(C[N@@H+]2CCC=C(F)C2)c1 ZINC000508689652 1125774648 /nfs/dbraw/zinc/77/46/48/1125774648.db2.gz HQSWKFUWAQPJMV-UHFFFAOYSA-N 1 2 263.356 3.781 20 0 CHADLO CC(C)COc1cccc(C[N@H+]2CCC=C(F)C2)c1 ZINC000508689652 1125774659 /nfs/dbraw/zinc/77/46/59/1125774659.db2.gz HQSWKFUWAQPJMV-UHFFFAOYSA-N 1 2 263.356 3.781 20 0 CHADLO CCCCc1nc(CSCCn2cc[nH+]c2)cs1 ZINC000122365164 1125787114 /nfs/dbraw/zinc/78/71/14/1125787114.db2.gz ZPUGQMQCJVKFQN-UHFFFAOYSA-N 1 2 281.450 3.616 20 0 CHADLO CCCCCCc1ccc(C[NH+]2CC3(CCO3)C2)s1 ZINC001238702741 1131233565 /nfs/dbraw/zinc/23/35/65/1131233565.db2.gz HJPUARXXOQGZAS-UHFFFAOYSA-N 1 2 279.449 3.846 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)[C@@H]1CC1(F)F ZINC000638399761 1129257110 /nfs/dbraw/zinc/25/71/10/1129257110.db2.gz SPEWBCSOYQRWJX-YPMHNXCESA-N 1 2 281.350 3.528 20 0 CHADLO Cc1nn(C[N@H+]2CCC[C@@H](C(C)C)CC2)c(=S)s1 ZINC000738240384 1129391849 /nfs/dbraw/zinc/39/18/49/1129391849.db2.gz OSHCMHBFFLRRAI-GFCCVEGCSA-N 1 2 285.482 3.698 20 0 CHADLO Cc1nn(C[N@@H+]2CCC[C@@H](C(C)C)CC2)c(=S)s1 ZINC000738240384 1129391852 /nfs/dbraw/zinc/39/18/52/1129391852.db2.gz OSHCMHBFFLRRAI-GFCCVEGCSA-N 1 2 285.482 3.698 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)cc2cccnc21)C(F)F ZINC000641793162 1129392946 /nfs/dbraw/zinc/39/29/46/1129392946.db2.gz FQYLCHZCVNHIID-QMMMGPOBSA-N 1 2 270.710 3.631 20 0 CHADLO Clc1cscc1C[N@H+]1CCOC[C@@H]1CC1CCC1 ZINC000646296612 1129531686 /nfs/dbraw/zinc/53/16/86/1129531686.db2.gz MMQGRKSQZGXBOL-ZDUSSCGKSA-N 1 2 285.840 3.793 20 0 CHADLO CCOc1ccc(C)c(C[N@@H+]2CCC(F)(F)[C@H](F)C2)c1 ZINC001238706120 1131235619 /nfs/dbraw/zinc/23/56/19/1131235619.db2.gz VMJHULPWOFDIPV-CQSZACIVSA-N 1 2 287.325 3.573 20 0 CHADLO CCOc1ccc(C)c(C[N@H+]2CCC(F)(F)[C@H](F)C2)c1 ZINC001238706120 1131235622 /nfs/dbraw/zinc/23/56/22/1131235622.db2.gz VMJHULPWOFDIPV-CQSZACIVSA-N 1 2 287.325 3.573 20 0 CHADLO CC(C)(C)SCCSc1[nH+]cc2ccccn21 ZINC000801653976 1129936291 /nfs/dbraw/zinc/93/62/91/1129936291.db2.gz KUBAEDJRZJHPDV-UHFFFAOYSA-N 1 2 266.435 3.958 20 0 CHADLO Cc1cncc([C@@H](C)Nc2ccc([NH+](C)C)cc2)c1 ZINC000184460330 1129201363 /nfs/dbraw/zinc/20/13/63/1129201363.db2.gz MMXZKINVRMAUDQ-CYBMUJFWSA-N 1 2 255.365 3.629 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc(F)ccc1F)c1ccncc1F ZINC000338007435 1126709040 /nfs/dbraw/zinc/70/90/40/1126709040.db2.gz ISFOFHFMFXZYKX-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccncc1F)c1nc2ccccc2n1C ZINC000338009169 1126710173 /nfs/dbraw/zinc/71/01/73/1126710173.db2.gz AKOQKGMPWOGKFU-VXGBXAGGSA-N 1 2 298.365 3.519 20 0 CHADLO Cc1c(F)nccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001212842835 1126717207 /nfs/dbraw/zinc/71/72/07/1126717207.db2.gz OVWUXSFRVAVZSG-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cn1)c1ccncc1F ZINC000338023341 1126712635 /nfs/dbraw/zinc/71/26/35/1126712635.db2.gz RYEQPOMRLPNUEH-ZJUUUORDSA-N 1 2 279.746 3.681 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)s2)CC2(CCC2)O1 ZINC000567810682 1126719465 /nfs/dbraw/zinc/71/94/65/1126719465.db2.gz BSBNGRHUMOJLMZ-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)s2)CC2(CCC2)O1 ZINC000567810682 1126719468 /nfs/dbraw/zinc/71/94/68/1126719468.db2.gz BSBNGRHUMOJLMZ-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO CCO[C@@H](CSCCc1[nH+]cc(C)cc1C)C1CC1 ZINC000600637322 1126729583 /nfs/dbraw/zinc/72/95/83/1126729583.db2.gz LCXCNASCTOJXHR-INIZCTEOSA-N 1 2 279.449 3.789 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccncc1F ZINC000338357734 1126738020 /nfs/dbraw/zinc/73/80/20/1126738020.db2.gz KHKNTZUFLJMIPZ-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2cccc(C3CCCC3)c2)n1 ZINC000668050420 1126757552 /nfs/dbraw/zinc/75/75/52/1126757552.db2.gz QHBPAURIHCPSDV-LBPRGKRZSA-N 1 2 285.391 3.886 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cccnc1Cl)c1nc(C)cs1 ZINC000174499392 1126770623 /nfs/dbraw/zinc/77/06/23/1126770623.db2.gz UVQALCZKCSACSC-CQSZACIVSA-N 1 2 295.839 3.915 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2CCC(C)=C(C)C2)c(Cl)c1 ZINC000483351293 1126782150 /nfs/dbraw/zinc/78/21/50/1126782150.db2.gz POVKINBVMASBGC-UHFFFAOYSA-N 1 2 292.810 3.629 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2CCC(C)=C(C)C2)c(Cl)c1 ZINC000483351293 1126782154 /nfs/dbraw/zinc/78/21/54/1126782154.db2.gz POVKINBVMASBGC-UHFFFAOYSA-N 1 2 292.810 3.629 20 0 CHADLO CC1=C(C)C[N@H+]([C@@H](C)C(=O)Nc2ccccc2Cl)CC1 ZINC000483345559 1126783273 /nfs/dbraw/zinc/78/32/73/1126783273.db2.gz AFFXARNLTNCAGL-ZDUSSCGKSA-N 1 2 292.810 3.709 20 0 CHADLO CC1=C(C)C[N@@H+]([C@@H](C)C(=O)Nc2ccccc2Cl)CC1 ZINC000483345559 1126783278 /nfs/dbraw/zinc/78/32/78/1126783278.db2.gz AFFXARNLTNCAGL-ZDUSSCGKSA-N 1 2 292.810 3.709 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1cc(Cl)cc(Cl)c1 ZINC000679048726 1130487749 /nfs/dbraw/zinc/48/77/49/1130487749.db2.gz PHVOTHJXGJBIPI-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO CC[NH+](CC)[C@H](C(=O)OC)c1ccc(Cl)c(Cl)c1 ZINC000483541283 1126791531 /nfs/dbraw/zinc/79/15/31/1126791531.db2.gz SMUYWNWNTXOSKI-LBPRGKRZSA-N 1 2 290.190 3.549 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1c(F)cccc1F)CCO2 ZINC000178498973 1126815562 /nfs/dbraw/zinc/81/55/62/1126815562.db2.gz QCJLCGNXJCJMPV-HNNXBMFYSA-N 1 2 293.288 3.717 20 0 CHADLO CC[N@H+](Cc1nccn1C(C)C)[C@H](C)c1cccc(O)c1 ZINC000178710734 1126818934 /nfs/dbraw/zinc/81/89/34/1126818934.db2.gz OBENSBWMMRSSDI-CQSZACIVSA-N 1 2 287.407 3.753 20 0 CHADLO CC[N@@H+](Cc1nccn1C(C)C)[C@H](C)c1cccc(O)c1 ZINC000178710734 1126818937 /nfs/dbraw/zinc/81/89/37/1126818937.db2.gz OBENSBWMMRSSDI-CQSZACIVSA-N 1 2 287.407 3.753 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000179428709 1126828553 /nfs/dbraw/zinc/82/85/53/1126828553.db2.gz QTQDJHWFVQLHGB-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000179428709 1126828558 /nfs/dbraw/zinc/82/85/58/1126828558.db2.gz QTQDJHWFVQLHGB-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1csc(C2CC2)n1 ZINC000179575600 1126830602 /nfs/dbraw/zinc/83/06/02/1126830602.db2.gz IPYYBVBMMMFYCJ-OAHLLOKOSA-N 1 2 287.432 3.696 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1csc(C2CC2)n1 ZINC000179575600 1126830606 /nfs/dbraw/zinc/83/06/06/1126830606.db2.gz IPYYBVBMMMFYCJ-OAHLLOKOSA-N 1 2 287.432 3.696 20 0 CHADLO CC(C)n1cc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000180060342 1126838865 /nfs/dbraw/zinc/83/88/65/1126838865.db2.gz MQLGHLFWIAMMIG-LBPRGKRZSA-N 1 2 293.361 3.935 20 0 CHADLO CC(C)n1cc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000180060342 1126838866 /nfs/dbraw/zinc/83/88/66/1126838866.db2.gz MQLGHLFWIAMMIG-LBPRGKRZSA-N 1 2 293.361 3.935 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc([C@H]2CCC=CCCC2)n1 ZINC000904264352 1126840312 /nfs/dbraw/zinc/84/03/12/1126840312.db2.gz IQPPJEXXYAMNFC-LBPRGKRZSA-N 1 2 284.363 3.626 20 0 CHADLO CC[C@H](CC(C)C)c1nc(-c2c[nH+]c(N)cc2C)no1 ZINC000904268475 1126840871 /nfs/dbraw/zinc/84/08/71/1126840871.db2.gz RXZZGPKEFQMRRI-LLVKDONJSA-N 1 2 274.368 3.562 20 0 CHADLO CCCCS[C@H](C)c1nc(-c2c[nH+]c(N)cc2C)no1 ZINC000904271918 1126841535 /nfs/dbraw/zinc/84/15/35/1126841535.db2.gz FCFLJZDGWXETAY-SNVBAGLBSA-N 1 2 292.408 3.617 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc(-c2sccc2C2CC2)n1 ZINC000904261509 1126841698 /nfs/dbraw/zinc/84/16/98/1126841698.db2.gz DPANOBKWZCQATL-UHFFFAOYSA-N 1 2 298.371 3.628 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2)s1 ZINC000180406514 1126843472 /nfs/dbraw/zinc/84/34/72/1126843472.db2.gz KVXQGQUEHDMSKO-NSHDSACASA-N 1 2 264.369 3.784 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2ccc(F)cc2)s1 ZINC000180406514 1126843475 /nfs/dbraw/zinc/84/34/75/1126843475.db2.gz KVXQGQUEHDMSKO-NSHDSACASA-N 1 2 264.369 3.784 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]Cc1nc(Cl)cs1 ZINC000759190395 1130642935 /nfs/dbraw/zinc/64/29/35/1130642935.db2.gz YOLYNOBBZZNZNA-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO c1ccc([C@H](CC2CCC2)[NH2+]Cc2nc(C3CC3)no2)cc1 ZINC000181351410 1126854761 /nfs/dbraw/zinc/85/47/61/1126854761.db2.gz CVUIJWVVDMEYJG-INIZCTEOSA-N 1 2 297.402 3.968 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1scnc1C ZINC000181480803 1126855735 /nfs/dbraw/zinc/85/57/35/1126855735.db2.gz YCRDNEUUMPVKAN-UHFFFAOYSA-N 1 2 286.404 3.823 20 0 CHADLO CC(=O)Nc1ccc([C@H](C)Nc2ccc([NH+](C)C)cc2)cc1 ZINC000182210860 1126868248 /nfs/dbraw/zinc/86/82/48/1126868248.db2.gz XWPWUILVHSLFSV-ZDUSSCGKSA-N 1 2 297.402 3.884 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccccn1 ZINC000182954400 1126879849 /nfs/dbraw/zinc/87/98/49/1126879849.db2.gz LNVWXNCRXVWEEB-CYBMUJFWSA-N 1 2 267.376 3.637 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccccn1 ZINC000182954400 1126879854 /nfs/dbraw/zinc/87/98/54/1126879854.db2.gz LNVWXNCRXVWEEB-CYBMUJFWSA-N 1 2 267.376 3.637 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](C)c2cccc(C)c2C)n1 ZINC000183704877 1126890461 /nfs/dbraw/zinc/89/04/61/1126890461.db2.gz QOEJWGYVHOEJAP-CQSZACIVSA-N 1 2 287.407 3.880 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nc(CC(C)C)no2)c1C ZINC000183722735 1126890663 /nfs/dbraw/zinc/89/06/63/1126890663.db2.gz FIJUCZXTODOIRX-CQSZACIVSA-N 1 2 287.407 3.736 20 0 CHADLO C[C@@H](CC1CCOCC1)Nc1c[nH+]cc2c1CCCC2 ZINC000668441863 1126891697 /nfs/dbraw/zinc/89/16/97/1126891697.db2.gz WXBOOEYULICOER-ZDUSSCGKSA-N 1 2 274.408 3.578 20 0 CHADLO CCc1cnccc1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000485170153 1126891726 /nfs/dbraw/zinc/89/17/26/1126891726.db2.gz VTSREKAEENWBAI-UHFFFAOYSA-N 1 2 298.434 3.715 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+][C@@H](C)c1ccc(F)cc1Cl ZINC000188036841 1126919461 /nfs/dbraw/zinc/91/94/61/1126919461.db2.gz GMVMOSZTRNTNFJ-ONGXEEELSA-N 1 2 293.773 3.989 20 0 CHADLO C[N@H+](Cc1cc(Cl)c(Cl)c(Cl)c1)C1(C)COC1 ZINC001235598928 1130757018 /nfs/dbraw/zinc/75/70/18/1130757018.db2.gz SOQURVQYTXQJBJ-UHFFFAOYSA-N 1 2 294.609 3.868 20 0 CHADLO C[N@@H+](Cc1cc(Cl)c(Cl)c(Cl)c1)C1(C)COC1 ZINC001235598928 1130757024 /nfs/dbraw/zinc/75/70/24/1130757024.db2.gz SOQURVQYTXQJBJ-UHFFFAOYSA-N 1 2 294.609 3.868 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc(N=S(C)(C)=O)cc2)n1C ZINC000906198897 1126941160 /nfs/dbraw/zinc/94/11/60/1126941160.db2.gz DCLJWEXKZREZAE-UHFFFAOYSA-N 1 2 291.420 3.570 20 0 CHADLO C[N@H+](Cc1cn(C2CC2)nn1)Cc1ccc(C(C)(C)C)cc1 ZINC000906253641 1126942289 /nfs/dbraw/zinc/94/22/89/1126942289.db2.gz UBTJKEJUJRRAOW-UHFFFAOYSA-N 1 2 298.434 3.543 20 0 CHADLO C[N@@H+](Cc1cn(C2CC2)nn1)Cc1ccc(C(C)(C)C)cc1 ZINC000906253641 1126942293 /nfs/dbraw/zinc/94/22/93/1126942293.db2.gz UBTJKEJUJRRAOW-UHFFFAOYSA-N 1 2 298.434 3.543 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(Cl)c2Cl)C[C@H](C)[C@H]1O ZINC000668554943 1126956064 /nfs/dbraw/zinc/95/60/64/1126956064.db2.gz UPYNWLRFIVOKJF-VROVMSAKSA-N 1 2 294.247 3.504 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(Cl)c2Cl)C[C@H](C)[C@H]1O ZINC000668554943 1126956067 /nfs/dbraw/zinc/95/60/67/1126956067.db2.gz UPYNWLRFIVOKJF-VROVMSAKSA-N 1 2 294.247 3.504 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+](C)Cc1cocn1 ZINC000191926412 1126956507 /nfs/dbraw/zinc/95/65/07/1126956507.db2.gz SFTZQOMUYJIBBT-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cocn1 ZINC000191926412 1126956508 /nfs/dbraw/zinc/95/65/08/1126956508.db2.gz SFTZQOMUYJIBBT-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccccc2OC)cs1 ZINC000149194264 1126959704 /nfs/dbraw/zinc/95/97/04/1126959704.db2.gz ONGTXSGYAULBKQ-NSHDSACASA-N 1 2 276.405 3.565 20 0 CHADLO c1nc(C[NH2+]Cc2ccc(OC3CCCCC3)cc2)co1 ZINC000192301892 1126960360 /nfs/dbraw/zinc/96/03/60/1126960360.db2.gz SMSINCLEYJOBTA-UHFFFAOYSA-N 1 2 286.375 3.676 20 0 CHADLO CCCc1noc(C[N@H+]([C@@H](C)c2ccccc2)C2CC2)n1 ZINC000266757715 1126987888 /nfs/dbraw/zinc/98/78/88/1126987888.db2.gz SDYUNQSOUHVVLL-ZDUSSCGKSA-N 1 2 285.391 3.748 20 0 CHADLO CCCc1noc(C[N@@H+]([C@@H](C)c2ccccc2)C2CC2)n1 ZINC000266757715 1126987891 /nfs/dbraw/zinc/98/78/91/1126987891.db2.gz SDYUNQSOUHVVLL-ZDUSSCGKSA-N 1 2 285.391 3.748 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H](C)c2ccsc2)c(C)[nH+]1 ZINC000908037706 1126989983 /nfs/dbraw/zinc/98/99/83/1126989983.db2.gz WKGLEPVFMWOYTA-NSHDSACASA-N 1 2 274.389 3.559 20 0 CHADLO COc1cc(C[N@@H+]2CCC[C@@H]2c2ccccc2Cl)on1 ZINC000659847631 1126994261 /nfs/dbraw/zinc/99/42/61/1126994261.db2.gz PNYJBZVCCMVOHQ-CQSZACIVSA-N 1 2 292.766 3.674 20 0 CHADLO COc1cc(C[N@H+]2CCC[C@@H]2c2ccccc2Cl)on1 ZINC000659847631 1126994263 /nfs/dbraw/zinc/99/42/63/1126994263.db2.gz PNYJBZVCCMVOHQ-CQSZACIVSA-N 1 2 292.766 3.674 20 0 CHADLO Cc1cnc([C@@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)s1 ZINC000267371882 1126998838 /nfs/dbraw/zinc/99/88/38/1126998838.db2.gz KAVWIVRTUWEFSP-SNVBAGLBSA-N 1 2 274.393 3.600 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+]Cc2ccc(C)c(F)c2)cs1 ZINC000267629346 1127003439 /nfs/dbraw/zinc/00/34/39/1127003439.db2.gz NDQPFLAITOXTIH-LLVKDONJSA-N 1 2 294.395 3.588 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@H]2CCCCC2(F)F)c(C)[nH+]1 ZINC000908523679 1127006676 /nfs/dbraw/zinc/00/66/76/1127006676.db2.gz LOZCIEBLBLGZEM-CYBMUJFWSA-N 1 2 296.361 3.562 20 0 CHADLO Cn1ccc(C[NH2+][C@H](c2ccc(F)cc2F)C(C)(C)C)n1 ZINC000268170696 1127013283 /nfs/dbraw/zinc/01/32/83/1127013283.db2.gz GOFBTQDWUPWBTM-OAHLLOKOSA-N 1 2 293.361 3.575 20 0 CHADLO Cc1cc(N[C@H](C)C[C@H](O)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000268200255 1127014432 /nfs/dbraw/zinc/01/44/32/1127014432.db2.gz OGEUEGLPXWZMLW-WBMJQRKESA-N 1 2 297.402 3.587 20 0 CHADLO Cn1nccc1C[NH2+]C1(c2c(Cl)cccc2Cl)CC1 ZINC000268369467 1127016933 /nfs/dbraw/zinc/01/69/33/1127016933.db2.gz RNSMTDGOSHZYHU-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000268463481 1127017769 /nfs/dbraw/zinc/01/77/69/1127017769.db2.gz OSRLSFUGXYUADR-IOASZLSFSA-N 1 2 288.416 3.666 20 0 CHADLO C[C@H](c1cccnc1)[N@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000268463481 1127017770 /nfs/dbraw/zinc/01/77/70/1127017770.db2.gz OSRLSFUGXYUADR-IOASZLSFSA-N 1 2 288.416 3.666 20 0 CHADLO C[N@@H+](Cc1ccn(C2CCCC2)n1)Cc1cccs1 ZINC000268716714 1127021871 /nfs/dbraw/zinc/02/18/71/1127021871.db2.gz UXPVUFOGYOPUMG-UHFFFAOYSA-N 1 2 275.421 3.692 20 0 CHADLO C[N@H+](Cc1ccn(C2CCCC2)n1)Cc1cccs1 ZINC000268716714 1127021874 /nfs/dbraw/zinc/02/18/74/1127021874.db2.gz UXPVUFOGYOPUMG-UHFFFAOYSA-N 1 2 275.421 3.692 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](C)O[C@@H](CC(F)(F)F)C2)s1 ZINC000668768462 1127027448 /nfs/dbraw/zinc/02/74/48/1127027448.db2.gz PUEGZRFQZNROJT-ONGXEEELSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](C)O[C@@H](CC(F)(F)F)C2)s1 ZINC000668768462 1127027450 /nfs/dbraw/zinc/02/74/50/1127027450.db2.gz PUEGZRFQZNROJT-ONGXEEELSA-N 1 2 293.354 3.598 20 0 CHADLO CCn1cc([C@@H](C)[NH2+]Cc2c(F)cccc2Cl)cn1 ZINC000269034950 1127033856 /nfs/dbraw/zinc/03/38/56/1127033856.db2.gz SHCLBHUIJGPNQM-SNVBAGLBSA-N 1 2 281.762 3.546 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)n1 ZINC001236637566 1130986793 /nfs/dbraw/zinc/98/67/93/1130986793.db2.gz BMBSQKCNIUEZAX-JTQLQIEISA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)n1 ZINC001236637566 1130986796 /nfs/dbraw/zinc/98/67/96/1130986796.db2.gz BMBSQKCNIUEZAX-JTQLQIEISA-N 1 2 292.732 3.818 20 0 CHADLO COc1ccc([C@@H]2CCC[N@@H+]2Cc2ncc(C3CC3)o2)cc1 ZINC000270576836 1127057881 /nfs/dbraw/zinc/05/78/81/1127057881.db2.gz HVUQYHCOABMSID-INIZCTEOSA-N 1 2 298.386 3.898 20 0 CHADLO COc1ccc([C@@H]2CCC[N@H+]2Cc2ncc(C3CC3)o2)cc1 ZINC000270576836 1127057884 /nfs/dbraw/zinc/05/78/84/1127057884.db2.gz HVUQYHCOABMSID-INIZCTEOSA-N 1 2 298.386 3.898 20 0 CHADLO CCc1noc([C@H](C)[N@H+](CC)Cc2ccc(Cl)cc2)n1 ZINC000270752522 1127061498 /nfs/dbraw/zinc/06/14/98/1127061498.db2.gz KDJXTFIDYBFXEM-NSHDSACASA-N 1 2 293.798 3.869 20 0 CHADLO CCc1noc([C@H](C)[N@@H+](CC)Cc2ccc(Cl)cc2)n1 ZINC000270752522 1127061502 /nfs/dbraw/zinc/06/15/02/1127061502.db2.gz KDJXTFIDYBFXEM-NSHDSACASA-N 1 2 293.798 3.869 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC[C@@H]2c2ccncc2)n1 ZINC000270964931 1127063291 /nfs/dbraw/zinc/06/32/91/1127063291.db2.gz AYNCIZSARAWJLQ-GOSISDBHSA-N 1 2 298.434 3.976 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC[C@@H]2c2ccncc2)n1 ZINC000270964931 1127063295 /nfs/dbraw/zinc/06/32/95/1127063295.db2.gz AYNCIZSARAWJLQ-GOSISDBHSA-N 1 2 298.434 3.976 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccco2)c(Cl)c1OC ZINC000271050383 1127066922 /nfs/dbraw/zinc/06/69/22/1127066922.db2.gz VJPPDCQRNHWLPO-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccco2)c(Cl)c1OC ZINC000271050383 1127066925 /nfs/dbraw/zinc/06/69/25/1127066925.db2.gz VJPPDCQRNHWLPO-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@@H](C)c1cscn1 ZINC000271126139 1127069594 /nfs/dbraw/zinc/06/95/94/1127069594.db2.gz KQECARSCXUVIOY-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCOc2ccccc21)c1cscn1 ZINC000271184558 1127072044 /nfs/dbraw/zinc/07/20/44/1127072044.db2.gz WLNADJIZKXRAOI-AAEUAGOBSA-N 1 2 274.389 3.708 20 0 CHADLO CC(C)c1nnc([C@@H](C)[NH2+][C@H](C)c2cc(F)cc(F)c2)[nH]1 ZINC000271553269 1127090738 /nfs/dbraw/zinc/09/07/38/1127090738.db2.gz SIDQUZCNTKPGCV-NXEZZACHSA-N 1 2 294.349 3.618 20 0 CHADLO CC(C)c1nnc([C@H](C)[NH2+][C@H](C)c2cc(F)cc(F)c2)[nH]1 ZINC000271553275 1127091546 /nfs/dbraw/zinc/09/15/46/1127091546.db2.gz SIDQUZCNTKPGCV-ZJUUUORDSA-N 1 2 294.349 3.618 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCc2cn[nH]c21)c1cc(F)ccc1F ZINC000271557586 1127092233 /nfs/dbraw/zinc/09/22/33/1127092233.db2.gz WFKTYFJAOOAHML-HUUCEWRRSA-N 1 2 291.345 3.806 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCc2c[nH]nc21)c1cc(F)ccc1F ZINC000271557586 1127092237 /nfs/dbraw/zinc/09/22/37/1127092237.db2.gz WFKTYFJAOOAHML-HUUCEWRRSA-N 1 2 291.345 3.806 20 0 CHADLO CCn1cc([C@H](C)[NH2+][C@@H](C)c2csc(C(C)C)n2)cn1 ZINC000271569511 1127093005 /nfs/dbraw/zinc/09/30/05/1127093005.db2.gz ZAYQXAJEESRWHV-RYUDHWBXSA-N 1 2 292.452 3.895 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2Cc3ccccc3C(C)(C)C2)s1 ZINC000283150549 1127123690 /nfs/dbraw/zinc/12/36/90/1127123690.db2.gz OLJWGDSUZHZLTH-LLVKDONJSA-N 1 2 287.432 3.701 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2Cc3ccccc3C(C)(C)C2)s1 ZINC000283150549 1127123694 /nfs/dbraw/zinc/12/36/94/1127123694.db2.gz OLJWGDSUZHZLTH-LLVKDONJSA-N 1 2 287.432 3.701 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H](C)c2cc3ccccc3o2)[nH+]1 ZINC000348720635 1127125576 /nfs/dbraw/zinc/12/55/76/1127125576.db2.gz DSHNKZVXHSZZHJ-SNVBAGLBSA-N 1 2 292.342 3.608 20 0 CHADLO CC[C@@H]1CCC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000348847996 1127131566 /nfs/dbraw/zinc/13/15/66/1127131566.db2.gz LRDPWQWAKSBQJC-GDBMZVCRSA-N 1 2 284.407 3.707 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@H]2c2cccnc2)s1 ZINC000348905196 1127134276 /nfs/dbraw/zinc/13/42/76/1127134276.db2.gz QGNKTSJCSIMRLR-AWEZNQCLSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@H]2c2cccnc2)s1 ZINC000348905196 1127134278 /nfs/dbraw/zinc/13/42/78/1127134278.db2.gz QGNKTSJCSIMRLR-AWEZNQCLSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1c[nH+]c(CN2CCC(=Cc3cccc(F)c3)CC2)n1C ZINC000349065113 1127139062 /nfs/dbraw/zinc/13/90/62/1127139062.db2.gz XNSPJWYECQUJHB-UHFFFAOYSA-N 1 2 299.393 3.547 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1cccc(Cl)c1 ZINC000349238494 1127144699 /nfs/dbraw/zinc/14/46/99/1127144699.db2.gz KBNOWPJZBCQVLP-ZJUUUORDSA-N 1 2 250.729 3.740 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+][C@H](CO)c2ccsc2)cs1 ZINC000349339784 1127148052 /nfs/dbraw/zinc/14/80/52/1127148052.db2.gz FAXRAPLTSLLSSH-ZYHUDNBSSA-N 1 2 296.461 3.712 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1cc(O)cc(F)c1 ZINC000349618404 1127155454 /nfs/dbraw/zinc/15/54/54/1127155454.db2.gz YOIUBEZGSQGEFM-UHFFFAOYSA-N 1 2 290.338 3.716 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1cnc(CC)s1 ZINC000349678922 1127157476 /nfs/dbraw/zinc/15/74/76/1127157476.db2.gz KLHFRKPPAQOWLH-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1cnc(CC)s1 ZINC000349678922 1127157479 /nfs/dbraw/zinc/15/74/79/1127157479.db2.gz KLHFRKPPAQOWLH-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCSc2ccc(F)cc21)c1ccon1 ZINC000349835851 1127163683 /nfs/dbraw/zinc/16/36/83/1127163683.db2.gz RCRYNKBSRRWSRO-RNCFNFMXSA-N 1 2 278.352 3.701 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1nccs1)C1CC1 ZINC000349915414 1127166483 /nfs/dbraw/zinc/16/64/83/1127166483.db2.gz OGGQDCRYLMWFCK-GFCCVEGCSA-N 1 2 258.390 3.869 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1nccs1)C1CC1 ZINC000349915414 1127166486 /nfs/dbraw/zinc/16/64/86/1127166486.db2.gz OGGQDCRYLMWFCK-GFCCVEGCSA-N 1 2 258.390 3.869 20 0 CHADLO COc1ccc(F)c(C[N@H+](C)Cc2ccccc2F)c1 ZINC000350239108 1127175147 /nfs/dbraw/zinc/17/51/47/1127175147.db2.gz GMEZKDMYHSQZFM-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1ccc(F)c(C[N@@H+](C)Cc2ccccc2F)c1 ZINC000350239108 1127175150 /nfs/dbraw/zinc/17/51/50/1127175150.db2.gz GMEZKDMYHSQZFM-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO O=C(Oc1ccc(-c2[nH+]cc3n2CCCC3)cc1)C1CCC1 ZINC000284188143 1127178693 /nfs/dbraw/zinc/17/86/93/1127178693.db2.gz HEZJMTGNTVORKR-UHFFFAOYSA-N 1 2 296.370 3.592 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@H+]1Cc1ccc(Cl)nc1 ZINC000284378543 1127181952 /nfs/dbraw/zinc/18/19/52/1127181952.db2.gz USKTYEDKIIAWRH-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1ccc(Cl)nc1 ZINC000284378543 1127181956 /nfs/dbraw/zinc/18/19/56/1127181956.db2.gz USKTYEDKIIAWRH-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1cc[nH+]cc1Cl ZINC001212153103 1127192874 /nfs/dbraw/zinc/19/28/74/1127192874.db2.gz HWBGIYKABDSVMH-UHFFFAOYSA-N 1 2 257.724 3.570 20 0 CHADLO Clc1cccnc1NCc1cc(-n2cc[nH+]c2)cs1 ZINC000351568160 1127194532 /nfs/dbraw/zinc/19/45/32/1127194532.db2.gz MCUWDMWBZWBPEP-UHFFFAOYSA-N 1 2 290.779 3.594 20 0 CHADLO CC(C)COc1ccc(C[NH2+][C@@H](C)c2ccon2)cc1 ZINC000351574145 1127195045 /nfs/dbraw/zinc/19/50/45/1127195045.db2.gz MWUKPDKSLMISPA-ZDUSSCGKSA-N 1 2 274.364 3.560 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(C2CCCC2)s1)c1ccon1 ZINC000351573350 1127195088 /nfs/dbraw/zinc/19/50/88/1127195088.db2.gz JAPCUIUONDTKEV-SNVBAGLBSA-N 1 2 277.393 3.640 20 0 CHADLO COCc1nc(C[NH2+]Cc2ccc(Cl)c(C)c2)cs1 ZINC000351591836 1127195937 /nfs/dbraw/zinc/19/59/37/1127195937.db2.gz HUIXOUZPWHQLLI-UHFFFAOYSA-N 1 2 296.823 3.541 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccc(CC)nc2)c1 ZINC000351618659 1127198485 /nfs/dbraw/zinc/19/84/85/1127198485.db2.gz BKVQPXZFHQMGPR-UHFFFAOYSA-N 1 2 287.432 3.904 20 0 CHADLO Fc1ccc(C[N@H+](Cc2cc[nH]n2)Cc2ccccc2)cc1 ZINC000351662643 1127202311 /nfs/dbraw/zinc/20/23/11/1127202311.db2.gz ZTFOMASJKCZBOJ-UHFFFAOYSA-N 1 2 295.361 3.751 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2cc[nH]n2)Cc2ccccc2)cc1 ZINC000351662643 1127202313 /nfs/dbraw/zinc/20/23/13/1127202313.db2.gz ZTFOMASJKCZBOJ-UHFFFAOYSA-N 1 2 295.361 3.751 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cccc(OC(C)(C)C)n2)n1 ZINC000351687700 1127204060 /nfs/dbraw/zinc/20/40/60/1127204060.db2.gz YVHXMMBHPIDJFN-UHFFFAOYSA-N 1 2 299.418 3.594 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cccc(OC(C)(C)C)n2)n1 ZINC000351687700 1127204064 /nfs/dbraw/zinc/20/40/64/1127204064.db2.gz YVHXMMBHPIDJFN-UHFFFAOYSA-N 1 2 299.418 3.594 20 0 CHADLO CC[C@@H]1CCC[C@@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000164960027 1127204111 /nfs/dbraw/zinc/20/41/11/1127204111.db2.gz PTRUXNYYTYGLMS-PBHICJAKSA-N 1 2 274.408 3.514 20 0 CHADLO Clc1cnccc1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000351890248 1127214017 /nfs/dbraw/zinc/21/40/17/1127214017.db2.gz WQUJOUFMHBIKDG-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1cnccc1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000351890248 1127214018 /nfs/dbraw/zinc/21/40/18/1127214018.db2.gz WQUJOUFMHBIKDG-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO O=C1CC(CNc2[nH+]ccc3cccc(C(F)(F)F)c32)C1 ZINC001168389844 1127220142 /nfs/dbraw/zinc/22/01/42/1127220142.db2.gz HIXFZMRAZHMBEZ-UHFFFAOYSA-N 1 2 294.276 3.645 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCc2cnc(C)nc2)c1 ZINC001168390353 1127220851 /nfs/dbraw/zinc/22/08/51/1127220851.db2.gz AKWMKQXGMGEDMM-UHFFFAOYSA-N 1 2 284.407 3.552 20 0 CHADLO CC(C)Cc1cccc([C@@H](C)[NH2+]Cc2ncccn2)c1 ZINC000572901088 1127229255 /nfs/dbraw/zinc/22/92/55/1127229255.db2.gz XTVDLYJTDAFWMY-CQSZACIVSA-N 1 2 269.392 3.526 20 0 CHADLO CCC[N@@H+]1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000589600999 1127229557 /nfs/dbraw/zinc/22/95/57/1127229557.db2.gz FKJLHPKSQNPWDO-ZDUSSCGKSA-N 1 2 274.191 3.777 20 0 CHADLO CCC[N@H+]1CCO[C@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000589600999 1127229562 /nfs/dbraw/zinc/22/95/62/1127229562.db2.gz FKJLHPKSQNPWDO-ZDUSSCGKSA-N 1 2 274.191 3.777 20 0 CHADLO c1ccc2c(C[NH2+][C@H]3CCSc4ccccc43)n[nH]c2c1 ZINC000589641126 1127232461 /nfs/dbraw/zinc/23/24/61/1127232461.db2.gz UBGHVGNUYJTFJR-AWEZNQCLSA-N 1 2 295.411 3.890 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2cccs2)cc(C)[nH+]1 ZINC000285533931 1127235620 /nfs/dbraw/zinc/23/56/20/1127235620.db2.gz HMFGUCPCEFHQPN-GFCCVEGCSA-N 1 2 273.405 3.750 20 0 CHADLO Cc1csc(C[NH2+][C@@H](c2ncc[nH]2)C2CCCCC2)n1 ZINC000285708009 1127241915 /nfs/dbraw/zinc/24/19/15/1127241915.db2.gz PKNUTJQRAXSUPD-CQSZACIVSA-N 1 2 290.436 3.586 20 0 CHADLO C[C@H]1CCC[C@H](C)[NH+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237991182 1131158032 /nfs/dbraw/zinc/15/80/32/1131158032.db2.gz WPOUMRQNNIBDRT-UWVGGRQHSA-N 1 2 271.763 3.948 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+]Cc2nc(C(C)C)cs2)c1 ZINC000286109796 1127258976 /nfs/dbraw/zinc/25/89/76/1127258976.db2.gz NOXRUCYCXLFZAV-GFCCVEGCSA-N 1 2 275.421 3.821 20 0 CHADLO CN(C)c1ccc([NH2+]C[C@H]2CCSC2)cc1Cl ZINC000224388498 1127260287 /nfs/dbraw/zinc/26/02/87/1127260287.db2.gz FOBSOUXPSSBWCD-SNVBAGLBSA-N 1 2 270.829 3.571 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc(F)cc1F ZINC000057667862 1127272253 /nfs/dbraw/zinc/27/22/53/1127272253.db2.gz MKIDKFBBBVFNOX-UHFFFAOYSA-N 1 2 276.330 3.951 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238150453 1131174553 /nfs/dbraw/zinc/17/45/53/1131174553.db2.gz VBWIGZYEOYPCSX-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2ccn(C)n2)o1 ZINC000353928153 1127279507 /nfs/dbraw/zinc/27/95/07/1127279507.db2.gz APWNZLOUPDBMLR-CJNGLKHVSA-N 1 2 287.407 3.549 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2ccn(C)n2)o1 ZINC000353928153 1127279508 /nfs/dbraw/zinc/27/95/08/1127279508.db2.gz APWNZLOUPDBMLR-CJNGLKHVSA-N 1 2 287.407 3.549 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cn2)[C@H]1c1cccs1 ZINC000287004682 1127281596 /nfs/dbraw/zinc/28/15/96/1127281596.db2.gz QIDWAQLXDMEVNY-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cn2)[C@H]1c1cccs1 ZINC000287004682 1127281599 /nfs/dbraw/zinc/28/15/99/1127281599.db2.gz QIDWAQLXDMEVNY-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO Fc1cncc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccccc2)c1 ZINC000353988322 1127283040 /nfs/dbraw/zinc/28/30/40/1127283040.db2.gz UWXCLMGSSQARNA-KRWDZBQOSA-N 1 2 282.362 3.948 20 0 CHADLO Fc1cncc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccccc2)c1 ZINC000353988322 1127283043 /nfs/dbraw/zinc/28/30/43/1127283043.db2.gz UWXCLMGSSQARNA-KRWDZBQOSA-N 1 2 282.362 3.948 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(C3CCC3)s2)C[C@@H]1F ZINC000679357560 1127289026 /nfs/dbraw/zinc/28/90/26/1127289026.db2.gz AEJBDUCUSYWWIP-MFKMUULPSA-N 1 2 268.401 3.591 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(C3CCC3)s2)C[C@@H]1F ZINC000679357560 1127289027 /nfs/dbraw/zinc/28/90/27/1127289027.db2.gz AEJBDUCUSYWWIP-MFKMUULPSA-N 1 2 268.401 3.591 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000646115834 1127293165 /nfs/dbraw/zinc/29/31/65/1127293165.db2.gz IHEIVLAHHPDLOP-VXGBXAGGSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000646115834 1127293169 /nfs/dbraw/zinc/29/31/69/1127293169.db2.gz IHEIVLAHHPDLOP-VXGBXAGGSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@]12CCN(c3[nH+]ccc4cc(F)ccc43)C[C@H]1C2(F)F ZINC000573114023 1127293489 /nfs/dbraw/zinc/29/34/89/1127293489.db2.gz GVANADSRHLRZJN-HIFRSBDPSA-N 1 2 292.304 3.855 20 0 CHADLO COC[C@H]([NH2+]Cc1ccoc1)c1cccc(Cl)c1F ZINC000287263564 1127298776 /nfs/dbraw/zinc/29/87/76/1127298776.db2.gz AMHAWSFQARDMDY-ZDUSSCGKSA-N 1 2 283.730 3.549 20 0 CHADLO CCCCOC(=O)C[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000058000626 1127299257 /nfs/dbraw/zinc/29/92/57/1127299257.db2.gz AEZLQKNNWCVJLB-GFCCVEGCSA-N 1 2 283.799 3.676 20 0 CHADLO CCCCOC(=O)C[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000058000626 1127299260 /nfs/dbraw/zinc/29/92/60/1127299260.db2.gz AEZLQKNNWCVJLB-GFCCVEGCSA-N 1 2 283.799 3.676 20 0 CHADLO CC[N@H+](Cc1ccc2ccccc2c1)[C@H](C)c1nncn1C ZINC000287377856 1127302522 /nfs/dbraw/zinc/30/25/22/1127302522.db2.gz QNDSYDJVQKKFQC-CQSZACIVSA-N 1 2 294.402 3.551 20 0 CHADLO CC[N@@H+](Cc1ccc2ccccc2c1)[C@H](C)c1nncn1C ZINC000287377856 1127302524 /nfs/dbraw/zinc/30/25/24/1127302524.db2.gz QNDSYDJVQKKFQC-CQSZACIVSA-N 1 2 294.402 3.551 20 0 CHADLO C[C@H]1C[N@H+](Cc2c(F)cccc2Cl)CCC1(F)F ZINC000573253548 1127304525 /nfs/dbraw/zinc/30/45/25/1127304525.db2.gz SRCIYPJAHYSTNG-VIFPVBQESA-N 1 2 277.717 3.956 20 0 CHADLO C[C@H]1C[N@@H+](Cc2c(F)cccc2Cl)CCC1(F)F ZINC000573253548 1127304529 /nfs/dbraw/zinc/30/45/29/1127304529.db2.gz SRCIYPJAHYSTNG-VIFPVBQESA-N 1 2 277.717 3.956 20 0 CHADLO CN(C[C@H]1CCCCO1)c1cc[nH+]c2c(Cl)cccc12 ZINC000573332566 1127306930 /nfs/dbraw/zinc/30/69/30/1127306930.db2.gz IOAWYPRJRSCWHI-GFCCVEGCSA-N 1 2 290.794 3.894 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)nc1 ZINC000355273995 1127330200 /nfs/dbraw/zinc/33/02/00/1127330200.db2.gz CTSSGTDRZRSHID-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)nc1 ZINC000355273995 1127330204 /nfs/dbraw/zinc/33/02/04/1127330204.db2.gz CTSSGTDRZRSHID-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO CCCC(=O)Nc1ccccc1-c1[nH+]cc2n1CCCC2 ZINC000355393126 1127338151 /nfs/dbraw/zinc/33/81/51/1127338151.db2.gz XZMQYJMQXZOPLA-UHFFFAOYSA-N 1 2 283.375 3.625 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nccc(C(F)F)n2)cc1Cl ZINC000288571339 1127348063 /nfs/dbraw/zinc/34/80/63/1127348063.db2.gz ZTOLXZAEJYTEQU-UHFFFAOYSA-N 1 2 297.736 3.666 20 0 CHADLO COCC[C@@H]([NH2+]Cc1csc(C(C)C)n1)c1ccco1 ZINC000355722689 1127360235 /nfs/dbraw/zinc/36/02/35/1127360235.db2.gz FTBHRHBNUYHOEW-CYBMUJFWSA-N 1 2 294.420 3.727 20 0 CHADLO COC[C@@H]([NH2+]Cc1ncc(C)s1)c1cccc(Cl)c1 ZINC000288915241 1127367192 /nfs/dbraw/zinc/36/71/92/1127367192.db2.gz UMJMJIKBIGHBCU-CYBMUJFWSA-N 1 2 296.823 3.582 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@H+]2Cc2ccc3occc3c2)n1 ZINC000289348944 1127382555 /nfs/dbraw/zinc/38/25/55/1127382555.db2.gz MTYAOKWXZHZCMX-OAHLLOKOSA-N 1 2 281.359 3.883 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@@H+]2Cc2ccc3occc3c2)n1 ZINC000289348944 1127382557 /nfs/dbraw/zinc/38/25/57/1127382557.db2.gz MTYAOKWXZHZCMX-OAHLLOKOSA-N 1 2 281.359 3.883 20 0 CHADLO Cn1c[nH+]cc1CNc1c(F)cc(F)cc1-c1ccccc1 ZINC000357713218 1127393220 /nfs/dbraw/zinc/39/32/20/1127393220.db2.gz BWBNFACWVOAIDD-UHFFFAOYSA-N 1 2 299.324 3.977 20 0 CHADLO CCC[C@H]1C[N@H+](Cc2ccc(C)c(Cl)c2)CCO1 ZINC001308617962 1127396293 /nfs/dbraw/zinc/39/62/93/1127396293.db2.gz CYTBMDRKXNOQHF-AWEZNQCLSA-N 1 2 267.800 3.649 20 0 CHADLO CCC[C@H]1C[N@@H+](Cc2ccc(C)c(Cl)c2)CCO1 ZINC001308617962 1127396297 /nfs/dbraw/zinc/39/62/97/1127396297.db2.gz CYTBMDRKXNOQHF-AWEZNQCLSA-N 1 2 267.800 3.649 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000761043219 1127407346 /nfs/dbraw/zinc/40/73/46/1127407346.db2.gz BVIOYASDFWOFHN-NXEZZACHSA-N 1 2 290.329 3.917 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000761043219 1127407347 /nfs/dbraw/zinc/40/73/47/1127407347.db2.gz BVIOYASDFWOFHN-NXEZZACHSA-N 1 2 290.329 3.917 20 0 CHADLO Cc1cn2cc(NC(=O)[C@@H](C)c3cccc(F)c3)ccc2[nH+]1 ZINC000358273593 1127412289 /nfs/dbraw/zinc/41/22/89/1127412289.db2.gz CHULNLFBJRTWJU-LBPRGKRZSA-N 1 2 297.333 3.524 20 0 CHADLO Fc1ccc(-c2cc(C[NH+]3Cc4ccccc4C3)on2)cc1 ZINC000358350168 1127416601 /nfs/dbraw/zinc/41/66/01/1127416601.db2.gz GCWCNNUFIJIXTB-UHFFFAOYSA-N 1 2 294.329 3.997 20 0 CHADLO C[C@@H](CC(=O)Nc1cccc2[nH+]ccn21)c1ccccc1F ZINC000358787699 1127434337 /nfs/dbraw/zinc/43/43/37/1127434337.db2.gz FAAVXIGUDSELRL-LBPRGKRZSA-N 1 2 297.333 3.606 20 0 CHADLO Cc1cnc([C@H](C)[NH+]2CC(c3nc4ccccc4s3)C2)o1 ZINC000358820367 1127434879 /nfs/dbraw/zinc/43/48/79/1127434879.db2.gz TXYMLQYIHOSMNI-NSHDSACASA-N 1 2 299.399 3.753 20 0 CHADLO CCn1ncnc1C[NH2+][C@@H](c1cc2ccccc2o1)C(C)C ZINC000359054011 1127447203 /nfs/dbraw/zinc/44/72/03/1127447203.db2.gz JSCIBDJTLFGKHQ-QGZVFWFLSA-N 1 2 298.390 3.531 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSCC1CC1 ZINC000359181511 1127453507 /nfs/dbraw/zinc/45/35/07/1127453507.db2.gz YFHNSNSMHKBDNO-UHFFFAOYSA-N 1 2 258.390 3.824 20 0 CHADLO CCCC[C@@H](C)N(CCCC)C(=O)CCc1c[nH+]cn1C ZINC000359420882 1127462584 /nfs/dbraw/zinc/46/25/84/1127462584.db2.gz IYUATGCTUYMGIZ-OAHLLOKOSA-N 1 2 293.455 3.560 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1ccc(F)c(C)c1F ZINC001231477914 1127472962 /nfs/dbraw/zinc/47/29/62/1127472962.db2.gz SZSBPQVNBOLBNP-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1ccc(F)c(C)c1F ZINC001231477914 1127472965 /nfs/dbraw/zinc/47/29/65/1127472965.db2.gz SZSBPQVNBOLBNP-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3OC[C@@H]2C)c(C)s1 ZINC000359863542 1127481133 /nfs/dbraw/zinc/48/11/33/1127481133.db2.gz WMACZULCXSWZHX-NSHDSACASA-N 1 2 288.416 3.543 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3OC[C@@H]2C)c(C)s1 ZINC000359863542 1127481135 /nfs/dbraw/zinc/48/11/35/1127481135.db2.gz WMACZULCXSWZHX-NSHDSACASA-N 1 2 288.416 3.543 20 0 CHADLO Cc1ccc(C[N@@H+]2[C@@H](C)COC[C@@H]2c2ccccc2)c(C)n1 ZINC000360115361 1127490504 /nfs/dbraw/zinc/49/05/04/1127490504.db2.gz MEYOVZKAWWLDCE-HNAYVOBHSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1ccc(C[N@H+]2[C@@H](C)COC[C@@H]2c2ccccc2)c(C)n1 ZINC000360115361 1127490506 /nfs/dbraw/zinc/49/05/06/1127490506.db2.gz MEYOVZKAWWLDCE-HNAYVOBHSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1nc(N(C)Cc2csc3ccccc23)cc[nH+]1 ZINC000360531188 1127501700 /nfs/dbraw/zinc/50/17/00/1127501700.db2.gz UBVMXVONGSHVBJ-UHFFFAOYSA-N 1 2 269.373 3.636 20 0 CHADLO Cc1nc(N(C)CCc2cccc3ccccc32)cc[nH+]1 ZINC000360976915 1127525427 /nfs/dbraw/zinc/52/54/27/1127525427.db2.gz YJZFFPZMPZTCCT-UHFFFAOYSA-N 1 2 277.371 3.617 20 0 CHADLO COC(=O)c1ccc(C[N@@H+]2Cc3ccccc3[C@H]2C)cc1F ZINC000361063797 1127534626 /nfs/dbraw/zinc/53/46/26/1127534626.db2.gz VSPHORIQXMUILP-GFCCVEGCSA-N 1 2 299.345 3.689 20 0 CHADLO COC(=O)c1ccc(C[N@H+]2Cc3ccccc3[C@H]2C)cc1F ZINC000361063797 1127534627 /nfs/dbraw/zinc/53/46/27/1127534627.db2.gz VSPHORIQXMUILP-GFCCVEGCSA-N 1 2 299.345 3.689 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@@H](C(F)(F)F)[C@H]2C)s1 ZINC000361141248 1127541939 /nfs/dbraw/zinc/54/19/39/1127541939.db2.gz MVLCHJPFTGZZFK-MWLCHTKSSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@@H](C(F)(F)F)[C@H]2C)s1 ZINC000361141248 1127541940 /nfs/dbraw/zinc/54/19/40/1127541940.db2.gz MVLCHJPFTGZZFK-MWLCHTKSSA-N 1 2 292.370 3.868 20 0 CHADLO C[C@H](CCC1CCCC1)[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000361153869 1127542562 /nfs/dbraw/zinc/54/25/62/1127542562.db2.gz NXGZXBAUCHZUMC-PBHICJAKSA-N 1 2 298.434 3.843 20 0 CHADLO Cc1nc(N[C@H]2COc3c2ccc(Cl)c3Cl)cc[nH+]1 ZINC000361299268 1127554409 /nfs/dbraw/zinc/55/44/09/1127554409.db2.gz MQIKJIMLEVRVQY-JTQLQIEISA-N 1 2 296.157 3.637 20 0 CHADLO FC(F)(F)c1cncc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)c1 ZINC000361318521 1127556147 /nfs/dbraw/zinc/55/61/47/1127556147.db2.gz MWYSWCYGCYTPGH-AWEZNQCLSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1cncc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)c1 ZINC000361318521 1127556149 /nfs/dbraw/zinc/55/61/49/1127556149.db2.gz MWYSWCYGCYTPGH-AWEZNQCLSA-N 1 2 295.308 3.766 20 0 CHADLO Cc1cccc2nc(C[N@@H+]3CC[C@H]3c3ccccc3)cn21 ZINC000361400955 1127561275 /nfs/dbraw/zinc/56/12/75/1127561275.db2.gz UAQZGTYTULMBFJ-KRWDZBQOSA-N 1 2 277.371 3.590 20 0 CHADLO Cc1cccc2nc(C[N@H+]3CC[C@H]3c3ccccc3)cn21 ZINC000361400955 1127561276 /nfs/dbraw/zinc/56/12/76/1127561276.db2.gz UAQZGTYTULMBFJ-KRWDZBQOSA-N 1 2 277.371 3.590 20 0 CHADLO CCc1ccc([C@H]2C[N@H+](Cc3ccc(C)cn3)CCO2)cc1 ZINC000361647204 1127579505 /nfs/dbraw/zinc/57/95/05/1127579505.db2.gz KFFRGWQSIWPEPT-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@H]2C[N@@H+](Cc3ccc(C)cn3)CCO2)cc1 ZINC000361647204 1127579508 /nfs/dbraw/zinc/57/95/08/1127579508.db2.gz KFFRGWQSIWPEPT-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1ncccn1 ZINC000361702615 1127582820 /nfs/dbraw/zinc/58/28/20/1127582820.db2.gz NQPDMSAYLURDNG-LLVKDONJSA-N 1 2 295.308 3.688 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1ncccn1 ZINC000361702615 1127582823 /nfs/dbraw/zinc/58/28/23/1127582823.db2.gz NQPDMSAYLURDNG-LLVKDONJSA-N 1 2 295.308 3.688 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cc(-c3ccco3)on1)C2 ZINC000361840853 1127593049 /nfs/dbraw/zinc/59/30/49/1127593049.db2.gz YSTAALSSNOQDCK-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cc(-c3ccco3)on1)C2 ZINC000361840853 1127593053 /nfs/dbraw/zinc/59/30/53/1127593053.db2.gz YSTAALSSNOQDCK-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1nocc1C[N@@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000294827602 1127602245 /nfs/dbraw/zinc/60/22/45/1127602245.db2.gz GTYSUDXRAWKAOZ-BZNIZROVSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1nocc1C[N@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000294827602 1127602247 /nfs/dbraw/zinc/60/22/47/1127602247.db2.gz GTYSUDXRAWKAOZ-BZNIZROVSA-N 1 2 286.375 3.864 20 0 CHADLO CCC(CC)(CC)C[NH2+][C@H](c1cccs1)c1nnc[nH]1 ZINC000294878493 1127606234 /nfs/dbraw/zinc/60/62/34/1127606234.db2.gz UQKJJJSNZLPHOH-CYBMUJFWSA-N 1 2 292.452 3.762 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+]1CC(C)(C)[C@@H]1c1nccn1C ZINC000294870306 1127605370 /nfs/dbraw/zinc/60/53/70/1127605370.db2.gz URQCNDDRBPCDGT-VMEIHUARSA-N 1 2 295.430 3.907 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+]1CC(C)(C)[C@@H]1c1nccn1C ZINC000294870306 1127605371 /nfs/dbraw/zinc/60/53/71/1127605371.db2.gz URQCNDDRBPCDGT-VMEIHUARSA-N 1 2 295.430 3.907 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)cs1 ZINC000294920174 1127608226 /nfs/dbraw/zinc/60/82/26/1127608226.db2.gz TVWXPESDBWNEQQ-ZDUSSCGKSA-N 1 2 261.394 3.507 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2ncc[nH]2)cs1 ZINC000294920174 1127608229 /nfs/dbraw/zinc/60/82/29/1127608229.db2.gz TVWXPESDBWNEQQ-ZDUSSCGKSA-N 1 2 261.394 3.507 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C(F)(F)F)C[C@@H]2C)cs1 ZINC000294981794 1127611577 /nfs/dbraw/zinc/61/15/77/1127611577.db2.gz JXHRVDARGIQVJK-WCBMZHEXSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)C[C@@H]2C)cs1 ZINC000294981794 1127611578 /nfs/dbraw/zinc/61/15/78/1127611578.db2.gz JXHRVDARGIQVJK-WCBMZHEXSA-N 1 2 278.343 3.614 20 0 CHADLO CC[C@H]1CN(Cc2cccc3[nH+]ccn32)c2ccccc2O1 ZINC000362105519 1127611731 /nfs/dbraw/zinc/61/17/31/1127611731.db2.gz HCPSGMKDCIMGFU-HNNXBMFYSA-N 1 2 293.370 3.512 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@@H+]1Cc1cnc(Br)s1 ZINC000295090998 1127619552 /nfs/dbraw/zinc/61/95/52/1127619552.db2.gz SDYLVIFKPFOPLH-DTWKUNHWSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@H+]1Cc1cnc(Br)s1 ZINC000295090998 1127619553 /nfs/dbraw/zinc/61/95/53/1127619553.db2.gz SDYLVIFKPFOPLH-DTWKUNHWSA-N 1 2 289.242 3.526 20 0 CHADLO Cc1ccc(C[NH2+]Cc2noc(-c3cccs3)n2)c(C)c1 ZINC000295130151 1127622058 /nfs/dbraw/zinc/62/20/58/1127622058.db2.gz YJSRFSXJCZFYBM-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](C)c2cccc(C3CC3)c2)n1 ZINC000295553039 1127645841 /nfs/dbraw/zinc/64/58/41/1127645841.db2.gz XFKYISIYTKTYAM-VXGBXAGGSA-N 1 2 285.391 3.921 20 0 CHADLO CC(C)COc1ccc(C(C)(C)[NH2+]CC(F)F)cc1 ZINC000603416193 1127646667 /nfs/dbraw/zinc/64/66/67/1127646667.db2.gz CMOMTKNPFODUMM-UHFFFAOYSA-N 1 2 271.351 3.811 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)ncc1F)c1cc(F)ccc1F ZINC000295707934 1127651201 /nfs/dbraw/zinc/65/12/01/1127651201.db2.gz MVEQJLOXBYASFY-CQSZACIVSA-N 1 2 298.283 3.879 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CCc3sccc3C2)c1 ZINC000296233792 1127665767 /nfs/dbraw/zinc/66/57/67/1127665767.db2.gz FALUHUODTOQUTJ-UHFFFAOYSA-N 1 2 271.385 3.509 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CCc3sccc3C2)c1 ZINC000296233792 1127665769 /nfs/dbraw/zinc/66/57/69/1127665769.db2.gz FALUHUODTOQUTJ-UHFFFAOYSA-N 1 2 271.385 3.509 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1F ZINC000603819477 1127673235 /nfs/dbraw/zinc/67/32/35/1127673235.db2.gz HGEBLYXYWQTGAJ-LBPRGKRZSA-N 1 2 297.333 3.606 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cnn(C3CCCC3)c2)cs1 ZINC000669698728 1127674241 /nfs/dbraw/zinc/67/42/41/1127674241.db2.gz QTVDARZRNIZQLP-LLVKDONJSA-N 1 2 290.436 3.614 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cc(C(C)C)no2)oc1C ZINC000669699887 1127676782 /nfs/dbraw/zinc/67/67/82/1127676782.db2.gz UUTUZGVGEORCKU-NSHDSACASA-N 1 2 262.353 3.859 20 0 CHADLO CC(C)CC[C@@H]([NH2+]Cc1nnc(C2CC2)[nH]1)c1ccoc1 ZINC000296655965 1127680741 /nfs/dbraw/zinc/68/07/41/1127680741.db2.gz ZIAZQQPSMBCYIZ-CQSZACIVSA-N 1 2 288.395 3.542 20 0 CHADLO CCS[C@H](C)c1noc(C[N@@H+]2C[C@@H]3CCCC[C@@]32C)n1 ZINC000599675862 1127686230 /nfs/dbraw/zinc/68/62/30/1127686230.db2.gz UUOGWLZLYOZAIF-XUJVJEKNSA-N 1 2 295.452 3.648 20 0 CHADLO CCS[C@H](C)c1noc(C[N@H+]2C[C@@H]3CCCC[C@@]32C)n1 ZINC000599675862 1127686232 /nfs/dbraw/zinc/68/62/32/1127686232.db2.gz UUOGWLZLYOZAIF-XUJVJEKNSA-N 1 2 295.452 3.648 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](CO)c2c(F)cccc2F)cs1 ZINC000296966989 1127686413 /nfs/dbraw/zinc/68/64/13/1127686413.db2.gz RJCVRRSXBZSBBT-YGRLFVJLSA-N 1 2 297.370 3.719 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2CCCc3c(F)cc(F)cc32)no1 ZINC000297167885 1127690202 /nfs/dbraw/zinc/69/02/02/1127690202.db2.gz DGEZWADPNMBJIR-ZUZCIYMTSA-N 1 2 292.329 3.989 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCc3ccccc3C2)s1 ZINC000297401611 1127695299 /nfs/dbraw/zinc/69/52/99/1127695299.db2.gz FTGJPVIPADFMMD-UHFFFAOYSA-N 1 2 287.432 3.610 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCc3ccccc3C2)s1 ZINC000297401611 1127695300 /nfs/dbraw/zinc/69/53/00/1127695300.db2.gz FTGJPVIPADFMMD-UHFFFAOYSA-N 1 2 287.432 3.610 20 0 CHADLO Cc1ccnc(CSCCc2[nH+]cc(C)cc2C)c1 ZINC001326298078 1127715464 /nfs/dbraw/zinc/71/54/64/1127715464.db2.gz RSRLDJJWQCQMNY-UHFFFAOYSA-N 1 2 272.417 3.878 20 0 CHADLO Cc1ccccc1C[N@@H+](C)[C@H](C)c1nc(C(C)C)no1 ZINC000298207573 1127716380 /nfs/dbraw/zinc/71/63/80/1127716380.db2.gz PKOKHKZLGPJNKE-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccccc1C[N@H+](C)[C@H](C)c1nc(C(C)C)no1 ZINC000298207573 1127716383 /nfs/dbraw/zinc/71/63/83/1127716383.db2.gz PKOKHKZLGPJNKE-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCOC[C@H]2c2ccco2)c(C)c1 ZINC000604886314 1127720270 /nfs/dbraw/zinc/72/02/70/1127720270.db2.gz IKDAYJKDIDANRP-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCOC[C@H]2c2ccco2)c(C)c1 ZINC000604886314 1127720272 /nfs/dbraw/zinc/72/02/72/1127720272.db2.gz IKDAYJKDIDANRP-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO CC(C)(C)c1noc(C[NH2+]C(C)(C)c2ccc(F)cc2)n1 ZINC000171672227 1127721131 /nfs/dbraw/zinc/72/11/31/1127721131.db2.gz RLUGUCCVKNZZGV-UHFFFAOYSA-N 1 2 291.370 3.531 20 0 CHADLO CCCC[C@H](CC)CNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000605077844 1127728374 /nfs/dbraw/zinc/72/83/74/1127728374.db2.gz ZJXVSERHYHOGNJ-HNNXBMFYSA-N 1 2 299.418 3.819 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1CCC[C@H]1c1cccs1 ZINC000298725171 1127730011 /nfs/dbraw/zinc/73/00/11/1127730011.db2.gz PZNPGXASHBHNNJ-PWSUYJOCSA-N 1 2 289.404 3.907 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1CCC[C@H]1c1cccs1 ZINC000298725171 1127730012 /nfs/dbraw/zinc/73/00/12/1127730012.db2.gz PZNPGXASHBHNNJ-PWSUYJOCSA-N 1 2 289.404 3.907 20 0 CHADLO CCc1noc([C@H](C)[N@@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000298737161 1127731060 /nfs/dbraw/zinc/73/10/60/1127731060.db2.gz IVLHFGZMJWVQFV-CMPLNLGQSA-N 1 2 277.393 3.592 20 0 CHADLO CCc1noc([C@H](C)[N@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000298737161 1127731063 /nfs/dbraw/zinc/73/10/63/1127731063.db2.gz IVLHFGZMJWVQFV-CMPLNLGQSA-N 1 2 277.393 3.592 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2ccco2)c2ccccn2)cc1 ZINC000366276902 1127745310 /nfs/dbraw/zinc/74/53/10/1127745310.db2.gz ZNKVAUTURSYZOT-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)O[C@H](CC)C1 ZINC000606433578 1127761637 /nfs/dbraw/zinc/76/16/37/1127761637.db2.gz KAHHPTNPDOFOHQ-AXAPSJFSSA-N 1 2 283.362 3.915 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)O[C@H](CC)C1 ZINC000606433578 1127761641 /nfs/dbraw/zinc/76/16/41/1127761641.db2.gz KAHHPTNPDOFOHQ-AXAPSJFSSA-N 1 2 283.362 3.915 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@H](C)[C@@H]2c2ccc(C)cc2)no1 ZINC000606452287 1127763566 /nfs/dbraw/zinc/76/35/66/1127763566.db2.gz SXOCMWYBLWBYEC-KBXCAEBGSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@H](C)[C@@H]2c2ccc(C)cc2)no1 ZINC000606452287 1127763570 /nfs/dbraw/zinc/76/35/70/1127763570.db2.gz SXOCMWYBLWBYEC-KBXCAEBGSA-N 1 2 299.418 3.914 20 0 CHADLO Cc1nnc(C[N@@H+]2C[C@H]3CCCC[C@]32c2ccccc2)s1 ZINC000371449824 1127766206 /nfs/dbraw/zinc/76/62/06/1127766206.db2.gz IHMGYNJPMVPBLZ-WBVHZDCISA-N 1 2 299.443 3.748 20 0 CHADLO Cc1nnc(C[N@H+]2C[C@H]3CCCC[C@]32c2ccccc2)s1 ZINC000371449824 1127766208 /nfs/dbraw/zinc/76/62/08/1127766208.db2.gz IHMGYNJPMVPBLZ-WBVHZDCISA-N 1 2 299.443 3.748 20 0 CHADLO Cc1ccc([C@H](C)NC(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000606580687 1127768189 /nfs/dbraw/zinc/76/81/89/1127768189.db2.gz AILYMIFXOYWTNR-ZDUSSCGKSA-N 1 2 294.358 3.525 20 0 CHADLO CC(C)(C)c1noc(C[N@H+]2CC=C(C(C)(C)C)CC2)n1 ZINC000409446331 1127769359 /nfs/dbraw/zinc/76/93/59/1127769359.db2.gz HNFZNWCCSKSBJY-UHFFFAOYSA-N 1 2 277.412 3.545 20 0 CHADLO CC(C)(C)c1noc(C[N@@H+]2CC=C(C(C)(C)C)CC2)n1 ZINC000409446331 1127769360 /nfs/dbraw/zinc/76/93/60/1127769360.db2.gz HNFZNWCCSKSBJY-UHFFFAOYSA-N 1 2 277.412 3.545 20 0 CHADLO FC(F)(F)c1ccccc1[C@@H]1CCC[N@@H+]1Cc1cc[nH]n1 ZINC000372413142 1127799096 /nfs/dbraw/zinc/79/90/96/1127799096.db2.gz HTRQDMALWSVDFY-AWEZNQCLSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1ccccc1[C@@H]1CCC[N@H+]1Cc1cc[nH]n1 ZINC000372413142 1127799101 /nfs/dbraw/zinc/79/91/01/1127799101.db2.gz HTRQDMALWSVDFY-AWEZNQCLSA-N 1 2 295.308 3.766 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2nnc(C)s2)cs1 ZINC000296438297 1129222474 /nfs/dbraw/zinc/22/24/74/1129222474.db2.gz MLVPXXFKZATJCA-DTWKUNHWSA-N 1 2 267.423 3.628 20 0 CHADLO Cc1nc(N2CCC[C@H](c3cc4ccccc4[nH]3)C2)cc[nH+]1 ZINC000608080049 1127844044 /nfs/dbraw/zinc/84/40/44/1127844044.db2.gz KGBMDSMDXYMNPI-HNNXBMFYSA-N 1 2 292.386 3.650 20 0 CHADLO Cc1nc(N(C)Cc2ccc(Cl)cc2Cl)cc[nH+]1 ZINC000608072495 1127844536 /nfs/dbraw/zinc/84/45/36/1127844536.db2.gz UVDFFQOLFPPPAK-UHFFFAOYSA-N 1 2 282.174 3.728 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)o1 ZINC000373702813 1127857574 /nfs/dbraw/zinc/85/75/74/1127857574.db2.gz QKADYTNETNZBCH-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO Cc1ccc([C@H]2CCC[C@H]2[N@H+](C)CC(F)F)cc1 ZINC000608501478 1127871732 /nfs/dbraw/zinc/87/17/32/1127871732.db2.gz WJYPEFHAXZQQAB-ZIAGYGMSSA-N 1 2 253.336 3.828 20 0 CHADLO Cc1ccc([C@H]2CCC[C@H]2[N@@H+](C)CC(F)F)cc1 ZINC000608501478 1127871735 /nfs/dbraw/zinc/87/17/35/1127871735.db2.gz WJYPEFHAXZQQAB-ZIAGYGMSSA-N 1 2 253.336 3.828 20 0 CHADLO COCC[C@H]1CCC[C@H]1Nc1ccc([NH+](C)C)cc1C ZINC000800483658 1127880346 /nfs/dbraw/zinc/88/03/46/1127880346.db2.gz LQYOJWKYKLRQDS-RHSMWYFYSA-N 1 2 276.424 3.678 20 0 CHADLO COCC[C@H]1CCC[C@H]1[NH2+]c1ccc(N(C)C)cc1C ZINC000800483658 1127880350 /nfs/dbraw/zinc/88/03/50/1127880350.db2.gz LQYOJWKYKLRQDS-RHSMWYFYSA-N 1 2 276.424 3.678 20 0 CHADLO Clc1scc(C[NH+]2CCC3(CCO3)CC2)c1Cl ZINC000374335855 1127891272 /nfs/dbraw/zinc/89/12/72/1127891272.db2.gz ZWJDLSXZAUSNKU-UHFFFAOYSA-N 1 2 292.231 3.810 20 0 CHADLO CC(C)Sc1ccc(C[NH2+][C@H](C)c2csnn2)cc1 ZINC000412031985 1127891662 /nfs/dbraw/zinc/89/16/62/1127891662.db2.gz CWDJQKXWLVVDSQ-LLVKDONJSA-N 1 2 293.461 3.889 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)nc(C)n1 ZINC000659986120 1127892556 /nfs/dbraw/zinc/89/25/56/1127892556.db2.gz VPIXXHVTRRHQBI-INIZCTEOSA-N 1 2 287.794 3.694 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)nc(C)n1 ZINC000659986120 1127892559 /nfs/dbraw/zinc/89/25/59/1127892559.db2.gz VPIXXHVTRRHQBI-INIZCTEOSA-N 1 2 287.794 3.694 20 0 CHADLO C[C@@H](C[N@H+](C)Cc1noc(C2CC2)n1)C1CCCCC1 ZINC000412079534 1127894227 /nfs/dbraw/zinc/89/42/27/1127894227.db2.gz UHKXLOMCLIBMQV-LBPRGKRZSA-N 1 2 277.412 3.595 20 0 CHADLO C[C@@H](C[N@@H+](C)Cc1noc(C2CC2)n1)C1CCCCC1 ZINC000412079534 1127894231 /nfs/dbraw/zinc/89/42/31/1127894231.db2.gz UHKXLOMCLIBMQV-LBPRGKRZSA-N 1 2 277.412 3.595 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cccc(OC)c1F ZINC000669775117 1127900928 /nfs/dbraw/zinc/90/09/28/1127900928.db2.gz ADXKBFGKJSEWNB-UHFFFAOYSA-N 1 2 299.349 3.816 20 0 CHADLO C[C@@H]1CC[N@@H+]([C@H]2CCc3cc(F)ccc3C2)CC1(F)F ZINC001168426494 1127906282 /nfs/dbraw/zinc/90/62/82/1127906282.db2.gz LHYFMBXWZQLRRB-ABAIWWIYSA-N 1 2 283.337 3.660 20 0 CHADLO C[C@@H]1CC[N@H+]([C@H]2CCc3cc(F)ccc3C2)CC1(F)F ZINC001168426494 1127906285 /nfs/dbraw/zinc/90/62/85/1127906285.db2.gz LHYFMBXWZQLRRB-ABAIWWIYSA-N 1 2 283.337 3.660 20 0 CHADLO CSc1cccc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000659992697 1127904022 /nfs/dbraw/zinc/90/40/22/1127904022.db2.gz FVHWUJMCJJUUSG-MRXNPFEDSA-N 1 2 289.400 3.575 20 0 CHADLO CSc1cccc(C[N@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000659992697 1127904025 /nfs/dbraw/zinc/90/40/25/1127904025.db2.gz FVHWUJMCJJUUSG-MRXNPFEDSA-N 1 2 289.400 3.575 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCO[C@H](C(C)(C)C)C1 ZINC000535006510 1127908665 /nfs/dbraw/zinc/90/86/65/1127908665.db2.gz OOEDFIMOKGBIHH-WBVHZDCISA-N 1 2 298.430 3.971 20 0 CHADLO C[C@@H](Nc1cc(NC2CC2)[nH+]cn1)c1cccc(F)c1F ZINC000413220652 1127944992 /nfs/dbraw/zinc/94/49/92/1127944992.db2.gz PZKIGANOSXDGDA-SECBINFHSA-N 1 2 290.317 3.502 20 0 CHADLO C[C@@H](Nc1cc(NC2CC2)nc[nH+]1)c1cccc(F)c1F ZINC000413220652 1127944995 /nfs/dbraw/zinc/94/49/95/1127944995.db2.gz PZKIGANOSXDGDA-SECBINFHSA-N 1 2 290.317 3.502 20 0 CHADLO CC[N@H+](CC(F)F)[C@H](C)CCc1cccc(F)c1 ZINC000544598798 1127978245 /nfs/dbraw/zinc/97/82/45/1127978245.db2.gz OCUGGCXOAOBQIN-LLVKDONJSA-N 1 2 259.315 3.734 20 0 CHADLO CC[N@@H+](CC(F)F)[C@H](C)CCc1cccc(F)c1 ZINC000544598798 1127978248 /nfs/dbraw/zinc/97/82/48/1127978248.db2.gz OCUGGCXOAOBQIN-LLVKDONJSA-N 1 2 259.315 3.734 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+]Cc1nc(CC(C)C)no1 ZINC000544895868 1127988054 /nfs/dbraw/zinc/98/80/54/1127988054.db2.gz TYOQIGHQFOTQRC-CQSZACIVSA-N 1 2 287.407 3.736 20 0 CHADLO CCOc1ccc(Nc2cc(C)cc[nH+]2)c(OC)c1 ZINC001213114985 1127990278 /nfs/dbraw/zinc/99/02/78/1127990278.db2.gz FDEMSBSOUNYYSN-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO Cc1cc([NH+]2CCCC2)ccc1N[C@H](C)C1CCOCC1 ZINC000540708208 1127991153 /nfs/dbraw/zinc/99/11/53/1127991153.db2.gz NMMYBBSOIUAELY-OAHLLOKOSA-N 1 2 288.435 3.822 20 0 CHADLO CNc1ccc(Nc2cc(F)c(Cl)nc2Cl)c[nH+]1 ZINC001203450178 1128003296 /nfs/dbraw/zinc/00/32/96/1128003296.db2.gz XEIYHCDHQOVYOO-UHFFFAOYSA-N 1 2 287.125 3.708 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[NH2+]CC(C)(C)c1cccs1 ZINC000541076449 1128006665 /nfs/dbraw/zinc/00/66/65/1128006665.db2.gz MCUUBIGVUQGYOG-ZDUSSCGKSA-N 1 2 297.464 3.593 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](C)c2c(C)cccc2C)n1 ZINC000545518342 1128018997 /nfs/dbraw/zinc/01/89/97/1128018997.db2.gz IHHLRHJGQROOEU-AWEZNQCLSA-N 1 2 287.407 3.880 20 0 CHADLO Cc1cc(C[N@@H+]2CCC=C(F)C2)cc(C)c1OC(F)F ZINC000508681296 1128020263 /nfs/dbraw/zinc/02/02/63/1128020263.db2.gz VCUGZHFQGWKZPI-UHFFFAOYSA-N 1 2 285.309 3.964 20 0 CHADLO Cc1cc(C[N@H+]2CCC=C(F)C2)cc(C)c1OC(F)F ZINC000508681296 1128020268 /nfs/dbraw/zinc/02/02/68/1128020268.db2.gz VCUGZHFQGWKZPI-UHFFFAOYSA-N 1 2 285.309 3.964 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)c(F)c1)c1ccon1 ZINC000541770926 1128038959 /nfs/dbraw/zinc/03/89/59/1128038959.db2.gz CIVIPMYYODBAHQ-DTWKUNHWSA-N 1 2 268.719 3.879 20 0 CHADLO c1coc(C[N@H+](Cc2cccs2)Cc2ccccn2)c1 ZINC000303001782 1128047844 /nfs/dbraw/zinc/04/78/44/1128047844.db2.gz HPUDIOIDRCWLIY-UHFFFAOYSA-N 1 2 284.384 3.939 20 0 CHADLO c1coc(C[N@@H+](Cc2cccs2)Cc2ccccn2)c1 ZINC000303001782 1128047845 /nfs/dbraw/zinc/04/78/45/1128047845.db2.gz HPUDIOIDRCWLIY-UHFFFAOYSA-N 1 2 284.384 3.939 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1C(C)C)c1ccccc1F ZINC000653791890 1128057032 /nfs/dbraw/zinc/05/70/32/1128057032.db2.gz OMWGNBPLMYMSLV-OAHLLOKOSA-N 1 2 275.371 3.844 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)Cc1ccc(Cl)cc1)C(=O)OC(C)(C)C ZINC000470326880 1128068472 /nfs/dbraw/zinc/06/84/72/1128068472.db2.gz GXCLCJNAIJQBME-NWDGAFQWSA-N 1 2 297.826 3.591 20 0 CHADLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)Nc1ccccc1C(C)(C)C ZINC000913741386 1128078304 /nfs/dbraw/zinc/07/83/04/1128078304.db2.gz LUCMNRRAJZBGLN-GFCCVEGCSA-N 1 2 285.391 3.525 20 0 CHADLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccccc1C(C)(C)C ZINC000913741386 1128078308 /nfs/dbraw/zinc/07/83/08/1128078308.db2.gz LUCMNRRAJZBGLN-GFCCVEGCSA-N 1 2 285.391 3.525 20 0 CHADLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)Nc1ccccc1C(C)(C)C ZINC000913741387 1128078505 /nfs/dbraw/zinc/07/85/05/1128078505.db2.gz LUCMNRRAJZBGLN-LBPRGKRZSA-N 1 2 285.391 3.525 20 0 CHADLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccccc1C(C)(C)C ZINC000913741387 1128078511 /nfs/dbraw/zinc/07/85/11/1128078511.db2.gz LUCMNRRAJZBGLN-LBPRGKRZSA-N 1 2 285.391 3.525 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000470953368 1128083015 /nfs/dbraw/zinc/08/30/15/1128083015.db2.gz NYGOMALUOSCZMF-UWVGGRQHSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000470953368 1128083017 /nfs/dbraw/zinc/08/30/17/1128083017.db2.gz NYGOMALUOSCZMF-UWVGGRQHSA-N 1 2 290.329 3.745 20 0 CHADLO CCC(C)(C)c1noc([C@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000924158209 1128092461 /nfs/dbraw/zinc/09/24/61/1128092461.db2.gz PSZSOTYCKSVQFN-LBPRGKRZSA-N 1 2 276.384 3.589 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)c(F)c2)nn1 ZINC000924530215 1128101992 /nfs/dbraw/zinc/10/19/92/1128101992.db2.gz GBXAXKLYKPYKBV-WDEREUQCSA-N 1 2 294.349 3.549 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+]Cc1cc2ccccc2o1 ZINC000924747598 1128111360 /nfs/dbraw/zinc/11/13/60/1128111360.db2.gz NAOHADXURNJHIR-CQSZACIVSA-N 1 2 282.343 3.896 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@@H](C)c1ccc(F)c(Cl)c1 ZINC000924770511 1128112399 /nfs/dbraw/zinc/11/23/99/1128112399.db2.gz QQYDYSSIRJOMNA-YUMQZZPRSA-N 1 2 283.734 3.582 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cc(CC)n[nH]1)c1ncccc1Cl ZINC000925061349 1128120002 /nfs/dbraw/zinc/12/00/02/1128120002.db2.gz IUJOTHOWAGGQIA-CQSZACIVSA-N 1 2 292.814 3.652 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H](C)c2ccnn2C2CCC2)on1 ZINC000925069799 1128120635 /nfs/dbraw/zinc/12/06/35/1128120635.db2.gz WTDOWIYGHVARJC-GFCCVEGCSA-N 1 2 288.395 3.570 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1csc(C(F)(F)F)c1 ZINC000925212521 1128125609 /nfs/dbraw/zinc/12/56/09/1128125609.db2.gz SCOHJGVWWKRRLB-SSDOTTSWSA-N 1 2 276.283 3.606 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC2(CCC2)Oc2ccccc21)c1ncco1 ZINC000925266631 1128126774 /nfs/dbraw/zinc/12/67/74/1128126774.db2.gz FSRNUWBEZQACNH-OCCSQVGLSA-N 1 2 284.359 3.772 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncco1)c1cccc(N2CCCC2)c1 ZINC000925257047 1128126866 /nfs/dbraw/zinc/12/68/66/1128126866.db2.gz KYUIDDWSCHOFEL-KGLIPLIRSA-N 1 2 285.391 3.687 20 0 CHADLO CCOC(=O)[C@H](Cc1ccc(Cl)cc1)[NH2+]C(CC)CC ZINC001257290885 1128143436 /nfs/dbraw/zinc/14/34/36/1128143436.db2.gz LVEBJOGFPIYADK-HNNXBMFYSA-N 1 2 297.826 3.592 20 0 CHADLO COc1cc([C@@H](C)[NH2+]C2(c3cccc(Cl)c3)CC2)on1 ZINC000925883204 1128144666 /nfs/dbraw/zinc/14/46/66/1128144666.db2.gz GDJJUQZRRMFLRO-SNVBAGLBSA-N 1 2 292.766 3.677 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)/C=C\c1[nH]cc[nH+]1 ZINC000493773793 1128149180 /nfs/dbraw/zinc/14/91/80/1128149180.db2.gz XSKADYBMCHZEMH-KTKRTIGZSA-N 1 2 283.375 3.650 20 0 CHADLO COc1ccnc([C@H](C)Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC000926052979 1128151092 /nfs/dbraw/zinc/15/10/92/1128151092.db2.gz UBGDMMXNWWBBRP-ZDUSSCGKSA-N 1 2 285.391 3.638 20 0 CHADLO Cc1cc([NH2+][C@@H]2CC[C@@H]3COCC[C@@H]3C2)ccc1N(C)C ZINC000926053358 1128151189 /nfs/dbraw/zinc/15/11/89/1128151189.db2.gz ZYMSVHSRFKFHHR-BFYDXBDKSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@@H]2CC[C@@H]3COCC[C@@H]3C2)ccc1[NH+](C)C ZINC000926053358 1128151191 /nfs/dbraw/zinc/15/11/91/1128151191.db2.gz ZYMSVHSRFKFHHR-BFYDXBDKSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc([NH2+]C2C[C@H]3CC[C@@H](C2)S3)ccc1N(C)C ZINC000926052835 1128151350 /nfs/dbraw/zinc/15/13/50/1128151350.db2.gz PTAYYNGLWLJFMV-GOOCMWNKSA-N 1 2 276.449 3.900 20 0 CHADLO Cc1cc(NC2C[C@H]3CC[C@@H](C2)S3)ccc1[NH+](C)C ZINC000926052835 1128151353 /nfs/dbraw/zinc/15/13/53/1128151353.db2.gz PTAYYNGLWLJFMV-GOOCMWNKSA-N 1 2 276.449 3.900 20 0 CHADLO C[C@H]1CC[N@H+](CCCOCc2ccccc2)CC1(F)F ZINC000494218274 1128159903 /nfs/dbraw/zinc/15/99/03/1128159903.db2.gz BALOJKJYJPITOB-AWEZNQCLSA-N 1 2 283.362 3.570 20 0 CHADLO C[C@H]1CC[N@@H+](CCCOCc2ccccc2)CC1(F)F ZINC000494218274 1128159905 /nfs/dbraw/zinc/15/99/05/1128159905.db2.gz BALOJKJYJPITOB-AWEZNQCLSA-N 1 2 283.362 3.570 20 0 CHADLO CCCc1csc(C[NH2+][C@@H](C)c2ccccc2OC)n1 ZINC000494357110 1128171109 /nfs/dbraw/zinc/17/11/09/1128171109.db2.gz HQOQHEFOEBQXKL-LBPRGKRZSA-N 1 2 290.432 3.955 20 0 CHADLO Cc1cscc1C[NH2+][C@H](c1ncc[nH]1)c1ccccc1 ZINC000494463940 1128174329 /nfs/dbraw/zinc/17/43/29/1128174329.db2.gz IBWOSUVUVDNFNY-HNNXBMFYSA-N 1 2 283.400 3.659 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2c(F)cc(F)cc21)c1ncco1 ZINC000926493169 1128175570 /nfs/dbraw/zinc/17/55/70/1128175570.db2.gz NZYNJULMLWLCJW-OTYXRUKQSA-N 1 2 278.302 3.681 20 0 CHADLO CCc1ccc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)cc1OC ZINC000926542636 1128179811 /nfs/dbraw/zinc/17/98/11/1128179811.db2.gz YIXYGKBXLBEBPO-ZDUSSCGKSA-N 1 2 299.418 3.964 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@@H]1F)c1ccns1 ZINC000926860991 1128198326 /nfs/dbraw/zinc/19/83/26/1128198326.db2.gz LMMWNKWWTQETRQ-XEGUGMAKSA-N 1 2 276.380 3.819 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2c(Cl)ccnc21)c1cscn1 ZINC000926693312 1128188492 /nfs/dbraw/zinc/18/84/92/1128188492.db2.gz QZKFJYYQEXPQSU-SKDRFNHKSA-N 1 2 293.823 3.920 20 0 CHADLO CCC[C@@H](C)c1noc(-c2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC000761847534 1128246008 /nfs/dbraw/zinc/24/60/08/1128246008.db2.gz VLOXYBQSMNYEGV-CYBMUJFWSA-N 1 2 296.374 3.885 20 0 CHADLO CCCCCCc1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000761889659 1128253014 /nfs/dbraw/zinc/25/30/14/1128253014.db2.gz BETJIRCAIUXKLE-UHFFFAOYSA-N 1 2 298.390 3.739 20 0 CHADLO Cc1nc(N2CCC(c3cccc(F)c3)CC2)c(C)c(C)[nH+]1 ZINC000761907222 1128254161 /nfs/dbraw/zinc/25/41/61/1128254161.db2.gz OGGOHKNAUUJUQS-UHFFFAOYSA-N 1 2 299.393 3.925 20 0 CHADLO Cc1cc(CNc2ccc(N3CCCC3)[nH+]c2)cs1 ZINC000336888168 1129225560 /nfs/dbraw/zinc/22/55/60/1129225560.db2.gz JPJNIWPAAORAJQ-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO CCc1ncc(C[N@H+](Cc2ccccc2F)C2CC2)o1 ZINC000929141572 1128260137 /nfs/dbraw/zinc/26/01/37/1128260137.db2.gz UAUSFKFIFGDRDP-UHFFFAOYSA-N 1 2 274.339 3.541 20 0 CHADLO CCc1ncc(C[N@@H+](Cc2ccccc2F)C2CC2)o1 ZINC000929141572 1128260140 /nfs/dbraw/zinc/26/01/40/1128260140.db2.gz UAUSFKFIFGDRDP-UHFFFAOYSA-N 1 2 274.339 3.541 20 0 CHADLO CCc1ccc(N[C@@H](c2ccccn2)C(F)(F)F)[nH+]c1 ZINC001168485633 1128264510 /nfs/dbraw/zinc/26/45/10/1128264510.db2.gz HMVPESAMBZHNJH-ZDUSSCGKSA-N 1 2 281.281 3.755 20 0 CHADLO CC(C)c1nsc(C[N@H+](C)CCCc2ccccc2)n1 ZINC000929262270 1128266048 /nfs/dbraw/zinc/26/60/48/1128266048.db2.gz GYWBBBHVQIORKX-UHFFFAOYSA-N 1 2 289.448 3.726 20 0 CHADLO CC(C)c1nsc(C[N@@H+](C)CCCc2ccccc2)n1 ZINC000929262270 1128266051 /nfs/dbraw/zinc/26/60/51/1128266051.db2.gz GYWBBBHVQIORKX-UHFFFAOYSA-N 1 2 289.448 3.726 20 0 CHADLO CCn1cccc1C[N@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000494927557 1128213731 /nfs/dbraw/zinc/21/37/31/1128213731.db2.gz AHYWJKVWTNRHML-LBPRGKRZSA-N 1 2 278.346 3.979 20 0 CHADLO CCn1cccc1C[N@@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000494927557 1128213733 /nfs/dbraw/zinc/21/37/33/1128213733.db2.gz AHYWJKVWTNRHML-LBPRGKRZSA-N 1 2 278.346 3.979 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)C[N@@H+]1C/C=C\c1ccncc1 ZINC000494936884 1128214740 /nfs/dbraw/zinc/21/47/40/1128214740.db2.gz LOSKEXDRDGGTAV-FOVLHFFESA-N 1 2 294.398 3.557 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)C[N@H+]1C/C=C\c1ccncc1 ZINC000494936884 1128214743 /nfs/dbraw/zinc/21/47/43/1128214743.db2.gz LOSKEXDRDGGTAV-FOVLHFFESA-N 1 2 294.398 3.557 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2ccc(F)cn2)c(F)c1 ZINC000927093992 1128216164 /nfs/dbraw/zinc/21/61/64/1128216164.db2.gz PEYCJXCFRZWNFN-JTQLQIEISA-N 1 2 280.293 3.658 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](C)c2cncs2)c(F)c1 ZINC000927094832 1128216582 /nfs/dbraw/zinc/21/65/82/1128216582.db2.gz UIOJONXGRXXOLF-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C2CC2)n(C)n1)c1ccccc1Cl ZINC000927113569 1128218265 /nfs/dbraw/zinc/21/82/65/1128218265.db2.gz JQETXDTXNCPQQS-LLVKDONJSA-N 1 2 289.810 3.802 20 0 CHADLO Clc1csc(C[N@@H+]2CCOC3(CCCC3)C2)c1 ZINC000494978745 1128221067 /nfs/dbraw/zinc/22/10/67/1128221067.db2.gz VNSIRELIQAWMIC-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Clc1csc(C[N@H+]2CCOC3(CCCC3)C2)c1 ZINC000494978745 1128221069 /nfs/dbraw/zinc/22/10/69/1128221069.db2.gz VNSIRELIQAWMIC-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N(C)C)c(Cl)c1)C1CCOCC1 ZINC000777646822 1128233239 /nfs/dbraw/zinc/23/32/39/1128233239.db2.gz IXBQIPOAEPEHFH-LLVKDONJSA-N 1 2 282.815 3.633 20 0 CHADLO CC(C)[C@H](C)[NH2+]c1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000777659827 1128234185 /nfs/dbraw/zinc/23/41/85/1128234185.db2.gz MQYRCPQDKLLIMH-KFWWJZLASA-N 1 2 276.424 3.757 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+](CC)Cc1nncs1 ZINC000929385165 1128272926 /nfs/dbraw/zinc/27/29/26/1128272926.db2.gz NXUHIFGCOCTEFE-ZDUSSCGKSA-N 1 2 261.394 3.511 20 0 CHADLO CC[C@@H](c1ccccc1)[N@@H+](CC)Cc1nncs1 ZINC000929385165 1128272927 /nfs/dbraw/zinc/27/29/27/1128272927.db2.gz NXUHIFGCOCTEFE-ZDUSSCGKSA-N 1 2 261.394 3.511 20 0 CHADLO CC(C)C[C@H](c1nc(-c2ccsc2)no1)n1cc[nH+]c1 ZINC000924004925 1128280652 /nfs/dbraw/zinc/28/06/52/1128280652.db2.gz KQUBWBKSJSGVSM-GFCCVEGCSA-N 1 2 288.376 3.630 20 0 CHADLO CCOC1CCC(Nc2ccc([NH+](C)C)cc2C)CC1 ZINC000691143959 1128282037 /nfs/dbraw/zinc/28/20/37/1128282037.db2.gz OXFRQXQIFNSJAS-UHFFFAOYSA-N 1 2 276.424 3.821 20 0 CHADLO CCOC1CCC([NH2+]c2ccc(N(C)C)cc2C)CC1 ZINC000691143959 1128282038 /nfs/dbraw/zinc/28/20/38/1128282038.db2.gz OXFRQXQIFNSJAS-UHFFFAOYSA-N 1 2 276.424 3.821 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ncccn1)c1ccc(Cl)cc1 ZINC000929860682 1128291208 /nfs/dbraw/zinc/29/12/08/1128291208.db2.gz DESRLQLPUZFFSW-GHMZBOCLSA-N 1 2 261.756 3.542 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccccc1OC(F)F ZINC000915216818 1128291505 /nfs/dbraw/zinc/29/15/05/1128291505.db2.gz BMQJWYRDFLRUCT-UHFFFAOYSA-N 1 2 296.317 3.525 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccccc1OC(F)F ZINC000915216818 1128291507 /nfs/dbraw/zinc/29/15/07/1128291507.db2.gz BMQJWYRDFLRUCT-UHFFFAOYSA-N 1 2 296.317 3.525 20 0 CHADLO CC(C)[C@H](C)[N@H+](C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000915209213 1128291733 /nfs/dbraw/zinc/29/17/33/1128291733.db2.gz KTXKYWQBNCSAIV-NSHDSACASA-N 1 2 294.468 3.560 20 0 CHADLO CC(C)[C@H](C)[N@@H+](C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000915209213 1128291736 /nfs/dbraw/zinc/29/17/36/1128291736.db2.gz KTXKYWQBNCSAIV-NSHDSACASA-N 1 2 294.468 3.560 20 0 CHADLO CN(C)c1cc(CSc2cccc3cccnc32)cc[nH+]1 ZINC000762469126 1128301115 /nfs/dbraw/zinc/30/11/15/1128301115.db2.gz UQRKNUUKHVRWOK-UHFFFAOYSA-N 1 2 295.411 3.988 20 0 CHADLO CN(C)c1cc(CSc2ccc(F)cc2F)cc[nH+]1 ZINC000762467300 1128301192 /nfs/dbraw/zinc/30/11/92/1128301192.db2.gz KOGDSYWENDPMFT-UHFFFAOYSA-N 1 2 280.343 3.718 20 0 CHADLO CCCCC[C@@H](c1nc(Cc2c[nH+]cn2C)no1)C(C)C ZINC000762411834 1128297892 /nfs/dbraw/zinc/29/78/92/1128297892.db2.gz OZMZXCCSDJJDLK-CQSZACIVSA-N 1 2 290.411 3.714 20 0 CHADLO CN(C)c1cc(COc2cccc(C(F)(F)F)c2)cc[nH+]1 ZINC000762469328 1128301523 /nfs/dbraw/zinc/30/15/23/1128301523.db2.gz NBEIKPIUQLSRAF-UHFFFAOYSA-N 1 2 296.292 3.745 20 0 CHADLO COCc1ccc(SCc2cc[nH+]c(N(C)C)c2)cc1 ZINC000762479320 1128303000 /nfs/dbraw/zinc/30/30/00/1128303000.db2.gz LUSRHEXVCMJIEE-UHFFFAOYSA-N 1 2 288.416 3.586 20 0 CHADLO CCSc1ccccc1C[N@@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000930166283 1128303589 /nfs/dbraw/zinc/30/35/89/1128303589.db2.gz DFJWHCQSOXVXPS-CQSZACIVSA-N 1 2 287.432 3.859 20 0 CHADLO CCSc1ccccc1C[N@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000930166283 1128303594 /nfs/dbraw/zinc/30/35/94/1128303594.db2.gz DFJWHCQSOXVXPS-CQSZACIVSA-N 1 2 287.432 3.859 20 0 CHADLO CSc1ccccc1C[N@H+](C)[C@@H](C)c1snnc1C ZINC000930273570 1128312256 /nfs/dbraw/zinc/31/22/56/1128312256.db2.gz OBEKRALGGYNNRE-NSHDSACASA-N 1 2 293.461 3.761 20 0 CHADLO CSc1ccccc1C[N@@H+](C)[C@@H](C)c1snnc1C ZINC000930273570 1128312258 /nfs/dbraw/zinc/31/22/58/1128312258.db2.gz OBEKRALGGYNNRE-NSHDSACASA-N 1 2 293.461 3.761 20 0 CHADLO C[C@H]([NH2+]Cc1coc(C(C)(C)C)n1)c1ccsc1 ZINC000930345306 1128314198 /nfs/dbraw/zinc/31/41/98/1128314198.db2.gz MHXPPMDVZMBQEL-JTQLQIEISA-N 1 2 264.394 3.884 20 0 CHADLO CCc1ncc(C[N@@H+]2CC(C)(C)[C@H]2c2cccs2)o1 ZINC000930491988 1128321487 /nfs/dbraw/zinc/32/14/87/1128321487.db2.gz ALORTFZTHFAPDF-CQSZACIVSA-N 1 2 276.405 3.882 20 0 CHADLO CCc1ncc(C[N@H+]2CC(C)(C)[C@H]2c2cccs2)o1 ZINC000930491988 1128321490 /nfs/dbraw/zinc/32/14/90/1128321490.db2.gz ALORTFZTHFAPDF-CQSZACIVSA-N 1 2 276.405 3.882 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C3(C)CC=CC3)c2)[nH+]c1C ZINC000930549339 1128324117 /nfs/dbraw/zinc/32/41/17/1128324117.db2.gz NORZTCYRMOAUKK-UHFFFAOYSA-N 1 2 295.386 3.988 20 0 CHADLO Fc1cncc(F)c1C[N@@H+]1CCC[C@@H](CC(F)(F)F)C1 ZINC000930747664 1128333830 /nfs/dbraw/zinc/33/38/30/1128333830.db2.gz FBJPJTZKVLGUBM-VIFPVBQESA-N 1 2 294.267 3.524 20 0 CHADLO Fc1cncc(F)c1C[N@H+]1CCC[C@@H](CC(F)(F)F)C1 ZINC000930747664 1128333835 /nfs/dbraw/zinc/33/38/35/1128333835.db2.gz FBJPJTZKVLGUBM-VIFPVBQESA-N 1 2 294.267 3.524 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2nncs2)CC(C)C)cc1 ZINC000930815277 1128336518 /nfs/dbraw/zinc/33/65/18/1128336518.db2.gz SHGSMKNTMOHIFP-UHFFFAOYSA-N 1 2 289.448 3.759 20 0 CHADLO CCc1ccc(C[N@H+](Cc2nncs2)CC(C)C)cc1 ZINC000930815277 1128336524 /nfs/dbraw/zinc/33/65/24/1128336524.db2.gz SHGSMKNTMOHIFP-UHFFFAOYSA-N 1 2 289.448 3.759 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C2CC2)C2CCCC2)c(C)[nH+]1 ZINC000562607787 1128413290 /nfs/dbraw/zinc/41/32/90/1128413290.db2.gz RZCPVCWLOTZZJE-UHFFFAOYSA-N 1 2 287.407 3.946 20 0 CHADLO C[C@@H]1C[NH+](Cc2coc(C(C)(C)C)n2)C[C@@H](C)C1(F)F ZINC000931161904 1128350453 /nfs/dbraw/zinc/35/04/53/1128350453.db2.gz ZKIGPCZURBSTEF-GHMZBOCLSA-N 1 2 286.366 3.695 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(F)cc2C)o1 ZINC000932558175 1128414599 /nfs/dbraw/zinc/41/45/99/1128414599.db2.gz ZBDKRPQFZXMJAR-LLVKDONJSA-N 1 2 262.328 3.535 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)cc2C)o1 ZINC000932563856 1128415051 /nfs/dbraw/zinc/41/50/51/1128415051.db2.gz LXSLEMMYUCRWBX-NWDGAFQWSA-N 1 2 262.328 3.842 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@H]2CCCC[C@H]2C)c[nH+]1 ZINC000562638769 1128415190 /nfs/dbraw/zinc/41/51/90/1128415190.db2.gz HBKKMDABFNPELP-IUODEOHRSA-N 1 2 274.408 3.531 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@@H]1CCC[C@H]1c1ccccc1Cl ZINC000763272807 1128387050 /nfs/dbraw/zinc/38/70/50/1128387050.db2.gz VGMQBIUYLWSFHC-CORIIIEPSA-N 1 2 295.810 3.517 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+]1CCn2nc(C3CC3)cc2C1 ZINC000932606667 1128417509 /nfs/dbraw/zinc/41/75/09/1128417509.db2.gz XOHQWRUVMGAPFG-AWEZNQCLSA-N 1 2 281.403 3.646 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+]1CCn2nc(C3CC3)cc2C1 ZINC000932606667 1128417510 /nfs/dbraw/zinc/41/75/10/1128417510.db2.gz XOHQWRUVMGAPFG-AWEZNQCLSA-N 1 2 281.403 3.646 20 0 CHADLO Cn1nccc1[C@@H]1CCC[N@@H+]1Cc1cccc(F)c1Cl ZINC000932260976 1128401997 /nfs/dbraw/zinc/40/19/97/1128401997.db2.gz MSOWXHGKJFMFRC-AWEZNQCLSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@@H]1CCC[N@H+]1Cc1cccc(F)c1Cl ZINC000932260976 1128401998 /nfs/dbraw/zinc/40/19/98/1128401998.db2.gz MSOWXHGKJFMFRC-AWEZNQCLSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@H]1CCC[N@@H+]1Cc1ccc(F)cc1Cl ZINC000932263561 1128402430 /nfs/dbraw/zinc/40/24/30/1128402430.db2.gz FHLZRQJTORNLDC-OAHLLOKOSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@H]1CCC[N@H+]1Cc1ccc(F)cc1Cl ZINC000932263561 1128402432 /nfs/dbraw/zinc/40/24/32/1128402432.db2.gz FHLZRQJTORNLDC-OAHLLOKOSA-N 1 2 293.773 3.550 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3[C@@H](C)C2)cs1 ZINC000916152734 1128409939 /nfs/dbraw/zinc/40/99/39/1128409939.db2.gz XLRCXMWNGYAJIJ-NSHDSACASA-N 1 2 258.390 3.571 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3[C@@H](C)C2)cs1 ZINC000916152734 1128409941 /nfs/dbraw/zinc/40/99/41/1128409941.db2.gz XLRCXMWNGYAJIJ-NSHDSACASA-N 1 2 258.390 3.571 20 0 CHADLO CC(C)C[N@H+](Cc1nc2c(o1)CCCC2)Cc1ccccn1 ZINC000932448111 1128410080 /nfs/dbraw/zinc/41/00/80/1128410080.db2.gz XITMDTLJFRSCES-UHFFFAOYSA-N 1 2 299.418 3.607 20 0 CHADLO CC(C)C[N@@H+](Cc1nc2c(o1)CCCC2)Cc1ccccn1 ZINC000932448111 1128410081 /nfs/dbraw/zinc/41/00/81/1128410081.db2.gz XITMDTLJFRSCES-UHFFFAOYSA-N 1 2 299.418 3.607 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CCC(F)(C3CC3)CC2)co1 ZINC000932628202 1128418146 /nfs/dbraw/zinc/41/81/46/1128418146.db2.gz DUESBALYGYMHAS-UHFFFAOYSA-N 1 2 280.387 3.686 20 0 CHADLO CC(C)C[N@H+](Cc1ncc(C2CC2)o1)Cc1ccccn1 ZINC000932449892 1128411072 /nfs/dbraw/zinc/41/10/72/1128411072.db2.gz VGWKTFVEULDXBJ-UHFFFAOYSA-N 1 2 285.391 3.605 20 0 CHADLO CC(C)C[N@@H+](Cc1ncc(C2CC2)o1)Cc1ccccn1 ZINC000932449892 1128411075 /nfs/dbraw/zinc/41/10/75/1128411075.db2.gz VGWKTFVEULDXBJ-UHFFFAOYSA-N 1 2 285.391 3.605 20 0 CHADLO CCCOc1ccc(C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)cc1 ZINC000125503260 1128450241 /nfs/dbraw/zinc/45/02/41/1128450241.db2.gz WAMTUYQGTFGQFH-KRWDZBQOSA-N 1 2 299.418 3.926 20 0 CHADLO CCCOc1ccc(C[N@H+]2CCCC[C@H]2c2ncc[nH]2)cc1 ZINC000125503260 1128450244 /nfs/dbraw/zinc/45/02/44/1128450244.db2.gz WAMTUYQGTFGQFH-KRWDZBQOSA-N 1 2 299.418 3.926 20 0 CHADLO Fc1cc(F)c(-c2cccc3[nH+]ccn32)c(F)c1F ZINC001205174650 1128456538 /nfs/dbraw/zinc/45/65/38/1128456538.db2.gz ZHDAVRKYXVUYLN-UHFFFAOYSA-N 1 2 266.197 3.558 20 0 CHADLO Cc1cccc(OCCCCC(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000066312733 1128472408 /nfs/dbraw/zinc/47/24/08/1128472408.db2.gz LUBVEPVKLQYGAB-UHFFFAOYSA-N 1 2 298.386 3.886 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1ncc(-c2cccs2)o1 ZINC000127551401 1128479452 /nfs/dbraw/zinc/47/94/52/1128479452.db2.gz PYAYAFYDRSRGJN-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1ncc(-c2cccs2)o1 ZINC000127551401 1128479455 /nfs/dbraw/zinc/47/94/55/1128479455.db2.gz PYAYAFYDRSRGJN-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1coc(-c2ccccc2)n1 ZINC000127527237 1128479748 /nfs/dbraw/zinc/47/97/48/1128479748.db2.gz FHBHHXVKZHJBIT-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1coc(-c2ccccc2)n1 ZINC000127527237 1128479750 /nfs/dbraw/zinc/47/97/50/1128479750.db2.gz FHBHHXVKZHJBIT-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO Clc1cccc([C@H]([NH2+]Cc2cc[nH]n2)c2ccccc2)c1 ZINC000066990556 1128480035 /nfs/dbraw/zinc/48/00/35/1128480035.db2.gz LNQONIYNPSRDSI-QGZVFWFLSA-N 1 2 297.789 3.942 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1ncc(-c2cccs2)o1 ZINC000127778648 1128482562 /nfs/dbraw/zinc/48/25/62/1128482562.db2.gz VAWJUBQYGCAICP-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1ncc(-c2cccs2)o1 ZINC000127778648 1128482565 /nfs/dbraw/zinc/48/25/65/1128482565.db2.gz VAWJUBQYGCAICP-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO COc1cccc2c1CCC[C@H]2[NH2+][C@H](C)C(C)(F)F ZINC000564414229 1128507848 /nfs/dbraw/zinc/50/78/48/1128507848.db2.gz QUBKOIWKGRHTKJ-ZWNOBZJWSA-N 1 2 269.335 3.706 20 0 CHADLO C[C@H](CC(=O)N1CCCCCc2ccccc21)n1cc[nH+]c1 ZINC000565046432 1128519530 /nfs/dbraw/zinc/51/95/30/1128519530.db2.gz DMDXRKBKBTXPBP-OAHLLOKOSA-N 1 2 297.402 3.594 20 0 CHADLO CC(C)CCc1ccc(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)cc1 ZINC000565176604 1128521766 /nfs/dbraw/zinc/52/17/66/1128521766.db2.gz GXIDYOWDGIYXCH-AWEZNQCLSA-N 1 2 299.418 3.816 20 0 CHADLO CC(C)CCc1ccc(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)cc1 ZINC000565176604 1128521768 /nfs/dbraw/zinc/52/17/68/1128521768.db2.gz GXIDYOWDGIYXCH-AWEZNQCLSA-N 1 2 299.418 3.816 20 0 CHADLO CC(=CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)c1ccccc1 ZINC000917989516 1128527662 /nfs/dbraw/zinc/52/76/62/1128527662.db2.gz JDCPGOMEACQMID-BENRWUELSA-N 1 2 291.354 3.913 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](C(C)(C)C)C[C@H]2C)no1 ZINC000565851242 1128533383 /nfs/dbraw/zinc/53/33/83/1128533383.db2.gz JKRHBIUVQVXGFN-CHWSQXEVSA-N 1 2 279.428 3.840 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](C(C)(C)C)C[C@H]2C)no1 ZINC000565851242 1128533385 /nfs/dbraw/zinc/53/33/85/1128533385.db2.gz JKRHBIUVQVXGFN-CHWSQXEVSA-N 1 2 279.428 3.840 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](C(C)(C)C)C[C@H]2C)no1 ZINC000565851244 1128533599 /nfs/dbraw/zinc/53/35/99/1128533599.db2.gz JKRHBIUVQVXGFN-OLZOCXBDSA-N 1 2 279.428 3.840 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](C(C)(C)C)C[C@H]2C)no1 ZINC000565851244 1128533601 /nfs/dbraw/zinc/53/36/01/1128533601.db2.gz JKRHBIUVQVXGFN-OLZOCXBDSA-N 1 2 279.428 3.840 20 0 CHADLO Cc1nnsc1C[N@@H+](C)Cc1ccc(C(C)C)cc1 ZINC000566301604 1128541758 /nfs/dbraw/zinc/54/17/58/1128541758.db2.gz NVWDSMKHBSUUMU-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1nnsc1C[N@H+](C)Cc1ccc(C(C)C)cc1 ZINC000566301604 1128541761 /nfs/dbraw/zinc/54/17/61/1128541761.db2.gz NVWDSMKHBSUUMU-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@H](C(C)C)CC3)ccn12 ZINC000566444632 1128543910 /nfs/dbraw/zinc/54/39/10/1128543910.db2.gz QAXIFEUFUFCPFV-HNNXBMFYSA-N 1 2 299.418 3.541 20 0 CHADLO CC[C@@H](c1ccccc1)N(CC)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000566436459 1128544495 /nfs/dbraw/zinc/54/44/95/1128544495.db2.gz KMMDQAYCHNXDFY-YOEHRIQHSA-N 1 2 299.418 3.588 20 0 CHADLO CC[C@@H](c1ccccc1)N(CC)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000566436459 1128544497 /nfs/dbraw/zinc/54/44/97/1128544497.db2.gz KMMDQAYCHNXDFY-YOEHRIQHSA-N 1 2 299.418 3.588 20 0 CHADLO CC(C)[C@@H]1CN(CCCn2cc[nH+]c2)c2ccccc21 ZINC000566777134 1128551500 /nfs/dbraw/zinc/55/15/00/1128551500.db2.gz OZQHUCGFGYINBE-INIZCTEOSA-N 1 2 269.392 3.533 20 0 CHADLO Cc1nnsc1C[N@@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000567435276 1128564900 /nfs/dbraw/zinc/56/49/00/1128564900.db2.gz SULUGBRJJIIEPE-CYBMUJFWSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1nnsc1C[N@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000567435276 1128564903 /nfs/dbraw/zinc/56/49/03/1128564903.db2.gz SULUGBRJJIIEPE-CYBMUJFWSA-N 1 2 293.823 3.837 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+][C@@H]1COCc2ccccc21 ZINC000556236120 1128566380 /nfs/dbraw/zinc/56/63/80/1128566380.db2.gz NIUZYKRYXHFYRW-MRXNPFEDSA-N 1 2 291.753 3.840 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCCCc1cccc(F)c1 ZINC000778592491 1128567009 /nfs/dbraw/zinc/56/70/09/1128567009.db2.gz LFZMZGXGUBXNOP-UHFFFAOYSA-N 1 2 292.379 3.561 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CCC[N@@H+]1Cc1ccc(Cl)cn1 ZINC000567663081 1128569565 /nfs/dbraw/zinc/56/95/65/1128569565.db2.gz HAQOTEZWENGJJO-CABZTGNLSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CCC[N@H+]1Cc1ccc(Cl)cn1 ZINC000567663081 1128569568 /nfs/dbraw/zinc/56/95/68/1128569568.db2.gz HAQOTEZWENGJJO-CABZTGNLSA-N 1 2 292.732 3.898 20 0 CHADLO CC(F)(F)C[NH2+][C@@H](C[C@@H]1CCOC1)c1ccc(F)cc1 ZINC000569991455 1128613689 /nfs/dbraw/zinc/61/36/89/1128613689.db2.gz AJOCFNLTIFMWBQ-FZMZJTMJSA-N 1 2 287.325 3.538 20 0 CHADLO c1csc(C[N@H+](Cc2nc3ccccc3[nH]2)C2CC2)c1 ZINC000130254992 1128574836 /nfs/dbraw/zinc/57/48/36/1128574836.db2.gz XZHNNTSZLANCDI-UHFFFAOYSA-N 1 2 283.400 3.789 20 0 CHADLO c1csc(C[N@@H+](Cc2nc3ccccc3[nH]2)C2CC2)c1 ZINC000130254992 1128574838 /nfs/dbraw/zinc/57/48/38/1128574838.db2.gz XZHNNTSZLANCDI-UHFFFAOYSA-N 1 2 283.400 3.789 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1ccccc1Br ZINC000070949555 1128581454 /nfs/dbraw/zinc/58/14/54/1128581454.db2.gz HHMXGMWSJXQPAG-UHFFFAOYSA-N 1 2 296.130 3.833 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1ccccc1Br ZINC000070949555 1128581456 /nfs/dbraw/zinc/58/14/56/1128581456.db2.gz HHMXGMWSJXQPAG-UHFFFAOYSA-N 1 2 296.130 3.833 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(OC)cc2F)s1 ZINC000130430649 1128582741 /nfs/dbraw/zinc/58/27/41/1128582741.db2.gz VSSPEJRKWWXVDZ-SNVBAGLBSA-N 1 2 294.395 3.704 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C)[C@H](C)c3cccs3)ccn12 ZINC000568527794 1128586464 /nfs/dbraw/zinc/58/64/64/1128586464.db2.gz AMIBGGCGVGOULO-GFCCVEGCSA-N 1 2 299.399 3.537 20 0 CHADLO CSc1cccc(C)c1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000570048207 1128614832 /nfs/dbraw/zinc/61/48/32/1128614832.db2.gz LTWZNVYOOBNUSE-GFCCVEGCSA-N 1 2 289.404 3.503 20 0 CHADLO FC(F)(F)c1ccc(C[NH+]2CC(C(F)(F)F)C2)cc1 ZINC000568813809 1128592286 /nfs/dbraw/zinc/59/22/86/1128592286.db2.gz DBQVBBRSPNWLQO-UHFFFAOYSA-N 1 2 283.215 3.700 20 0 CHADLO Cc1ccc2cc([C@@H](C)[NH2+]Cc3nnc(C4CC4)[nH]3)oc2c1 ZINC000568840850 1128593236 /nfs/dbraw/zinc/59/32/36/1128593236.db2.gz AZYVJKQCAJUBGK-LLVKDONJSA-N 1 2 296.374 3.588 20 0 CHADLO C[N@@H+]1Cc2cccc(NC(=O)c3cccc(C4CC4)c3)c2C1 ZINC000569138698 1128598995 /nfs/dbraw/zinc/59/89/95/1128598995.db2.gz QTPWFSUXHUOUGX-UHFFFAOYSA-N 1 2 292.382 3.762 20 0 CHADLO C[N@H+]1Cc2cccc(NC(=O)c3cccc(C4CC4)c3)c2C1 ZINC000569138698 1128598998 /nfs/dbraw/zinc/59/89/98/1128598998.db2.gz QTPWFSUXHUOUGX-UHFFFAOYSA-N 1 2 292.382 3.762 20 0 CHADLO CCCCOC(=O)C[N@H+](CC)[C@@H](C)c1ccc(F)cc1 ZINC000919770603 1128629374 /nfs/dbraw/zinc/62/93/74/1128629374.db2.gz LABZXEPSCACDNJ-ZDUSSCGKSA-N 1 2 281.371 3.552 20 0 CHADLO CCCCOC(=O)C[N@@H+](CC)[C@@H](C)c1ccc(F)cc1 ZINC000919770603 1128629376 /nfs/dbraw/zinc/62/93/76/1128629376.db2.gz LABZXEPSCACDNJ-ZDUSSCGKSA-N 1 2 281.371 3.552 20 0 CHADLO Cc1cccc(Cl)c1C[NH+]1CC(C(F)(F)F)C1 ZINC000573773354 1128658335 /nfs/dbraw/zinc/65/83/35/1128658335.db2.gz HXEUDUXKGOQISK-UHFFFAOYSA-N 1 2 263.690 3.643 20 0 CHADLO Cc1[nH]c(CNc2cccc(C)c2Br)[nH+]c1C ZINC000573935680 1128659680 /nfs/dbraw/zinc/65/96/80/1128659680.db2.gz MTZPWUYLKAIJGT-UHFFFAOYSA-N 1 2 294.196 3.710 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2cscn2)c(F)c1 ZINC000575430653 1128666571 /nfs/dbraw/zinc/66/65/71/1128666571.db2.gz FQTFHTVCUNBYKD-UWVGGRQHSA-N 1 2 280.368 3.703 20 0 CHADLO CCC/C(C)=C\C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000920219602 1128672809 /nfs/dbraw/zinc/67/28/09/1128672809.db2.gz UCIIMBMZOSLMEQ-QBFSEMIESA-N 1 2 269.348 3.557 20 0 CHADLO Cc1ccccc1OC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccc1 ZINC000920244167 1128675402 /nfs/dbraw/zinc/67/54/02/1128675402.db2.gz NDFQSSYUTGORIR-KRWDZBQOSA-N 1 2 295.382 3.565 20 0 CHADLO Cc1ccccc1OC(=O)[C@@H]1CCC[N@H+]1Cc1ccccc1 ZINC000920244167 1128675405 /nfs/dbraw/zinc/67/54/05/1128675405.db2.gz NDFQSSYUTGORIR-KRWDZBQOSA-N 1 2 295.382 3.565 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000578203338 1128711580 /nfs/dbraw/zinc/71/15/80/1128711580.db2.gz OPYAJRNWEGYDOS-CQSZACIVSA-N 1 2 299.418 3.816 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000578203338 1128711582 /nfs/dbraw/zinc/71/15/82/1128711582.db2.gz OPYAJRNWEGYDOS-CQSZACIVSA-N 1 2 299.418 3.816 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(F)cc(Cl)c1)c1cscn1 ZINC000578338453 1128714330 /nfs/dbraw/zinc/71/43/30/1128714330.db2.gz KDSCFLVTUDZVIM-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1cc(N)ccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213499278 1117749604 /nfs/dbraw/zinc/74/96/04/1117749604.db2.gz GGFBHXRETLQNES-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO Fc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC000035040037 1117761386 /nfs/dbraw/zinc/76/13/86/1117761386.db2.gz VLKKGTPWOTVPFN-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ccco2)c2ccccc2)cn1 ZINC000062051082 1117780952 /nfs/dbraw/zinc/78/09/52/1117780952.db2.gz MMYDWTNBXCLYAE-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO CC(=O)c1cc(Nc2cc(C3CC3)c[nH+]c2C)ccc1O ZINC001213501410 1117783396 /nfs/dbraw/zinc/78/33/96/1117783396.db2.gz XDJUZLCCIXPPFK-UHFFFAOYSA-N 1 2 282.343 3.919 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc(F)c(Cl)c2)s1 ZINC000339501299 1117810506 /nfs/dbraw/zinc/81/05/06/1117810506.db2.gz HURAVLPOKYHQMZ-UHFFFAOYSA-N 1 2 299.802 3.744 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+]Cc2cocn2)cc1Cl ZINC000671298884 1117813784 /nfs/dbraw/zinc/81/37/84/1117813784.db2.gz ABOHYGULWCBVFX-NSHDSACASA-N 1 2 294.782 3.966 20 0 CHADLO CS[C@H](C)CC[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001208147431 1117826365 /nfs/dbraw/zinc/82/63/65/1117826365.db2.gz HWXVXVZQGHIUTO-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO CS[C@H](C)CC[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001208147431 1117826369 /nfs/dbraw/zinc/82/63/69/1117826369.db2.gz HWXVXVZQGHIUTO-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO Cc1cc(C(F)(F)F)cc(C)c1NCc1c[nH+]cn1C ZINC000634574516 1117841904 /nfs/dbraw/zinc/84/19/04/1117841904.db2.gz LLEVXWJIJJDILY-UHFFFAOYSA-N 1 2 283.297 3.668 20 0 CHADLO Cc1cc(C)c(Nc2ncccc2OC(F)(F)F)c[nH+]1 ZINC001213508284 1117851033 /nfs/dbraw/zinc/85/10/33/1117851033.db2.gz OSWTVVYHTTVUAQ-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2csc(C(F)(F)F)c2)no1 ZINC000623515903 1117865802 /nfs/dbraw/zinc/86/58/02/1117865802.db2.gz VKSRAVBCPAJWAV-QMMMGPOBSA-N 1 2 290.310 3.914 20 0 CHADLO COc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1OC1CC1 ZINC000623525574 1117866750 /nfs/dbraw/zinc/86/67/50/1117866750.db2.gz RVFMWMXVADNYMY-OAHLLOKOSA-N 1 2 297.345 3.514 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3C[C@@H](C)[C@@H]3C)cs2)o1 ZINC000339571152 1117885326 /nfs/dbraw/zinc/88/53/26/1117885326.db2.gz BGHWYQRDQATUCI-KOLCDFICSA-N 1 2 262.378 3.552 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3C[C@@H](C)[C@@H]3C)cs2)o1 ZINC000339571152 1117885330 /nfs/dbraw/zinc/88/53/30/1117885330.db2.gz BGHWYQRDQATUCI-KOLCDFICSA-N 1 2 262.378 3.552 20 0 CHADLO CC(C)OC[C@@H](C)[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000623658017 1117885601 /nfs/dbraw/zinc/88/56/01/1117885601.db2.gz HAUVIZUBNYCMQN-HIFRSBDPSA-N 1 2 285.378 3.656 20 0 CHADLO CC1(C)CC(CC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000339594359 1117897324 /nfs/dbraw/zinc/89/73/24/1117897324.db2.gz MEHYMADQVXVQEN-UHFFFAOYSA-N 1 2 283.375 3.842 20 0 CHADLO Fc1ccc(/C=C/C[N@@H+]2CCC=C(Br)C2)cc1 ZINC000799735868 1117898735 /nfs/dbraw/zinc/89/87/35/1117898735.db2.gz NPJQDOAVSCVDPY-HNQUOIGGSA-N 1 2 296.183 3.823 20 0 CHADLO Fc1ccc(/C=C/C[N@H+]2CCC=C(Br)C2)cc1 ZINC000799735868 1117898742 /nfs/dbraw/zinc/89/87/42/1117898742.db2.gz NPJQDOAVSCVDPY-HNQUOIGGSA-N 1 2 296.183 3.823 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(C(C)(C)C)co2)cc1F ZINC000921501256 1117902804 /nfs/dbraw/zinc/90/28/04/1117902804.db2.gz BSJHKWHFNKYSOE-UHFFFAOYSA-N 1 2 276.355 3.709 20 0 CHADLO Cc1cc(NC(=O)/C=C\c2ccc(Cl)s2)cc[nH+]1 ZINC000254942738 1117909608 /nfs/dbraw/zinc/90/96/08/1117909608.db2.gz SIETUXDDNQGZCR-HYXAFXHYSA-N 1 2 278.764 3.757 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2CC[C@H]2c2ccccc2)cc1F ZINC000471169452 1117910816 /nfs/dbraw/zinc/91/08/16/1117910816.db2.gz OGHMISDNIDKSRO-KRWDZBQOSA-N 1 2 298.361 3.520 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2CC[C@H]2c2ccccc2)cc1F ZINC000471169452 1117910820 /nfs/dbraw/zinc/91/08/20/1117910820.db2.gz OGHMISDNIDKSRO-KRWDZBQOSA-N 1 2 298.361 3.520 20 0 CHADLO Cc1nnc(C[NH2+][C@H](CC(C)C)c2ccc(Cl)cc2)o1 ZINC000179721503 1117911561 /nfs/dbraw/zinc/91/15/61/1117911561.db2.gz FOKSKUWAYLPNFP-CQSZACIVSA-N 1 2 293.798 3.908 20 0 CHADLO COc1ccc2cc(CNc3cc[nH+]cc3F)ccc2c1 ZINC000180190175 1117924571 /nfs/dbraw/zinc/92/45/71/1117924571.db2.gz VTXGRVFPPACRFF-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)c(C)c1 ZINC000237592741 1128744882 /nfs/dbraw/zinc/74/48/82/1128744882.db2.gz MRYONMJESGQEHK-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO Oc1cccc(C[NH2+]C2(c3c(F)cccc3F)CCC2)c1 ZINC000623843332 1117944177 /nfs/dbraw/zinc/94/41/77/1117944177.db2.gz IROYXETXIPHWSZ-UHFFFAOYSA-N 1 2 289.325 3.839 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nccc(C)n2)C(C)C)s1 ZINC000339645748 1117948028 /nfs/dbraw/zinc/94/80/28/1117948028.db2.gz LGZZYKIDYHAMGP-UHFFFAOYSA-N 1 2 275.421 3.566 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nccc(C)n2)C(C)C)s1 ZINC000339645748 1117948030 /nfs/dbraw/zinc/94/80/30/1117948030.db2.gz LGZZYKIDYHAMGP-UHFFFAOYSA-N 1 2 275.421 3.566 20 0 CHADLO Cc1ccnc(C[NH2+]C2(c3ccc(Cl)cc3)CCC2)n1 ZINC000339661559 1117948672 /nfs/dbraw/zinc/94/86/72/1117948672.db2.gz PAFNLMLRNVKOMW-UHFFFAOYSA-N 1 2 287.794 3.607 20 0 CHADLO Cc1ccnc(C[N@H+]2CC[C@H](C)[C@@H]2c2cccc(F)c2)n1 ZINC000339657816 1117949000 /nfs/dbraw/zinc/94/90/00/1117949000.db2.gz DULCUVSHTKWKIU-YVEFUNNKSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC[C@H](C)[C@@H]2c2cccc(F)c2)n1 ZINC000339657816 1117949002 /nfs/dbraw/zinc/94/90/02/1117949002.db2.gz DULCUVSHTKWKIU-YVEFUNNKSA-N 1 2 285.366 3.507 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2nccc(C)n2)o1 ZINC000339662489 1117949458 /nfs/dbraw/zinc/94/94/58/1117949458.db2.gz JTHNFCWVCVIESB-CZUORRHYSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2nccc(C)n2)o1 ZINC000339662489 1117949461 /nfs/dbraw/zinc/94/94/61/1117949461.db2.gz JTHNFCWVCVIESB-CZUORRHYSA-N 1 2 299.418 3.914 20 0 CHADLO c1nc2c(s1)CCC[C@@H]2[NH2+][C@@H]1CSc2ccccc21 ZINC000623895477 1117950166 /nfs/dbraw/zinc/95/01/66/1117950166.db2.gz ROGPNBVWOVTGKA-NWDGAFQWSA-N 1 2 288.441 3.957 20 0 CHADLO Cc1ccnc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)n1 ZINC000339660130 1117950557 /nfs/dbraw/zinc/95/05/57/1117950557.db2.gz SLXCXCXKWOJULG-MLGOLLRUSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)n1 ZINC000339660130 1117950559 /nfs/dbraw/zinc/95/05/59/1117950559.db2.gz SLXCXCXKWOJULG-MLGOLLRUSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H]2COc3ccc(C)cc32)n1 ZINC000623920552 1117956408 /nfs/dbraw/zinc/95/64/08/1117956408.db2.gz QBNAHBMACWPBGY-YPMHNXCESA-N 1 2 274.389 3.544 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCc3scnc31)CO2 ZINC000623920293 1117956595 /nfs/dbraw/zinc/95/65/95/1117956595.db2.gz HMRCJDLATCREFC-OLZOCXBDSA-N 1 2 286.400 3.552 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2nc3ccccc3[nH]2)n1 ZINC000127013159 1117969118 /nfs/dbraw/zinc/96/91/18/1117969118.db2.gz FTAKETPOHQMDTH-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2nc3ccccc3[nH]2)n1 ZINC000127013159 1117969122 /nfs/dbraw/zinc/96/91/22/1117969122.db2.gz FTAKETPOHQMDTH-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3c(F)cccc3C2)cc1F ZINC000339778671 1117975577 /nfs/dbraw/zinc/97/55/77/1117975577.db2.gz FONSNNYJELKZIR-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3c(F)cccc3C2)cc1F ZINC000339778671 1117975580 /nfs/dbraw/zinc/97/55/80/1117975580.db2.gz FONSNNYJELKZIR-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[C@]1(CO)CCC[C@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000579387877 1128747691 /nfs/dbraw/zinc/74/76/91/1128747691.db2.gz PSWLLEYSAMVSDZ-GDBMZVCRSA-N 1 2 290.794 3.851 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000624083994 1117980377 /nfs/dbraw/zinc/98/03/77/1117980377.db2.gz LNOSTAOZICCVFA-HZPDHXFCSA-N 1 2 296.414 3.878 20 0 CHADLO Clc1ccc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)cc1 ZINC000510146118 1117989376 /nfs/dbraw/zinc/98/93/76/1117989376.db2.gz VNGUZMSGKPBGFJ-HNNXBMFYSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)cc1 ZINC000510146118 1117989382 /nfs/dbraw/zinc/98/93/82/1117989382.db2.gz VNGUZMSGKPBGFJ-HNNXBMFYSA-N 1 2 275.783 3.790 20 0 CHADLO CCc1nc(C)c([C@H](C)[NH2+]CC(F)(F)CC)s1 ZINC000624148099 1117989479 /nfs/dbraw/zinc/98/94/79/1117989479.db2.gz VJKRZIDBJPVSLH-QMMMGPOBSA-N 1 2 262.369 3.710 20 0 CHADLO CCOc1cccc2cc([C@H](C)[NH2+]Cc3ccon3)oc21 ZINC000181853117 1118008774 /nfs/dbraw/zinc/00/87/74/1118008774.db2.gz MNVWWVYWYRXJBV-NSHDSACASA-N 1 2 286.331 3.670 20 0 CHADLO CC1(C)CC[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000182370946 1118020383 /nfs/dbraw/zinc/02/03/83/1118020383.db2.gz DKWPGGVQZPHBDF-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CC1(C)CC[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000182370946 1118020388 /nfs/dbraw/zinc/02/03/88/1118020388.db2.gz DKWPGGVQZPHBDF-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CC[C@H]([NH2+]Cc1nc2ccccc2o1)c1c(C)noc1C ZINC000182453940 1118022407 /nfs/dbraw/zinc/02/24/07/1118022407.db2.gz PCGSOOBTXSHTHE-LBPRGKRZSA-N 1 2 285.347 3.674 20 0 CHADLO C[C@H]1CC[N@H+](CCc2ccccc2C(F)(F)F)CCC1=O ZINC001209276783 1118023070 /nfs/dbraw/zinc/02/30/70/1118023070.db2.gz DYFDLFBXUBXMOD-LBPRGKRZSA-N 1 2 299.336 3.549 20 0 CHADLO C[C@H]1CC[N@@H+](CCc2ccccc2C(F)(F)F)CCC1=O ZINC001209276783 1118023075 /nfs/dbraw/zinc/02/30/75/1118023075.db2.gz DYFDLFBXUBXMOD-LBPRGKRZSA-N 1 2 299.336 3.549 20 0 CHADLO c1cn(CCCN(CC2CCC2)c2ccccc2)c[nH+]1 ZINC000183192911 1118040091 /nfs/dbraw/zinc/04/00/91/1118040091.db2.gz HTUHNRSLTWXDRM-UHFFFAOYSA-N 1 2 269.392 3.580 20 0 CHADLO CC[C@@H](c1ccccc1)N(C)c1nc(C)[nH+]c2c1CCC2 ZINC001167127325 1118042621 /nfs/dbraw/zinc/04/26/21/1118042621.db2.gz BHUPXQHXGPRDOP-KRWDZBQOSA-N 1 2 281.403 3.861 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183674650 1118047128 /nfs/dbraw/zinc/04/71/28/1118047128.db2.gz UVBPANJIKOFRIZ-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183674650 1118047134 /nfs/dbraw/zinc/04/71/34/1118047134.db2.gz UVBPANJIKOFRIZ-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO CCC[C@@H]1CCCCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000183896651 1118049820 /nfs/dbraw/zinc/04/98/20/1118049820.db2.gz HKLZOPXLNLYSGZ-MRXNPFEDSA-N 1 2 297.402 3.667 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2cccc(Cl)n2)CC1 ZINC000179648338 1128752869 /nfs/dbraw/zinc/75/28/69/1128752869.db2.gz PKTXTUPOOXRSJI-UHFFFAOYSA-N 1 2 276.742 3.653 20 0 CHADLO CCOc1cc(CNc2cc(C)c[nH+]c2C)ccc1OC ZINC000404550298 1118075646 /nfs/dbraw/zinc/07/56/46/1118075646.db2.gz WJXSSRONIYNJJG-UHFFFAOYSA-N 1 2 286.375 3.718 20 0 CHADLO COc1ccc(C)cc1CNc1cc(C)c[nH+]c1C ZINC000404690521 1118082677 /nfs/dbraw/zinc/08/26/77/1118082677.db2.gz ITHJJQROHNEFRO-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO CC=C(C=CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)CC ZINC000764413802 1118090997 /nfs/dbraw/zinc/09/09/97/1118090997.db2.gz LMHYYUJHFPELJB-IXOOULEFSA-N 1 2 269.348 3.722 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(C)c(C)cc1C ZINC001209831989 1118096196 /nfs/dbraw/zinc/09/61/96/1118096196.db2.gz WQURZAFTTTWVPO-UHFFFAOYSA-N 1 2 265.360 3.841 20 0 CHADLO C[N@H+](CCSC(C)(C)C)Cc1c(F)cccc1F ZINC000815169439 1118125239 /nfs/dbraw/zinc/12/52/39/1118125239.db2.gz AAIRGGUIRRRNAZ-UHFFFAOYSA-N 1 2 273.392 3.928 20 0 CHADLO C[N@@H+](CCSC(C)(C)C)Cc1c(F)cccc1F ZINC000815169439 1118125241 /nfs/dbraw/zinc/12/52/41/1118125241.db2.gz AAIRGGUIRRRNAZ-UHFFFAOYSA-N 1 2 273.392 3.928 20 0 CHADLO COc1cccc(C[N@@H+]2CCc3c(F)ccc(F)c3C2)c1 ZINC000340197453 1118109627 /nfs/dbraw/zinc/10/96/27/1118109627.db2.gz VCDGVTYJYREDSQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc(C[N@H+]2CCc3c(F)ccc(F)c3C2)c1 ZINC000340197453 1118109631 /nfs/dbraw/zinc/10/96/31/1118109631.db2.gz VCDGVTYJYREDSQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCC[N@H+](Cc1cncc(F)c1)Cc1ccc(F)cc1F ZINC001167237580 1118113521 /nfs/dbraw/zinc/11/35/21/1118113521.db2.gz LGLAGEGOSRAXRJ-UHFFFAOYSA-N 1 2 294.320 3.911 20 0 CHADLO CCC[N@@H+](Cc1cncc(F)c1)Cc1ccc(F)cc1F ZINC001167237580 1118113522 /nfs/dbraw/zinc/11/35/22/1118113522.db2.gz LGLAGEGOSRAXRJ-UHFFFAOYSA-N 1 2 294.320 3.911 20 0 CHADLO CNc1ccc(Nc2ccc(OC)c(C(F)(F)F)c2)c[nH+]1 ZINC001203456488 1118142084 /nfs/dbraw/zinc/14/20/84/1118142084.db2.gz GBYPHXFUGIYIAK-UHFFFAOYSA-N 1 2 297.280 3.894 20 0 CHADLO Cc1cc(Nc2ccnc(Cl)c2)ccc1[NH+](C)C ZINC001210040485 1118142205 /nfs/dbraw/zinc/14/22/05/1118142205.db2.gz ZLLFLBSILYSVTD-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO COC(=O)c1cccc(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210040679 1118142240 /nfs/dbraw/zinc/14/22/40/1118142240.db2.gz AAHMEHYHNFGOEU-UHFFFAOYSA-N 1 2 284.359 3.591 20 0 CHADLO CNc1ccc(Nc2ccc(-c3cnco3)cc2)c[nH+]1 ZINC001203456728 1118143656 /nfs/dbraw/zinc/14/36/56/1118143656.db2.gz SXLLJXOOAYATPI-UHFFFAOYSA-N 1 2 266.304 3.522 20 0 CHADLO Cc1cc(N[C@@H]2CCc3cc(N)ccc32)nc(C(C)C)[nH+]1 ZINC000094093329 1118151906 /nfs/dbraw/zinc/15/19/06/1118151906.db2.gz OZOZCOAPOOJQTJ-OAHLLOKOSA-N 1 2 282.391 3.590 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(F)cc1F)c1cccnc1Cl ZINC000765465697 1118154250 /nfs/dbraw/zinc/15/42/50/1118154250.db2.gz QTYVNVXPQNNRPH-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO Cc1cc(C)cc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000023889623 1118180437 /nfs/dbraw/zinc/18/04/37/1118180437.db2.gz YWLOHQFUBUDXJD-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO c1nc(C2CCC2)sc1C[N@H+](Cc1ccccn1)C1CC1 ZINC000349235932 1118186353 /nfs/dbraw/zinc/18/63/53/1118186353.db2.gz CUMDAYZUCPWOGA-UHFFFAOYSA-N 1 2 299.443 3.970 20 0 CHADLO c1nc(C2CCC2)sc1C[N@@H+](Cc1ccccn1)C1CC1 ZINC000349235932 1118186356 /nfs/dbraw/zinc/18/63/56/1118186356.db2.gz CUMDAYZUCPWOGA-UHFFFAOYSA-N 1 2 299.443 3.970 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)[N@H+](C)C/C(Cl)=C\Cl ZINC000255081459 1118190333 /nfs/dbraw/zinc/19/03/33/1118190333.db2.gz QVDIZENZTUYOLE-MJWAUXSNSA-N 1 2 274.191 3.574 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)[N@@H+](C)C/C(Cl)=C\Cl ZINC000255081459 1118190334 /nfs/dbraw/zinc/19/03/34/1118190334.db2.gz QVDIZENZTUYOLE-MJWAUXSNSA-N 1 2 274.191 3.574 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(SC(F)F)c1 ZINC000690206892 1118196773 /nfs/dbraw/zinc/19/67/73/1118196773.db2.gz BKTMONPEDVXFKK-UHFFFAOYSA-N 1 2 283.347 3.830 20 0 CHADLO CNc1ccc(Nc2ccc(-c3ccncc3)cc2)c[nH+]1 ZINC001203457791 1118197738 /nfs/dbraw/zinc/19/77/38/1118197738.db2.gz AAJFZMSHLKECTB-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+]Cc1cc2n(n1)CCCC2 ZINC000655777485 1118209225 /nfs/dbraw/zinc/20/92/25/1118209225.db2.gz VOHXVXIPSNIOGO-UHFFFAOYSA-N 1 2 299.393 3.526 20 0 CHADLO CNc1ccc(Nc2cccc(-c3ccccn3)c2)c[nH+]1 ZINC001203457960 1118214602 /nfs/dbraw/zinc/21/46/02/1118214602.db2.gz FHADZBUCOLMHJB-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1nc(C)c(C)s1 ZINC000088593690 1118219342 /nfs/dbraw/zinc/21/93/42/1118219342.db2.gz VVJZSHPBYXEQHH-UHFFFAOYSA-N 1 2 266.332 3.534 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1nc(C)c(C)s1 ZINC000088593690 1118219344 /nfs/dbraw/zinc/21/93/44/1118219344.db2.gz VVJZSHPBYXEQHH-UHFFFAOYSA-N 1 2 266.332 3.534 20 0 CHADLO CNc1ccc(Nc2cccc3cccc(N)c32)c[nH+]1 ZINC001203458238 1118220180 /nfs/dbraw/zinc/22/01/80/1118220180.db2.gz HGQCWHZLYOMRTR-UHFFFAOYSA-N 1 2 264.332 3.602 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)co2)cc1 ZINC000921623864 1118234123 /nfs/dbraw/zinc/23/41/23/1118234123.db2.gz WGZZFSIDVKKAHS-LBPRGKRZSA-N 1 2 288.391 3.832 20 0 CHADLO Cc1cccc2c1N(C(=O)C[C@@H](C)n1cc[nH+]c1)CC[C@@H]2C ZINC000579957568 1118235769 /nfs/dbraw/zinc/23/57/69/1118235769.db2.gz DPPPWBPCNZYTNQ-DZGCQCFKSA-N 1 2 297.402 3.683 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnc(C)c(N)c3)ccc12 ZINC001210289719 1118237373 /nfs/dbraw/zinc/23/73/73/1118237373.db2.gz XVFPSQDDMNCBSE-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]C/C(Cl)=C/Cl ZINC000179920683 1128765286 /nfs/dbraw/zinc/76/52/86/1128765286.db2.gz AWWBKUMFIKUKGN-HWPCKVLBSA-N 1 2 278.154 3.804 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1cccc(Cl)n1 ZINC000179906266 1128765708 /nfs/dbraw/zinc/76/57/08/1128765708.db2.gz ZWQIYXYSZAXIGI-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@@H](C)c1c(F)cccc1OC ZINC000340443554 1118255103 /nfs/dbraw/zinc/25/51/03/1118255103.db2.gz VYEMDVVDTGTWEV-JTQLQIEISA-N 1 2 292.354 3.544 20 0 CHADLO CCc1cc(N2Cc3ccc(Cl)cc3C2)nc(CC)[nH+]1 ZINC001651135758 1118258775 /nfs/dbraw/zinc/25/87/75/1118258775.db2.gz AAQIYHUTHRXBNK-UHFFFAOYSA-N 1 2 287.794 3.775 20 0 CHADLO CO[C@@H]1CCC[C@H](Nc2ccc([NH+]3CCCC3)cc2)C1 ZINC000070327852 1118266225 /nfs/dbraw/zinc/26/62/25/1118266225.db2.gz XZWIKKYBJHUWBO-DOTOQJQBSA-N 1 2 274.408 3.656 20 0 CHADLO CO[C@@H]1CCC[C@H]([NH2+]c2ccc(N3CCCC3)cc2)C1 ZINC000070327852 1118266229 /nfs/dbraw/zinc/26/62/29/1118266229.db2.gz XZWIKKYBJHUWBO-DOTOQJQBSA-N 1 2 274.408 3.656 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(C)c(OC)c2)cs1 ZINC000683697630 1118292485 /nfs/dbraw/zinc/29/24/85/1118292485.db2.gz NVZXGWMMNRCASX-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(C)c(OC)c2)cs1 ZINC000683697630 1118292491 /nfs/dbraw/zinc/29/24/91/1118292491.db2.gz NVZXGWMMNRCASX-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nnc(C(C)C)o2)C(C)C)s1 ZINC000060372822 1118298821 /nfs/dbraw/zinc/29/88/21/1118298821.db2.gz LEZJGRNQXMVPCX-UHFFFAOYSA-N 1 2 293.436 3.974 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nnc(C(C)C)o2)C(C)C)s1 ZINC000060372822 1118298826 /nfs/dbraw/zinc/29/88/26/1118298826.db2.gz LEZJGRNQXMVPCX-UHFFFAOYSA-N 1 2 293.436 3.974 20 0 CHADLO C[C@H]1CCCC[C@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000022152807 1118304935 /nfs/dbraw/zinc/30/49/35/1118304935.db2.gz YDYCNKMVKHTIJO-WMLDXEAASA-N 1 2 274.408 3.514 20 0 CHADLO Cc1csc(C[NH2+][C@H](C)c2cc(C)c(C)o2)n1 ZINC000282240142 1118307203 /nfs/dbraw/zinc/30/72/03/1118307203.db2.gz PWXVVKDWLAKHKF-SNVBAGLBSA-N 1 2 250.367 3.512 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OC(F)F)c1nccs1 ZINC000080268398 1118312620 /nfs/dbraw/zinc/31/26/20/1118312620.db2.gz MHUCKJSGRBJNHM-SECBINFHSA-N 1 2 284.331 3.595 20 0 CHADLO Cc1ccc(SCC[N@@H+](C)Cc2cscn2)cc1C ZINC000063591863 1118318486 /nfs/dbraw/zinc/31/84/86/1118318486.db2.gz FSDJJEDRTSAEPO-UHFFFAOYSA-N 1 2 292.473 3.984 20 0 CHADLO Cc1ccc(SCC[N@H+](C)Cc2cscn2)cc1C ZINC000063591863 1118318489 /nfs/dbraw/zinc/31/84/89/1118318489.db2.gz FSDJJEDRTSAEPO-UHFFFAOYSA-N 1 2 292.473 3.984 20 0 CHADLO COc1ccc(NCc2c[nH+]c(C)n2-c2ccccc2)cc1 ZINC000081292503 1118320267 /nfs/dbraw/zinc/32/02/67/1118320267.db2.gz ZDSMKLSFJNXOSW-UHFFFAOYSA-N 1 2 293.370 3.801 20 0 CHADLO Cc1cc(NC2CC(=O)C2)[nH+]c2c1cccc2C(C)C ZINC001167339276 1118327321 /nfs/dbraw/zinc/32/73/21/1118327321.db2.gz YCMBWLULXLIEKI-UHFFFAOYSA-N 1 2 268.360 3.810 20 0 CHADLO CC(C)[N@H+](Cc1cccnc1)Cc1cc(F)c(F)cc1F ZINC000349274552 1118332392 /nfs/dbraw/zinc/33/23/92/1118332392.db2.gz GLPMZPRXPGAVST-UHFFFAOYSA-N 1 2 294.320 3.910 20 0 CHADLO CC(C)[N@@H+](Cc1cccnc1)Cc1cc(F)c(F)cc1F ZINC000349274552 1118332394 /nfs/dbraw/zinc/33/23/94/1118332394.db2.gz GLPMZPRXPGAVST-UHFFFAOYSA-N 1 2 294.320 3.910 20 0 CHADLO CCCc1nc(C[N@H+](C2CC2)C2CCC(CC)CC2)no1 ZINC000065588762 1118335651 /nfs/dbraw/zinc/33/56/51/1118335651.db2.gz LXDOPZSRKZVHOG-UHFFFAOYSA-N 1 2 291.439 3.955 20 0 CHADLO CCCc1nc(C[N@@H+](C2CC2)C2CCC(CC)CC2)no1 ZINC000065588762 1118335656 /nfs/dbraw/zinc/33/56/56/1118335656.db2.gz LXDOPZSRKZVHOG-UHFFFAOYSA-N 1 2 291.439 3.955 20 0 CHADLO c1ccc([C@@H]2CCCN(c3cccc[nH+]3)CC2)cc1 ZINC000066818540 1118348117 /nfs/dbraw/zinc/34/81/17/1118348117.db2.gz BNJARECPGFXFJU-MRXNPFEDSA-N 1 2 252.361 3.856 20 0 CHADLO CCCCc1nc(C[N@H+](C)C[C@H](C)c2ccccc2)no1 ZINC000066853342 1118348735 /nfs/dbraw/zinc/34/87/35/1118348735.db2.gz XEFMTMYCIDGHFD-AWEZNQCLSA-N 1 2 287.407 3.648 20 0 CHADLO CCCCc1nc(C[N@@H+](C)C[C@H](C)c2ccccc2)no1 ZINC000066853342 1118348740 /nfs/dbraw/zinc/34/87/40/1118348740.db2.gz XEFMTMYCIDGHFD-AWEZNQCLSA-N 1 2 287.407 3.648 20 0 CHADLO Cc1nc(C2([NH2+]Cc3ccc(C)c(C)c3)CCCCC2)no1 ZINC000067695232 1118354290 /nfs/dbraw/zinc/35/42/90/1118354290.db2.gz HYDUREXPWOFSTP-UHFFFAOYSA-N 1 2 299.418 3.944 20 0 CHADLO Cc1occc1C[NH2+]Cc1csc(-c2ccco2)n1 ZINC000067975368 1118357719 /nfs/dbraw/zinc/35/77/19/1118357719.db2.gz PPCQVWTVLUXNML-UHFFFAOYSA-N 1 2 274.345 3.594 20 0 CHADLO CC(C)(C)OCC[N@@H+]1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000068322634 1118360700 /nfs/dbraw/zinc/36/07/00/1118360700.db2.gz MBEHOQWUCBLODE-HNNXBMFYSA-N 1 2 297.826 3.528 20 0 CHADLO CC(C)(C)OCC[N@H+]1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000068322634 1118360701 /nfs/dbraw/zinc/36/07/01/1118360701.db2.gz MBEHOQWUCBLODE-HNNXBMFYSA-N 1 2 297.826 3.528 20 0 CHADLO c1cn2ccc(Nc3cnc4sccc4c3)cc2[nH+]1 ZINC001210692745 1118367193 /nfs/dbraw/zinc/36/71/93/1118367193.db2.gz KOQMRJGRFGYBEF-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO COC1CCC(Nc2cc(C)c(C(F)(F)F)c[nH+]2)CC1 ZINC001168603845 1118369589 /nfs/dbraw/zinc/36/95/89/1118369589.db2.gz SRQQKLQHKLJGIU-UHFFFAOYSA-N 1 2 288.313 3.778 20 0 CHADLO COC1CCC(Nc2cc3ccc(C)cc3c[nH+]2)CC1 ZINC001168603885 1118369813 /nfs/dbraw/zinc/36/98/13/1118369813.db2.gz UJINCAFFHBEPEZ-UHFFFAOYSA-N 1 2 270.376 3.913 20 0 CHADLO Fc1ccc2oc(Nc3cccn4cc[nH+]c34)cc2c1 ZINC001210726586 1118369937 /nfs/dbraw/zinc/36/99/37/1118369937.db2.gz PAIPUHLQHJGZIV-UHFFFAOYSA-N 1 2 267.263 3.963 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2csc(C)n2)cc1 ZINC000072563063 1118374993 /nfs/dbraw/zinc/37/49/93/1118374993.db2.gz KZGOXJJFNHTVTM-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2csc(C)n2)cc1 ZINC000072563063 1118374998 /nfs/dbraw/zinc/37/49/98/1118374998.db2.gz KZGOXJJFNHTVTM-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCC(C)(C)CC(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000073046429 1118378820 /nfs/dbraw/zinc/37/88/20/1118378820.db2.gz BRCMXNKBSQXVDO-UHFFFAOYSA-N 1 2 289.354 3.776 20 0 CHADLO COc1cc(Nc2ccc(N)cc2C(F)(F)F)cc(C)[nH+]1 ZINC001210780286 1118384879 /nfs/dbraw/zinc/38/48/79/1118384879.db2.gz NUAZAXPJWHQXPQ-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)[NH2+]Cc1nccs1 ZINC000110908273 1118386940 /nfs/dbraw/zinc/38/69/40/1118386940.db2.gz NQKYGIOXWIBOPM-GFCCVEGCSA-N 1 2 276.405 3.781 20 0 CHADLO CC1(C[N@@H+]2CCO[C@@H](c3ccccc3Cl)C2)CC1 ZINC000683829451 1118396459 /nfs/dbraw/zinc/39/64/59/1118396459.db2.gz VBPYELCFCHUUNA-CQSZACIVSA-N 1 2 265.784 3.513 20 0 CHADLO CC1(C[N@H+]2CCO[C@@H](c3ccccc3Cl)C2)CC1 ZINC000683829451 1118396462 /nfs/dbraw/zinc/39/64/62/1118396462.db2.gz VBPYELCFCHUUNA-CQSZACIVSA-N 1 2 265.784 3.513 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+]Cc2cc(C(C)(C)C)on2)cn1 ZINC000580260973 1118411095 /nfs/dbraw/zinc/41/10/95/1118411095.db2.gz MUMAOKAGUHCOMA-LBPRGKRZSA-N 1 2 290.411 3.600 20 0 CHADLO CC(C)c1cccc(Nc2cc(F)c(N)c(F)c2)[nH+]1 ZINC001210908085 1118416984 /nfs/dbraw/zinc/41/69/84/1118416984.db2.gz HEKRZQCUGDLIPG-UHFFFAOYSA-N 1 2 263.291 3.809 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccc(C2CC2)cc1 ZINC000397965668 1118424112 /nfs/dbraw/zinc/42/41/12/1118424112.db2.gz RGDGUUZERVEAJK-AWEZNQCLSA-N 1 2 251.320 3.841 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C)cn1)c1cccc(Cl)c1Cl ZINC000683900235 1118434348 /nfs/dbraw/zinc/43/43/48/1118434348.db2.gz RRTOUGOCMXLWNL-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO Cc1ccc(Nc2cc(OC(F)(F)F)ccc2N)[nH+]c1 ZINC001211012215 1118439214 /nfs/dbraw/zinc/43/92/14/1118439214.db2.gz BKZBYKVYOYZWKO-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1nnc(-c2ccccc2)o1 ZINC000053427888 1118460204 /nfs/dbraw/zinc/46/02/04/1118460204.db2.gz AYDOZCMTCMPRCO-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1nnc(-c2ccccc2)o1 ZINC000053427888 1118460206 /nfs/dbraw/zinc/46/02/06/1118460206.db2.gz AYDOZCMTCMPRCO-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C=C(Cl)C[N@H+]1CCC[C@H]1c1ccc(OC)cc1OC ZINC000050274320 1118471783 /nfs/dbraw/zinc/47/17/83/1118471783.db2.gz INMGNQOJENIYKK-AWEZNQCLSA-N 1 2 281.783 3.593 20 0 CHADLO C=C(Cl)C[N@@H+]1CCC[C@H]1c1ccc(OC)cc1OC ZINC000050274320 1118471784 /nfs/dbraw/zinc/47/17/84/1118471784.db2.gz INMGNQOJENIYKK-AWEZNQCLSA-N 1 2 281.783 3.593 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1ccncc1O ZINC001140276603 1118477399 /nfs/dbraw/zinc/47/73/99/1118477399.db2.gz HLQUFBUCGTWWTE-RDJZCZTQSA-N 1 2 292.423 3.550 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1ccncc1O ZINC001140276603 1118477401 /nfs/dbraw/zinc/47/74/01/1118477401.db2.gz HLQUFBUCGTWWTE-RDJZCZTQSA-N 1 2 292.423 3.550 20 0 CHADLO CC(C)n1ncnc1C[N@@H+]1CCC[C@@H]1/C=C\c1ccccc1 ZINC000683995922 1118481888 /nfs/dbraw/zinc/48/18/88/1118481888.db2.gz UEMGMCMXTXCYGO-QXPKXGMISA-N 1 2 296.418 3.537 20 0 CHADLO CC(C)n1ncnc1C[N@H+]1CCC[C@@H]1/C=C\c1ccccc1 ZINC000683995922 1118481890 /nfs/dbraw/zinc/48/18/90/1118481890.db2.gz UEMGMCMXTXCYGO-QXPKXGMISA-N 1 2 296.418 3.537 20 0 CHADLO c1csc([C@@H]2C[N@H+](Cc3cc4ccccc4[nH]3)CCO2)c1 ZINC000684019995 1118489330 /nfs/dbraw/zinc/48/93/30/1118489330.db2.gz CPQRJYDTGXAYNS-INIZCTEOSA-N 1 2 298.411 3.803 20 0 CHADLO c1csc([C@@H]2C[N@@H+](Cc3cc4ccccc4[nH]3)CCO2)c1 ZINC000684019995 1118489333 /nfs/dbraw/zinc/48/93/33/1118489333.db2.gz CPQRJYDTGXAYNS-INIZCTEOSA-N 1 2 298.411 3.803 20 0 CHADLO Clc1cccc(Cl)c1C[NH+]1CCSCC1 ZINC000047987205 1118491899 /nfs/dbraw/zinc/49/18/99/1118491899.db2.gz FLUIWHGKEXCIIO-UHFFFAOYSA-N 1 2 262.205 3.542 20 0 CHADLO Cc1cc2c(cccc2Nc2c[nH+]c(C)cc2C)nn1 ZINC001213515813 1118512783 /nfs/dbraw/zinc/51/27/83/1118512783.db2.gz MRFMPFQCPSHDAS-UHFFFAOYSA-N 1 2 264.332 3.694 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213518367 1118515936 /nfs/dbraw/zinc/51/59/36/1118515936.db2.gz KHLNDIDHIONYPG-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO Cc1c[nH+]cc(Nc2ncc(Br)cc2C)c1C ZINC001213522931 1118525565 /nfs/dbraw/zinc/52/55/65/1118525565.db2.gz JVEUYOUZHRZSAO-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]cc(Nc2nnc(C(C)(C)C)s2)c1C ZINC001213523736 1118526711 /nfs/dbraw/zinc/52/67/11/1118526711.db2.gz ZUFHZHCDHZWIOW-UHFFFAOYSA-N 1 2 262.382 3.591 20 0 CHADLO COc1cc(F)c(F)cc1C[N@@H+]1CCCC[C@@](C)(F)C1 ZINC001143387411 1118534674 /nfs/dbraw/zinc/53/46/74/1118534674.db2.gz HDNHDPXZOUDRSV-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO COc1cc(F)c(F)cc1C[N@H+]1CCCC[C@@](C)(F)C1 ZINC001143387411 1118534677 /nfs/dbraw/zinc/53/46/77/1118534677.db2.gz HDNHDPXZOUDRSV-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3[nH]c(=O)sc3c2)c1C ZINC001213530810 1118545893 /nfs/dbraw/zinc/54/58/93/1118545893.db2.gz MKQLZIGSOJXGTD-UHFFFAOYSA-N 1 2 271.345 3.757 20 0 CHADLO Cc1ccc(C[C@H](C)[N@@H+](C)Cc2noc(C(C)C)n2)cc1 ZINC000156877232 1118546831 /nfs/dbraw/zinc/54/68/31/1118546831.db2.gz SNGGCFGECYUQAG-AWEZNQCLSA-N 1 2 287.407 3.564 20 0 CHADLO Cc1ccc(C[C@H](C)[N@H+](C)Cc2noc(C(C)C)n2)cc1 ZINC000156877232 1118546833 /nfs/dbraw/zinc/54/68/33/1118546833.db2.gz SNGGCFGECYUQAG-AWEZNQCLSA-N 1 2 287.407 3.564 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)OC(C)(C)C(=O)N3)c1C ZINC001213531237 1118548192 /nfs/dbraw/zinc/54/81/92/1118548192.db2.gz XIBHLUVOIVEFPE-UHFFFAOYSA-N 1 2 297.358 3.552 20 0 CHADLO O=C(/C=C\c1ccccc1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000255284187 1118551979 /nfs/dbraw/zinc/55/19/79/1118551979.db2.gz PFFRNSOOQVIUFB-WDZFZDKYSA-N 1 2 289.338 3.729 20 0 CHADLO CC[C@H]1C[NH+](Cc2ccc(C#N)cc2Cl)C[C@H](CC)O1 ZINC000398514836 1118570385 /nfs/dbraw/zinc/57/03/85/1118570385.db2.gz JWMIXDAEBROHCI-GJZGRUSLSA-N 1 2 292.810 3.601 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2ncc(C3CC3)o2)cc1F ZINC000341010427 1118582187 /nfs/dbraw/zinc/58/21/87/1118582187.db2.gz CLTDUHPGBBSRHH-SNVBAGLBSA-N 1 2 290.338 3.551 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc2c(c1)NCC2 ZINC001213151947 1118593966 /nfs/dbraw/zinc/59/39/66/1118593966.db2.gz OYDJNRFJLZZRDA-UHFFFAOYSA-N 1 2 253.349 3.917 20 0 CHADLO CCc1nc(C[NH2+][C@H](CC)c2cc(C)ccc2OC)co1 ZINC000834898153 1118615991 /nfs/dbraw/zinc/61/59/91/1118615991.db2.gz JLOLCNPQBGNPOW-OAHLLOKOSA-N 1 2 288.391 3.795 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C2CCCC2)no1)c1ccccc1 ZINC000341213727 1118624680 /nfs/dbraw/zinc/62/46/80/1118624680.db2.gz RQDSLOCMWPOJOR-HNNXBMFYSA-N 1 2 285.391 3.968 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccc(C)n1)c1ccc(Cl)cc1 ZINC000341220742 1118626666 /nfs/dbraw/zinc/62/66/66/1118626666.db2.gz ROCVIUOATWDWIV-CQSZACIVSA-N 1 2 275.783 3.679 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(Cl)c3n[nH]cc32)c(C)c1 ZINC001215941094 1118646703 /nfs/dbraw/zinc/64/67/03/1118646703.db2.gz IPOGIGOAWWYZOL-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO COCc1ccc(C)c(Nc2cccn3cc[nH+]c23)c1 ZINC001213570568 1118651828 /nfs/dbraw/zinc/65/18/28/1118651828.db2.gz CWYQRYOYFRWRQX-UHFFFAOYSA-N 1 2 267.332 3.533 20 0 CHADLO C[C@H](Nc1ccc(-n2cccc2)c[nH+]1)c1ccc(F)cn1 ZINC001167476500 1118652944 /nfs/dbraw/zinc/65/29/44/1118652944.db2.gz WIOZOTUMMNAUIH-LBPRGKRZSA-N 1 2 282.322 3.580 20 0 CHADLO Cn1cc(CNc2c[nH+]cc3c2CCCC3)c(C(C)(C)C)n1 ZINC000656776103 1118655217 /nfs/dbraw/zinc/65/52/17/1118655217.db2.gz GNFXKEPNIHLJQU-UHFFFAOYSA-N 1 2 298.434 3.604 20 0 CHADLO CC(C)n1ccnc1CNc1ccc(C2CCC2)c[nH+]1 ZINC000656776632 1118655296 /nfs/dbraw/zinc/65/52/96/1118655296.db2.gz MDWJYBOMSARYJR-UHFFFAOYSA-N 1 2 270.380 3.739 20 0 CHADLO c1cc(-c2ccc(CNc3c[nH+]cc4c3CCCC4)o2)n[nH]1 ZINC000656776955 1118655389 /nfs/dbraw/zinc/65/53/89/1118655389.db2.gz WARSYUOIBTUYBD-UHFFFAOYSA-N 1 2 294.358 3.556 20 0 CHADLO c1nc(C2CC2)c(CNc2c[nH+]cc3c2CCCC3)s1 ZINC000656775864 1118655411 /nfs/dbraw/zinc/65/54/11/1118655411.db2.gz DLEVVEZCGXNNIZ-UHFFFAOYSA-N 1 2 285.416 3.906 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+][C@H](C)c1cscn1 ZINC000162369060 1118668768 /nfs/dbraw/zinc/66/87/68/1118668768.db2.gz GXTUZXHQHMRSPJ-NXEZZACHSA-N 1 2 280.368 3.703 20 0 CHADLO CCc1cccc(F)c1C[NH2+]Cc1cc(C(C)C)no1 ZINC000684379701 1118668860 /nfs/dbraw/zinc/66/88/60/1118668860.db2.gz STXRLNUYSFLKAS-UHFFFAOYSA-N 1 2 276.355 3.789 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(N3CCCCCC3)c2)n1C ZINC000341364558 1118675526 /nfs/dbraw/zinc/67/55/26/1118675526.db2.gz VILCXHNJDNIQOY-UHFFFAOYSA-N 1 2 298.434 3.721 20 0 CHADLO Cc1csc(-c2cccc(NCc3[nH+]cc(C)n3C)c2)n1 ZINC000341386901 1118684267 /nfs/dbraw/zinc/68/42/67/1118684267.db2.gz HGCUQYJTRVZYKK-UHFFFAOYSA-N 1 2 298.415 3.773 20 0 CHADLO CSc1cccc(NCc2cccc3[nH+]ccn32)c1C ZINC000341391026 1118685842 /nfs/dbraw/zinc/68/58/42/1118685842.db2.gz WIMMNBVXEUPZEF-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+]C(C)(C)C(F)F ZINC000684414041 1118688052 /nfs/dbraw/zinc/68/80/52/1118688052.db2.gz QOFALCXICFNWLG-UHFFFAOYSA-N 1 2 253.292 3.875 20 0 CHADLO Cc1cc(N(Cc2cccc(F)c2)C2CC2)nc(C2CC2)[nH+]1 ZINC000341447920 1118708994 /nfs/dbraw/zinc/70/89/94/1118708994.db2.gz CFGQGGNBKMUDQM-UHFFFAOYSA-N 1 2 297.377 3.971 20 0 CHADLO Cc1cc(N(C)Cc2ccc(Cl)cc2)nc(C2CC2)[nH+]1 ZINC000341447057 1118709262 /nfs/dbraw/zinc/70/92/62/1118709262.db2.gz BDIXUNROXNBFHC-UHFFFAOYSA-N 1 2 287.794 3.952 20 0 CHADLO CCCc1ccc(CSCCn2cc[nH+]c2)cc1 ZINC000341463440 1118713096 /nfs/dbraw/zinc/71/30/96/1118713096.db2.gz VDIPKQQFEOBEBN-UHFFFAOYSA-N 1 2 260.406 3.769 20 0 CHADLO Cc1ccc(SCCNc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000341473963 1118714647 /nfs/dbraw/zinc/71/46/47/1118714647.db2.gz ZQGAWWLNPPQEOQ-UHFFFAOYSA-N 1 2 299.443 3.597 20 0 CHADLO Cc1cc(N(C)[C@@H](C)c2ccccc2F)nc(C2CC2)[nH+]1 ZINC000341502946 1118716972 /nfs/dbraw/zinc/71/69/72/1118716972.db2.gz PXYMMKDFNUKFIO-LBPRGKRZSA-N 1 2 285.366 3.999 20 0 CHADLO Cc1cc(N(C)CCCOc2ccccc2)nc(C2CC2)[nH+]1 ZINC000341504089 1118717772 /nfs/dbraw/zinc/71/77/72/1118717772.db2.gz QVEAVBDUYAPPHY-UHFFFAOYSA-N 1 2 297.402 3.568 20 0 CHADLO COCCCCNc1cc[nH+]c2c(Cl)cccc12 ZINC000341522071 1118719043 /nfs/dbraw/zinc/71/90/43/1118719043.db2.gz FYVHMYKCAKJCKE-UHFFFAOYSA-N 1 2 264.756 3.727 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1nccn1C1CC1)C1CC1 ZINC000891598174 1118719476 /nfs/dbraw/zinc/71/94/76/1118719476.db2.gz PVDAZYZJVHLCKA-UHFFFAOYSA-N 1 2 281.403 3.691 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1nccn1C1CC1)C1CC1 ZINC000891598174 1118719478 /nfs/dbraw/zinc/71/94/78/1118719478.db2.gz PVDAZYZJVHLCKA-UHFFFAOYSA-N 1 2 281.403 3.691 20 0 CHADLO CC[N@H+](Cc1nccn1C1CC1)Cc1cccc(Cl)c1 ZINC000891620602 1118724131 /nfs/dbraw/zinc/72/41/31/1118724131.db2.gz FXELNYDYWHMVQG-UHFFFAOYSA-N 1 2 289.810 3.894 20 0 CHADLO CC[N@@H+](Cc1nccn1C1CC1)Cc1cccc(Cl)c1 ZINC000891620602 1118724133 /nfs/dbraw/zinc/72/41/33/1118724133.db2.gz FXELNYDYWHMVQG-UHFFFAOYSA-N 1 2 289.810 3.894 20 0 CHADLO CC[N@H+](Cc1nccn1C1CC1)Cc1ccc(Cl)cc1 ZINC000891625229 1118724741 /nfs/dbraw/zinc/72/47/41/1118724741.db2.gz LZTDFBHZSJMMOR-UHFFFAOYSA-N 1 2 289.810 3.894 20 0 CHADLO CC[N@@H+](Cc1nccn1C1CC1)Cc1ccc(Cl)cc1 ZINC000891625229 1118724743 /nfs/dbraw/zinc/72/47/43/1118724743.db2.gz LZTDFBHZSJMMOR-UHFFFAOYSA-N 1 2 289.810 3.894 20 0 CHADLO Cc1cc(C)c(Nc2ccc(Cl)c3c[nH]nc32)c[nH+]1 ZINC001216055115 1118725654 /nfs/dbraw/zinc/72/56/54/1118725654.db2.gz AURKDVZNEIALMT-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO c1coc([C@@H]2CCC[N@H+]2Cc2nc3cccnc3s2)c1 ZINC000341572037 1118743516 /nfs/dbraw/zinc/74/35/16/1118743516.db2.gz AOTYSZLNSSYNOZ-LBPRGKRZSA-N 1 2 285.372 3.621 20 0 CHADLO c1coc([C@@H]2CCC[N@@H+]2Cc2nc3cccnc3s2)c1 ZINC000341572037 1118743517 /nfs/dbraw/zinc/74/35/17/1118743517.db2.gz AOTYSZLNSSYNOZ-LBPRGKRZSA-N 1 2 285.372 3.621 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(N3CCCC3)nc[nH+]2)cc1 ZINC000341571904 1118743697 /nfs/dbraw/zinc/74/36/97/1118743697.db2.gz IIXFZBWDRRNFSQ-CQSZACIVSA-N 1 2 282.391 3.558 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(N3CCCC3)[nH+]cn2)cc1 ZINC000341571904 1118743701 /nfs/dbraw/zinc/74/37/01/1118743701.db2.gz IIXFZBWDRRNFSQ-CQSZACIVSA-N 1 2 282.391 3.558 20 0 CHADLO c1ccc([C@@H]2CC[N@H+]2Cc2nc3cccnc3s2)cc1 ZINC000341576038 1118743732 /nfs/dbraw/zinc/74/37/32/1118743732.db2.gz OAAIFPZGEFJLME-AWEZNQCLSA-N 1 2 281.384 3.638 20 0 CHADLO c1ccc([C@@H]2CC[N@@H+]2Cc2nc3cccnc3s2)cc1 ZINC000341576038 1118743736 /nfs/dbraw/zinc/74/37/36/1118743736.db2.gz OAAIFPZGEFJLME-AWEZNQCLSA-N 1 2 281.384 3.638 20 0 CHADLO Cc1cc(C[NH2+][C@H](CC(F)(F)F)c2ccccc2)nn1C ZINC000341572672 1118743997 /nfs/dbraw/zinc/74/39/97/1118743997.db2.gz KIENWKFRBYTBNZ-CQSZACIVSA-N 1 2 297.324 3.512 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1nccn1C1CC1 ZINC000891705278 1118748164 /nfs/dbraw/zinc/74/81/64/1118748164.db2.gz PQKYWBNTGBNVEJ-CQSZACIVSA-N 1 2 287.432 3.789 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1nccn1C1CC1 ZINC000891705278 1118748167 /nfs/dbraw/zinc/74/81/67/1118748167.db2.gz PQKYWBNTGBNVEJ-CQSZACIVSA-N 1 2 287.432 3.789 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cc(O)cc(Cl)c2)on1 ZINC000891720650 1118750631 /nfs/dbraw/zinc/75/06/31/1118750631.db2.gz ZKEKRANUOGRAIL-CQSZACIVSA-N 1 2 292.766 3.679 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cc(O)cc(Cl)c2)on1 ZINC000891720650 1118750634 /nfs/dbraw/zinc/75/06/34/1118750634.db2.gz ZKEKRANUOGRAIL-CQSZACIVSA-N 1 2 292.766 3.679 20 0 CHADLO Clc1cccc2c(NC[C@H]3CCCOC3)cc[nH+]c12 ZINC000341600257 1118755187 /nfs/dbraw/zinc/75/51/87/1118755187.db2.gz UNRCTSZTVWUWQP-LLVKDONJSA-N 1 2 276.767 3.727 20 0 CHADLO C[C@H](F)CC[N@@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000510618035 1118776613 /nfs/dbraw/zinc/77/66/13/1118776613.db2.gz JVPXVXUJACXBBE-HZMBPMFUSA-N 1 2 275.289 3.583 20 0 CHADLO C[C@H](F)CC[N@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000510618035 1118776615 /nfs/dbraw/zinc/77/66/15/1118776615.db2.gz JVPXVXUJACXBBE-HZMBPMFUSA-N 1 2 275.289 3.583 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1C/C=C\c1ccncc1 ZINC000430867988 1118779991 /nfs/dbraw/zinc/77/99/91/1118779991.db2.gz MJAHFPCKDGOVCA-KEGWNNHHSA-N 1 2 296.418 3.538 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1C/C=C\c1ccncc1 ZINC000430867988 1118779994 /nfs/dbraw/zinc/77/99/94/1118779994.db2.gz MJAHFPCKDGOVCA-KEGWNNHHSA-N 1 2 296.418 3.538 20 0 CHADLO CC(=CC1CCC(C)CC1)C(=O)Nc1cc(C)[nH+]cc1C ZINC001266899548 1118783525 /nfs/dbraw/zinc/78/35/25/1118783525.db2.gz HKDSBNCTCWPJCS-LCYFTJDESA-N 1 2 286.419 3.831 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc2c(c1)OCCCO2)C(F)F ZINC000449356709 1118791238 /nfs/dbraw/zinc/79/12/38/1118791238.db2.gz APTBLPQFHSYHTL-ZYHUDNBSSA-N 1 2 285.334 3.542 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(SC)s1)C(F)F ZINC000449358157 1118791772 /nfs/dbraw/zinc/79/17/72/1118791772.db2.gz FBTNUZFNDNWBMJ-QMMMGPOBSA-N 1 2 251.367 3.603 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(-c2cccnc2)c1)C(F)F ZINC000449358294 1118791879 /nfs/dbraw/zinc/79/18/79/1118791879.db2.gz FIGUKAQIZAAYTD-HNNXBMFYSA-N 1 2 276.330 3.882 20 0 CHADLO CCCOc1cccc(C[NH2+][C@H](CC)C(F)F)c1 ZINC000449359011 1118792671 /nfs/dbraw/zinc/79/26/71/1118792671.db2.gz HETAIIMABUURAD-CYBMUJFWSA-N 1 2 257.324 3.609 20 0 CHADLO CCOC[C@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000090104721 1118804014 /nfs/dbraw/zinc/80/40/14/1118804014.db2.gz LRZBTHXEUCWNCC-AWEZNQCLSA-N 1 2 291.439 3.586 20 0 CHADLO Cn1cc(C[NH+]2Cc3ccccc3C2)c(C2CCCC2)n1 ZINC000891948148 1118865926 /nfs/dbraw/zinc/86/59/26/1118865926.db2.gz FVACMMZBCPANRC-UHFFFAOYSA-N 1 2 281.403 3.594 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ccco2)c2ccccc2)nc1 ZINC000133666920 1118865947 /nfs/dbraw/zinc/86/59/47/1118865947.db2.gz CYTOVULUDCVPIX-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1cc(NC(=O)c2ccc(Cl)c(Cl)c2)c(C)c[nH+]1 ZINC000535749084 1118881778 /nfs/dbraw/zinc/88/17/78/1118881778.db2.gz FJJXNMXYDMLISG-UHFFFAOYSA-N 1 2 295.169 3.679 20 0 CHADLO CC[C@@H](C)[C@@H](C(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000535748432 1118882256 /nfs/dbraw/zinc/88/22/56/1118882256.db2.gz LDHGMMYOTKRMLO-FZKQIMNGSA-N 1 2 296.414 3.889 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccnc2ccccc12 ZINC000535775902 1118884243 /nfs/dbraw/zinc/88/42/43/1118884243.db2.gz VLLDXUWPAUYOMC-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccnc2ccccc12 ZINC000535775902 1118884248 /nfs/dbraw/zinc/88/42/48/1118884248.db2.gz VLLDXUWPAUYOMC-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO CC[N@@H+]1CCN(CC[C@@H](C)CCC=C(C)C)C[C@H]1C ZINC000684613312 1118903434 /nfs/dbraw/zinc/90/34/34/1118903434.db2.gz OCWLEZVFZWZLOY-DLBZAZTESA-N 1 2 266.473 3.785 20 0 CHADLO CC[N@H+]1CCN(CC[C@@H](C)CCC=C(C)C)C[C@H]1C ZINC000684613312 1118903438 /nfs/dbraw/zinc/90/34/38/1118903438.db2.gz OCWLEZVFZWZLOY-DLBZAZTESA-N 1 2 266.473 3.785 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@](C)(F)C2)c(Br)c1 ZINC000535843163 1118906128 /nfs/dbraw/zinc/90/61/28/1118906128.db2.gz LYKPWRRZFVGNBO-ZDUSSCGKSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@](C)(F)C2)c(Br)c1 ZINC000535843163 1118906132 /nfs/dbraw/zinc/90/61/32/1118906132.db2.gz LYKPWRRZFVGNBO-ZDUSSCGKSA-N 1 2 286.188 3.691 20 0 CHADLO C[C@@H]1CCC[C@H](C)N(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000150788480 1118916077 /nfs/dbraw/zinc/91/60/77/1118916077.db2.gz RAADKBJOLDLPFG-CABCVRRESA-N 1 2 297.402 3.523 20 0 CHADLO Cc1cccc([C@@H]2CCCN2c2cc(C)[nH+]c(C3CC3)n2)n1 ZINC000150937591 1118920209 /nfs/dbraw/zinc/92/02/09/1118920209.db2.gz AWJPBFVEJMMTEF-INIZCTEOSA-N 1 2 294.402 3.707 20 0 CHADLO CC[C@H](C)N(CC)C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000616975772 1128809824 /nfs/dbraw/zinc/80/98/24/1128809824.db2.gz IEFGZCXFJZITLA-GOEBONIOSA-N 1 2 299.418 3.579 20 0 CHADLO CCn1cc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)c(C)n1 ZINC000892121335 1118975327 /nfs/dbraw/zinc/97/53/27/1118975327.db2.gz QYUYSXFOGOZLOZ-MRXNPFEDSA-N 1 2 289.810 3.812 20 0 CHADLO CCn1cc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)c(C)n1 ZINC000892121335 1118975329 /nfs/dbraw/zinc/97/53/29/1118975329.db2.gz QYUYSXFOGOZLOZ-MRXNPFEDSA-N 1 2 289.810 3.812 20 0 CHADLO Cc1[nH]c(CN(C)Cc2ccc(Cl)c(Cl)c2)[nH+]c1C ZINC000628119687 1128813189 /nfs/dbraw/zinc/81/31/89/1128813189.db2.gz GNTOQAPYTBAZEJ-UHFFFAOYSA-N 1 2 298.217 3.965 20 0 CHADLO Cc1scc(C[NH2+]Cc2nc(C(C)(C)C)co2)c1C ZINC000724418304 1118997564 /nfs/dbraw/zinc/99/75/64/1118997564.db2.gz CHXITOFVTOXBLU-UHFFFAOYSA-N 1 2 278.421 3.940 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)ccc(F)c1C ZINC001216343147 1119006674 /nfs/dbraw/zinc/00/66/74/1119006674.db2.gz YJAYPNNPGBXRPQ-UHFFFAOYSA-N 1 2 264.275 3.811 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2ncccc2C)C(C)(C)C)oc1C ZINC000934292600 1119010558 /nfs/dbraw/zinc/01/05/58/1119010558.db2.gz PMRRHNVFHKECOB-INIZCTEOSA-N 1 2 287.407 3.872 20 0 CHADLO CC(C)C[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000072092842 1119015951 /nfs/dbraw/zinc/01/59/51/1119015951.db2.gz QBSAQDHMCWZWQW-AWEZNQCLSA-N 1 2 271.763 3.508 20 0 CHADLO CC(C)C[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000072092842 1119015954 /nfs/dbraw/zinc/01/59/54/1119015954.db2.gz QBSAQDHMCWZWQW-AWEZNQCLSA-N 1 2 271.763 3.508 20 0 CHADLO CCOc1cccc(NCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000119797198 1119061518 /nfs/dbraw/zinc/06/15/18/1119061518.db2.gz FROWLAYUPYGCGB-UHFFFAOYSA-N 1 2 293.370 3.883 20 0 CHADLO Cc1cccc(CNc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000047852652 1119077364 /nfs/dbraw/zinc/07/73/64/1119077364.db2.gz IRUSVODKQIEXCD-UHFFFAOYSA-N 1 2 255.365 3.829 20 0 CHADLO CCC(CC)CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000119878385 1119096385 /nfs/dbraw/zinc/09/63/85/1119096385.db2.gz KYFCHINBMYYZOD-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO CCc1ccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000097039961 1119098189 /nfs/dbraw/zinc/09/81/89/1119098189.db2.gz NLAWSVHOVOVJBV-UHFFFAOYSA-N 1 2 268.360 3.822 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](CC)C(F)F)c(C)c1 ZINC000657477011 1119119921 /nfs/dbraw/zinc/11/99/21/1119119921.db2.gz OMBCDOXMKFEVQK-ZDUSSCGKSA-N 1 2 257.324 3.527 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+]2Cc2cnc(C3CC3)o2)c1 ZINC000628185466 1128822964 /nfs/dbraw/zinc/82/29/64/1128822964.db2.gz AJWBBLKFHIVCDH-HNNXBMFYSA-N 1 2 272.323 3.638 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+]2Cc2cnc(C3CC3)o2)c1 ZINC000628185466 1128822966 /nfs/dbraw/zinc/82/29/66/1128822966.db2.gz AJWBBLKFHIVCDH-HNNXBMFYSA-N 1 2 272.323 3.638 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628189301 1128824108 /nfs/dbraw/zinc/82/41/08/1128824108.db2.gz XFDNGRIDYKZDNG-SWLSCSKDSA-N 1 2 287.407 3.847 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628189301 1128824114 /nfs/dbraw/zinc/82/41/14/1128824114.db2.gz XFDNGRIDYKZDNG-SWLSCSKDSA-N 1 2 287.407 3.847 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H]2CCCc3cccnc32)cs1 ZINC000684956082 1119141911 /nfs/dbraw/zinc/14/19/11/1119141911.db2.gz YSAWZSUYHVTWSN-YPMHNXCESA-N 1 2 287.432 3.829 20 0 CHADLO Cn1nccc1[C@@H]1CCCC[N@@H+]1Cc1cscc1Cl ZINC000684967626 1119146691 /nfs/dbraw/zinc/14/66/91/1119146691.db2.gz IXLIZZPLGNJOLF-AWEZNQCLSA-N 1 2 295.839 3.862 20 0 CHADLO Cn1nccc1[C@@H]1CCCC[N@H+]1Cc1cscc1Cl ZINC000684967626 1119146694 /nfs/dbraw/zinc/14/66/94/1119146694.db2.gz IXLIZZPLGNJOLF-AWEZNQCLSA-N 1 2 295.839 3.862 20 0 CHADLO Cc1[nH]c(CN2CC[C@H](C)C[C@H]2c2ccco2)[nH+]c1C ZINC000628186791 1128825146 /nfs/dbraw/zinc/82/51/46/1128825146.db2.gz WMERDZGGFHMGES-FZMZJTMJSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C)C[C@H]2c2ccco2)[nH]c1C ZINC000628186791 1128825150 /nfs/dbraw/zinc/82/51/50/1128825150.db2.gz WMERDZGGFHMGES-FZMZJTMJSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccco2)[nH]c1C ZINC000628186791 1128825153 /nfs/dbraw/zinc/82/51/53/1128825153.db2.gz WMERDZGGFHMGES-FZMZJTMJSA-N 1 2 273.380 3.593 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C[C@@]1(C)O ZINC001140584841 1119161886 /nfs/dbraw/zinc/16/18/86/1119161886.db2.gz GLOLXGBJNJNQNI-PRHODGIISA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C[C@@]1(C)O ZINC001140584841 1119161888 /nfs/dbraw/zinc/16/18/88/1119161888.db2.gz GLOLXGBJNJNQNI-PRHODGIISA-N 1 2 294.247 3.648 20 0 CHADLO Cc1c[nH+]c(CN(C)Cc2cccc(Cl)c2Cl)n1C ZINC000342787158 1119166876 /nfs/dbraw/zinc/16/68/76/1119166876.db2.gz IJQGCFYZLXPIJM-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Clc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)nc1 ZINC001213085335 1119170416 /nfs/dbraw/zinc/17/04/16/1119170416.db2.gz CCYWLIFUZDOMCO-UHFFFAOYSA-N 1 2 270.723 3.869 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2ncc(C)n2C)o1 ZINC000342795610 1119173078 /nfs/dbraw/zinc/17/30/78/1119173078.db2.gz XTVFGXZLNIMAOL-DOMZBBRYSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2ncc(C)n2C)o1 ZINC000342795610 1119173081 /nfs/dbraw/zinc/17/30/81/1119173081.db2.gz XTVFGXZLNIMAOL-DOMZBBRYSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CCN2Cc2[nH+]cc(C)n2C)o1 ZINC000342795610 1119173084 /nfs/dbraw/zinc/17/30/84/1119173084.db2.gz XTVFGXZLNIMAOL-DOMZBBRYSA-N 1 2 287.407 3.603 20 0 CHADLO C[C@@H]1C[NH+](Cc2coc(-c3cccs3)n2)C[C@@H](C)S1 ZINC000075892502 1119180605 /nfs/dbraw/zinc/18/06/05/1119180605.db2.gz KONYWOAVBOENAI-GHMZBOCLSA-N 1 2 294.445 3.729 20 0 CHADLO COc1cc(C)c(C)cc1NCc1c[nH+]c2c(C)cccn12 ZINC000657580914 1119196768 /nfs/dbraw/zinc/19/67/68/1119196768.db2.gz NUFSRKJYLQLBLT-UHFFFAOYSA-N 1 2 295.386 3.880 20 0 CHADLO Cc1ccccc1[C@@H]1C[C@@H](C)N(c2cccc[nH+]2)C1 ZINC000077983932 1119196939 /nfs/dbraw/zinc/19/69/39/1119196939.db2.gz YCQRJXKVSLEMGH-HUUCEWRRSA-N 1 2 252.361 3.772 20 0 CHADLO CC[C@@H]1CC[C@@H](C)[N@H+](Cc2csc(Cl)n2)C1 ZINC000309316823 1119202681 /nfs/dbraw/zinc/20/26/81/1119202681.db2.gz PKOXFFQDBCMVDW-NXEZZACHSA-N 1 2 258.818 3.807 20 0 CHADLO CC[C@@H]1CC[C@@H](C)[N@@H+](Cc2csc(Cl)n2)C1 ZINC000309316823 1119202684 /nfs/dbraw/zinc/20/26/84/1119202684.db2.gz PKOXFFQDBCMVDW-NXEZZACHSA-N 1 2 258.818 3.807 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C)n1)c1cc(F)ccc1F ZINC000078300673 1119206644 /nfs/dbraw/zinc/20/66/44/1119206644.db2.gz JUFXUFNJBPGRSB-CYBMUJFWSA-N 1 2 263.291 3.626 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccc(F)cc2Cl)ccn1 ZINC000342858753 1119213521 /nfs/dbraw/zinc/21/35/21/1119213521.db2.gz BXYXILHDBLPHEN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccc(F)cc2Cl)ccn1 ZINC000342858753 1119213523 /nfs/dbraw/zinc/21/35/23/1119213523.db2.gz BXYXILHDBLPHEN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1ccc(S[C@H](C)C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000078405914 1119221546 /nfs/dbraw/zinc/22/15/46/1119221546.db2.gz FCXWPGSNDOILHR-CYBMUJFWSA-N 1 2 286.400 3.818 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)C[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628162151 1119222306 /nfs/dbraw/zinc/22/23/06/1119222306.db2.gz GDXAUSLHZKGXHR-DYVFJYSZSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)C[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628162151 1119222309 /nfs/dbraw/zinc/22/23/09/1119222309.db2.gz GDXAUSLHZKGXHR-DYVFJYSZSA-N 1 2 298.386 3.514 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+][C@@H](c2ccncc2)C2CC2)o1 ZINC000934688670 1119227780 /nfs/dbraw/zinc/22/77/80/1119227780.db2.gz WTNBIOMELSYMHQ-MRXNPFEDSA-N 1 2 285.391 3.608 20 0 CHADLO C[N@@H+](Cc1cnc(C2CC2)o1)Cc1ccc(F)c(Cl)c1 ZINC000628253134 1128836837 /nfs/dbraw/zinc/83/68/37/1128836837.db2.gz VTDDMJBOAZKUFO-UHFFFAOYSA-N 1 2 294.757 3.977 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nccn2C(F)F)cc1 ZINC000349798175 1119245432 /nfs/dbraw/zinc/24/54/32/1119245432.db2.gz DYSGBWQWRVWLSQ-AWEZNQCLSA-N 1 2 291.345 3.924 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nccn2C(F)F)cc1 ZINC000349798175 1119245435 /nfs/dbraw/zinc/24/54/35/1119245435.db2.gz DYSGBWQWRVWLSQ-AWEZNQCLSA-N 1 2 291.345 3.924 20 0 CHADLO Fc1ccc(C[NH+]2CC(C3CC3)C2)c(Cl)c1Cl ZINC000643345448 1119249259 /nfs/dbraw/zinc/24/92/59/1119249259.db2.gz YXANACHAYJKMIB-UHFFFAOYSA-N 1 2 274.166 3.974 20 0 CHADLO Oc1ccc2c(c1)[C@H]([NH2+]Cc1csc(C3CC3)n1)CC2 ZINC000657692609 1119249571 /nfs/dbraw/zinc/24/95/71/1119249571.db2.gz ANVSSEWDCLEXEJ-OAHLLOKOSA-N 1 2 286.400 3.503 20 0 CHADLO CO[C@H](COc1cc[nH+]cc1)c1ccc(Cl)cc1 ZINC000431503118 1119252137 /nfs/dbraw/zinc/25/21/37/1119252137.db2.gz VEVAOCFRQTUXFA-CQSZACIVSA-N 1 2 263.724 3.502 20 0 CHADLO C[N@H+](Cc1cnc(C2CC2)o1)Cc1cc(F)c(F)c(F)c1 ZINC000628232662 1128832387 /nfs/dbraw/zinc/83/23/87/1128832387.db2.gz INJNXFAZDBUVAB-UHFFFAOYSA-N 1 2 296.292 3.601 20 0 CHADLO C[N@@H+](Cc1cnc(C2CC2)o1)Cc1cc(F)c(F)c(F)c1 ZINC000628232662 1128832389 /nfs/dbraw/zinc/83/23/89/1128832389.db2.gz INJNXFAZDBUVAB-UHFFFAOYSA-N 1 2 296.292 3.601 20 0 CHADLO COc1ccc([C@H](Nc2cccc[nH+]2)C(C)C)cc1 ZINC000078783757 1119252698 /nfs/dbraw/zinc/25/26/98/1119252698.db2.gz NEYZLPCPCKMPFM-MRXNPFEDSA-N 1 2 256.349 3.899 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ncc(Cl)n2C)cc1 ZINC000078873243 1119257539 /nfs/dbraw/zinc/25/75/39/1119257539.db2.gz SBDPVQVQSTYGIA-CQSZACIVSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ncc(Cl)n2C)cc1 ZINC000078873243 1119257542 /nfs/dbraw/zinc/25/75/42/1119257542.db2.gz SBDPVQVQSTYGIA-CQSZACIVSA-N 1 2 289.810 3.719 20 0 CHADLO Clc1cc2cccnc2c(COc2cc[nH+]cc2)c1 ZINC000431515671 1119273452 /nfs/dbraw/zinc/27/34/52/1119273452.db2.gz CGAJPLVCJXUWNT-UHFFFAOYSA-N 1 2 270.719 3.862 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(F)cc2F)s1 ZINC000122894831 1119288464 /nfs/dbraw/zinc/28/84/64/1119288464.db2.gz KOHGFGIRVQTKFG-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO COc1ccc(CNc2cc[nH+]c3c(Cl)cccc23)nc1 ZINC000343055878 1119293164 /nfs/dbraw/zinc/29/31/64/1119293164.db2.gz UPIQKTNVPZFOIM-UHFFFAOYSA-N 1 2 299.761 3.904 20 0 CHADLO C[N@H+](Cc1cnc(C2CC2)o1)Cc1ccc(F)c(Cl)c1 ZINC000628253134 1128836832 /nfs/dbraw/zinc/83/68/32/1128836832.db2.gz VTDDMJBOAZKUFO-UHFFFAOYSA-N 1 2 294.757 3.977 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1ccc(OC(F)F)cc1 ZINC000079865535 1119317204 /nfs/dbraw/zinc/31/72/04/1119317204.db2.gz AJBMPNPPKGPDSX-SNVBAGLBSA-N 1 2 264.275 3.856 20 0 CHADLO Nc1ccc(Nc2ccc(Cl)c3cccnc23)c[nH+]1 ZINC000227846612 1119318493 /nfs/dbraw/zinc/31/84/93/1119318493.db2.gz YAQYPTAVUYQNGL-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Cc1cc(CNc2cc[nH+]c3c(Cl)cccc23)nc(C)n1 ZINC000343144110 1119328028 /nfs/dbraw/zinc/32/80/28/1119328028.db2.gz RTGXELYJTLIAOU-UHFFFAOYSA-N 1 2 298.777 3.907 20 0 CHADLO CC[C@@H](C)c1ccc(C(=O)NCCCCn2cc[nH+]c2)cc1 ZINC000755372357 1119328542 /nfs/dbraw/zinc/32/85/42/1119328542.db2.gz RUZRFGIWRYSPCG-OAHLLOKOSA-N 1 2 299.418 3.607 20 0 CHADLO Cc1ncc(C[N@@H+]2CC[C@](F)(c3cc(C)cc(F)c3)C2)o1 ZINC000628262925 1128838559 /nfs/dbraw/zinc/83/85/59/1128838559.db2.gz DLHMBCLVNHYZAN-MRXNPFEDSA-N 1 2 292.329 3.501 20 0 CHADLO Cc1ncc(C[N@H+]2CC[C@](F)(c3cc(C)cc(F)c3)C2)o1 ZINC000628262925 1128838565 /nfs/dbraw/zinc/83/85/65/1128838565.db2.gz DLHMBCLVNHYZAN-MRXNPFEDSA-N 1 2 292.329 3.501 20 0 CHADLO C[C@@H]([NH2+]Cc1nccs1)c1cc2cccc(F)c2o1 ZINC000353207042 1119332569 /nfs/dbraw/zinc/33/25/69/1119332569.db2.gz HUNNDXHRGJIBEI-SECBINFHSA-N 1 2 276.336 3.879 20 0 CHADLO Cc1[nH]c(CN2CC[C@H](C)Sc3ccccc32)[nH+]c1C ZINC000628265146 1128838973 /nfs/dbraw/zinc/83/89/73/1128838973.db2.gz QZYIFWOCWOTDLL-NSHDSACASA-N 1 2 287.432 3.917 20 0 CHADLO Fc1cccn2ncc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)c12 ZINC001213088293 1119347613 /nfs/dbraw/zinc/34/76/13/1119347613.db2.gz YNGXBOCPFCAZBX-UHFFFAOYSA-N 1 2 293.305 3.607 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc2c(c1)CCCCC2)n1cc[nH+]c1 ZINC000650692321 1119352854 /nfs/dbraw/zinc/35/28/54/1119352854.db2.gz VYBITDZEOAZHPB-AWEZNQCLSA-N 1 2 297.402 3.742 20 0 CHADLO Cc1cc(Br)cc(C[NH2+]CC(C)(F)F)c1 ZINC000382225180 1119354057 /nfs/dbraw/zinc/35/40/57/1119354057.db2.gz OCUWMRNOSPWWLQ-UHFFFAOYSA-N 1 2 278.140 3.502 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2c(F)cccc21)c1ncco1 ZINC000926496307 1119360566 /nfs/dbraw/zinc/36/05/66/1119360566.db2.gz ALEUSTPLMRBGCF-YGRLFVJLSA-N 1 2 260.312 3.542 20 0 CHADLO CC(C)(C)[C@H]1CN(CCCn2cc[nH+]c2)c2ccccc21 ZINC000444786708 1119366425 /nfs/dbraw/zinc/36/64/25/1119366425.db2.gz OUXJHBSVUROKPC-INIZCTEOSA-N 1 2 283.419 3.923 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4c3OCCO4)cc2)[nH]1 ZINC001213088907 1119371496 /nfs/dbraw/zinc/37/14/96/1119371496.db2.gz KAJVWKSCYWRKGT-UHFFFAOYSA-N 1 2 293.326 3.592 20 0 CHADLO Cc1[nH]c(CN2CC(C)(C)[C@H]2c2cccs2)[nH+]c1C ZINC000628282121 1128842252 /nfs/dbraw/zinc/84/22/52/1128842252.db2.gz PKXGWQQMKHIOCK-CQSZACIVSA-N 1 2 275.421 3.671 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)CCOCC1CCC1 ZINC000583645578 1119390188 /nfs/dbraw/zinc/39/01/88/1119390188.db2.gz CHKUPNVXALLMMI-LBPRGKRZSA-N 1 2 283.362 3.774 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)CCOCC1CCC1 ZINC000583645578 1119390189 /nfs/dbraw/zinc/39/01/89/1119390189.db2.gz CHKUPNVXALLMMI-LBPRGKRZSA-N 1 2 283.362 3.774 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1ccc(-n2ccnc2)cc1 ZINC000657793249 1119412341 /nfs/dbraw/zinc/41/23/41/1119412341.db2.gz ISJYFLVFUJXJHM-UHFFFAOYSA-N 1 2 293.361 3.643 20 0 CHADLO Cc1cc2cc(C[NH2+][C@@H](C)c3nccn3C)oc2cc1C ZINC000657794586 1119413116 /nfs/dbraw/zinc/41/31/16/1119413116.db2.gz UAEDUHNVTVZNPN-ZDUSSCGKSA-N 1 2 283.375 3.634 20 0 CHADLO C[C@@H](O)c1ccccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090801 1119420301 /nfs/dbraw/zinc/42/03/01/1119420301.db2.gz GUWVPZCOWZXIDO-GFCCVEGCSA-N 1 2 279.343 3.874 20 0 CHADLO CSc1cccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)c1C ZINC000583937707 1119437480 /nfs/dbraw/zinc/43/74/80/1119437480.db2.gz XOKGJWDBYWUIMK-LLVKDONJSA-N 1 2 289.404 3.503 20 0 CHADLO CCc1ccc(N)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213091900 1119445213 /nfs/dbraw/zinc/44/52/13/1119445213.db2.gz QJJUAYDEJFUVNJ-UHFFFAOYSA-N 1 2 278.359 3.965 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCC2SCCS2)c1 ZINC000693852744 1119453513 /nfs/dbraw/zinc/45/35/13/1119453513.db2.gz YUPHYBCQKJPCNT-UHFFFAOYSA-N 1 2 294.367 3.709 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2cnc(C3CC3)o2)CCC1 ZINC000628321853 1128846988 /nfs/dbraw/zinc/84/69/88/1128846988.db2.gz JHIWGKOZGTTWBS-UHFFFAOYSA-N 1 2 286.350 3.860 20 0 CHADLO COc1cc(C[NH+]2CC(C)(CC(F)F)C2)ccc1Cl ZINC000628321829 1128847339 /nfs/dbraw/zinc/84/73/39/1128847339.db2.gz HAJRIZNYHARYDM-UHFFFAOYSA-N 1 2 289.753 3.826 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1cncs1 ZINC000133506113 1119471028 /nfs/dbraw/zinc/47/10/28/1119471028.db2.gz UUAWBLWQDUNCPQ-SECBINFHSA-N 1 2 281.450 3.748 20 0 CHADLO Cn1cc(Cl)c(C[N@@H+]2CCCC[C@H]2c2ccccc2)n1 ZINC000420924487 1119475227 /nfs/dbraw/zinc/47/52/27/1119475227.db2.gz SXJQQWZZIROTSX-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cc(Cl)c(C[N@H+]2CCCC[C@H]2c2ccccc2)n1 ZINC000420924487 1119475230 /nfs/dbraw/zinc/47/52/30/1119475230.db2.gz SXJQQWZZIROTSX-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2ccccc2Cl)[nH+]1 ZINC000080762245 1119504344 /nfs/dbraw/zinc/50/43/44/1119504344.db2.gz RXRVGKBGISTAQX-UHFFFAOYSA-N 1 2 272.739 3.532 20 0 CHADLO CCOc1cccc([C@@H](C)Nc2[nH+]c(C)nc3[nH]ccc32)c1 ZINC000080970645 1119507978 /nfs/dbraw/zinc/50/79/78/1119507978.db2.gz YFCCWSGUHKXOPC-LLVKDONJSA-N 1 2 296.374 3.838 20 0 CHADLO COCC[C@@H](C)SCc1ccc(-n2cc[nH+]c2)cc1 ZINC000088307652 1119535547 /nfs/dbraw/zinc/53/55/47/1119535547.db2.gz VZWKUWZCTZQZCC-CYBMUJFWSA-N 1 2 276.405 3.531 20 0 CHADLO CC(C)c1cc(NCc2ccncc2)nc(C(C)C)[nH+]1 ZINC000090065229 1119543005 /nfs/dbraw/zinc/54/30/05/1119543005.db2.gz MTZHJGDZMNRQCJ-UHFFFAOYSA-N 1 2 270.380 3.731 20 0 CHADLO CC[C@@H](CCO)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000090110001 1119546012 /nfs/dbraw/zinc/54/60/12/1119546012.db2.gz MNGBQCWKOIZYPJ-ZDUSSCGKSA-N 1 2 279.428 3.544 20 0 CHADLO c1ccc([C@H]2CCCC[N@H+]2Cc2nnc(C3CC3)o2)cc1 ZINC000584442936 1119551639 /nfs/dbraw/zinc/55/16/39/1119551639.db2.gz PJDZDRFNMPCWNV-OAHLLOKOSA-N 1 2 283.375 3.674 20 0 CHADLO c1ccc([C@H]2CCCC[N@@H+]2Cc2nnc(C3CC3)o2)cc1 ZINC000584442936 1119551642 /nfs/dbraw/zinc/55/16/42/1119551642.db2.gz PJDZDRFNMPCWNV-OAHLLOKOSA-N 1 2 283.375 3.674 20 0 CHADLO Cn1cc(Cl)cc1C[N@H+](C)Cc1cnc(C2CC2)s1 ZINC000091931404 1119559934 /nfs/dbraw/zinc/55/99/34/1119559934.db2.gz XRQQFOMAUKJBKU-UHFFFAOYSA-N 1 2 295.839 3.644 20 0 CHADLO Cn1cc(Cl)cc1C[N@@H+](C)Cc1cnc(C2CC2)s1 ZINC000091931404 1119559936 /nfs/dbraw/zinc/55/99/36/1119559936.db2.gz XRQQFOMAUKJBKU-UHFFFAOYSA-N 1 2 295.839 3.644 20 0 CHADLO CC(C)c1nsc(Oc2cccc(-n3cc[nH+]c3)c2)n1 ZINC000092656019 1119564042 /nfs/dbraw/zinc/56/40/42/1119564042.db2.gz SPAXABIMMVQFPG-UHFFFAOYSA-N 1 2 286.360 3.640 20 0 CHADLO CCc1nnc([C@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000092822144 1119567214 /nfs/dbraw/zinc/56/72/14/1119567214.db2.gz DGHMVMXUXXXYOR-AWEZNQCLSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000092822144 1119567217 /nfs/dbraw/zinc/56/72/17/1119567217.db2.gz DGHMVMXUXXXYOR-AWEZNQCLSA-N 1 2 297.402 3.791 20 0 CHADLO Fc1cc(F)c2c(c1)C[N@@H+](Cc1cccs1)CC2 ZINC000093838313 1119572253 /nfs/dbraw/zinc/57/22/53/1119572253.db2.gz DGIAZABMFMCBLZ-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1cc(F)c2c(c1)C[N@H+](Cc1cccs1)CC2 ZINC000093838313 1119572257 /nfs/dbraw/zinc/57/22/57/1119572257.db2.gz DGIAZABMFMCBLZ-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2COc3c2ccc(C)c3C)sc1C ZINC000892401508 1119625339 /nfs/dbraw/zinc/62/53/39/1119625339.db2.gz FGNGYQJWZOMRGW-CQSZACIVSA-N 1 2 288.416 3.600 20 0 CHADLO CCOc1ccc(C[NH2+][C@H]2COc3c2ccc(C)c3C)o1 ZINC000892403093 1119626444 /nfs/dbraw/zinc/62/64/44/1119626444.db2.gz UVXZZRXUDZRNFM-HNNXBMFYSA-N 1 2 287.359 3.518 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C(C)(C)C)on1)c1ccsc1 ZINC000685581704 1119634163 /nfs/dbraw/zinc/63/41/63/1119634163.db2.gz GSWUZWGLRDYOGW-JTQLQIEISA-N 1 2 264.394 3.884 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@@H]2CCn3cc[nH+]c3C2)c1 ZINC000628431312 1128859281 /nfs/dbraw/zinc/85/92/81/1128859281.db2.gz SURPBYFCBPXBMC-UKRRQHHQSA-N 1 2 297.402 3.598 20 0 CHADLO Cc1cn[nH]c1C[NH2+]C(c1ccccc1)c1ccccc1 ZINC000685611963 1119637880 /nfs/dbraw/zinc/63/78/80/1119637880.db2.gz CZCCBRVGMKJKDL-UHFFFAOYSA-N 1 2 277.371 3.597 20 0 CHADLO Cc1c[nH]nc1C[NH2+]C(c1ccccc1)c1ccccc1 ZINC000685611963 1119637881 /nfs/dbraw/zinc/63/78/81/1119637881.db2.gz CZCCBRVGMKJKDL-UHFFFAOYSA-N 1 2 277.371 3.597 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2cocn2)cc1 ZINC000182073975 1119645193 /nfs/dbraw/zinc/64/51/93/1119645193.db2.gz MVMPIMLHFYTVBM-LLVKDONJSA-N 1 2 262.378 3.637 20 0 CHADLO Fc1cc(N[C@H]2CCCC23CC3)ccc1-n1cc[nH+]c1 ZINC000657959416 1119652490 /nfs/dbraw/zinc/65/24/90/1119652490.db2.gz IAVSIPAVYMCKRP-HNNXBMFYSA-N 1 2 271.339 3.756 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@H](C)c2ccccc2Cl)on1 ZINC000924525078 1119661481 /nfs/dbraw/zinc/66/14/81/1119661481.db2.gz MEMUZWZHFUKORF-NXEZZACHSA-N 1 2 280.755 3.748 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccc(C(F)F)nc1 ZINC000658153279 1119691724 /nfs/dbraw/zinc/69/17/24/1119691724.db2.gz SSTSZBVEBKZYQH-BDAKNGLRSA-N 1 2 283.347 3.888 20 0 CHADLO CC[C@H]([NH2+]CC(F)(F)C(C)(C)C)c1ccncc1 ZINC000658338272 1119726618 /nfs/dbraw/zinc/72/66/18/1119726618.db2.gz HXUMVCQZACBOMX-LBPRGKRZSA-N 1 2 256.340 3.804 20 0 CHADLO CCC[C@H](C(=O)NCc1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC000179395989 1119734308 /nfs/dbraw/zinc/73/43/08/1119734308.db2.gz HFJPSYBCQNYOIJ-KRWDZBQOSA-N 1 2 299.418 3.561 20 0 CHADLO CCC[N@H+](Cc1nc(C)c(C)[nH]1)[C@@H]1CCCc2cccnc21 ZINC000628240606 1119760282 /nfs/dbraw/zinc/76/02/82/1119760282.db2.gz LVTPUTVOBPKAHA-MRXNPFEDSA-N 1 2 298.434 3.711 20 0 CHADLO CCC[N@@H+](Cc1nc(C)c(C)[nH]1)[C@@H]1CCCc2cccnc21 ZINC000628240606 1119760285 /nfs/dbraw/zinc/76/02/85/1119760285.db2.gz LVTPUTVOBPKAHA-MRXNPFEDSA-N 1 2 298.434 3.711 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+]Cc1ccc(C3CCC3)cc1)CCC2 ZINC000375890664 1119779743 /nfs/dbraw/zinc/77/97/43/1119779743.db2.gz SYAGHVSFDHQLIY-KRWDZBQOSA-N 1 2 281.403 3.844 20 0 CHADLO C[N@H+](CC1=CCSC1)Cc1ncc(C(C)(C)C)s1 ZINC000668774912 1119787944 /nfs/dbraw/zinc/78/79/44/1119787944.db2.gz LDYVFKLDPHZRJS-UHFFFAOYSA-N 1 2 282.478 3.546 20 0 CHADLO C[N@@H+](CC1=CCSC1)Cc1ncc(C(C)(C)C)s1 ZINC000668774912 1119787945 /nfs/dbraw/zinc/78/79/45/1119787945.db2.gz LDYVFKLDPHZRJS-UHFFFAOYSA-N 1 2 282.478 3.546 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cn3cccnc3=S)CC2)c1 ZINC000179919527 1119798169 /nfs/dbraw/zinc/79/81/69/1119798169.db2.gz YFXVISUBVHALGQ-UHFFFAOYSA-N 1 2 297.427 3.668 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cn3cccnc3=S)CC2)c1 ZINC000179919527 1119798171 /nfs/dbraw/zinc/79/81/71/1119798171.db2.gz YFXVISUBVHALGQ-UHFFFAOYSA-N 1 2 297.427 3.668 20 0 CHADLO C[C@@H](Cc1ccccn1)[NH2+]CC(F)(F)c1cccc(F)c1 ZINC000926686724 1119810166 /nfs/dbraw/zinc/81/01/66/1119810166.db2.gz ZLCIMBZNMQHPDR-LBPRGKRZSA-N 1 2 294.320 3.533 20 0 CHADLO Clc1scc(C[NH+]2CC([C@@H]3CCOC3)C2)c1Cl ZINC000376020140 1119810706 /nfs/dbraw/zinc/81/07/06/1119810706.db2.gz DRTSFQLRHFSYKK-MRVPVSSYSA-N 1 2 292.231 3.523 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@@H](c1ccccc1F)C(C)C ZINC000926693698 1119819061 /nfs/dbraw/zinc/81/90/61/1119819061.db2.gz OIAAACFTEGYENB-IINYFYTJSA-N 1 2 277.343 3.565 20 0 CHADLO Cc1cccc(C[NH2+]Cc2nccs2)c1Br ZINC000309699100 1119832107 /nfs/dbraw/zinc/83/21/07/1119832107.db2.gz LZZWNDFAPJQEMR-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2c(C)nn(C)c2Cl)cc1 ZINC000066155462 1119833883 /nfs/dbraw/zinc/83/38/83/1119833883.db2.gz YVHARWSFOWXLKK-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2c(C)nn(C)c2Cl)cc1 ZINC000066155462 1119833888 /nfs/dbraw/zinc/83/38/88/1119833888.db2.gz YVHARWSFOWXLKK-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC[C@@H](c3ccn[nH]3)C2)s1 ZINC000685834327 1119852882 /nfs/dbraw/zinc/85/28/82/1119852882.db2.gz MRZPYBWSIBUNQG-LLVKDONJSA-N 1 2 293.461 3.573 20 0 CHADLO CSc1ccc(C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)s1 ZINC000685834327 1119852886 /nfs/dbraw/zinc/85/28/86/1119852886.db2.gz MRZPYBWSIBUNQG-LLVKDONJSA-N 1 2 293.461 3.573 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1cc2n(n1)CCC2 ZINC000414053883 1119867813 /nfs/dbraw/zinc/86/78/13/1119867813.db2.gz MKOSPFDOPXTBDI-WDEREUQCSA-N 1 2 291.345 3.519 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000414154786 1119919598 /nfs/dbraw/zinc/91/95/98/1119919598.db2.gz LMBVKAGAYGSZET-GZBFAFLISA-N 1 2 285.391 3.557 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cnccc2C(C)(C)C)no1 ZINC000414234555 1119950683 /nfs/dbraw/zinc/95/06/83/1119950683.db2.gz SPCCPEWYELFAMA-LBPRGKRZSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1nccc(C(F)(F)F)n1 ZINC000414237689 1119952641 /nfs/dbraw/zinc/95/26/41/1119952641.db2.gz JLOBKVNVXCAMRC-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO C[C@H](Cc1ccccc1Br)Nc1cccc[nH+]1 ZINC000685910612 1119982776 /nfs/dbraw/zinc/98/27/76/1119982776.db2.gz JXGNQXTYSVOUDX-LLVKDONJSA-N 1 2 291.192 3.887 20 0 CHADLO c1cn2ccc(CNc3nccc4sccc43)cc2[nH+]1 ZINC000685910778 1119982880 /nfs/dbraw/zinc/98/28/80/1119982880.db2.gz QERDTFMZLZISRG-UHFFFAOYSA-N 1 2 280.356 3.556 20 0 CHADLO FC(F)[C@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000685919192 1119998765 /nfs/dbraw/zinc/99/87/65/1119998765.db2.gz BLQWHTRCOPXQEF-VIFPVBQESA-N 1 2 282.721 3.980 20 0 CHADLO COc1cccc2c(N3CCC(F)(F)[C@H](C)C3)cc[nH+]c12 ZINC000685921579 1120002044 /nfs/dbraw/zinc/00/20/44/1120002044.db2.gz DDTVOJDSIJMTAV-LLVKDONJSA-N 1 2 292.329 3.725 20 0 CHADLO CC(C)c1ccc(C[NH2+][C@H]2CCCc3[nH]ncc32)cc1 ZINC000375849864 1120015813 /nfs/dbraw/zinc/01/58/13/1120015813.db2.gz IHPHKMBKBYAYQP-INIZCTEOSA-N 1 2 269.392 3.700 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccccc2F)c1 ZINC001239556412 1120017122 /nfs/dbraw/zinc/01/71/22/1120017122.db2.gz XIZAHXLLHCOHCL-UHFFFAOYSA-N 1 2 252.292 3.893 20 0 CHADLO Cc1occc1C[N@H+]1C[C@@H](C)OC[C@H]1c1ccccc1 ZINC000414394054 1120017787 /nfs/dbraw/zinc/01/77/87/1120017787.db2.gz HPRXMAHVMDLTMP-DYVFJYSZSA-N 1 2 271.360 3.550 20 0 CHADLO Cc1occc1C[N@@H+]1C[C@@H](C)OC[C@H]1c1ccccc1 ZINC000414394054 1120017791 /nfs/dbraw/zinc/01/77/91/1120017791.db2.gz HPRXMAHVMDLTMP-DYVFJYSZSA-N 1 2 271.360 3.550 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1Cc1cc(O)cc(F)c1 ZINC000545328941 1120038704 /nfs/dbraw/zinc/03/87/04/1120038704.db2.gz KKAWNEJIAJEBOT-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1Cc1cc(O)cc(F)c1 ZINC000545328941 1120038709 /nfs/dbraw/zinc/03/87/09/1120038709.db2.gz KKAWNEJIAJEBOT-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO CCC(C)(C)OC1C[NH+](Cc2cc3ccncc3s2)C1 ZINC000628422429 1120048363 /nfs/dbraw/zinc/04/83/63/1120048363.db2.gz HAJHOOVVNDXUSU-UHFFFAOYSA-N 1 2 290.432 3.686 20 0 CHADLO Cc1c2cc(F)ccc2oc1C[NH2+]Cc1nccn1C1CC1 ZINC000892675377 1120050191 /nfs/dbraw/zinc/05/01/91/1120050191.db2.gz QMNBAORLNFLASI-UHFFFAOYSA-N 1 2 299.349 3.702 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@@H]3C[C@H]4C[C@H]4C3)cc2)[nH+]c1C ZINC000921654726 1120056601 /nfs/dbraw/zinc/05/66/01/1120056601.db2.gz OVLYSUGZOFTNKW-QDMKHBRRSA-N 1 2 295.386 3.678 20 0 CHADLO C[C@H](c1cc2ccccc2o1)[N@H+](C)Cc1cc2n(n1)CCC2 ZINC000649471951 1128887040 /nfs/dbraw/zinc/88/70/40/1128887040.db2.gz UYKQBMQXBCXAEZ-CYBMUJFWSA-N 1 2 295.386 3.769 20 0 CHADLO C[C@H](c1cc2ccccc2o1)[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC000649471951 1128887044 /nfs/dbraw/zinc/88/70/44/1128887044.db2.gz UYKQBMQXBCXAEZ-CYBMUJFWSA-N 1 2 295.386 3.769 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cccc(OC(F)F)c2)oc1C ZINC000179593914 1120094343 /nfs/dbraw/zinc/09/43/43/1120094343.db2.gz PORKHTJBAOOMNU-SNVBAGLBSA-N 1 2 296.317 3.744 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)n1C)c1cc2ccccc2o1 ZINC000181769982 1120108476 /nfs/dbraw/zinc/10/84/76/1120108476.db2.gz YZJMQLJFQYRZTN-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000181931396 1120110661 /nfs/dbraw/zinc/11/06/61/1120110661.db2.gz GQPNZJBKXNJJRS-CYBMUJFWSA-N 1 2 270.376 3.713 20 0 CHADLO CCCc1ccc(CNc2[nH+]cnc3[nH]cc(F)c32)cc1 ZINC001168636457 1120114984 /nfs/dbraw/zinc/11/49/84/1120114984.db2.gz NWZPKCRSJOFULJ-UHFFFAOYSA-N 1 2 284.338 3.613 20 0 CHADLO CSc1cccc(C[N@@H+]2CCn3cccc3[C@H]2C)c1 ZINC000659823644 1120120096 /nfs/dbraw/zinc/12/00/96/1120120096.db2.gz WWBWFDAKFZHDLD-CYBMUJFWSA-N 1 2 272.417 3.787 20 0 CHADLO CSc1cccc(C[N@H+]2CCn3cccc3[C@H]2C)c1 ZINC000659823644 1120120098 /nfs/dbraw/zinc/12/00/98/1120120098.db2.gz WWBWFDAKFZHDLD-CYBMUJFWSA-N 1 2 272.417 3.787 20 0 CHADLO CSc1cccc(C[N@@H+]2CCn3cccc3[C@@H]2C)c1 ZINC000659823647 1120120515 /nfs/dbraw/zinc/12/05/15/1120120515.db2.gz WWBWFDAKFZHDLD-ZDUSSCGKSA-N 1 2 272.417 3.787 20 0 CHADLO CSc1cccc(C[N@H+]2CCn3cccc3[C@@H]2C)c1 ZINC000659823647 1120120518 /nfs/dbraw/zinc/12/05/18/1120120518.db2.gz WWBWFDAKFZHDLD-ZDUSSCGKSA-N 1 2 272.417 3.787 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2ncco2)c(C)s1 ZINC000924602352 1120131618 /nfs/dbraw/zinc/13/16/18/1120131618.db2.gz LXMHZIYKNHJWIW-NXEZZACHSA-N 1 2 250.367 3.765 20 0 CHADLO Cc1nc(CNc2cc(C)[nH+]c(C3CCC3)n2)sc1C ZINC000892743890 1120134712 /nfs/dbraw/zinc/13/47/12/1120134712.db2.gz VAGVREMDGCNFPK-UHFFFAOYSA-N 1 2 288.420 3.738 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)[C@H](C)c2ncccn2)cc1 ZINC000929236286 1120164416 /nfs/dbraw/zinc/16/44/16/1120164416.db2.gz CTABNTUINIFQOR-CQSZACIVSA-N 1 2 269.392 3.793 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)[C@H](C)c2ncccn2)cc1 ZINC000929236286 1120164418 /nfs/dbraw/zinc/16/44/18/1120164418.db2.gz CTABNTUINIFQOR-CQSZACIVSA-N 1 2 269.392 3.793 20 0 CHADLO Cc1cc(NC2CC(C)(C)N(O)C(C)(C)C2)c[nH+]c1C ZINC001167718043 1120183309 /nfs/dbraw/zinc/18/33/09/1120183309.db2.gz JUCBZUQCLRANSG-UHFFFAOYSA-N 1 2 277.412 3.521 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(Cl)ccc1N ZINC001212434110 1120193440 /nfs/dbraw/zinc/19/34/40/1120193440.db2.gz SIYUCKCUIPYLBD-UHFFFAOYSA-N 1 2 261.756 3.932 20 0 CHADLO Cc1c[nH+]cc(N[C@@H]2CCCc3cccnc32)c1C ZINC001168662564 1120199312 /nfs/dbraw/zinc/19/93/12/1120199312.db2.gz WOQGJXPXFJHNBK-CQSZACIVSA-N 1 2 253.349 3.583 20 0 CHADLO CCCC[N@H+](Cc1cc(OC)no1)Cc1ccccc1F ZINC000659859924 1120205040 /nfs/dbraw/zinc/20/50/40/1120205040.db2.gz QGSVNRITWWQIJZ-UHFFFAOYSA-N 1 2 292.354 3.625 20 0 CHADLO CCCC[N@@H+](Cc1cc(OC)no1)Cc1ccccc1F ZINC000659859924 1120205041 /nfs/dbraw/zinc/20/50/41/1120205041.db2.gz QGSVNRITWWQIJZ-UHFFFAOYSA-N 1 2 292.354 3.625 20 0 CHADLO CCn1cc(C[N@H+](C)Cc2ccc(Cl)cc2Cl)cn1 ZINC000072982699 1120206638 /nfs/dbraw/zinc/20/66/38/1120206638.db2.gz HPUBTEPGXZGDNW-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCn1cc(C[N@@H+](C)Cc2ccc(Cl)cc2Cl)cn1 ZINC000072982699 1120206644 /nfs/dbraw/zinc/20/66/44/1120206644.db2.gz HPUBTEPGXZGDNW-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@H]1CS[C@@H](C(C)C)C1 ZINC001116758553 1120210034 /nfs/dbraw/zinc/21/00/34/1120210034.db2.gz VJBRQUWCFVRMQR-TZMCWYRMSA-N 1 2 280.437 3.811 20 0 CHADLO COc1c(C)cc(C[N@H+](C)Cc2nc(C)oc2C)cc1C ZINC000659868458 1120219005 /nfs/dbraw/zinc/21/90/05/1120219005.db2.gz OSAMMAZAYVDCDG-UHFFFAOYSA-N 1 2 288.391 3.549 20 0 CHADLO COc1c(C)cc(C[N@@H+](C)Cc2nc(C)oc2C)cc1C ZINC000659868458 1120219008 /nfs/dbraw/zinc/21/90/08/1120219008.db2.gz OSAMMAZAYVDCDG-UHFFFAOYSA-N 1 2 288.391 3.549 20 0 CHADLO O=C(C=C(C1CC1)C1CC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000056867284 1120220120 /nfs/dbraw/zinc/22/01/20/1120220120.db2.gz GCKPCYOIEBUVAC-UHFFFAOYSA-N 1 2 293.370 3.557 20 0 CHADLO CC(C)Cc1ccc(CNc2ccc(N(C)C)[nH+]c2)cc1 ZINC000094896078 1120238924 /nfs/dbraw/zinc/23/89/24/1120238924.db2.gz ZGPQUJDQOHCINQ-UHFFFAOYSA-N 1 2 283.419 3.958 20 0 CHADLO Cc1n[nH]c(C)c1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000271197527 1120240007 /nfs/dbraw/zinc/24/00/07/1120240007.db2.gz UWTJKKPYDJRZHE-OAHLLOKOSA-N 1 2 275.783 3.627 20 0 CHADLO Cc1n[nH]c(C)c1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000271197527 1120240011 /nfs/dbraw/zinc/24/00/11/1120240011.db2.gz UWTJKKPYDJRZHE-OAHLLOKOSA-N 1 2 275.783 3.627 20 0 CHADLO CCc1cc(N2C[C@@H](C)C[C@H]2c2cccnc2)nc(CC)[nH+]1 ZINC000893154981 1120241250 /nfs/dbraw/zinc/24/12/50/1120241250.db2.gz CISRPIKGIFESFW-BBRMVZONSA-N 1 2 296.418 3.584 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(CNc2cc3cc[nH]c3c[nH+]2)o1 ZINC000672168195 1120249065 /nfs/dbraw/zinc/24/90/65/1120249065.db2.gz AJXSJMBKSUCCFK-MFKMUULPSA-N 1 2 267.332 3.891 20 0 CHADLO CCOc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC000672188662 1120251925 /nfs/dbraw/zinc/25/19/25/1120251925.db2.gz ITXULUMAKLMAHM-UHFFFAOYSA-N 1 2 267.332 3.574 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(OCc2ccccc2)cc1 ZINC000309869197 1120269889 /nfs/dbraw/zinc/26/98/89/1120269889.db2.gz GGLXFZYVVMJURP-UHFFFAOYSA-N 1 2 293.370 3.611 20 0 CHADLO COc1cccc2c(NCc3cc(C)ccn3)cc[nH+]c12 ZINC000338762274 1120274326 /nfs/dbraw/zinc/27/43/26/1120274326.db2.gz HNRGEIQVCLFUNU-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H](c3ccn[nH]3)C2)ccc1Cl ZINC000180423754 1120274379 /nfs/dbraw/zinc/27/43/79/1120274379.db2.gz ZOMZXZCGRLHHMJ-AWEZNQCLSA-N 1 2 289.810 3.751 20 0 CHADLO CCn1ccnc1C[N@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000076292025 1120280734 /nfs/dbraw/zinc/28/07/34/1120280734.db2.gz UZYQQWBMDLCQFM-UHFFFAOYSA-N 1 2 285.435 3.833 20 0 CHADLO CCn1ccnc1C[N@@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000076292025 1120280736 /nfs/dbraw/zinc/28/07/36/1120280736.db2.gz UZYQQWBMDLCQFM-UHFFFAOYSA-N 1 2 285.435 3.833 20 0 CHADLO C[C@H]([NH2+][C@H]1CSCc2ccccc21)c1cscn1 ZINC000678115172 1120281290 /nfs/dbraw/zinc/28/12/90/1120281290.db2.gz VSPOMAIRWWWAMN-HZMBPMFUSA-N 1 2 276.430 3.782 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@@H+]2Cc2nnc(C3CC3)o2)cc1 ZINC000130913839 1120292662 /nfs/dbraw/zinc/29/26/62/1120292662.db2.gz OZPIXFZJBYFLMM-INIZCTEOSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@H+]2Cc2nnc(C3CC3)o2)cc1 ZINC000130913839 1120292665 /nfs/dbraw/zinc/29/26/65/1120292665.db2.gz OZPIXFZJBYFLMM-INIZCTEOSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1cc(Cl)cc(C[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000398092952 1120296395 /nfs/dbraw/zinc/29/63/95/1120296395.db2.gz JVSJPSHIEXQHRI-GFCCVEGCSA-N 1 2 259.727 3.926 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)co1 ZINC000659958898 1120310165 /nfs/dbraw/zinc/31/01/65/1120310165.db2.gz GNHRDWNNSOKBKI-MEDUHNTESA-N 1 2 274.339 3.705 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)co1 ZINC000659958898 1120310170 /nfs/dbraw/zinc/31/01/70/1120310170.db2.gz GNHRDWNNSOKBKI-MEDUHNTESA-N 1 2 274.339 3.705 20 0 CHADLO FC(F)C[NH2+][C@@H](Cc1ccccc1)c1ccccc1 ZINC000113300476 1120317662 /nfs/dbraw/zinc/31/76/62/1120317662.db2.gz MCLBDWJPGLFWHP-HNNXBMFYSA-N 1 2 261.315 3.825 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccoc1C)c1cccc(Cl)c1F ZINC000672602480 1120332086 /nfs/dbraw/zinc/33/20/86/1120332086.db2.gz LNIBLUBFIUVHLT-CQSZACIVSA-N 1 2 297.757 3.858 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H]2CCCC2(F)F)c(C)n1 ZINC000672621442 1120335451 /nfs/dbraw/zinc/33/54/51/1120335451.db2.gz XSAUUFIRJNPXNV-AAEUAGOBSA-N 1 2 254.324 3.537 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)c(C)c1OC ZINC000672621957 1120336313 /nfs/dbraw/zinc/33/63/13/1120336313.db2.gz PGXFJJWGMNYZMV-SMDDNHRTSA-N 1 2 299.361 3.851 20 0 CHADLO C[NH2+]c1ccc(NCc2ncc(C(C)C)s2)cc1 ZINC000629388860 1128910071 /nfs/dbraw/zinc/91/00/71/1128910071.db2.gz SCIYPHQCHQJTCM-UHFFFAOYSA-N 1 2 261.394 3.920 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(Cl)cc3)CCC2)co1 ZINC000660066550 1120360261 /nfs/dbraw/zinc/36/02/61/1120360261.db2.gz NKTFQJNDHVCCCE-UHFFFAOYSA-N 1 2 276.767 3.805 20 0 CHADLO CC[C@@H](C)[C@H](C(=O)OCc1ccc[nH+]c1N)c1ccccc1 ZINC000922141424 1120383038 /nfs/dbraw/zinc/38/30/38/1120383038.db2.gz SPLKWLLLLUAJMA-CJNGLKHVSA-N 1 2 298.386 3.537 20 0 CHADLO Cc1cc(C)cc(CO[NH+]=C(N)Cc2cccc(F)c2)c1 ZINC000783159311 1120383756 /nfs/dbraw/zinc/38/37/56/1120383756.db2.gz XFSXOZOBFRXCEV-UHFFFAOYSA-N 1 2 286.350 3.684 20 0 CHADLO CC(C)c1nsc(C[N@@H+]2CCSC3(CCC3)CC2)n1 ZINC000930712409 1120386202 /nfs/dbraw/zinc/38/62/02/1120386202.db2.gz USOIEECGQZBADE-UHFFFAOYSA-N 1 2 297.493 3.523 20 0 CHADLO CC(C)c1nsc(C[N@H+]2CCSC3(CCC3)CC2)n1 ZINC000930712409 1120386206 /nfs/dbraw/zinc/38/62/06/1120386206.db2.gz USOIEECGQZBADE-UHFFFAOYSA-N 1 2 297.493 3.523 20 0 CHADLO COc1cccc([C@H]2C[C@H](C)CN2c2cccc[nH+]2)c1 ZINC000371691562 1120396519 /nfs/dbraw/zinc/39/65/19/1120396519.db2.gz BBJWTTQWRWVUAR-XJKSGUPXSA-N 1 2 268.360 3.678 20 0 CHADLO FC1(F)CC2(C[NH+](Cc3nsc4ccccc43)C2)C1 ZINC000660146525 1120398898 /nfs/dbraw/zinc/39/88/98/1120398898.db2.gz GZYQUHCWCPGZMD-UHFFFAOYSA-N 1 2 280.343 3.527 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2sccc2Cl)c(C)[nH+]1 ZINC000417165135 1120407670 /nfs/dbraw/zinc/40/76/70/1120407670.db2.gz QXBXHVJFIMUYHP-SFHVURJKSA-N 1 2 299.848 3.862 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(Br)cc2)co1 ZINC000660170760 1120413223 /nfs/dbraw/zinc/41/32/23/1120413223.db2.gz CDWOQAUNXRDRFB-SECBINFHSA-N 1 2 295.180 3.596 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000624147467 1120420063 /nfs/dbraw/zinc/42/00/63/1120420063.db2.gz BBIZJJGKGKURJR-SNVBAGLBSA-N 1 2 296.361 3.612 20 0 CHADLO Cc1cc(-c2ccnc(C(F)(F)F)c2)c2[nH+]ccn2c1 ZINC001240340249 1120428195 /nfs/dbraw/zinc/42/81/95/1120428195.db2.gz PJKYEHXDEXRNQE-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO COC1(C[NH2+]CC(F)(F)c2ccccc2)CCCCC1 ZINC000682945157 1120430728 /nfs/dbraw/zinc/43/07/28/1120430728.db2.gz XQIPMQOCSJAXDG-UHFFFAOYSA-N 1 2 283.362 3.717 20 0 CHADLO CCC[C@H]([NH2+]Cc1cc(OC)no1)c1ccc(F)cc1F ZINC000660199996 1120435368 /nfs/dbraw/zinc/43/53/68/1120435368.db2.gz FWBMEVZWIMYEEL-AWEZNQCLSA-N 1 2 296.317 3.592 20 0 CHADLO Fc1ccc(C[N@@H+]2CCCC23CC3)c(F)c1Cl ZINC001143615771 1120443036 /nfs/dbraw/zinc/44/30/36/1120443036.db2.gz HGQQZHMGIVEKBC-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Fc1ccc(C[N@H+]2CCCC23CC3)c(F)c1Cl ZINC001143615771 1120443041 /nfs/dbraw/zinc/44/30/41/1120443041.db2.gz HGQQZHMGIVEKBC-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO CCn1ccc(C[NH2+]Cc2ccc(Cl)c(C)c2Cl)n1 ZINC000922184728 1120452782 /nfs/dbraw/zinc/45/27/82/1120452782.db2.gz UPYDEZGYZRZBAH-UHFFFAOYSA-N 1 2 298.217 3.808 20 0 CHADLO Cc1cc(NC(=O)c2cccn2Cc2cccs2)cc[nH+]1 ZINC000080266149 1120464301 /nfs/dbraw/zinc/46/43/01/1120464301.db2.gz PABKXIBYQQVREN-UHFFFAOYSA-N 1 2 297.383 3.554 20 0 CHADLO CCn1c[nH+]cc1CN(Cc1ccco1)Cc1ccccc1 ZINC000417680077 1120472478 /nfs/dbraw/zinc/47/24/78/1120472478.db2.gz GOGQDPDHTKHQRC-UHFFFAOYSA-N 1 2 295.386 3.698 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)n(C)n1 ZINC000417715081 1120477380 /nfs/dbraw/zinc/47/73/80/1120477380.db2.gz SGGSVCBCYXMQJY-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)n(C)n1 ZINC000417715081 1120477381 /nfs/dbraw/zinc/47/73/81/1120477381.db2.gz SGGSVCBCYXMQJY-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO C[C@H](OCCn1cc[nH+]c1)c1ccc(C(F)(F)F)cc1 ZINC001222135513 1120491461 /nfs/dbraw/zinc/49/14/61/1120491461.db2.gz ZERHRPRONPIONP-NSHDSACASA-N 1 2 284.281 3.680 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C)c(OC(C)C)c1 ZINC000418023802 1120506691 /nfs/dbraw/zinc/50/66/91/1120506691.db2.gz CCZOBJSDKDMWOR-UHFFFAOYSA-N 1 2 273.380 3.611 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000931082449 1120524171 /nfs/dbraw/zinc/52/41/71/1120524171.db2.gz FFCRPZOSTMQAOA-MLGOLLRUSA-N 1 2 285.366 3.760 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000931082449 1120524173 /nfs/dbraw/zinc/52/41/73/1120524173.db2.gz FFCRPZOSTMQAOA-MLGOLLRUSA-N 1 2 285.366 3.760 20 0 CHADLO Cc1nc(C)c([C@@H](C)[NH2+][C@H]2CCCC2(F)F)s1 ZINC000398133073 1120573330 /nfs/dbraw/zinc/57/33/30/1120573330.db2.gz KEXRGOOPHPLMFW-SCZZXKLOSA-N 1 2 260.353 3.598 20 0 CHADLO CNc1ccc([NH2+][C@@H]2CCc3c(cccc3OC)C2)cc1 ZINC000629654941 1128922821 /nfs/dbraw/zinc/92/28/21/1128922821.db2.gz UMLMQIWHJIADHQ-MRXNPFEDSA-N 1 2 282.387 3.706 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1coc2ccccc12 ZINC000397932631 1120535265 /nfs/dbraw/zinc/53/52/65/1120535265.db2.gz CEQQQWSMQAOMKY-CYBMUJFWSA-N 1 2 251.276 3.710 20 0 CHADLO CC(C)Oc1cccc(C[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000398009407 1120553151 /nfs/dbraw/zinc/55/31/51/1120553151.db2.gz BBHKBMDKDZIZJT-CQSZACIVSA-N 1 2 269.335 3.751 20 0 CHADLO Fc1ccc2oc(C[NH2+][C@@H](c3ccccn3)C3CC3)nc2c1 ZINC000922582176 1120555807 /nfs/dbraw/zinc/55/58/07/1120555807.db2.gz MPFJZRKMDOJTKB-QGZVFWFLSA-N 1 2 297.333 3.603 20 0 CHADLO Cc1ccc2cc(C[NH2+][C@@H]3CCCC3(F)F)ccc2n1 ZINC000398065662 1120563405 /nfs/dbraw/zinc/56/34/05/1120563405.db2.gz CBRDYYVGBPWRKY-OAHLLOKOSA-N 1 2 276.330 3.821 20 0 CHADLO COc1cccc(-c2ccc([C@@H]3CC(=O)CC[N@@H+]3C)cc2)c1 ZINC000629692338 1128925376 /nfs/dbraw/zinc/92/53/76/1128925376.db2.gz LQJLCLYENHZZOY-IBGZPJMESA-N 1 2 295.382 3.698 20 0 CHADLO COc1cccc(-c2ccc([C@@H]3CC(=O)CC[N@H+]3C)cc2)c1 ZINC000629692338 1128925380 /nfs/dbraw/zinc/92/53/80/1128925380.db2.gz LQJLCLYENHZZOY-IBGZPJMESA-N 1 2 295.382 3.698 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc2c(c1)OCCCO2 ZINC000398128934 1120573216 /nfs/dbraw/zinc/57/32/16/1120573216.db2.gz SJNDJTRZCNFYRJ-IAQYHMDHSA-N 1 2 297.345 3.686 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCC[C@@H](c3cccnc3)C2)c1 ZINC000652068095 1120577447 /nfs/dbraw/zinc/57/74/47/1120577447.db2.gz RGNZYQKRVKYWTH-WBVHZDCISA-N 1 2 297.402 3.761 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CS[C@@H](C(C)(C)C)C2)c1 ZINC000652067685 1120577602 /nfs/dbraw/zinc/57/76/02/1120577602.db2.gz DASJYFFLMGFAFF-CHWSQXEVSA-N 1 2 280.437 3.560 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2c3cc(C)ccc3C[C@@H]2C)c1 ZINC000652067949 1120577892 /nfs/dbraw/zinc/57/78/92/1120577892.db2.gz MLBJGVHYDMKZAL-UGSOOPFHSA-N 1 2 282.387 3.882 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1oc(C(C)C)nc1C ZINC000428956094 1120586373 /nfs/dbraw/zinc/58/63/73/1120586373.db2.gz MMSYCXNCCDTDTB-UHFFFAOYSA-N 1 2 291.395 3.736 20 0 CHADLO COc1ccc2c(c1)[C@H]([NH2+][C@H]1CCCC1(F)F)CCC2 ZINC000398197019 1120590483 /nfs/dbraw/zinc/59/04/83/1120590483.db2.gz OHKGVUFKLWGJEK-CABCVRRESA-N 1 2 281.346 3.850 20 0 CHADLO c1snnc1C[NH2+][C@@H](Cc1ccccc1)c1ccccc1 ZINC000398228063 1120595959 /nfs/dbraw/zinc/59/59/59/1120595959.db2.gz GQMYSUFOKOVBOO-KRWDZBQOSA-N 1 2 295.411 3.612 20 0 CHADLO CCCCC[C@](C)(CC)C(=O)N(C)CCCn1cc[nH+]c1 ZINC000780926766 1120600003 /nfs/dbraw/zinc/60/00/03/1120600003.db2.gz IJHHTJNYHNFTHO-KRWDZBQOSA-N 1 2 293.455 3.728 20 0 CHADLO Fc1ccc(/C=C/C[NH2+][C@H]2CCCC2(F)F)cc1F ZINC000673617776 1120601334 /nfs/dbraw/zinc/60/13/34/1120601334.db2.gz IQHCMSCWBFRBAJ-IBUXWKBASA-N 1 2 273.273 3.755 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@@H]1c1ccc(-c2cccc(F)c2)cc1 ZINC000629711024 1128928378 /nfs/dbraw/zinc/92/83/78/1128928378.db2.gz PYTBXDITCAAYHC-GOSISDBHSA-N 1 2 283.346 3.829 20 0 CHADLO C[N@H+]1CCC(=O)C[C@@H]1c1ccc(-c2cccc(F)c2)cc1 ZINC000629711024 1128928382 /nfs/dbraw/zinc/92/83/82/1128928382.db2.gz PYTBXDITCAAYHC-GOSISDBHSA-N 1 2 283.346 3.829 20 0 CHADLO Fc1cccc(F)c1C[NH2+][C@@H]1CCCc2cccnc21 ZINC000922882009 1120618383 /nfs/dbraw/zinc/61/83/83/1120618383.db2.gz KAXRYXUQMNUMOR-OAHLLOKOSA-N 1 2 274.314 3.527 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+]2CCC[C@@H]2c2ccsc2)o1 ZINC000110107290 1120631360 /nfs/dbraw/zinc/63/13/60/1120631360.db2.gz OEFLOFRSZPRWKB-ZYHUDNBSSA-N 1 2 277.393 3.592 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+]2CCC[C@@H]2c2ccsc2)o1 ZINC000110107290 1120631364 /nfs/dbraw/zinc/63/13/64/1120631364.db2.gz OEFLOFRSZPRWKB-ZYHUDNBSSA-N 1 2 277.393 3.592 20 0 CHADLO CCC(C)(CC)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000158941661 1120636581 /nfs/dbraw/zinc/63/65/81/1120636581.db2.gz ZICWAEXHTRKUIM-UHFFFAOYSA-N 1 2 289.354 3.776 20 0 CHADLO CC[C@@H](OCc1c[nH+]cn1C)c1cccc(F)c1C ZINC001222599730 1120650642 /nfs/dbraw/zinc/65/06/42/1120650642.db2.gz DKWHDZHPMASDLC-OAHLLOKOSA-N 1 2 262.328 3.536 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H](C)O1 ZINC000511842441 1120660173 /nfs/dbraw/zinc/66/01/73/1120660173.db2.gz KDNJOWUZIAEZML-BXUZGUMPSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H](C)O1 ZINC000511842441 1120660175 /nfs/dbraw/zinc/66/01/75/1120660175.db2.gz KDNJOWUZIAEZML-BXUZGUMPSA-N 1 2 287.325 3.705 20 0 CHADLO C[C@@H](c1ccc(C(C)(C)C)cc1)[N@@H+]1CCn2cncc2C1 ZINC000660580534 1120664590 /nfs/dbraw/zinc/66/45/90/1120664590.db2.gz QADWREXCEILAPN-AWEZNQCLSA-N 1 2 283.419 3.757 20 0 CHADLO C[C@@H](c1ccc(C(C)(C)C)cc1)[N@H+]1CCn2cncc2C1 ZINC000660580534 1120664594 /nfs/dbraw/zinc/66/45/94/1120664594.db2.gz QADWREXCEILAPN-AWEZNQCLSA-N 1 2 283.419 3.757 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(O)ccc1Cl)c1nccs1 ZINC000625319662 1120667440 /nfs/dbraw/zinc/66/74/40/1120667440.db2.gz JUISEJIPJOMYQF-GFCCVEGCSA-N 1 2 282.796 3.743 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@H](c3ccccn3)C2)s1 ZINC000660625036 1120669359 /nfs/dbraw/zinc/66/93/59/1120669359.db2.gz CUYDUZMBIAGTME-LLVKDONJSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@H](c3ccccn3)C2)s1 ZINC000660625036 1120669362 /nfs/dbraw/zinc/66/93/62/1120669362.db2.gz CUYDUZMBIAGTME-LLVKDONJSA-N 1 2 293.823 3.571 20 0 CHADLO COc1cccc2c(NC(C3CC3)C3CC3)cc[nH+]c12 ZINC001168747312 1120689861 /nfs/dbraw/zinc/68/98/61/1120689861.db2.gz SEVHHLWCCCIGHU-UHFFFAOYSA-N 1 2 268.360 3.844 20 0 CHADLO CC[N@H+](Cc1nnc(C(F)(F)F)s1)C1CC(C)(C)C1 ZINC000660807515 1120690765 /nfs/dbraw/zinc/69/07/65/1120690765.db2.gz JGEYCQKMMXQFQL-UHFFFAOYSA-N 1 2 293.358 3.567 20 0 CHADLO CC[N@@H+](Cc1nnc(C(F)(F)F)s1)C1CC(C)(C)C1 ZINC000660807515 1120690768 /nfs/dbraw/zinc/69/07/68/1120690768.db2.gz JGEYCQKMMXQFQL-UHFFFAOYSA-N 1 2 293.358 3.567 20 0 CHADLO FC1CC(Nc2ccc(C3(C(F)(F)F)CC3)c[nH+]2)C1 ZINC001162209224 1120698674 /nfs/dbraw/zinc/69/86/74/1120698674.db2.gz CHMAWLRXELUGEK-UHFFFAOYSA-N 1 2 274.261 3.588 20 0 CHADLO CC[C@H](CC(F)F)C[NH+]1Cc2cc(F)c(F)cc2C1 ZINC000660925873 1120703026 /nfs/dbraw/zinc/70/30/26/1120703026.db2.gz GNPPARRCNKTCPG-SECBINFHSA-N 1 2 275.289 3.962 20 0 CHADLO Cc1cccc(N[C@H](C)c2cn3c([nH+]2)CCCC3)c1 ZINC000925721706 1120708025 /nfs/dbraw/zinc/70/80/25/1120708025.db2.gz DPOZFSZHGHVDDF-CYBMUJFWSA-N 1 2 255.365 3.701 20 0 CHADLO C[N@H+](Cc1cnc[nH]1)Cc1ccc(C2CCCC2)cc1 ZINC000661090290 1120715447 /nfs/dbraw/zinc/71/54/47/1120715447.db2.gz PATJOEZMVQKRSI-UHFFFAOYSA-N 1 2 269.392 3.699 20 0 CHADLO C[N@@H+](Cc1cnc[nH]1)Cc1ccc(C2CCCC2)cc1 ZINC000661090290 1120715451 /nfs/dbraw/zinc/71/54/51/1120715451.db2.gz PATJOEZMVQKRSI-UHFFFAOYSA-N 1 2 269.392 3.699 20 0 CHADLO CCc1nc(C[NH+]2CCC(c3ccco3)CC2)cs1 ZINC000661164400 1120729783 /nfs/dbraw/zinc/72/97/83/1120729783.db2.gz FOZFRAJWOCKKLX-UHFFFAOYSA-N 1 2 276.405 3.678 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169589 1120731638 /nfs/dbraw/zinc/73/16/38/1120731638.db2.gz MWBBVVMDEYDMAC-BDJLRTHQSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169589 1120731644 /nfs/dbraw/zinc/73/16/44/1120731644.db2.gz MWBBVVMDEYDMAC-BDJLRTHQSA-N 1 2 281.346 3.527 20 0 CHADLO Cc1ccc2c(c1)C[N@H+]([C@@H](C)c1nc(C(C)C)no1)CC2 ZINC000661375077 1120758671 /nfs/dbraw/zinc/75/86/71/1120758671.db2.gz IKOZHLNHQCBBJL-ZDUSSCGKSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+]([C@@H](C)c1nc(C(C)C)no1)CC2 ZINC000661375077 1120758675 /nfs/dbraw/zinc/75/86/75/1120758675.db2.gz IKOZHLNHQCBBJL-ZDUSSCGKSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1csc(C3CC3)n1)CC2 ZINC000661371506 1120758731 /nfs/dbraw/zinc/75/87/31/1120758731.db2.gz LJZPGNGBHAQOFX-UHFFFAOYSA-N 1 2 284.428 3.887 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1csc(C3CC3)n1)CC2 ZINC000661371506 1120758735 /nfs/dbraw/zinc/75/87/35/1120758735.db2.gz LJZPGNGBHAQOFX-UHFFFAOYSA-N 1 2 284.428 3.887 20 0 CHADLO Cc1cccc(Cl)c1C[NH2+][C@@H](C)c1cscn1 ZINC000661414329 1120763504 /nfs/dbraw/zinc/76/35/04/1120763504.db2.gz LZEGGXPFJGISNB-JTQLQIEISA-N 1 2 266.797 3.956 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)on1 ZINC000661437205 1120764383 /nfs/dbraw/zinc/76/43/83/1120764383.db2.gz ZYGXDWPUBACFFO-OAHLLOKOSA-N 1 2 272.348 3.693 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)on1 ZINC000661437205 1120764388 /nfs/dbraw/zinc/76/43/88/1120764388.db2.gz ZYGXDWPUBACFFO-OAHLLOKOSA-N 1 2 272.348 3.693 20 0 CHADLO COc1cccc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)n1 ZINC000661444651 1120765916 /nfs/dbraw/zinc/76/59/16/1120765916.db2.gz BDQNKPIIAGRFHE-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO COc1cccc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)n1 ZINC000661444651 1120765918 /nfs/dbraw/zinc/76/59/18/1120765918.db2.gz BDQNKPIIAGRFHE-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO C[C@@H]1COC2(CCC2)C[N@@H+]1Cc1ccc(Cl)s1 ZINC000661464759 1120769206 /nfs/dbraw/zinc/76/92/06/1120769206.db2.gz AIONJSNCUMGFLW-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1COC2(CCC2)C[N@H+]1Cc1ccc(Cl)s1 ZINC000661464759 1120769209 /nfs/dbraw/zinc/76/92/09/1120769209.db2.gz AIONJSNCUMGFLW-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO CCc1nnc(C[NH2+][C@H](c2ccccc2F)C(C)C)s1 ZINC000661485195 1120769762 /nfs/dbraw/zinc/76/97/62/1120769762.db2.gz OHSRDIIRAGDYEL-HNNXBMFYSA-N 1 2 293.411 3.727 20 0 CHADLO c1cc(C[NH2+]Cc2ccc(-c3ccccc3)cc2)no1 ZINC000093305748 1120776400 /nfs/dbraw/zinc/77/64/00/1120776400.db2.gz MSNCSHRFDBHTCW-UHFFFAOYSA-N 1 2 264.328 3.631 20 0 CHADLO CC/C=C\CC[N@@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000661538715 1120776442 /nfs/dbraw/zinc/77/64/42/1120776442.db2.gz ZQRJPEKSTVHCTA-MVZIDQBPSA-N 1 2 299.340 3.989 20 0 CHADLO CC/C=C\CC[N@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000661538715 1120776446 /nfs/dbraw/zinc/77/64/46/1120776446.db2.gz ZQRJPEKSTVHCTA-MVZIDQBPSA-N 1 2 299.340 3.989 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)[C@@H](C)CO1 ZINC000651930793 1120784146 /nfs/dbraw/zinc/78/41/46/1120784146.db2.gz CNOYEPDDGZJBFD-GXTWGEPZSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)[C@@H](C)CO1 ZINC000651930793 1120784149 /nfs/dbraw/zinc/78/41/49/1120784149.db2.gz CNOYEPDDGZJBFD-GXTWGEPZSA-N 1 2 294.464 3.800 20 0 CHADLO CC(C)OCC[N@@H+]1CCO[C@H](c2cccc(Cl)c2)[C@H]1C ZINC000661797957 1120817005 /nfs/dbraw/zinc/81/70/05/1120817005.db2.gz LAZXBPRHTNRXNJ-CJNGLKHVSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OCC[N@H+]1CCO[C@H](c2cccc(Cl)c2)[C@H]1C ZINC000661797957 1120817006 /nfs/dbraw/zinc/81/70/06/1120817006.db2.gz LAZXBPRHTNRXNJ-CJNGLKHVSA-N 1 2 297.826 3.527 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC1SCCS1 ZINC000692833443 1120822623 /nfs/dbraw/zinc/82/26/23/1120822623.db2.gz LKXQSOCRNONGJJ-UHFFFAOYSA-N 1 2 276.430 3.761 20 0 CHADLO COc1c(F)cc(Nc2cccn3cc(C)[nH+]c23)cc1F ZINC001211673891 1120824592 /nfs/dbraw/zinc/82/45/92/1120824592.db2.gz BPKVJNBCLSCIEW-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Cc1ccccc1Cc1nc(C[NH2+]C2(CC(C)C)CC2)no1 ZINC000662069389 1120869514 /nfs/dbraw/zinc/86/95/14/1120869514.db2.gz LHHSGLRZCHPKQS-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO C[C@H]([NH2+]Cc1ccoc1)c1c(F)cccc1Cl ZINC000310125454 1120915144 /nfs/dbraw/zinc/91/51/44/1120915144.db2.gz WGZBZNGPUQSKIK-VIFPVBQESA-N 1 2 253.704 3.923 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662362795 1120930502 /nfs/dbraw/zinc/93/05/02/1120930502.db2.gz IQPILEYJLRWRQJ-JRPNMDOOSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662362795 1120930506 /nfs/dbraw/zinc/93/05/06/1120930506.db2.gz IQPILEYJLRWRQJ-JRPNMDOOSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662362800 1120930763 /nfs/dbraw/zinc/93/07/63/1120930763.db2.gz IQPILEYJLRWRQJ-ZETOZRRWSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662362800 1120930766 /nfs/dbraw/zinc/93/07/66/1120930766.db2.gz IQPILEYJLRWRQJ-ZETOZRRWSA-N 1 2 287.325 3.631 20 0 CHADLO Fc1cccc([C@@H]2COCC[N@@H+]2C[C@H]2CCC(F)(F)C2)c1 ZINC000661736044 1120940764 /nfs/dbraw/zinc/94/07/64/1120940764.db2.gz JOQSCGLGVRKOLA-WFASDCNBSA-N 1 2 299.336 3.634 20 0 CHADLO Fc1cccc([C@@H]2COCC[N@H+]2C[C@H]2CCC(F)(F)C2)c1 ZINC000661736044 1120940767 /nfs/dbraw/zinc/94/07/67/1120940767.db2.gz JOQSCGLGVRKOLA-WFASDCNBSA-N 1 2 299.336 3.634 20 0 CHADLO CC[C@@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC000078608887 1128951012 /nfs/dbraw/zinc/95/10/12/1128951012.db2.gz SRNNYUGQZUSTMT-NVXWUHKLSA-N 1 2 274.408 3.656 20 0 CHADLO CC[C@@H]1C[C@H]([NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC000078608887 1128951015 /nfs/dbraw/zinc/95/10/15/1128951015.db2.gz SRNNYUGQZUSTMT-NVXWUHKLSA-N 1 2 274.408 3.656 20 0 CHADLO c1cn(CCCNc2cccc(C3CCC3)c2)c[nH+]1 ZINC000709653515 1120955874 /nfs/dbraw/zinc/95/58/74/1120955874.db2.gz VFZXTIWJWUBKRQ-UHFFFAOYSA-N 1 2 255.365 3.653 20 0 CHADLO COc1cc(C[N@@H+]2CCC[C@@H]2CF)cc(C(F)(F)F)c1 ZINC001143525752 1120958690 /nfs/dbraw/zinc/95/86/90/1120958690.db2.gz YNSUWSZZQPHPRW-GFCCVEGCSA-N 1 2 291.288 3.648 20 0 CHADLO COc1cc(C[N@H+]2CCC[C@@H]2CF)cc(C(F)(F)F)c1 ZINC001143525752 1120958693 /nfs/dbraw/zinc/95/86/93/1120958693.db2.gz YNSUWSZZQPHPRW-GFCCVEGCSA-N 1 2 291.288 3.648 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nnsc2Cl)[C@H]2CCCC[C@H]12 ZINC000051765839 1120985673 /nfs/dbraw/zinc/98/56/73/1120985673.db2.gz FXTNGVRIJFGKFS-FOGDFJRCSA-N 1 2 285.844 3.592 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nnsc2Cl)[C@H]2CCCC[C@H]12 ZINC000051765839 1120985677 /nfs/dbraw/zinc/98/56/77/1120985677.db2.gz FXTNGVRIJFGKFS-FOGDFJRCSA-N 1 2 285.844 3.592 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(OC(C)C)nc2)[nH+]1 ZINC001174763034 1121021709 /nfs/dbraw/zinc/02/17/09/1121021709.db2.gz OLPSNLSGXYHCGM-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@H]1COc2c1ccc(C)c2C ZINC000925365643 1121024439 /nfs/dbraw/zinc/02/44/39/1121024439.db2.gz CFDSAGYQKVFHGA-GJZGRUSLSA-N 1 2 298.386 3.701 20 0 CHADLO Cc1cccn2cc(C[N@@H+]3CC[C@@H]3c3ccccc3)nc12 ZINC000674005972 1121035708 /nfs/dbraw/zinc/03/57/08/1121035708.db2.gz WBFVPUSYIGARGR-QGZVFWFLSA-N 1 2 277.371 3.590 20 0 CHADLO Cc1cccn2cc(C[N@H+]3CC[C@@H]3c3ccccc3)nc12 ZINC000674005972 1121035715 /nfs/dbraw/zinc/03/57/15/1121035715.db2.gz WBFVPUSYIGARGR-QGZVFWFLSA-N 1 2 277.371 3.590 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@@H+]1CC[C@H](C)[C@H](C)C1 ZINC001307589525 1121048679 /nfs/dbraw/zinc/04/86/79/1121048679.db2.gz OHAZTGOLJYCQCE-ZOWXZIJZSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@H+]1CC[C@H](C)[C@H](C)C1 ZINC001307589525 1121048686 /nfs/dbraw/zinc/04/86/86/1121048686.db2.gz OHAZTGOLJYCQCE-ZOWXZIJZSA-N 1 2 295.810 3.532 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1cccc(F)c1 ZINC000223046188 1121061218 /nfs/dbraw/zinc/06/12/18/1121061218.db2.gz FUIOHIURSMRRKE-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+]1Cc2ccc(O)cc2C1 ZINC000625726458 1121069316 /nfs/dbraw/zinc/06/93/16/1121069316.db2.gz KCOPTBDFSDWRBY-NSHDSACASA-N 1 2 257.308 3.608 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+]1Cc2ccc(O)cc2C1 ZINC000625726458 1121069324 /nfs/dbraw/zinc/06/93/24/1121069324.db2.gz KCOPTBDFSDWRBY-NSHDSACASA-N 1 2 257.308 3.608 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(OC(F)F)cc2)oc1C ZINC000049982752 1121070846 /nfs/dbraw/zinc/07/08/46/1121070846.db2.gz KVNBMBNLHGBCRM-UHFFFAOYSA-N 1 2 296.317 3.525 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(OC(F)F)cc2)oc1C ZINC000049982752 1121070853 /nfs/dbraw/zinc/07/08/53/1121070853.db2.gz KVNBMBNLHGBCRM-UHFFFAOYSA-N 1 2 296.317 3.525 20 0 CHADLO O=C(C/C=C\c1cccc(F)c1)Nc1ccc2[nH+]ccn2c1 ZINC000674092710 1121083182 /nfs/dbraw/zinc/08/31/82/1121083182.db2.gz NLCJANNTRMNSCP-RQOWECAXSA-N 1 2 295.317 3.515 20 0 CHADLO COc1cc(F)c(Nc2ccc(C)[nH+]c2C)cc1F ZINC001174923941 1121097130 /nfs/dbraw/zinc/09/71/30/1121097130.db2.gz LTFYNSWFPSELHJ-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1ccc(F)c(C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000171265333 1121107848 /nfs/dbraw/zinc/10/78/48/1121107848.db2.gz ZRLSKUHPBYHRGG-UHFFFAOYSA-N 1 2 272.323 3.707 20 0 CHADLO CN(C)c1cccc(Nc2[nH+]cccc2C2CC2)c1 ZINC001174965020 1121116257 /nfs/dbraw/zinc/11/62/57/1121116257.db2.gz QTPGEHLFIDGGIF-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(F)c(F)c1F ZINC001174970330 1121119644 /nfs/dbraw/zinc/11/96/44/1121119644.db2.gz ZOCYLRSESRXVTM-UHFFFAOYSA-N 1 2 268.238 3.641 20 0 CHADLO CC[N@H+](CC1CC1)Cn1nc(-c2ccccc2)oc1=S ZINC000261421819 1121128290 /nfs/dbraw/zinc/12/82/90/1121128290.db2.gz AJOOLCVJSORCOY-UHFFFAOYSA-N 1 2 289.404 3.562 20 0 CHADLO CC[N@@H+](CC1CC1)Cn1nc(-c2ccccc2)oc1=S ZINC000261421819 1121128292 /nfs/dbraw/zinc/12/82/92/1121128292.db2.gz AJOOLCVJSORCOY-UHFFFAOYSA-N 1 2 289.404 3.562 20 0 CHADLO COc1ccc(Nc2ccc(C)[nH+]c2C)c(F)c1F ZINC001175020678 1121137797 /nfs/dbraw/zinc/13/77/97/1121137797.db2.gz SZBJLTNJWLVFPG-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCCCC[C@@H](C)CC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000365602098 1121139733 /nfs/dbraw/zinc/13/97/33/1121139733.db2.gz LVBDNNWLSADSQP-CABCVRRESA-N 1 2 291.439 3.722 20 0 CHADLO Cc1cc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(C)o1 ZINC000162767039 1121156525 /nfs/dbraw/zinc/15/65/25/1121156525.db2.gz DZRCLHHWGORHIK-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(CC(=O)OCC)cc2)c1 ZINC001212520095 1121164358 /nfs/dbraw/zinc/16/43/58/1121164358.db2.gz XZAYSJAHQNSSNV-UHFFFAOYSA-N 1 2 298.386 3.883 20 0 CHADLO CCOc1ccc(F)cc1Nc1ccc(C)[nH+]c1C ZINC001175078029 1121174098 /nfs/dbraw/zinc/17/40/98/1121174098.db2.gz ZMUBYPXOZLCWJO-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2cccc3c2OCC3)c(C)s1 ZINC000692917371 1121175266 /nfs/dbraw/zinc/17/52/66/1121175266.db2.gz XCWUGSBAFDUUFJ-JTQLQIEISA-N 1 2 288.416 3.546 20 0 CHADLO Cc1ccc(Nc2cc(F)c(F)cc2F)c(C)[nH+]1 ZINC001175086257 1121178385 /nfs/dbraw/zinc/17/83/85/1121178385.db2.gz TXUFXORIUUQNOA-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1N(C)C)c1cscn1 ZINC000658151694 1121184381 /nfs/dbraw/zinc/18/43/81/1121184381.db2.gz LSQNDUSEXAYPQZ-WDEREUQCSA-N 1 2 293.411 3.760 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]ccn32)c(F)c1F ZINC001175149588 1121185453 /nfs/dbraw/zinc/18/54/53/1121185453.db2.gz DHWPLJNAHWEZGM-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccc(Cl)o1)c1nccs1 ZINC000344437695 1121187143 /nfs/dbraw/zinc/18/71/43/1121187143.db2.gz PKUSUYDQVXCKAQ-GFCCVEGCSA-N 1 2 270.785 3.805 20 0 CHADLO CCOc1ccc(C)cc1Nc1c[nH+]ccc1OC ZINC001175123410 1121192984 /nfs/dbraw/zinc/19/29/84/1121192984.db2.gz UMBLYEILTMSLES-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CCOc1cccc(Nc2ccc(N(C)CC)[nH+]c2)c1F ZINC001175235913 1121237394 /nfs/dbraw/zinc/23/73/94/1121237394.db2.gz HTLWDLSZDCNROI-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OC)c(C)c1 ZINC001175243520 1121242859 /nfs/dbraw/zinc/24/28/59/1121242859.db2.gz WRGWURICSQLHCN-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CCOc1ccc(Nc2c[nH+]c(C)cc2C)c(F)c1 ZINC001175247921 1121244715 /nfs/dbraw/zinc/24/47/15/1121244715.db2.gz UPLQGCSHWVPHAT-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001175275713 1121249427 /nfs/dbraw/zinc/24/94/27/1121249427.db2.gz JQODQLXDEWDHJL-UHFFFAOYSA-N 1 2 283.306 3.659 20 0 CHADLO Cc1cc(NC(=O)c2c(Cl)c3ccccc3n2C)cc[nH+]1 ZINC000112298360 1121253212 /nfs/dbraw/zinc/25/32/12/1121253212.db2.gz FWLRADNSGKTWSG-UHFFFAOYSA-N 1 2 299.761 3.787 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+][C@H](C)c2nnc(C)o2)cc1 ZINC000112543502 1121256432 /nfs/dbraw/zinc/25/64/32/1121256432.db2.gz MKNBEIXBBRRDAL-GHMZBOCLSA-N 1 2 291.420 3.902 20 0 CHADLO Oc1ccc(F)c(Nc2ccc(-n3cc[nH+]c3)cc2)c1F ZINC001175265810 1121268242 /nfs/dbraw/zinc/26/82/42/1121268242.db2.gz PNPVLDJLNMYJFD-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO Cc1nc(C(F)(F)F)ccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175341434 1121271829 /nfs/dbraw/zinc/27/18/29/1121271829.db2.gz RATDFKJQKSFATC-UHFFFAOYSA-N 1 2 296.296 3.613 20 0 CHADLO CN(C)c1ccc(Nc2cccc(-c3cnco3)c2)c[nH+]1 ZINC001175343090 1121271966 /nfs/dbraw/zinc/27/19/66/1121271966.db2.gz GAJRHYKPXKLQDD-UHFFFAOYSA-N 1 2 280.331 3.546 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2Br)c[nH+]1 ZINC001175342537 1121272050 /nfs/dbraw/zinc/27/20/50/1121272050.db2.gz STOKFMODUKRPEN-UHFFFAOYSA-N 1 2 292.180 3.654 20 0 CHADLO CC(C)Oc1ccccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175343804 1121274753 /nfs/dbraw/zinc/27/47/53/1121274753.db2.gz PJSUVYPFUJSPIH-UHFFFAOYSA-N 1 2 271.364 3.678 20 0 CHADLO CN(C)c1ccc(Nc2csc3ncccc23)c[nH+]1 ZINC001175338874 1121290658 /nfs/dbraw/zinc/29/06/58/1121290658.db2.gz PJEXJUFMKPDWPR-UHFFFAOYSA-N 1 2 270.361 3.501 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(F)c(OC)c2)c1 ZINC001175396253 1121290740 /nfs/dbraw/zinc/29/07/40/1121290740.db2.gz HLOVHFMWNYGXJB-UHFFFAOYSA-N 1 2 260.312 3.925 20 0 CHADLO CN(C)c1ccc(Nc2cc3c(s2)CCCC3)c[nH+]1 ZINC001175340753 1121290778 /nfs/dbraw/zinc/29/07/78/1121290778.db2.gz DTOKBILYYMOXFG-UHFFFAOYSA-N 1 2 273.405 3.832 20 0 CHADLO Fc1cc(Nc2ccc(N3CCCC3)[nH+]c2)cnc1Cl ZINC001175350150 1121291962 /nfs/dbraw/zinc/29/19/62/1121291962.db2.gz UPVKDMMLGKVYCS-UHFFFAOYSA-N 1 2 292.745 3.613 20 0 CHADLO c1noc2cc(Nc3ccc(N4CCCC4)[nH+]c3)ccc12 ZINC001175350901 1121292474 /nfs/dbraw/zinc/29/24/74/1121292474.db2.gz JCAWJQOOFFYAGH-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO c1cn(-c2ccccc2Nc2cnc3cccnc3c2)c[nH+]1 ZINC001175355929 1121295627 /nfs/dbraw/zinc/29/56/27/1121295627.db2.gz GASFMBXHBADVBI-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO Cc1ccnc(F)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212557561 1121298255 /nfs/dbraw/zinc/29/82/55/1121298255.db2.gz KBYICGWELHCDCH-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO COc1ncc(Nc2ccc([NH+]3CCCCC3)cc2)s1 ZINC001175390952 1121309986 /nfs/dbraw/zinc/30/99/86/1121309986.db2.gz HWSXTPSPRGDQLS-UHFFFAOYSA-N 1 2 289.404 3.886 20 0 CHADLO CO[C@@H]1CCC[N@H+](Cc2ccc(F)c(Cl)c2Cl)C1 ZINC000432742550 1121310116 /nfs/dbraw/zinc/31/01/16/1121310116.db2.gz UAXKCCQWNKDCPU-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@@H]1CCC[N@@H+](Cc2ccc(F)c(Cl)c2Cl)C1 ZINC000432742550 1121310122 /nfs/dbraw/zinc/31/01/22/1121310122.db2.gz UAXKCCQWNKDCPU-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO COc1cc(Nc2cccc(Cn3cc[nH+]c3)c2)ccc1F ZINC001175399353 1121313024 /nfs/dbraw/zinc/31/30/24/1121313024.db2.gz DGCOETHGHSNPAX-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO Cc1cc(O)cc(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001206248403 1121324087 /nfs/dbraw/zinc/32/40/87/1121324087.db2.gz SKPCIPFYBVJYMS-UHFFFAOYSA-N 1 2 264.328 3.768 20 0 CHADLO FC1(F)CC[C@H](COc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000418880901 1121328468 /nfs/dbraw/zinc/32/84/68/1121328468.db2.gz GGARAFUOPLUVGD-LBPRGKRZSA-N 1 2 278.302 3.687 20 0 CHADLO Cc1cn2cccc(Nc3ccc4n[nH]cc4c3C)c2[nH+]1 ZINC001175469552 1121334047 /nfs/dbraw/zinc/33/40/47/1121334047.db2.gz WLTGIELBWUBTDZ-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1cc(Cl)cc(C(=O)Nc2ccc3[nH+]ccn3c2)c1 ZINC000675637749 1121336032 /nfs/dbraw/zinc/33/60/32/1121336032.db2.gz YJHRKGCFKNFSFY-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCCc2ccccc2C1 ZINC000763540764 1128978795 /nfs/dbraw/zinc/97/87/95/1128978795.db2.gz WHULJKJEDASLKL-MDWZMJQESA-N 1 2 256.176 3.754 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCCc2ccccc2C1 ZINC000763540764 1128978798 /nfs/dbraw/zinc/97/87/98/1128978798.db2.gz WHULJKJEDASLKL-MDWZMJQESA-N 1 2 256.176 3.754 20 0 CHADLO C[C@H]1CC[C@@H]([N@H+](C)Cc2noc(C3CCCCC3)n2)C1 ZINC000429584729 1121342612 /nfs/dbraw/zinc/34/26/12/1121342612.db2.gz GIXJQPOMCHZQEF-GXTWGEPZSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@H]1CC[C@@H]([N@@H+](C)Cc2noc(C3CCCCC3)n2)C1 ZINC000429584729 1121342621 /nfs/dbraw/zinc/34/26/21/1121342621.db2.gz GIXJQPOMCHZQEF-GXTWGEPZSA-N 1 2 277.412 3.738 20 0 CHADLO Cn1cc2cccc(Nc3cc(Cl)c4[nH+]ccn4c3)c2n1 ZINC001175459880 1121348573 /nfs/dbraw/zinc/34/85/73/1121348573.db2.gz GKFUYJLFVBMMLS-UHFFFAOYSA-N 1 2 297.749 3.618 20 0 CHADLO Fc1ccccc1[C@@H]1CC[N@H+](C/C(Cl)=C\Cl)C1 ZINC000763538212 1128979625 /nfs/dbraw/zinc/97/96/25/1128979625.db2.gz JRHGJBZYUKJIED-UEUZTHOGSA-N 1 2 274.166 3.934 20 0 CHADLO Fc1ccccc1[C@@H]1CC[N@@H+](C/C(Cl)=C\Cl)C1 ZINC000763538212 1128979628 /nfs/dbraw/zinc/97/96/28/1128979628.db2.gz JRHGJBZYUKJIED-UEUZTHOGSA-N 1 2 274.166 3.934 20 0 CHADLO CCc1cccc(Nc2cc3c[nH]nc3c(C)c2)[nH+]1 ZINC001175517856 1121357530 /nfs/dbraw/zinc/35/75/30/1121357530.db2.gz FEDPENADYKRKSI-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H]2COc3c2cccc3Cl)n1 ZINC000675809571 1121363962 /nfs/dbraw/zinc/36/39/62/1121363962.db2.gz KWSYJKLDAAQVBQ-SKDRFNHKSA-N 1 2 294.807 3.889 20 0 CHADLO Cc1cc(C)c(NC2=CCC[N@@H+](C)C2)c(Br)c1 ZINC001175580428 1121395584 /nfs/dbraw/zinc/39/55/84/1121395584.db2.gz OBEVMRAKDQUMMX-UHFFFAOYSA-N 1 2 295.224 3.697 20 0 CHADLO Cc1cc(C)c(NC2=CCC[N@H+](C)C2)c(Br)c1 ZINC001175580428 1121395592 /nfs/dbraw/zinc/39/55/92/1121395592.db2.gz OBEVMRAKDQUMMX-UHFFFAOYSA-N 1 2 295.224 3.697 20 0 CHADLO Cc1n[nH]cc1[C@@H](C)[NH2+][C@@H](c1ccccc1)c1ccccn1 ZINC000676159527 1121411356 /nfs/dbraw/zinc/41/13/56/1121411356.db2.gz DQDRXMARKAXERP-ACJLOTCBSA-N 1 2 292.386 3.553 20 0 CHADLO Cc1[nH]nc2cc(Nc3cc(C)cc(C)[nH+]3)ccc21 ZINC001175656399 1121421609 /nfs/dbraw/zinc/42/16/09/1121421609.db2.gz AISWQXYGEVHTLJ-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1n[nH]c2cc(Nc3ccc4c(c3)[nH+]cn4C)ccc12 ZINC001175662378 1121426390 /nfs/dbraw/zinc/42/63/90/1121426390.db2.gz JLSODKBENCZIMA-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Cc1cn2cccc(Nc3ccc4ccncc4c3)c2[nH+]1 ZINC001175703143 1121430701 /nfs/dbraw/zinc/43/07/01/1121430701.db2.gz RPOVUTMZFSPRLP-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cc(F)ccc2F)c[nH+]1 ZINC001175712545 1121435148 /nfs/dbraw/zinc/43/51/48/1121435148.db2.gz ODBCSZWDFACLQB-UHFFFAOYSA-N 1 2 289.207 3.704 20 0 CHADLO Cc1cc(O)cc(C)c1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175714635 1121435462 /nfs/dbraw/zinc/43/54/62/1121435462.db2.gz UFJZMOXPGBBHCN-UHFFFAOYSA-N 1 2 297.280 3.749 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2F)nc1 ZINC000676556952 1121443176 /nfs/dbraw/zinc/44/31/76/1121443176.db2.gz BQSLPYRGKMQFTH-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2ccc(F)cc2F)nc1 ZINC000676556952 1121443179 /nfs/dbraw/zinc/44/31/79/1121443179.db2.gz BQSLPYRGKMQFTH-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cc(N2CC[C@@]3(C)[C@@H](C2)C3(F)F)nc(C2CCC2)[nH+]1 ZINC000893944640 1121445433 /nfs/dbraw/zinc/44/54/33/1121445433.db2.gz PXVJNWSOCRDEKZ-DOMZBBRYSA-N 1 2 293.361 3.534 20 0 CHADLO Cc1cc(NCCC(C)(F)F)nc(C2CCC2)[nH+]1 ZINC000894008220 1121452402 /nfs/dbraw/zinc/45/24/02/1121452402.db2.gz XBQDPNMORBYSNL-UHFFFAOYSA-N 1 2 255.312 3.510 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cnn(C3CCC3)c2)c1 ZINC000894047613 1121460022 /nfs/dbraw/zinc/46/00/22/1121460022.db2.gz OQHNGZPWBIIETJ-UHFFFAOYSA-N 1 2 287.382 3.688 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cnn(C3CCC3)c2)c1 ZINC000894047613 1121460025 /nfs/dbraw/zinc/46/00/25/1121460025.db2.gz OQHNGZPWBIIETJ-UHFFFAOYSA-N 1 2 287.382 3.688 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2ccc(C)cc2F)[nH]1 ZINC000631119835 1128986253 /nfs/dbraw/zinc/98/62/53/1128986253.db2.gz DNTZWYZTCYSRGN-NEPJUHHUSA-N 1 2 290.386 3.617 20 0 CHADLO Cc1cscc1C[NH2+]Cc1csc(C(F)(F)F)n1 ZINC000894079546 1121467653 /nfs/dbraw/zinc/46/76/53/1121467653.db2.gz OTGQIWZSFPUQNX-UHFFFAOYSA-N 1 2 292.351 3.822 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCCC[C@H]1c1ccc(F)cc1F ZINC000894094249 1121469804 /nfs/dbraw/zinc/46/98/04/1121469804.db2.gz KKQOJRXPAPUPKS-HNNXBMFYSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1ncoc1C[N@H+]1CCCC[C@H]1c1ccc(F)cc1F ZINC000894094249 1121469807 /nfs/dbraw/zinc/46/98/07/1121469807.db2.gz KKQOJRXPAPUPKS-HNNXBMFYSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCCC[C@@H]1c1ccc(F)cc1F ZINC000894094250 1121470115 /nfs/dbraw/zinc/47/01/15/1121470115.db2.gz KKQOJRXPAPUPKS-OAHLLOKOSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1ncoc1C[N@H+]1CCCC[C@@H]1c1ccc(F)cc1F ZINC000894094250 1121470118 /nfs/dbraw/zinc/47/01/18/1121470118.db2.gz KKQOJRXPAPUPKS-OAHLLOKOSA-N 1 2 292.329 3.988 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(OC)c1Cl ZINC001175896606 1121479885 /nfs/dbraw/zinc/47/98/85/1121479885.db2.gz SKSGNPZRPFNCMO-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO CCOCC[N@H+](C)Cc1csc(Cl)c1Cl ZINC000432923298 1121480682 /nfs/dbraw/zinc/48/06/82/1121480682.db2.gz FCHLIAVMSBCHLI-UHFFFAOYSA-N 1 2 268.209 3.523 20 0 CHADLO CCOCC[N@@H+](C)Cc1csc(Cl)c1Cl ZINC000432923298 1121480691 /nfs/dbraw/zinc/48/06/91/1121480691.db2.gz FCHLIAVMSBCHLI-UHFFFAOYSA-N 1 2 268.209 3.523 20 0 CHADLO Cn1ccc2c1cccc2Nc1ccc[nH+]c1N1CCCC1 ZINC001175862193 1121483646 /nfs/dbraw/zinc/48/36/46/1121483646.db2.gz FMVIHMKAMZMUFS-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cn3cc(C)ccc3n2)C2CC2)o1 ZINC000051967481 1121489531 /nfs/dbraw/zinc/48/95/31/1121489531.db2.gz SWHLFXQGXVQZNF-UHFFFAOYSA-N 1 2 295.386 3.709 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cn3cc(C)ccc3n2)C2CC2)o1 ZINC000051967481 1121489534 /nfs/dbraw/zinc/48/95/34/1121489534.db2.gz SWHLFXQGXVQZNF-UHFFFAOYSA-N 1 2 295.386 3.709 20 0 CHADLO COC(=O)C1(c2ccc(Nc3ccc(C)[nH+]c3C)cc2)CC1 ZINC001175914999 1121497752 /nfs/dbraw/zinc/49/77/52/1121497752.db2.gz WWBWIISQOFGNNM-UHFFFAOYSA-N 1 2 296.370 3.647 20 0 CHADLO Cc1cn2cccc(Nc3cc(C)c(N)c(C)c3)c2[nH+]1 ZINC001175932786 1121500492 /nfs/dbraw/zinc/50/04/92/1121500492.db2.gz VIBATXCEZUCNPQ-UHFFFAOYSA-N 1 2 266.348 3.585 20 0 CHADLO Cc1cc(C)cc(CCNC(=O)c2c(C)cc(C)[nH+]c2C)c1 ZINC001126359362 1121501376 /nfs/dbraw/zinc/50/13/76/1121501376.db2.gz GSRGSZHANAIYSE-UHFFFAOYSA-N 1 2 296.414 3.596 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnn(C2CC2)c1 ZINC001175958723 1121503233 /nfs/dbraw/zinc/50/32/33/1121503233.db2.gz FWGSZXSGCBJTOP-UHFFFAOYSA-N 1 2 256.353 3.788 20 0 CHADLO Clc1cc(Nc2cccc3c2OCC3)cn2cc[nH+]c12 ZINC001176074219 1121528862 /nfs/dbraw/zinc/52/88/62/1121528862.db2.gz JYIIJQMGDOSRIS-UHFFFAOYSA-N 1 2 285.734 3.666 20 0 CHADLO COc1cc(Nc2cc3c(cccc3OC)[nH]2)cc(C)[nH+]1 ZINC001176061713 1121543970 /nfs/dbraw/zinc/54/39/70/1121543970.db2.gz MZZFJFLIZGRQOD-UHFFFAOYSA-N 1 2 283.331 3.632 20 0 CHADLO COc1cccc2[nH]c(Nc3cccn4cc(C)[nH+]c34)cc21 ZINC001176061733 1121544061 /nfs/dbraw/zinc/54/40/61/1121544061.db2.gz KJGNZNGQEJKYKU-UHFFFAOYSA-N 1 2 292.342 3.876 20 0 CHADLO COc1cc[nH+]cc1Nc1cnccc1-c1ccccc1 ZINC001176119300 1121556565 /nfs/dbraw/zinc/55/65/65/1121556565.db2.gz UWZATUSYHXWVRT-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1-c1ccccn1 ZINC001176120497 1121556824 /nfs/dbraw/zinc/55/68/24/1121556824.db2.gz BTLVRPOMYONWOQ-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc2ccc(F)cc2c1 ZINC001176119478 1121557413 /nfs/dbraw/zinc/55/74/13/1121557413.db2.gz UOOWPQNMHNKEJC-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cl)nc1Cl ZINC001176117183 1121557442 /nfs/dbraw/zinc/55/74/42/1121557442.db2.gz VDRPFEZBKBQYSX-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1Br ZINC001176121429 1121558231 /nfs/dbraw/zinc/55/82/31/1121558231.db2.gz IHSUIWMKIVQCPU-UHFFFAOYSA-N 1 2 279.137 3.596 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(C)c1Br ZINC001176123421 1121558560 /nfs/dbraw/zinc/55/85/60/1121558560.db2.gz SKTPFTXNRHNLNR-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)ccc(Cl)c1F ZINC001176122910 1121558914 /nfs/dbraw/zinc/55/89/14/1121558914.db2.gz KZNTVMORVLBCOR-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC)c(C(C)C)c1 ZINC001176125001 1121560513 /nfs/dbraw/zinc/56/05/13/1121560513.db2.gz UNIQXTYSNKTYKZ-UHFFFAOYSA-N 1 2 272.348 3.966 20 0 CHADLO O=c1ccc(Nc2ccc([NH+]3CCCCC3)cc2)c[nH]1 ZINC001176128513 1121560529 /nfs/dbraw/zinc/56/05/29/1121560529.db2.gz GAMHKCCOCNGMGI-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ncc(C(C)(C)C)s2)o1 ZINC000631242285 1128994513 /nfs/dbraw/zinc/99/45/13/1128994513.db2.gz DVCLWRDKKCYVQJ-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO COc1cccc2c1C[N@H+](CCC(=O)c1ccc(C)cc1)C2 ZINC000626022605 1121581685 /nfs/dbraw/zinc/58/16/85/1121581685.db2.gz AIOLUHJTYJQLQT-UHFFFAOYSA-N 1 2 295.382 3.592 20 0 CHADLO COc1cccc2c1C[N@@H+](CCC(=O)c1ccc(C)cc1)C2 ZINC000626022605 1121581691 /nfs/dbraw/zinc/58/16/91/1121581691.db2.gz AIOLUHJTYJQLQT-UHFFFAOYSA-N 1 2 295.382 3.592 20 0 CHADLO COc1cccc2c1C[N@@H+]([C@H](C)c1cccc(F)c1)C2 ZINC000626021793 1121581791 /nfs/dbraw/zinc/58/17/91/1121581791.db2.gz PXBBVZDIEORNGW-GFCCVEGCSA-N 1 2 271.335 3.911 20 0 CHADLO COc1cccc2c1C[N@H+]([C@H](C)c1cccc(F)c1)C2 ZINC000626021793 1121581795 /nfs/dbraw/zinc/58/17/95/1121581795.db2.gz PXBBVZDIEORNGW-GFCCVEGCSA-N 1 2 271.335 3.911 20 0 CHADLO CCOc1cc(Nc2ccc3c(c2)CCC3=O)cc(C)[nH+]1 ZINC001176232782 1121582045 /nfs/dbraw/zinc/58/20/45/1121582045.db2.gz DLZIVIARLQUMNX-UHFFFAOYSA-N 1 2 282.343 3.661 20 0 CHADLO CCc1ccc(C2=CC[N@@H+]([C@H](C)c3nnc(C)[nH]3)CC2)cc1 ZINC000411449307 1121589096 /nfs/dbraw/zinc/58/90/96/1121589096.db2.gz SPJZVWTYIFOKQN-CYBMUJFWSA-N 1 2 296.418 3.526 20 0 CHADLO CCc1ccc(C2=CC[N@H+]([C@H](C)c3nnc(C)[nH]3)CC2)cc1 ZINC000411449307 1121589100 /nfs/dbraw/zinc/58/91/00/1121589100.db2.gz SPJZVWTYIFOKQN-CYBMUJFWSA-N 1 2 296.418 3.526 20 0 CHADLO c1cc(Nc2ccc([NH+]3CCCCC3)cc2)cc2nonc12 ZINC001176325733 1121596325 /nfs/dbraw/zinc/59/63/25/1121596325.db2.gz JRTAFMKGIOFUNL-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)c(F)cc1OCC ZINC001211798728 1121598508 /nfs/dbraw/zinc/59/85/08/1121598508.db2.gz DKYHBIBOXKGVSA-UHFFFAOYSA-N 1 2 294.301 3.901 20 0 CHADLO Oc1ccc(Nc2cccn3cc[nH+]c23)c(C(F)(F)F)c1 ZINC001176339625 1121601416 /nfs/dbraw/zinc/60/14/16/1121601416.db2.gz LSLBGLHHCFQKNX-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO C[C@@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1cncc(F)c1 ZINC000631283299 1128996443 /nfs/dbraw/zinc/99/64/43/1128996443.db2.gz KBHVCRRZGYALKW-MEBBXXQBSA-N 1 2 280.293 3.721 20 0 CHADLO CC(C)(C)n1cc(Nc2ccccc2-n2cc[nH+]c2)cn1 ZINC001212570619 1121604272 /nfs/dbraw/zinc/60/42/72/1121604272.db2.gz UFJRAUDKMQYFNZ-UHFFFAOYSA-N 1 2 281.363 3.567 20 0 CHADLO CCC[N@H+](Cc1nc(C(C)(C)C)no1)Cc1ccccc1 ZINC000074254431 1121604288 /nfs/dbraw/zinc/60/42/88/1121604288.db2.gz AEABOZUNQQKWJF-UHFFFAOYSA-N 1 2 287.407 3.779 20 0 CHADLO CCC[N@@H+](Cc1nc(C(C)(C)C)no1)Cc1ccccc1 ZINC000074254431 1121604289 /nfs/dbraw/zinc/60/42/89/1121604289.db2.gz AEABOZUNQQKWJF-UHFFFAOYSA-N 1 2 287.407 3.779 20 0 CHADLO Fc1cc(F)c(Nc2cccn3cc[nH+]c23)c(F)c1F ZINC001176347449 1121604695 /nfs/dbraw/zinc/60/46/95/1121604695.db2.gz QRKHUVXYHRBUFP-UHFFFAOYSA-N 1 2 281.212 3.634 20 0 CHADLO Cc1[nH+]c2cc(OCc3cc(C(C)(C)C)on3)ccc2n1C ZINC000664047228 1121608285 /nfs/dbraw/zinc/60/82/85/1121608285.db2.gz ZLIPFAQKYXPPLE-UHFFFAOYSA-N 1 2 299.374 3.746 20 0 CHADLO Oc1ccc2cc(Nc3cccn4cc[nH+]c34)ccc2c1 ZINC001176386583 1121608912 /nfs/dbraw/zinc/60/89/12/1121608912.db2.gz OHIUEGRWFUJWFU-UHFFFAOYSA-N 1 2 275.311 3.937 20 0 CHADLO c1ccc2cc(NCc3nnc(C4CC4)s3)[nH+]cc2c1 ZINC000631303817 1128997615 /nfs/dbraw/zinc/99/76/15/1128997615.db2.gz VEQBRJMQHRAYTM-UHFFFAOYSA-N 1 2 282.372 3.576 20 0 CHADLO Cc1cccc(Nc2cc(F)cc(N3CCCC3)c2)[nH+]1 ZINC001176417516 1121629056 /nfs/dbraw/zinc/62/90/56/1121629056.db2.gz UFDGFEKBXYFNQF-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cc(F)cc(N2CCCC2)c1 ZINC001176417483 1121629080 /nfs/dbraw/zinc/62/90/80/1121629080.db2.gz SRRDYAJTHVQSOJ-UHFFFAOYSA-N 1 2 287.338 3.579 20 0 CHADLO COc1nscc1C[N@H+](C)[C@H](C)c1cccc(C)c1 ZINC001176473855 1121635285 /nfs/dbraw/zinc/63/52/85/1121635285.db2.gz AHJSQAARDCAUHN-GFCCVEGCSA-N 1 2 276.405 3.653 20 0 CHADLO COc1nscc1C[N@@H+](C)[C@H](C)c1cccc(C)c1 ZINC001176473855 1121635286 /nfs/dbraw/zinc/63/52/86/1121635286.db2.gz AHJSQAARDCAUHN-GFCCVEGCSA-N 1 2 276.405 3.653 20 0 CHADLO FC(F)(C[NH2+][C@H]1CCCSC1)c1ccc(Cl)cc1 ZINC000512982202 1121656702 /nfs/dbraw/zinc/65/67/02/1121656702.db2.gz BZKSTTQRKIHZOG-LBPRGKRZSA-N 1 2 291.794 3.917 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(C3CC3)cc2)nc1 ZINC000419338585 1121667161 /nfs/dbraw/zinc/66/71/61/1121667161.db2.gz LKUBIPZUXSOKPY-ZDUSSCGKSA-N 1 2 267.376 3.513 20 0 CHADLO Fc1cccc(CNc2cccc(-n3cc[nH+]c3)c2)c1F ZINC000107038099 1121668722 /nfs/dbraw/zinc/66/87/22/1121668722.db2.gz ZURDMPQZHNVFGU-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(-c2ccncc2)cc1 ZINC001176694125 1121674306 /nfs/dbraw/zinc/67/43/06/1121674306.db2.gz IIRNTGJDVMKHGQ-UHFFFAOYSA-N 1 2 277.327 3.901 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccco2)C2CCCC2)no1 ZINC000052077163 1121675608 /nfs/dbraw/zinc/67/56/08/1121675608.db2.gz CKWFHMNHUGUOGL-UHFFFAOYSA-N 1 2 260.337 3.521 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccco2)C2CCCC2)no1 ZINC000052077163 1121675610 /nfs/dbraw/zinc/67/56/10/1121675610.db2.gz CKWFHMNHUGUOGL-UHFFFAOYSA-N 1 2 260.337 3.521 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@H+]1Cc1ncc(Cl)n1C ZINC000052212585 1121678964 /nfs/dbraw/zinc/67/89/64/1121678964.db2.gz AFIBPXUQPSGECF-CQSZACIVSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@@H+]1Cc1ncc(Cl)n1C ZINC000052212585 1121678970 /nfs/dbraw/zinc/67/89/70/1121678970.db2.gz AFIBPXUQPSGECF-CQSZACIVSA-N 1 2 289.810 3.719 20 0 CHADLO c1cnc2cc(Nc3ccc[nH+]c3N3CCCC3)ccc2c1 ZINC001176748810 1121687138 /nfs/dbraw/zinc/68/71/38/1121687138.db2.gz CEORVYRSPWMLFL-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCCc3c(C)cc(C)cc32)c1 ZINC001167927265 1121711887 /nfs/dbraw/zinc/71/18/87/1121711887.db2.gz HFVNGVUSFZKZTK-UHFFFAOYSA-N 1 2 296.414 3.968 20 0 CHADLO C[C@H](Oc1cc[nH+]cc1)c1c(Cl)cncc1Cl ZINC001225290922 1121723422 /nfs/dbraw/zinc/72/34/22/1121723422.db2.gz LCUAVTDBJRBWTR-QMMMGPOBSA-N 1 2 269.131 3.923 20 0 CHADLO CCCC[N@@H+]1CCO[C@H](c2ccccc2Br)C1 ZINC000726071562 1121724902 /nfs/dbraw/zinc/72/49/02/1121724902.db2.gz MUSYPKJXLOFLLT-AWEZNQCLSA-N 1 2 298.224 3.623 20 0 CHADLO CCCC[N@H+]1CCO[C@H](c2ccccc2Br)C1 ZINC000726071562 1121724907 /nfs/dbraw/zinc/72/49/07/1121724907.db2.gz MUSYPKJXLOFLLT-AWEZNQCLSA-N 1 2 298.224 3.623 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc(F)c(F)c2)nc2ccccc12 ZINC001177607878 1121741064 /nfs/dbraw/zinc/74/10/64/1121741064.db2.gz FUHVUMQNJDHWBE-UHFFFAOYSA-N 1 2 299.324 3.506 20 0 CHADLO Cc1cccc2nc(C[NH2+]Cc3cscc3Cl)cn21 ZINC001177809879 1121752522 /nfs/dbraw/zinc/75/25/22/1121752522.db2.gz AYMKQGBJYHJDGE-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO Cc1cc(NCc2cc(F)ccc2F)ccc1[NH+](C)C ZINC001178361539 1121783502 /nfs/dbraw/zinc/78/35/02/1121783502.db2.gz WIJDGTRDIKBCDX-UHFFFAOYSA-N 1 2 276.330 3.951 20 0 CHADLO CCc1ccc2c(c1)[C@@H]([NH2+]Cc1nccn1C(C)C)CCO2 ZINC001178443869 1121785004 /nfs/dbraw/zinc/78/50/04/1121785004.db2.gz DFLOOCWZOYZVKN-INIZCTEOSA-N 1 2 299.418 3.640 20 0 CHADLO NC(Cc1ccc(Cl)cc1)=[NH+]OCc1ccccc1 ZINC000729986366 1121789116 /nfs/dbraw/zinc/78/91/16/1121789116.db2.gz ZVTWWVKGAKTIEG-UHFFFAOYSA-N 1 2 274.751 3.581 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1ccc(F)c(F)c1F ZINC001178505458 1121791070 /nfs/dbraw/zinc/79/10/70/1121791070.db2.gz UEVIYKXQXNYWLS-UHFFFAOYSA-N 1 2 296.292 3.898 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccc(F)c(F)c1)C2 ZINC001204223391 1121805624 /nfs/dbraw/zinc/80/56/24/1121805624.db2.gz IDOPUKUIMLTCPQ-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccc(F)c(F)c1)C2 ZINC001204223391 1121805631 /nfs/dbraw/zinc/80/56/31/1121805631.db2.gz IDOPUKUIMLTCPQ-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2c3ccccc3C[C@@H]2C)[nH+]1 ZINC000433790707 1121811091 /nfs/dbraw/zinc/81/10/91/1121811091.db2.gz ZTTDXRXROXERES-ZUZCIYMTSA-N 1 2 278.359 3.612 20 0 CHADLO CN(Cc1cc(Cl)ccc1F)c1cccc[nH+]1 ZINC000433815110 1121812621 /nfs/dbraw/zinc/81/26/21/1121812621.db2.gz KQSHGRLZIVOGFD-UHFFFAOYSA-N 1 2 250.704 3.511 20 0 CHADLO Cc1ccc(N(C(=O)CCCn2cc[nH+]c2)C2CCC2)cc1 ZINC000894228435 1121821853 /nfs/dbraw/zinc/82/18/53/1121821853.db2.gz CWYPIKZTRQIJIK-UHFFFAOYSA-N 1 2 297.402 3.557 20 0 CHADLO CCc1cc(OC)ccc1C[NH2+][C@H]1CCCC1(F)F ZINC001178859972 1121827982 /nfs/dbraw/zinc/82/79/82/1121827982.db2.gz OFCGIHMNUBGAIU-AWEZNQCLSA-N 1 2 269.335 3.535 20 0 CHADLO c1cc(-c2noc(C[N@@H+]3CC[C@@H]3c3ccccc3)n2)cs1 ZINC000348526821 1121849835 /nfs/dbraw/zinc/84/98/35/1121849835.db2.gz OOLOVANZMDKDJT-CQSZACIVSA-N 1 2 297.383 3.745 20 0 CHADLO c1cc(-c2noc(C[N@H+]3CC[C@@H]3c3ccccc3)n2)cs1 ZINC000348526821 1121849847 /nfs/dbraw/zinc/84/98/47/1121849847.db2.gz OOLOVANZMDKDJT-CQSZACIVSA-N 1 2 297.383 3.745 20 0 CHADLO CC[C@H](CC(=O)NCc1c[nH]c(C(C)C)[nH+]1)C(C)(C)C ZINC001179092649 1121850507 /nfs/dbraw/zinc/85/05/07/1121850507.db2.gz UOUHNKYCXUQXFG-GFCCVEGCSA-N 1 2 279.428 3.612 20 0 CHADLO CC[C@H](CC(=O)NCc1c[nH+]c(C(C)C)[nH]1)C(C)(C)C ZINC001179092649 1121850513 /nfs/dbraw/zinc/85/05/13/1121850513.db2.gz UOUHNKYCXUQXFG-GFCCVEGCSA-N 1 2 279.428 3.612 20 0 CHADLO Cc1cc(NCc2cc(F)c(F)c(F)c2)c[nH+]c1C ZINC001179448240 1121877936 /nfs/dbraw/zinc/87/79/36/1121877936.db2.gz ZRGNZROWBGAAOM-UHFFFAOYSA-N 1 2 266.266 3.728 20 0 CHADLO CCCC1(C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)CCC1 ZINC000345552991 1121893251 /nfs/dbraw/zinc/89/32/51/1121893251.db2.gz VXAYJGRBQJIEOA-UHFFFAOYSA-N 1 2 271.364 3.780 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)Cc2cn(C)nc2Cl)cc1 ZINC001179892390 1121919283 /nfs/dbraw/zinc/91/92/83/1121919283.db2.gz FVSSBQYAPAUCNN-UHFFFAOYSA-N 1 2 291.826 3.829 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)Cc2cn(C)nc2Cl)cc1 ZINC001179892390 1121919291 /nfs/dbraw/zinc/91/92/91/1121919291.db2.gz FVSSBQYAPAUCNN-UHFFFAOYSA-N 1 2 291.826 3.829 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)CCOC(C)(C)C)c(F)c1 ZINC001179882981 1121924053 /nfs/dbraw/zinc/92/40/53/1121924053.db2.gz VWCZVTWZBWGFQF-UHFFFAOYSA-N 1 2 271.351 3.520 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)CCOC(C)(C)C)c(F)c1 ZINC001179882981 1121924057 /nfs/dbraw/zinc/92/40/57/1121924057.db2.gz VWCZVTWZBWGFQF-UHFFFAOYSA-N 1 2 271.351 3.520 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(-c2nc([C@H]3CC3(C)C)no2)c1 ZINC000345892659 1121926228 /nfs/dbraw/zinc/92/62/28/1121926228.db2.gz XIZMJALZLRMNPO-CYBMUJFWSA-N 1 2 294.358 3.744 20 0 CHADLO Cc1nn(C)c(C[N@@H+](Cc2cccs2)C(C)C)c1Cl ZINC001180072464 1121945251 /nfs/dbraw/zinc/94/52/51/1121945251.db2.gz SCVHMSRWPRXGJG-UHFFFAOYSA-N 1 2 297.855 3.854 20 0 CHADLO Cc1nn(C)c(C[N@H+](Cc2cccs2)C(C)C)c1Cl ZINC001180072464 1121945255 /nfs/dbraw/zinc/94/52/55/1121945255.db2.gz SCVHMSRWPRXGJG-UHFFFAOYSA-N 1 2 297.855 3.854 20 0 CHADLO CC(C)COCC[N@H+](C)[C@H](C)c1c(F)cccc1F ZINC000501090290 1121951294 /nfs/dbraw/zinc/95/12/94/1121951294.db2.gz QNUCPWCAROCBCR-GFCCVEGCSA-N 1 2 271.351 3.630 20 0 CHADLO CC(C)COCC[N@@H+](C)[C@H](C)c1c(F)cccc1F ZINC000501090290 1121951298 /nfs/dbraw/zinc/95/12/98/1121951298.db2.gz QNUCPWCAROCBCR-GFCCVEGCSA-N 1 2 271.351 3.630 20 0 CHADLO CCCCC1CCC(C(=O)NCc2[nH]c(C)c(C)[nH+]2)CC1 ZINC000822831075 1121973054 /nfs/dbraw/zinc/97/30/54/1121973054.db2.gz RDACKEAFBSCOPP-UHFFFAOYSA-N 1 2 291.439 3.639 20 0 CHADLO CC(C)c1cnc(C[NH2+]C(C)(C)c2ccccc2)o1 ZINC000733309246 1121973766 /nfs/dbraw/zinc/97/37/66/1121973766.db2.gz GXJRFDJQGBMHCM-UHFFFAOYSA-N 1 2 258.365 3.823 20 0 CHADLO COc1cccc(C[NH2+]Cc2c(F)cc(F)c(F)c2F)c1 ZINC000430040411 1121981164 /nfs/dbraw/zinc/98/11/64/1121981164.db2.gz PTZWGKNYKRVHHN-UHFFFAOYSA-N 1 2 299.267 3.541 20 0 CHADLO Cc1occc1C[NH+]1CCN([C@@H](C)c2ccsc2)CC1 ZINC000414439109 1121981398 /nfs/dbraw/zinc/98/13/98/1121981398.db2.gz WFFUJVBNLREYHI-ZDUSSCGKSA-N 1 2 290.432 3.528 20 0 CHADLO Cc1cc(CN2C[C@H](C)Cc3ccccc32)cc(C)[nH+]1 ZINC001180732846 1122013182 /nfs/dbraw/zinc/01/31/82/1122013182.db2.gz UWAQEUQGRWFHEO-CYBMUJFWSA-N 1 2 266.388 3.897 20 0 CHADLO CN(C)c1ccc(Cc2cccc(C(F)(F)F)c2)c[nH+]1 ZINC001249993957 1122034241 /nfs/dbraw/zinc/03/42/41/1122034241.db2.gz JGNDRTFRKWZCLM-UHFFFAOYSA-N 1 2 280.293 3.757 20 0 CHADLO Cc1ccc(Nc2ccc(Br)nc2C)c(C)[nH+]1 ZINC001203367145 1122055992 /nfs/dbraw/zinc/05/59/92/1122055992.db2.gz IGXOOBNOPTZTOJ-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cncc([C@H](C)[NH2+][C@H](CF)c2ccc(F)cc2)c1 ZINC000631797895 1129029412 /nfs/dbraw/zinc/02/94/12/1129029412.db2.gz JPFRARCTQLAIRF-BLLLJJGKSA-N 1 2 276.330 3.891 20 0 CHADLO Cn1cccc1C[N@@H+]1CCc2ccc(C(F)(F)F)cc2C1 ZINC001203263359 1122087024 /nfs/dbraw/zinc/08/70/24/1122087024.db2.gz BRDJDHCNVBLFFH-UHFFFAOYSA-N 1 2 294.320 3.602 20 0 CHADLO Cn1cccc1C[N@H+]1CCc2ccc(C(F)(F)F)cc2C1 ZINC001203263359 1122087029 /nfs/dbraw/zinc/08/70/29/1122087029.db2.gz BRDJDHCNVBLFFH-UHFFFAOYSA-N 1 2 294.320 3.602 20 0 CHADLO Cc1c(Cl)c(C[NH2+]C(C)(C)c2cccc(F)c2)nn1C ZINC000421338164 1122101265 /nfs/dbraw/zinc/10/12/65/1122101265.db2.gz BTOIKEDMLZULNQ-UHFFFAOYSA-N 1 2 295.789 3.546 20 0 CHADLO Cc1ccc(Br)c(F)c1C[N@H+]1C[C@@H](C)[C@@H]1C ZINC001182061268 1122108048 /nfs/dbraw/zinc/10/80/48/1122108048.db2.gz CQOAKPZQQFESFQ-ZJUUUORDSA-N 1 2 286.188 3.737 20 0 CHADLO Cc1ccc(Br)c(F)c1C[N@@H+]1C[C@@H](C)[C@@H]1C ZINC001182061268 1122108051 /nfs/dbraw/zinc/10/80/51/1122108051.db2.gz CQOAKPZQQFESFQ-ZJUUUORDSA-N 1 2 286.188 3.737 20 0 CHADLO Cc1ccc(Nc2cc(-c3ccco3)no2)c(C)[nH+]1 ZINC001203369890 1122115977 /nfs/dbraw/zinc/11/59/77/1122115977.db2.gz DUZWOKVZQBUNNT-UHFFFAOYSA-N 1 2 255.277 3.690 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2ccc(Cl)s2)c(C)[nH+]1 ZINC000773984975 1122118230 /nfs/dbraw/zinc/11/82/30/1122118230.db2.gz XMEIJYOOQYPEPB-GOSISDBHSA-N 1 2 299.848 3.862 20 0 CHADLO CCN(CC)C(=O)[C@@H](c1ccccc1Cl)[NH+](CC)CC ZINC001182219454 1122122924 /nfs/dbraw/zinc/12/29/24/1122122924.db2.gz RXUINMUDMOBGAG-OAHLLOKOSA-N 1 2 296.842 3.591 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1CCCOCCc1ccccc1 ZINC000502826523 1122149960 /nfs/dbraw/zinc/14/99/60/1122149960.db2.gz LPFFWJZJXZTFPG-MRXNPFEDSA-N 1 2 297.389 3.755 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1CCCOCCc1ccccc1 ZINC000502826523 1122149964 /nfs/dbraw/zinc/14/99/64/1122149964.db2.gz LPFFWJZJXZTFPG-MRXNPFEDSA-N 1 2 297.389 3.755 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1CCCc1ccccc1 ZINC000502847623 1122150796 /nfs/dbraw/zinc/15/07/96/1122150796.db2.gz TXJWWBRQJPTDRL-CQSZACIVSA-N 1 2 253.336 3.739 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1CCCc1ccccc1 ZINC000502847623 1122150801 /nfs/dbraw/zinc/15/08/01/1122150801.db2.gz TXJWWBRQJPTDRL-CQSZACIVSA-N 1 2 253.336 3.739 20 0 CHADLO CC[C@@H]([NH2+]C[C@@H](CC)c1ccccc1)C(=O)OC(C)(C)C ZINC001182565515 1122175502 /nfs/dbraw/zinc/17/55/02/1122175502.db2.gz ITZURGGRTURUBC-GDBMZVCRSA-N 1 2 291.435 3.890 20 0 CHADLO COC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)cc1C ZINC001203373386 1122182343 /nfs/dbraw/zinc/18/23/43/1122182343.db2.gz MJMBMUHUBRJLFX-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO c1cn2c(cccc2CNc2ccccc2OCC2CC2)[nH+]1 ZINC000271967758 1122184941 /nfs/dbraw/zinc/18/49/41/1122184941.db2.gz NXKDTMLEEZBERU-UHFFFAOYSA-N 1 2 293.370 3.735 20 0 CHADLO CC[C@H](Nc1cccc[nH+]1)c1ccc(OC)c(OC)c1 ZINC000271949412 1122185107 /nfs/dbraw/zinc/18/51/07/1122185107.db2.gz OICQDXAIMGNSNZ-ZDUSSCGKSA-N 1 2 272.348 3.662 20 0 CHADLO Cc1csc(C2([NH2+][C@@H](C)c3cncs3)CCC2)n1 ZINC000272015368 1122187344 /nfs/dbraw/zinc/18/73/44/1122187344.db2.gz WTAXPQGUESXCLJ-JTQLQIEISA-N 1 2 279.434 3.638 20 0 CHADLO Cc1ccccc1[C@H](O)CNc1ccc([NH+](C)C)c(C)c1 ZINC000819408022 1122191753 /nfs/dbraw/zinc/19/17/53/1122191753.db2.gz HRLBBZOPXRHGEU-GOSISDBHSA-N 1 2 284.403 3.515 20 0 CHADLO CCOC(=O)c1ccc(NC)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203374175 1122194993 /nfs/dbraw/zinc/19/49/93/1122194993.db2.gz GKOWDHPSHYWRHW-UHFFFAOYSA-N 1 2 299.374 3.660 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1cscc1Cl)C2 ZINC001182908881 1122196104 /nfs/dbraw/zinc/19/61/04/1122196104.db2.gz CKJCFWZGHYFHGD-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1cscc1Cl)C2 ZINC001182908881 1122196107 /nfs/dbraw/zinc/19/61/07/1122196107.db2.gz CKJCFWZGHYFHGD-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO Cc1ccncc1[C@H](C)Nc1ccc([NH+](C)C)c(C)c1 ZINC000272340688 1122198738 /nfs/dbraw/zinc/19/87/38/1122198738.db2.gz DRVUVPIBZRKZCJ-AWEZNQCLSA-N 1 2 269.392 3.938 20 0 CHADLO COCC[C@@H]([NH2+][C@@H](C)c1csc(C)n1)c1ccc(C)o1 ZINC000272582528 1122205736 /nfs/dbraw/zinc/20/57/36/1122205736.db2.gz GVYXJHDKQAGOOE-WCQYABFASA-N 1 2 294.420 3.781 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCOc2c(F)cccc21)c1cscn1 ZINC000272680425 1122209346 /nfs/dbraw/zinc/20/93/46/1122209346.db2.gz VHYMOXNPAHMYCW-MFKMUULPSA-N 1 2 292.379 3.847 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](c2ncccc2C)C(C)C)s1 ZINC000273188076 1122223520 /nfs/dbraw/zinc/22/35/20/1122223520.db2.gz LYRHWZSSPHRFIA-AAEUAGOBSA-N 1 2 290.436 3.598 20 0 CHADLO CCCc1nc(C[NH2+][C@H](CCOC)c2ccco2)cs1 ZINC000273298012 1122226580 /nfs/dbraw/zinc/22/65/80/1122226580.db2.gz QWZNHNLRSQVOFZ-CYBMUJFWSA-N 1 2 294.420 3.556 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@H](C)c1cc(C)sc1C ZINC000273380547 1122230684 /nfs/dbraw/zinc/23/06/84/1122230684.db2.gz YSTXZOVABBMEKD-SNVBAGLBSA-N 1 2 293.388 3.595 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cc(-c3ccccc3)no2)s1 ZINC000273441082 1122232479 /nfs/dbraw/zinc/23/24/79/1122232479.db2.gz ZNASMMIFFUYRNO-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Fc1ccc([C@H]2CC[N@@H+]2Cc2cnn(CC3CCC3)c2)cc1 ZINC001184142827 1122256175 /nfs/dbraw/zinc/25/61/75/1122256175.db2.gz LHRJTOQPHPGSFA-GOSISDBHSA-N 1 2 299.393 3.769 20 0 CHADLO Fc1ccc([C@H]2CC[N@H+]2Cc2cnn(CC3CCC3)c2)cc1 ZINC001184142827 1122256182 /nfs/dbraw/zinc/25/61/82/1122256182.db2.gz LHRJTOQPHPGSFA-GOSISDBHSA-N 1 2 299.393 3.769 20 0 CHADLO CCc1nc(OC)ccc1Nc1cc[nH+]c(SC)c1 ZINC001203589774 1122284919 /nfs/dbraw/zinc/28/49/19/1122284919.db2.gz MQIFELLCVQVYFR-UHFFFAOYSA-N 1 2 275.377 3.513 20 0 CHADLO Cc1ccc(Cn2ccnc2-c2ccnc(Cl)c2)c(C)[nH+]1 ZINC000774756358 1122287026 /nfs/dbraw/zinc/28/70/26/1122287026.db2.gz CWHCSDRCZHJYDO-UHFFFAOYSA-N 1 2 298.777 3.659 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CCc2nc[nH]c2C1 ZINC000647933219 1122287603 /nfs/dbraw/zinc/28/76/03/1122287603.db2.gz GITZTPSNLSLWCK-OAHLLOKOSA-N 1 2 275.783 3.573 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CCc2nc[nH]c2C1 ZINC000647933219 1122287607 /nfs/dbraw/zinc/28/76/07/1122287607.db2.gz GITZTPSNLSLWCK-OAHLLOKOSA-N 1 2 275.783 3.573 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)C(F)F)[C@H](C)c1ccccc1 ZINC000183336201 1129045247 /nfs/dbraw/zinc/04/52/47/1129045247.db2.gz YUFHYPJGXASVEV-VHSXEESVSA-N 1 2 263.278 3.669 20 0 CHADLO Cc1nonc1C[NH2+][C@H](C)c1ccc(-c2ccccc2)cc1 ZINC000774793362 1122303297 /nfs/dbraw/zinc/30/32/97/1122303297.db2.gz XTWUJXIXIRGKFQ-CYBMUJFWSA-N 1 2 293.370 3.896 20 0 CHADLO COc1cccc([C@H](C)[NH2+]Cc2ncc(C(C)C)o2)c1 ZINC000774855961 1122331458 /nfs/dbraw/zinc/33/14/58/1122331458.db2.gz OKDYXTAYOJPASB-LBPRGKRZSA-N 1 2 274.364 3.657 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2ncc(C(C)C)o2)cc1 ZINC000774854888 1122331663 /nfs/dbraw/zinc/33/16/63/1122331663.db2.gz LBEASMBWCJWOBT-LBPRGKRZSA-N 1 2 274.364 3.657 20 0 CHADLO Cc1nc(NCC[C@@]2(C)CC2(F)F)c2c([nH+]1)CCCC2 ZINC000664400159 1122337103 /nfs/dbraw/zinc/33/71/03/1122337103.db2.gz VBXTUANASLNUMC-AWEZNQCLSA-N 1 2 281.350 3.511 20 0 CHADLO CCc1cc(N(C)[C@H](C)Cc2ccc(C)cc2)nc(CC)[nH+]1 ZINC001185438427 1122341093 /nfs/dbraw/zinc/34/10/93/1122341093.db2.gz HZRCOBBZWOODCN-OAHLLOKOSA-N 1 2 297.446 3.977 20 0 CHADLO CCc1cc(N2CCC3(C2)CCCCC3)nc(CC)[nH+]1 ZINC001185496466 1122343935 /nfs/dbraw/zinc/34/39/35/1122343935.db2.gz BDAMNUZEZDKBDH-UHFFFAOYSA-N 1 2 273.424 3.762 20 0 CHADLO CC[C@@H]1CCC[C@H]1Nc1nc2ccccc2n2c[nH+]cc12 ZINC001185801500 1122360163 /nfs/dbraw/zinc/36/01/63/1122360163.db2.gz JLQXFRLUWILBLS-CHWSQXEVSA-N 1 2 280.375 3.873 20 0 CHADLO FC(F)(F)CCCc1ccc(N2CCCCC2)[nH+]c1 ZINC001250223628 1122369270 /nfs/dbraw/zinc/36/92/70/1122369270.db2.gz PPGPDJDNWINGQP-UHFFFAOYSA-N 1 2 272.314 3.957 20 0 CHADLO Oc1cccc(Sc2nc3ccccc3n3c[nH+]cc23)c1 ZINC001186053998 1122374808 /nfs/dbraw/zinc/37/48/08/1122374808.db2.gz NXVHBFQRGIVTJU-UHFFFAOYSA-N 1 2 293.351 3.739 20 0 CHADLO CCC[C@@H](C)[C@H](CO)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001186914874 1122414184 /nfs/dbraw/zinc/41/41/84/1122414184.db2.gz ROWQGFVEAFGWGZ-HIFRSBDPSA-N 1 2 293.455 3.932 20 0 CHADLO CCNc1ccnc(Cl)c1Nc1cc(C)c[nH+]c1C ZINC001203656042 1122424653 /nfs/dbraw/zinc/42/46/53/1122424653.db2.gz IVNYAPJQSRIOHR-UHFFFAOYSA-N 1 2 276.771 3.922 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cn3c(cccc3Cl)n2)c1 ZINC001203657089 1122424831 /nfs/dbraw/zinc/42/48/31/1122424831.db2.gz IXLFOUPTGIUCFB-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO COc1cccc2c(NCc3oc(C)nc3C)c(C)c[nH+]c12 ZINC000425257553 1122424888 /nfs/dbraw/zinc/42/48/88/1122424888.db2.gz CXNYAKFRQOELLW-UHFFFAOYSA-N 1 2 297.358 3.769 20 0 CHADLO Cc1nc2ccc(Nc3cc(C)c[nH+]c3C)cc2o1 ZINC001203663150 1122427959 /nfs/dbraw/zinc/42/79/59/1122427959.db2.gz LLHHCIKIIWPWKZ-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO CCn1cc2cc(Nc3cc(C)c[nH+]c3C)ccc2n1 ZINC001203663088 1122428087 /nfs/dbraw/zinc/42/80/87/1122428087.db2.gz IOFRHDLIKQNWRB-UHFFFAOYSA-N 1 2 266.348 3.812 20 0 CHADLO Cc1cc(NC[C@H]2CCC3(CCC3)O2)nc(C2CCC2)[nH+]1 ZINC001187430850 1122428991 /nfs/dbraw/zinc/42/89/91/1122428991.db2.gz QPYXPWQFRBVOTF-CQSZACIVSA-N 1 2 287.407 3.566 20 0 CHADLO CCc1cc(NCCC[C@@H]2C=CCC2)nc(CC)[nH+]1 ZINC001187503022 1122429980 /nfs/dbraw/zinc/42/99/80/1122429980.db2.gz KNZKRCXMBNDTEQ-CYBMUJFWSA-N 1 2 259.397 3.760 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(C(F)(F)F)s2)C(C)(C)C1 ZINC000435126849 1122435873 /nfs/dbraw/zinc/43/58/73/1122435873.db2.gz RPWHNKXLZLYCAV-QMMMGPOBSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(C(F)(F)F)s2)C(C)(C)C1 ZINC000435126849 1122435874 /nfs/dbraw/zinc/43/58/74/1122435874.db2.gz RPWHNKXLZLYCAV-QMMMGPOBSA-N 1 2 278.343 3.782 20 0 CHADLO CC[C@@H]1CCC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435130426 1122437332 /nfs/dbraw/zinc/43/73/32/1122437332.db2.gz RRAOXWNZNOSBBW-MRVPVSSYSA-N 1 2 264.316 3.536 20 0 CHADLO CC[C@@H]1CCC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435130426 1122437333 /nfs/dbraw/zinc/43/73/33/1122437333.db2.gz RRAOXWNZNOSBBW-MRVPVSSYSA-N 1 2 264.316 3.536 20 0 CHADLO C[C@@H](CC(=O)N(Cc1ccccc1)C(C)(C)C)n1cc[nH+]c1 ZINC000514733610 1122445205 /nfs/dbraw/zinc/44/52/05/1122445205.db2.gz MGKYRLRQWAIGMY-HNNXBMFYSA-N 1 2 299.418 3.662 20 0 CHADLO Cc1cc(N2CC[C@H]2C(C)(C)C)nc(C2CCC2)[nH+]1 ZINC001187903021 1122445496 /nfs/dbraw/zinc/44/54/96/1122445496.db2.gz BSLSUBCYOSYDKD-ZDUSSCGKSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cccc(NCc2c[nH+]c3ccc(C)cn23)c1 ZINC000155961933 1122453056 /nfs/dbraw/zinc/45/30/56/1122453056.db2.gz ASQOZVRSWFVQND-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1nc(C)c([C@@H](C)[N@@H+](C)Cc2cncn2C(C)C)s1 ZINC000425342228 1122458326 /nfs/dbraw/zinc/45/83/26/1122458326.db2.gz YKCIHWSQBFESEG-GFCCVEGCSA-N 1 2 292.452 3.730 20 0 CHADLO Cc1nc(C)c([C@@H](C)[N@H+](C)Cc2cncn2C(C)C)s1 ZINC000425342228 1122458330 /nfs/dbraw/zinc/45/83/30/1122458330.db2.gz YKCIHWSQBFESEG-GFCCVEGCSA-N 1 2 292.452 3.730 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cccc2c1OC(C)(C)C2 ZINC000505667562 1122459560 /nfs/dbraw/zinc/45/95/60/1122459560.db2.gz ZHHXEDIJNHDWLQ-AWEZNQCLSA-N 1 2 296.414 3.778 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cccc2c1OC(C)(C)C2 ZINC000505667562 1122459561 /nfs/dbraw/zinc/45/95/61/1122459561.db2.gz ZHHXEDIJNHDWLQ-AWEZNQCLSA-N 1 2 296.414 3.778 20 0 CHADLO C[N@H+](Cc1nnsc1Cl)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000515005211 1122469659 /nfs/dbraw/zinc/46/96/59/1122469659.db2.gz ANZXWHWIJHKRSW-MWLCHTKSSA-N 1 2 287.860 3.838 20 0 CHADLO C[N@@H+](Cc1nnsc1Cl)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000515005211 1122469663 /nfs/dbraw/zinc/46/96/63/1122469663.db2.gz ANZXWHWIJHKRSW-MWLCHTKSSA-N 1 2 287.860 3.838 20 0 CHADLO FC(F)(F)c1cccc(Cl)c1CCn1cc[nH+]c1 ZINC001250320961 1122492813 /nfs/dbraw/zinc/49/28/13/1122492813.db2.gz UKVJMYBYLSAPNS-UHFFFAOYSA-N 1 2 274.673 3.798 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(N)ccc2F)cc1 ZINC001211842141 1122516076 /nfs/dbraw/zinc/51/60/76/1122516076.db2.gz XRBYQFYAUHCKJJ-UHFFFAOYSA-N 1 2 259.328 3.608 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(N)ccc2F)cc1 ZINC001211842141 1122516082 /nfs/dbraw/zinc/51/60/82/1122516082.db2.gz XRBYQFYAUHCKJJ-UHFFFAOYSA-N 1 2 259.328 3.608 20 0 CHADLO Cc1cccc(C)c1OCCn1c[nH+]c(C(C)(C)C)c1 ZINC001189876292 1122518015 /nfs/dbraw/zinc/51/80/15/1122518015.db2.gz ORIXFOFUYXNFPG-UHFFFAOYSA-N 1 2 272.392 3.876 20 0 CHADLO CCc1cn(Cc2cc(Cl)cc3cccnc32)c[nH+]1 ZINC001190103140 1122525050 /nfs/dbraw/zinc/52/50/50/1122525050.db2.gz IVQLAQOVNICYDQ-UHFFFAOYSA-N 1 2 271.751 3.695 20 0 CHADLO CCc1cn(Cc2csc(-c3cccc(OC)c3)n2)c[nH+]1 ZINC001190103056 1122525111 /nfs/dbraw/zinc/52/51/11/1122525111.db2.gz FPXZLLXBXQXKOZ-UHFFFAOYSA-N 1 2 299.399 3.626 20 0 CHADLO COc1ccc([C@H]([NH2+]CCF)c2ccccc2Cl)cc1 ZINC000436291090 1122534171 /nfs/dbraw/zinc/53/41/71/1122534171.db2.gz PLZSABTXHRAJKW-INIZCTEOSA-N 1 2 293.769 3.997 20 0 CHADLO COc1cccc2c(N[C@H](C)Cc3ccncc3)cc[nH+]c12 ZINC000436456563 1122551428 /nfs/dbraw/zinc/55/14/28/1122551428.db2.gz WAJCVSJDJAGJDX-CYBMUJFWSA-N 1 2 293.370 3.682 20 0 CHADLO C[C@H]1Cc2ccccc2[N@@H+](C[Si](C)(C)c2ccccc2)C1 ZINC001190872022 1122559253 /nfs/dbraw/zinc/55/92/53/1122559253.db2.gz DZZZUIAIIHSHPC-INIZCTEOSA-N 1 2 295.502 3.840 20 0 CHADLO C[C@H]1Cc2ccccc2[N@H+](C[Si](C)(C)c2ccccc2)C1 ZINC001190872022 1122559258 /nfs/dbraw/zinc/55/92/58/1122559258.db2.gz DZZZUIAIIHSHPC-INIZCTEOSA-N 1 2 295.502 3.840 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+][C@H](CC2CC2)c2ccccc2)o1 ZINC000775421603 1122560589 /nfs/dbraw/zinc/56/05/89/1122560589.db2.gz IDYMCVXJWSMKCG-OAHLLOKOSA-N 1 2 299.418 3.998 20 0 CHADLO Cc1nonc1C[NH2+][C@H](c1ccccc1Cl)C(C)C ZINC000775434985 1122570603 /nfs/dbraw/zinc/57/06/03/1122570603.db2.gz SMNOCPMRKUJLSC-AWEZNQCLSA-N 1 2 279.771 3.518 20 0 CHADLO CCCCC[C@@H]1CCCCN1C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000620566622 1129065491 /nfs/dbraw/zinc/06/54/91/1129065491.db2.gz LZVZWYDDYLTHRK-GDBMZVCRSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCC[C@@H]1CCCCN1C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000620566622 1129065495 /nfs/dbraw/zinc/06/54/95/1129065495.db2.gz LZVZWYDDYLTHRK-GDBMZVCRSA-N 1 2 291.439 3.550 20 0 CHADLO Cc1cc(N[C@@H]2[C@@H]3Cc4cc(F)ccc4[C@@H]32)nc(C2CC2)[nH+]1 ZINC000664602965 1122608488 /nfs/dbraw/zinc/60/84/88/1122608488.db2.gz MHLWYLDTTWZTQX-HYVNUMGLSA-N 1 2 295.361 3.552 20 0 CHADLO CC(C)c1ccccc1NC(=O)Nc1cc[nH+]c2c1CCC2 ZINC001192225966 1122636590 /nfs/dbraw/zinc/63/65/90/1122636590.db2.gz OMGFCDQXXJZJRB-UHFFFAOYSA-N 1 2 295.386 3.760 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccc3[nH]ccc3c1)C2 ZINC001205600193 1122644120 /nfs/dbraw/zinc/64/41/20/1122644120.db2.gz ZSVYHKWUASVZPH-UHFFFAOYSA-N 1 2 266.319 3.823 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccc3[nH]ccc3c1)C2 ZINC001205600193 1122644122 /nfs/dbraw/zinc/64/41/22/1122644122.db2.gz ZSVYHKWUASVZPH-UHFFFAOYSA-N 1 2 266.319 3.823 20 0 CHADLO Cc1nonc1C[NH2+][C@H](c1ccccc1F)C1CCCC1 ZINC000775538678 1122652176 /nfs/dbraw/zinc/65/21/76/1122652176.db2.gz NMSHRXBRHRXIIL-INIZCTEOSA-N 1 2 289.354 3.538 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nnc(C(C)(C)C)o2)ccc1F ZINC000775548933 1122659259 /nfs/dbraw/zinc/65/92/59/1122659259.db2.gz GSZZQKRTPPNVCQ-LLVKDONJSA-N 1 2 291.370 3.665 20 0 CHADLO CCCOc1cccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000437960566 1122665786 /nfs/dbraw/zinc/66/57/86/1122665786.db2.gz GHGQWRFYQRPYIZ-MRXNPFEDSA-N 1 2 285.391 3.536 20 0 CHADLO CCCOc1cccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000437960566 1122665789 /nfs/dbraw/zinc/66/57/89/1122665789.db2.gz GHGQWRFYQRPYIZ-MRXNPFEDSA-N 1 2 285.391 3.536 20 0 CHADLO CC[C@@H](C)C[C@@H](C)NC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000438124488 1122680161 /nfs/dbraw/zinc/68/01/61/1122680161.db2.gz GGICMTMSZDHBRM-VXGBXAGGSA-N 1 2 288.395 3.589 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@H+]1Cc1nc(C(C)(C)C)no1 ZINC000466955672 1122696044 /nfs/dbraw/zinc/69/60/44/1122696044.db2.gz UHTQFBYMXRGSNL-OAHLLOKOSA-N 1 2 299.418 3.704 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nc(C(C)(C)C)no1 ZINC000466955672 1122696041 /nfs/dbraw/zinc/69/60/41/1122696041.db2.gz UHTQFBYMXRGSNL-OAHLLOKOSA-N 1 2 299.418 3.704 20 0 CHADLO Cc1cc2[nH]c(C[N@@H+]3CCc4ncsc4C3)cc2c(C)c1 ZINC000438379030 1122696231 /nfs/dbraw/zinc/69/62/31/1122696231.db2.gz WYBQQHBVEWIBRJ-UHFFFAOYSA-N 1 2 297.427 3.800 20 0 CHADLO Cc1cc2[nH]c(C[N@H+]3CCc4ncsc4C3)cc2c(C)c1 ZINC000438379030 1122696234 /nfs/dbraw/zinc/69/62/34/1122696234.db2.gz WYBQQHBVEWIBRJ-UHFFFAOYSA-N 1 2 297.427 3.800 20 0 CHADLO Cc1cn2c(cccc2NC(=O)OC2CCCCC2)[nH+]1 ZINC001193596316 1122700821 /nfs/dbraw/zinc/70/08/21/1122700821.db2.gz IFQWAVXEYBISEL-UHFFFAOYSA-N 1 2 273.336 3.524 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)OC1CCCCC1 ZINC001193627493 1122702522 /nfs/dbraw/zinc/70/25/22/1122702522.db2.gz QXLBEDULMOCORE-UHFFFAOYSA-N 1 2 285.347 3.754 20 0 CHADLO CC[C@@H]1CC[N@H+]([C@@H](C(=O)OC)c2ccc(Cl)cc2)[C@H]1C ZINC001193607009 1122705902 /nfs/dbraw/zinc/70/59/02/1122705902.db2.gz RMPCULMJSNGHDX-YWPYICTPSA-N 1 2 295.810 3.675 20 0 CHADLO CC[C@@H]1CC[N@@H+]([C@@H](C(=O)OC)c2ccc(Cl)cc2)[C@H]1C ZINC001193607009 1122705904 /nfs/dbraw/zinc/70/59/04/1122705904.db2.gz RMPCULMJSNGHDX-YWPYICTPSA-N 1 2 295.810 3.675 20 0 CHADLO CCCCCOC(=O)Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001193768206 1122719199 /nfs/dbraw/zinc/71/91/99/1122719199.db2.gz HHOOKVOFCSZKOO-UHFFFAOYSA-N 1 2 287.363 3.670 20 0 CHADLO C[N@H+](Cc1c[nH]nc1C(C)(C)C)Cc1ccc(F)cc1F ZINC000506357802 1122723882 /nfs/dbraw/zinc/72/38/82/1122723882.db2.gz SLRDTZWOPGOHKB-UHFFFAOYSA-N 1 2 293.361 3.617 20 0 CHADLO C[N@@H+](Cc1c[nH]nc1C(C)(C)C)Cc1ccc(F)cc1F ZINC000506357802 1122723885 /nfs/dbraw/zinc/72/38/85/1122723885.db2.gz SLRDTZWOPGOHKB-UHFFFAOYSA-N 1 2 293.361 3.617 20 0 CHADLO CC(C)C[N@H+](C[C@H]1CCO[C@@H](C)C1)c1ccccc1 ZINC001194202920 1122757469 /nfs/dbraw/zinc/75/74/69/1122757469.db2.gz DHNPJOVKHIGWTA-HOTGVXAUSA-N 1 2 261.409 3.964 20 0 CHADLO CC(C)C[N@@H+](C[C@H]1CCO[C@@H](C)C1)c1ccccc1 ZINC001194202920 1122757474 /nfs/dbraw/zinc/75/74/74/1122757474.db2.gz DHNPJOVKHIGWTA-HOTGVXAUSA-N 1 2 261.409 3.964 20 0 CHADLO CC(C)(C)COC(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001194383824 1122769500 /nfs/dbraw/zinc/76/95/00/1122769500.db2.gz SCPJKUAVXDFUOK-UHFFFAOYSA-N 1 2 287.363 3.526 20 0 CHADLO C[C@@H]1CC[N@H+](C[C@H]2C[C@@H]2c2ccccc2)CC1(F)F ZINC000426369025 1122775839 /nfs/dbraw/zinc/77/58/39/1122775839.db2.gz CNNGORXVHYXRLF-BPLDGKMQSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]1CC[N@@H+](C[C@H]2C[C@@H]2c2ccccc2)CC1(F)F ZINC000426369025 1122775841 /nfs/dbraw/zinc/77/58/41/1122775841.db2.gz CNNGORXVHYXRLF-BPLDGKMQSA-N 1 2 265.347 3.767 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@@H]4CCC4(C)C)n3)[nH+]c12 ZINC000664776775 1122816682 /nfs/dbraw/zinc/81/66/82/1122816682.db2.gz DLVPYJVGMWJMFT-ZDUSSCGKSA-N 1 2 296.374 3.520 20 0 CHADLO Fc1ccc2ncc(Nc3ccn4cc[nH+]c4c3)cc2c1 ZINC001204966460 1122827836 /nfs/dbraw/zinc/82/78/36/1122827836.db2.gz TYNBPNNYVCUTSW-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO Oc1cccc(C[N@@H+]2CC[C@@H]2c2ccccc2)c1Cl ZINC000506822837 1122837021 /nfs/dbraw/zinc/83/70/21/1122837021.db2.gz VCMBZSWANBPENQ-CQSZACIVSA-N 1 2 273.763 3.993 20 0 CHADLO Oc1cccc(C[N@H+]2CC[C@@H]2c2ccccc2)c1Cl ZINC000506822837 1122837025 /nfs/dbraw/zinc/83/70/25/1122837025.db2.gz VCMBZSWANBPENQ-CQSZACIVSA-N 1 2 273.763 3.993 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000073637542 1122847844 /nfs/dbraw/zinc/84/78/44/1122847844.db2.gz LNIIZDKYQGRELI-DTWKUNHWSA-N 1 2 299.296 3.809 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)c1cnn(C)n1)c1ccccc1Cl ZINC000925074880 1122866869 /nfs/dbraw/zinc/86/68/69/1122866869.db2.gz HJMUBKWQSLUWKZ-IAQYHMDHSA-N 1 2 292.814 3.516 20 0 CHADLO CC[C@H](c1cccc(OC)c1)[N@H+](C)Cc1nccn1C1CC1 ZINC000894520306 1122866976 /nfs/dbraw/zinc/86/69/76/1122866976.db2.gz KJQDYYOSTKRPBM-QGZVFWFLSA-N 1 2 299.418 3.810 20 0 CHADLO CC[C@H](c1cccc(OC)c1)[N@@H+](C)Cc1nccn1C1CC1 ZINC000894520306 1122866980 /nfs/dbraw/zinc/86/69/80/1122866980.db2.gz KJQDYYOSTKRPBM-QGZVFWFLSA-N 1 2 299.418 3.810 20 0 CHADLO C[Si](C)(C)c1ccc(C[N@@H+]2CCC=C(Cl)C2)cc1 ZINC001195685966 1122881586 /nfs/dbraw/zinc/88/15/86/1122881586.db2.gz BSEAQUYKVDQVJW-UHFFFAOYSA-N 1 2 279.887 3.560 20 0 CHADLO C[Si](C)(C)c1ccc(C[N@H+]2CCC=C(Cl)C2)cc1 ZINC001195685966 1122881590 /nfs/dbraw/zinc/88/15/90/1122881590.db2.gz BSEAQUYKVDQVJW-UHFFFAOYSA-N 1 2 279.887 3.560 20 0 CHADLO Cc1cc(NCC[C@@H]2CC2(F)F)nc(C2CCC2)[nH+]1 ZINC000894674556 1122890116 /nfs/dbraw/zinc/89/01/16/1122890116.db2.gz ZSZTURLIZLKAGO-LLVKDONJSA-N 1 2 267.323 3.510 20 0 CHADLO Cc1cc(N2CCC(F)(F)C[C@H]2C)nc(C2CCC2)[nH+]1 ZINC000894765857 1122903723 /nfs/dbraw/zinc/90/37/23/1122903723.db2.gz OHRJRBKNCKQMLE-LLVKDONJSA-N 1 2 281.350 3.677 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1nc(C(C)C)c[nH]1 ZINC000894783409 1122905409 /nfs/dbraw/zinc/90/54/09/1122905409.db2.gz TXJODHJUPFZGDI-OAHLLOKOSA-N 1 2 272.396 3.511 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1nc(C(C)C)c[nH]1 ZINC000894783409 1122905413 /nfs/dbraw/zinc/90/54/13/1122905413.db2.gz TXJODHJUPFZGDI-OAHLLOKOSA-N 1 2 272.396 3.511 20 0 CHADLO Fc1ccc(CC2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000507032842 1122920492 /nfs/dbraw/zinc/92/04/92/1122920492.db2.gz ACHLGUGWCLFGMA-UHFFFAOYSA-N 1 2 270.351 3.680 20 0 CHADLO FC(F)(F)[C@@H]1CC=C(Nc2cccn3cc[nH+]c23)CC1 ZINC001196766551 1122931480 /nfs/dbraw/zinc/93/14/80/1122931480.db2.gz CZXDEHLFLDNKHI-SNVBAGLBSA-N 1 2 281.281 3.993 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1ccn(C)n1 ZINC000507202231 1122936729 /nfs/dbraw/zinc/93/67/29/1122936729.db2.gz JBYBNQBPJTUIDK-LLVKDONJSA-N 1 2 297.324 3.632 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1ccn(C)n1 ZINC000507202231 1122936731 /nfs/dbraw/zinc/93/67/31/1122936731.db2.gz JBYBNQBPJTUIDK-LLVKDONJSA-N 1 2 297.324 3.632 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cccc(O)c2Cl)on1 ZINC000334240324 1122972369 /nfs/dbraw/zinc/97/23/69/1122972369.db2.gz LWHFIAQWDSXSQW-GFCCVEGCSA-N 1 2 292.766 3.679 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cccc(O)c2Cl)on1 ZINC000334240324 1122972370 /nfs/dbraw/zinc/97/23/70/1122972370.db2.gz LWHFIAQWDSXSQW-GFCCVEGCSA-N 1 2 292.766 3.679 20 0 CHADLO Cn1c2ccccc2nc1C[N@H+](C)Cc1ccc(Cl)cc1 ZINC000154698317 1129090488 /nfs/dbraw/zinc/09/04/88/1129090488.db2.gz KCYXITFNIOPDKT-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO Cn1c2ccccc2nc1C[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000154698317 1129090492 /nfs/dbraw/zinc/09/04/92/1129090492.db2.gz KCYXITFNIOPDKT-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO Cc1coc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)c1 ZINC000894854037 1122981508 /nfs/dbraw/zinc/98/15/08/1122981508.db2.gz BWHYDLZKMVYPEL-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1coc(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)c1 ZINC000894854037 1122981512 /nfs/dbraw/zinc/98/15/12/1122981512.db2.gz BWHYDLZKMVYPEL-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO CC[C@@H](F)C[N@H+]1CC=C(c2c(F)cccc2F)CC1 ZINC000440508141 1122983613 /nfs/dbraw/zinc/98/36/13/1122983613.db2.gz GXALGOWNPPXAGW-GFCCVEGCSA-N 1 2 269.310 3.802 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CC=C(c2c(F)cccc2F)CC1 ZINC000440508141 1122983615 /nfs/dbraw/zinc/98/36/15/1122983615.db2.gz GXALGOWNPPXAGW-GFCCVEGCSA-N 1 2 269.310 3.802 20 0 CHADLO COc1ccccc1[C@@H](C)[N@H+](C)Cc1nc(C)c(C)s1 ZINC000081648943 1122989716 /nfs/dbraw/zinc/98/97/16/1122989716.db2.gz NVVNWSMJMYXONP-GFCCVEGCSA-N 1 2 290.432 3.962 20 0 CHADLO COc1ccccc1[C@@H](C)[N@@H+](C)Cc1nc(C)c(C)s1 ZINC000081648943 1122989720 /nfs/dbraw/zinc/98/97/20/1122989720.db2.gz NVVNWSMJMYXONP-GFCCVEGCSA-N 1 2 290.432 3.962 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cc2ccnc(Cl)c2s1 ZINC000894859888 1122993945 /nfs/dbraw/zinc/99/39/45/1122993945.db2.gz PTZHCXCHGDWICO-UHFFFAOYSA-N 1 2 276.739 3.695 20 0 CHADLO COC(=O)[C@@]1([NH2+]CC2CC=CC2)CC[C@@H](C)c2ccccc21 ZINC001198718699 1123008731 /nfs/dbraw/zinc/00/87/31/1123008731.db2.gz LYQIMIKXEOMTKQ-AUUYWEPGSA-N 1 2 299.414 3.508 20 0 CHADLO CC[C@@H](F)C[NH2+][C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000440522829 1123010998 /nfs/dbraw/zinc/01/09/98/1123010998.db2.gz FPNOIUWIDVMEPP-CMPLNLGQSA-N 1 2 295.333 3.583 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(C(C)C)ccc3C2)no1 ZINC001198843932 1123014596 /nfs/dbraw/zinc/01/45/96/1123014596.db2.gz DGHVWDZGDIXQIG-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(C(C)C)ccc3C2)no1 ZINC001198843932 1123014598 /nfs/dbraw/zinc/01/45/98/1123014598.db2.gz DGHVWDZGDIXQIG-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCCOc3ccc(F)cc32)cs1 ZINC000334433285 1123014773 /nfs/dbraw/zinc/01/47/73/1123014773.db2.gz MGJMLJIDEJINIF-AWEZNQCLSA-N 1 2 292.379 3.594 20 0 CHADLO COc1ccc(CNc2ccc([NH+](C)C)cc2C)c(C)c1 ZINC001199472653 1123030445 /nfs/dbraw/zinc/03/04/45/1123030445.db2.gz OUOBGEZWZCCWAB-UHFFFAOYSA-N 1 2 284.403 3.990 20 0 CHADLO Cc1cc(CNc2cccc3cccnc32)cc(C)[nH+]1 ZINC001199591655 1123032867 /nfs/dbraw/zinc/03/28/67/1123032867.db2.gz HHASJDAHHRDYKT-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO CCCCc1noc(C[N@H+](Cc2ccccc2C)C2CC2)n1 ZINC000519909719 1123036149 /nfs/dbraw/zinc/03/61/49/1123036149.db2.gz NUIDWIKVQFMDGK-UHFFFAOYSA-N 1 2 299.418 3.885 20 0 CHADLO CCCCc1noc(C[N@@H+](Cc2ccccc2C)C2CC2)n1 ZINC000519909719 1123036152 /nfs/dbraw/zinc/03/61/52/1123036152.db2.gz NUIDWIKVQFMDGK-UHFFFAOYSA-N 1 2 299.418 3.885 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000440791000 1123041917 /nfs/dbraw/zinc/04/19/17/1123041917.db2.gz HLVDPRRKRODBKC-RDJZCZTQSA-N 1 2 272.367 3.641 20 0 CHADLO CC[C@H](F)C[N@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000440791000 1123041920 /nfs/dbraw/zinc/04/19/20/1123041920.db2.gz HLVDPRRKRODBKC-RDJZCZTQSA-N 1 2 272.367 3.641 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+][C@@H](C)c1ccc(F)cc1F ZINC000164778175 1123051293 /nfs/dbraw/zinc/05/12/93/1123051293.db2.gz FIXUUFAVDLQNQG-LBPRGKRZSA-N 1 2 293.361 3.668 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2nccn2CC(C)C)o1 ZINC000164861494 1123057457 /nfs/dbraw/zinc/05/74/57/1123057457.db2.gz UNGXTCNDPXMJSM-CYBMUJFWSA-N 1 2 275.396 3.545 20 0 CHADLO CCCCCCNC(=S)Nc1cccc2[nH+]c(C)cn21 ZINC001200939398 1123066798 /nfs/dbraw/zinc/06/67/98/1123066798.db2.gz FLNGBPODGKMEJE-UHFFFAOYSA-N 1 2 290.436 3.509 20 0 CHADLO CC[N@H+](Cc1ncc(C)o1)[C@@H](C)c1ccc(F)cc1 ZINC000441230603 1123069794 /nfs/dbraw/zinc/06/97/94/1123069794.db2.gz VHFSZYDZNOLZBX-LBPRGKRZSA-N 1 2 262.328 3.705 20 0 CHADLO CC[N@@H+](Cc1ncc(C)o1)[C@@H](C)c1ccc(F)cc1 ZINC000441230603 1123069798 /nfs/dbraw/zinc/06/97/98/1123069798.db2.gz VHFSZYDZNOLZBX-LBPRGKRZSA-N 1 2 262.328 3.705 20 0 CHADLO Nc1ccc(Nc2cc(F)c(C(F)(F)F)cc2F)c[nH+]1 ZINC001201285185 1123081113 /nfs/dbraw/zinc/08/11/13/1123081113.db2.gz BYEJNCNZCHGCKP-UHFFFAOYSA-N 1 2 289.207 3.704 20 0 CHADLO Nc1ccc(Nc2ccc(OC3CCCC3)cc2)c[nH+]1 ZINC001201287034 1123081928 /nfs/dbraw/zinc/08/19/28/1123081928.db2.gz PHKZJJVHJYNHAX-UHFFFAOYSA-N 1 2 269.348 3.729 20 0 CHADLO CCCCOc1c(Nc2ccc(N)[nH+]c2)ccc(F)c1F ZINC001201292583 1123084028 /nfs/dbraw/zinc/08/40/28/1123084028.db2.gz QBBHHTFGYPDMJF-UHFFFAOYSA-N 1 2 293.317 3.865 20 0 CHADLO CCc1c(Cl)cc(Nc2ccc(N)[nH+]c2)c(O)c1Cl ZINC001201293222 1123084152 /nfs/dbraw/zinc/08/41/52/1123084152.db2.gz YERGLDMXJQTHIT-UHFFFAOYSA-N 1 2 298.173 3.982 20 0 CHADLO Nc1ccc(Nc2cc(-c3ccc(Cl)cc3)no2)c[nH+]1 ZINC001201272088 1123084243 /nfs/dbraw/zinc/08/42/43/1123084243.db2.gz IKNSTCDHPVRXOZ-UHFFFAOYSA-N 1 2 286.722 3.716 20 0 CHADLO Nc1ccc(Nc2ccnc3cc(Cl)ccc32)c[nH+]1 ZINC001201278256 1123085396 /nfs/dbraw/zinc/08/53/96/1123085396.db2.gz GPKIYRDFLPSCLD-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO CC(C)Oc1cc(Nc2[nH+]cccc2N)ccc1Cl ZINC001201376588 1123094939 /nfs/dbraw/zinc/09/49/39/1123094939.db2.gz PEBKQZHIFFHGLF-UHFFFAOYSA-N 1 2 277.755 3.848 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnc3c(c2)COCC3)cc1 ZINC001201486854 1123107441 /nfs/dbraw/zinc/10/74/41/1123107441.db2.gz AARCJEMAZCECHC-UHFFFAOYSA-N 1 2 283.375 3.718 20 0 CHADLO CCOC(=O)c1cncc(Nc2ccc([NH2+]C(C)C)cc2)c1 ZINC001201488433 1123107569 /nfs/dbraw/zinc/10/75/69/1123107569.db2.gz QHXDGBQRELUUSR-UHFFFAOYSA-N 1 2 299.374 3.822 20 0 CHADLO COC(=O)c1occc1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201488245 1123107640 /nfs/dbraw/zinc/10/76/40/1123107640.db2.gz BTDBQXQMHLEYEC-UHFFFAOYSA-N 1 2 274.320 3.630 20 0 CHADLO Cc1nc2c(C)cc(Nc3ccc([NH2+]C(C)C)cc3)cn2n1 ZINC001201489867 1123108591 /nfs/dbraw/zinc/10/85/91/1123108591.db2.gz VSFJFZPFPBPINV-UHFFFAOYSA-N 1 2 295.390 3.910 20 0 CHADLO CCOC(=O)c1ccc(Nc2ccc([NH2+]C(C)C)cc2)cn1 ZINC001201489491 1123108615 /nfs/dbraw/zinc/10/86/15/1123108615.db2.gz QELUUWSDFUGKQI-UHFFFAOYSA-N 1 2 299.374 3.822 20 0 CHADLO COc1ccc(Nc2ccc([NH2+]C(C)C)cc2)c(OC)n1 ZINC001201489213 1123108663 /nfs/dbraw/zinc/10/86/63/1123108663.db2.gz MJFNGPSVBCRVAR-UHFFFAOYSA-N 1 2 287.363 3.663 20 0 CHADLO COC(=O)c1cc(C)c(Nc2ccc([NH2+]C(C)C)cc2)cn1 ZINC001201489549 1123108717 /nfs/dbraw/zinc/10/87/17/1123108717.db2.gz SKQSQHIEEHOAOK-UHFFFAOYSA-N 1 2 299.374 3.741 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnc(OCC3CC3)nc2)cc1 ZINC001201491010 1123109289 /nfs/dbraw/zinc/10/92/89/1123109289.db2.gz KEGKASXJSWODGG-UHFFFAOYSA-N 1 2 298.390 3.829 20 0 CHADLO CCc1cnn2cc(Nc3ccc([NH2+]C(C)C)cc3)cnc12 ZINC001201491646 1123109432 /nfs/dbraw/zinc/10/94/32/1123109432.db2.gz RPIRXDMKUUFKKN-UHFFFAOYSA-N 1 2 295.390 3.856 20 0 CHADLO Cc1cc(C)c(Nc2cncc(OC(C)C)c2)c[nH+]1 ZINC001201540363 1123116521 /nfs/dbraw/zinc/11/65/21/1123116521.db2.gz UZZNQMDYJPDKKB-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO CC[C@H]1C[C@H](CNc2ccc(N(CC)CC)[nH+]c2)CCO1 ZINC001201573249 1123126863 /nfs/dbraw/zinc/12/68/63/1123126863.db2.gz PTFMXMDUOKLMEI-ZBFHGGJFSA-N 1 2 291.439 3.545 20 0 CHADLO c1cn(-c2ccccc2Nc2ccc(C3CC3)nc2)c[nH+]1 ZINC001201713535 1123139129 /nfs/dbraw/zinc/13/91/29/1123139129.db2.gz ZAXAXLLLUMGTNV-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO CCCC[N@@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001201722959 1123140839 /nfs/dbraw/zinc/14/08/39/1123140839.db2.gz MTVGVDDFPYGEJN-CQSZACIVSA-N 1 2 253.336 3.911 20 0 CHADLO CCCC[N@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001201722959 1123140842 /nfs/dbraw/zinc/14/08/42/1123140842.db2.gz MTVGVDDFPYGEJN-CQSZACIVSA-N 1 2 253.336 3.911 20 0 CHADLO CCCCC[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001201744885 1123144432 /nfs/dbraw/zinc/14/44/32/1123144432.db2.gz QAZURVDALFNBLV-HNNXBMFYSA-N 1 2 267.800 3.904 20 0 CHADLO CCCCC[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001201744885 1123144433 /nfs/dbraw/zinc/14/44/33/1123144433.db2.gz QAZURVDALFNBLV-HNNXBMFYSA-N 1 2 267.800 3.904 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+]1CCc2nc[nH]c2C12CCC2 ZINC000895068431 1123145682 /nfs/dbraw/zinc/14/56/82/1123145682.db2.gz ZCUJGJYKCFGWNY-QINSGFPZSA-N 1 2 293.414 3.751 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+]1CCc2nc[nH]c2C12CCC2 ZINC000895068431 1123145683 /nfs/dbraw/zinc/14/56/83/1123145683.db2.gz ZCUJGJYKCFGWNY-QINSGFPZSA-N 1 2 293.414 3.751 20 0 CHADLO C[C@@H]1CC[C@@H](C)[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000154178237 1123149773 /nfs/dbraw/zinc/14/97/73/1123149773.db2.gz FSDBTQXHXLBZPE-CHWSQXEVSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]1CC[C@@H](C)[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000154178237 1123149774 /nfs/dbraw/zinc/14/97/74/1123149774.db2.gz FSDBTQXHXLBZPE-CHWSQXEVSA-N 1 2 277.412 3.738 20 0 CHADLO Cc1ccc(-n2ccn(C[N@@H+](C)C3CC3)c2=S)c(C)c1 ZINC000049227391 1123158479 /nfs/dbraw/zinc/15/84/79/1123158479.db2.gz SWSYSBSHBJTMLA-UHFFFAOYSA-N 1 2 287.432 3.677 20 0 CHADLO Cc1ccc(-n2ccn(C[N@H+](C)C3CC3)c2=S)c(C)c1 ZINC000049227391 1123158481 /nfs/dbraw/zinc/15/84/81/1123158481.db2.gz SWSYSBSHBJTMLA-UHFFFAOYSA-N 1 2 287.432 3.677 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2cccc(Cl)c2)nn1C ZINC000487392887 1123162592 /nfs/dbraw/zinc/16/25/92/1123162592.db2.gz IRSTVKJOCALICW-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2cccc(Cl)c2)nn1C ZINC000487392887 1123162593 /nfs/dbraw/zinc/16/25/93/1123162593.db2.gz IRSTVKJOCALICW-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1nc(C2CCCC2)no1 ZINC000181565181 1123167624 /nfs/dbraw/zinc/16/76/24/1123167624.db2.gz YMBYEAJMJKFGPC-CYBMUJFWSA-N 1 2 285.391 3.886 20 0 CHADLO Cc1ccc(C(C)C)c(OCC(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000215618950 1123180042 /nfs/dbraw/zinc/18/00/42/1123180042.db2.gz APPGHJDFVLIZSP-UHFFFAOYSA-N 1 2 298.386 3.839 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](C)CC2CCCCC2)c(C)c[nH+]1 ZINC001202246169 1123193320 /nfs/dbraw/zinc/19/33/20/1123193320.db2.gz TWPMINBYMKWUHS-AWEZNQCLSA-N 1 2 289.423 3.601 20 0 CHADLO CC[C@H]1C[C@@H](C[N@@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000507815672 1123223353 /nfs/dbraw/zinc/22/33/53/1123223353.db2.gz PBKROIMTDUCVSD-HOTGVXAUSA-N 1 2 297.389 3.959 20 0 CHADLO CC[C@H]1C[C@@H](C[N@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000507815672 1123223356 /nfs/dbraw/zinc/22/33/56/1123223356.db2.gz PBKROIMTDUCVSD-HOTGVXAUSA-N 1 2 297.389 3.959 20 0 CHADLO CC[C@@H]1C[C@@H](C[N@@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000507815674 1123223542 /nfs/dbraw/zinc/22/35/42/1123223542.db2.gz PBKROIMTDUCVSD-JKSUJKDBSA-N 1 2 297.389 3.959 20 0 CHADLO CC[C@@H]1C[C@@H](C[N@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000507815674 1123223543 /nfs/dbraw/zinc/22/35/43/1123223543.db2.gz PBKROIMTDUCVSD-JKSUJKDBSA-N 1 2 297.389 3.959 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccccc1 ZINC000182517597 1123231369 /nfs/dbraw/zinc/23/13/69/1123231369.db2.gz XSDGBLVFARSDFV-CYBMUJFWSA-N 1 2 291.354 3.819 20 0 CHADLO CCOc1cc(Cl)ccc1NCc1[nH]c(C)c(C)[nH+]1 ZINC000850186904 1123244675 /nfs/dbraw/zinc/24/46/75/1123244675.db2.gz UHVXIKWLIAZAJZ-UHFFFAOYSA-N 1 2 279.771 3.691 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCc2oc(-c3ccccc3)cc2C1 ZINC000895133813 1123247284 /nfs/dbraw/zinc/24/72/84/1123247284.db2.gz QMKFNOHXOXZVOR-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1ncoc1C[N@H+]1CCc2oc(-c3ccccc3)cc2C1 ZINC000895133813 1123247290 /nfs/dbraw/zinc/24/72/90/1123247290.db2.gz QMKFNOHXOXZVOR-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)cc2Cl)cn1 ZINC000427820393 1123251905 /nfs/dbraw/zinc/25/19/05/1123251905.db2.gz NEXHPZMGJJIWAQ-WDEREUQCSA-N 1 2 293.773 3.989 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+][C@@H]1C=CCC1 ZINC000850511102 1123277834 /nfs/dbraw/zinc/27/78/34/1123277834.db2.gz ZIMIRQPACNOSDB-ZIAGYGMSSA-N 1 2 251.320 3.561 20 0 CHADLO FC(F)C1CCC([NH2+]c2ccc3c(c2)CCN3)CC1 ZINC000335058040 1123290290 /nfs/dbraw/zinc/29/02/90/1123290290.db2.gz XRCBKUIJCCXNBG-UHFFFAOYSA-N 1 2 266.335 3.890 20 0 CHADLO Cc1cncc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)c1 ZINC000335058181 1123290611 /nfs/dbraw/zinc/29/06/11/1123290611.db2.gz YTRFEFYGTMDCEY-LBPRGKRZSA-N 1 2 253.349 3.531 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@H]1CCC[C@@H](F)C1 ZINC000335060530 1123293162 /nfs/dbraw/zinc/29/31/62/1123293162.db2.gz CKCRMUGJBWRFDB-CHWSQXEVSA-N 1 2 287.338 3.544 20 0 CHADLO CC(C)Cn1nccc1C[N@H+](C)[C@H](C)c1ccccc1F ZINC000648001355 1123325432 /nfs/dbraw/zinc/32/54/32/1123325432.db2.gz KMRKRZFCOGNPAF-CQSZACIVSA-N 1 2 289.398 3.871 20 0 CHADLO CC(C)Cn1nccc1C[N@@H+](C)[C@H](C)c1ccccc1F ZINC000648001355 1123325436 /nfs/dbraw/zinc/32/54/36/1123325436.db2.gz KMRKRZFCOGNPAF-CQSZACIVSA-N 1 2 289.398 3.871 20 0 CHADLO CC[C@@H](F)CSCc1[nH+]cc(C)c(OC)c1C ZINC000442550042 1123327721 /nfs/dbraw/zinc/32/77/21/1123327721.db2.gz CCQQXYBBALYPEH-LLVKDONJSA-N 1 2 257.374 3.688 20 0 CHADLO Cc1cccc(Cn2nc(C(F)(F)F)c3c[nH+]ccc32)c1 ZINC000156702777 1129115904 /nfs/dbraw/zinc/11/59/04/1129115904.db2.gz SUFMCNAWAWPYPJ-UHFFFAOYSA-N 1 2 291.276 3.807 20 0 CHADLO COc1c(C)c[nH+]c(CNc2cccc(C)c2)c1C ZINC000020034819 1123354085 /nfs/dbraw/zinc/35/40/85/1123354085.db2.gz CWMDQAHJVMVUOL-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000335463652 1123370833 /nfs/dbraw/zinc/37/08/33/1123370833.db2.gz MFOXDRURTGQQAA-CHWSQXEVSA-N 1 2 286.375 3.532 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000335463652 1123370838 /nfs/dbraw/zinc/37/08/38/1123370838.db2.gz MFOXDRURTGQQAA-CHWSQXEVSA-N 1 2 286.375 3.532 20 0 CHADLO CC(C)n1c[nH+]cc1CN1Cc2ccc(Cl)cc2C1 ZINC000335546456 1123378119 /nfs/dbraw/zinc/37/81/19/1123378119.db2.gz MGIZLGMXODQEON-UHFFFAOYSA-N 1 2 275.783 3.633 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@@H](C)c2ccccn2)c(C)[nH+]1 ZINC000335586164 1123379857 /nfs/dbraw/zinc/37/98/57/1123379857.db2.gz MFPZEZWYFRAYNG-AWEZNQCLSA-N 1 2 298.390 3.627 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@@H](C3CC3)[C@H]2C2CC2)c(C)[nH+]1 ZINC000335588284 1123380012 /nfs/dbraw/zinc/38/00/12/1123380012.db2.gz ACFRHLVKPSLVIP-DOTOQJQBSA-N 1 2 299.418 3.659 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335580383 1123382878 /nfs/dbraw/zinc/38/28/78/1123382878.db2.gz IGQHWUUCCBTPKU-CWTRNNRKSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335580383 1123382881 /nfs/dbraw/zinc/38/28/81/1123382881.db2.gz IGQHWUUCCBTPKU-CWTRNNRKSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1CC=C(Cl)Cl ZINC000851911443 1123388650 /nfs/dbraw/zinc/38/86/50/1123388650.db2.gz CNTMESGQDXVXEI-SFYZADRCSA-N 1 2 276.129 3.968 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1CC=C(Cl)Cl ZINC000851911443 1123388654 /nfs/dbraw/zinc/38/86/54/1123388654.db2.gz CNTMESGQDXVXEI-SFYZADRCSA-N 1 2 276.129 3.968 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1CC=C(Cl)Cl ZINC000851911441 1123388807 /nfs/dbraw/zinc/38/88/07/1123388807.db2.gz CNTMESGQDXVXEI-HTQZYQBOSA-N 1 2 276.129 3.968 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1CC=C(Cl)Cl ZINC000851911441 1123388810 /nfs/dbraw/zinc/38/88/10/1123388810.db2.gz CNTMESGQDXVXEI-HTQZYQBOSA-N 1 2 276.129 3.968 20 0 CHADLO Cc1cc(F)cc2c1C[N@H+](Cc1cc(C3CC3)no1)CC2 ZINC000852016334 1123393140 /nfs/dbraw/zinc/39/31/40/1123393140.db2.gz YPRJPKIOHXCJRV-UHFFFAOYSA-N 1 2 286.350 3.558 20 0 CHADLO Cc1cc(F)cc2c1C[N@@H+](Cc1cc(C3CC3)no1)CC2 ZINC000852016334 1123393146 /nfs/dbraw/zinc/39/31/46/1123393146.db2.gz YPRJPKIOHXCJRV-UHFFFAOYSA-N 1 2 286.350 3.558 20 0 CHADLO FC1(F)CC[NH+](Cc2nsc3ccccc32)CC1 ZINC000852001097 1123393804 /nfs/dbraw/zinc/39/38/04/1123393804.db2.gz FPVCFXAPIDCRNQ-UHFFFAOYSA-N 1 2 268.332 3.527 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CCC[C@]3(CC3(F)F)C2)n1 ZINC000895181740 1123399045 /nfs/dbraw/zinc/39/90/45/1123399045.db2.gz YRDFKQYDJIOYSJ-AWEZNQCLSA-N 1 2 284.350 3.593 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CCC[C@]3(CC3(F)F)C2)n1 ZINC000895181740 1123399049 /nfs/dbraw/zinc/39/90/49/1123399049.db2.gz YRDFKQYDJIOYSJ-AWEZNQCLSA-N 1 2 284.350 3.593 20 0 CHADLO CC(C)c1cnc(C[NH+]2CCC(c3ccco3)CC2)o1 ZINC000852323135 1123403066 /nfs/dbraw/zinc/40/30/66/1123403066.db2.gz FQUAOCGQHCYHSD-UHFFFAOYSA-N 1 2 274.364 3.771 20 0 CHADLO Cl/C=C\C[N@@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000852426811 1123405769 /nfs/dbraw/zinc/40/57/69/1123405769.db2.gz OOAVUTHYSXLMQJ-UKOWJOKBSA-N 1 2 251.757 3.949 20 0 CHADLO Cl/C=C\C[N@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000852426811 1123405772 /nfs/dbraw/zinc/40/57/72/1123405772.db2.gz OOAVUTHYSXLMQJ-UKOWJOKBSA-N 1 2 251.757 3.949 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc2c(c1)CCCC2)c1csnn1 ZINC000398438403 1123405873 /nfs/dbraw/zinc/40/58/73/1123405873.db2.gz WRFMRTDVPSCKJM-VXGBXAGGSA-N 1 2 287.432 3.829 20 0 CHADLO CC(=O)c1c(F)cc(F)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204991714 1123408531 /nfs/dbraw/zinc/40/85/31/1123408531.db2.gz RNEJOWWGRRCEIT-UHFFFAOYSA-N 1 2 287.269 3.559 20 0 CHADLO CC1(C)C[C@@]1([NH2+]Cc1coc(C2CC2)n1)c1ccccc1 ZINC000853091728 1123423920 /nfs/dbraw/zinc/42/39/20/1123423920.db2.gz OHAURDRILIHLGS-GOSISDBHSA-N 1 2 282.387 3.967 20 0 CHADLO Cc1cc([NH2+]C[C@@H](C)c2ccc(F)cc2)ccc1N ZINC000853248631 1123430049 /nfs/dbraw/zinc/43/00/49/1123430049.db2.gz WAENYZWGAXNKLR-GFCCVEGCSA-N 1 2 258.340 3.932 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C)sc1C)c1nc(C)cs1 ZINC000443583406 1123436537 /nfs/dbraw/zinc/43/65/37/1123436537.db2.gz QAGDMMYTLDGBHT-CQSZACIVSA-N 1 2 295.477 3.940 20 0 CHADLO Cc1nc(C)c(COc2cc(C)[nH+]c3ccccc32)o1 ZINC000853692870 1123447604 /nfs/dbraw/zinc/44/76/04/1123447604.db2.gz KSSZJDRYGNRAEN-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO C[N@H+](Cc1ccns1)Cc1ccc(C(F)(F)F)s1 ZINC000895452880 1123482291 /nfs/dbraw/zinc/48/22/91/1123482291.db2.gz ZRHRPPMFGOXURV-UHFFFAOYSA-N 1 2 292.351 3.855 20 0 CHADLO C[N@@H+](Cc1ccns1)Cc1ccc(C(F)(F)F)s1 ZINC000895452880 1123482293 /nfs/dbraw/zinc/48/22/93/1123482293.db2.gz ZRHRPPMFGOXURV-UHFFFAOYSA-N 1 2 292.351 3.855 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1cc(F)cc(F)c1)[C@H]1CC1(F)F ZINC000895604996 1123496235 /nfs/dbraw/zinc/49/62/35/1123496235.db2.gz MHSBWAFFKSLXRM-RDDDGLTNSA-N 1 2 297.242 3.690 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+]C1(c2ncccn2)CCC1 ZINC000895624233 1123498001 /nfs/dbraw/zinc/49/80/01/1123498001.db2.gz XXHUVLXWZZYPMD-UHFFFAOYSA-N 1 2 293.370 3.700 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1c(C)nn(C(C)C)c1C)C2 ZINC000895634913 1123498194 /nfs/dbraw/zinc/49/81/94/1123498194.db2.gz OKFJVMCKFJFJRR-UHFFFAOYSA-N 1 2 299.418 3.605 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1c(C)nn(C(C)C)c1C)C2 ZINC000895634913 1123498195 /nfs/dbraw/zinc/49/81/95/1123498195.db2.gz OKFJVMCKFJFJRR-UHFFFAOYSA-N 1 2 299.418 3.605 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cccn1CCC(C)C ZINC001203144995 1123505517 /nfs/dbraw/zinc/50/55/17/1123505517.db2.gz VKSDYZYKUCEEEW-UHFFFAOYSA-N 1 2 258.356 3.669 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCCCC2)cc1)C1CCOCC1 ZINC000776794892 1123507248 /nfs/dbraw/zinc/50/72/48/1123507248.db2.gz KEVCMKNAANDDFS-OAHLLOKOSA-N 1 2 288.435 3.904 20 0 CHADLO FC(F)c1noc(C[NH2+]Cc2ccc(C3CCC3)cc2)n1 ZINC000895725860 1123509155 /nfs/dbraw/zinc/50/91/55/1123509155.db2.gz PVGBVPVZKPYUFN-UHFFFAOYSA-N 1 2 293.317 3.565 20 0 CHADLO Cc1cc(NC(=O)c2cc(Cl)nc3ccccc32)cc[nH+]1 ZINC000010163336 1123514561 /nfs/dbraw/zinc/51/45/61/1123514561.db2.gz PEHMOMDHNYLVFR-UHFFFAOYSA-N 1 2 297.745 3.844 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CC[C@](C)(C(F)(F)F)C2)n1 ZINC000895938338 1123533546 /nfs/dbraw/zinc/53/35/46/1123533546.db2.gz BKGXZFDKMKFCGU-ZDUSSCGKSA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CC[C@](C)(C(F)(F)F)C2)n1 ZINC000895938338 1123533549 /nfs/dbraw/zinc/53/35/49/1123533549.db2.gz BKGXZFDKMKFCGU-ZDUSSCGKSA-N 1 2 290.329 3.746 20 0 CHADLO Cc1cc(NCc2csc(C(F)(F)F)n2)c[nH+]c1C ZINC000896021778 1123543018 /nfs/dbraw/zinc/54/30/18/1123543018.db2.gz NPSCKDDDNSFTQK-UHFFFAOYSA-N 1 2 287.310 3.786 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nnc(C)s1)c1ccccc1F ZINC000274278279 1129130678 /nfs/dbraw/zinc/13/06/78/1129130678.db2.gz XYDIAZPPYLSCIE-TVQRCGJNSA-N 1 2 279.384 3.788 20 0 CHADLO CCC[C@H]([NH2+]CC[C@H](C)c1ccccc1)C(=O)OCC ZINC000097988390 1123577295 /nfs/dbraw/zinc/57/72/95/1123577295.db2.gz NUEGSTLYZSWKAG-HOCLYGCPSA-N 1 2 277.408 3.502 20 0 CHADLO CC[N@H+](Cc1cn(C)nc1Cl)[C@@H](C)c1ccc(F)cc1 ZINC000857018037 1123578707 /nfs/dbraw/zinc/57/87/07/1123578707.db2.gz JKSJAZRUQFAJCX-NSHDSACASA-N 1 2 295.789 3.796 20 0 CHADLO CC[N@@H+](Cc1cn(C)nc1Cl)[C@@H](C)c1ccc(F)cc1 ZINC000857018037 1123578714 /nfs/dbraw/zinc/57/87/14/1123578714.db2.gz JKSJAZRUQFAJCX-NSHDSACASA-N 1 2 295.789 3.796 20 0 CHADLO C[C@H](CC(=O)O[C@@H]1C[C@@H](C)CC(C)(C)C1)n1cc[nH+]c1 ZINC000857066797 1123584299 /nfs/dbraw/zinc/58/42/99/1123584299.db2.gz WBOOJRJECOJTCI-MGPQQGTHSA-N 1 2 278.396 3.592 20 0 CHADLO CC[C@]1(C)COCC[N@@H+]1Cc1cc(C)ccc1OC(F)F ZINC000428304001 1123596840 /nfs/dbraw/zinc/59/68/40/1123596840.db2.gz SFGGMWDHMLVOGM-MRXNPFEDSA-N 1 2 299.361 3.597 20 0 CHADLO CC[C@]1(C)COCC[N@H+]1Cc1cc(C)ccc1OC(F)F ZINC000428304001 1123596843 /nfs/dbraw/zinc/59/68/43/1123596843.db2.gz SFGGMWDHMLVOGM-MRXNPFEDSA-N 1 2 299.361 3.597 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(F)c(Br)cc2F)C1 ZINC001141910864 1123619294 /nfs/dbraw/zinc/61/92/94/1123619294.db2.gz YZJDYZQNGVZHBX-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(F)c(Br)cc2F)C1 ZINC001141910864 1123619298 /nfs/dbraw/zinc/61/92/98/1123619298.db2.gz YZJDYZQNGVZHBX-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO c1oc(C2CC2)nc1C[NH2+][C@H](c1ccccn1)C1CCC1 ZINC000858238005 1123639099 /nfs/dbraw/zinc/63/90/99/1123639099.db2.gz GIPVCDZUCNLAEU-INIZCTEOSA-N 1 2 283.375 3.578 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccoc1Cl ZINC000192415544 1123642468 /nfs/dbraw/zinc/64/24/68/1123642468.db2.gz FYKXZQKZXIRDHF-UHFFFAOYSA-N 1 2 287.706 3.575 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2ccc(Cl)cc21)c1ccncc1F ZINC000335905300 1123650955 /nfs/dbraw/zinc/65/09/55/1123650955.db2.gz MFQMGVWPIQESMF-XPTSAGLGSA-N 1 2 292.741 3.658 20 0 CHADLO Clc1ccc2c(c1)[C@H]([NH2+][C@H]1CCCc3[nH]ncc31)CC2 ZINC000858582444 1123665632 /nfs/dbraw/zinc/66/56/32/1123665632.db2.gz QTXQROCUJWYROH-LSDHHAIUSA-N 1 2 287.794 3.718 20 0 CHADLO Cn1cc(C[NH+]2Cc3ccccc3C2)c(C2CCCCC2)n1 ZINC000346134007 1123668403 /nfs/dbraw/zinc/66/84/03/1123668403.db2.gz DJSXETMUMDAGOX-UHFFFAOYSA-N 1 2 295.430 3.984 20 0 CHADLO Cc1nc(N2C[C@@H]3C[C@@H](c4ccccc4)[C@@H]3C2)c(C)c(C)[nH+]1 ZINC000858804422 1123671969 /nfs/dbraw/zinc/67/19/69/1123671969.db2.gz SRGDDTLDCSZUEJ-OKZBNKHCSA-N 1 2 293.414 3.642 20 0 CHADLO CCC(CC)N(CC)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000346268534 1123677112 /nfs/dbraw/zinc/67/71/12/1123677112.db2.gz IRZMPTOYWWEZSE-UHFFFAOYSA-N 1 2 285.391 3.523 20 0 CHADLO CC(C)[N@H+](Cc1cc(Cl)n(C)n1)Cc1ccc(F)cc1 ZINC000859097972 1123684498 /nfs/dbraw/zinc/68/44/98/1123684498.db2.gz XKAWACKEPZRLHO-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC(C)[N@@H+](Cc1cc(Cl)n(C)n1)Cc1ccc(F)cc1 ZINC000859097972 1123684500 /nfs/dbraw/zinc/68/45/00/1123684500.db2.gz XKAWACKEPZRLHO-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1Cc1ccc(C)o1 ZINC000281178879 1123685472 /nfs/dbraw/zinc/68/54/72/1123685472.db2.gz RGUGTNYSLZWJMG-OAHLLOKOSA-N 1 2 273.380 3.532 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1Cc1ccc(C)o1 ZINC000281178879 1123685474 /nfs/dbraw/zinc/68/54/74/1123685474.db2.gz RGUGTNYSLZWJMG-OAHLLOKOSA-N 1 2 273.380 3.532 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(C(C)(C)C)cc1)c1ncn(C)n1 ZINC000275575858 1129138887 /nfs/dbraw/zinc/13/88/87/1129138887.db2.gz GQVZCQAPZOGYDH-QWHCGFSZSA-N 1 2 286.423 3.524 20 0 CHADLO Cn1nc(C[N@@H+]2CCCC[C@@H]2c2ccccc2)cc1Cl ZINC000859252653 1123693109 /nfs/dbraw/zinc/69/31/09/1123693109.db2.gz QCEHXWOLXPXKLG-OAHLLOKOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1nc(C[N@H+]2CCCC[C@@H]2c2ccccc2)cc1Cl ZINC000859252653 1123693111 /nfs/dbraw/zinc/69/31/11/1123693111.db2.gz QCEHXWOLXPXKLG-OAHLLOKOSA-N 1 2 289.810 3.801 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000859300883 1123694132 /nfs/dbraw/zinc/69/41/32/1123694132.db2.gz FJGCQLLZSYTFPP-CJNGLKHVSA-N 1 2 297.402 3.920 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000859300883 1123694135 /nfs/dbraw/zinc/69/41/35/1123694135.db2.gz FJGCQLLZSYTFPP-CJNGLKHVSA-N 1 2 297.402 3.920 20 0 CHADLO FC(F)c1cccc(CSCCn2cc[nH+]c2)c1 ZINC000281320013 1123697387 /nfs/dbraw/zinc/69/73/87/1123697387.db2.gz ZSAZCWHJDICETI-UHFFFAOYSA-N 1 2 268.332 3.754 20 0 CHADLO Cc1cc(N2CCc3sccc3[C@H]2C)nc(C2CC2)[nH+]1 ZINC000346653161 1123701971 /nfs/dbraw/zinc/70/19/71/1123701971.db2.gz LZJLKNHQGLUGKW-LLVKDONJSA-N 1 2 285.416 3.848 20 0 CHADLO Cc1cc(N(C)Cc2ccccc2Cl)nc(C2CC2)[nH+]1 ZINC000346632881 1123702893 /nfs/dbraw/zinc/70/28/93/1123702893.db2.gz JMSUKHVSGMYFLR-UHFFFAOYSA-N 1 2 287.794 3.952 20 0 CHADLO Fc1cccc(F)c1C[N@H+](C[C@H]1CCC=CO1)C1CC1 ZINC000194865342 1129139762 /nfs/dbraw/zinc/13/97/62/1129139762.db2.gz LDQAYPZCTOOPIO-CYBMUJFWSA-N 1 2 279.330 3.622 20 0 CHADLO Fc1cccc(F)c1C[N@@H+](C[C@H]1CCC=CO1)C1CC1 ZINC000194865342 1129139763 /nfs/dbraw/zinc/13/97/63/1129139763.db2.gz LDQAYPZCTOOPIO-CYBMUJFWSA-N 1 2 279.330 3.622 20 0 CHADLO COc1ccc([C@H](C)[NH2+]C/C(Cl)=C/Cl)c(OC)c1 ZINC000859633692 1123703872 /nfs/dbraw/zinc/70/38/72/1123703872.db2.gz VFRDOMGBLFSXGB-CBFJXKFUSA-N 1 2 290.190 3.673 20 0 CHADLO CC1(C)CC[C@@H](Nc2ccc(N3CCCC3)c[nH+]2)C1 ZINC000346664278 1123705365 /nfs/dbraw/zinc/70/53/65/1123705365.db2.gz ZKUVVAQOKFINBU-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO CC(C)c1noc(C[NH2+][C@H](c2ccccc2)C(C)C)n1 ZINC000346750115 1123710420 /nfs/dbraw/zinc/71/04/20/1123710420.db2.gz KYJOAOYHUKDTAL-HNNXBMFYSA-N 1 2 273.380 3.680 20 0 CHADLO COc1ccc(C2([NH2+][C@H](C)c3csc(C)n3)CC2)cc1 ZINC000346742587 1123711099 /nfs/dbraw/zinc/71/10/99/1123711099.db2.gz MPLALQHKYHNNNP-LLVKDONJSA-N 1 2 288.416 3.800 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cc(C)c(C)o1)c1ccc(C)o1 ZINC000281965574 1123760654 /nfs/dbraw/zinc/76/06/54/1123760654.db2.gz BFXDJHUHNHNNDH-JSGCOSHPSA-N 1 2 277.364 3.836 20 0 CHADLO CC(C)c1cnc(N2CC[C@H](C)[C@H](n3cc[nH+]c3)C2)s1 ZINC000336398125 1123768765 /nfs/dbraw/zinc/76/87/65/1123768765.db2.gz UQAFCEZVZVKLQR-QWHCGFSZSA-N 1 2 290.436 3.551 20 0 CHADLO Cc1cccc2c1OCC[C@H]2[NH2+][C@@H](C)c1cscn1 ZINC000336566075 1123775449 /nfs/dbraw/zinc/77/54/49/1123775449.db2.gz ZPVXBGZRNRYEGF-WCQYABFASA-N 1 2 274.389 3.626 20 0 CHADLO CCc1cc(N2CC(C)(C)[C@H]2c2ccco2)nc(C)[nH+]1 ZINC000631421627 1123778477 /nfs/dbraw/zinc/77/84/77/1123778477.db2.gz MQIZQYQFGCTVNY-OAHLLOKOSA-N 1 2 271.364 3.528 20 0 CHADLO Cc1cc(NC2C[C@H]3CC[C@@H](C2)S3)nc(C2CC2)[nH+]1 ZINC000896996956 1123795740 /nfs/dbraw/zinc/79/57/40/1123795740.db2.gz WYTLZEYDZBNYLM-YHWZYXNKSA-N 1 2 275.421 3.501 20 0 CHADLO COc1ccc2ccc(NC3CC4(C3)CO[C@H](C)C4)[nH+]c2c1 ZINC000897046335 1123803263 /nfs/dbraw/zinc/80/32/63/1123803263.db2.gz YJBDCNYZXNRBTC-LVIIFESRSA-N 1 2 298.386 3.613 20 0 CHADLO Fc1cc(C[NH+]2CC(Cc3ccccc3Cl)C2)ccn1 ZINC000862369097 1123805063 /nfs/dbraw/zinc/80/50/63/1123805063.db2.gz SZUPJGSEOZJLCD-UHFFFAOYSA-N 1 2 290.769 3.549 20 0 CHADLO CCC(C)(C)OC1C[NH+](Cc2cnc3ccccc3c2)C1 ZINC000862470930 1123812982 /nfs/dbraw/zinc/81/29/82/1123812982.db2.gz YPAAUNLEKVSZRH-UHFFFAOYSA-N 1 2 284.403 3.624 20 0 CHADLO CCN(Cc1[nH+]cc(C)c(OC)c1C)c1cccc(F)c1 ZINC001168060491 1123832966 /nfs/dbraw/zinc/83/29/66/1123832966.db2.gz SGRLXWRLHZXWJB-UHFFFAOYSA-N 1 2 288.366 3.873 20 0 CHADLO CCCCCCNC(=O)C[N@H+]([C@H](C)c1ccco1)C1CC1 ZINC000119194589 1123835430 /nfs/dbraw/zinc/83/54/30/1123835430.db2.gz RCCIVWPIKJBWTB-CQSZACIVSA-N 1 2 292.423 3.502 20 0 CHADLO CCCCCCNC(=O)C[N@@H+]([C@H](C)c1ccco1)C1CC1 ZINC000119194589 1123835435 /nfs/dbraw/zinc/83/54/35/1123835435.db2.gz RCCIVWPIKJBWTB-CQSZACIVSA-N 1 2 292.423 3.502 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C2CCC2)no1)c1ccccc1 ZINC000639561821 1123859709 /nfs/dbraw/zinc/85/97/09/1123859709.db2.gz JKHGOQWGPXZKOJ-CQSZACIVSA-N 1 2 271.364 3.578 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@H+](Cn2nc(C)sc2=S)C1 ZINC000863339716 1123861705 /nfs/dbraw/zinc/86/17/05/1123861705.db2.gz GWXKTUITNKATGH-AAOUONPWSA-N 1 2 283.466 3.618 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@@H+](Cn2nc(C)sc2=S)C1 ZINC000863339716 1123861707 /nfs/dbraw/zinc/86/17/07/1123861707.db2.gz GWXKTUITNKATGH-AAOUONPWSA-N 1 2 283.466 3.618 20 0 CHADLO C[C@@H]1CCCC[C@@H]1N(C)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000031386645 1123863353 /nfs/dbraw/zinc/86/33/53/1123863353.db2.gz JXKBJDMDZMHPMD-PBHICJAKSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2nc(C3CCC3)no2)c1 ZINC000639565348 1123863847 /nfs/dbraw/zinc/86/38/47/1123863847.db2.gz HPXGYAYDSJVUMU-UHFFFAOYSA-N 1 2 285.391 3.670 20 0 CHADLO c1coc(C[N@@H+]2CCn3cccc3[C@@H]2c2ccccc2)c1 ZINC000158687848 1129151218 /nfs/dbraw/zinc/15/12/18/1129151218.db2.gz RIDFWQBYXOPTMH-SFHVURJKSA-N 1 2 278.355 3.686 20 0 CHADLO c1coc(C[N@H+]2CCn3cccc3[C@@H]2c2ccccc2)c1 ZINC000158687848 1129151221 /nfs/dbraw/zinc/15/12/21/1129151221.db2.gz RIDFWQBYXOPTMH-SFHVURJKSA-N 1 2 278.355 3.686 20 0 CHADLO Cc1cc(N[C@H]2CCN(c3ccccc3)C2)nc(C(C)C)[nH+]1 ZINC000301199546 1123881015 /nfs/dbraw/zinc/88/10/15/1123881015.db2.gz PKDQFEJCTFAVKA-HNNXBMFYSA-N 1 2 296.418 3.599 20 0 CHADLO c1cn(-c2cccc(Oc3nc4ccccc4o3)c2)c[nH+]1 ZINC000301383434 1123897332 /nfs/dbraw/zinc/89/73/32/1123897332.db2.gz UDBWMAKMENGQFI-UHFFFAOYSA-N 1 2 277.283 3.806 20 0 CHADLO Cc1cccc2c(CCNc3cccc[nH+]3)c[nH]c21 ZINC000301424551 1123899874 /nfs/dbraw/zinc/89/98/74/1123899874.db2.gz OOGMKJOPQMYOME-UHFFFAOYSA-N 1 2 251.333 3.526 20 0 CHADLO C[C@@H]1CN(c2cccc[nH+]2)CC[C@H]1c1ccccc1 ZINC000301428018 1123900092 /nfs/dbraw/zinc/90/00/92/1123900092.db2.gz FCJUULUQWNVEFI-GDBMZVCRSA-N 1 2 252.361 3.712 20 0 CHADLO C[C@H](CNc1cccc[nH+]1)Oc1cccc(Cl)c1 ZINC000301434458 1123900472 /nfs/dbraw/zinc/90/04/72/1123900472.db2.gz HBQKFAUPZSYXIA-LLVKDONJSA-N 1 2 262.740 3.614 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCC[C@@H]1c1cc[nH]n1 ZINC000863995678 1123907444 /nfs/dbraw/zinc/90/74/44/1123907444.db2.gz CDQCTBBLJHMXDL-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCC[C@@H]1c1cc[nH]n1 ZINC000863995678 1123907447 /nfs/dbraw/zinc/90/74/47/1123907447.db2.gz CDQCTBBLJHMXDL-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO CC[C@@H](CNc1cccc[nH+]1)Oc1cccc(C)c1 ZINC000301549815 1123910732 /nfs/dbraw/zinc/91/07/32/1123910732.db2.gz LXZDUHBKELVJQM-AWEZNQCLSA-N 1 2 256.349 3.660 20 0 CHADLO CC[C@H](CNc1cccc[nH+]1)Oc1ccccc1C ZINC000301551512 1123911124 /nfs/dbraw/zinc/91/11/24/1123911124.db2.gz MKYHOKKLQIWVAD-CQSZACIVSA-N 1 2 256.349 3.660 20 0 CHADLO Cc1c[nH+]c(N2CCCC[C@H]2C)nc1N1CCCC[C@H]1C ZINC000301565975 1123912878 /nfs/dbraw/zinc/91/28/78/1123912878.db2.gz MCJOMKWYYXFLOU-HUUCEWRRSA-N 1 2 288.439 3.543 20 0 CHADLO Cc1cc([N@H+]2CCCC[C@@H]2C)ccc1Nc1ncccn1 ZINC000301634134 1123919669 /nfs/dbraw/zinc/91/96/69/1123919669.db2.gz KJUKHGGKGFXBCN-AWEZNQCLSA-N 1 2 282.391 3.907 20 0 CHADLO Cc1cc([N@@H+]2CCCC[C@@H]2C)ccc1Nc1ncccn1 ZINC000301634134 1123919671 /nfs/dbraw/zinc/91/96/71/1123919671.db2.gz KJUKHGGKGFXBCN-AWEZNQCLSA-N 1 2 282.391 3.907 20 0 CHADLO Cc1nc(N2CC[C@@H](c3ccccc3)[C@H]2C)c(C)c(C)[nH+]1 ZINC000301669007 1123922108 /nfs/dbraw/zinc/92/21/08/1123922108.db2.gz NKHLTFQNAJVXJC-RHSMWYFYSA-N 1 2 281.403 3.784 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000301744497 1123928840 /nfs/dbraw/zinc/92/88/40/1123928840.db2.gz ZWQSGLYLBRDIAE-OIISXLGYSA-N 1 2 282.387 3.913 20 0 CHADLO Cc1cc(NCCC[C@H]2CCCC[C@H]2O)nc(C(C)C)[nH+]1 ZINC000301754632 1123929711 /nfs/dbraw/zinc/92/97/11/1123929711.db2.gz KBMRIBWTYNJCHP-HUUCEWRRSA-N 1 2 291.439 3.652 20 0 CHADLO c1cn(Cc2ccc(-c3ccnc4c3CCCC4)cc2)c[nH+]1 ZINC000864544189 1123935284 /nfs/dbraw/zinc/93/52/84/1123935284.db2.gz JTCIWJKDILWKFQ-UHFFFAOYSA-N 1 2 289.382 3.872 20 0 CHADLO CCC(O)(CC)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301816859 1123935682 /nfs/dbraw/zinc/93/56/82/1123935682.db2.gz JSLPWVKUCOXEEK-UHFFFAOYSA-N 1 2 279.428 3.686 20 0 CHADLO Cc1cc(N[C@H](C)[C@@H]2COc3ccccc32)nc(C(C)C)[nH+]1 ZINC000301813753 1123935759 /nfs/dbraw/zinc/93/57/59/1123935759.db2.gz CKWSCOHUAFLCAZ-HIFRSBDPSA-N 1 2 297.402 3.885 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NC1CCCCCC1 ZINC000301838791 1123937597 /nfs/dbraw/zinc/93/75/97/1123937597.db2.gz NRLIOZXVBNTQTG-UHFFFAOYSA-N 1 2 287.411 3.716 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](CC)c2nccs2)o1 ZINC000428345204 1123937725 /nfs/dbraw/zinc/93/77/25/1123937725.db2.gz DNJNIPBNIFYOGI-NSHDSACASA-N 1 2 279.409 3.633 20 0 CHADLO Cc1c[nH+]c(N2[C@H](C)CC[C@@H]2C)nc1N1[C@@H](C)CC[C@@H]1C ZINC000301876861 1123939931 /nfs/dbraw/zinc/93/99/31/1123939931.db2.gz HAWBFOQSTURJSE-ZQDZILKHSA-N 1 2 288.439 3.539 20 0 CHADLO CC(C)C[C@@H](O)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301871425 1123939940 /nfs/dbraw/zinc/93/99/40/1123939940.db2.gz PUMSNEQVUKDCJW-CYBMUJFWSA-N 1 2 279.428 3.542 20 0 CHADLO Cc1ccc(Cl)c2ccc(NCCn3cc[nH+]c3)nc12 ZINC000302119259 1123955910 /nfs/dbraw/zinc/95/59/10/1123955910.db2.gz FIZSTZDEHSZEJF-UHFFFAOYSA-N 1 2 286.766 3.505 20 0 CHADLO COc1cccc2c(NCc3cccc(F)c3)cc[nH+]c12 ZINC000302383121 1123967650 /nfs/dbraw/zinc/96/76/50/1123967650.db2.gz ADRRMOIGAWPXEC-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO COc1cccc2c(N[C@@H](C)C[C@@H]3CCCO3)cc[nH+]c12 ZINC000302473987 1123969576 /nfs/dbraw/zinc/96/95/76/1123969576.db2.gz UTCMXHUNVPNVDW-STQMWFEESA-N 1 2 286.375 3.613 20 0 CHADLO Cc1cccc2nc(C3CCN(c4cccc[nH+]4)CC3)oc21 ZINC000302491878 1123970545 /nfs/dbraw/zinc/97/05/45/1123970545.db2.gz KIZNXKRGNCTYLX-UHFFFAOYSA-N 1 2 293.370 3.915 20 0 CHADLO CC(C)c1cc(N[C@@H]2C[C@H]3OCCC[C@@H]23)nc(C(C)C)[nH+]1 ZINC000302574461 1123973214 /nfs/dbraw/zinc/97/32/14/1123973214.db2.gz RGEJLLZEPRXYQM-NWANDNLSSA-N 1 2 289.423 3.703 20 0 CHADLO Clc1cc(NCc2ccccc2-n2cc[nH+]c2)ccn1 ZINC000302619479 1123974720 /nfs/dbraw/zinc/97/47/20/1123974720.db2.gz DEUYXSCSUKFNDE-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO CC(C)(C)c1cn(Cc2csc(-c3ccco3)n2)c[nH+]1 ZINC000865376073 1123976547 /nfs/dbraw/zinc/97/65/47/1123976547.db2.gz YANVHOZSGLKNIZ-UHFFFAOYSA-N 1 2 287.388 3.945 20 0 CHADLO CC(C)(C)c1cn(Cc2cn3cc(Cl)ccc3n2)c[nH+]1 ZINC000865374950 1123976625 /nfs/dbraw/zinc/97/66/25/1123976625.db2.gz BOIILOJLLAIARX-UHFFFAOYSA-N 1 2 288.782 3.530 20 0 CHADLO CC(C)(C)c1cn(Cc2cccnc2C(F)(F)F)c[nH+]1 ZINC000865376664 1123977561 /nfs/dbraw/zinc/97/75/61/1123977561.db2.gz XZUGNSMHTUALOD-UHFFFAOYSA-N 1 2 283.297 3.643 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)Nc1cc(N2CCCC2)nc[nH+]1 ZINC000302766619 1123983151 /nfs/dbraw/zinc/98/31/51/1123983151.db2.gz AIAJIMZYKJKTKH-OAHLLOKOSA-N 1 2 296.418 3.867 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)Nc1cc(N2CCCC2)[nH+]cn1 ZINC000302766619 1123983153 /nfs/dbraw/zinc/98/31/53/1123983153.db2.gz AIAJIMZYKJKTKH-OAHLLOKOSA-N 1 2 296.418 3.867 20 0 CHADLO COc1cccc2c(NCC3(SC)CC3)cc[nH+]c12 ZINC000302864688 1123987594 /nfs/dbraw/zinc/98/75/94/1123987594.db2.gz SZTJRJISSLVXRD-UHFFFAOYSA-N 1 2 274.389 3.551 20 0 CHADLO Fc1cccc2cc(C[NH2+][C@H]3CCCC3(F)F)cnc12 ZINC000865568977 1123995922 /nfs/dbraw/zinc/99/59/22/1123995922.db2.gz GHEBLPFNKRFAIW-ZDUSSCGKSA-N 1 2 280.293 3.651 20 0 CHADLO CC(C)(C)OC(=O)c1ccccc1C[NH2+]Cc1ccco1 ZINC000865730030 1124008707 /nfs/dbraw/zinc/00/87/07/1124008707.db2.gz QCGOWQBIUOMPHI-UHFFFAOYSA-N 1 2 287.359 3.525 20 0 CHADLO COCc1ccc(C[NH2+]Cc2ccc(C(C)(C)C)o2)o1 ZINC000865814284 1124016184 /nfs/dbraw/zinc/01/61/84/1124016184.db2.gz HUAWKCZYWALIIC-UHFFFAOYSA-N 1 2 277.364 3.606 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@@H](C)C(C)(F)F)ccc1F ZINC000389483560 1124031524 /nfs/dbraw/zinc/03/15/24/1124031524.db2.gz JBFSLFVEHLWPSC-IUCAKERBSA-N 1 2 261.287 3.529 20 0 CHADLO CC[C@@H](Nc1cccc[nH+]1)c1cccc(OC)c1OC ZINC000866140662 1124033915 /nfs/dbraw/zinc/03/39/15/1124033915.db2.gz LFSBPCOABYOMQB-CYBMUJFWSA-N 1 2 272.348 3.662 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)s1 ZINC000866237295 1124039987 /nfs/dbraw/zinc/03/99/87/1124039987.db2.gz IZBSNIIHEJXPJF-ZFWWWQNUSA-N 1 2 277.364 3.618 20 0 CHADLO CC[C@H]([NH2+][C@H]1c2ccccc2OC[C@@H]1F)c1ccncc1 ZINC000866272825 1124045178 /nfs/dbraw/zinc/04/51/78/1124045178.db2.gz VQDFHYPQLMNSCZ-ZOBUZTSGSA-N 1 2 286.350 3.594 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CCc2cccc3cccc1c32 ZINC000866300944 1124048859 /nfs/dbraw/zinc/04/88/59/1124048859.db2.gz ZYMIGUZUBNJBOM-KRWDZBQOSA-N 1 2 277.371 3.648 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CCCc2ncccc21 ZINC000866314062 1124051517 /nfs/dbraw/zinc/05/15/17/1124051517.db2.gz CIPKNAJQNNQWOU-SFHVURJKSA-N 1 2 281.403 3.946 20 0 CHADLO Cc1cc(N[C@H]2CCCc3ncccc32)ccc1[NH+](C)C ZINC000866328946 1124052827 /nfs/dbraw/zinc/05/28/27/1124052827.db2.gz WTDUNIDJHMZCCH-KRWDZBQOSA-N 1 2 281.403 3.946 20 0 CHADLO Cc1ccc(N[C@@H](C)CCc2cccnc2)c(C)[nH+]1 ZINC000866325240 1124053054 /nfs/dbraw/zinc/05/30/54/1124053054.db2.gz ZUPAGDCYVNQGLW-ZDUSSCGKSA-N 1 2 255.365 3.527 20 0 CHADLO F[C@H]1COc2ccccc2[C@H]1[NH2+][C@@H]1CCc2ccccc21 ZINC000866390366 1124061256 /nfs/dbraw/zinc/06/12/56/1124061256.db2.gz VCBOUPPSWAWBRO-LZLYRXPVSA-N 1 2 283.346 3.735 20 0 CHADLO CCC(CC)(C[NH2+][C@@H](C)c1cn[nH]c1C)C(F)(F)F ZINC000866505588 1124074280 /nfs/dbraw/zinc/07/42/80/1124074280.db2.gz PQIZTWPAYFHTEO-VIFPVBQESA-N 1 2 277.334 3.737 20 0 CHADLO FC1(F)C[C@@H]1CCNc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000621346203 1129165872 /nfs/dbraw/zinc/16/58/72/1129165872.db2.gz GRVCJXDVRLIEJC-VIFPVBQESA-N 1 2 288.301 3.568 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cncn1Cc1ccccc1 ZINC000445798312 1124100732 /nfs/dbraw/zinc/10/07/32/1124100732.db2.gz OMXRZFGZOFBXMA-OAHLLOKOSA-N 1 2 295.386 3.717 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cncn1Cc1ccccc1 ZINC000445798312 1124100735 /nfs/dbraw/zinc/10/07/35/1124100735.db2.gz OMXRZFGZOFBXMA-OAHLLOKOSA-N 1 2 295.386 3.717 20 0 CHADLO Cc1cc(C[NH2+]Cc2nnc(-c3ccccc3)s2)c(C)o1 ZINC000121793010 1124102579 /nfs/dbraw/zinc/10/25/79/1124102579.db2.gz ZCZWYDFEKBEZSA-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO COc1cccc2c(NCC[C@@H]3CC3(F)F)cc[nH+]c12 ZINC000621346464 1129166928 /nfs/dbraw/zinc/16/69/28/1129166928.db2.gz PICMOCWJJOYAQK-SNVBAGLBSA-N 1 2 278.302 3.701 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CC[C@](C)(c3ccccc3)C2)no1 ZINC000446739131 1124121628 /nfs/dbraw/zinc/12/16/28/1124121628.db2.gz QMLVCEOPHYRGOH-SFHVURJKSA-N 1 2 299.418 3.531 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CC[C@](C)(c3ccccc3)C2)no1 ZINC000446739131 1124121629 /nfs/dbraw/zinc/12/16/29/1124121629.db2.gz QMLVCEOPHYRGOH-SFHVURJKSA-N 1 2 299.418 3.531 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(Cl)ccc2F)cn1 ZINC000446975693 1124129535 /nfs/dbraw/zinc/12/95/35/1124129535.db2.gz COCBOSFGEXNHPE-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cn1c[nH+]cc1CN(c1ccsc1)C1CCCCC1 ZINC000447068075 1124132408 /nfs/dbraw/zinc/13/24/08/1124132408.db2.gz QBSFXFJJEORSAX-UHFFFAOYSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)c(C)c1 ZINC000635062905 1129172050 /nfs/dbraw/zinc/17/20/50/1129172050.db2.gz SSLOIWMLKGKIPJ-MRXNPFEDSA-N 1 2 285.391 3.726 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)C1CCC=CCC1 ZINC000635201853 1129175276 /nfs/dbraw/zinc/17/52/76/1129175276.db2.gz CLTUVBFJDQACNG-UHFFFAOYSA-N 1 2 281.359 3.557 20 0 CHADLO Cc1ccc([C@H](O)CNc2ccc([NH+](C)C)c(C)c2)cc1 ZINC000819409494 1131247485 /nfs/dbraw/zinc/24/74/85/1131247485.db2.gz YQORHPDJZKQBKN-GOSISDBHSA-N 1 2 284.403 3.515 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc3ccccc3[nH]2)sn1 ZINC001119236742 1131248154 /nfs/dbraw/zinc/24/81/54/1131248154.db2.gz BWESKGCUWDCQDU-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc3ccccc3[nH]2)sn1 ZINC001119236742 1131248156 /nfs/dbraw/zinc/24/81/56/1131248156.db2.gz BWESKGCUWDCQDU-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO CNc1cc(-c2ccnc(Oc3ccccc3)c2)cc[nH+]1 ZINC001238854166 1131256926 /nfs/dbraw/zinc/25/69/26/1131256926.db2.gz VHHSVVUYBSEFPP-UHFFFAOYSA-N 1 2 277.327 3.978 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1cnn(C(F)F)c1 ZINC001238866706 1131260634 /nfs/dbraw/zinc/26/06/34/1131260634.db2.gz LLKGISARAKUNII-UHFFFAOYSA-N 1 2 292.333 3.639 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2cnn(C)c2)c(Cl)c1 ZINC000447310808 1124461837 /nfs/dbraw/zinc/46/18/37/1124461837.db2.gz BMPNAPCZZBQIJN-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2cnn(C)c2)c(Cl)c1 ZINC000447310808 1124461840 /nfs/dbraw/zinc/46/18/40/1124461840.db2.gz BMPNAPCZZBQIJN-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO c1cn2cc(CNc3ccc([NH+]4CCCC4)cc3)sc2n1 ZINC001119938312 1131266809 /nfs/dbraw/zinc/26/68/09/1131266809.db2.gz DIOZCFAQAMBZCA-UHFFFAOYSA-N 1 2 298.415 3.608 20 0 CHADLO FC1(C[NH2+]Cc2csc(CCc3ccccc3)n2)CC1 ZINC000526983947 1124538764 /nfs/dbraw/zinc/53/87/64/1124538764.db2.gz ICKZZGMFAZOHIC-UHFFFAOYSA-N 1 2 290.407 3.520 20 0 CHADLO Cc1oc2ccccc2c1[C@H](C)[NH2+]Cc1ccon1 ZINC000925212226 1124583811 /nfs/dbraw/zinc/58/38/11/1124583811.db2.gz PNZFRDOWUPOCPM-JTQLQIEISA-N 1 2 256.305 3.580 20 0 CHADLO CCOCc1cncc(-c2ccc(C(=[NH2+])OCC)cc2)c1 ZINC001238938366 1131274159 /nfs/dbraw/zinc/27/41/59/1131274159.db2.gz LJHWHPQIISSQEA-UHFFFAOYSA-N 1 2 284.359 3.647 20 0 CHADLO C/C(Cl)=C\C[N@H+]1C[C@H](c2ccccc2)OC[C@@H]1C ZINC000528368236 1124648531 /nfs/dbraw/zinc/64/85/31/1124648531.db2.gz LTBZEMNUDXWBEW-SIRQDLBWSA-N 1 2 265.784 3.591 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1C[C@H](c2ccccc2)OC[C@@H]1C ZINC000528368236 1124648532 /nfs/dbraw/zinc/64/85/32/1124648532.db2.gz LTBZEMNUDXWBEW-SIRQDLBWSA-N 1 2 265.784 3.591 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2c(F)cccc2OC)o1 ZINC001120365545 1131275231 /nfs/dbraw/zinc/27/52/31/1131275231.db2.gz QNESRLVRYRZGKH-NSHDSACASA-N 1 2 277.339 3.841 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1ncccc1Cl ZINC000528419283 1124657764 /nfs/dbraw/zinc/65/77/64/1124657764.db2.gz ONNNVXNMHTTWOC-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1ncccc1Cl ZINC000528419283 1124657766 /nfs/dbraw/zinc/65/77/66/1124657766.db2.gz ONNNVXNMHTTWOC-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CCC[C@@H]1c1nc2ccccc2n1C ZINC000528422114 1124661580 /nfs/dbraw/zinc/66/15/80/1124661580.db2.gz JIHJKDVXVUYBPC-HMVFGEFZSA-N 1 2 289.810 3.853 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CCC[C@@H]1c1nc2ccccc2n1C ZINC000528422114 1124661583 /nfs/dbraw/zinc/66/15/83/1124661583.db2.gz JIHJKDVXVUYBPC-HMVFGEFZSA-N 1 2 289.810 3.853 20 0 CHADLO COCC[C@@H]([NH2+][C@@H](C)c1cc(O)ccc1F)c1ccco1 ZINC000872059503 1124669880 /nfs/dbraw/zinc/66/98/80/1124669880.db2.gz GGTCAEFMQCHULZ-XHDPSFHLSA-N 1 2 293.338 3.553 20 0 CHADLO CC(C)(C)[C@H]1C[C@H](Nc2cccc(-n3cc[nH+]c3)c2)CCO1 ZINC000872059407 1124669915 /nfs/dbraw/zinc/66/99/15/1124669915.db2.gz HKZZXLROJMRZGR-NVXWUHKLSA-N 1 2 299.418 3.878 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2cccc(O)c2F)o1 ZINC000872073440 1124675740 /nfs/dbraw/zinc/67/57/40/1124675740.db2.gz PJPZCWFMKOAJSH-JTQLQIEISA-N 1 2 292.354 3.631 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000528516540 1124681395 /nfs/dbraw/zinc/68/13/95/1124681395.db2.gz FTYPYMJGGIHKHO-BMGYJQCNSA-N 1 2 275.783 3.842 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000528516540 1124681400 /nfs/dbraw/zinc/68/14/00/1124681400.db2.gz FTYPYMJGGIHKHO-BMGYJQCNSA-N 1 2 275.783 3.842 20 0 CHADLO CC[C@H]([NH2+]C/C=C/c1ccc(F)cc1F)C(F)F ZINC000449365390 1124700852 /nfs/dbraw/zinc/70/08/52/1124700852.db2.gz VSHWAXMLMXTSHD-PCAWENJQSA-N 1 2 261.262 3.611 20 0 CHADLO CCC(=O)c1c(Cl)cccc1NCCCn1cc[nH+]c1 ZINC000872387113 1124711730 /nfs/dbraw/zinc/71/17/30/1124711730.db2.gz MCFMAUGASINNJM-UHFFFAOYSA-N 1 2 291.782 3.631 20 0 CHADLO COCc1ccccc1/C=C(\C)C[NH2+][C@H](C)C(C)(F)F ZINC000449414703 1124722165 /nfs/dbraw/zinc/72/21/65/1124722165.db2.gz MRJPDDCGGSAUAB-CNELAYHGSA-N 1 2 283.362 3.870 20 0 CHADLO CS[C@@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000449445571 1124740285 /nfs/dbraw/zinc/74/02/85/1124740285.db2.gz JYBUJEOTPZIUER-MRVPVSSYSA-N 1 2 282.261 3.992 20 0 CHADLO CS[C@@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000449445571 1124740291 /nfs/dbraw/zinc/74/02/91/1124740291.db2.gz JYBUJEOTPZIUER-MRVPVSSYSA-N 1 2 282.261 3.992 20 0 CHADLO CCCCCN(C(=O)[C@H](CC(C)C)n1cc[nH+]c1)C(C)C ZINC001136339753 1131287135 /nfs/dbraw/zinc/28/71/35/1131287135.db2.gz RWDFKMQFPBJOAZ-INIZCTEOSA-N 1 2 293.455 3.898 20 0 CHADLO CCCC[C@@](C)(F)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000820737973 1131301369 /nfs/dbraw/zinc/30/13/69/1131301369.db2.gz OWFNPNJIXJAIJN-MRXNPFEDSA-N 1 2 289.354 3.729 20 0 CHADLO CCCCOc1ccccc1C[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000449569006 1124805961 /nfs/dbraw/zinc/80/59/61/1124805961.db2.gz FLHZLXVSTQWZGA-HOCLYGCPSA-N 1 2 299.418 3.858 20 0 CHADLO CC(C)(C)n1cc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000449629242 1124826548 /nfs/dbraw/zinc/82/65/48/1124826548.db2.gz FGFZRHAFEDJOGX-INIZCTEOSA-N 1 2 299.418 3.958 20 0 CHADLO CC(C)(C)n1cc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000449629242 1124826558 /nfs/dbraw/zinc/82/65/58/1124826558.db2.gz FGFZRHAFEDJOGX-INIZCTEOSA-N 1 2 299.418 3.958 20 0 CHADLO CCCn1cc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000449632814 1124827196 /nfs/dbraw/zinc/82/71/96/1124827196.db2.gz NVAFEGWRCGHTLG-INIZCTEOSA-N 1 2 285.391 3.613 20 0 CHADLO CCCn1cc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000449632814 1124827218 /nfs/dbraw/zinc/82/72/18/1124827218.db2.gz NVAFEGWRCGHTLG-INIZCTEOSA-N 1 2 285.391 3.613 20 0 CHADLO CCn1cc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2F)cn1 ZINC000449736610 1124855940 /nfs/dbraw/zinc/85/59/40/1124855940.db2.gz WSYLNIBKMOOXAS-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2F)cn1 ZINC000449736610 1124855945 /nfs/dbraw/zinc/85/59/45/1124855945.db2.gz WSYLNIBKMOOXAS-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO c1ccc([C@H]2CCC[N@H+]2Cc2nnc(C3CC3)s2)cc1 ZINC000450212003 1124946295 /nfs/dbraw/zinc/94/62/95/1124946295.db2.gz BOTDOJGGCSVQHK-CQSZACIVSA-N 1 2 285.416 3.753 20 0 CHADLO c1ccc([C@H]2CCC[N@@H+]2Cc2nnc(C3CC3)s2)cc1 ZINC000450212003 1124946298 /nfs/dbraw/zinc/94/62/98/1124946298.db2.gz BOTDOJGGCSVQHK-CQSZACIVSA-N 1 2 285.416 3.753 20 0 CHADLO C[N@H+](Cc1nc(Cl)cs1)[C@H]1CCc2ccccc21 ZINC000876560657 1124961023 /nfs/dbraw/zinc/96/10/23/1124961023.db2.gz JYGVUTAUBMTCBF-LBPRGKRZSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1nc(Cl)cs1)[C@H]1CCc2ccccc21 ZINC000876560657 1124961028 /nfs/dbraw/zinc/96/10/28/1124961028.db2.gz JYGVUTAUBMTCBF-LBPRGKRZSA-N 1 2 278.808 3.916 20 0 CHADLO CCC[N@H+](Cc1ccc(Cl)nn1)Cc1ccccc1F ZINC000876599314 1124963912 /nfs/dbraw/zinc/96/39/12/1124963912.db2.gz ZRNAEGJIJPMZEH-UHFFFAOYSA-N 1 2 293.773 3.681 20 0 CHADLO CCC[N@@H+](Cc1ccc(Cl)nn1)Cc1ccccc1F ZINC000876599314 1124963922 /nfs/dbraw/zinc/96/39/22/1124963922.db2.gz ZRNAEGJIJPMZEH-UHFFFAOYSA-N 1 2 293.773 3.681 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CCC[C@@H]2c2cc(C)no2)c1 ZINC000450270538 1124966009 /nfs/dbraw/zinc/96/60/09/1124966009.db2.gz MZXGKMUDKXMZDJ-MRXNPFEDSA-N 1 2 284.359 3.523 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CCC[C@@H]2c2cc(C)no2)c1 ZINC000450270538 1124966014 /nfs/dbraw/zinc/96/60/14/1124966014.db2.gz MZXGKMUDKXMZDJ-MRXNPFEDSA-N 1 2 284.359 3.523 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H](C)Cc2ccccc2C)c[nH+]1 ZINC000529467191 1124966358 /nfs/dbraw/zinc/96/63/58/1124966358.db2.gz NCGOXHVKQHLZEE-HNNXBMFYSA-N 1 2 296.414 3.502 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(Cl)nn2)[C@@H](c2ccco2)C1 ZINC000876780803 1124976705 /nfs/dbraw/zinc/97/67/05/1124976705.db2.gz BWXWHFPYZLQIES-DGCLKSJQSA-N 1 2 291.782 3.696 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(Cl)nn2)[C@@H](c2ccco2)C1 ZINC000876780803 1124976707 /nfs/dbraw/zinc/97/67/07/1124976707.db2.gz BWXWHFPYZLQIES-DGCLKSJQSA-N 1 2 291.782 3.696 20 0 CHADLO Fc1cc2[nH+]ccc(N[C@@H]3CCCOC3)c2cc1Cl ZINC000450314513 1124977831 /nfs/dbraw/zinc/97/78/31/1124977831.db2.gz BQPSCGNTPWKHOI-SECBINFHSA-N 1 2 280.730 3.618 20 0 CHADLO C[C@@H](Nc1cccc(-n2cc[nH+]c2)c1)C1CC(F)(F)C1 ZINC000529515489 1124979305 /nfs/dbraw/zinc/97/93/05/1124979305.db2.gz HGCMWNUTDBODAG-LLVKDONJSA-N 1 2 277.318 3.718 20 0 CHADLO CCO[P@](C)(=O)CC[C@@H](C)[NH2+]c1ccc(N(C)C)cc1 ZINC000876836854 1124984066 /nfs/dbraw/zinc/98/40/66/1124984066.db2.gz BYRFYYHEVUEZFU-XCLFUZPHSA-N 1 2 298.367 3.888 20 0 CHADLO CCO[P@](C)(=O)CC[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000876836854 1124984074 /nfs/dbraw/zinc/98/40/74/1124984074.db2.gz BYRFYYHEVUEZFU-XCLFUZPHSA-N 1 2 298.367 3.888 20 0 CHADLO C[N@H+](CCc1cccc(F)c1)Cc1ncc(Cl)s1 ZINC001137112640 1124985221 /nfs/dbraw/zinc/98/52/21/1124985221.db2.gz FXBOBENAUGEDID-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO C[N@@H+](CCc1cccc(F)c1)Cc1ncc(Cl)s1 ZINC001137112640 1124985222 /nfs/dbraw/zinc/98/52/22/1124985222.db2.gz FXBOBENAUGEDID-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(Cl)cs1)c1ccccn1 ZINC000877012840 1124998460 /nfs/dbraw/zinc/99/84/60/1124998460.db2.gz GFFOJJBZZDABIS-SNVBAGLBSA-N 1 2 281.812 3.823 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)CCCn2cc[nH+]c2)c1 ZINC000529648987 1125031110 /nfs/dbraw/zinc/03/11/10/1125031110.db2.gz MDIUWOBWWQLMNU-AWEZNQCLSA-N 1 2 285.391 3.816 20 0 CHADLO Brc1ncccc1C[NH+]1CC2(C1)CCCCC2 ZINC000877580730 1125048631 /nfs/dbraw/zinc/04/86/31/1125048631.db2.gz XMWHZNRYPIJRMM-UHFFFAOYSA-N 1 2 295.224 3.610 20 0 CHADLO CC[N@H+](CCSC)Cc1c(Cl)cncc1Cl ZINC000877608864 1125051423 /nfs/dbraw/zinc/05/14/23/1125051423.db2.gz YCSQEDFOPZIYTH-UHFFFAOYSA-N 1 2 279.236 3.573 20 0 CHADLO CC[N@@H+](CCSC)Cc1c(Cl)cncc1Cl ZINC000877608864 1125051430 /nfs/dbraw/zinc/05/14/30/1125051430.db2.gz YCSQEDFOPZIYTH-UHFFFAOYSA-N 1 2 279.236 3.573 20 0 CHADLO CCCCCCNC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000821439458 1131329971 /nfs/dbraw/zinc/32/99/71/1131329971.db2.gz IVCJRLMIAHUIGG-UHFFFAOYSA-N 1 2 263.385 3.709 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccc(F)cc1F)[C@@H]1CC1(F)F ZINC000877804719 1125080181 /nfs/dbraw/zinc/08/01/81/1125080181.db2.gz BOWGLELQVNBBDJ-XVKPBYJWSA-N 1 2 297.242 3.690 20 0 CHADLO CC(C)(C)OC1CC(Sc2[nH+]cc3ccccn32)C1 ZINC000450710793 1125091959 /nfs/dbraw/zinc/09/19/59/1125091959.db2.gz QCMGZYPPJMBLDN-UHFFFAOYSA-N 1 2 276.405 3.773 20 0 CHADLO COc1cccc2c(NCCc3scnc3C)cc[nH+]c12 ZINC000450712908 1125092537 /nfs/dbraw/zinc/09/25/37/1125092537.db2.gz GJKLTQYOKCGQCS-UHFFFAOYSA-N 1 2 299.399 3.663 20 0 CHADLO CCc1cc(OCc2cc(C)nnc2C)c2ccccc2[nH+]1 ZINC000877880170 1125094871 /nfs/dbraw/zinc/09/48/71/1125094871.db2.gz FCFOBPXYRYILPM-UHFFFAOYSA-N 1 2 293.370 3.783 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2scnc2Cl)cc1 ZINC000877896564 1125096732 /nfs/dbraw/zinc/09/67/32/1125096732.db2.gz DTOVTBVLADOXNX-SNVBAGLBSA-N 1 2 296.823 3.998 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2scnc2Cl)cc1 ZINC000877896564 1125096740 /nfs/dbraw/zinc/09/67/40/1125096740.db2.gz DTOVTBVLADOXNX-SNVBAGLBSA-N 1 2 296.823 3.998 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccc(Cl)s1 ZINC000450725687 1125099523 /nfs/dbraw/zinc/09/95/23/1125099523.db2.gz RWDACCULEXHJID-ZETCQYMHSA-N 1 2 299.852 3.981 20 0 CHADLO CC[C@H](Nc1cc[nH+]c2cc(F)c(Cl)cc12)[C@H](C)O ZINC000450787222 1125103399 /nfs/dbraw/zinc/10/33/99/1125103399.db2.gz FAZAHARGIHRWLO-UFBFGSQYSA-N 1 2 282.746 3.599 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nccn2CC(F)F)cc1 ZINC000877967512 1125107646 /nfs/dbraw/zinc/10/76/46/1125107646.db2.gz AVTNJJIFNGMVSA-CYBMUJFWSA-N 1 2 293.361 3.650 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nccn2CC(F)F)cc1 ZINC000877967512 1125107649 /nfs/dbraw/zinc/10/76/49/1125107649.db2.gz AVTNJJIFNGMVSA-CYBMUJFWSA-N 1 2 293.361 3.650 20 0 CHADLO Cc1conc1C[N@@H+]1CCC[C@H]1c1ccccc1Cl ZINC000877976263 1125109487 /nfs/dbraw/zinc/10/94/87/1125109487.db2.gz NCBADYZMVIHWST-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1conc1C[N@H+]1CCC[C@H]1c1ccccc1Cl ZINC000877976263 1125109490 /nfs/dbraw/zinc/10/94/90/1125109490.db2.gz NCBADYZMVIHWST-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000121505692 1125131440 /nfs/dbraw/zinc/13/14/40/1125131440.db2.gz RYILBLYZENADCP-WBMJQRKESA-N 1 2 275.323 3.553 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000121505692 1125131443 /nfs/dbraw/zinc/13/14/43/1125131443.db2.gz RYILBLYZENADCP-WBMJQRKESA-N 1 2 275.323 3.553 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccc(C)c(Cl)n1)c1nccs1 ZINC000878254696 1125135835 /nfs/dbraw/zinc/13/58/35/1125135835.db2.gz DRSRRUNWQJSNNM-AWEZNQCLSA-N 1 2 295.839 3.915 20 0 CHADLO Cc1conc1C[N@H+]1[C@H](C)c2ccc(F)cc2C[C@@H]1C ZINC000878337760 1125141988 /nfs/dbraw/zinc/14/19/88/1125141988.db2.gz HFKBXXOTPWNRCP-NWDGAFQWSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1conc1C[N@@H+]1[C@H](C)c2ccc(F)cc2C[C@@H]1C ZINC000878337760 1125141991 /nfs/dbraw/zinc/14/19/91/1125141991.db2.gz HFKBXXOTPWNRCP-NWDGAFQWSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1conc1C[NH2+][C@@H](C)c1cccc(OC(C)C)c1 ZINC000878353303 1125143247 /nfs/dbraw/zinc/14/32/47/1125143247.db2.gz VKBXSUPIAXLXOZ-ZDUSSCGKSA-N 1 2 274.364 3.621 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@@H]1C[C@H]3CCC[C@@H]3O1)C2 ZINC000878619270 1125166479 /nfs/dbraw/zinc/16/64/79/1125166479.db2.gz VCFVFIQUCBHGJI-FFSVYQOJSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@@H]1C[C@H]3CCC[C@@H]3O1)C2 ZINC000878619270 1125166482 /nfs/dbraw/zinc/16/64/82/1125166482.db2.gz VCFVFIQUCBHGJI-FFSVYQOJSA-N 1 2 277.795 3.613 20 0 CHADLO Cc1conc1C[N@@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000878770173 1125178551 /nfs/dbraw/zinc/17/85/51/1125178551.db2.gz MQIHRWMLKDJVGI-KRWDZBQOSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1conc1C[N@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000878770173 1125178554 /nfs/dbraw/zinc/17/85/54/1125178554.db2.gz MQIHRWMLKDJVGI-KRWDZBQOSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1conc1C[N@H+](C)Cc1oc2c(cccc2C)c1C ZINC000878806495 1125179916 /nfs/dbraw/zinc/17/99/16/1125179916.db2.gz AIEWFBATHGIBKZ-UHFFFAOYSA-N 1 2 284.359 3.978 20 0 CHADLO Cc1conc1C[N@@H+](C)Cc1oc2c(cccc2C)c1C ZINC000878806495 1125179920 /nfs/dbraw/zinc/17/99/20/1125179920.db2.gz AIEWFBATHGIBKZ-UHFFFAOYSA-N 1 2 284.359 3.978 20 0 CHADLO CC[N@H+](Cn1c(CCC2CCCC2)n[nH]c1=S)C1CC1 ZINC000127378844 1125183648 /nfs/dbraw/zinc/18/36/48/1125183648.db2.gz ATNIGBGRAQQOTG-UHFFFAOYSA-N 1 2 294.468 3.505 20 0 CHADLO CC[N@@H+](Cn1c(CCC2CCCC2)n[nH]c1=S)C1CC1 ZINC000127378844 1125183651 /nfs/dbraw/zinc/18/36/51/1125183651.db2.gz ATNIGBGRAQQOTG-UHFFFAOYSA-N 1 2 294.468 3.505 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@@H]2c2ccccn2)cs1 ZINC000530963320 1125189215 /nfs/dbraw/zinc/18/92/15/1125189215.db2.gz OOJVFYDDYOBKPG-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@@H]2c2ccccn2)cs1 ZINC000530963320 1125189219 /nfs/dbraw/zinc/18/92/19/1125189219.db2.gz OOJVFYDDYOBKPG-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CC[C@](C)(C(F)(F)F)C2)no1 ZINC000880004135 1125242864 /nfs/dbraw/zinc/24/28/64/1125242864.db2.gz QZECEFWAQXXKMH-ZDUSSCGKSA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CC[C@](C)(C(F)(F)F)C2)no1 ZINC000880004135 1125242870 /nfs/dbraw/zinc/24/28/70/1125242870.db2.gz QZECEFWAQXXKMH-ZDUSSCGKSA-N 1 2 290.329 3.746 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@H+](C/C(Cl)=C/Cl)C1 ZINC000880002793 1125243057 /nfs/dbraw/zinc/24/30/57/1125243057.db2.gz QOGMBOSOSPAQNG-RBMBQVQZSA-N 1 2 262.102 3.580 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@@H+](C/C(Cl)=C/Cl)C1 ZINC000880002793 1125243061 /nfs/dbraw/zinc/24/30/61/1125243061.db2.gz QOGMBOSOSPAQNG-RBMBQVQZSA-N 1 2 262.102 3.580 20 0 CHADLO c1sc2ccccc2c1C[NH2+]C1(c2ncccn2)CCC1 ZINC000880365471 1125268252 /nfs/dbraw/zinc/26/82/52/1125268252.db2.gz MFCFLQJXTCNPML-UHFFFAOYSA-N 1 2 295.411 3.860 20 0 CHADLO FCCCC[NH2+][C@@H](c1cccc(Cl)c1)C(F)F ZINC000880534625 1125277953 /nfs/dbraw/zinc/27/79/53/1125277953.db2.gz SKUWLKYQESOHJV-NSHDSACASA-N 1 2 265.706 3.986 20 0 CHADLO COc1cc2c(cc1OC)C[NH+](Cc1cc(C)cs1)C2 ZINC001137161630 1125298060 /nfs/dbraw/zinc/29/80/60/1125298060.db2.gz LNRNJPZDJURNNY-UHFFFAOYSA-N 1 2 289.400 3.590 20 0 CHADLO COc1ccccc1C[C@@H](C)[N@@H+](C)C/C(Cl)=C/Cl ZINC000128934878 1125308815 /nfs/dbraw/zinc/30/88/15/1125308815.db2.gz PAAPVGXFEOPMEF-NASPGAHYSA-N 1 2 288.218 3.877 20 0 CHADLO COc1ccccc1C[C@@H](C)[N@H+](C)C/C(Cl)=C/Cl ZINC000128934878 1125308810 /nfs/dbraw/zinc/30/88/10/1125308810.db2.gz PAAPVGXFEOPMEF-NASPGAHYSA-N 1 2 288.218 3.877 20 0 CHADLO COc1ccccc1C[C@H](C)[N@H+](C)C/C(Cl)=C/Cl ZINC000128934675 1125308971 /nfs/dbraw/zinc/30/89/71/1125308971.db2.gz PAAPVGXFEOPMEF-KWJWQAOWSA-N 1 2 288.218 3.877 20 0 CHADLO COc1ccccc1C[C@H](C)[N@@H+](C)C/C(Cl)=C/Cl ZINC000128934675 1125308974 /nfs/dbraw/zinc/30/89/74/1125308974.db2.gz PAAPVGXFEOPMEF-KWJWQAOWSA-N 1 2 288.218 3.877 20 0 CHADLO C[C@@H](Cc1ccc(Cl)cc1)[NH+]1CC(F)(C2CC2)C1 ZINC001168170160 1125311833 /nfs/dbraw/zinc/31/18/33/1125311833.db2.gz IJBGLFBNTRKUEI-NSHDSACASA-N 1 2 267.775 3.705 20 0 CHADLO CCC[C@@H]([NH2+]CCCc1ccc(C)cc1C)C(=O)OCC ZINC000610344295 1125315399 /nfs/dbraw/zinc/31/53/99/1125315399.db2.gz IUPOTKSVJHSIEP-QGZVFWFLSA-N 1 2 291.435 3.557 20 0 CHADLO Cc1cc(CSCc2ccc(-n3cc[nH+]c3)cc2C)on1 ZINC000190886240 1125319535 /nfs/dbraw/zinc/31/95/35/1125319535.db2.gz YBYSOFWQRSSOPF-UHFFFAOYSA-N 1 2 299.399 3.911 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2cnc(C)s2)c1 ZINC000191949832 1125329715 /nfs/dbraw/zinc/32/97/15/1125329715.db2.gz HEWHFWYWCZRQJJ-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2cnc(C)s2)c1 ZINC000191949832 1125329718 /nfs/dbraw/zinc/32/97/18/1125329718.db2.gz HEWHFWYWCZRQJJ-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO C[N@H+](Cc1ncccn1)C1c2ccccc2-c2ccccc21 ZINC000192113635 1125331134 /nfs/dbraw/zinc/33/11/34/1125331134.db2.gz ZWFHTBBEHDOTRQ-UHFFFAOYSA-N 1 2 287.366 3.678 20 0 CHADLO C[N@@H+](Cc1ncccn1)C1c2ccccc2-c2ccccc21 ZINC000192113635 1125331135 /nfs/dbraw/zinc/33/11/35/1125331135.db2.gz ZWFHTBBEHDOTRQ-UHFFFAOYSA-N 1 2 287.366 3.678 20 0 CHADLO CC1(C)Cc2cc(CNc3cc[nH+]cc3F)ccc2O1 ZINC000192150100 1125331508 /nfs/dbraw/zinc/33/15/08/1125331508.db2.gz LSFGJFGIKXMIAJ-UHFFFAOYSA-N 1 2 272.323 3.546 20 0 CHADLO Cc1nc(N[C@@H](c2ccncc2)C2CC2)c2c([nH+]1)CCCC2 ZINC000897424247 1125335598 /nfs/dbraw/zinc/33/55/98/1125335598.db2.gz YXARFFQIACMZEN-QGZVFWFLSA-N 1 2 294.402 3.622 20 0 CHADLO CCc1cc(N2Cc3ccccc3OC(C)(C)C2)nc(C)[nH+]1 ZINC000341072113 1125335859 /nfs/dbraw/zinc/33/58/59/1125335859.db2.gz QDKPGGYIKMMMNY-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2c3ccccc3[nH]c21)c1csnn1 ZINC000398304748 1125338490 /nfs/dbraw/zinc/33/84/90/1125338490.db2.gz OPNZPTCGNVIWFJ-HZMBPMFUSA-N 1 2 298.415 3.748 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2c3ccccc3[nH]c21)c1csnn1 ZINC000398304749 1125338617 /nfs/dbraw/zinc/33/86/17/1125338617.db2.gz OPNZPTCGNVIWFJ-IINYFYTJSA-N 1 2 298.415 3.748 20 0 CHADLO Cc1ccc(CNc2cc(C)[nH+]c(C3CC3)n2)c(Cl)n1 ZINC000882882588 1125360044 /nfs/dbraw/zinc/36/00/44/1125360044.db2.gz RQANAOISGBAQKS-UHFFFAOYSA-N 1 2 288.782 3.631 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000882910233 1125361170 /nfs/dbraw/zinc/36/11/70/1125361170.db2.gz NJNDIVDBUQDWNT-UHFFFAOYSA-N 1 2 287.407 3.610 20 0 CHADLO Cc1c2ccccc2oc1C[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000883003065 1125367668 /nfs/dbraw/zinc/36/76/68/1125367668.db2.gz XRJNVVLSQGFMRM-UHFFFAOYSA-N 1 2 283.375 3.878 20 0 CHADLO CSc1ccc(CNc2ccc([NH+](C)C)c(C)c2)o1 ZINC000883055389 1125370240 /nfs/dbraw/zinc/37/02/40/1125370240.db2.gz JBKXLTXDECXTQC-UHFFFAOYSA-N 1 2 276.405 3.988 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000626163462 1125377511 /nfs/dbraw/zinc/37/75/11/1125377511.db2.gz KTCBOMZDECYQGJ-KXMPLOMGSA-N 1 2 270.829 3.975 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000626163462 1125377514 /nfs/dbraw/zinc/37/75/14/1125377514.db2.gz KTCBOMZDECYQGJ-KXMPLOMGSA-N 1 2 270.829 3.975 20 0 CHADLO CC(C)c1c[nH]c(CNc2[nH+]c3ccccc3n2C2CC2)n1 ZINC000883219595 1125379012 /nfs/dbraw/zinc/37/90/12/1125379012.db2.gz WEVXGQWIAIBIHN-UHFFFAOYSA-N 1 2 295.390 3.830 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@@H+](Cc2noc(CCCC)n2)C1 ZINC000626162627 1125380460 /nfs/dbraw/zinc/38/04/60/1125380460.db2.gz WWWBEXPYCXUIQG-PKXJPQMGSA-N 1 2 277.412 3.590 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@H+](Cc2noc(CCCC)n2)C1 ZINC000626162627 1125380461 /nfs/dbraw/zinc/38/04/61/1125380461.db2.gz WWWBEXPYCXUIQG-PKXJPQMGSA-N 1 2 277.412 3.590 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@@H]1CCCC2(CC2)C1 ZINC000666595901 1125385457 /nfs/dbraw/zinc/38/54/57/1125385457.db2.gz JKFZVDMONANAPE-CQSZACIVSA-N 1 2 295.386 3.781 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC(CCF)CC2)c(C)[nH+]1 ZINC000640141441 1125386977 /nfs/dbraw/zinc/38/69/77/1125386977.db2.gz MLVNTWABRLBMMK-UHFFFAOYSA-N 1 2 293.386 3.610 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H](O)CC(C)(C)C ZINC000225356691 1125387935 /nfs/dbraw/zinc/38/79/35/1125387935.db2.gz AERCBTHWCXNARP-AWEZNQCLSA-N 1 2 272.392 3.752 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+][C@@H](C)c1cc(C)on1 ZINC000883265547 1125388700 /nfs/dbraw/zinc/38/87/00/1125388700.db2.gz FBVHQWLNEAYLNI-LBPRGKRZSA-N 1 2 286.375 3.720 20 0 CHADLO CCCc1cccc(C[NH2+]C2(c3ncccn3)CCC2)c1 ZINC000883306069 1125392717 /nfs/dbraw/zinc/39/27/17/1125392717.db2.gz NDEIKRMFESGROO-UHFFFAOYSA-N 1 2 281.403 3.598 20 0 CHADLO Cc1cc(N[C@@H](C)CC(=O)Nc2ccccc2)c[nH+]c1C ZINC000883341535 1125398381 /nfs/dbraw/zinc/39/83/81/1125398381.db2.gz SMONXNHNNMADGF-ZDUSSCGKSA-N 1 2 283.375 3.528 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc([S@](C)=O)cc2)c[nH+]c1C ZINC000883342070 1125398863 /nfs/dbraw/zinc/39/88/63/1125398863.db2.gz JPAGUPIZWQMSBN-RBZFPXEDSA-N 1 2 288.416 3.609 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1cscn1 ZINC000171065094 1125401767 /nfs/dbraw/zinc/40/17/67/1125401767.db2.gz DVRYFJADSXSWJV-AWEZNQCLSA-N 1 2 264.369 3.865 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1cscn1 ZINC000171065094 1125401770 /nfs/dbraw/zinc/40/17/70/1125401770.db2.gz DVRYFJADSXSWJV-AWEZNQCLSA-N 1 2 264.369 3.865 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@@H]1F)c1ccoc1 ZINC000883376240 1125404294 /nfs/dbraw/zinc/40/42/94/1125404294.db2.gz GSYRETOKDUJREM-UVBJJODRSA-N 1 2 259.324 3.956 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cnn2ccccc12 ZINC000883378429 1125404731 /nfs/dbraw/zinc/40/47/31/1125404731.db2.gz LUYLCDQCKAOXND-UHFFFAOYSA-N 1 2 298.415 3.595 20 0 CHADLO CCSCc1ccc[nH+]c1N[C@@H](C)COC(C)C ZINC000883378005 1125405100 /nfs/dbraw/zinc/40/51/00/1125405100.db2.gz XGNZMDFOIWJMKD-LBPRGKRZSA-N 1 2 268.426 3.560 20 0 CHADLO Cc1ccc2c(Nc3ncncc3Cl)cccc2[nH+]1 ZINC001212794004 1125411101 /nfs/dbraw/zinc/41/11/01/1125411101.db2.gz COTLJONFSCMATC-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO Cc1ccc2c(Nc3c4c(nn3C)CCC4)cccc2[nH+]1 ZINC001212795022 1125415951 /nfs/dbraw/zinc/41/59/51/1125415951.db2.gz JZDPLNBONTYCBA-UHFFFAOYSA-N 1 2 278.359 3.509 20 0 CHADLO Cc1nnc2ccc(Nc3cccc4[nH+]c(C)ccc34)cn12 ZINC001212795856 1125417484 /nfs/dbraw/zinc/41/74/84/1125417484.db2.gz FWFQNYOKOHSRRE-UHFFFAOYSA-N 1 2 289.342 3.638 20 0 CHADLO Cc1[nH+]c(C(C)(C)C)nc(NC[C@H]2C[C@H](F)C2)c1C ZINC001168236608 1125422574 /nfs/dbraw/zinc/42/25/74/1125422574.db2.gz AZTUWIZBKJIRPE-HAQNSBGRSA-N 1 2 265.376 3.551 20 0 CHADLO COc1ccccc1[C@H](C)CC(=O)Nc1cc[nH+]c(C)c1 ZINC000103186461 1125429245 /nfs/dbraw/zinc/42/92/45/1125429245.db2.gz VMZWYMQUZNNPER-GFCCVEGCSA-N 1 2 284.359 3.531 20 0 CHADLO Cc1ccc2c(Nc3cccn4nccc34)cccc2[nH+]1 ZINC001212796992 1125429897 /nfs/dbraw/zinc/42/98/97/1125429897.db2.gz RBQNUPKQXHQHBI-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1ccc2c(Nc3cccc(F)c3C(N)=O)cccc2[nH+]1 ZINC001212796995 1125430095 /nfs/dbraw/zinc/43/00/95/1125430095.db2.gz RGMUPEIJXRDKNB-UHFFFAOYSA-N 1 2 295.317 3.525 20 0 CHADLO Cc1cn2cc(NC(=O)c3ccccc3Cl)ccc2[nH+]1 ZINC000041305642 1125432591 /nfs/dbraw/zinc/43/25/91/1125432591.db2.gz ZLLFERZBULUCQR-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1ccc(Br)cc1F ZINC000042371583 1125442102 /nfs/dbraw/zinc/44/21/02/1125442102.db2.gz LFUDLSMZQCSGCK-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1ccc(Br)cc1F ZINC000042371583 1125442103 /nfs/dbraw/zinc/44/21/03/1125442103.db2.gz LFUDLSMZQCSGCK-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C)c(C)c2)cs1 ZINC000125049044 1125443658 /nfs/dbraw/zinc/44/36/58/1125443658.db2.gz YYAHAMFFMRIALV-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C)c(C)c2)cs1 ZINC000125049044 1125443664 /nfs/dbraw/zinc/44/36/64/1125443664.db2.gz YYAHAMFFMRIALV-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1ccc2c(Nc3cc(C(N)=O)ccc3F)cccc2[nH+]1 ZINC001212797833 1125445023 /nfs/dbraw/zinc/44/50/23/1125445023.db2.gz MUWFYOWTUMJPGU-UHFFFAOYSA-N 1 2 295.317 3.525 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)C1CCC=CCC1 ZINC000635347676 1129180319 /nfs/dbraw/zinc/18/03/19/1129180319.db2.gz BCNWMBZCVMSRMM-UHFFFAOYSA-N 1 2 281.359 3.557 20 0 CHADLO CCOc1cncc(C[N@H+](C)Cc2ccc(Cl)s2)c1 ZINC000621710173 1129182356 /nfs/dbraw/zinc/18/23/56/1129182356.db2.gz VSLOFKMCKBJBTP-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCOc1cncc(C[N@@H+](C)Cc2ccc(Cl)s2)c1 ZINC000621710173 1129182358 /nfs/dbraw/zinc/18/23/58/1129182358.db2.gz VSLOFKMCKBJBTP-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Cc1nccnc1C[N@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000621721959 1129186787 /nfs/dbraw/zinc/18/67/87/1129186787.db2.gz GFUBTUXOINRZGV-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1nccnc1C[N@@H+]1CC=C(c2ccccc2Cl)CC1 ZINC000621721959 1129186788 /nfs/dbraw/zinc/18/67/88/1129186788.db2.gz GFUBTUXOINRZGV-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO CC(C)C[C@@H](C(=O)N1Cc2ccccc2[C@@H]1C)n1cc[nH+]c1 ZINC000635639145 1129187805 /nfs/dbraw/zinc/18/78/05/1129187805.db2.gz QMAPVNGELYPOQU-YOEHRIQHSA-N 1 2 297.402 3.574 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc([NH+](C)C)cc2C)C12CCC2 ZINC000087709522 1129191261 /nfs/dbraw/zinc/19/12/61/1129191261.db2.gz FQPZTPUTOUMRLL-SJORKVTESA-N 1 2 288.435 3.821 20 0 CHADLO Cc1cc(C)c(CNC(=O)C/C=C/Cc2ccccc2)c[nH+]1 ZINC000636005906 1129196376 /nfs/dbraw/zinc/19/63/76/1129196376.db2.gz DFGFYBCJQBQHFS-VOTSOKGWSA-N 1 2 294.398 3.504 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CC(C)(C)OC2(C)C)c(C)[nH+]1 ZINC000636581710 1129205235 /nfs/dbraw/zinc/20/52/35/1129205235.db2.gz JIXIRBZJXWFSHQ-CYBMUJFWSA-N 1 2 290.407 3.539 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1[C@H](c1nccn1C)C1CC1 ZINC000638085574 1129242128 /nfs/dbraw/zinc/24/21/28/1129242128.db2.gz RHTSRWJEGRWXEI-PXAZEXFGSA-N 1 2 299.393 3.630 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1[C@H](c1nccn1C)C1CC1 ZINC000638085574 1129242130 /nfs/dbraw/zinc/24/21/30/1129242130.db2.gz RHTSRWJEGRWXEI-PXAZEXFGSA-N 1 2 299.393 3.630 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nnc[nH]1)C1CCCCC1)c1ccccc1 ZINC000638291972 1129252432 /nfs/dbraw/zinc/25/24/32/1129252432.db2.gz CTNCGRPNDLUAMX-CZUORRHYSA-N 1 2 284.407 3.777 20 0 CHADLO C[C@H]([NH2+][C@H](c1nnc[nH]1)C1CCCCC1)c1cccs1 ZINC000638292081 1129253031 /nfs/dbraw/zinc/25/30/31/1129253031.db2.gz BJWWKZGAGFDXJT-FZMZJTMJSA-N 1 2 290.436 3.838 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)Cc1cccnc1F ZINC001138790862 1129257831 /nfs/dbraw/zinc/25/78/31/1129257831.db2.gz GFGVRMJEHXMGQR-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)Cc1cccnc1F ZINC001138790862 1129257833 /nfs/dbraw/zinc/25/78/33/1129257833.db2.gz GFGVRMJEHXMGQR-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[C@@H]1CCC[C@@H]1[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000638743871 1129268228 /nfs/dbraw/zinc/26/82/28/1129268228.db2.gz PFTCBTOBWOSFIZ-VHDGCEQUSA-N 1 2 276.428 3.594 20 0 CHADLO C/C(=C\C(=O)Nc1ccc2[nH+]ccn2c1)c1ccc(F)cc1 ZINC000733881718 1129272317 /nfs/dbraw/zinc/27/23/17/1129272317.db2.gz WTPVHAPRWSLBIC-ZRDIBKRKSA-N 1 2 295.317 3.515 20 0 CHADLO CC[N@H+](Cc1ncc(-c2cccs2)o1)Cc1ccncc1 ZINC000348070468 1129276933 /nfs/dbraw/zinc/27/69/33/1129276933.db2.gz IQEFZFVOVOHCJW-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CC[N@@H+](Cc1ncc(-c2cccs2)o1)Cc1ccncc1 ZINC000348070468 1129276937 /nfs/dbraw/zinc/27/69/37/1129276937.db2.gz IQEFZFVOVOHCJW-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]C/C=C\c2cc(C)c(C)cc2C)n1 ZINC000639097428 1129280949 /nfs/dbraw/zinc/28/09/49/1129280949.db2.gz KVZRGSYNASCZPZ-LMVHVUTASA-N 1 2 285.391 3.667 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]C/C=C/c2c(C)cc(C)cc2C)n1 ZINC000639098496 1129281799 /nfs/dbraw/zinc/28/17/99/1129281799.db2.gz PYHNADZKULGJBV-PSKZRQQASA-N 1 2 285.391 3.667 20 0 CHADLO O=C(/C=C/Sc1ccccc1)Nc1ccc2[nH+]ccn2c1 ZINC000733968422 1129290184 /nfs/dbraw/zinc/29/01/84/1129290184.db2.gz VAXGWTKQMKDVAY-DHZHZOJOSA-N 1 2 295.367 3.579 20 0 CHADLO O=C(/C=C/c1ccccc1Cl)Nc1ccc2[nH+]ccn2c1 ZINC000733968031 1129290357 /nfs/dbraw/zinc/29/03/57/1129290357.db2.gz OCPMTKFZSBEMDC-VMPITWQZSA-N 1 2 297.745 3.640 20 0 CHADLO CO[C@@H]1CCC[C@@H]([NH2+]c2ccc(N(C)C)cc2)CC1 ZINC000718859183 1129291062 /nfs/dbraw/zinc/29/10/62/1129291062.db2.gz LIWSZQXIRZOXGO-CZUORRHYSA-N 1 2 262.397 3.512 20 0 CHADLO CO[C@@H]1CCC[C@@H](Nc2ccc([NH+](C)C)cc2)CC1 ZINC000718859183 1129291066 /nfs/dbraw/zinc/29/10/66/1129291066.db2.gz LIWSZQXIRZOXGO-CZUORRHYSA-N 1 2 262.397 3.512 20 0 CHADLO CCCCC[N@H+](Cc1nonc1C)Cc1ccc(F)cc1 ZINC000734146911 1129297320 /nfs/dbraw/zinc/29/73/20/1129297320.db2.gz HMFYDSLJDNHWFD-UHFFFAOYSA-N 1 2 291.370 3.710 20 0 CHADLO CCCCC[N@@H+](Cc1nonc1C)Cc1ccc(F)cc1 ZINC000734146911 1129297323 /nfs/dbraw/zinc/29/73/23/1129297323.db2.gz HMFYDSLJDNHWFD-UHFFFAOYSA-N 1 2 291.370 3.710 20 0 CHADLO C[C@@H]1C[N@H+](Cc2noc3c2CCCC3)[C@@H]1c1ccccc1 ZINC000177826641 1129298660 /nfs/dbraw/zinc/29/86/60/1129298660.db2.gz QRULRFLZQGFUKZ-ACJLOTCBSA-N 1 2 282.387 3.746 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2noc3c2CCCC3)[C@@H]1c1ccccc1 ZINC000177826641 1129298664 /nfs/dbraw/zinc/29/86/64/1129298664.db2.gz QRULRFLZQGFUKZ-ACJLOTCBSA-N 1 2 282.387 3.746 20 0 CHADLO FC1(F)CC12C[NH+](Cc1oc3ccccc3c1Cl)C2 ZINC000639298105 1129300521 /nfs/dbraw/zinc/30/05/21/1129300521.db2.gz WFAYPBPJKODDPN-UHFFFAOYSA-N 1 2 283.705 3.927 20 0 CHADLO CC(C)CC1([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000639333732 1129303501 /nfs/dbraw/zinc/30/35/01/1129303501.db2.gz ABMJRTGXXBJDLJ-AWEZNQCLSA-N 1 2 276.428 3.594 20 0 CHADLO C[N@H+](Cc1nc(C2CCC2)no1)[C@@H]1CCCc2ccccc21 ZINC000639433161 1129313411 /nfs/dbraw/zinc/31/34/11/1129313411.db2.gz GEWHZGWPPXDTFZ-MRXNPFEDSA-N 1 2 297.402 3.847 20 0 CHADLO C[N@@H+](Cc1nc(C2CCC2)no1)[C@@H]1CCCc2ccccc21 ZINC000639433161 1129313416 /nfs/dbraw/zinc/31/34/16/1129313416.db2.gz GEWHZGWPPXDTFZ-MRXNPFEDSA-N 1 2 297.402 3.847 20 0 CHADLO Cc1cc(CNc2cc(C3CC3)nc(C3CC3)n2)cc(C)[nH+]1 ZINC000825132893 1129313394 /nfs/dbraw/zinc/31/33/94/1129313394.db2.gz QMYBNZYLYBLNJY-UHFFFAOYSA-N 1 2 294.402 3.855 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@H]2[NH2+][C@H](C)c1nonc1C ZINC000825151527 1129314559 /nfs/dbraw/zinc/31/45/59/1129314559.db2.gz WPSUIDREAXHPDI-ZWNOBZJWSA-N 1 2 289.379 3.644 20 0 CHADLO CC(=O)C[NH2+]C1(c2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000639611059 1129326142 /nfs/dbraw/zinc/32/61/42/1129326142.db2.gz PQZHFPSKGYQFCZ-UHFFFAOYSA-N 1 2 291.700 3.527 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+]Cc1ncc(Cl)s1 ZINC000719428786 1129332709 /nfs/dbraw/zinc/33/27/09/1129332709.db2.gz DKZHGGCKNHPCLL-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO Oc1ccc2c(c1)[C@@H]([NH2+]Cc1ncc(Cl)s1)CCC2 ZINC000719420589 1129334314 /nfs/dbraw/zinc/33/43/14/1129334314.db2.gz DILKJFAKWWNIOH-LBPRGKRZSA-N 1 2 294.807 3.669 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CC(C)(C)[C@H]1c1ccco1 ZINC000639926330 1129340610 /nfs/dbraw/zinc/34/06/10/1129340610.db2.gz LIKHSOFJTUKZFZ-OAHLLOKOSA-N 1 2 274.364 3.722 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CC(C)(C)[C@H]1c1ccco1 ZINC000639926330 1129340614 /nfs/dbraw/zinc/34/06/14/1129340614.db2.gz LIKHSOFJTUKZFZ-OAHLLOKOSA-N 1 2 274.364 3.722 20 0 CHADLO CC1(C)C[N@H+](Cc2cnc3ccccc3n2)[C@H]1c1ccco1 ZINC000639928854 1129340779 /nfs/dbraw/zinc/34/07/79/1129340779.db2.gz IYMGBMVXADXOBQ-KRWDZBQOSA-N 1 2 293.370 3.806 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnc3ccccc3n2)[C@H]1c1ccco1 ZINC000639928854 1129340781 /nfs/dbraw/zinc/34/07/81/1129340781.db2.gz IYMGBMVXADXOBQ-KRWDZBQOSA-N 1 2 293.370 3.806 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC(C)(C)[C@H]2c2ccco2)cn1 ZINC000639930015 1129341964 /nfs/dbraw/zinc/34/19/64/1129341964.db2.gz RVCIEANQYMIZOO-SWLSCSKDSA-N 1 2 271.364 3.522 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC(C)(C)[C@H]2c2ccco2)cn1 ZINC000639930015 1129341969 /nfs/dbraw/zinc/34/19/69/1129341969.db2.gz RVCIEANQYMIZOO-SWLSCSKDSA-N 1 2 271.364 3.522 20 0 CHADLO O=C(/C=C\C1CCCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000735425821 1129343268 /nfs/dbraw/zinc/34/32/68/1129343268.db2.gz QJZPHWVZSUQVLU-WDZFZDKYSA-N 1 2 295.386 3.947 20 0 CHADLO Clc1sccc1C[NH2+]Cc1nc2c(s1)CCC2 ZINC000719535848 1129343693 /nfs/dbraw/zinc/34/36/93/1129343693.db2.gz CJECQWKDJSFGCL-UHFFFAOYSA-N 1 2 284.837 3.637 20 0 CHADLO CC(C)[C@H]1N(C(=O)c2cc3c[nH+]ccc3[nH]2)CC12CCCC2 ZINC000822166295 1131367764 /nfs/dbraw/zinc/36/77/64/1131367764.db2.gz HHXMXCRDZGBPKZ-MRXNPFEDSA-N 1 2 297.402 3.604 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+]Cc1nc(C)c(C)s1 ZINC000719576230 1129345459 /nfs/dbraw/zinc/34/54/59/1129345459.db2.gz QNMUJPAMHWXOHS-UHFFFAOYSA-N 1 2 296.823 3.712 20 0 CHADLO Cc1cc(C)c(NC(=O)N2[C@@H](C)CCC2(C)C)c(C)[nH+]1 ZINC000640142016 1129350345 /nfs/dbraw/zinc/35/03/45/1129350345.db2.gz VXIYZKCWIZTFNR-LBPRGKRZSA-N 1 2 275.396 3.802 20 0 CHADLO CN(C)c1ccccc1C[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000640152386 1129350557 /nfs/dbraw/zinc/35/05/57/1129350557.db2.gz CSZZAGFXXKYJKS-MRXNPFEDSA-N 1 2 290.357 3.692 20 0 CHADLO OCc1cc[nH+]c(N[C@@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000641262631 1129378145 /nfs/dbraw/zinc/37/81/45/1129378145.db2.gz CKTKJTOWUXTKDQ-MRXNPFEDSA-N 1 2 288.778 3.791 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)CCC(C)(C)C)n1 ZINC000737809046 1129387831 /nfs/dbraw/zinc/38/78/31/1129387831.db2.gz IKLYWFSIYPCKKV-UHFFFAOYSA-N 1 2 297.468 3.750 20 0 CHADLO Cc1[nH]c(CNc2cccc(NCC(F)(F)F)c2)[nH+]c1C ZINC000641764217 1129390819 /nfs/dbraw/zinc/39/08/19/1129390819.db2.gz RIEUZJMBTGMJAV-UHFFFAOYSA-N 1 2 298.312 3.613 20 0 CHADLO FC(F)(F)c1ccn(C[NH+]2CCC3(CCCC3)CC2)n1 ZINC000738282773 1129391638 /nfs/dbraw/zinc/39/16/38/1129391638.db2.gz KCOLCDZCCZKXIY-UHFFFAOYSA-N 1 2 287.329 3.516 20 0 CHADLO CCc1noc(C[NH2+]C(C)(C)c2ccc3ccccc3c2)n1 ZINC000626115198 1129392244 /nfs/dbraw/zinc/39/22/44/1129392244.db2.gz JXOWZNPPAOYPNY-UHFFFAOYSA-N 1 2 295.386 3.810 20 0 CHADLO Cc1ccccc1C(C)(C)C[NH2+][C@@H](C)C(=O)OC(C)(C)C ZINC000738415769 1129393166 /nfs/dbraw/zinc/39/31/66/1129393166.db2.gz OJAPRTOXOGQXNB-AWEZNQCLSA-N 1 2 291.435 3.592 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2cccc(F)c2)cc1 ZINC001239536327 1131371524 /nfs/dbraw/zinc/37/15/24/1131371524.db2.gz LPEJZGCGJNFPPE-UHFFFAOYSA-N 1 2 252.292 3.893 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@@H](c2ccccc2Br)C1 ZINC000248373462 1129415402 /nfs/dbraw/zinc/41/54/02/1129415402.db2.gz JDAUXLLUJISSRJ-BXUZGUMPSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@@H](c2ccccc2Br)C1 ZINC000248373462 1129415404 /nfs/dbraw/zinc/41/54/04/1129415404.db2.gz JDAUXLLUJISSRJ-BXUZGUMPSA-N 1 2 298.224 3.621 20 0 CHADLO C[C@@H](CCO)[N@H+](C/C(Cl)=C/Cl)Cc1ccccc1 ZINC000093304117 1129420983 /nfs/dbraw/zinc/42/09/83/1129420983.db2.gz QGGSCYBKBDXPCD-CJAAZZPWSA-N 1 2 288.218 3.579 20 0 CHADLO C[C@@H](CCO)[N@@H+](C/C(Cl)=C/Cl)Cc1ccccc1 ZINC000093304117 1129420985 /nfs/dbraw/zinc/42/09/85/1129420985.db2.gz QGGSCYBKBDXPCD-CJAAZZPWSA-N 1 2 288.218 3.579 20 0 CHADLO CCOc1cc(F)c(-c2c[nH+]c(N(C)C)cc2C)cc1F ZINC001241073905 1129424449 /nfs/dbraw/zinc/42/44/49/1129424449.db2.gz DXNLMFCOLJTURB-UHFFFAOYSA-N 1 2 292.329 3.800 20 0 CHADLO COc1ccccc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239540519 1131375302 /nfs/dbraw/zinc/37/53/02/1131375302.db2.gz MCNDDUFPYVYJSN-UHFFFAOYSA-N 1 2 264.328 3.607 20 0 CHADLO Fc1cnc(Cl)c(C[N@@H+]2CCCc3ccccc3C2)c1 ZINC001138893476 1129455730 /nfs/dbraw/zinc/45/57/30/1129455730.db2.gz FWXGFXQYUHLXNR-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO Fc1cnc(Cl)c(C[N@H+]2CCCc3ccccc3C2)c1 ZINC001138893476 1129455731 /nfs/dbraw/zinc/45/57/31/1129455731.db2.gz FWXGFXQYUHLXNR-UHFFFAOYSA-N 1 2 290.769 3.823 20 0 CHADLO CCOc1ccc(C)cc1-c1ccn2cc[nH+]c2c1 ZINC001241146151 1129466394 /nfs/dbraw/zinc/46/63/94/1129466394.db2.gz HCYGPFAKQZAYAY-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC=C(c3ccco3)C2)o1 ZINC000796121850 1129467979 /nfs/dbraw/zinc/46/79/79/1129467979.db2.gz YNVYDOQHMLGORC-UHFFFAOYSA-N 1 2 272.348 3.680 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC=C(c3ccco3)C2)o1 ZINC000796121850 1129467982 /nfs/dbraw/zinc/46/79/82/1129467982.db2.gz YNVYDOQHMLGORC-UHFFFAOYSA-N 1 2 272.348 3.680 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CCC2CCCC2)C2CCCCC2)n1 ZINC000644532197 1129468788 /nfs/dbraw/zinc/46/87/88/1129468788.db2.gz YQERAJXELZKAME-HNNXBMFYSA-N 1 2 276.428 3.596 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]Cc1cccc2ccccc21 ZINC000180329610 1129469598 /nfs/dbraw/zinc/46/95/98/1129469598.db2.gz AAEZOFUVZJKASM-LBPRGKRZSA-N 1 2 265.360 3.722 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cncn2C(C)C)C2CC2)o1 ZINC000644900518 1129480213 /nfs/dbraw/zinc/48/02/13/1129480213.db2.gz FHWKLHZZUUXODJ-UHFFFAOYSA-N 1 2 273.380 3.530 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cncn2C(C)C)C2CC2)o1 ZINC000644900518 1129480217 /nfs/dbraw/zinc/48/02/17/1129480217.db2.gz FHWKLHZZUUXODJ-UHFFFAOYSA-N 1 2 273.380 3.530 20 0 CHADLO CCc1ccc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)nc1 ZINC000645091071 1129487090 /nfs/dbraw/zinc/48/70/90/1129487090.db2.gz RGJSWLGBRDXJJJ-QGZVFWFLSA-N 1 2 270.351 3.730 20 0 CHADLO CCc1ccc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)nc1 ZINC000645091071 1129487092 /nfs/dbraw/zinc/48/70/92/1129487092.db2.gz RGJSWLGBRDXJJJ-QGZVFWFLSA-N 1 2 270.351 3.730 20 0 CHADLO Cc1ccc([C@H](C)C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)o1 ZINC000742603129 1129489778 /nfs/dbraw/zinc/48/97/78/1129489778.db2.gz FUOYNPWYKKNTJL-LBPRGKRZSA-N 1 2 295.342 3.720 20 0 CHADLO Fc1ccc([C@@H]([NH2+][C@@H]2CCC[C@@H]2F)c2ccccc2)nc1 ZINC000645507964 1129499989 /nfs/dbraw/zinc/49/99/89/1129499989.db2.gz LCQKXKWIRCRBTD-UXLLHSPISA-N 1 2 288.341 3.790 20 0 CHADLO Cc1cc(-c2ccc(C(=O)OC(C)C)cc2)cc(C)[nH+]1 ZINC001241283854 1129507975 /nfs/dbraw/zinc/50/79/75/1129507975.db2.gz DRXMIWVKNBRNHQ-UHFFFAOYSA-N 1 2 269.344 3.931 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H]1c2cccc(F)c2CC[C@@H]1C ZINC000180727243 1129508861 /nfs/dbraw/zinc/50/88/61/1129508861.db2.gz MOKBIAUAFDKNCC-PSVSLLHZSA-N 1 2 287.382 3.831 20 0 CHADLO CCOc1cc(F)ccc1-c1ccc2[nH+]c(C)cn2c1 ZINC001241319527 1129516444 /nfs/dbraw/zinc/51/64/44/1129516444.db2.gz LLFYFQQXJZPUEP-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO COc1cccc(CNc2[nH]c3ccc(Cl)cc3[nH+]2)c1 ZINC000743359014 1129520985 /nfs/dbraw/zinc/52/09/85/1129520985.db2.gz QDGMZFCDHPODHT-UHFFFAOYSA-N 1 2 287.750 3.837 20 0 CHADLO Cc1nnc(C[NH2+]C2(c3cccc(Cl)c3)CCC2)s1 ZINC000646095545 1129522309 /nfs/dbraw/zinc/52/23/09/1129522309.db2.gz VPTZHJBGXFUNJI-UHFFFAOYSA-N 1 2 293.823 3.669 20 0 CHADLO CCC[C@@]1(C)CCC[N@H+](Cn2nc(C)sc2=S)C1 ZINC000743404540 1129523009 /nfs/dbraw/zinc/52/30/09/1129523009.db2.gz AECLGVSGATVFRO-ZDUSSCGKSA-N 1 2 285.482 3.842 20 0 CHADLO CCC[C@@]1(C)CCC[N@@H+](Cn2nc(C)sc2=S)C1 ZINC000743404540 1129523012 /nfs/dbraw/zinc/52/30/12/1129523012.db2.gz AECLGVSGATVFRO-ZDUSSCGKSA-N 1 2 285.482 3.842 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000646115832 1129523409 /nfs/dbraw/zinc/52/34/09/1129523409.db2.gz IHEIVLAHHPDLOP-NWDGAFQWSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000646115832 1129523411 /nfs/dbraw/zinc/52/34/11/1129523411.db2.gz IHEIVLAHHPDLOP-NWDGAFQWSA-N 1 2 281.346 3.669 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000646116656 1129523945 /nfs/dbraw/zinc/52/39/45/1129523945.db2.gz ARHZBAVNYQZXBH-SFHVURJKSA-N 1 2 293.414 3.796 20 0 CHADLO CCn1ccnc1C[N@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000646116656 1129523947 /nfs/dbraw/zinc/52/39/47/1129523947.db2.gz ARHZBAVNYQZXBH-SFHVURJKSA-N 1 2 293.414 3.796 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)o1 ZINC000646117106 1129524654 /nfs/dbraw/zinc/52/46/54/1129524654.db2.gz PDMONBUQWUNAOM-CJNGLKHVSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)o1 ZINC000646117106 1129524656 /nfs/dbraw/zinc/52/46/56/1129524656.db2.gz PDMONBUQWUNAOM-CJNGLKHVSA-N 1 2 295.386 3.832 20 0 CHADLO Clc1cscc1C[N@@H+]1CCOC[C@@H]1CC1CCC1 ZINC000646296612 1129531683 /nfs/dbraw/zinc/53/16/83/1129531683.db2.gz MMQGRKSQZGXBOL-ZDUSSCGKSA-N 1 2 285.840 3.793 20 0 CHADLO Cc1cc(C)c(-c2cncc(C[NH+]3CCOCC3)c2)c(C)c1 ZINC001239549908 1131380371 /nfs/dbraw/zinc/38/03/71/1131380371.db2.gz JMNFNNAGFSEJDF-UHFFFAOYSA-N 1 2 296.414 3.506 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2cccc(F)c2)C(C)(C)C)o1 ZINC000181073932 1129534150 /nfs/dbraw/zinc/53/41/50/1129534150.db2.gz INUSPLPMWZIAGS-OAHLLOKOSA-N 1 2 276.355 3.999 20 0 CHADLO CC(C)([NH2+]Cc1csc(Cl)n1)c1ccc(F)cc1 ZINC000828287619 1131381268 /nfs/dbraw/zinc/38/12/68/1131381268.db2.gz ZHQFKUOGFJJDJL-UHFFFAOYSA-N 1 2 284.787 3.961 20 0 CHADLO C[C@H]([NH2+]CC(F)F)c1ccc(-c2cccnc2)cc1 ZINC000181309784 1129552199 /nfs/dbraw/zinc/55/21/99/1129552199.db2.gz UNUOXHQCKXETSA-NSHDSACASA-N 1 2 262.303 3.664 20 0 CHADLO FC(F)(F)c1nn(CC2=CCCC2)c2cc[nH+]cc21 ZINC000647158253 1129552811 /nfs/dbraw/zinc/55/28/11/1129552811.db2.gz XAZABRUENGGHKW-UHFFFAOYSA-N 1 2 267.254 3.560 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@@H]2C[C@H]2C)o1)c1cc2n(n1)CCCC2 ZINC000647283457 1129563844 /nfs/dbraw/zinc/56/38/44/1129563844.db2.gz AFSBXVWRPQJRNV-DVOMOZLQSA-N 1 2 299.418 3.787 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2ccccc2o1)c1cc2n(n1)CCCC2 ZINC000647284490 1129564061 /nfs/dbraw/zinc/56/40/61/1129564061.db2.gz SCRLYDCAVFRZFP-CYBMUJFWSA-N 1 2 295.386 3.816 20 0 CHADLO FC1CCC(C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000647350457 1129574205 /nfs/dbraw/zinc/57/42/05/1129574205.db2.gz YHYIKQNCUQFBMC-PESDSKBTSA-N 1 2 294.418 3.544 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)CC(C)(C)CC)n1 ZINC000744465972 1129579446 /nfs/dbraw/zinc/57/94/46/1129579446.db2.gz CLJOYGJMTXPVSL-UHFFFAOYSA-N 1 2 297.468 3.750 20 0 CHADLO CCCOC(=O)[C@H](C)[N@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000303932505 1129581508 /nfs/dbraw/zinc/58/15/08/1129581508.db2.gz QVOFFODHVKMSLP-STQMWFEESA-N 1 2 289.375 3.767 20 0 CHADLO CCCOC(=O)[C@H](C)[N@@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000303932505 1129581510 /nfs/dbraw/zinc/58/15/10/1129581510.db2.gz QVOFFODHVKMSLP-STQMWFEESA-N 1 2 289.375 3.767 20 0 CHADLO CSC1CC[NH+](Cc2ccc(Cl)nc2Cl)CC1 ZINC000304240852 1129588366 /nfs/dbraw/zinc/58/83/66/1129588366.db2.gz YHALEHMPWODFAC-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO CC[N@H+](Cc1snnc1C)Cc1cccc(Cl)c1 ZINC000647958800 1129589013 /nfs/dbraw/zinc/58/90/13/1129589013.db2.gz CQGROJPQMTYUMB-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO CC[N@@H+](Cc1snnc1C)Cc1cccc(Cl)c1 ZINC000647958800 1129589016 /nfs/dbraw/zinc/58/90/16/1129589016.db2.gz CQGROJPQMTYUMB-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO CCc1nocc1C[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000647970333 1129590501 /nfs/dbraw/zinc/59/05/01/1129590501.db2.gz MQDADWCDKWCAAV-SECBINFHSA-N 1 2 282.746 3.880 20 0 CHADLO FC(F)Sc1ccc(C[NH+]2CC(C(F)(F)F)C2)cc1 ZINC000828405446 1131384777 /nfs/dbraw/zinc/38/47/77/1131384777.db2.gz LYCQTYSNVWYRJX-UHFFFAOYSA-N 1 2 297.292 3.995 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)Cn1cc(Cl)cn1 ZINC000746033112 1129622829 /nfs/dbraw/zinc/62/28/29/1129622829.db2.gz NDWAYDZJKSQFJQ-UHFFFAOYSA-N 1 2 284.190 3.670 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)Cn1cc(Cl)cn1 ZINC000746033112 1129622830 /nfs/dbraw/zinc/62/28/30/1129622830.db2.gz NDWAYDZJKSQFJQ-UHFFFAOYSA-N 1 2 284.190 3.670 20 0 CHADLO Cc1ccccc1OCCOc1cccc(-n2cc[nH+]c2)c1 ZINC000746050865 1129623386 /nfs/dbraw/zinc/62/33/86/1129623386.db2.gz DVLANMMKPIXNDD-UHFFFAOYSA-N 1 2 294.354 3.639 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1ncc(Cl)n1C)C1CC1 ZINC000746115038 1129626525 /nfs/dbraw/zinc/62/65/25/1129626525.db2.gz GTHBHRQFBXNZTF-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1ncc(Cl)n1C)C1CC1 ZINC000746115038 1129626527 /nfs/dbraw/zinc/62/65/27/1129626527.db2.gz GTHBHRQFBXNZTF-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO CSCCCNc1cc(C2CCCCC2)[nH+]c(C)n1 ZINC001155979940 1129629526 /nfs/dbraw/zinc/62/95/26/1129629526.db2.gz NIUFBYIMDKNIPV-UHFFFAOYSA-N 1 2 279.453 3.998 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2ncccc2C)cc1 ZINC001212968165 1129637024 /nfs/dbraw/zinc/63/70/24/1129637024.db2.gz MTKFJUFYVNMRNZ-UHFFFAOYSA-N 1 2 255.365 3.980 20 0 CHADLO C(=C\c1ccccc1)\CC[N@@H+]1CCOC[C@@H]1c1ccco1 ZINC000649315599 1129640645 /nfs/dbraw/zinc/64/06/45/1129640645.db2.gz IUMARWCVYSGIJC-INDRUJQFSA-N 1 2 283.371 3.756 20 0 CHADLO C(=C\c1ccccc1)\CC[N@H+]1CCOC[C@@H]1c1ccco1 ZINC000649315599 1129640646 /nfs/dbraw/zinc/64/06/46/1129640646.db2.gz IUMARWCVYSGIJC-INDRUJQFSA-N 1 2 283.371 3.756 20 0 CHADLO COCC1([N@H+](C)Cc2cc(Cl)sc2Cl)CC1 ZINC000649400468 1129643400 /nfs/dbraw/zinc/64/34/00/1129643400.db2.gz ZDIYHCXVXXJTGP-UHFFFAOYSA-N 1 2 280.220 3.666 20 0 CHADLO COCC1([N@@H+](C)Cc2cc(Cl)sc2Cl)CC1 ZINC000649400468 1129643403 /nfs/dbraw/zinc/64/34/03/1129643403.db2.gz ZDIYHCXVXXJTGP-UHFFFAOYSA-N 1 2 280.220 3.666 20 0 CHADLO Cc1cc(C[NH2+]C(C)(C)C(F)F)ccc1Br ZINC000381412495 1129644156 /nfs/dbraw/zinc/64/41/56/1129644156.db2.gz UYXMRGHIWGDWEL-UHFFFAOYSA-N 1 2 292.167 3.891 20 0 CHADLO Nc1[nH+]cccc1-c1cccc(OC2CCCC2)c1 ZINC000649440524 1129649416 /nfs/dbraw/zinc/64/94/16/1129649416.db2.gz QFQBPKNJFJNHNT-UHFFFAOYSA-N 1 2 254.333 3.652 20 0 CHADLO CC(C)CCN(C(=O)C[C@H](C)n1cc[nH+]c1)C1CCCC1 ZINC000649593320 1129661786 /nfs/dbraw/zinc/66/17/86/1129661786.db2.gz LFHWOMXTNQWLEL-HNNXBMFYSA-N 1 2 291.439 3.652 20 0 CHADLO Cc1ccc(C(C)(C)Nc2cc(N3CCCC3)nc[nH+]2)cc1 ZINC001168800419 1129673390 /nfs/dbraw/zinc/67/33/90/1129673390.db2.gz UNXYGYCMGRRRIE-UHFFFAOYSA-N 1 2 296.418 3.732 20 0 CHADLO Cc1ccc(C(C)(C)Nc2cc(N3CCCC3)[nH+]cn2)cc1 ZINC001168800419 1129673392 /nfs/dbraw/zinc/67/33/92/1129673392.db2.gz UNXYGYCMGRRRIE-UHFFFAOYSA-N 1 2 296.418 3.732 20 0 CHADLO CC(C)CC[C@@H]1CCCCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000649840731 1129681282 /nfs/dbraw/zinc/68/12/82/1129681282.db2.gz WNNSGSAQLBVFAS-HOTGVXAUSA-N 1 2 291.439 3.652 20 0 CHADLO Cc1cc(C)c(NCCc2cn3ccccc3[nH+]2)c(C)c1 ZINC001169005613 1129689246 /nfs/dbraw/zinc/68/92/46/1129689246.db2.gz VHNOJQADTBBBJF-UHFFFAOYSA-N 1 2 279.387 3.914 20 0 CHADLO CC(C)Oc1ccc(NCCc2cn3ccccc3[nH+]2)cc1 ZINC001169005546 1129689368 /nfs/dbraw/zinc/68/93/68/1129689368.db2.gz SQBYFJLOHADUQW-UHFFFAOYSA-N 1 2 295.386 3.776 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)o1 ZINC000650193123 1129699231 /nfs/dbraw/zinc/69/92/31/1129699231.db2.gz XMCKQDLDSIXDJJ-HNNXBMFYSA-N 1 2 272.348 3.612 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)o1 ZINC000650193123 1129699234 /nfs/dbraw/zinc/69/92/34/1129699234.db2.gz XMCKQDLDSIXDJJ-HNNXBMFYSA-N 1 2 272.348 3.612 20 0 CHADLO COCc1cc[nH+]c(NCC(C)(C)c2ccccc2)c1 ZINC000650244007 1129702353 /nfs/dbraw/zinc/70/23/53/1129702353.db2.gz YZEQIDGPUUAHRZ-UHFFFAOYSA-N 1 2 270.376 3.618 20 0 CHADLO CCCc1ccc(CNc2cc(COC)cc[nH+]2)cc1 ZINC000650244857 1129702469 /nfs/dbraw/zinc/70/24/69/1129702469.db2.gz SDDREWHTCUWCDU-UHFFFAOYSA-N 1 2 270.376 3.793 20 0 CHADLO COCc1cc[nH+]c(NCc2ccccc2C(F)(F)F)c1 ZINC000650244506 1129702691 /nfs/dbraw/zinc/70/26/91/1129702691.db2.gz BYJLHZCQNXXDBO-UHFFFAOYSA-N 1 2 296.292 3.859 20 0 CHADLO COc1ccc(N[C@@H](C2CCC2)C(F)(F)F)[nH+]c1C ZINC001169288638 1129716131 /nfs/dbraw/zinc/71/61/31/1129716131.db2.gz YHLUUHXDMMZYCJ-LBPRGKRZSA-N 1 2 274.286 3.542 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(Cl)c(O)c2)[nH+]1 ZINC001212588653 1129717433 /nfs/dbraw/zinc/71/74/33/1129717433.db2.gz WUBNBLDYBPEYLS-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO C[C@H]1C[C@H](Nc2ccn3cc(N)[nH+]c3c2)c2ccccc21 ZINC001169372611 1129722196 /nfs/dbraw/zinc/72/21/96/1129722196.db2.gz GCLSUUGXPUQNTB-NHYWBVRUSA-N 1 2 278.359 3.577 20 0 CHADLO C[C@H]1C[C@@H](Nc2ccc(N(C)C)c[nH+]2)c2ccccc21 ZINC001169377260 1129722510 /nfs/dbraw/zinc/72/25/10/1129722510.db2.gz IASKSUJRDNFNGT-BLLLJJGKSA-N 1 2 267.376 3.808 20 0 CHADLO CC(C)Oc1cc(NC[C@@H](C)c2ccc(O)cc2)cc[nH+]1 ZINC001169540450 1129734156 /nfs/dbraw/zinc/73/41/56/1129734156.db2.gz VTCMSHOBCWVOTF-CYBMUJFWSA-N 1 2 286.375 3.790 20 0 CHADLO Oc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)ccc1Cl ZINC001212591280 1129737595 /nfs/dbraw/zinc/73/75/95/1129737595.db2.gz DPLYJSNWATUQLN-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Cc1[nH+]cccc1NCCc1coc2ccccc12 ZINC001169600873 1129739625 /nfs/dbraw/zinc/73/96/25/1129739625.db2.gz VZVNDOSBBDNGGC-UHFFFAOYSA-N 1 2 252.317 3.791 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1ccc(Cl)cc1F ZINC001137660494 1131394482 /nfs/dbraw/zinc/39/44/82/1131394482.db2.gz NJMGPOKZQHZGCG-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1ccc(Cl)cc1F ZINC001137660494 1131394487 /nfs/dbraw/zinc/39/44/87/1131394487.db2.gz NJMGPOKZQHZGCG-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CCc1cc(C[NH2+][C@H]2CCc3ccc(F)c(Cl)c32)on1 ZINC000651664089 1129743545 /nfs/dbraw/zinc/74/35/45/1129743545.db2.gz CUVRAMAHSCIAOP-ZDUSSCGKSA-N 1 2 294.757 3.807 20 0 CHADLO COc1ccc(C[NH+]2CC(C)(CC(F)(F)F)C2)c(F)c1 ZINC000651828393 1129750228 /nfs/dbraw/zinc/75/02/28/1129750228.db2.gz HAIIEFDJEXGPTM-UHFFFAOYSA-N 1 2 291.288 3.609 20 0 CHADLO COCc1cc[nH+]c(NC[C@H](C)c2ccc(F)cc2)c1 ZINC000652067784 1129757111 /nfs/dbraw/zinc/75/71/11/1129757111.db2.gz HJRXKGLZNPLMHE-LBPRGKRZSA-N 1 2 274.339 3.583 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cc(F)c(F)c(F)c2)c1 ZINC000652331072 1129763259 /nfs/dbraw/zinc/76/32/59/1129763259.db2.gz XEOFTUGUPVJFSL-SECBINFHSA-N 1 2 296.292 3.818 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCc3cc(F)ccc32)c1 ZINC000652330851 1129763272 /nfs/dbraw/zinc/76/32/72/1129763272.db2.gz QTQQFTRLUTZMNW-INIZCTEOSA-N 1 2 286.350 3.857 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc3c(c2)CCC3)c1 ZINC000652330691 1129763443 /nfs/dbraw/zinc/76/34/43/1129763443.db2.gz MXUNJBAUOCXIGL-CYBMUJFWSA-N 1 2 282.387 3.890 20 0 CHADLO COc1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1F ZINC001239579923 1131396560 /nfs/dbraw/zinc/39/65/60/1131396560.db2.gz SJMLDUAAICBEGB-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO c1c2ccccc2sc1C[N@@H+]1Cc2ccncc2C1 ZINC001137685882 1131401357 /nfs/dbraw/zinc/40/13/57/1131401357.db2.gz ZXKYYNHOEQKIJI-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)ccc1-n1cc[nH+]c1 ZINC001136625488 1131397198 /nfs/dbraw/zinc/39/71/98/1131397198.db2.gz MCIPSEZINHYXTJ-BMFZPTHFSA-N 1 2 295.386 3.555 20 0 CHADLO c1c2ccccc2sc1C[N@H+]1Cc2ccncc2C1 ZINC001137685882 1131401358 /nfs/dbraw/zinc/40/13/58/1131401358.db2.gz ZXKYYNHOEQKIJI-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H]2OCc3ccccc32)c(C)[nH+]1 ZINC000653540778 1129783165 /nfs/dbraw/zinc/78/31/65/1129783165.db2.gz UCJSEPQXJBHTAD-MRXNPFEDSA-N 1 2 296.370 3.607 20 0 CHADLO Cc1cc(CNc2ccc(N3CCCC3)c[nH+]2)c(C)o1 ZINC000767350664 1129787048 /nfs/dbraw/zinc/78/70/48/1129787048.db2.gz NIVMLEMXLNAHSN-UHFFFAOYSA-N 1 2 271.364 3.504 20 0 CHADLO Cc1cc(CNc2ccc3c(c2)CCC[N@H+]3C)c(C)o1 ZINC000767389833 1129792408 /nfs/dbraw/zinc/79/24/08/1129792408.db2.gz MUDBBDDKNPXBMW-UHFFFAOYSA-N 1 2 270.376 3.891 20 0 CHADLO Cc1cc(CNc2ccc3c(c2)CCC[N@@H+]3C)c(C)o1 ZINC000767389833 1129792411 /nfs/dbraw/zinc/79/24/11/1129792411.db2.gz MUDBBDDKNPXBMW-UHFFFAOYSA-N 1 2 270.376 3.891 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC[C@@H]2c2nccs2)c1F ZINC001137677389 1131398572 /nfs/dbraw/zinc/39/85/72/1131398572.db2.gz PORRCXCZSOMXSN-CYBMUJFWSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cccc(C[N@H+]2CCC[C@@H]2c2nccs2)c1F ZINC001137677389 1131398575 /nfs/dbraw/zinc/39/85/75/1131398575.db2.gz PORRCXCZSOMXSN-CYBMUJFWSA-N 1 2 276.380 3.928 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2C[C@@H](C)[C@@H]2c2ccccc2)o1 ZINC000746488618 1129813847 /nfs/dbraw/zinc/81/38/47/1129813847.db2.gz FZWIJOQOLKOTRM-CXAGYDPISA-N 1 2 270.376 3.991 20 0 CHADLO CC(C)c1cnc(C[N@H+]2C[C@@H](C)[C@@H]2c2ccccc2)o1 ZINC000746488618 1129813852 /nfs/dbraw/zinc/81/38/52/1129813852.db2.gz FZWIJOQOLKOTRM-CXAGYDPISA-N 1 2 270.376 3.991 20 0 CHADLO CC[C@@H]([NH2+]C/C(Cl)=C/Cl)c1c(C)noc1C ZINC000393776641 1129847883 /nfs/dbraw/zinc/84/78/83/1129847883.db2.gz NLNCKDVJDVEOJR-HYHWUIIZSA-N 1 2 263.168 3.651 20 0 CHADLO c1cc([C@@H]2CCC[N@H+]2Cc2coc(C3CC3)n2)cs1 ZINC000768330201 1129850986 /nfs/dbraw/zinc/85/09/86/1129850986.db2.gz PUXYFDUEDNOWDV-AWEZNQCLSA-N 1 2 274.389 3.951 20 0 CHADLO c1cc([C@@H]2CCC[N@@H+]2Cc2coc(C3CC3)n2)cs1 ZINC000768330201 1129850990 /nfs/dbraw/zinc/85/09/90/1129850990.db2.gz PUXYFDUEDNOWDV-AWEZNQCLSA-N 1 2 274.389 3.951 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccccn2)cnc1Cl ZINC000768355732 1129854092 /nfs/dbraw/zinc/85/40/92/1129854092.db2.gz PXQOYULBSCDWQY-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccccn2)cnc1Cl ZINC000768355732 1129854097 /nfs/dbraw/zinc/85/40/97/1129854097.db2.gz PXQOYULBSCDWQY-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CC(C)c1ccc([C@@H](NC(=O)Cc2c[nH]c[nH+]2)C(C)C)cc1 ZINC000900056235 1129859591 /nfs/dbraw/zinc/85/95/91/1129859591.db2.gz PJTHGGZJPBHFPC-SFHVURJKSA-N 1 2 299.418 3.589 20 0 CHADLO C[N@@H+]1CCCc2cc(NC3CC(C(F)F)C3)ccc21 ZINC000768711395 1129869365 /nfs/dbraw/zinc/86/93/65/1129869365.db2.gz OBXHIEFAWQUQMG-UHFFFAOYSA-N 1 2 266.335 3.525 20 0 CHADLO C[N@H+]1CCCc2cc(NC3CC(C(F)F)C3)ccc21 ZINC000768711395 1129869370 /nfs/dbraw/zinc/86/93/70/1129869370.db2.gz OBXHIEFAWQUQMG-UHFFFAOYSA-N 1 2 266.335 3.525 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1ccc(SC(F)(F)F)cc1 ZINC000768770333 1129871763 /nfs/dbraw/zinc/87/17/63/1129871763.db2.gz IADOONWFKPHGOM-SNVBAGLBSA-N 1 2 291.338 3.519 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1ccc(SC(F)(F)F)cc1 ZINC000768770333 1129871767 /nfs/dbraw/zinc/87/17/67/1129871767.db2.gz IADOONWFKPHGOM-SNVBAGLBSA-N 1 2 291.338 3.519 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@H]1CCC12CCOCC2 ZINC000800484247 1129877932 /nfs/dbraw/zinc/87/79/32/1129877932.db2.gz XHENGZGRHXZWKT-KRWDZBQOSA-N 1 2 286.419 3.658 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+][C@H]1CCC12CCOCC2 ZINC000800484247 1129877936 /nfs/dbraw/zinc/87/79/36/1129877936.db2.gz XHENGZGRHXZWKT-KRWDZBQOSA-N 1 2 286.419 3.658 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC3(CCCCC3)C2)cnc1Cl ZINC000769491759 1129913190 /nfs/dbraw/zinc/91/31/90/1129913190.db2.gz ADROMYMPROEBPV-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Cc1cc(C[N@H+]2CCOC3(CCCCC3)C2)cnc1Cl ZINC000769491759 1129913191 /nfs/dbraw/zinc/91/31/91/1129913191.db2.gz ADROMYMPROEBPV-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)nc1Cl)c1ccccn1 ZINC000801540099 1129925531 /nfs/dbraw/zinc/92/55/31/1129925531.db2.gz UBSCPMPRAVGTBP-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OCc1ccc2ncccc2c1 ZINC000769810847 1129926201 /nfs/dbraw/zinc/92/62/01/1129926201.db2.gz PJSQJCMUWQUNES-UHFFFAOYSA-N 1 2 291.354 3.590 20 0 CHADLO Cc1cccc(F)c1C[NH2+]Cc1ncc(Cl)s1 ZINC000769915927 1129929776 /nfs/dbraw/zinc/92/97/76/1129929776.db2.gz DJZYVUBVTCJEHE-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO FC(F)C[N@@H+](Cc1ccc2c(c1)COC2)CC1CCC1 ZINC000770292030 1129944618 /nfs/dbraw/zinc/94/46/18/1129944618.db2.gz IBTFCZIGGPDGRJ-UHFFFAOYSA-N 1 2 281.346 3.584 20 0 CHADLO FC(F)C[N@H+](Cc1ccc2c(c1)COC2)CC1CCC1 ZINC000770292030 1129944619 /nfs/dbraw/zinc/94/46/19/1129944619.db2.gz IBTFCZIGGPDGRJ-UHFFFAOYSA-N 1 2 281.346 3.584 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1nccn1C1CC1 ZINC000902038284 1129973205 /nfs/dbraw/zinc/97/32/05/1129973205.db2.gz RSOLAYPDMKRPCA-UHFFFAOYSA-N 1 2 295.390 3.590 20 0 CHADLO Cc1cc(CNC(=O)c2ccsc2C(F)F)cc(C)[nH+]1 ZINC000823056960 1131411626 /nfs/dbraw/zinc/41/16/26/1131411626.db2.gz KSQPNTKOGYHIOW-UHFFFAOYSA-N 1 2 296.342 3.628 20 0 CHADLO Cc1cc(NCc2c[nH+]cn2C(C)C)cnc1C(F)F ZINC000902287723 1129997438 /nfs/dbraw/zinc/99/74/38/1129997438.db2.gz BUFLYXLFXRINGD-UHFFFAOYSA-N 1 2 280.322 3.717 20 0 CHADLO c1cn(-c2csc(CNc3cccnc3C3CC3)c2)c[nH+]1 ZINC000902290773 1129997504 /nfs/dbraw/zinc/99/75/04/1129997504.db2.gz KYZBFCCHDNFQHC-UHFFFAOYSA-N 1 2 296.399 3.818 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+]1CCc2cc(Cl)nnc2C1 ZINC000829148552 1131413030 /nfs/dbraw/zinc/41/30/30/1131413030.db2.gz LRTFRXIESDDVDQ-GFCCVEGCSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+]1CCc2cc(Cl)nnc2C1 ZINC000829148552 1131413033 /nfs/dbraw/zinc/41/30/33/1131413033.db2.gz LRTFRXIESDDVDQ-GFCCVEGCSA-N 1 2 287.794 3.558 20 0 CHADLO COC(=[NH2+])c1cccc(-c2ccc(C(C)=O)cc2)c1 ZINC001239631399 1130023451 /nfs/dbraw/zinc/02/34/51/1130023451.db2.gz ZDZUIMIJUKZRKF-UHFFFAOYSA-N 1 2 253.301 3.528 20 0 CHADLO CC(=O)c1cccc(-c2ccc3[nH+]ccn3c2C)c1 ZINC001239638404 1130029142 /nfs/dbraw/zinc/02/91/42/1130029142.db2.gz SMXADLMMIHJIDG-UHFFFAOYSA-N 1 2 250.301 3.512 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)[C@H]1CC1(C)C ZINC000785496973 1130036749 /nfs/dbraw/zinc/03/67/49/1130036749.db2.gz PEGHXFXCBYJTLV-GRDNDAEWSA-N 1 2 288.435 3.758 20 0 CHADLO CNc1ccc(C)cc1C[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000902690701 1130039001 /nfs/dbraw/zinc/03/90/01/1130039001.db2.gz UZBWILOADZJYRC-UHFFFAOYSA-N 1 2 287.407 3.612 20 0 CHADLO COc1cccc(OC)c1-c1cc(N2CCCCC2)cc[nH+]1 ZINC001239670641 1130043778 /nfs/dbraw/zinc/04/37/78/1130043778.db2.gz RXTUHXBPGGVBPI-UHFFFAOYSA-N 1 2 298.386 3.756 20 0 CHADLO CCc1c2ccccc2oc1[C@@H](C)[NH2+][C@@H](C)c1cn(C)nn1 ZINC000902783238 1130056692 /nfs/dbraw/zinc/05/66/92/1130056692.db2.gz BLUKALCTGJSXGF-NWDGAFQWSA-N 1 2 298.390 3.536 20 0 CHADLO Cc1ccc(Cl)c(NCc2ccccc2-n2cc[nH+]c2)n1 ZINC000785837010 1130057990 /nfs/dbraw/zinc/05/79/90/1130057990.db2.gz LEPYCBXJTBHKAP-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cccc(C)c1C ZINC001239716617 1130070008 /nfs/dbraw/zinc/07/00/08/1130070008.db2.gz WEDHNHRNWOITOG-UHFFFAOYSA-N 1 2 252.317 3.855 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cccc(C(=O)OC)c2)c1 ZINC001239732957 1130079220 /nfs/dbraw/zinc/07/92/20/1130079220.db2.gz LLDGKRMUAVBAKE-UHFFFAOYSA-N 1 2 283.327 3.502 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=Cc3ccccc3)cc2[nH+]1 ZINC000051224538 1130081763 /nfs/dbraw/zinc/08/17/63/1130081763.db2.gz ZGHCAMSFUHJSFU-YFHOEESVSA-N 1 2 277.327 3.523 20 0 CHADLO COc1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1F ZINC001239751325 1130091306 /nfs/dbraw/zinc/09/13/06/1130091306.db2.gz ISADBGGDTAPBQV-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO Fc1c(Cl)cccc1C[N@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000786429442 1130092484 /nfs/dbraw/zinc/09/24/84/1130092484.db2.gz MRZNGZBKPBUUOB-SECBINFHSA-N 1 2 281.680 3.863 20 0 CHADLO Fc1c(Cl)cccc1C[N@@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000786429442 1130092479 /nfs/dbraw/zinc/09/24/79/1130092479.db2.gz MRZNGZBKPBUUOB-SECBINFHSA-N 1 2 281.680 3.863 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cnc2ccccc2c1 ZINC001239796501 1130111695 /nfs/dbraw/zinc/11/16/95/1130111695.db2.gz PPRAWAHEKJBKDQ-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CCOC(CCNc1[nH+]c2ccccc2cc1C)OCC ZINC000786825264 1130117008 /nfs/dbraw/zinc/11/70/08/1130117008.db2.gz SOEZVWMBXAGZMX-UHFFFAOYSA-N 1 2 288.391 3.744 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@H]1CCOC12CCCC2 ZINC000903133250 1130117449 /nfs/dbraw/zinc/11/74/49/1130117449.db2.gz KJQQPEIAXJAOQE-KRWDZBQOSA-N 1 2 286.419 3.800 20 0 CHADLO COc1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1OC ZINC001239819963 1130123736 /nfs/dbraw/zinc/12/37/36/1130123736.db2.gz RMOORSGUNNVTGV-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO CC(C)[C@H](Nc1ccn2cc(N)[nH+]c2c1)c1ccc(F)cc1 ZINC001170065611 1130124173 /nfs/dbraw/zinc/12/41/73/1130124173.db2.gz CFKCGDYERUHEHQ-KRWDZBQOSA-N 1 2 298.365 3.865 20 0 CHADLO C[C@@H]1[C@H](Cc2ccccc2)CC[N@@H+]1CC(F)(F)C(F)F ZINC000746678397 1130147047 /nfs/dbraw/zinc/14/70/47/1130147047.db2.gz WMCBVMADGDTXLZ-YPMHNXCESA-N 1 2 289.316 3.840 20 0 CHADLO C[C@@H]1[C@H](Cc2ccccc2)CC[N@H+]1CC(F)(F)C(F)F ZINC000746678397 1130147048 /nfs/dbraw/zinc/14/70/48/1130147048.db2.gz WMCBVMADGDTXLZ-YPMHNXCESA-N 1 2 289.316 3.840 20 0 CHADLO C[C@H]1[C@H](Cc2ccccc2)CC[N@@H+]1CC(F)(F)C(F)F ZINC000746678137 1130148348 /nfs/dbraw/zinc/14/83/48/1130148348.db2.gz WMCBVMADGDTXLZ-AAEUAGOBSA-N 1 2 289.316 3.840 20 0 CHADLO C[C@H]1[C@H](Cc2ccccc2)CC[N@H+]1CC(F)(F)C(F)F ZINC000746678137 1130148353 /nfs/dbraw/zinc/14/83/53/1130148353.db2.gz WMCBVMADGDTXLZ-AAEUAGOBSA-N 1 2 289.316 3.840 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)co2)c(C)n1 ZINC000903312996 1130152000 /nfs/dbraw/zinc/15/20/00/1130152000.db2.gz FGQCOTNFDAYNTG-LBPRGKRZSA-N 1 2 287.407 3.835 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@H+]1CCOc1ccccc1 ZINC000787652434 1130157165 /nfs/dbraw/zinc/15/71/65/1130157165.db2.gz MNACCOHYPFMXAA-ZDUSSCGKSA-N 1 2 290.794 3.597 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@@H+]1CCOc1ccccc1 ZINC000787652434 1130157167 /nfs/dbraw/zinc/15/71/67/1130157167.db2.gz MNACCOHYPFMXAA-ZDUSSCGKSA-N 1 2 290.794 3.597 20 0 CHADLO C[C@H]([NH2+][C@H](c1ncccn1)C1CC1)c1ccc(F)c(F)c1 ZINC000903333662 1130159535 /nfs/dbraw/zinc/15/95/35/1130159535.db2.gz SGDIUHWWOJKFFQ-BONVTDFDSA-N 1 2 289.329 3.557 20 0 CHADLO c1nnc([C@@H]([NH2+]C[C@H]2CCC=CCCC2)c2ccccc2)[nH]1 ZINC000903346420 1130163709 /nfs/dbraw/zinc/16/37/09/1130163709.db2.gz SSAGVIXQFCHBCC-RDJZCZTQSA-N 1 2 296.418 3.620 20 0 CHADLO c1nnc([C@H]([NH2+]C[C@H]2CCC=CCCC2)c2ccccc2)[nH]1 ZINC000903346416 1130163729 /nfs/dbraw/zinc/16/37/29/1130163729.db2.gz SSAGVIXQFCHBCC-DOTOQJQBSA-N 1 2 296.418 3.620 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(C)on1)c1cc(F)ccc1OC ZINC000903372275 1130168215 /nfs/dbraw/zinc/16/82/15/1130168215.db2.gz YGZDLLDZPHUPGR-FZMZJTMJSA-N 1 2 292.354 3.933 20 0 CHADLO COCc1ccccc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239953251 1130169416 /nfs/dbraw/zinc/16/94/16/1130169416.db2.gz JTROMBVBHLOTIA-UHFFFAOYSA-N 1 2 278.355 3.745 20 0 CHADLO CC(C)Oc1ccc(-c2ccc(Cn3cc[nH+]c3)cn2)cc1 ZINC001239958360 1130171091 /nfs/dbraw/zinc/17/10/91/1130171091.db2.gz KXRTWGVSJDNRFS-UHFFFAOYSA-N 1 2 293.370 3.781 20 0 CHADLO CCCCNC(=O)c1ccc(-c2c(C)cc[nH+]c2C)cc1 ZINC001239988687 1130183306 /nfs/dbraw/zinc/18/33/06/1130183306.db2.gz YWESVEKWXFLEBD-UHFFFAOYSA-N 1 2 282.387 3.895 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc3cncnc3c2)cc1 ZINC001239993409 1130184755 /nfs/dbraw/zinc/18/47/55/1130184755.db2.gz MRWYBKAATPYIPS-UHFFFAOYSA-N 1 2 286.338 3.697 20 0 CHADLO COc1cc(F)ccc1-c1ccc(-n2cc[nH+]c2)cc1OC ZINC001240000966 1130187960 /nfs/dbraw/zinc/18/79/60/1130187960.db2.gz VEBSFJLLKMWLPC-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@H]2c2cccs2)cn1 ZINC000788468970 1130188045 /nfs/dbraw/zinc/18/80/45/1130188045.db2.gz CNSFUBQLDRGGIX-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@H]2c2cccs2)cn1 ZINC000788468970 1130188047 /nfs/dbraw/zinc/18/80/47/1130188047.db2.gz CNSFUBQLDRGGIX-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Cc1c(-c2cnn(Cc3ccccc3)c2)ccc2[nH+]ccn21 ZINC001240004884 1130189851 /nfs/dbraw/zinc/18/98/51/1130189851.db2.gz APABPKQNCROXCD-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO Cc1cc(-c2cccc3cnccc32)c2[nH+]ccn2c1 ZINC001240009277 1130191679 /nfs/dbraw/zinc/19/16/79/1130191679.db2.gz OXISGVHNIFIQMR-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1cc2[nH]ccc2c(-c2ccc(Cn3cc[nH+]c3)cn2)c1 ZINC001240028681 1130198455 /nfs/dbraw/zinc/19/84/55/1130198455.db2.gz KCDGCEYYSFQRCD-UHFFFAOYSA-N 1 2 292.317 3.614 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1cccc(C(=O)NCC(C)C)c1 ZINC001240093644 1130212658 /nfs/dbraw/zinc/21/26/58/1130212658.db2.gz XRFAKJIWQZEYEZ-UHFFFAOYSA-N 1 2 282.387 3.751 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2c(C)nn(C)c2C)c1 ZINC001240147165 1130225190 /nfs/dbraw/zinc/22/51/90/1130225190.db2.gz SEZUGODFTQDJPD-UHFFFAOYSA-N 1 2 271.408 3.859 20 0 CHADLO CC(C)Oc1ccc(-c2c[nH+]cn2C)cc1C(F)(F)F ZINC001243070689 1130231342 /nfs/dbraw/zinc/23/13/42/1130231342.db2.gz WNBCYUIKSVEZMH-UHFFFAOYSA-N 1 2 284.281 3.893 20 0 CHADLO Cc1csc2ccc(-c3ccc4[nH+]ccn4c3)nc12 ZINC001240214662 1130233451 /nfs/dbraw/zinc/23/34/51/1130233451.db2.gz PCQMJTAGLMSQDE-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO Cc1ccccc1-c1ccc(-c2ccc3[nH+]ccn3c2)nn1 ZINC001240216213 1130234497 /nfs/dbraw/zinc/23/44/97/1130234497.db2.gz FDPYNXYAVOEIDB-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO c1cn2cc(-c3cc(Oc4ccccc4)ncn3)ccc2[nH+]1 ZINC001240215269 1130234894 /nfs/dbraw/zinc/23/48/94/1130234894.db2.gz WPMJDXMMKGJSMP-UHFFFAOYSA-N 1 2 288.310 3.584 20 0 CHADLO c1cn2cc(-c3ccc4[nH]c(C5CC5)cc4n3)ccc2[nH+]1 ZINC001240215972 1130234940 /nfs/dbraw/zinc/23/49/40/1130234940.db2.gz AGSWXKQRFMAITR-UHFFFAOYSA-N 1 2 274.327 3.755 20 0 CHADLO CCc1nc2cc(-c3ccc4[nH+]ccn4c3)ccc2o1 ZINC001240217950 1130235727 /nfs/dbraw/zinc/23/57/27/1130235727.db2.gz SRXAXARBZHVJLL-UHFFFAOYSA-N 1 2 263.300 3.705 20 0 CHADLO CCc1cc(NC(C)=O)cc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218106 1130236136 /nfs/dbraw/zinc/23/61/36/1130236136.db2.gz AULQGQKFJRVPPV-UHFFFAOYSA-N 1 2 279.343 3.522 20 0 CHADLO CCCOc1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240218238 1130236157 /nfs/dbraw/zinc/23/61/57/1130236157.db2.gz HKTJOMUENPLDNN-UHFFFAOYSA-N 1 2 252.317 3.790 20 0 CHADLO C[C@H]1CCCCN1c1cccc(-c2ccc3[nH+]ccn3c2)n1 ZINC001240217830 1130236166 /nfs/dbraw/zinc/23/61/66/1130236166.db2.gz XNEKCNTXBYEWAU-AWEZNQCLSA-N 1 2 292.386 3.775 20 0 CHADLO Fc1c(F)c(F)c(-c2ccc3[nH+]ccn3c2)c(F)c1F ZINC001240217912 1130236444 /nfs/dbraw/zinc/23/64/44/1130236444.db2.gz QKNRDZUWADAPMN-UHFFFAOYSA-N 1 2 284.187 3.697 20 0 CHADLO CCOc1ccc2cc(-c3ccc4[nH+]ccn4c3)cnc2c1 ZINC001240218571 1130236631 /nfs/dbraw/zinc/23/66/31/1130236631.db2.gz FMGCFZMSYKOKSL-UHFFFAOYSA-N 1 2 289.338 3.948 20 0 CHADLO CC(C)Sc1ccc(-c2ccc3[nH+]ccn3c2)cn1 ZINC001240219026 1130237019 /nfs/dbraw/zinc/23/70/19/1130237019.db2.gz VNGYTTGPXKGWPF-UHFFFAOYSA-N 1 2 269.373 3.897 20 0 CHADLO CO/C=C/c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240218678 1130237279 /nfs/dbraw/zinc/23/72/79/1130237279.db2.gz JQNUZTFBXHBJFN-DHZHZOJOSA-N 1 2 250.301 3.618 20 0 CHADLO CC(C)(C)c1cccc(-c2ccc3[nH+]ccn3c2)n1 ZINC001240218904 1130237678 /nfs/dbraw/zinc/23/76/78/1130237678.db2.gz OSUXVQKPWNOXJJ-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO Cc1cc(OC(=O)CCCn2cc[nH+]c2)cc(C)c1Cl ZINC000748620330 1130238413 /nfs/dbraw/zinc/23/84/13/1130238413.db2.gz BZZGTELEGLXJJQ-UHFFFAOYSA-N 1 2 292.766 3.539 20 0 CHADLO c1cn2cc(-c3cccc4scnc43)ccc2[nH+]1 ZINC001240219707 1130238833 /nfs/dbraw/zinc/23/88/33/1130238833.db2.gz RYDCLMIDLCDCEK-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Fc1ccc(-c2ccc3[nH+]ccn3c2)c2ncccc12 ZINC001240219559 1130238872 /nfs/dbraw/zinc/23/88/72/1130238872.db2.gz NLWXNBZCOAKMPX-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO COc1ccc2c(c1)nccc2-c1ccc2[nH+]ccn2c1 ZINC001240219533 1130239017 /nfs/dbraw/zinc/23/90/17/1130239017.db2.gz MGXUELDTEWHEJF-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO COc1c(C)cc(-c2ccc3[nH+]ccn3c2)cc1C ZINC001240219549 1130239068 /nfs/dbraw/zinc/23/90/68/1130239068.db2.gz MTMMTHPLOCVJOR-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCN(CC)c1c(F)cccc1-c1ccc2[nH+]ccn2c1 ZINC001240221589 1130239632 /nfs/dbraw/zinc/23/96/32/1130239632.db2.gz NJYKLGAGMJVJNS-UHFFFAOYSA-N 1 2 283.350 3.987 20 0 CHADLO COc1cc(-c2ccc3[nH+]ccn3c2)ccc1OC(C)C ZINC001240221433 1130239766 /nfs/dbraw/zinc/23/97/66/1130239766.db2.gz SFFIVRLNFWJUHC-UHFFFAOYSA-N 1 2 282.343 3.797 20 0 CHADLO CCOc1c(F)cccc1-c1ccc2[nH+]ccn2c1 ZINC001240222095 1130239960 /nfs/dbraw/zinc/23/99/60/1130239960.db2.gz XMRQBLRXPZDACG-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO CC(C)c1ocnc1C[N@@H+]1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC001254367970 1130240710 /nfs/dbraw/zinc/24/07/10/1130240710.db2.gz PSCZFOGEAHZPFC-MNOVXSKESA-N 1 2 290.329 3.961 20 0 CHADLO CC(C)c1ocnc1C[N@H+]1C[C@@H](C(F)(F)F)CC[C@H]1C ZINC001254367970 1130240714 /nfs/dbraw/zinc/24/07/14/1130240714.db2.gz PSCZFOGEAHZPFC-MNOVXSKESA-N 1 2 290.329 3.961 20 0 CHADLO FC(F)(F)c1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001240268330 1130253114 /nfs/dbraw/zinc/25/31/14/1130253114.db2.gz CIXAEYVNVSQTND-UHFFFAOYSA-N 1 2 289.260 3.953 20 0 CHADLO CC(C)COC(=O)[C@H](C)[NH2+][C@@H]1CCC[C@@H]1c1ccccc1 ZINC000789579388 1130261284 /nfs/dbraw/zinc/26/12/84/1130261284.db2.gz GFRHTXHPPJPRHT-USXIJHARSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1c(CN2CCCC[C@H]2C(F)(F)F)[nH+]c2ccccn12 ZINC001137272394 1130277216 /nfs/dbraw/zinc/27/72/16/1130277216.db2.gz UBVKMUSZCZGLDU-ZDUSSCGKSA-N 1 2 297.324 3.560 20 0 CHADLO F[C@H]1C[N@H+](Cc2cnc3ccccc3c2)CCCC1(F)F ZINC001137278322 1130281656 /nfs/dbraw/zinc/28/16/56/1130281656.db2.gz VEWVUMVDZITUJD-HNNXBMFYSA-N 1 2 294.320 3.804 20 0 CHADLO F[C@H]1C[N@@H+](Cc2cnc3ccccc3c2)CCCC1(F)F ZINC001137278322 1130281658 /nfs/dbraw/zinc/28/16/58/1130281658.db2.gz VEWVUMVDZITUJD-HNNXBMFYSA-N 1 2 294.320 3.804 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCc3c2c(F)ccc3F)cs1 ZINC000677128916 1130292089 /nfs/dbraw/zinc/29/20/89/1130292089.db2.gz BPTSQKPWKFBGDU-CYBMUJFWSA-N 1 2 280.343 3.507 20 0 CHADLO CC(C)CCn1cc(-c2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC001240420612 1130302329 /nfs/dbraw/zinc/30/23/29/1130302329.db2.gz XJAGACRVZKLDOG-UHFFFAOYSA-N 1 2 294.402 3.841 20 0 CHADLO c1coc(C2CC[NH+](Cc3coc(C4CC4)n3)CC2)c1 ZINC000826878533 1130305050 /nfs/dbraw/zinc/30/50/50/1130305050.db2.gz ZVXXJRZKOKZGFL-UHFFFAOYSA-N 1 2 272.348 3.525 20 0 CHADLO Cc1cc(-c2ccc(Cn3cc[nH+]c3)cn2)c(C)s1 ZINC001240427994 1130306779 /nfs/dbraw/zinc/30/67/79/1130306779.db2.gz RZIHCRBYORHYBP-UHFFFAOYSA-N 1 2 269.373 3.672 20 0 CHADLO C[C@@H]1CCC[C@H]1[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790504714 1130318162 /nfs/dbraw/zinc/31/81/62/1130318162.db2.gz IUMZWJNGFGJWAA-ZXFNITATSA-N 1 2 288.435 3.901 20 0 CHADLO Cc1ccc2cc(-c3ccn4cc[nH+]c4c3)ncc2c1 ZINC001240495953 1130328710 /nfs/dbraw/zinc/32/87/10/1130328710.db2.gz BJLVLGCFTDFQGI-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC(C)c1cc(N[C@@H]2CCCc3c[nH]nc32)nc(C(C)C)[nH+]1 ZINC000677745304 1130328713 /nfs/dbraw/zinc/32/87/13/1130328713.db2.gz JCMUXLRWFQCTPW-CYBMUJFWSA-N 1 2 299.422 3.936 20 0 CHADLO COc1ccc2cc(-c3ccn4cc[nH+]c4c3)ncc2c1 ZINC001240496919 1130329299 /nfs/dbraw/zinc/32/92/99/1130329299.db2.gz KZYRFOYLCQYSKY-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1ccc(-c2ccn3cc[nH+]c3c2)nc1C(F)(F)F ZINC001240496953 1130329472 /nfs/dbraw/zinc/32/94/72/1130329472.db2.gz UIFSPZZOCKJHFA-UHFFFAOYSA-N 1 2 281.212 3.554 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)nc(-c2ccccc2)n1 ZINC001240496901 1130329560 /nfs/dbraw/zinc/32/95/60/1130329560.db2.gz QUQVCCMTXWNYER-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO CSc1nc2ccsc2c(-c2ccn3cc[nH+]c3c2)n1 ZINC001240497119 1130329583 /nfs/dbraw/zinc/32/95/83/1130329583.db2.gz YQMFGHIFKSQOBV-UHFFFAOYSA-N 1 2 298.396 3.728 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2nc(C)c3ccccc3n2)s1 ZINC000677755891 1130330452 /nfs/dbraw/zinc/33/04/52/1130330452.db2.gz XOXYHCPEWQDERX-LLVKDONJSA-N 1 2 298.415 3.554 20 0 CHADLO C[N@H+](Cc1cc2ccccc2[nH]1)Cc1cnc(Cl)s1 ZINC001137313581 1130333251 /nfs/dbraw/zinc/33/32/51/1130333251.db2.gz HAHSFWROLBQCJT-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cc2ccccc2[nH]1)Cc1cnc(Cl)s1 ZINC001137313581 1130333256 /nfs/dbraw/zinc/33/32/56/1130333256.db2.gz HAHSFWROLBQCJT-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO Cc1ccc2cncc(-c3ccn4cc[nH+]c4c3)c2c1 ZINC001240509401 1130334117 /nfs/dbraw/zinc/33/41/17/1130334117.db2.gz YSLSJUHBJAMXKY-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1cc2[nH]ccc2cc1-c1ccn2cc[nH+]c2c1 ZINC001240511555 1130335316 /nfs/dbraw/zinc/33/53/16/1130335316.db2.gz HCHAEPAVLPKAIL-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO CCc1cc(-c2ccn3cc[nH+]c3c2)ccc1NC(C)=O ZINC001240510165 1130335512 /nfs/dbraw/zinc/33/55/12/1130335512.db2.gz DQQOYLWCGRDQKU-UHFFFAOYSA-N 1 2 279.343 3.522 20 0 CHADLO CC1(C)CC(=O)Nc2cc(-c3ccn4cc[nH+]c4c3)ccc21 ZINC001240513599 1130337020 /nfs/dbraw/zinc/33/70/20/1130337020.db2.gz VMRMULPQSNJJKQ-UHFFFAOYSA-N 1 2 291.354 3.621 20 0 CHADLO COc1ccc2cccc(-c3ccn4cc[nH+]c4c3)c2n1 ZINC001240512771 1130337593 /nfs/dbraw/zinc/33/75/93/1130337593.db2.gz ZPQDGIIPDBVDNE-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC1(C)CC(=O)Nc2cccc(-c3ccn4cc[nH+]c4c3)c21 ZINC001240512946 1130338104 /nfs/dbraw/zinc/33/81/04/1130338104.db2.gz DIEQLGQAGIFBBP-UHFFFAOYSA-N 1 2 291.354 3.621 20 0 CHADLO COC1(CNc2ccc3c(c2)CCC[N@H+]3C)CCCCC1 ZINC000790983780 1130345893 /nfs/dbraw/zinc/34/58/93/1130345893.db2.gz QEFQTYHHGUIGJB-UHFFFAOYSA-N 1 2 288.435 3.830 20 0 CHADLO COC1(CNc2ccc3c(c2)CCC[N@@H+]3C)CCCCC1 ZINC000790983780 1130345899 /nfs/dbraw/zinc/34/58/99/1130345899.db2.gz QEFQTYHHGUIGJB-UHFFFAOYSA-N 1 2 288.435 3.830 20 0 CHADLO Oc1ccc(C[N@H+](Cc2c(F)cccc2F)C2CC2)cc1 ZINC000678136437 1130358108 /nfs/dbraw/zinc/35/81/08/1130358108.db2.gz NLKMGERZVKZZGK-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Oc1ccc(C[N@@H+](Cc2c(F)cccc2F)C2CC2)cc1 ZINC000678136437 1130358113 /nfs/dbraw/zinc/35/81/13/1130358113.db2.gz NLKMGERZVKZZGK-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc2ccn(C)c2c1 ZINC001240574509 1130368007 /nfs/dbraw/zinc/36/80/07/1130368007.db2.gz OLQKMUIYGPQVFR-UHFFFAOYSA-N 1 2 277.327 3.730 20 0 CHADLO Cc1cn2cc(-c3ccc(N4CCCC4)cc3)ccc2[nH+]1 ZINC001240592233 1130376580 /nfs/dbraw/zinc/37/65/80/1130376580.db2.gz VPUBJQCUSLNUJI-UHFFFAOYSA-N 1 2 277.371 3.910 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(N2CCCC2)cc1 ZINC001240591882 1130376788 /nfs/dbraw/zinc/37/67/88/1130376788.db2.gz OESBBWHLLOOWSL-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1cn2cccc(F)c2n1 ZINC000678241884 1130378308 /nfs/dbraw/zinc/37/83/08/1130378308.db2.gz PJGAMJCNZVADRP-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1cn2cccc(F)c2n1 ZINC000678241884 1130378315 /nfs/dbraw/zinc/37/83/15/1130378315.db2.gz PJGAMJCNZVADRP-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@@H]1COCCC[N@@H+]1Cc1cccc(Cl)c1Cl ZINC000678273130 1130387111 /nfs/dbraw/zinc/38/71/11/1130387111.db2.gz KHMKSOUORXUQCZ-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1COCCC[N@H+]1Cc1cccc(Cl)c1Cl ZINC000678273130 1130387119 /nfs/dbraw/zinc/38/71/19/1130387119.db2.gz KHMKSOUORXUQCZ-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO Cc1cccc2ncc(C[N@@H+]3CC[C@@H]3c3cccc(F)c3)n21 ZINC000678283208 1130390777 /nfs/dbraw/zinc/39/07/77/1130390777.db2.gz DYRDLUAKROHKJG-QGZVFWFLSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1cccc2ncc(C[N@H+]3CC[C@@H]3c3cccc(F)c3)n21 ZINC000678283208 1130390781 /nfs/dbraw/zinc/39/07/81/1130390781.db2.gz DYRDLUAKROHKJG-QGZVFWFLSA-N 1 2 295.361 3.729 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C2CC2)C[C@H](C(F)(F)F)O1 ZINC000678281687 1130391755 /nfs/dbraw/zinc/39/17/55/1130391755.db2.gz SRNAWGCGBTWJDU-IAQYHMDHSA-N 1 2 299.336 3.716 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C2CC2)C[C@H](C(F)(F)F)O1 ZINC000678281687 1130391758 /nfs/dbraw/zinc/39/17/58/1130391758.db2.gz SRNAWGCGBTWJDU-IAQYHMDHSA-N 1 2 299.336 3.716 20 0 CHADLO Cc1cc(O)ccc1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001240646887 1130399816 /nfs/dbraw/zinc/39/98/16/1130399816.db2.gz OTAWDZBQKCYBRG-UHFFFAOYSA-N 1 2 264.328 3.768 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cnn([C@@H]3CCCCO3)c2)cc1 ZINC001240679156 1130414873 /nfs/dbraw/zinc/41/48/73/1130414873.db2.gz VECTYJOVQBEZNS-INIZCTEOSA-N 1 2 299.374 3.611 20 0 CHADLO CCC[C@@H](CC1CCCC1)C(=O)OCc1cc[nH+]c(N)c1 ZINC000791899659 1130417524 /nfs/dbraw/zinc/41/75/24/1130417524.db2.gz OVRKDCLNAJCMHR-HNNXBMFYSA-N 1 2 290.407 3.704 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1cc(F)ccc1O ZINC001240696819 1130423839 /nfs/dbraw/zinc/42/38/39/1130423839.db2.gz QCHICHOJIUPUKM-UHFFFAOYSA-N 1 2 286.350 3.892 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccccc2-c2ccccc2)n1 ZINC000792177473 1130435418 /nfs/dbraw/zinc/43/54/18/1130435418.db2.gz SWEBHAGTNYTRCQ-CYBMUJFWSA-N 1 2 293.370 3.896 20 0 CHADLO CCc1c(C)cc(-c2ccc([C@H]([NH3+])C(=O)OC)cc2)cc1C ZINC001240741140 1130449668 /nfs/dbraw/zinc/44/96/68/1130449668.db2.gz UXBHXEZEYRINFJ-SFHVURJKSA-N 1 2 297.398 3.706 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1nc2c(s1)CCC2 ZINC000678811103 1130457857 /nfs/dbraw/zinc/45/78/57/1130457857.db2.gz GLMNAEGBRIZXQA-SNVBAGLBSA-N 1 2 290.457 3.813 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1nc2c(s1)CCC2 ZINC000678811103 1130457860 /nfs/dbraw/zinc/45/78/60/1130457860.db2.gz GLMNAEGBRIZXQA-SNVBAGLBSA-N 1 2 290.457 3.813 20 0 CHADLO C[N@H+](Cc1nc2c(s1)CCC2)Cc1ccc(Cl)cc1 ZINC000678810586 1130458210 /nfs/dbraw/zinc/45/82/10/1130458210.db2.gz MRSAODHCHBOIDD-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO C[N@@H+](Cc1nc2c(s1)CCC2)Cc1ccc(Cl)cc1 ZINC000678810586 1130458212 /nfs/dbraw/zinc/45/82/12/1130458212.db2.gz MRSAODHCHBOIDD-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO c1csc(C[N@H+](Cc2nc3c(s2)CCC3)C2CC2)c1 ZINC000678813957 1130460085 /nfs/dbraw/zinc/46/00/85/1130460085.db2.gz SNNPKGWFAOKFFX-UHFFFAOYSA-N 1 2 290.457 3.858 20 0 CHADLO c1csc(C[N@@H+](Cc2nc3c(s2)CCC3)C2CC2)c1 ZINC000678813957 1130460089 /nfs/dbraw/zinc/46/00/89/1130460089.db2.gz SNNPKGWFAOKFFX-UHFFFAOYSA-N 1 2 290.457 3.858 20 0 CHADLO CC[C@@]([NH2+]C[C@H](C)CC(C)C)(C(=O)OC)c1ccccc1 ZINC001170195251 1130461305 /nfs/dbraw/zinc/46/13/05/1130461305.db2.gz SGXXZOBGPYBVNL-QAPCUYQASA-N 1 2 291.435 3.737 20 0 CHADLO C[C@@H]1C[C@H]1[C@@H]([NH2+]Cc1csnn1)c1ccc(Cl)cc1 ZINC000678931076 1130473769 /nfs/dbraw/zinc/47/37/69/1130473769.db2.gz CPQNUJCGWCLMJM-FZQKWOKYSA-N 1 2 293.823 3.678 20 0 CHADLO c1snnc1C[NH2+][C@@H](CC1CCCC1)c1ccccc1 ZINC000678938434 1130474881 /nfs/dbraw/zinc/47/48/81/1130474881.db2.gz FWFQMDVTBIMBNP-INIZCTEOSA-N 1 2 287.432 3.949 20 0 CHADLO Cc1cc(-c2cncc(C(F)(F)F)c2)cn2cc[nH+]c12 ZINC001240792230 1130474972 /nfs/dbraw/zinc/47/49/72/1130474972.db2.gz VGDILAPXTVHDQJ-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1nc2c(s1)CCCC2 ZINC000678981445 1130479616 /nfs/dbraw/zinc/47/96/16/1130479616.db2.gz IJDGUBMBZQQLGZ-UHFFFAOYSA-N 1 2 276.405 3.637 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1nc2c(s1)CCCC2 ZINC000678981445 1130479620 /nfs/dbraw/zinc/47/96/20/1130479620.db2.gz IJDGUBMBZQQLGZ-UHFFFAOYSA-N 1 2 276.405 3.637 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@H](C)c1nc2ccccc2o1 ZINC000679184295 1130499954 /nfs/dbraw/zinc/49/99/54/1130499954.db2.gz CDJCOBRFWDZTOX-GXSJLCMTSA-N 1 2 285.347 3.844 20 0 CHADLO CCc1noc(C[NH2+][C@H](CC2CCC2)c2ccccc2)n1 ZINC000679218998 1130501840 /nfs/dbraw/zinc/50/18/40/1130501840.db2.gz FKBHUMIHYMYIHT-OAHLLOKOSA-N 1 2 285.391 3.653 20 0 CHADLO C[N@H+](C/C=C\Cl)[C@@]1(c2ccccc2)CCCCC1=O ZINC000792881431 1130504259 /nfs/dbraw/zinc/50/42/59/1130504259.db2.gz ZYVSNTLBIWBZBE-JQPKSGMCSA-N 1 2 277.795 3.709 20 0 CHADLO C[N@@H+](C/C=C\Cl)[C@@]1(c2ccccc2)CCCCC1=O ZINC000792881431 1130504265 /nfs/dbraw/zinc/50/42/65/1130504265.db2.gz ZYVSNTLBIWBZBE-JQPKSGMCSA-N 1 2 277.795 3.709 20 0 CHADLO CC(C)Cn1cc(-c2cc(F)c(C[NH+](C)C)c(F)c2)cn1 ZINC001240893986 1130506250 /nfs/dbraw/zinc/50/62/50/1130506250.db2.gz PKKLGUMNJHFUAF-UHFFFAOYSA-N 1 2 293.361 3.546 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2cccc(Cl)n2)CC1 ZINC000792934199 1130508867 /nfs/dbraw/zinc/50/88/67/1130508867.db2.gz UTCSMSYMWMFULP-UHFFFAOYSA-N 1 2 292.732 3.899 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(N(C)C)cc1 ZINC001240916411 1130515552 /nfs/dbraw/zinc/51/55/52/1130515552.db2.gz MABAZDYNJXSVDL-UHFFFAOYSA-N 1 2 293.370 3.614 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)cccc2N2CCCC2)C[C@@H]1F ZINC000679359772 1130521451 /nfs/dbraw/zinc/52/14/51/1130521451.db2.gz OLFAPSQNWZXWIT-CJNGLKHVSA-N 1 2 294.389 3.606 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)cccc2N2CCCC2)C[C@@H]1F ZINC000679359772 1130521454 /nfs/dbraw/zinc/52/14/54/1130521454.db2.gz OLFAPSQNWZXWIT-CJNGLKHVSA-N 1 2 294.389 3.606 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(F)cccc2N2CCCC2)C[C@H]1F ZINC000679359775 1130521596 /nfs/dbraw/zinc/52/15/96/1130521596.db2.gz OLFAPSQNWZXWIT-XJKSGUPXSA-N 1 2 294.389 3.606 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(F)cccc2N2CCCC2)C[C@H]1F ZINC000679359775 1130521600 /nfs/dbraw/zinc/52/16/00/1130521600.db2.gz OLFAPSQNWZXWIT-XJKSGUPXSA-N 1 2 294.389 3.606 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cccc2ncccc21 ZINC001240939958 1130525864 /nfs/dbraw/zinc/52/58/64/1130525864.db2.gz UEDVZVCLBPZFOS-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO COC(=[NH2+])c1cccc(-c2cccc(C(=O)OC(C)C)c2)c1 ZINC001240946927 1130528832 /nfs/dbraw/zinc/52/88/32/1130528832.db2.gz DAZRFAXXOOVZKY-UHFFFAOYSA-N 1 2 297.354 3.891 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1ccc(Cl)cn1 ZINC000679545627 1130538209 /nfs/dbraw/zinc/53/82/09/1130538209.db2.gz LHQIWVIMXPNWBA-VHSXEESVSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1ccc(Cl)cn1 ZINC000679545627 1130538211 /nfs/dbraw/zinc/53/82/11/1130538211.db2.gz LHQIWVIMXPNWBA-VHSXEESVSA-N 1 2 292.732 3.898 20 0 CHADLO C(=C\[C@H]1CCC[N@H+]1Cc1nc(C2CC2)no1)\c1ccccc1 ZINC000679545951 1130540313 /nfs/dbraw/zinc/54/03/13/1130540313.db2.gz QZGUDKSJQSAESQ-JXMNSVBMSA-N 1 2 295.386 3.625 20 0 CHADLO C(=C\[C@H]1CCC[N@@H+]1Cc1nc(C2CC2)no1)\c1ccccc1 ZINC000679545951 1130540317 /nfs/dbraw/zinc/54/03/17/1130540317.db2.gz QZGUDKSJQSAESQ-JXMNSVBMSA-N 1 2 295.386 3.625 20 0 CHADLO Cc1c[nH]c2ncc(-c3ccccc3Cn3cc[nH+]c3)cc12 ZINC001240964781 1130540868 /nfs/dbraw/zinc/54/08/68/1130540868.db2.gz SQLFUNCVWDKQSK-UHFFFAOYSA-N 1 2 288.354 3.783 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1cc(C(C)C)no1 ZINC000679574015 1130540981 /nfs/dbraw/zinc/54/09/81/1130540981.db2.gz CQKNUANHGUXWQV-LBPRGKRZSA-N 1 2 273.380 3.606 20 0 CHADLO COCC[C@H]([NH2+][C@H](C)c1nc(C)sc1C)c1ccco1 ZINC000679576744 1130542648 /nfs/dbraw/zinc/54/26/48/1130542648.db2.gz RXLOOEQODFOAKG-MFKMUULPSA-N 1 2 294.420 3.781 20 0 CHADLO CC[C@H](C)c1ccc(C(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000747113211 1130544533 /nfs/dbraw/zinc/54/45/33/1130544533.db2.gz VWXDXMAXCRZDQS-LBPRGKRZSA-N 1 2 282.387 3.886 20 0 CHADLO FC1(F)CC[NH+](Cc2coc(-c3ccccc3)n2)CC1 ZINC000793361532 1130546503 /nfs/dbraw/zinc/54/65/03/1130546503.db2.gz IYLKNKYKHSDDMG-UHFFFAOYSA-N 1 2 278.302 3.573 20 0 CHADLO Clc1ccc([C@H]2CCC[N@H+]2Cc2cncnc2)s1 ZINC001137667985 1130558737 /nfs/dbraw/zinc/55/87/37/1130558737.db2.gz NGTFRKYNZAWLDX-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@H]2CCC[N@@H+]2Cc2cncnc2)s1 ZINC001137667985 1130558731 /nfs/dbraw/zinc/55/87/31/1130558731.db2.gz NGTFRKYNZAWLDX-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO COc1cccc(F)c1-c1ccc(-n2cc[nH+]c2)cc1OC ZINC001241014279 1130567706 /nfs/dbraw/zinc/56/77/06/1130567706.db2.gz VDSLIKOBKIQSFG-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO COc1c(C)cc(-c2cccn3cc[nH+]c23)cc1C ZINC001241016581 1130569233 /nfs/dbraw/zinc/56/92/33/1130569233.db2.gz CFZXRTXYQHNDLK-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCOc1cc(F)cc(-c2ccn3cc[nH+]c3c2)c1 ZINC001241025056 1130570566 /nfs/dbraw/zinc/57/05/66/1130570566.db2.gz USDCKJGQPOYITM-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO CCC(CC)(CC)C(=O)NC[C@@H]1c2ccccc2C[N@H+]1C ZINC001132625206 1130588682 /nfs/dbraw/zinc/58/86/82/1130588682.db2.gz ZSSBDVWDYFKODA-MRXNPFEDSA-N 1 2 288.435 3.506 20 0 CHADLO CCC(CC)(CC)C(=O)NC[C@@H]1c2ccccc2C[N@@H+]1C ZINC001132625206 1130588687 /nfs/dbraw/zinc/58/86/87/1130588687.db2.gz ZSSBDVWDYFKODA-MRXNPFEDSA-N 1 2 288.435 3.506 20 0 CHADLO CC[C@@]1(C)CC[N@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000758394565 1130609543 /nfs/dbraw/zinc/60/95/43/1130609543.db2.gz FOSSBVSFYQFZBJ-AWEZNQCLSA-N 1 2 283.441 3.583 20 0 CHADLO CC[C@@]1(C)CC[N@@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000758394565 1130609547 /nfs/dbraw/zinc/60/95/47/1130609547.db2.gz FOSSBVSFYQFZBJ-AWEZNQCLSA-N 1 2 283.441 3.583 20 0 CHADLO CC(C)CC1(C)CCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC000811531994 1130616433 /nfs/dbraw/zinc/61/64/33/1130616433.db2.gz KCMVDMDMOZMAAI-UHFFFAOYSA-N 1 2 299.418 3.623 20 0 CHADLO CCCCCC[C@](C)(CCC)C(=O)NCc1c[nH+]cn1C ZINC000811588909 1130622361 /nfs/dbraw/zinc/62/23/61/1130622361.db2.gz CVCBTLXPPNSZBK-KRWDZBQOSA-N 1 2 293.455 3.813 20 0 CHADLO C[C@@H](Cn1cc[nH+]c1)Nc1cc2ccccc2c(Cl)n1 ZINC000811726185 1130640805 /nfs/dbraw/zinc/64/08/05/1130640805.db2.gz SQNOCBFMBTXIMB-NSHDSACASA-N 1 2 286.766 3.585 20 0 CHADLO FCC[N@H+](Cc1c(F)cccc1F)C1CCCC1 ZINC000759340532 1130652202 /nfs/dbraw/zinc/65/22/02/1130652202.db2.gz UYSQOCOBOXCZMF-UHFFFAOYSA-N 1 2 257.299 3.679 20 0 CHADLO FCC[N@@H+](Cc1c(F)cccc1F)C1CCCC1 ZINC000759340532 1130652203 /nfs/dbraw/zinc/65/22/03/1130652203.db2.gz UYSQOCOBOXCZMF-UHFFFAOYSA-N 1 2 257.299 3.679 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H](C(C)=O)c2ccccc2)cc1 ZINC001234587909 1130659352 /nfs/dbraw/zinc/65/93/52/1130659352.db2.gz FOYYZPVNLSWPRS-KRWDZBQOSA-N 1 2 297.354 3.758 20 0 CHADLO CC[N@H+](Cc1c(F)cc(F)cc1Br)C1CC1 ZINC001234733958 1130676582 /nfs/dbraw/zinc/67/65/82/1130676582.db2.gz GPZVULFDSNCCRJ-UHFFFAOYSA-N 1 2 290.151 3.712 20 0 CHADLO CC[N@@H+](Cc1c(F)cc(F)cc1Br)C1CC1 ZINC001234733958 1130676586 /nfs/dbraw/zinc/67/65/86/1130676586.db2.gz GPZVULFDSNCCRJ-UHFFFAOYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1cnc(Cl)s1 ZINC000780387913 1130679125 /nfs/dbraw/zinc/67/91/25/1130679125.db2.gz XKKSXNNQOONKOB-SFYZADRCSA-N 1 2 298.761 3.959 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1cnc(Cl)s1 ZINC000780387913 1130679128 /nfs/dbraw/zinc/67/91/28/1130679128.db2.gz XKKSXNNQOONKOB-SFYZADRCSA-N 1 2 298.761 3.959 20 0 CHADLO Cc1c(-c2cnc(Br)s2)ccc2[nH+]ccn21 ZINC001244907100 1130698015 /nfs/dbraw/zinc/69/80/15/1130698015.db2.gz FYBNDVYJWKVQSN-UHFFFAOYSA-N 1 2 294.177 3.529 20 0 CHADLO C[C@@H]1Cc2ccccc2N1C1CCN(c2cccc[nH+]2)CC1 ZINC000747803228 1130708246 /nfs/dbraw/zinc/70/82/46/1130708246.db2.gz BYAATQDXRYKYCC-OAHLLOKOSA-N 1 2 293.414 3.502 20 0 CHADLO COC[C@@H]1CC[N@@H+]1Cc1c(Cl)cc(C)cc1Cl ZINC001235182769 1130719884 /nfs/dbraw/zinc/71/98/84/1130719884.db2.gz QHUWIRKMUMBBMJ-JTQLQIEISA-N 1 2 274.191 3.523 20 0 CHADLO COC[C@@H]1CC[N@H+]1Cc1c(Cl)cc(C)cc1Cl ZINC001235182769 1130719889 /nfs/dbraw/zinc/71/98/89/1130719889.db2.gz QHUWIRKMUMBBMJ-JTQLQIEISA-N 1 2 274.191 3.523 20 0 CHADLO Clc1ccnc(Cl)c1-c1ccn2cc[nH+]c2c1 ZINC001244938252 1130721538 /nfs/dbraw/zinc/72/15/38/1130721538.db2.gz JXBWSWBMNGGLGF-UHFFFAOYSA-N 1 2 264.115 3.703 20 0 CHADLO Cc1nc2cc(NCc3cccc4[nH+]ccn43)ccc2s1 ZINC000781531507 1130723532 /nfs/dbraw/zinc/72/35/32/1130723532.db2.gz FSCPAOMFKVEABT-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO CCc1cccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)n1 ZINC001235250129 1130727010 /nfs/dbraw/zinc/72/70/10/1130727010.db2.gz IFAXVLXYYOZHPW-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cccc(C[N@H+]2CCc3ccc(Cl)cc3C2)n1 ZINC001235250129 1130727014 /nfs/dbraw/zinc/72/70/14/1130727014.db2.gz IFAXVLXYYOZHPW-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO COc1cc(C[N@@H+]2CCCC[C@](C)(F)C2)cc(F)c1F ZINC001235435479 1130744217 /nfs/dbraw/zinc/74/42/17/1130744217.db2.gz YEAWEMFDIVZNEM-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO COc1cc(C[N@H+]2CCCC[C@](C)(F)C2)cc(F)c1F ZINC001235435479 1130744222 /nfs/dbraw/zinc/74/42/22/1130744222.db2.gz YEAWEMFDIVZNEM-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO Cc1cn2c(cccc2-c2c(F)ccc(F)c2C)[nH+]1 ZINC001244981909 1130748058 /nfs/dbraw/zinc/74/80/58/1130748058.db2.gz ZAPRPTOOMUBBSS-UHFFFAOYSA-N 1 2 258.271 3.896 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)c1cc2ccccc2cc1F ZINC001133685711 1130755307 /nfs/dbraw/zinc/75/53/07/1130755307.db2.gz ALFSPWWAOQPVLJ-UHFFFAOYSA-N 1 2 294.329 3.665 20 0 CHADLO CCOC(=O)C[C@H]1CC[C@H](Nc2c[nH+]cc(C)c2C)CC1 ZINC001170702558 1130757485 /nfs/dbraw/zinc/75/74/85/1130757485.db2.gz SWTMZRZVZRVPEQ-SHTZXODSSA-N 1 2 290.407 3.622 20 0 CHADLO COc1ccc(C)cc1N[C@H](C)c1cn2c([nH+]1)CCCC2 ZINC000813329400 1130760174 /nfs/dbraw/zinc/76/01/74/1130760174.db2.gz RNERYXVEHOTWLY-CYBMUJFWSA-N 1 2 285.391 3.710 20 0 CHADLO COc1cc(F)ccc1N[C@H](C)c1cn2c([nH+]1)CCCC2 ZINC000813329058 1130760441 /nfs/dbraw/zinc/76/04/41/1130760441.db2.gz PATIJHDNPIMPKY-LLVKDONJSA-N 1 2 289.354 3.540 20 0 CHADLO C[C@@H](C1CC1)[N@@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000782193361 1130761477 /nfs/dbraw/zinc/76/14/77/1130761477.db2.gz LYUIVKYHNCTAGU-XHDPSFHLSA-N 1 2 299.336 3.877 20 0 CHADLO C[C@@H](C1CC1)[N@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000782193361 1130761481 /nfs/dbraw/zinc/76/14/81/1130761481.db2.gz LYUIVKYHNCTAGU-XHDPSFHLSA-N 1 2 299.336 3.877 20 0 CHADLO CCC[C@H]1CCCC[N@@H+]1Cc1nc2ccc(F)cc2[nH]1 ZINC001235698774 1130770277 /nfs/dbraw/zinc/77/02/77/1130770277.db2.gz YBPBVOUFSIOTFG-ZDUSSCGKSA-N 1 2 275.371 3.857 20 0 CHADLO CCC[C@H]1CCCC[N@H+]1Cc1nc2ccc(F)cc2[nH]1 ZINC001235698774 1130770280 /nfs/dbraw/zinc/77/02/80/1130770280.db2.gz YBPBVOUFSIOTFG-ZDUSSCGKSA-N 1 2 275.371 3.857 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC001235805561 1130782242 /nfs/dbraw/zinc/78/22/42/1130782242.db2.gz OFLSVGWBMRIXIZ-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CCc2ccc(Cl)cc2C1 ZINC001235805561 1130782245 /nfs/dbraw/zinc/78/22/45/1130782245.db2.gz OFLSVGWBMRIXIZ-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO c1cc(C[N@@H+]2CCc3ccc(-c4ccccc4)cc3C2)no1 ZINC001170712265 1130783946 /nfs/dbraw/zinc/78/39/46/1130783946.db2.gz QTIKOKNNKIGUOV-UHFFFAOYSA-N 1 2 290.366 3.900 20 0 CHADLO c1cc(C[N@H+]2CCc3ccc(-c4ccccc4)cc3C2)no1 ZINC001170712265 1130783950 /nfs/dbraw/zinc/78/39/50/1130783950.db2.gz QTIKOKNNKIGUOV-UHFFFAOYSA-N 1 2 290.366 3.900 20 0 CHADLO C[C@H]1[C@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)C[C@@H]1C ZINC000813868141 1130790424 /nfs/dbraw/zinc/79/04/24/1130790424.db2.gz SCVFBYWJRLRHBP-PQHDGLIZSA-N 1 2 288.435 3.757 20 0 CHADLO CCc1cnccc1[C@@H](C)[NH2+]Cc1ncc(C(C)C)o1 ZINC000783017240 1130794698 /nfs/dbraw/zinc/79/46/98/1130794698.db2.gz RGFRJEZCTOPZFX-GFCCVEGCSA-N 1 2 273.380 3.606 20 0 CHADLO Cc1c(-c2cc(O)cc(Cl)c2)ccc2[nH+]ccn21 ZINC001235908218 1130803915 /nfs/dbraw/zinc/80/39/15/1130803915.db2.gz BNCABGMSNBQZBN-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO Cc1cc(NC2CC2)nc(-c2ccc(OC(C)(C)C)cc2)[nH+]1 ZINC001235924760 1130806665 /nfs/dbraw/zinc/80/66/65/1130806665.db2.gz YGLBTEGYPMOJMM-UHFFFAOYSA-N 1 2 297.402 3.626 20 0 CHADLO Cc1cc(N(C)C)nc(-c2ccc(OC(C)(C)C)cc2)[nH+]1 ZINC001235926754 1130807845 /nfs/dbraw/zinc/80/78/45/1130807845.db2.gz PCCWSWADYLAVGZ-UHFFFAOYSA-N 1 2 285.391 3.695 20 0 CHADLO Cn1c[nH+]cc1/C=C/c1ccc(C(F)(F)F)cc1 ZINC001235978688 1130821369 /nfs/dbraw/zinc/82/13/69/1130821369.db2.gz ADKNPAROENIRLE-QPJJXVBHSA-N 1 2 252.239 3.609 20 0 CHADLO OCc1ccc(C(F)(F)F)cc1-c1ccc2[nH+]ccn2c1 ZINC001236057778 1130841449 /nfs/dbraw/zinc/84/14/49/1130841449.db2.gz KCNJLDXJFKHBAM-UHFFFAOYSA-N 1 2 292.260 3.512 20 0 CHADLO COc1cccc2c(N[C@@H](C)c3cccc(N)c3)cc[nH+]c12 ZINC001170734501 1130849752 /nfs/dbraw/zinc/84/97/52/1130849752.db2.gz DIZYYNROBPHORA-LBPRGKRZSA-N 1 2 293.370 3.999 20 0 CHADLO c1cn(Cc2ccc(-c3cccc(C4CC4)c3)nc2)c[nH+]1 ZINC001236084160 1130852711 /nfs/dbraw/zinc/85/27/11/1130852711.db2.gz QGRCCEKWZVBWIQ-UHFFFAOYSA-N 1 2 275.355 3.871 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1c(F)ccc(F)c1Cl ZINC001137999354 1130858411 /nfs/dbraw/zinc/85/84/11/1130858411.db2.gz DASSBRKHRWEPQW-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1c(F)ccc(F)c1Cl ZINC001137999354 1130858422 /nfs/dbraw/zinc/85/84/22/1130858422.db2.gz DASSBRKHRWEPQW-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CC(C)Oc1ncccc1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001236106482 1130866784 /nfs/dbraw/zinc/86/67/84/1130866784.db2.gz TZAYKFMGJNMIEM-UHFFFAOYSA-N 1 2 293.370 3.936 20 0 CHADLO CC1(C2CC2)CC[NH+](CC(=O)c2ccccc2F)CC1 ZINC001170746067 1130869762 /nfs/dbraw/zinc/86/97/62/1130869762.db2.gz XDHNQCZSGMJXCG-UHFFFAOYSA-N 1 2 275.367 3.521 20 0 CHADLO COc1cc(C)cc(F)c1-c1c[nH+]c(N(C)C)cc1C ZINC001236223189 1130897356 /nfs/dbraw/zinc/89/73/56/1130897356.db2.gz RWVOORCUPGYASQ-UHFFFAOYSA-N 1 2 274.339 3.579 20 0 CHADLO Cc1cccc([C@@H](C)OC(=O)[C@H](c2ccccc2)[NH+](C)C)c1 ZINC000784588450 1130911819 /nfs/dbraw/zinc/91/18/19/1130911819.db2.gz KKTPTYXVHNAICG-QAPCUYQASA-N 1 2 297.398 3.902 20 0 CHADLO Cc1cn2cc(-c3cnn(-c4ccccc4)c3C)ccc2[nH+]1 ZINC001236403425 1130929593 /nfs/dbraw/zinc/92/95/93/1130929593.db2.gz IBVKMRMPPLIJRJ-UHFFFAOYSA-N 1 2 288.354 3.804 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2cccc(Cl)c2)co1 ZINC001206081918 1130951572 /nfs/dbraw/zinc/95/15/72/1130951572.db2.gz VECOXPVKZZCJGI-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2cccc(Cl)c2)co1 ZINC001206081918 1130951577 /nfs/dbraw/zinc/95/15/77/1130951577.db2.gz VECOXPVKZZCJGI-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(Cl)c(C(F)(F)F)c2)C1 ZINC001236628500 1130985189 /nfs/dbraw/zinc/98/51/89/1130985189.db2.gz IMXCXHDYAFYFFD-QMMMGPOBSA-N 1 2 278.705 3.596 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(Cl)c(C(F)(F)F)c2)C1 ZINC001236628500 1130985191 /nfs/dbraw/zinc/98/51/91/1130985191.db2.gz IMXCXHDYAFYFFD-QMMMGPOBSA-N 1 2 278.705 3.596 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc2ccoc21 ZINC001236736563 1131003099 /nfs/dbraw/zinc/00/30/99/1131003099.db2.gz WEXHINUNHOYUCX-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc2ccoc21 ZINC001236736563 1131003102 /nfs/dbraw/zinc/00/31/02/1131003102.db2.gz WEXHINUNHOYUCX-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc2occc21 ZINC001236741117 1131003555 /nfs/dbraw/zinc/00/35/55/1131003555.db2.gz VXWMSEQKCPPTNK-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc2occc21 ZINC001236741117 1131003560 /nfs/dbraw/zinc/00/35/60/1131003560.db2.gz VXWMSEQKCPPTNK-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)cc2C)s1 ZINC000815616490 1131009870 /nfs/dbraw/zinc/00/98/70/1131009870.db2.gz PSCJCFBCYUVTKE-VHSXEESVSA-N 1 2 279.384 3.706 20 0 CHADLO COc1cc(C[NH+]2CC3(C2)CCCCC3)c(F)cc1F ZINC001236832997 1131018418 /nfs/dbraw/zinc/01/84/18/1131018418.db2.gz YMXKKFJZCJOEJN-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cccc(C)c1Cl ZINC001236859183 1131024783 /nfs/dbraw/zinc/02/47/83/1131024783.db2.gz REKKAPBGWPLHGS-CQSZACIVSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cccc(C)c1Cl ZINC001236859183 1131024789 /nfs/dbraw/zinc/02/47/89/1131024789.db2.gz REKKAPBGWPLHGS-CQSZACIVSA-N 1 2 265.784 3.592 20 0 CHADLO CC1C[NH+](Cc2cc3c(F)cc(F)cc3nc2Cl)C1 ZINC001236869173 1131025132 /nfs/dbraw/zinc/02/51/32/1131025132.db2.gz DWFLJKKBQMNVHA-UHFFFAOYSA-N 1 2 282.721 3.618 20 0 CHADLO CCCCCC(C)(C)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000798140983 1131026722 /nfs/dbraw/zinc/02/67/22/1131026722.db2.gz OAFPPKOYVGGGHM-UHFFFAOYSA-N 1 2 273.380 3.879 20 0 CHADLO C[C@@H](c1ncc(Br)cn1)[NH+]1CCCCCCC1 ZINC000798193004 1131027476 /nfs/dbraw/zinc/02/74/76/1131027476.db2.gz HCMHTESCKUZRFV-NSHDSACASA-N 1 2 298.228 3.566 20 0 CHADLO C/C(=C\C(=O)Nc1ccn2cc[nH+]c2c1)c1ccc(F)cc1 ZINC000798262819 1131032252 /nfs/dbraw/zinc/03/22/52/1131032252.db2.gz PMFRTSADMKCYPW-ZRDIBKRKSA-N 1 2 295.317 3.515 20 0 CHADLO CC[N@H+](Cc1ccc(-c2cccc(C)c2C)nc1)C1COC1 ZINC001236984060 1131032919 /nfs/dbraw/zinc/03/29/19/1131032919.db2.gz AIUVDJIJIIXBHR-UHFFFAOYSA-N 1 2 296.414 3.586 20 0 CHADLO CC[N@@H+](Cc1ccc(-c2cccc(C)c2C)nc1)C1COC1 ZINC001236984060 1131032922 /nfs/dbraw/zinc/03/29/22/1131032922.db2.gz AIUVDJIJIIXBHR-UHFFFAOYSA-N 1 2 296.414 3.586 20 0 CHADLO COC1(C)C[NH+](Cc2ccc(-c3cccc(C)c3C)nc2)C1 ZINC001236982729 1131033196 /nfs/dbraw/zinc/03/31/96/1131033196.db2.gz WOTQLLYQUVESTB-UHFFFAOYSA-N 1 2 296.414 3.586 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCC[C@@H]([C@H]3CCOC3)C2)cc1 ZINC000798933184 1131061353 /nfs/dbraw/zinc/06/13/53/1131061353.db2.gz NPIQIEWIRIKREV-HLLBOEOZSA-N 1 2 288.435 3.760 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCC[C@@H]([C@H]3CCOC3)C2)cc1 ZINC000798933184 1131061359 /nfs/dbraw/zinc/06/13/59/1131061359.db2.gz NPIQIEWIRIKREV-HLLBOEOZSA-N 1 2 288.435 3.760 20 0 CHADLO c1cc(C[N@@H+]2Cc3cccnc3C2)c2cccccc1-2 ZINC001237187387 1131065956 /nfs/dbraw/zinc/06/59/56/1131065956.db2.gz OJAUKSAEKVYIID-UHFFFAOYSA-N 1 2 260.340 3.702 20 0 CHADLO c1cc(C[N@H+]2Cc3cccnc3C2)c2cccccc1-2 ZINC001237187387 1131065960 /nfs/dbraw/zinc/06/59/60/1131065960.db2.gz OJAUKSAEKVYIID-UHFFFAOYSA-N 1 2 260.340 3.702 20 0 CHADLO CCc1cccc(C)c1C[N@@H+]1CCCC(F)(F)C1 ZINC001237332085 1131086835 /nfs/dbraw/zinc/08/68/35/1131086835.db2.gz FLBPYARKBVAQHH-UHFFFAOYSA-N 1 2 253.336 3.789 20 0 CHADLO CCc1cccc(C)c1C[N@H+]1CCCC(F)(F)C1 ZINC001237332085 1131086837 /nfs/dbraw/zinc/08/68/37/1131086837.db2.gz FLBPYARKBVAQHH-UHFFFAOYSA-N 1 2 253.336 3.789 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(N[C@H]2CCOC[C@H]2C)c1 ZINC001170833444 1131106964 /nfs/dbraw/zinc/10/69/64/1131106964.db2.gz WBLLCHPMVZUWOX-ZBFHGGJFSA-N 1 2 276.424 3.678 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237525033 1131110562 /nfs/dbraw/zinc/11/05/62/1131110562.db2.gz JMQKQZKRWXEKMZ-DTORHVGOSA-N 1 2 289.206 3.857 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237525033 1131110565 /nfs/dbraw/zinc/11/05/65/1131110565.db2.gz JMQKQZKRWXEKMZ-DTORHVGOSA-N 1 2 289.206 3.857 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000816563866 1131111719 /nfs/dbraw/zinc/11/17/19/1131111719.db2.gz LYUIODNCIZGWFJ-CQSZACIVSA-N 1 2 296.414 3.818 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000816563866 1131111721 /nfs/dbraw/zinc/11/17/21/1131111721.db2.gz LYUIODNCIZGWFJ-CQSZACIVSA-N 1 2 296.414 3.818 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)[NH2+]c1ccc2c(c1)CCCN2C ZINC000816563866 1131111723 /nfs/dbraw/zinc/11/17/23/1131111723.db2.gz LYUIODNCIZGWFJ-CQSZACIVSA-N 1 2 296.414 3.818 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cc2cc(Cl)nc(Cl)c2O)C1 ZINC001237540316 1131112599 /nfs/dbraw/zinc/11/25/99/1131112599.db2.gz WECHZMBTSUTNGQ-SECBINFHSA-N 1 2 289.206 3.716 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cc2cc(Cl)nc(Cl)c2O)C1 ZINC001237540316 1131112601 /nfs/dbraw/zinc/11/26/01/1131112601.db2.gz WECHZMBTSUTNGQ-SECBINFHSA-N 1 2 289.206 3.716 20 0 CHADLO C[N@H+](Cc1cncs1)Cc1cccc(-c2ccccc2)n1 ZINC001237552186 1131114331 /nfs/dbraw/zinc/11/43/31/1131114331.db2.gz NGYLUQUWVDECQF-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1cncs1)Cc1cccc(-c2ccccc2)n1 ZINC001237552186 1131114335 /nfs/dbraw/zinc/11/43/35/1131114335.db2.gz NGYLUQUWVDECQF-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Cc1ncc(C[NH+]2CC3(C2)CCCC3)cc1Br ZINC001237549459 1131114752 /nfs/dbraw/zinc/11/47/52/1131114752.db2.gz BFMJWDPXRBFJPJ-UHFFFAOYSA-N 1 2 295.224 3.529 20 0 CHADLO Clc1ncnc2ccc(C[NH+]3Cc4ccccc4C3)cc12 ZINC001237587166 1131117613 /nfs/dbraw/zinc/11/76/13/1131117613.db2.gz DIEJHFREBSKQCU-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO CO[C@H]1CCC[N@H+](Cc2c(Cl)cc(F)cc2Cl)C1 ZINC001237591633 1131117760 /nfs/dbraw/zinc/11/77/60/1131117760.db2.gz GKFGGJMLSNLUGK-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@H]1CCC[N@@H+](Cc2c(Cl)cc(F)cc2Cl)C1 ZINC001237591633 1131117763 /nfs/dbraw/zinc/11/77/63/1131117763.db2.gz GKFGGJMLSNLUGK-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO Cc1coc(C[N@H+](Cc2ccccn2)C2CCCCC2)n1 ZINC001237644163 1131123024 /nfs/dbraw/zinc/12/30/24/1131123024.db2.gz BAYJZPCVQSBUCV-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO Cc1coc(C[N@@H+](Cc2ccccn2)C2CCCCC2)n1 ZINC001237644163 1131123028 /nfs/dbraw/zinc/12/30/28/1131123028.db2.gz BAYJZPCVQSBUCV-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCCC[C@H](F)C2)c(F)c1 ZINC001237638467 1131124130 /nfs/dbraw/zinc/12/41/30/1131124130.db2.gz PBXZQUJAZVLOMJ-ZDUSSCGKSA-N 1 2 269.335 3.548 20 0 CHADLO CCOc1ccc(C[N@H+]2CCCC[C@H](F)C2)c(F)c1 ZINC001237638467 1131124132 /nfs/dbraw/zinc/12/41/32/1131124132.db2.gz PBXZQUJAZVLOMJ-ZDUSSCGKSA-N 1 2 269.335 3.548 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2CCc3ncsc3C2)c1 ZINC001237701967 1131129284 /nfs/dbraw/zinc/12/92/84/1131129284.db2.gz NTPJQUQPUNUSTF-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2CCc3ncsc3C2)c1 ZINC001237701967 1131129285 /nfs/dbraw/zinc/12/92/85/1131129285.db2.gz NTPJQUQPUNUSTF-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO CSCC[N@H+](C)Cc1c(F)cc(C(F)(F)F)cc1F ZINC001237713925 1131131788 /nfs/dbraw/zinc/13/17/88/1131131788.db2.gz YRSLIEPGVZICCY-UHFFFAOYSA-N 1 2 299.308 3.778 20 0 CHADLO CSCC[N@@H+](C)Cc1c(F)cc(C(F)(F)F)cc1F ZINC001237713925 1131131791 /nfs/dbraw/zinc/13/17/91/1131131791.db2.gz YRSLIEPGVZICCY-UHFFFAOYSA-N 1 2 299.308 3.778 20 0 CHADLO COc1ccc(C)c(C[N@@H+]2Cc3ccc(F)cc3C2)c1 ZINC001237713671 1131132100 /nfs/dbraw/zinc/13/21/00/1131132100.db2.gz NNOCAIUFDIPWFQ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(C)c(C[N@H+]2Cc3ccc(F)cc3C2)c1 ZINC001237713671 1131132107 /nfs/dbraw/zinc/13/21/07/1131132107.db2.gz NNOCAIUFDIPWFQ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1cccc(Cl)c1C ZINC001237736382 1131134853 /nfs/dbraw/zinc/13/48/53/1131134853.db2.gz STJJNEDZYGVYNO-UHFFFAOYSA-N 1 2 297.826 3.812 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1cccc(Cl)c1C ZINC001237736382 1131134855 /nfs/dbraw/zinc/13/48/55/1131134855.db2.gz STJJNEDZYGVYNO-UHFFFAOYSA-N 1 2 297.826 3.812 20 0 CHADLO CC[N@H+](Cc1cnn(C(C)(C)C)c1)Cc1ccccc1F ZINC001116437430 1131145405 /nfs/dbraw/zinc/14/54/05/1131145405.db2.gz MSFIEUSRLFCATG-UHFFFAOYSA-N 1 2 289.398 3.799 20 0 CHADLO CC[N@@H+](Cc1cnn(C(C)(C)C)c1)Cc1ccccc1F ZINC001116437430 1131145409 /nfs/dbraw/zinc/14/54/09/1131145409.db2.gz MSFIEUSRLFCATG-UHFFFAOYSA-N 1 2 289.398 3.799 20 0 CHADLO C[N@H+](Cc1c(F)cc(C(=O)OC(C)(C)C)cc1F)C1CC1 ZINC001237860350 1131145816 /nfs/dbraw/zinc/14/58/16/1131145816.db2.gz XLAURCGZRPJQQW-UHFFFAOYSA-N 1 2 297.345 3.514 20 0 CHADLO C[N@@H+](Cc1c(F)cc(C(=O)OC(C)(C)C)cc1F)C1CC1 ZINC001237860350 1131145820 /nfs/dbraw/zinc/14/58/20/1131145820.db2.gz XLAURCGZRPJQQW-UHFFFAOYSA-N 1 2 297.345 3.514 20 0 CHADLO COc1ccoc1C[N@H+](C)Cc1ccccc1C(F)(F)F ZINC001237873614 1131147532 /nfs/dbraw/zinc/14/75/32/1131147532.db2.gz GKUXMUIFEOQBDB-UHFFFAOYSA-N 1 2 299.292 3.939 20 0 CHADLO COc1ccoc1C[N@@H+](C)Cc1ccccc1C(F)(F)F ZINC001237873614 1131147536 /nfs/dbraw/zinc/14/75/36/1131147536.db2.gz GKUXMUIFEOQBDB-UHFFFAOYSA-N 1 2 299.292 3.939 20 0 CHADLO CCOC(=O)c1ccccc1C[N@H+](Cc1ccco1)C1CC1 ZINC001237889088 1131149270 /nfs/dbraw/zinc/14/92/70/1131149270.db2.gz FQVLPGTVGWKWQX-UHFFFAOYSA-N 1 2 299.370 3.621 20 0 CHADLO CCOC(=O)c1ccccc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001237889088 1131149272 /nfs/dbraw/zinc/14/92/72/1131149272.db2.gz FQVLPGTVGWKWQX-UHFFFAOYSA-N 1 2 299.370 3.621 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC001237904023 1131150672 /nfs/dbraw/zinc/15/06/72/1131150672.db2.gz UPGWVJIHWDKEDS-MRXNPFEDSA-N 1 2 283.362 3.939 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC001237904023 1131150674 /nfs/dbraw/zinc/15/06/74/1131150674.db2.gz UPGWVJIHWDKEDS-MRXNPFEDSA-N 1 2 283.362 3.939 20 0 CHADLO Fc1cccc(-c2nc(C[NH+]3CC4(CCC4)C3)cs2)c1 ZINC001237968165 1131156790 /nfs/dbraw/zinc/15/67/90/1131156790.db2.gz LASQTUYUOJCVTP-UHFFFAOYSA-N 1 2 288.391 3.935 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237990468 1131157842 /nfs/dbraw/zinc/15/78/42/1131157842.db2.gz UHLGQRPEHFXNEG-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237990468 1131157845 /nfs/dbraw/zinc/15/78/45/1131157845.db2.gz UHLGQRPEHFXNEG-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO Oc1c(F)cc(C[NH+]2CCC3(CC3)CC2)cc1Cl ZINC001237985389 1131158592 /nfs/dbraw/zinc/15/85/92/1131158592.db2.gz WPDYHRKPXVMHHN-UHFFFAOYSA-N 1 2 269.747 3.561 20 0 CHADLO C[C@H]1C[C@H](C)C[NH+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237998230 1131159980 /nfs/dbraw/zinc/15/99/80/1131159980.db2.gz SDDKJALWWJWXCW-UWVGGRQHSA-N 1 2 271.763 3.663 20 0 CHADLO Cc1cc(CNC(=O)/C(F)=C\C2CCCCC2)cc(C)[nH+]1 ZINC000817458153 1131162712 /nfs/dbraw/zinc/16/27/12/1131162712.db2.gz DOJFUPZCIGZWPF-MHWRWJLKSA-N 1 2 290.382 3.748 20 0 CHADLO CC[C@H]1CCC[N@H+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238150453 1131174551 /nfs/dbraw/zinc/17/45/51/1131174551.db2.gz VBWIGZYEOYPCSX-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCC[C@@H]3CCC[C@H]32)cc1Cl ZINC001238150030 1131174563 /nfs/dbraw/zinc/17/45/63/1131174563.db2.gz PPYGSVYCBZBDCN-IINYFYTJSA-N 1 2 283.774 3.949 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCC[C@@H]3CCC[C@H]32)cc1Cl ZINC001238150030 1131174565 /nfs/dbraw/zinc/17/45/65/1131174565.db2.gz PPYGSVYCBZBDCN-IINYFYTJSA-N 1 2 283.774 3.949 20 0 CHADLO C[C@H]1C[C@H](C)C[NH+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238150655 1131174752 /nfs/dbraw/zinc/17/47/52/1131174752.db2.gz WJETZBDSARLRNK-UWVGGRQHSA-N 1 2 271.763 3.663 20 0 CHADLO C[N@H+](Cc1cc(Cl)c(O)cc1F)CC(C)(C)C ZINC001238152760 1131176930 /nfs/dbraw/zinc/17/69/30/1131176930.db2.gz QWGRFPBAYAJYIM-UHFFFAOYSA-N 1 2 259.752 3.663 20 0 CHADLO C[N@H+](Cc1nc2ccccc2o1)Cc1cncc(C2CC2)c1 ZINC001238194198 1131179982 /nfs/dbraw/zinc/17/99/82/1131179982.db2.gz OISSVKPPLZASNV-UHFFFAOYSA-N 1 2 293.370 3.732 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2o1)Cc1cncc(C2CC2)c1 ZINC001238194198 1131179985 /nfs/dbraw/zinc/17/99/85/1131179985.db2.gz OISSVKPPLZASNV-UHFFFAOYSA-N 1 2 293.370 3.732 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@H]3CC[C@@H]2C3)c(F)c1C(F)(F)F ZINC001238249432 1131186964 /nfs/dbraw/zinc/18/69/64/1131186964.db2.gz JPQKTCXUHZUSIE-WCBMZHEXSA-N 1 2 291.263 3.968 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@H]3CC[C@@H]2C3)c(F)c1C(F)(F)F ZINC001238249432 1131186967 /nfs/dbraw/zinc/18/69/67/1131186967.db2.gz JPQKTCXUHZUSIE-WCBMZHEXSA-N 1 2 291.263 3.968 20 0 CHADLO CN(C)c1[nH+]cccc1/C=C\c1nc2ccccc2s1 ZINC001117392107 1131187819 /nfs/dbraw/zinc/18/78/19/1131187819.db2.gz NSVFBJRKJHPHQH-KTKRTIGZSA-N 1 2 281.384 3.928 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1CN1CCn2c[nH+]cc2C1 ZINC001238266235 1131188201 /nfs/dbraw/zinc/18/82/01/1131188201.db2.gz IAXWHPWEUJATEB-UHFFFAOYSA-N 1 2 296.201 3.514 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC001238318055 1131190423 /nfs/dbraw/zinc/19/04/23/1131190423.db2.gz IIYNSHXQKXRAFE-MRXNPFEDSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC001238318055 1131190426 /nfs/dbraw/zinc/19/04/26/1131190426.db2.gz IIYNSHXQKXRAFE-MRXNPFEDSA-N 1 2 283.362 3.937 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccccc2C)c(Cl)cn1 ZINC001238358749 1131192691 /nfs/dbraw/zinc/19/26/91/1131192691.db2.gz USPQDPHUDOUSPZ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccccc2C)c(Cl)cn1 ZINC001238358749 1131192693 /nfs/dbraw/zinc/19/26/93/1131192693.db2.gz USPQDPHUDOUSPZ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2cccnc2)c(Cl)c1 ZINC001238396127 1131195736 /nfs/dbraw/zinc/19/57/36/1131195736.db2.gz FAOMOGKXPYVYBE-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2cccnc2)c(Cl)c1 ZINC001238396127 1131195738 /nfs/dbraw/zinc/19/57/38/1131195738.db2.gz FAOMOGKXPYVYBE-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1cccc2ccoc21 ZINC001117752040 1131195994 /nfs/dbraw/zinc/19/59/94/1131195994.db2.gz VEDYZVLBYZGMFI-GFCCVEGCSA-N 1 2 251.276 3.710 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(F)c(C2CC2)c1 ZINC001238512401 1131210244 /nfs/dbraw/zinc/21/02/44/1131210244.db2.gz SDRGRKCXZLKZSK-KRWDZBQOSA-N 1 2 275.367 3.647 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(F)c(C2CC2)c1 ZINC001238512401 1131210247 /nfs/dbraw/zinc/21/02/47/1131210247.db2.gz SDRGRKCXZLKZSK-KRWDZBQOSA-N 1 2 275.367 3.647 20 0 CHADLO Cc1cc(Br)c(Cl)cc1C[NH+]1CC=CC1 ZINC001238573200 1131217998 /nfs/dbraw/zinc/21/79/98/1131217998.db2.gz USZHNTHLYDWLCG-UHFFFAOYSA-N 1 2 286.600 3.783 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cc(C)ccc1Cl ZINC001238568132 1131218050 /nfs/dbraw/zinc/21/80/50/1131218050.db2.gz CKWHGSHCQLHUDX-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cc(C)ccc1Cl ZINC001238568132 1131218055 /nfs/dbraw/zinc/21/80/55/1131218055.db2.gz CKWHGSHCQLHUDX-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)cnc1C1CC1 ZINC001238619770 1131222912 /nfs/dbraw/zinc/22/29/12/1131222912.db2.gz JCXGIDVRJRWZBW-ZBFHGGJFSA-N 1 2 280.362 3.539 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)cnc1C1CC1 ZINC001238619770 1131222916 /nfs/dbraw/zinc/22/29/16/1131222916.db2.gz JCXGIDVRJRWZBW-ZBFHGGJFSA-N 1 2 280.362 3.539 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)cnc1C1CC1 ZINC001238619768 1131223299 /nfs/dbraw/zinc/22/32/99/1131223299.db2.gz JCXGIDVRJRWZBW-HOCLYGCPSA-N 1 2 280.362 3.539 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)cnc1C1CC1 ZINC001238619768 1131223305 /nfs/dbraw/zinc/22/33/05/1131223305.db2.gz JCXGIDVRJRWZBW-HOCLYGCPSA-N 1 2 280.362 3.539 20 0 CHADLO COc1cc(C)ccc1C[N@@H+]1CCC[C@H]1c1cc(C)on1 ZINC000823404363 1131426431 /nfs/dbraw/zinc/42/64/31/1131426431.db2.gz PUXLSLYNOASXGN-INIZCTEOSA-N 1 2 286.375 3.637 20 0 CHADLO COc1cc(C)ccc1C[N@H+]1CCC[C@H]1c1cc(C)on1 ZINC000823404363 1131426432 /nfs/dbraw/zinc/42/64/32/1131426432.db2.gz PUXLSLYNOASXGN-INIZCTEOSA-N 1 2 286.375 3.637 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137876495 1131427838 /nfs/dbraw/zinc/42/78/38/1131427838.db2.gz PZCVZNQPFGXMFO-VIFPVBQESA-N 1 2 259.727 3.850 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137876495 1131427839 /nfs/dbraw/zinc/42/78/39/1131427839.db2.gz PZCVZNQPFGXMFO-VIFPVBQESA-N 1 2 259.727 3.850 20 0 CHADLO Fc1cc(F)c(F)c(C[NH+]2CC(c3ccccc3)C2)c1 ZINC001137873734 1131428033 /nfs/dbraw/zinc/42/80/33/1131428033.db2.gz RVIMBGJUEBMCCJ-UHFFFAOYSA-N 1 2 277.289 3.703 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)c(F)c2F)CCC1(F)F ZINC001137935853 1131439217 /nfs/dbraw/zinc/43/92/17/1131439217.db2.gz NBXRHGOKIYAIRC-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)c(F)c2F)CCC1(F)F ZINC001137935853 1131439218 /nfs/dbraw/zinc/43/92/18/1131439218.db2.gz NBXRHGOKIYAIRC-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO CC(C)Oc1cc(N[C@@H]2CCc3ccc(O)cc3C2)cc[nH+]1 ZINC001171029227 1131440696 /nfs/dbraw/zinc/44/06/96/1131440696.db2.gz NPPVUCODAQHJEX-OAHLLOKOSA-N 1 2 298.386 3.544 20 0 CHADLO Cc1cc(N[C@H]2CCc3ccc(O)cc3C2)ccc1[NH+](C)C ZINC001171038974 1131449281 /nfs/dbraw/zinc/44/92/81/1131449281.db2.gz DKJNDHSHNHZLQR-KRWDZBQOSA-N 1 2 296.414 3.736 20 0 CHADLO Cc1cc(N[C@H]2CCc3ccc(O)cc3C2)[nH+]c2cc[nH]c21 ZINC001171038523 1131450880 /nfs/dbraw/zinc/45/08/80/1131450880.db2.gz BGMBFULLTQGYDE-AWEZNQCLSA-N 1 2 293.370 3.546 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cc(C(F)(F)F)ccc1Cl ZINC001138081277 1131462341 /nfs/dbraw/zinc/46/23/41/1131462341.db2.gz KSUCMZDLKKYNOF-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(N)c1C ZINC001160310755 1131453527 /nfs/dbraw/zinc/45/35/27/1131453527.db2.gz ZFBLSEKKWPQSGZ-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cc(C(F)(F)F)ccc1Cl ZINC001138081277 1131462344 /nfs/dbraw/zinc/46/23/44/1131462344.db2.gz KSUCMZDLKKYNOF-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1ccnc(Cl)c1F ZINC000824281487 1131460703 /nfs/dbraw/zinc/46/07/03/1131460703.db2.gz GKLCEUVVZIIDED-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1ccnc(Cl)c1F ZINC000824281487 1131460705 /nfs/dbraw/zinc/46/07/05/1131460705.db2.gz GKLCEUVVZIIDED-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1cc2c(cccc2Cl)[nH]1 ZINC001136856236 1131463481 /nfs/dbraw/zinc/46/34/81/1131463481.db2.gz DYHHEYYOGICRNH-NXEZZACHSA-N 1 2 266.747 3.754 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1cc2c(cccc2Cl)[nH]1 ZINC001136856236 1131463484 /nfs/dbraw/zinc/46/34/84/1131463484.db2.gz DYHHEYYOGICRNH-NXEZZACHSA-N 1 2 266.747 3.754 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(N2CCCC2=O)cc1)C(F)F ZINC000824508162 1131473293 /nfs/dbraw/zinc/47/32/93/1131473293.db2.gz BBXHGYBVKVFRBC-FZMZJTMJSA-N 1 2 296.361 3.508 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2c(F)c(F)cc(F)c2F)CC1 ZINC001138229728 1131479862 /nfs/dbraw/zinc/47/98/62/1131479862.db2.gz RCBYBLSUKLNDOB-AWEZNQCLSA-N 1 2 293.279 3.957 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)CC1 ZINC001138229728 1131479864 /nfs/dbraw/zinc/47/98/64/1131479864.db2.gz RCBYBLSUKLNDOB-AWEZNQCLSA-N 1 2 293.279 3.957 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)cn2)cn1 ZINC001136931675 1131481821 /nfs/dbraw/zinc/48/18/21/1131481821.db2.gz OVMSHSSUBNYMLF-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)cn2)cn1 ZINC001136931675 1131481822 /nfs/dbraw/zinc/48/18/22/1131481822.db2.gz OVMSHSSUBNYMLF-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CC[C@@H](C2CCCCC2)C1 ZINC000825174418 1131500695 /nfs/dbraw/zinc/50/06/95/1131500695.db2.gz ITLSHZONWZMRPS-CQSZACIVSA-N 1 2 297.402 3.605 20 0 CHADLO Clc1cncc(C[N@@H+]2CCSc3ccccc3C2)c1 ZINC001136997533 1131501562 /nfs/dbraw/zinc/50/15/62/1131501562.db2.gz CFPMQRXZZJRQKV-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1cncc(C[N@H+]2CCSc3ccccc3C2)c1 ZINC001136997533 1131501565 /nfs/dbraw/zinc/50/15/65/1131501565.db2.gz CFPMQRXZZJRQKV-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1cncc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)c1 ZINC001136997721 1131502124 /nfs/dbraw/zinc/50/21/24/1131502124.db2.gz LJVATSFIRBUQSW-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cncc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)c1 ZINC001136997721 1131502128 /nfs/dbraw/zinc/50/21/28/1131502128.db2.gz LJVATSFIRBUQSW-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO CCC[C@@H]1CCCC[N@@H+]1Cc1nc(C(F)(F)F)c[nH]1 ZINC001137015891 1131505326 /nfs/dbraw/zinc/50/53/26/1131505326.db2.gz AWYUACAUECDTIG-SNVBAGLBSA-N 1 2 275.318 3.583 20 0 CHADLO CCC[C@@H]1CCCC[N@H+]1Cc1nc(C(F)(F)F)c[nH]1 ZINC001137015891 1131505330 /nfs/dbraw/zinc/50/53/30/1131505330.db2.gz AWYUACAUECDTIG-SNVBAGLBSA-N 1 2 275.318 3.583 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CCCC[C@@H](F)C1 ZINC001138480351 1131511647 /nfs/dbraw/zinc/51/16/47/1131511647.db2.gz PXPHAJCGTUCRFW-CYBMUJFWSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CCCC[C@@H](F)C1 ZINC001138480351 1131511650 /nfs/dbraw/zinc/51/16/50/1131511650.db2.gz PXPHAJCGTUCRFW-CYBMUJFWSA-N 1 2 271.763 3.673 20 0 CHADLO CC(C)CC[C@@H]1CCC[C@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001143641172 1131520313 /nfs/dbraw/zinc/52/03/13/1131520313.db2.gz IDJNETVJMWWSAO-DZGCQCFKSA-N 1 2 299.418 3.898 20 0 CHADLO CC[C@H]1COCC[N@@H+]1Cc1cc(C)ccc1C(F)(F)F ZINC001143681096 1131523106 /nfs/dbraw/zinc/52/31/06/1131523106.db2.gz RPSPLQYJSOWGGE-ZDUSSCGKSA-N 1 2 287.325 3.625 20 0 CHADLO CC[C@H]1COCC[N@H+]1Cc1cc(C)ccc1C(F)(F)F ZINC001143681096 1131523108 /nfs/dbraw/zinc/52/31/08/1131523108.db2.gz RPSPLQYJSOWGGE-ZDUSSCGKSA-N 1 2 287.325 3.625 20 0 CHADLO COc1ccc2c(C[N@@H+]3CCCCC(F)(F)C3)c[nH]c2c1 ZINC001138585923 1131523406 /nfs/dbraw/zinc/52/34/06/1131523406.db2.gz DFNYKDXVCZNMJA-UHFFFAOYSA-N 1 2 294.345 3.798 20 0 CHADLO COc1ccc2c(C[N@H+]3CCCCC(F)(F)C3)c[nH]c2c1 ZINC001138585923 1131523409 /nfs/dbraw/zinc/52/34/09/1131523409.db2.gz DFNYKDXVCZNMJA-UHFFFAOYSA-N 1 2 294.345 3.798 20 0 CHADLO FC(F)(F)Oc1ccc(Cl)cc1C[NH+]1CCCC1 ZINC001143700397 1131524589 /nfs/dbraw/zinc/52/45/89/1131524589.db2.gz IKTTYLNBLAZXGS-UHFFFAOYSA-N 1 2 279.689 3.834 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1cc(F)cc(F)c1C)CC2 ZINC001143763808 1131529447 /nfs/dbraw/zinc/52/94/47/1131529447.db2.gz DCKMEBAFCPUOAS-UHFFFAOYSA-N 1 2 294.370 3.596 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1cc(F)cc(F)c1C)CC2 ZINC001143763808 1131529449 /nfs/dbraw/zinc/52/94/49/1131529449.db2.gz DCKMEBAFCPUOAS-UHFFFAOYSA-N 1 2 294.370 3.596 20 0 CHADLO CCSc1ncc(C[N@@H+]2Cc3ccccc3C[C@H]2C)cn1 ZINC001138656713 1131532694 /nfs/dbraw/zinc/53/26/94/1131532694.db2.gz KVDLUSKVDOHTII-CYBMUJFWSA-N 1 2 299.443 3.535 20 0 CHADLO CCSc1ncc(C[N@H+]2Cc3ccccc3C[C@H]2C)cn1 ZINC001138656713 1131532696 /nfs/dbraw/zinc/53/26/96/1131532696.db2.gz KVDLUSKVDOHTII-CYBMUJFWSA-N 1 2 299.443 3.535 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1cccc3ccnn31)C2 ZINC001138807226 1131551240 /nfs/dbraw/zinc/55/12/40/1131551240.db2.gz AJKNMHKIUSJCQY-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1cccc3ccnn31)C2 ZINC001138807226 1131551241 /nfs/dbraw/zinc/55/12/41/1131551241.db2.gz AJKNMHKIUSJCQY-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@H]2c2ccccc2)c(F)c1F ZINC001143914900 1131554144 /nfs/dbraw/zinc/55/41/44/1131554144.db2.gz LQXFYDOUAKOHHW-AWEZNQCLSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@H]2c2ccccc2)c(F)c1F ZINC001143914900 1131554149 /nfs/dbraw/zinc/55/41/49/1131554149.db2.gz LQXFYDOUAKOHHW-AWEZNQCLSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(C[N@H+](Cc2ccco2)C2CC2)c(F)c1F ZINC001143915642 1131554330 /nfs/dbraw/zinc/55/43/30/1131554330.db2.gz MIWFAUNAFVHFFS-UHFFFAOYSA-N 1 2 293.313 3.731 20 0 CHADLO COc1ccc(C[N@@H+](Cc2ccco2)C2CC2)c(F)c1F ZINC001143915642 1131554332 /nfs/dbraw/zinc/55/43/32/1131554332.db2.gz MIWFAUNAFVHFFS-UHFFFAOYSA-N 1 2 293.313 3.731 20 0 CHADLO Cc1c(C[N@@H+]2CCCC(=O)[C@H](C)C2)cccc1C(F)(F)F ZINC001143921425 1131554680 /nfs/dbraw/zinc/55/46/80/1131554680.db2.gz BFTWDTMBVDSLGA-LLVKDONJSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1c(C[N@H+]2CCCC(=O)[C@H](C)C2)cccc1C(F)(F)F ZINC001143921425 1131554682 /nfs/dbraw/zinc/55/46/82/1131554682.db2.gz BFTWDTMBVDSLGA-LLVKDONJSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1c(C[N@@H+]2CCC[C@@H](F)C2)cccc1C(F)(F)F ZINC001143924003 1131555032 /nfs/dbraw/zinc/55/50/32/1131555032.db2.gz APWYTDOJJKUINO-GFCCVEGCSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1c(C[N@H+]2CCC[C@@H](F)C2)cccc1C(F)(F)F ZINC001143924003 1131555036 /nfs/dbraw/zinc/55/50/36/1131555036.db2.gz APWYTDOJJKUINO-GFCCVEGCSA-N 1 2 275.289 3.948 20 0 CHADLO Fc1ccc(Cl)c(C[NH+]2C3CCC2CC3)c1F ZINC001143956558 1131558803 /nfs/dbraw/zinc/55/88/03/1131558803.db2.gz CWRZYPIGARBLQG-UHFFFAOYSA-N 1 2 257.711 3.745 20 0 CHADLO CO[C@@H]1C[N@H+](Cc2ccc(-c3cccs3)s2)C[C@@H]1F ZINC001139016897 1131571937 /nfs/dbraw/zinc/57/19/37/1131571937.db2.gz FRNIIQDSCWNUIY-NWDGAFQWSA-N 1 2 297.420 3.645 20 0 CHADLO CO[C@@H]1C[N@@H+](Cc2ccc(-c3cccs3)s2)C[C@@H]1F ZINC001139016897 1131571941 /nfs/dbraw/zinc/57/19/41/1131571941.db2.gz FRNIIQDSCWNUIY-NWDGAFQWSA-N 1 2 297.420 3.645 20 0 CHADLO CCn1ccc2ccc(C[N@@H+]3CCC(F)(F)[C@H](F)C3)cc21 ZINC001139119484 1131583535 /nfs/dbraw/zinc/58/35/35/1131583535.db2.gz RJEVIUZYZQJBLM-OAHLLOKOSA-N 1 2 296.336 3.840 20 0 CHADLO CCn1ccc2ccc(C[N@H+]3CCC(F)(F)[C@H](F)C3)cc21 ZINC001139119484 1131583540 /nfs/dbraw/zinc/58/35/40/1131583540.db2.gz RJEVIUZYZQJBLM-OAHLLOKOSA-N 1 2 296.336 3.840 20 0 CHADLO CCn1ccc2c1cccc2C[N@H+](C)Cc1cscn1 ZINC001139159353 1131589176 /nfs/dbraw/zinc/58/91/76/1131589176.db2.gz WOFNGVLLIBMTMN-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO CCn1ccc2c1cccc2C[N@@H+](C)Cc1cscn1 ZINC001139159353 1131589177 /nfs/dbraw/zinc/58/91/77/1131589177.db2.gz WOFNGVLLIBMTMN-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccc3cccnc3c1)C2 ZINC001139168800 1131590044 /nfs/dbraw/zinc/59/00/44/1131590044.db2.gz XNZVGXDOYSLATK-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccc3cccnc3c1)C2 ZINC001139168800 1131590047 /nfs/dbraw/zinc/59/00/47/1131590047.db2.gz XNZVGXDOYSLATK-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1cc(Br)cc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c1 ZINC001139176989 1131591719 /nfs/dbraw/zinc/59/17/19/1131591719.db2.gz BSSWUGGFSFPGOC-MFKMUULPSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1cc(Br)cc(C[N@H+]2CCC[C@@H]3C[C@@H]32)c1 ZINC001139176989 1131591722 /nfs/dbraw/zinc/59/17/22/1131591722.db2.gz BSSWUGGFSFPGOC-MFKMUULPSA-N 1 2 284.172 3.573 20 0 CHADLO Cc1nn(C)cc1Nc1ccc(N(C)C2CCCCC2)[nH+]c1 ZINC001212613458 1131593300 /nfs/dbraw/zinc/59/33/00/1131593300.db2.gz VIDNMJWEZARFHE-UHFFFAOYSA-N 1 2 299.422 3.636 20 0 CHADLO CSC1CC[NH+](Cc2c(Cl)ccnc2Cl)CC1 ZINC001139478820 1131610413 /nfs/dbraw/zinc/61/04/13/1131610413.db2.gz FKLAVRZNCOSXML-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139477454 1131610812 /nfs/dbraw/zinc/61/08/12/1131610812.db2.gz ZOVNEIBMXDDMFN-VIFPVBQESA-N 1 2 259.180 3.620 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139477454 1131610815 /nfs/dbraw/zinc/61/08/15/1131610815.db2.gz ZOVNEIBMXDDMFN-VIFPVBQESA-N 1 2 259.180 3.620 20 0 CHADLO Fc1c(Br)cccc1C[N@@H+]1CCC[C@H]2C[C@H]21 ZINC001139535197 1131614525 /nfs/dbraw/zinc/61/45/25/1131614525.db2.gz KPLKOVZAIVLPHK-JOYOIKCWSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1c(Br)cccc1C[N@H+]1CCC[C@H]2C[C@H]21 ZINC001139535197 1131614527 /nfs/dbraw/zinc/61/45/27/1131614527.db2.gz KPLKOVZAIVLPHK-JOYOIKCWSA-N 1 2 284.172 3.573 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](C)Cc2cccc(F)c2)c1 ZINC001139590104 1131618391 /nfs/dbraw/zinc/61/83/91/1131618391.db2.gz XEBJFYJOFSQXJF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](C)Cc2cccc(F)c2)c1 ZINC001139590104 1131618393 /nfs/dbraw/zinc/61/83/93/1131618393.db2.gz XEBJFYJOFSQXJF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCOC[C@@H]2C(C)C)cc1Cl ZINC001139595886 1131619693 /nfs/dbraw/zinc/61/96/93/1131619693.db2.gz BGGCXAYYXCDWQF-OAHLLOKOSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@H+]2CCOC[C@@H]2C(C)C)cc1Cl ZINC001139595886 1131619695 /nfs/dbraw/zinc/61/96/95/1131619695.db2.gz BGGCXAYYXCDWQF-OAHLLOKOSA-N 1 2 297.826 3.596 20 0 CHADLO Oc1ccc(C[N@@H+]2CCCC[C@H](F)C2)c(C(F)(F)F)c1 ZINC001144382031 1131623776 /nfs/dbraw/zinc/62/37/76/1131623776.db2.gz SQEGMTRSUFBOGF-NSHDSACASA-N 1 2 291.288 3.735 20 0 CHADLO Oc1ccc(C[N@H+]2CCCC[C@H](F)C2)c(C(F)(F)F)c1 ZINC001144382031 1131623778 /nfs/dbraw/zinc/62/37/78/1131623778.db2.gz SQEGMTRSUFBOGF-NSHDSACASA-N 1 2 291.288 3.735 20 0 CHADLO C[C@H](CC(=O)O[C@H](C)c1ccc2c(c1)CCC2)n1cc[nH+]c1 ZINC000845285113 1131624384 /nfs/dbraw/zinc/62/43/84/1131624384.db2.gz GRLQYHKNDNFMIP-ZIAGYGMSSA-N 1 2 298.386 3.627 20 0 CHADLO CCCc1ccc(COC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000845281947 1131624649 /nfs/dbraw/zinc/62/46/49/1131624649.db2.gz ONEMSRPIFLBVKO-CQSZACIVSA-N 1 2 286.375 3.530 20 0 CHADLO CCCC[N@H+](CC(=O)OCC)Cc1ccccc1C(F)F ZINC001139800744 1131637921 /nfs/dbraw/zinc/63/79/21/1131637921.db2.gz XGEWHUTVZMZDLC-UHFFFAOYSA-N 1 2 299.361 3.789 20 0 CHADLO CCCC[N@@H+](CC(=O)OCC)Cc1ccccc1C(F)F ZINC001139800744 1131637923 /nfs/dbraw/zinc/63/79/23/1131637923.db2.gz XGEWHUTVZMZDLC-UHFFFAOYSA-N 1 2 299.361 3.789 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@@H]2OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845504591 1131638506 /nfs/dbraw/zinc/63/85/06/1131638506.db2.gz XRHPBQBBXHVZKE-FMKPAKJESA-N 1 2 298.386 3.934 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1cncc3ccccc31)C2 ZINC001139895430 1131648661 /nfs/dbraw/zinc/64/86/61/1131648661.db2.gz VVAYYUNUVRDWDJ-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1cncc3ccccc31)C2 ZINC001139895430 1131648663 /nfs/dbraw/zinc/64/86/63/1131648663.db2.gz VVAYYUNUVRDWDJ-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1ccc(F)c3ccccc13)C2 ZINC001139893891 1131648840 /nfs/dbraw/zinc/64/88/40/1131648840.db2.gz XFYNWYKSECRHOA-UHFFFAOYSA-N 1 2 296.345 3.834 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1ccc(F)c3ccccc13)C2 ZINC001139893891 1131648845 /nfs/dbraw/zinc/64/88/45/1131648845.db2.gz XFYNWYKSECRHOA-UHFFFAOYSA-N 1 2 296.345 3.834 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1ccc(C)nc1 ZINC001139934684 1131651357 /nfs/dbraw/zinc/65/13/57/1131651357.db2.gz WNIAJOSLOGAVGQ-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ccc(C)nc1 ZINC001139934684 1131651359 /nfs/dbraw/zinc/65/13/59/1131651359.db2.gz WNIAJOSLOGAVGQ-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1cnc(Cl)nc1 ZINC001139992247 1131654484 /nfs/dbraw/zinc/65/44/84/1131654484.db2.gz VVQVDAGKAASWCG-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1cnc(Cl)nc1 ZINC001139992247 1131654486 /nfs/dbraw/zinc/65/44/86/1131654486.db2.gz VVQVDAGKAASWCG-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2cnc(Cl)nc2)c1 ZINC001139990120 1131655030 /nfs/dbraw/zinc/65/50/30/1131655030.db2.gz IFHLAXJJACQMSD-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2cnc(Cl)nc2)c1 ZINC001139990120 1131655032 /nfs/dbraw/zinc/65/50/32/1131655032.db2.gz IFHLAXJJACQMSD-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Cc1cccc(CC[N@@H+](C)Cc2c(F)cc(O)cc2F)c1 ZINC001144554753 1131656357 /nfs/dbraw/zinc/65/63/57/1131656357.db2.gz GDWHUMCDSZUJAQ-UHFFFAOYSA-N 1 2 291.341 3.653 20 0 CHADLO Cc1cccc(CC[N@H+](C)Cc2c(F)cc(O)cc2F)c1 ZINC001144554753 1131656361 /nfs/dbraw/zinc/65/63/61/1131656361.db2.gz GDWHUMCDSZUJAQ-UHFFFAOYSA-N 1 2 291.341 3.653 20 0 CHADLO COc1c(Cl)cccc1C[N@@H+]1CCc2ccsc2C1 ZINC001140067478 1131662009 /nfs/dbraw/zinc/66/20/09/1131662009.db2.gz KKTFTMGKSALGRV-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1c(Cl)cccc1C[N@H+]1CCc2ccsc2C1 ZINC001140067478 1131662012 /nfs/dbraw/zinc/66/20/12/1131662012.db2.gz KKTFTMGKSALGRV-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc(-c2ccncc2)cc1 ZINC001140170969 1131671410 /nfs/dbraw/zinc/67/14/10/1131671410.db2.gz BQJDWPYHDWLPHW-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc(-c2ccncc2)cc1 ZINC001140170969 1131671411 /nfs/dbraw/zinc/67/14/11/1131671411.db2.gz BQJDWPYHDWLPHW-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Fc1ccc2c(c1Cl)[C@@H]([NH2+]Cc1cccnc1F)CC2 ZINC000845966009 1131676314 /nfs/dbraw/zinc/67/63/14/1131676314.db2.gz YNTFKOXBZUSXHA-LBPRGKRZSA-N 1 2 294.732 3.790 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+]Cc1c(F)ccc(O)c1F)CC2 ZINC000846055515 1131685250 /nfs/dbraw/zinc/68/52/50/1131685250.db2.gz MWCAMSJGDZJBRE-OAHLLOKOSA-N 1 2 289.325 3.756 20 0 CHADLO C[N@H+](Cc1cc(F)c(F)cc1Cl)C1CC(F)(F)C1 ZINC001144722355 1131694534 /nfs/dbraw/zinc/69/45/34/1131694534.db2.gz WDNSYEBITNWOPH-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO C[N@@H+](Cc1cc(F)c(F)cc1Cl)C1CC(F)(F)C1 ZINC001144722355 1131694539 /nfs/dbraw/zinc/69/45/39/1131694539.db2.gz WDNSYEBITNWOPH-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1cc(F)c(F)cc1Cl ZINC001144721937 1131694581 /nfs/dbraw/zinc/69/45/81/1131694581.db2.gz IKTZGHAMSUQHJP-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1cc(F)c(F)cc1Cl ZINC001144721937 1131694584 /nfs/dbraw/zinc/69/45/84/1131694584.db2.gz IKTZGHAMSUQHJP-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc3scnc3c2)C[C@H]1F ZINC001144733041 1131697086 /nfs/dbraw/zinc/69/70/86/1131697086.db2.gz UXHQFYGGDVKUEL-KGLIPLIRSA-N 1 2 282.359 3.568 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc3scnc3c2)C[C@H]1F ZINC001144733041 1131697089 /nfs/dbraw/zinc/69/70/89/1131697089.db2.gz UXHQFYGGDVKUEL-KGLIPLIRSA-N 1 2 282.359 3.568 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2cc(C)c(F)c(C)c2)co1 ZINC000834900446 1131726103 /nfs/dbraw/zinc/72/61/03/1131726103.db2.gz OTXQNJNQLBTJFR-GFCCVEGCSA-N 1 2 276.355 3.844 20 0 CHADLO COc1ccc([NH2+][C@H]2CCO[C@H](C(C)(C)C)C2)c(OC)c1 ZINC000846752188 1131731329 /nfs/dbraw/zinc/73/13/29/1131731329.db2.gz XOSDUXHBIKYTCA-LRDDRELGSA-N 1 2 293.407 3.709 20 0 CHADLO C/C(=C/c1ccco1)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000846797449 1131741474 /nfs/dbraw/zinc/74/14/74/1131741474.db2.gz UFRAFFKXKMPKSM-LUAWRHEFSA-N 1 2 270.332 3.642 20 0 CHADLO C[C@@H]1CC[C@@H](c2ccccc2)[N@H+](Cc2cnsn2)C1 ZINC000846898289 1131757215 /nfs/dbraw/zinc/75/72/15/1131757215.db2.gz DGLQDZXFODTIRZ-DOMZBBRYSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@@H]1CC[C@@H](c2ccccc2)[N@@H+](Cc2cnsn2)C1 ZINC000846898289 1131757220 /nfs/dbraw/zinc/75/72/20/1131757220.db2.gz DGLQDZXFODTIRZ-DOMZBBRYSA-N 1 2 273.405 3.511 20 0 CHADLO C[N@H+](Cc1cncs1)Cc1cc(F)cc(Cl)c1 ZINC001140542476 1131785535 /nfs/dbraw/zinc/78/55/35/1131785535.db2.gz LXJUWVWRANCYKN-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1cncs1)Cc1cc(F)cc(Cl)c1 ZINC001140542476 1131785539 /nfs/dbraw/zinc/78/55/39/1131785539.db2.gz LXJUWVWRANCYKN-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1cccc(F)c1Cl ZINC001140562804 1131795145 /nfs/dbraw/zinc/79/51/45/1131795145.db2.gz HULHQTDACSDAMV-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1cccc(F)c1Cl ZINC001140562804 1131795139 /nfs/dbraw/zinc/79/51/39/1131795139.db2.gz HULHQTDACSDAMV-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1cc(F)ccc1C)CC2 ZINC001140594648 1131818235 /nfs/dbraw/zinc/81/82/35/1131818235.db2.gz GKHZQUPDYYDSJA-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1cc(F)ccc1C)CC2 ZINC001140594648 1131818242 /nfs/dbraw/zinc/81/82/42/1131818242.db2.gz GKHZQUPDYYDSJA-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](c3cccnc3)C3CC3)cc2[nH+]1 ZINC001228329583 1131859520 /nfs/dbraw/zinc/85/95/20/1131859520.db2.gz OISLWCDBTDJOSV-KRWDZBQOSA-N 1 2 279.343 3.796 20 0 CHADLO CC[C@@H]1CC[C@H](C)N(C(=O)[C@@H](CC(C)C)n2cc[nH+]c2)C1 ZINC001140679650 1131860285 /nfs/dbraw/zinc/86/02/85/1131860285.db2.gz XLCBGGZNOOFTPX-ARFHVFGLSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](c3cccnc3)C3CC3)cc2[nH+]1 ZINC001228329584 1131860370 /nfs/dbraw/zinc/86/03/70/1131860370.db2.gz OISLWCDBTDJOSV-QGZVFWFLSA-N 1 2 279.343 3.796 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccccc2OCC(C)C)no1 ZINC000282712964 1131880439 /nfs/dbraw/zinc/88/04/39/1131880439.db2.gz LOMJWIMMXNIFAA-CQSZACIVSA-N 1 2 288.391 3.869 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1c(Cl)ncc2ccccc21 ZINC001140721037 1131894747 /nfs/dbraw/zinc/89/47/47/1131894747.db2.gz GRHNWYSOEHHUKB-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1c(Cl)ncc2ccccc21 ZINC001140721037 1131894755 /nfs/dbraw/zinc/89/47/55/1131894755.db2.gz GRHNWYSOEHHUKB-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1c(Cl)ncc2ccccc21 ZINC001140720980 1131894783 /nfs/dbraw/zinc/89/47/83/1131894783.db2.gz DWSBAVXPCRHUAK-PWSUYJOCSA-N 1 2 278.758 3.821 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1c(Cl)ncc2ccccc21 ZINC001140720980 1131894788 /nfs/dbraw/zinc/89/47/88/1131894788.db2.gz DWSBAVXPCRHUAK-PWSUYJOCSA-N 1 2 278.758 3.821 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2cccc(OC(F)F)c2)no1 ZINC000282790240 1131899958 /nfs/dbraw/zinc/89/99/58/1131899958.db2.gz QDVWPGBYPDLRKE-GHMZBOCLSA-N 1 2 296.317 3.996 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1coc2ccccc12 ZINC001140785153 1131917290 /nfs/dbraw/zinc/91/72/90/1131917290.db2.gz QKONIZXREWQZEK-UHFFFAOYSA-N 1 2 279.339 3.748 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1coc2ccccc12 ZINC001140785153 1131917297 /nfs/dbraw/zinc/91/72/97/1131917297.db2.gz QKONIZXREWQZEK-UHFFFAOYSA-N 1 2 279.339 3.748 20 0 CHADLO Cc1nc(NCc2cccc3sccc32)cc[nH+]1 ZINC001171377251 1131931870 /nfs/dbraw/zinc/93/18/70/1131931870.db2.gz KPBBYNQSVAWQHA-UHFFFAOYSA-N 1 2 255.346 3.612 20 0 CHADLO Cc1cc(C[NH+]2CCC(c3cccc(Cl)c3)CC2)n[nH]1 ZINC001203069684 1131938824 /nfs/dbraw/zinc/93/88/24/1131938824.db2.gz AUTDRGQLCGEGHO-UHFFFAOYSA-N 1 2 289.810 3.751 20 0 CHADLO C[C@H]([NH2+]Cc1scnc1Cl)c1c(F)cccc1F ZINC000713436285 1131962304 /nfs/dbraw/zinc/96/23/04/1131962304.db2.gz NICVALWTBKIRHG-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@@H]([NH2+]Cc1scnc1Cl)c1c(F)cccc1F ZINC000713436282 1131962809 /nfs/dbraw/zinc/96/28/09/1131962809.db2.gz NICVALWTBKIRHG-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)c(O)c(F)c2)Cc2ccccc21 ZINC001140987720 1131979590 /nfs/dbraw/zinc/97/95/90/1131979590.db2.gz AUGHBVOSZDVTNN-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(F)c(O)c(F)c2)Cc2ccccc21 ZINC001140987720 1131979597 /nfs/dbraw/zinc/97/95/97/1131979597.db2.gz AUGHBVOSZDVTNN-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO CC[N@H+](Cc1ncco1)Cc1cccc(C(F)(F)F)c1 ZINC001141010098 1131982711 /nfs/dbraw/zinc/98/27/11/1131982711.db2.gz DGHHNBOCXOKFJA-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO CC[N@@H+](Cc1ncco1)Cc1cccc(C(F)(F)F)c1 ZINC001141010098 1131982717 /nfs/dbraw/zinc/98/27/17/1131982717.db2.gz DGHHNBOCXOKFJA-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO Cc1ccc2c(c1)CCN2C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000836632112 1131983505 /nfs/dbraw/zinc/98/35/05/1131983505.db2.gz JCEUETMTVIUAPJ-UHFFFAOYSA-N 1 2 295.386 3.910 20 0 CHADLO CCCCc1[nH]c(CN2CCCC[C@@H]2C(F)(F)F)c[nH+]1 ZINC001141069730 1132003624 /nfs/dbraw/zinc/00/36/24/1132003624.db2.gz BANONDQGSXHESE-GFCCVEGCSA-N 1 2 289.345 3.669 20 0 CHADLO Cc1cc(C)c(CNC(=O)CCCCCC(C)C)c[nH+]1 ZINC000837103190 1132036855 /nfs/dbraw/zinc/03/68/55/1132036855.db2.gz BCOJELBUTBFTQH-UHFFFAOYSA-N 1 2 276.424 3.921 20 0 CHADLO CC/C(=C/C(=O)NCc1c[nH+]c(C)cc1C)c1ccccc1 ZINC000837104247 1132038266 /nfs/dbraw/zinc/03/82/66/1132038266.db2.gz UHJKAPHYXZYLDK-WJDWOHSUSA-N 1 2 294.398 3.808 20 0 CHADLO CC(=O)CC(C)(C)Nc1nc(C(C)(C)C)[nH+]c(C)c1C ZINC001171497620 1132040066 /nfs/dbraw/zinc/04/00/66/1132040066.db2.gz ULLUQPPNIGSMNP-UHFFFAOYSA-N 1 2 277.412 3.561 20 0 CHADLO C[N@H+](Cc1ccno1)Cc1cccc(-c2ccc(F)cc2)n1 ZINC001141209652 1132043636 /nfs/dbraw/zinc/04/36/36/1132043636.db2.gz GGZBHQLFFPMLSL-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1ccno1)Cc1cccc(-c2ccc(F)cc2)n1 ZINC001141209652 1132043640 /nfs/dbraw/zinc/04/36/40/1132043640.db2.gz GGZBHQLFFPMLSL-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO CCc1ccccc1C[N@@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001141224472 1132048825 /nfs/dbraw/zinc/04/88/25/1132048825.db2.gz XOSNVNQBTIHGBG-GFCCVEGCSA-N 1 2 253.336 3.726 20 0 CHADLO CCc1ccccc1C[N@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001141224472 1132048830 /nfs/dbraw/zinc/04/88/30/1132048830.db2.gz XOSNVNQBTIHGBG-GFCCVEGCSA-N 1 2 253.336 3.726 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001141230339 1132052767 /nfs/dbraw/zinc/05/27/67/1132052767.db2.gz QKSBYLSDHJRLLA-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001141230339 1132052774 /nfs/dbraw/zinc/05/27/74/1132052774.db2.gz QKSBYLSDHJRLLA-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C ZINC001141233623 1132053963 /nfs/dbraw/zinc/05/39/63/1132053963.db2.gz RPDQCVIASLENTC-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C ZINC001141233623 1132053965 /nfs/dbraw/zinc/05/39/65/1132053965.db2.gz RPDQCVIASLENTC-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO COc1cc(F)c(C[N@@H+]2CCCC[C@@](C)(F)C2)cc1OC ZINC001141274937 1132067725 /nfs/dbraw/zinc/06/77/25/1132067725.db2.gz BDIXVELALXVSAA-MRXNPFEDSA-N 1 2 299.361 3.557 20 0 CHADLO COc1cc(F)c(C[N@H+]2CCCC[C@@](C)(F)C2)cc1OC ZINC001141274937 1132067730 /nfs/dbraw/zinc/06/77/30/1132067730.db2.gz BDIXVELALXVSAA-MRXNPFEDSA-N 1 2 299.361 3.557 20 0 CHADLO COc1cc(Cl)ncc1C[N@H+](C)Cc1ccc(F)cc1 ZINC001141332239 1132089649 /nfs/dbraw/zinc/08/96/49/1132089649.db2.gz PLLWRGZWAQNVQS-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(Cl)ncc1C[N@@H+](C)Cc1ccc(F)cc1 ZINC001141332239 1132089656 /nfs/dbraw/zinc/08/96/56/1132089656.db2.gz PLLWRGZWAQNVQS-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1cc(S)ccc1OC1CCC([NH+](C)C)CC1 ZINC001229635016 1132099173 /nfs/dbraw/zinc/09/91/73/1132099173.db2.gz QKHCEJIAXQICIZ-UHFFFAOYSA-N 1 2 265.422 3.535 20 0 CHADLO CCCOc1ccc(-c2c[nH+]c3c(c2)CCCN3)c(F)c1 ZINC001206149352 1132108573 /nfs/dbraw/zinc/10/85/73/1132108573.db2.gz JSGGMXWLZMTKBN-UHFFFAOYSA-N 1 2 286.350 3.677 20 0 CHADLO FC1(F)CCC(Oc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001229949502 1132135899 /nfs/dbraw/zinc/13/58/99/1132135899.db2.gz CJSJTBMWXIKIIM-UHFFFAOYSA-N 1 2 278.302 3.829 20 0 CHADLO CCCCOC1C[NH+](Cc2ccc3nc(C)ccc3c2)C1 ZINC001141480538 1132139582 /nfs/dbraw/zinc/13/95/82/1132139582.db2.gz IAOYIKQCJXZYGJ-UHFFFAOYSA-N 1 2 284.403 3.544 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1ccc(Br)cc1F ZINC000429292711 1132150611 /nfs/dbraw/zinc/15/06/11/1132150611.db2.gz IIAVSDUNHWRQJP-NSHDSACASA-N 1 2 272.161 3.573 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1ccc(Br)cc1F ZINC000429292711 1132150613 /nfs/dbraw/zinc/15/06/13/1132150613.db2.gz IIAVSDUNHWRQJP-NSHDSACASA-N 1 2 272.161 3.573 20 0 CHADLO C[N@H+](Cc1c(Cl)cccc1Cl)[C@@H]1COC(C)(C)C1 ZINC001172287325 1132163180 /nfs/dbraw/zinc/16/31/80/1132163180.db2.gz UFAPEQVLKQIHGX-JTQLQIEISA-N 1 2 288.218 3.993 20 0 CHADLO C[N@@H+](Cc1c(Cl)cccc1Cl)[C@@H]1COC(C)(C)C1 ZINC001172287325 1132163183 /nfs/dbraw/zinc/16/31/83/1132163183.db2.gz UFAPEQVLKQIHGX-JTQLQIEISA-N 1 2 288.218 3.993 20 0 CHADLO C[C@@H](CCc1ccccc1)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920262 1132227509 /nfs/dbraw/zinc/22/75/09/1132227509.db2.gz KUHQKABIUYABGI-AWEZNQCLSA-N 1 2 295.382 3.767 20 0 CHADLO Cc1c(Cl)cc(NC(=O)[C@@H](C)n2cc[nH+]c2)cc1Cl ZINC001141709143 1132237135 /nfs/dbraw/zinc/23/71/35/1132237135.db2.gz AKBYEPZKTFIVJG-SECBINFHSA-N 1 2 298.173 3.698 20 0 CHADLO CC(C)OC(=O)[C@H](C)[NH2+][C@@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172531839 1132245953 /nfs/dbraw/zinc/24/59/53/1132245953.db2.gz BHIFMFOKQZPFPB-USXIJHARSA-N 1 2 289.419 3.643 20 0 CHADLO c1c[nH+]c(-c2ccc(O[C@H]3CCCc4cccnc43)cc2)[nH]1 ZINC001231083663 1132252657 /nfs/dbraw/zinc/25/26/57/1132252657.db2.gz MZIHNCXDRJIPCC-INIZCTEOSA-N 1 2 291.354 3.928 20 0 CHADLO c1c[nH+]c(-c2ccc(O[C@@H]3CCCc4cccnc43)cc2)[nH]1 ZINC001231083664 1132253915 /nfs/dbraw/zinc/25/39/15/1132253915.db2.gz MZIHNCXDRJIPCC-MRXNPFEDSA-N 1 2 291.354 3.928 20 0 CHADLO CC(C)C[C@@H](C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231078798 1132254022 /nfs/dbraw/zinc/25/40/22/1132254022.db2.gz FAYPPDXJGDAOJN-CYBMUJFWSA-N 1 2 258.365 3.901 20 0 CHADLO C[C@H](CCc1c(F)cc(Cl)cc1F)[NH2+][C@@H]1CC1(F)F ZINC001172576426 1132273892 /nfs/dbraw/zinc/27/38/92/1132273892.db2.gz NAOIMJFHKPOFFG-JMCQJSRRSA-N 1 2 295.707 3.937 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+][C@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172537272 1132274522 /nfs/dbraw/zinc/27/45/22/1132274522.db2.gz JXHXNFPCIGVFDT-CVEARBPZSA-N 1 2 289.419 3.644 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)[C@H]1C[C@H]1C ZINC000840741640 1132279903 /nfs/dbraw/zinc/27/99/03/1132279903.db2.gz FOHZVWGLEHBPNH-BODMPHMZSA-N 1 2 288.435 3.758 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2CCCC[C@H](F)C2)c1F ZINC001231476294 1132295370 /nfs/dbraw/zinc/29/53/70/1132295370.db2.gz OSGBAUSFZDTYRA-LBPRGKRZSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2CCCC[C@H](F)C2)c1F ZINC001231476294 1132295376 /nfs/dbraw/zinc/29/53/76/1132295376.db2.gz OSGBAUSFZDTYRA-LBPRGKRZSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1nc2c(s1)C[N@@H+]([C@H]1Cc3ccc(Cl)cc3C1)C2 ZINC001172726471 1132299495 /nfs/dbraw/zinc/29/94/95/1132299495.db2.gz WQJNFMVNLLJONI-ZDUSSCGKSA-N 1 2 290.819 3.588 20 0 CHADLO Cc1nc2c(s1)C[N@H+]([C@H]1Cc3ccc(Cl)cc3C1)C2 ZINC001172726471 1132299504 /nfs/dbraw/zinc/29/95/04/1132299504.db2.gz WQJNFMVNLLJONI-ZDUSSCGKSA-N 1 2 290.819 3.588 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(C(F)(F)F)c(C)c2)co1 ZINC000840951604 1132311994 /nfs/dbraw/zinc/31/19/94/1132311994.db2.gz ZFAMZCFLGSIYQC-UHFFFAOYSA-N 1 2 298.308 3.854 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1c[nH]c3cnccc13)C2 ZINC001231520421 1132313623 /nfs/dbraw/zinc/31/36/23/1132313623.db2.gz WKLJUBYTAQPILX-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1c[nH]c3cnccc13)C2 ZINC001231520421 1132313631 /nfs/dbraw/zinc/31/36/31/1132313631.db2.gz WKLJUBYTAQPILX-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO CC(C)(C)[C@H]1CCC[C@@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001142064842 1132315652 /nfs/dbraw/zinc/31/56/52/1132315652.db2.gz BCKXRINUJBUNAW-JSGCOSHPSA-N 1 2 285.391 3.508 20 0 CHADLO Cc1ccc2c(c1)C[C@H]([N@@H+]1CCCC(F)(F)C1)CC2 ZINC001172828535 1132322688 /nfs/dbraw/zinc/32/26/88/1132322688.db2.gz VASDREBFJVGHLN-OAHLLOKOSA-N 1 2 265.347 3.583 20 0 CHADLO Cc1ccc2c(c1)C[C@H]([N@H+]1CCCC(F)(F)C1)CC2 ZINC001172828535 1132322692 /nfs/dbraw/zinc/32/26/92/1132322692.db2.gz VASDREBFJVGHLN-OAHLLOKOSA-N 1 2 265.347 3.583 20 0 CHADLO Cc1ccc2c(c1)C[C@H]([NH2+]CC(F)(F)C(F)(F)F)CC2 ZINC001172825954 1132321891 /nfs/dbraw/zinc/32/18/91/1132321891.db2.gz LXQVULOOCSVWIR-GFCCVEGCSA-N 1 2 293.279 3.640 20 0 CHADLO Cc1ccc2c(c1)C[C@@H]([N@@H+]1CC[C@@H](CF)C(F)(F)C1)CC2 ZINC001172826711 1132324346 /nfs/dbraw/zinc/32/43/46/1132324346.db2.gz FJHAXMJONXBDSA-HOTGVXAUSA-N 1 2 297.364 3.779 20 0 CHADLO Cc1ccc2c(c1)C[C@@H]([N@H+]1CC[C@@H](CF)C(F)(F)C1)CC2 ZINC001172826711 1132324353 /nfs/dbraw/zinc/32/43/53/1132324353.db2.gz FJHAXMJONXBDSA-HOTGVXAUSA-N 1 2 297.364 3.779 20 0 CHADLO Oc1cc(Cl)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c(Cl)c1 ZINC001142118502 1132328566 /nfs/dbraw/zinc/32/85/66/1132328566.db2.gz NVMSHLILXKDTDL-UPFKWVMWSA-N 1 2 290.165 3.631 20 0 CHADLO Oc1cc(Cl)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c(Cl)c1 ZINC001142118502 1132328572 /nfs/dbraw/zinc/32/85/72/1132328572.db2.gz NVMSHLILXKDTDL-UPFKWVMWSA-N 1 2 290.165 3.631 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCC(=O)[C@@H](C)CC1 ZINC001172846197 1132336292 /nfs/dbraw/zinc/33/62/92/1132336292.db2.gz CAVLAPNCQAXZJX-NWDGAFQWSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCC(=O)[C@@H](C)CC1 ZINC001172846197 1132336298 /nfs/dbraw/zinc/33/62/98/1132336298.db2.gz CAVLAPNCQAXZJX-NWDGAFQWSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCC[C@@H](F)C1 ZINC001172853146 1132339182 /nfs/dbraw/zinc/33/91/82/1132339182.db2.gz JAJRMARUCRVQGQ-WDEREUQCSA-N 1 2 273.754 3.844 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCC[C@@H](F)C1 ZINC001172853146 1132339187 /nfs/dbraw/zinc/33/91/87/1132339187.db2.gz JAJRMARUCRVQGQ-WDEREUQCSA-N 1 2 273.754 3.844 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCC[C@H](F)C1 ZINC001172853143 1132339523 /nfs/dbraw/zinc/33/95/23/1132339523.db2.gz JAJRMARUCRVQGQ-MNOVXSKESA-N 1 2 273.754 3.844 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCC[C@H](F)C1 ZINC001172853143 1132339515 /nfs/dbraw/zinc/33/95/15/1132339515.db2.gz JAJRMARUCRVQGQ-MNOVXSKESA-N 1 2 273.754 3.844 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC001172857131 1132341777 /nfs/dbraw/zinc/34/17/77/1132341777.db2.gz MYVPFUQUTJCUBF-WOPDTQHZSA-N 1 2 285.790 3.519 20 0 CHADLO C[C@H](Cc1ccc(F)cc1Cl)[NH+]1CC2(C1)CCCCO2 ZINC001172862351 1132345212 /nfs/dbraw/zinc/34/52/12/1132345212.db2.gz BEAHJRYXYBCHQL-GFCCVEGCSA-N 1 2 297.801 3.665 20 0 CHADLO CC(C)Oc1ccc(C[NH+]2CC(C(F)F)C2)cc1Cl ZINC001231713826 1132346424 /nfs/dbraw/zinc/34/64/24/1132346424.db2.gz GUIWIAPZMUSRJB-UHFFFAOYSA-N 1 2 289.753 3.824 20 0 CHADLO Oc1cc(C[N@@H+]2CC[C@@H]2c2ccccc2)ccc1Cl ZINC001231737645 1132350091 /nfs/dbraw/zinc/35/00/91/1132350091.db2.gz VDVCGEWRWMCIAC-OAHLLOKOSA-N 1 2 273.763 3.993 20 0 CHADLO Oc1cc(C[N@H+]2CC[C@@H]2c2ccccc2)ccc1Cl ZINC001231737645 1132350100 /nfs/dbraw/zinc/35/01/00/1132350100.db2.gz VDVCGEWRWMCIAC-OAHLLOKOSA-N 1 2 273.763 3.993 20 0 CHADLO c1cn(Cc2ccc(Nc3cnc4occc4c3)cc2)c[nH+]1 ZINC001212695484 1132374307 /nfs/dbraw/zinc/37/43/07/1132374307.db2.gz KEOVEORDHMAGFI-UHFFFAOYSA-N 1 2 290.326 3.816 20 0 CHADLO Cc1cc(F)ncc1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC001231983981 1132404751 /nfs/dbraw/zinc/40/47/51/1132404751.db2.gz HPXAPLGTNOLHSQ-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cc(F)ncc1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC001231983981 1132404756 /nfs/dbraw/zinc/40/47/56/1132404756.db2.gz HPXAPLGTNOLHSQ-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cccc3c[nH]nc31)C2 ZINC001231974241 1132405361 /nfs/dbraw/zinc/40/53/61/1132405361.db2.gz YNVWAZNUUXUMAT-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cccc3c[nH]nc31)C2 ZINC001231974241 1132405363 /nfs/dbraw/zinc/40/53/63/1132405363.db2.gz YNVWAZNUUXUMAT-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Fc1c(C[N@@H+]2CCC23CCCC3)ccnc1Br ZINC001232027078 1132412816 /nfs/dbraw/zinc/41/28/16/1132412816.db2.gz DPZUHROIMVRFBU-UHFFFAOYSA-N 1 2 299.187 3.502 20 0 CHADLO Fc1c(C[N@H+]2CCC23CCCC3)ccnc1Br ZINC001232027078 1132412818 /nfs/dbraw/zinc/41/28/18/1132412818.db2.gz DPZUHROIMVRFBU-UHFFFAOYSA-N 1 2 299.187 3.502 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1c(F)cc(F)cc1Cl ZINC001232096781 1132422093 /nfs/dbraw/zinc/42/20/93/1132422093.db2.gz GWIXDNOENQRPOO-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1c(F)cc(F)cc1Cl ZINC001232096781 1132422097 /nfs/dbraw/zinc/42/20/97/1132422097.db2.gz GWIXDNOENQRPOO-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO FCC1CC[NH+](Cc2c(F)cc(F)cc2Cl)CC1 ZINC001232101075 1132422871 /nfs/dbraw/zinc/42/28/71/1132422871.db2.gz CVYUEGQJJBALMG-UHFFFAOYSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nc(Cl)ccc2F)CCC1(F)F ZINC001232156033 1132429528 /nfs/dbraw/zinc/42/95/28/1132429528.db2.gz WBZSBANHAPYUNJ-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nc(Cl)ccc2F)CCC1(F)F ZINC001232156033 1132429531 /nfs/dbraw/zinc/42/95/31/1132429531.db2.gz WBZSBANHAPYUNJ-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO CSc1ccc(C[N@@H+]2Cc3cccc(C)c3C2)cn1 ZINC001232324740 1132453791 /nfs/dbraw/zinc/45/37/91/1132453791.db2.gz POKBZOKPVUOKTI-UHFFFAOYSA-N 1 2 270.401 3.628 20 0 CHADLO CSc1ccc(C[N@H+]2Cc3cccc(C)c3C2)cn1 ZINC001232324740 1132453794 /nfs/dbraw/zinc/45/37/94/1132453794.db2.gz POKBZOKPVUOKTI-UHFFFAOYSA-N 1 2 270.401 3.628 20 0 CHADLO CSc1ccc(C[N@@H+]2CCc3c(F)cccc3C2)cn1 ZINC001232332974 1132455599 /nfs/dbraw/zinc/45/55/99/1132455599.db2.gz NDVNCEWZDSROPF-UHFFFAOYSA-N 1 2 288.391 3.501 20 0 CHADLO CSc1ccc(C[N@H+]2CCc3c(F)cccc3C2)cn1 ZINC001232332974 1132455603 /nfs/dbraw/zinc/45/56/03/1132455603.db2.gz NDVNCEWZDSROPF-UHFFFAOYSA-N 1 2 288.391 3.501 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3coc(C4CC4)n3)CCC2)c1 ZINC000842743247 1132456445 /nfs/dbraw/zinc/45/64/45/1132456445.db2.gz BDPKRWIEORNPRK-UHFFFAOYSA-N 1 2 286.350 3.860 20 0 CHADLO COc1ccc(OC(C)C)c(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c1 ZINC001232387594 1132462366 /nfs/dbraw/zinc/46/23/66/1132462366.db2.gz PWTLDXVNYMLWEG-CZUORRHYSA-N 1 2 295.398 3.662 20 0 CHADLO COc1ccc(OC(C)C)c(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c1 ZINC001232387594 1132462369 /nfs/dbraw/zinc/46/23/69/1132462369.db2.gz PWTLDXVNYMLWEG-CZUORRHYSA-N 1 2 295.398 3.662 20 0 CHADLO CCC[C@H](CC)NC(=O)c1c[nH+]ccc1Nc1ccccc1 ZINC001142886075 1132472434 /nfs/dbraw/zinc/47/24/34/1132472434.db2.gz CWPBYYKYXVXRQN-AWEZNQCLSA-N 1 2 297.402 3.556 20 0 CHADLO Cc1cccc(F)c1C[N@H+](C)Cc1nc2ccccc2o1 ZINC001232483420 1132478157 /nfs/dbraw/zinc/47/81/57/1132478157.db2.gz ISGUGNVOXVWVPK-UHFFFAOYSA-N 1 2 284.334 3.907 20 0 CHADLO Cc1cccc(F)c1C[N@@H+](C)Cc1nc2ccccc2o1 ZINC001232483420 1132478162 /nfs/dbraw/zinc/47/81/62/1132478162.db2.gz ISGUGNVOXVWVPK-UHFFFAOYSA-N 1 2 284.334 3.907 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCCC(F)(F)[C@H](F)C1 ZINC001232489571 1132479731 /nfs/dbraw/zinc/47/97/31/1132479731.db2.gz KPOROIMCNBILNK-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCCC(F)(F)[C@H](F)C1 ZINC001232489571 1132479734 /nfs/dbraw/zinc/47/97/34/1132479734.db2.gz KPOROIMCNBILNK-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccc3cc[nH]c3c2)cc1 ZINC000083482381 1132491454 /nfs/dbraw/zinc/49/14/54/1132491454.db2.gz SDCGMCCGFHVAII-UHFFFAOYSA-N 1 2 265.360 3.846 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccnc(Cl)c1C)C2 ZINC001232751809 1132515719 /nfs/dbraw/zinc/51/57/19/1132515719.db2.gz QNYXGSMUCRRGCP-UHFFFAOYSA-N 1 2 272.779 3.868 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccnc(Cl)c1C)C2 ZINC001232751809 1132515727 /nfs/dbraw/zinc/51/57/27/1132515727.db2.gz QNYXGSMUCRRGCP-UHFFFAOYSA-N 1 2 272.779 3.868 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccsc3C2)c(F)cc1F ZINC001232781925 1132519189 /nfs/dbraw/zinc/51/91/89/1132519189.db2.gz DGYYPZSTGSKXHT-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccsc3C2)c(F)cc1F ZINC001232781925 1132519195 /nfs/dbraw/zinc/51/91/95/1132519195.db2.gz DGYYPZSTGSKXHT-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(O)ccc3C2)c(F)cc1F ZINC001232784275 1132522399 /nfs/dbraw/zinc/52/23/99/1132522399.db2.gz JPXFJFQTAYOAAI-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(O)ccc3C2)c(F)cc1F ZINC001232784275 1132522406 /nfs/dbraw/zinc/52/24/06/1132522406.db2.gz JPXFJFQTAYOAAI-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(O)c2)c(F)cc1F ZINC001232785672 1132522452 /nfs/dbraw/zinc/52/24/52/1132522452.db2.gz XZLGIPKKEUNKOE-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(O)c2)c(F)cc1F ZINC001232785672 1132522460 /nfs/dbraw/zinc/52/24/60/1132522460.db2.gz XZLGIPKKEUNKOE-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO COc1c(F)ccc(C[N@H+](Cc2ccco2)C2CC2)c1F ZINC001143329319 1132537637 /nfs/dbraw/zinc/53/76/37/1132537637.db2.gz OPRQZZCJUVAFQU-UHFFFAOYSA-N 1 2 293.313 3.731 20 0 CHADLO COc1c(F)ccc(C[N@@H+](Cc2ccco2)C2CC2)c1F ZINC001143329319 1132537641 /nfs/dbraw/zinc/53/76/41/1132537641.db2.gz OPRQZZCJUVAFQU-UHFFFAOYSA-N 1 2 293.313 3.731 20 0 CHADLO Oc1c(F)ccc(C[N@@H+]2CCCC3(CC(F)C3)CC2)c1F ZINC001232937241 1132544853 /nfs/dbraw/zinc/54/48/53/1132544853.db2.gz LNFZTBWDLHQLGJ-UHFFFAOYSA-N 1 2 299.336 3.775 20 0 CHADLO Oc1c(F)ccc(C[N@H+]2CCCC3(CC(F)C3)CC2)c1F ZINC001232937241 1132544860 /nfs/dbraw/zinc/54/48/60/1132544860.db2.gz LNFZTBWDLHQLGJ-UHFFFAOYSA-N 1 2 299.336 3.775 20 0 CHADLO Fc1cccc(F)c1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001173860569 1132562826 /nfs/dbraw/zinc/56/28/26/1132562826.db2.gz SJQNAFFXXRMTLC-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO Cc1cn2cccc(Nc3ccc(F)c(F)c3)c2[nH+]1 ZINC001173864263 1132565991 /nfs/dbraw/zinc/56/59/91/1132565991.db2.gz FVFVQCVBLUDGRB-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CCOc1c(Cl)cc(C[N@@H+]2CC[C@H]2C)cc1Cl ZINC001233104655 1132571412 /nfs/dbraw/zinc/57/14/12/1132571412.db2.gz VJGANEWNMACUNF-SECBINFHSA-N 1 2 274.191 3.986 20 0 CHADLO CCOc1c(Cl)cc(C[N@H+]2CC[C@H]2C)cc1Cl ZINC001233104655 1132571416 /nfs/dbraw/zinc/57/14/16/1132571416.db2.gz VJGANEWNMACUNF-SECBINFHSA-N 1 2 274.191 3.986 20 0 CHADLO CCc1ccc(Nc2cccn3cc(C)[nH+]c23)cc1 ZINC001173868813 1132571883 /nfs/dbraw/zinc/57/18/83/1132571883.db2.gz CFQXOVYQXJQYIT-UHFFFAOYSA-N 1 2 251.333 3.949 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)CC(C)(C)C1 ZINC001151975909 1132576990 /nfs/dbraw/zinc/57/69/90/1132576990.db2.gz KKJWJBSBJGAICA-DGCLKSJQSA-N 1 2 285.391 3.508 20 0 CHADLO Cc1ccc(Nc2c(F)c(F)c(N)c(F)c2F)c(C)[nH+]1 ZINC001203375398 1132578022 /nfs/dbraw/zinc/57/80/22/1132578022.db2.gz XYPWZJWJODUBND-UHFFFAOYSA-N 1 2 285.244 3.581 20 0 CHADLO C[N@@H+](Cc1csc(C(F)(F)F)c1)Cc1cncs1 ZINC001233176431 1132578914 /nfs/dbraw/zinc/57/89/14/1132578914.db2.gz BRSMEJAVZNAWKF-UHFFFAOYSA-N 1 2 292.351 3.855 20 0 CHADLO C[N@H+](Cc1csc(C(F)(F)F)c1)Cc1cncs1 ZINC001233176431 1132578916 /nfs/dbraw/zinc/57/89/16/1132578916.db2.gz BRSMEJAVZNAWKF-UHFFFAOYSA-N 1 2 292.351 3.855 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)Cc1ccc(Cl)cc1 ZINC000048880156 1132587697 /nfs/dbraw/zinc/58/76/97/1132587697.db2.gz KQPYGPKTWXECDS-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000048880156 1132587701 /nfs/dbraw/zinc/58/77/01/1132587701.db2.gz KQPYGPKTWXECDS-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Fc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001173835157 1132589248 /nfs/dbraw/zinc/58/92/48/1132589248.db2.gz CAPDYWJZIUBVLJ-UHFFFAOYSA-N 1 2 253.280 3.755 20 0 CHADLO COc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c(OC)c1 ZINC001173892827 1132596468 /nfs/dbraw/zinc/59/64/68/1132596468.db2.gz ZVQUSGAYNYDZGN-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2ccsc2)cc1F ZINC001233343245 1132606687 /nfs/dbraw/zinc/60/66/87/1132606687.db2.gz FQONPXRIXCKBAE-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2ccsc2)cc1F ZINC001233343245 1132606689 /nfs/dbraw/zinc/60/66/89/1132606689.db2.gz FQONPXRIXCKBAE-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1cc3cccnc3s1)C2 ZINC001233348588 1132608252 /nfs/dbraw/zinc/60/82/52/1132608252.db2.gz HYISRZUVYNNGRB-UHFFFAOYSA-N 1 2 284.359 3.951 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1cc3cccnc3s1)C2 ZINC001233348588 1132608257 /nfs/dbraw/zinc/60/82/57/1132608257.db2.gz HYISRZUVYNNGRB-UHFFFAOYSA-N 1 2 284.359 3.951 20 0 CHADLO CC(C)(C)[C@H]1CC[C@@H](NC(=O)c2cccc3[nH+]ccn32)CC1 ZINC001154527404 1132616383 /nfs/dbraw/zinc/61/63/83/1132616383.db2.gz AKKZDLFDLCEQDW-OKILXGFUSA-N 1 2 299.418 3.669 20 0 CHADLO CC(C)=CCC/C(C)=C/CNC(=O)c1cccc2[nH+]ccn21 ZINC001154526363 1132617039 /nfs/dbraw/zinc/61/70/39/1132617039.db2.gz QGOSSEQKYITXBI-XNTDXEJSSA-N 1 2 297.402 3.757 20 0 CHADLO Clc1cccn2cc(C[NH+]3CC4(C3)CCCCC4)nc12 ZINC001233423589 1132620766 /nfs/dbraw/zinc/62/07/66/1132620766.db2.gz CKVARQNTINFWBS-UHFFFAOYSA-N 1 2 289.810 3.754 20 0 CHADLO CCOc1ccc[nH+]c1NCc1cccc(OC(F)F)c1 ZINC001154670957 1132622500 /nfs/dbraw/zinc/62/25/00/1132622500.db2.gz WSCRZWJBUIQULZ-UHFFFAOYSA-N 1 2 294.301 3.694 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2cncc(Cl)n2)cc1 ZINC001233446991 1132626080 /nfs/dbraw/zinc/62/60/80/1132626080.db2.gz PWPWOYDDRBBMCV-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2cncc(Cl)n2)cc1 ZINC001233446991 1132626085 /nfs/dbraw/zinc/62/60/85/1132626085.db2.gz PWPWOYDDRBBMCV-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(OC)cc1OC ZINC001173888969 1132627648 /nfs/dbraw/zinc/62/76/48/1132627648.db2.gz RBHXUYJYLGQVIQ-UHFFFAOYSA-N 1 2 272.348 3.713 20 0 CHADLO Cc1oncc1CNc1cc(-c2ccccc2)cc[nH+]1 ZINC001154883577 1132629336 /nfs/dbraw/zinc/62/93/36/1132629336.db2.gz AZGTUHCJMRBRDB-UHFFFAOYSA-N 1 2 265.316 3.657 20 0 CHADLO COc1ccc(F)cc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001173955535 1132629876 /nfs/dbraw/zinc/62/98/76/1132629876.db2.gz FHBSLMMLZKZCOF-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO COc1ccc(F)cc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001173955561 1132630484 /nfs/dbraw/zinc/63/04/84/1132630484.db2.gz NSFFLVLOKDTUJR-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO Cc1cc(N[C@H]2CC[C@](C)(O)CC2)[nH+]c2ccccc12 ZINC001154951223 1132632838 /nfs/dbraw/zinc/63/28/38/1132632838.db2.gz APJBERQTHTYINK-WJYNOGRTSA-N 1 2 270.376 3.649 20 0 CHADLO Cc1sc2ncnc(NC(=[NH2+])C(C)(C)C)c2c1C ZINC001155175669 1132645075 /nfs/dbraw/zinc/64/50/75/1132645075.db2.gz FYEYWXQRMJAAQN-UHFFFAOYSA-N 1 2 262.382 3.743 20 0 CHADLO COc1cc(Nc2ccc3[nH]ccc3c2)cc(C)[nH+]1 ZINC001173939829 1132650554 /nfs/dbraw/zinc/65/05/54/1132650554.db2.gz DAUNQLFVODLKAO-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO Cc1ccn2c(CNc3ccc(C(C)(C)C)c[nH+]3)cnc2c1 ZINC001155282787 1132651515 /nfs/dbraw/zinc/65/15/15/1132651515.db2.gz USCQXWPBWDLWGI-UHFFFAOYSA-N 1 2 294.402 3.947 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4[nH]ccc4c3)ccc21 ZINC001173940018 1132651564 /nfs/dbraw/zinc/65/15/64/1132651564.db2.gz PXYQZESVGFTNER-UHFFFAOYSA-N 1 2 262.316 3.798 20 0 CHADLO Cc1nn(C)c(C)c1CNc1cc(C)c2cccc(C)c2[nH+]1 ZINC001155322902 1132653994 /nfs/dbraw/zinc/65/39/94/1132653994.db2.gz UMLYCCNUVRHNAH-UHFFFAOYSA-N 1 2 294.402 3.814 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccccc1NC(C)=O ZINC001173992514 1132666981 /nfs/dbraw/zinc/66/69/81/1132666981.db2.gz WJGWUPVCWYQKMZ-UHFFFAOYSA-N 1 2 269.348 3.654 20 0 CHADLO c1ccc2ncc(Nc3ccc(N4CCCC4)[nH+]c3)cc2c1 ZINC001174001858 1132673218 /nfs/dbraw/zinc/67/32/18/1132673218.db2.gz KCDYIMOHFJHDEO-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO c1ccc2c(c1)C[C@@H](CNc1cc3ccccc3c[nH+]1)O2 ZINC001155970964 1132673295 /nfs/dbraw/zinc/67/32/95/1132673295.db2.gz QNMZTTAAEJQWDQ-INIZCTEOSA-N 1 2 276.339 3.650 20 0 CHADLO Cc1cc(N(C)CC/C=C/c2cccnc2)nc(C(C)C)[nH+]1 ZINC001155975990 1132674639 /nfs/dbraw/zinc/67/46/39/1132674639.db2.gz AJEWRKGJGXNVIQ-VMPITWQZSA-N 1 2 296.418 3.843 20 0 CHADLO COc1ccc2[nH+]c(NCCCSC)c(C)cc2c1 ZINC001155978827 1132675319 /nfs/dbraw/zinc/67/53/19/1132675319.db2.gz HERGPVSRUTWBEI-UHFFFAOYSA-N 1 2 276.405 3.717 20 0 CHADLO COc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1F ZINC001173973815 1132684314 /nfs/dbraw/zinc/68/43/14/1132684314.db2.gz WZENESBPLOHBHZ-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO O=C1CC[N@@H+](Cc2ccccc2Cl)[C@H]2CCCC[C@@H]12 ZINC001203377260 1132692001 /nfs/dbraw/zinc/69/20/01/1132692001.db2.gz NARVIMJQQUHXPE-HIFRSBDPSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2ccccc2Cl)[C@H]2CCCC[C@@H]12 ZINC001203377260 1132692005 /nfs/dbraw/zinc/69/20/05/1132692005.db2.gz NARVIMJQQUHXPE-HIFRSBDPSA-N 1 2 277.795 3.674 20 0 CHADLO Cc1ccc(F)c2cc(C)c(NCc3cc[nH+]c(N)c3)nc12 ZINC001156757297 1132708531 /nfs/dbraw/zinc/70/85/31/1132708531.db2.gz QTFKSFADKGNVKC-UHFFFAOYSA-N 1 2 296.349 3.580 20 0 CHADLO CCOc1ccc[nH+]c1NCc1nccc2ccccc21 ZINC001156870281 1132712485 /nfs/dbraw/zinc/71/24/85/1132712485.db2.gz WUGWFCUIHVTTEK-UHFFFAOYSA-N 1 2 279.343 3.641 20 0 CHADLO COCc1ccccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001174102400 1132719775 /nfs/dbraw/zinc/71/97/75/1132719775.db2.gz ROIOXYSXLNEGMV-UHFFFAOYSA-N 1 2 283.375 3.572 20 0 CHADLO COCc1ccccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001174105356 1132721810 /nfs/dbraw/zinc/72/18/10/1132721810.db2.gz JEHNQGBQNXOBOR-UHFFFAOYSA-N 1 2 279.343 3.762 20 0 CHADLO CCCCCC[C@H](C)C(=O)NCc1c[nH]c(C(C)C)[nH+]1 ZINC001157118604 1132722450 /nfs/dbraw/zinc/72/24/50/1132722450.db2.gz DCUHLBZSNSTWNV-ZDUSSCGKSA-N 1 2 279.428 3.756 20 0 CHADLO CCCCCC[C@H](C)C(=O)NCc1c[nH+]c(C(C)C)[nH]1 ZINC001157118604 1132722454 /nfs/dbraw/zinc/72/24/54/1132722454.db2.gz DCUHLBZSNSTWNV-ZDUSSCGKSA-N 1 2 279.428 3.756 20 0 CHADLO CCOc1ccc2cc(N(C)c3[nH+]cccc3N)ccc2c1 ZINC001174106945 1132723075 /nfs/dbraw/zinc/72/30/75/1132723075.db2.gz WINPKHAXRKDYJT-UHFFFAOYSA-N 1 2 293.370 3.984 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(C(=O)N3CCCCC3)cc2)c1 ZINC001174070508 1132733136 /nfs/dbraw/zinc/73/31/36/1132733136.db2.gz IHGVNOXPUKCNME-UHFFFAOYSA-N 1 2 295.386 3.760 20 0 CHADLO Cc1cc(C)c(Nc2ccc(C(=O)N3CCCC3)cc2)c[nH+]1 ZINC001174067949 1132733236 /nfs/dbraw/zinc/73/32/36/1132733236.db2.gz OIVWTPHUSGILAZ-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cccc(C(=O)N(C)C)c1 ZINC001174085104 1132734227 /nfs/dbraw/zinc/73/42/27/1132734227.db2.gz VWFSJWDBMYNMJY-UHFFFAOYSA-N 1 2 297.402 3.959 20 0 CHADLO c1ccc(-c2cc[nH+]c(NC3(c4cccnn4)CC3)c2)cc1 ZINC001157717938 1132738997 /nfs/dbraw/zinc/73/89/97/1132738997.db2.gz PMBDBAPGSIXJPI-UHFFFAOYSA-N 1 2 288.354 3.640 20 0 CHADLO COc1ccc(C)[nH+]c1N[C@H](c1ccccn1)C(F)(F)F ZINC001157684215 1132739808 /nfs/dbraw/zinc/73/98/08/1132739808.db2.gz LFWVRNHIQAOBOL-GFCCVEGCSA-N 1 2 297.280 3.509 20 0 CHADLO CC(C)(C)[C@H](CO)Nc1cc(-c2ccccc2)cc[nH+]1 ZINC001157750480 1132740579 /nfs/dbraw/zinc/74/05/79/1132740579.db2.gz LHSRTPPHQGGGFS-HNNXBMFYSA-N 1 2 270.376 3.568 20 0 CHADLO C=Cc1ccc(CNc2nc(NC(C)C)c(OC)c[nH+]2)cc1 ZINC001157771347 1132742087 /nfs/dbraw/zinc/74/20/87/1132742087.db2.gz NPGIUEBBPFMJPC-UHFFFAOYSA-N 1 2 298.390 3.561 20 0 CHADLO CCc1cccc(Nc2ccc(C(=O)NC(C)C)cc2)[nH+]1 ZINC001174147908 1132749448 /nfs/dbraw/zinc/74/94/48/1132749448.db2.gz NLHQMTOPSLOWLX-UHFFFAOYSA-N 1 2 283.375 3.526 20 0 CHADLO CCOC(=O)/C=C/c1ccc[nH+]c1N[C@@H](C)C[C@H](C)CC ZINC001157882588 1132752104 /nfs/dbraw/zinc/75/21/04/1132752104.db2.gz URHDPMCUQABARE-HOQBHHMFSA-N 1 2 290.407 3.895 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1[nH+]cc(C)cc1C(OC)OC ZINC001157886803 1132752478 /nfs/dbraw/zinc/75/24/78/1132752478.db2.gz KCEWZAMCWIWGEU-AAEUAGOBSA-N 1 2 280.412 3.918 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1cc(N2CCCCC2)nc[nH+]1 ZINC001157885966 1132752709 /nfs/dbraw/zinc/75/27/09/1132752709.db2.gz FZUGDGSXMDAVJK-ZIAGYGMSSA-N 1 2 276.428 3.704 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1cc(N2CCCCC2)[nH+]cn1 ZINC001157885966 1132752718 /nfs/dbraw/zinc/75/27/18/1132752718.db2.gz FZUGDGSXMDAVJK-ZIAGYGMSSA-N 1 2 276.428 3.704 20 0 CHADLO C[C@@H](CCCC(C)(C)O)Nc1cc2ccccc2c[nH+]1 ZINC001157886864 1132752980 /nfs/dbraw/zinc/75/29/80/1132752980.db2.gz DXOZLIWWKCXSTO-ZDUSSCGKSA-N 1 2 272.392 3.976 20 0 CHADLO Cc1cc(N[C@@H](C)CCCC(C)(C)O)nc(C(C)(C)C)[nH+]1 ZINC001157895964 1132754716 /nfs/dbraw/zinc/75/47/16/1132754716.db2.gz AOBWTPLGQKIJRK-LBPRGKRZSA-N 1 2 293.455 3.824 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](C)CCCC(C)(C)O)c1 ZINC001157898583 1132755177 /nfs/dbraw/zinc/75/51/77/1132755177.db2.gz XRPCAYVTTGFAAU-NSHDSACASA-N 1 2 268.426 3.545 20 0 CHADLO Cc1cc[nH+]c(Nc2cccc(C(=O)NC3CCCC3)c2)c1 ZINC001174195684 1132756244 /nfs/dbraw/zinc/75/62/44/1132756244.db2.gz VIKMRZSUCGIRQA-UHFFFAOYSA-N 1 2 295.386 3.806 20 0 CHADLO COc1ccc(F)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001174210981 1132759314 /nfs/dbraw/zinc/75/93/14/1132759314.db2.gz MCPBGKPUZLVXAT-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO Cc1cccn2cc(CNc3ccc(C(C)(C)C)c[nH+]3)nc12 ZINC001158159183 1132765075 /nfs/dbraw/zinc/76/50/75/1132765075.db2.gz RFPDVLDSEDESND-UHFFFAOYSA-N 1 2 294.402 3.947 20 0 CHADLO CC(=O)NCc1ccc(Nc2cccc(C(C)C)[nH+]2)cc1 ZINC001174231010 1132767643 /nfs/dbraw/zinc/76/76/43/1132767643.db2.gz SNSUDNLTNWWWAR-UHFFFAOYSA-N 1 2 283.375 3.585 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cncc(F)c1 ZINC001174290885 1132774888 /nfs/dbraw/zinc/77/48/88/1132774888.db2.gz MFFMLYBLOSXYDJ-UHFFFAOYSA-N 1 2 259.328 3.866 20 0 CHADLO Cc1cc(Oc2ccc(Nc3cn[nH]c3)cc2)cc[nH+]1 ZINC001174297566 1132778117 /nfs/dbraw/zinc/77/81/17/1132778117.db2.gz KJODITHCRTYFMB-UHFFFAOYSA-N 1 2 266.304 3.649 20 0 CHADLO COC(Cc1ccc(Nc2ccc(C)[nH+]c2C)cc1)OC ZINC001203381049 1132787819 /nfs/dbraw/zinc/78/78/19/1132787819.db2.gz OQIWUPQZCJZPFY-UHFFFAOYSA-N 1 2 286.375 3.603 20 0 CHADLO Cc1ccc(Nc2cccc3c2CCCO3)c(C)[nH+]1 ZINC001203380636 1132787882 /nfs/dbraw/zinc/78/78/82/1132787882.db2.gz LFDBLGZRIBDVOO-UHFFFAOYSA-N 1 2 254.333 3.767 20 0 CHADLO Nc1cc(Cl)[nH+]c(NCCc2coc3ccccc23)c1 ZINC001158660106 1132787934 /nfs/dbraw/zinc/78/79/34/1132787934.db2.gz WTOCIUCVOADEFH-UHFFFAOYSA-N 1 2 287.750 3.718 20 0 CHADLO Cc1nc(N(C)[C@H]2CCc3ccccc32)c(C)c(C)[nH+]1 ZINC001158920333 1132798048 /nfs/dbraw/zinc/79/80/48/1132798048.db2.gz KUGGQPXMGWMEBO-INIZCTEOSA-N 1 2 267.376 3.526 20 0 CHADLO COc1ccc[nH+]c1NCc1ccccc1-c1cccnc1 ZINC001159103129 1132805433 /nfs/dbraw/zinc/80/54/33/1132805433.db2.gz CICKZSVGAQNXPP-UHFFFAOYSA-N 1 2 291.354 3.764 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1c[nH]c2cnccc12 ZINC001159107602 1132807034 /nfs/dbraw/zinc/80/70/34/1132807034.db2.gz RMHDKAJCYQIPPO-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1cc2c(ccc(N)c2F)n1-c1ccc2[nH+]cn(C)c2c1 ZINC001174350260 1132809362 /nfs/dbraw/zinc/80/93/62/1132809362.db2.gz VVFVZCSPRHCIMN-UHFFFAOYSA-N 1 2 294.333 3.547 20 0 CHADLO CCCc1cc[nH+]c(Nc2cnc3[nH]ccc3c2)c1 ZINC001174395697 1132812075 /nfs/dbraw/zinc/81/20/75/1132812075.db2.gz BFIYRYHXLXOGCT-UHFFFAOYSA-N 1 2 252.321 3.606 20 0 CHADLO Cc1ccc(Nc2ccnc(C(F)(F)F)c2)c(C)[nH+]1 ZINC001174359998 1132816759 /nfs/dbraw/zinc/81/67/59/1132816759.db2.gz PDTOWSHTPOFJQS-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Nc1ccc(Nc2ccc(COc3ccccc3)cc2)c[nH+]1 ZINC001159281854 1132822720 /nfs/dbraw/zinc/82/27/20/1132822720.db2.gz FDFDTXUBIAVONY-UHFFFAOYSA-N 1 2 291.354 3.986 20 0 CHADLO CCCCOc1cccc(F)c1Nc1ccc(N)[nH+]c1 ZINC001159282169 1132822891 /nfs/dbraw/zinc/82/28/91/1132822891.db2.gz QFYPZPYSOUXNQG-UHFFFAOYSA-N 1 2 275.327 3.725 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3nccnc3Cl)ccc21 ZINC001174381028 1132828465 /nfs/dbraw/zinc/82/84/65/1132828465.db2.gz QQMBLMMJACMNHH-UHFFFAOYSA-N 1 2 287.754 3.804 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccccn3)ccc21 ZINC001174380679 1132828614 /nfs/dbraw/zinc/82/86/14/1132828614.db2.gz JDLZLSXHOIEINT-UHFFFAOYSA-N 1 2 252.321 3.756 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cncc(F)c3)ccc21 ZINC001174380615 1132829177 /nfs/dbraw/zinc/82/91/77/1132829177.db2.gz GCOWMEQCVKBUGE-UHFFFAOYSA-N 1 2 270.311 3.895 20 0 CHADLO CC(=O)c1ccncc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174382053 1132829544 /nfs/dbraw/zinc/82/95/44/1132829544.db2.gz VWZWJIOROFUMEM-UHFFFAOYSA-N 1 2 294.358 3.958 20 0 CHADLO Cc1conc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174381008 1132829729 /nfs/dbraw/zinc/82/97/29/1132829729.db2.gz PKIFBPJKEDINDL-UHFFFAOYSA-N 1 2 256.309 3.657 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccnc(F)c3)ccc21 ZINC001174381984 1132829806 /nfs/dbraw/zinc/82/98/06/1132829806.db2.gz UHTQZGOWMQBBTD-UHFFFAOYSA-N 1 2 270.311 3.895 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cnn(C(F)F)c3)ccc21 ZINC001174382194 1132830096 /nfs/dbraw/zinc/83/00/96/1132830096.db2.gz DGGNHPXIFKAXNQ-UHFFFAOYSA-N 1 2 291.305 3.952 20 0 CHADLO CC(C)(O)c1cccc(Nc2[nH+]cccc2C2CC2)c1 ZINC001174406734 1132845731 /nfs/dbraw/zinc/84/57/31/1132845731.db2.gz VWDPSPTWYLBWAG-UHFFFAOYSA-N 1 2 268.360 3.930 20 0 CHADLO c1cnn(Cc2cccc(Nc3cc[nH+]c4[nH]ccc43)c2)c1 ZINC001174411034 1132849151 /nfs/dbraw/zinc/84/91/51/1132849151.db2.gz FNMZBMVTHCJTRZ-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1nc2cc(Nc3cc[nH+]c4[nH]ccc43)ccc2o1 ZINC001174411530 1132849166 /nfs/dbraw/zinc/84/91/66/1132849166.db2.gz APNBOSJYYQLCPR-UHFFFAOYSA-N 1 2 264.288 3.708 20 0 CHADLO COc1cccc(Nc2cc[nH+]c3[nH]ccc32)c1C ZINC001174411873 1132850745 /nfs/dbraw/zinc/85/07/45/1132850745.db2.gz KFIWICRFKFWTGE-UHFFFAOYSA-N 1 2 253.305 3.575 20 0 CHADLO Cc1noc(-c2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2)n1 ZINC001174413926 1132852791 /nfs/dbraw/zinc/85/27/91/1132852791.db2.gz QFZHJBKTAFFDMH-UHFFFAOYSA-N 1 2 291.314 3.617 20 0 CHADLO Cc1cc(Nc2cc[nH+]c3[nH]ccc32)cc2snnc21 ZINC001174415346 1132854190 /nfs/dbraw/zinc/85/41/90/1132854190.db2.gz JPQNMKVBIDYEPX-UHFFFAOYSA-N 1 2 281.344 3.571 20 0 CHADLO Cc1cc(C)c(Nc2cnn(CCC(C)C)c2)c[nH+]1 ZINC001174415571 1132855553 /nfs/dbraw/zinc/85/55/53/1132855553.db2.gz QKODLUKQVFNRQM-UHFFFAOYSA-N 1 2 258.369 3.685 20 0 CHADLO COC(=O)[C@H](C)c1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1 ZINC001174415458 1132855682 /nfs/dbraw/zinc/85/56/82/1132855682.db2.gz NJEORRMQCBPJET-LLVKDONJSA-N 1 2 295.342 3.535 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(N2CCCC2)cc1 ZINC001174526434 1132887755 /nfs/dbraw/zinc/88/77/55/1132887755.db2.gz RICYUMSOBGVBKO-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO Cc1ccc(Nc2cccc(-c3cc[nH]n3)c2)c(C)[nH+]1 ZINC001174506653 1132904678 /nfs/dbraw/zinc/90/46/78/1132904678.db2.gz UMFQHCHHQAGSFZ-UHFFFAOYSA-N 1 2 264.332 3.832 20 0 CHADLO CCc1cccc(Nc2ccc3ccn(C)c3c2)[nH+]1 ZINC001174515555 1132908486 /nfs/dbraw/zinc/90/84/86/1132908486.db2.gz AFWXWLZIRMVVOY-UHFFFAOYSA-N 1 2 251.333 3.879 20 0 CHADLO Cc1cc(C)c(Nc2ccc3ccn(C)c3c2)c[nH+]1 ZINC001174514981 1132908738 /nfs/dbraw/zinc/90/87/38/1132908738.db2.gz LZTRGWUQAOVSOQ-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO c1cc2cc(Nc3ccc(N4CCCCC4)[nH+]c3)cnc2o1 ZINC001174522118 1132911743 /nfs/dbraw/zinc/91/17/43/1132911743.db2.gz IURHHJBGMMUHLN-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO c1cc2c(c(Nc3ccc(N4CCCCC4)[nH+]c3)c1)CCO2 ZINC001174522981 1132913084 /nfs/dbraw/zinc/91/30/84/1132913084.db2.gz FSTPTKGWCPGDCG-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO Cc1cc(F)ncc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174523039 1132913286 /nfs/dbraw/zinc/91/32/86/1132913286.db2.gz DNNJPQBEMRLXDE-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO Cc1cc(O)ccc1Nc1cc(OC(F)(F)F)cc[nH+]1 ZINC001174564173 1132925911 /nfs/dbraw/zinc/92/59/11/1132925911.db2.gz HJBYJHCTFZQDEY-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO CCn1cc(Nc2c[nH+]c(CC(C)C)cc2C)cn1 ZINC001174568309 1132928147 /nfs/dbraw/zinc/92/81/47/1132928147.db2.gz QPEPXRLUCXMXOE-UHFFFAOYSA-N 1 2 258.369 3.549 20 0 CHADLO Cc1ccc(Nc2ccc3sc(N)nc3c2)c(C)[nH+]1 ZINC001160004838 1132933044 /nfs/dbraw/zinc/93/30/44/1132933044.db2.gz CMIASKDCEBJOOQ-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO COc1ccc[nH+]c1NCc1cccc2sccc21 ZINC001160185837 1132943541 /nfs/dbraw/zinc/94/35/41/1132943541.db2.gz MSMNZNQZSIDHLD-UHFFFAOYSA-N 1 2 270.357 3.917 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c[nH]nc21 ZINC001160204081 1132944766 /nfs/dbraw/zinc/94/47/66/1132944766.db2.gz BKCPFVHXKWUMDP-UHFFFAOYSA-N 1 2 264.332 3.887 20 0 CHADLO CSCc1ccc(NCc2cccc3ncoc32)[nH+]c1 ZINC001160255383 1132952335 /nfs/dbraw/zinc/95/23/35/1132952335.db2.gz IPPHVJKLZYXLFH-UHFFFAOYSA-N 1 2 285.372 3.698 20 0 CHADLO COc1c(F)ccc(F)c1Nc1cccc2[nH+]c(C)cn21 ZINC001174661421 1132953734 /nfs/dbraw/zinc/95/37/34/1132953734.db2.gz ZFCPLSDYEPVUCE-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)ccc(F)c2OC)c[nH+]1 ZINC001174662470 1132954146 /nfs/dbraw/zinc/95/41/46/1132954146.db2.gz KXFZZHCLEAAIKK-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO c1c[nH+]c(Nc2ccc(N3CCOCC3)cc2)c(C2CC2)c1 ZINC001174707711 1132960503 /nfs/dbraw/zinc/96/05/03/1132960503.db2.gz OKYBTXYSXZWLQA-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO Cc1ccc(N)c(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001160331107 1132963095 /nfs/dbraw/zinc/96/30/95/1132963095.db2.gz DAYZKLKRABYBKL-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)CCCO3)c(C)[nH+]1 ZINC001174720296 1132963765 /nfs/dbraw/zinc/96/37/65/1132963765.db2.gz XMAZCMGMNQVFSN-UHFFFAOYSA-N 1 2 254.333 3.767 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3c(c2)CCCO3)c[nH+]1 ZINC001174720938 1132964513 /nfs/dbraw/zinc/96/45/13/1132964513.db2.gz UTSFJXOJDJPZIR-UHFFFAOYSA-N 1 2 283.375 3.606 20 0 CHADLO Oc1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1F ZINC001174732488 1132966694 /nfs/dbraw/zinc/96/66/94/1132966694.db2.gz IQKLILULXLLBTH-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Cc1ccc(F)c(N[C@@H](C)c2c[nH+]c3c(C)cccn23)n1 ZINC001160684132 1132978976 /nfs/dbraw/zinc/97/89/76/1132978976.db2.gz WJUODSKAMRWDEU-LBPRGKRZSA-N 1 2 284.338 3.658 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c[nH]c32)c(C)c1 ZINC001213030912 1132995564 /nfs/dbraw/zinc/99/55/64/1132995564.db2.gz WYVMXDVLFBZPND-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO c1[nH]c2c(cccc2Nc2ccc3ncccc3c2)[nH+]1 ZINC001213030901 1132995960 /nfs/dbraw/zinc/99/59/60/1132995960.db2.gz WKGVSTAXLFZVLH-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO Cc1cc(C)c(O)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213031636 1132996411 /nfs/dbraw/zinc/99/64/11/1132996411.db2.gz KGYDVLBRRVMQAI-UHFFFAOYSA-N 1 2 253.305 3.629 20 0 CHADLO COc1cc(C(C)=O)ccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213031952 1132998453 /nfs/dbraw/zinc/99/84/53/1132998453.db2.gz RURQOQITBWEEGA-UHFFFAOYSA-N 1 2 281.315 3.518 20 0 CHADLO Oc1cccc(Cl)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213032449 1133000472 /nfs/dbraw/zinc/00/04/72/1133000472.db2.gz GNVKIRROBQHBTA-UHFFFAOYSA-N 1 2 259.696 3.666 20 0 CHADLO CCn1cc2cc(Nc3cccc4[nH+]c[nH]c43)ccc2n1 ZINC001213032677 1133000752 /nfs/dbraw/zinc/00/07/52/1133000752.db2.gz SFEXBJWTEAZTQP-UHFFFAOYSA-N 1 2 277.331 3.676 20 0 CHADLO Cc1cc(N[C@H]2CCCc3nccnc32)[nH+]c2ccccc12 ZINC001161406769 1133001094 /nfs/dbraw/zinc/00/10/94/1133001094.db2.gz FWIAJUHBLPHDHN-INIZCTEOSA-N 1 2 290.370 3.823 20 0 CHADLO COc1cc(F)c(F)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213032811 1133001987 /nfs/dbraw/zinc/00/19/87/1133001987.db2.gz ZBIHCZAHKXHHDS-UHFFFAOYSA-N 1 2 275.258 3.593 20 0 CHADLO CC(C)OC(=O)c1cccc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032812 1133002356 /nfs/dbraw/zinc/00/23/56/1133002356.db2.gz ZBOBQYFVJFRWER-UHFFFAOYSA-N 1 2 295.342 3.872 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cc(-c2cccs2)ncn1 ZINC001161568835 1133005872 /nfs/dbraw/zinc/00/58/72/1133005872.db2.gz FAVSSLIFHGNAEH-UHFFFAOYSA-N 1 2 299.403 3.595 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1nc2[nH]ccc2cc1Cl ZINC001161566046 1133006443 /nfs/dbraw/zinc/00/64/43/1133006443.db2.gz ZXVNOULJTOJULL-UHFFFAOYSA-N 1 2 289.770 3.557 20 0 CHADLO CSc1cc(Cl)cnc1NCc1c[nH+]cn1C(C)C ZINC001161569471 1133006819 /nfs/dbraw/zinc/00/68/19/1133006819.db2.gz NGCFSQYIZLVUIP-UHFFFAOYSA-N 1 2 296.827 3.846 20 0 CHADLO c1cn(CCCNc2ccc(Oc3ccccc3)nc2)c[nH+]1 ZINC001161684467 1133016086 /nfs/dbraw/zinc/01/60/86/1133016086.db2.gz CCLKDKKNKZKWRN-UHFFFAOYSA-N 1 2 294.358 3.573 20 0 CHADLO COc1ccc2[nH+]c(N[C@@H]3CCO[C@H](C)C3)c(C)cc2c1 ZINC001161687042 1133016808 /nfs/dbraw/zinc/01/68/08/1133016808.db2.gz NIAHZJLCQLISQF-TZMCWYRMSA-N 1 2 286.375 3.531 20 0 CHADLO Cc1ccc(CNc2cccc(-c3c[nH+]cn3C)c2)o1 ZINC001161768826 1133027143 /nfs/dbraw/zinc/02/71/43/1133027143.db2.gz OXHQVHZKYYRHOE-UHFFFAOYSA-N 1 2 267.332 3.601 20 0 CHADLO CNc1ccc(N[C@@H](c2ccccc2)C2CC2)c[nH+]1 ZINC001161958135 1133042700 /nfs/dbraw/zinc/04/27/00/1133042700.db2.gz JTCCTYGNSRZTRV-INIZCTEOSA-N 1 2 253.349 3.687 20 0 CHADLO FC(F)Oc1cccc(CNc2ccn3cc[nH+]c3c2)c1 ZINC001161985235 1133047395 /nfs/dbraw/zinc/04/73/95/1133047395.db2.gz RUDMQVHUUHWPGD-UHFFFAOYSA-N 1 2 289.285 3.548 20 0 CHADLO CC1(C)CC[C@@H](CNc2cc(N)cc(Cl)[nH+]2)C1 ZINC001162123441 1133052367 /nfs/dbraw/zinc/05/23/67/1133052367.db2.gz VFBJZVZKJJOPOF-SECBINFHSA-N 1 2 253.777 3.555 20 0 CHADLO CC1(C)CC[C@H](CNc2cc(N)cc(Cl)[nH+]2)C1 ZINC001162123442 1133052398 /nfs/dbraw/zinc/05/23/98/1133052398.db2.gz VFBJZVZKJJOPOF-VIFPVBQESA-N 1 2 253.777 3.555 20 0 CHADLO CCc1coc(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)n1 ZINC001162138138 1133053462 /nfs/dbraw/zinc/05/34/62/1133053462.db2.gz PDJAWODAOIQUMS-UHFFFAOYSA-N 1 2 288.395 3.886 20 0 CHADLO CCN(CC)c1ccc(Nc2ccnc(C(C)(C)C)n2)c[nH+]1 ZINC001162163451 1133056226 /nfs/dbraw/zinc/05/62/26/1133056226.db2.gz ZUQFAEVBLAKXOD-UHFFFAOYSA-N 1 2 299.422 3.759 20 0 CHADLO CC(C)Oc1cc(NCc2ccc3c(ccn3C)c2)cc[nH+]1 ZINC001162169326 1133056513 /nfs/dbraw/zinc/05/65/13/1133056513.db2.gz NYMUFPWAJRUZAL-UHFFFAOYSA-N 1 2 295.386 3.973 20 0 CHADLO CCc1cc(N(C)[C@@H](C)c2cccs2)nc(CC)[nH+]1 ZINC001162185240 1133058206 /nfs/dbraw/zinc/05/82/06/1133058206.db2.gz UTFIOXBVQPSUKN-NSHDSACASA-N 1 2 275.421 3.860 20 0 CHADLO CCCCNc1cc[nH+]c2[nH]cc(C(F)(F)F)c21 ZINC001162206034 1133059193 /nfs/dbraw/zinc/05/91/93/1133059193.db2.gz WNROFBSBYHFYSH-UHFFFAOYSA-N 1 2 257.259 3.794 20 0 CHADLO FC1CC(Nc2cc[nH+]c(OCc3ccccc3)c2)C1 ZINC001162209638 1133059739 /nfs/dbraw/zinc/05/97/39/1133059739.db2.gz LJDBDGORMVFMRB-UHFFFAOYSA-N 1 2 272.323 3.573 20 0 CHADLO FC1CC(Nc2ccc3c(c2)[nH+]cn3-c2ccccc2)C1 ZINC001162212705 1133060040 /nfs/dbraw/zinc/06/00/40/1133060040.db2.gz XKANFIMGQGGLJU-UHFFFAOYSA-N 1 2 281.334 3.938 20 0 CHADLO F[C@@H]1CCCC[C@H]1Nc1cc(-c2ccncc2)cc[nH+]1 ZINC001162505098 1133081749 /nfs/dbraw/zinc/08/17/49/1133081749.db2.gz BNZLYUFJMBFKFS-HUUCEWRRSA-N 1 2 271.339 3.836 20 0 CHADLO F[C@@H]1CCCC[C@H]1Nc1ccc(-n2cccc2)c[nH+]1 ZINC001162506364 1133082224 /nfs/dbraw/zinc/08/22/24/1133082224.db2.gz VMIAIHUNXFKREL-ZIAGYGMSSA-N 1 2 259.328 3.565 20 0 CHADLO F[C@@H]1CCCC[C@H]1Nc1cccc(Cc2ccncc2)[nH+]1 ZINC001162508628 1133083014 /nfs/dbraw/zinc/08/30/14/1133083014.db2.gz JIKSBJAURLIXGV-HZPDHXFCSA-N 1 2 285.366 3.760 20 0 CHADLO CC(C)(Nc1ccc(Cn2cc[nH+]c2)cc1)C1CC1 ZINC001162517845 1133086181 /nfs/dbraw/zinc/08/61/81/1133086181.db2.gz JZUOBKHVAHNHFO-UHFFFAOYSA-N 1 2 255.365 3.532 20 0 CHADLO C[C@H]1CCCC[C@@H]1CNc1cc(C2(C)OCCO2)cc[nH+]1 ZINC001162524486 1133088111 /nfs/dbraw/zinc/08/81/11/1133088111.db2.gz NGFBLCFKJNSDDP-UONOGXRCSA-N 1 2 290.407 3.539 20 0 CHADLO Cc1cc(NC(C)(C)C(=O)c2ccccc2)c2[nH+]ccn2c1 ZINC001162637516 1133097342 /nfs/dbraw/zinc/09/73/42/1133097342.db2.gz LSOKBXPBIGWYFU-UHFFFAOYSA-N 1 2 293.370 3.716 20 0 CHADLO FC1(F)CC[C@H](CNc2ccc(-n3cccc3)c[nH+]2)C1 ZINC001162647622 1133099338 /nfs/dbraw/zinc/09/93/38/1133099338.db2.gz QXECOPUJPNNYNT-LBPRGKRZSA-N 1 2 277.318 3.720 20 0 CHADLO CC(=O)Nc1c(C)cc[nH+]c1N[C@H]1CCc2sccc21 ZINC001162766664 1133110605 /nfs/dbraw/zinc/11/06/05/1133110605.db2.gz VSROBQHGKJJQRT-LBPRGKRZSA-N 1 2 287.388 3.509 20 0 CHADLO COC(=O)c1ccc(C2(Nc3c[nH+]cc(C)c3C)CC2)cc1 ZINC001162864016 1133116990 /nfs/dbraw/zinc/11/69/90/1133116990.db2.gz JRXFOJWPXULNEU-UHFFFAOYSA-N 1 2 296.370 3.586 20 0 CHADLO COc1ccc2cc(NCc3ccc(C)nc3)[nH+]cc2c1 ZINC001162865813 1133117604 /nfs/dbraw/zinc/11/76/04/1133117604.db2.gz WBGBNCDAKOXBMB-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO Cc1ccc([C@@H](CO)Nc2[nH+]c(C)cc(Cl)c2C)cc1 ZINC001162946892 1133123926 /nfs/dbraw/zinc/12/39/26/1133123926.db2.gz NLJRCTOHHSBOOW-OAHLLOKOSA-N 1 2 290.794 3.806 20 0 CHADLO c1cn2cc(CNc3nccc4ccsc43)ccc2[nH+]1 ZINC001163136212 1133130849 /nfs/dbraw/zinc/13/08/49/1133130849.db2.gz BZTWROLACVNSSY-UHFFFAOYSA-N 1 2 280.356 3.556 20 0 CHADLO Cc1cc(NCc2ccc3nccn3c2)[nH+]c2ccccc12 ZINC001163138897 1133131236 /nfs/dbraw/zinc/13/12/36/1133131236.db2.gz DCPGBGUHZDJHRM-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO COc1ccc2[nH+]c(N[C@@H](C)c3ccno3)c(C)cc2c1 ZINC001163207595 1133136440 /nfs/dbraw/zinc/13/64/40/1133136440.db2.gz PPGWDKGAJBTFNA-NSHDSACASA-N 1 2 283.331 3.713 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccno2)[nH+]c2ccccc12 ZINC001163207651 1133136943 /nfs/dbraw/zinc/13/69/43/1133136943.db2.gz DBTSRKKCNXJDIV-NSHDSACASA-N 1 2 253.305 3.704 20 0 CHADLO Cc1cc(N[C@@H]2CCCC23CCC3)nc(C2CC2)[nH+]1 ZINC001163521994 1133156032 /nfs/dbraw/zinc/15/60/32/1133156032.db2.gz YNSRKTXZNZDRAP-CYBMUJFWSA-N 1 2 257.381 3.797 20 0 CHADLO Oc1cccc(CCNc2cc(-c3ccncc3)cc[nH+]2)c1 ZINC001163694285 1133165796 /nfs/dbraw/zinc/16/57/96/1133165796.db2.gz AINNSMSUGYJSBZ-UHFFFAOYSA-N 1 2 291.354 3.504 20 0 CHADLO CC(C)c1cc(NCC2(CO)CCCC2)nc(C(C)C)[nH+]1 ZINC001163728307 1133169118 /nfs/dbraw/zinc/16/91/18/1133169118.db2.gz IYCZQMMOFYZZME-UHFFFAOYSA-N 1 2 291.439 3.688 20 0 CHADLO CC(C)(C)c1ccc(N2CC[C@@H](F)C(F)(F)CC2)[nH+]c1 ZINC001163785012 1133175585 /nfs/dbraw/zinc/17/55/85/1133175585.db2.gz MAFQQSYHKWISAB-GFCCVEGCSA-N 1 2 286.341 3.953 20 0 CHADLO FC1(C2CC2)CN(c2cc(-c3ccccc3)cc[nH+]2)C1 ZINC001163968499 1133189456 /nfs/dbraw/zinc/18/94/56/1133189456.db2.gz UJCCXWGGKPLCNK-UHFFFAOYSA-N 1 2 268.335 3.687 20 0 CHADLO CNc1ccc(N[C@H](c2ccccc2)c2ccncc2)c[nH+]1 ZINC001164075504 1133196010 /nfs/dbraw/zinc/19/60/10/1133196010.db2.gz QWPUBASYKNZAMH-GOSISDBHSA-N 1 2 290.370 3.720 20 0 CHADLO C[C@H]1CCN(c2cccc(C3CC3)[nH+]2)CCC1(F)F ZINC001164118752 1133198738 /nfs/dbraw/zinc/19/87/38/1133198738.db2.gz YITNZJCQBDPOCU-NSHDSACASA-N 1 2 266.335 3.831 20 0 CHADLO Cc1[nH]c(CNc2nc3cc(C)ccc3s2)[nH+]c1C ZINC001164191124 1133204708 /nfs/dbraw/zinc/20/47/08/1133204708.db2.gz YGYJXHHWYFRRCM-UHFFFAOYSA-N 1 2 272.377 3.557 20 0 CHADLO c1cc2cc(NCc3cnc4ccccc4c3)[nH+]cc2[nH]1 ZINC001164200360 1133206569 /nfs/dbraw/zinc/20/65/69/1133206569.db2.gz KRPJZMSMJYHYRQ-UHFFFAOYSA-N 1 2 274.327 3.723 20 0 CHADLO Cc1cccc2c(C)cc(N3CCC(=O)[C@H](C)CC3)[nH+]c12 ZINC001164364205 1133215642 /nfs/dbraw/zinc/21/56/42/1133215642.db2.gz CFYLEDPGIYTGSV-GFCCVEGCSA-N 1 2 282.387 3.657 20 0 CHADLO CC(C)(C)c1ccc(N2CC(F)(F)C[C@H]2CF)[nH+]c1 ZINC001164532281 1133222597 /nfs/dbraw/zinc/22/25/97/1133222597.db2.gz BQLQTKRMNNTLBE-NSHDSACASA-N 1 2 272.314 3.563 20 0 CHADLO COc1ccc([NH2+]CCCc2ccncc2)c2[nH]ccc21 ZINC001165525138 1133244384 /nfs/dbraw/zinc/24/43/84/1133244384.db2.gz PRASVEBDVHJHAB-UHFFFAOYSA-N 1 2 281.359 3.616 20 0 CHADLO CCCc1cc(NCCCc2ccncc2)nc(CCC)[nH+]1 ZINC001165524624 1133244688 /nfs/dbraw/zinc/24/46/88/1133244688.db2.gz JKFSJHSFXZOSJJ-UHFFFAOYSA-N 1 2 298.434 3.821 20 0 CHADLO COc1cc[nH+]cc1NC1CCC(C(F)(F)F)CC1 ZINC001165624060 1133247140 /nfs/dbraw/zinc/24/71/40/1133247140.db2.gz BSKNRISNESMKJL-UHFFFAOYSA-N 1 2 274.286 3.623 20 0 CHADLO Nc1[nH+]cccc1CSCc1ccccc1C1CC1 ZINC001165999698 1133256896 /nfs/dbraw/zinc/25/68/96/1133256896.db2.gz ZDEUMIRBKFRDPF-UHFFFAOYSA-N 1 2 270.401 3.975 20 0 CHADLO Cc1c(F)cc[nH+]c1N1CCC[C@@H](Cc2ccccc2)C1 ZINC001166563143 1133270405 /nfs/dbraw/zinc/27/04/05/1133270405.db2.gz ACTAWAHLHKANDT-INIZCTEOSA-N 1 2 284.378 3.988 20 0 CHADLO Cc1nc([C@H]2CCCCN2c2[nH+]ccc3ccccc32)no1 ZINC001166713752 1133284310 /nfs/dbraw/zinc/28/43/10/1133284310.db2.gz KNYWKZJJHUWKKL-OAHLLOKOSA-N 1 2 294.358 3.658 20 0 CHADLO FC(F)[C@H]1CCCN(c2cc3ccccc3c[nH+]2)C1 ZINC001166771811 1133285137 /nfs/dbraw/zinc/28/51/37/1133285137.db2.gz MJCLVCBZXYHONV-ZDUSSCGKSA-N 1 2 262.303 3.716 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@H](C(F)F)C2)c1 ZINC001166775908 1133286379 /nfs/dbraw/zinc/28/63/79/1133286379.db2.gz BVYWGIKRAFWAKF-JTQLQIEISA-N 1 2 272.364 3.675 20 0 CHADLO CCc1cn(CC(=O)c2sc3ccccc3c2C)c[nH+]1 ZINC001166822866 1133290299 /nfs/dbraw/zinc/29/02/99/1133290299.db2.gz OHWONKKNVYUJDH-UHFFFAOYSA-N 1 2 284.384 3.852 20 0 CHADLO CC(C)n1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001203164329 1133304404 /nfs/dbraw/zinc/30/44/04/1133304404.db2.gz IOBIPJWPHLMEFD-UHFFFAOYSA-N 1 2 270.380 3.808 20 0 CHADLO Cc1cccc(-n2nccc2Nc2ccc(C)[nH+]c2C)c1 ZINC001203369263 1133319428 /nfs/dbraw/zinc/31/94/28/1133319428.db2.gz NKOQVBDEFATROZ-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1ccc(Nc2ccccc2C(C)(C)O)c(C)[nH+]1 ZINC001203374315 1133319863 /nfs/dbraw/zinc/31/98/63/1133319863.db2.gz HBMXAUVYELIBPN-UHFFFAOYSA-N 1 2 256.349 3.669 20 0 CHADLO Cc1ccc(Nc2csc(Br)n2)c(C)[nH+]1 ZINC001203370485 1133320508 /nfs/dbraw/zinc/32/05/08/1133320508.db2.gz MDQQVXQOIZHVTE-UHFFFAOYSA-N 1 2 284.182 3.661 20 0 CHADLO Cc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(C)n1 ZINC001203373126 1133320566 /nfs/dbraw/zinc/32/05/66/1133320566.db2.gz HVNWBOALUUOSGG-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO CNc1ccc(Nc2ccc(OC)cc2Cl)c[nH+]1 ZINC001203457794 1133328990 /nfs/dbraw/zinc/32/89/90/1133328990.db2.gz ACKZCPKRLTZGKP-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO CNc1ccc(Nc2cccc(OC(F)(F)F)c2)c[nH+]1 ZINC001203455409 1133329007 /nfs/dbraw/zinc/32/90/07/1133329007.db2.gz SPTJEKQODKFKGZ-UHFFFAOYSA-N 1 2 283.253 3.766 20 0 CHADLO CNc1ccc(Nc2sc(C)nc2C(F)(F)F)c[nH+]1 ZINC001203449020 1133329580 /nfs/dbraw/zinc/32/95/80/1133329580.db2.gz WEIRJZSGTJHKTG-UHFFFAOYSA-N 1 2 288.298 3.651 20 0 CHADLO CNc1ccc(Nc2cc3ccccc3cc2O)c[nH+]1 ZINC001203456808 1133329939 /nfs/dbraw/zinc/32/99/39/1133329939.db2.gz YHHFAUNYPMODFO-UHFFFAOYSA-N 1 2 265.316 3.726 20 0 CHADLO CNc1ccc(Nc2cc(F)c(Cl)cc2F)c[nH+]1 ZINC001203462457 1133330614 /nfs/dbraw/zinc/33/06/14/1133330614.db2.gz SHEKSTUTFGCMCO-UHFFFAOYSA-N 1 2 269.682 3.799 20 0 CHADLO CNc1ccc(Nc2ccc(-n3nc(C)cc3C)cc2)c[nH+]1 ZINC001203458666 1133330734 /nfs/dbraw/zinc/33/07/34/1133330734.db2.gz RGSBFLAGEJSDCV-UHFFFAOYSA-N 1 2 293.374 3.669 20 0 CHADLO Cc1cc(NCc2cccc3cnccc32)c[nH+]c1C ZINC001203486186 1133332188 /nfs/dbraw/zinc/33/21/88/1133332188.db2.gz WQTXATXBEDUFGA-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO COc1ccc(C)cc1CNc1c[nH+]c(C)c(C)c1 ZINC001203485969 1133333240 /nfs/dbraw/zinc/33/32/40/1133333240.db2.gz RRJULZTZYUVCJB-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO COc1ccc2c(Nc3cc(C)cc(C)n3)cc[nH+]c2c1 ZINC001203520827 1133335549 /nfs/dbraw/zinc/33/55/49/1133335549.db2.gz YUOIEVUTEYOHMP-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1ccc2c(c1)nccc2Nc1cc(OC)c(C)c[nH+]1 ZINC001203524821 1133335918 /nfs/dbraw/zinc/33/59/18/1133335918.db2.gz CGOJSEVCWSWJMB-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO COc1ccc2c(Nc3cc(OC)c(C)cn3)cc[nH+]c2c1 ZINC001203524821 1133335924 /nfs/dbraw/zinc/33/59/24/1133335924.db2.gz CGOJSEVCWSWJMB-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(C(F)(F)F)cnc2F)c1 ZINC001203661646 1133349091 /nfs/dbraw/zinc/34/90/91/1133349091.db2.gz XYUHTMWXTUZOJO-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc3c(C(C)C)cnn3c2)c1 ZINC001203658115 1133349712 /nfs/dbraw/zinc/34/97/12/1133349712.db2.gz XAKYEGWIMFEVNQ-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO COc1ccc2c(c1Nc1cc(C)c[nH+]c1C)C(=O)CC2 ZINC001203663209 1133350159 /nfs/dbraw/zinc/35/01/59/1133350159.db2.gz DJPCJMNVOXYGTB-UHFFFAOYSA-N 1 2 282.343 3.580 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(CO)c(Cl)c2)c1 ZINC001203663492 1133350961 /nfs/dbraw/zinc/35/09/61/1133350961.db2.gz MMMXBDKCTSJYQL-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Cl)c(F)cc2N)c1 ZINC001203663306 1133351084 /nfs/dbraw/zinc/35/10/84/1133351084.db2.gz MZDSZWCQGVRQGA-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO COc1cc(Nc2cc(C)c[nH+]c2C)ccc1C(C)=O ZINC001203664085 1133351525 /nfs/dbraw/zinc/35/15/25/1133351525.db2.gz XKLLEQJSVPBOTD-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc3c2CCC3=O)c1 ZINC001203663603 1133351732 /nfs/dbraw/zinc/35/17/32/1133351732.db2.gz TZGJCQMVGBQTDW-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO c1cnc2c(c1)onc2Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203713321 1133357502 /nfs/dbraw/zinc/35/75/02/1133357502.db2.gz XIYVGBSGIPHHTL-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO CCn1cnc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001203719673 1133358980 /nfs/dbraw/zinc/35/89/80/1133358980.db2.gz ZXHNWFSQFOBYIM-UHFFFAOYSA-N 1 2 270.380 3.637 20 0 CHADLO C/C(=C/c1ccc(F)cc1)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC001276418351 1133365510 /nfs/dbraw/zinc/36/55/10/1133365510.db2.gz LEPMRHRBRRRESM-WQLSENKSSA-N 1 2 298.361 3.557 20 0 CHADLO CC[C@@H](CC(=O)NCc1cc(C)[nH+]c(C)c1)C(C)(C)C ZINC001276419029 1133366960 /nfs/dbraw/zinc/36/69/60/1133366960.db2.gz RRYVKNACTIGEEH-HNNXBMFYSA-N 1 2 276.424 3.777 20 0 CHADLO O=C1CC[N@@H+](Cc2cccc(Cl)c2)[C@H]2CCCC[C@H]12 ZINC001203795396 1133369907 /nfs/dbraw/zinc/36/99/07/1133369907.db2.gz DWWIKLHAEAHOJQ-GJZGRUSLSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2cccc(Cl)c2)[C@H]2CCCC[C@H]12 ZINC001203795396 1133369912 /nfs/dbraw/zinc/36/99/12/1133369912.db2.gz DWWIKLHAEAHOJQ-GJZGRUSLSA-N 1 2 277.795 3.674 20 0 CHADLO Clc1cc(Cl)cc(C[NH+]2CC(c3cccnc3)C2)c1 ZINC001203810663 1133373003 /nfs/dbraw/zinc/37/30/03/1133373003.db2.gz IIMJKXGEHXHJAF-UHFFFAOYSA-N 1 2 293.197 3.988 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Oc3ccccc3)cc2)CCC1=O ZINC001203946886 1133388128 /nfs/dbraw/zinc/38/81/28/1133388128.db2.gz ZIXBHZNYRWMPHN-OAHLLOKOSA-N 1 2 295.382 3.890 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Oc3ccccc3)cc2)CCC1=O ZINC001203946886 1133388135 /nfs/dbraw/zinc/38/81/35/1133388135.db2.gz ZIXBHZNYRWMPHN-OAHLLOKOSA-N 1 2 295.382 3.890 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3nc(Cl)ccc3C2)cc1 ZINC001204172086 1133414963 /nfs/dbraw/zinc/41/49/63/1133414963.db2.gz WZMQWPNABQGLAA-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3nc(Cl)ccc3C2)cc1 ZINC001204172086 1133414967 /nfs/dbraw/zinc/41/49/67/1133414967.db2.gz WZMQWPNABQGLAA-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCc3c(Cl)cccc3C2)o1 ZINC001204180995 1133416979 /nfs/dbraw/zinc/41/69/79/1133416979.db2.gz YVDIUQBHHACEPY-UHFFFAOYSA-N 1 2 291.778 3.890 20 0 CHADLO CCOc1ccc(C[N@H+]2CCc3c(Cl)cccc3C2)o1 ZINC001204180995 1133416983 /nfs/dbraw/zinc/41/69/83/1133416983.db2.gz YVDIUQBHHACEPY-UHFFFAOYSA-N 1 2 291.778 3.890 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cccc(F)c1F)C2 ZINC001204200479 1133418125 /nfs/dbraw/zinc/41/81/25/1133418125.db2.gz ICLGSPAFVJZNOF-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cccc(F)c1F)C2 ZINC001204200479 1133418127 /nfs/dbraw/zinc/41/81/27/1133418127.db2.gz ICLGSPAFVJZNOF-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO OCC[N@H+](Cc1cccs1)Cc1ccc(F)cc1Cl ZINC001204436252 1133447329 /nfs/dbraw/zinc/44/73/29/1133447329.db2.gz BJBXTMBDGXEIBP-UHFFFAOYSA-N 1 2 299.798 3.535 20 0 CHADLO OCC[N@@H+](Cc1cccs1)Cc1ccc(F)cc1Cl ZINC001204436252 1133447332 /nfs/dbraw/zinc/44/73/32/1133447332.db2.gz BJBXTMBDGXEIBP-UHFFFAOYSA-N 1 2 299.798 3.535 20 0 CHADLO C[N@H+](Cc1ccccn1)Cc1c(F)cccc1C(F)(F)F ZINC001204455887 1133449635 /nfs/dbraw/zinc/44/96/35/1133449635.db2.gz DIZWMZYKPDMWPN-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccccn1)Cc1c(F)cccc1C(F)(F)F ZINC001204455887 1133449637 /nfs/dbraw/zinc/44/96/37/1133449637.db2.gz DIZWMZYKPDMWPN-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1ccc(C(F)(F)F)cc1F ZINC001204462956 1133450025 /nfs/dbraw/zinc/45/00/25/1133450025.db2.gz BYWSTFKPYJLTGI-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1ccc(C(F)(F)F)cc1F ZINC001204462956 1133450028 /nfs/dbraw/zinc/45/00/28/1133450028.db2.gz BYWSTFKPYJLTGI-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Fc1cccc(C(F)(F)F)c1C[NH+]1CCC(F)CC1 ZINC001204456926 1133450532 /nfs/dbraw/zinc/45/05/32/1133450532.db2.gz PFQXIBCUQAJLHO-UHFFFAOYSA-N 1 2 279.252 3.778 20 0 CHADLO Clc1cccc(-c2ccc(C[N@@H+]3C[C@@H]4C[C@H]3CO4)o2)c1 ZINC001204710263 1133477122 /nfs/dbraw/zinc/47/71/22/1133477122.db2.gz DXWUQHSZUUVVCQ-ZFWWWQNUSA-N 1 2 289.762 3.573 20 0 CHADLO Clc1cccc(-c2ccc(C[N@H+]3C[C@@H]4C[C@H]3CO4)o2)c1 ZINC001204710263 1133477128 /nfs/dbraw/zinc/47/71/28/1133477128.db2.gz DXWUQHSZUUVVCQ-ZFWWWQNUSA-N 1 2 289.762 3.573 20 0 CHADLO Clc1ccccc1-c1ccc(C[N@H+]2C[C@H]3C[C@@H](C2)O3)o1 ZINC001204738342 1133480426 /nfs/dbraw/zinc/48/04/26/1133480426.db2.gz CEBYPSYTKFYZLF-BETUJISGSA-N 1 2 289.762 3.573 20 0 CHADLO Clc1ccccc1-c1ccc(C[N@@H+]2C[C@H]3C[C@@H](C2)O3)o1 ZINC001204738342 1133480427 /nfs/dbraw/zinc/48/04/27/1133480427.db2.gz CEBYPSYTKFYZLF-BETUJISGSA-N 1 2 289.762 3.573 20 0 CHADLO Clc1ccccc1-c1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CO3)o1 ZINC001204739825 1133480520 /nfs/dbraw/zinc/48/05/20/1133480520.db2.gz YTPVSSAGUWAROK-AAEUAGOBSA-N 1 2 289.762 3.573 20 0 CHADLO Clc1ccccc1-c1ccc(C[N@H+]2C[C@@H]3C[C@H]2CO3)o1 ZINC001204739825 1133480524 /nfs/dbraw/zinc/48/05/24/1133480524.db2.gz YTPVSSAGUWAROK-AAEUAGOBSA-N 1 2 289.762 3.573 20 0 CHADLO CNc1cc(-c2ccc(OCc3ccccc3)nc2)cc[nH+]1 ZINC001204764853 1133482697 /nfs/dbraw/zinc/48/26/97/1133482697.db2.gz OUFYNPHLVCIQAQ-UHFFFAOYSA-N 1 2 291.354 3.764 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nc3ccccc3[nH]2)cn1 ZINC001204793307 1133486694 /nfs/dbraw/zinc/48/66/94/1133486694.db2.gz HZZKQYBHFKAIKG-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nc3ccccc3[nH]2)cn1 ZINC001204793307 1133486696 /nfs/dbraw/zinc/48/66/96/1133486696.db2.gz HZZKQYBHFKAIKG-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3cccc(F)c3[C@H]2C)o1 ZINC001204817969 1133489703 /nfs/dbraw/zinc/48/97/03/1133489703.db2.gz ZFYNUDLSGGLBRA-LLVKDONJSA-N 1 2 275.323 3.547 20 0 CHADLO COc1ccc(C[N@H+]2CCc3cccc(F)c3[C@H]2C)o1 ZINC001204817969 1133489707 /nfs/dbraw/zinc/48/97/07/1133489707.db2.gz ZFYNUDLSGGLBRA-LLVKDONJSA-N 1 2 275.323 3.547 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3ccc(F)cc3C2)c(F)c1 ZINC001204934122 1133502468 /nfs/dbraw/zinc/50/24/68/1133502468.db2.gz QGBZHSUFXMRKGP-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3ccc(F)cc3C2)c(F)c1 ZINC001204934122 1133502474 /nfs/dbraw/zinc/50/24/74/1133502474.db2.gz QGBZHSUFXMRKGP-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Fc1nc(F)c(Cl)c(Nc2ccn3cc[nH+]c3c2)c1F ZINC001204951567 1133507213 /nfs/dbraw/zinc/50/72/13/1133507213.db2.gz VRBOVNZWFGDOTM-UHFFFAOYSA-N 1 2 298.655 3.544 20 0 CHADLO COc1ccc2cc(Nc3ccn4cc[nH+]c4c3)cnc2c1 ZINC001204958885 1133507999 /nfs/dbraw/zinc/50/79/99/1133507999.db2.gz CVVMPZWFJQYLKX-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO CC(=O)c1ccc(Cl)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204982873 1133513065 /nfs/dbraw/zinc/51/30/65/1133513065.db2.gz KQOQEPFFXBNOMW-UHFFFAOYSA-N 1 2 285.734 3.934 20 0 CHADLO CC(=O)c1ccc(C)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204991766 1133513961 /nfs/dbraw/zinc/51/39/61/1133513961.db2.gz UWYPTBMBMDEXJI-UHFFFAOYSA-N 1 2 265.316 3.589 20 0 CHADLO Nc1cc(Cl)c(Nc2ccn3cc[nH+]c3c2)cc1Cl ZINC001204991829 1133514102 /nfs/dbraw/zinc/51/41/02/1133514102.db2.gz WMMRHWUSZOPSHL-UHFFFAOYSA-N 1 2 293.157 3.967 20 0 CHADLO CCC(=O)c1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001204990591 1133514399 /nfs/dbraw/zinc/51/43/99/1133514399.db2.gz BCPGDOAHUBKGLM-UHFFFAOYSA-N 1 2 265.316 3.671 20 0 CHADLO COc1ccc(Nc2ccn3cc[nH+]c3c2)c2ccncc12 ZINC001204996934 1133514835 /nfs/dbraw/zinc/51/48/35/1133514835.db2.gz BHUSSGQKSISZDE-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO c1cn2ccc(Nc3ccccc3OCC3CC3)cc2[nH+]1 ZINC001205006080 1133516217 /nfs/dbraw/zinc/51/62/17/1133516217.db2.gz RSDHELIZQDTSCV-UHFFFAOYSA-N 1 2 279.343 3.867 20 0 CHADLO Nc1ccccc1N(c1ccn2cc[nH+]c2c1)C1CCCC1 ZINC001205005945 1133516257 /nfs/dbraw/zinc/51/62/57/1133516257.db2.gz PLLPAQBGYPJWDX-UHFFFAOYSA-N 1 2 292.386 3.997 20 0 CHADLO Oc1ccc(-c2cccc3[nH+]ccn32)c(C(F)(F)F)c1 ZINC001205092346 1133527875 /nfs/dbraw/zinc/52/78/75/1133527875.db2.gz CFNCFROEICMVBY-UHFFFAOYSA-N 1 2 278.233 3.726 20 0 CHADLO COc1cc(Cl)cc(-c2ccc3[nH+]c(C)cn3c2)c1 ZINC001205135569 1133535172 /nfs/dbraw/zinc/53/51/72/1133535172.db2.gz KTPWFBXZSCKCLX-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc(F)c(C)c1)C2 ZINC001205199181 1133545425 /nfs/dbraw/zinc/54/54/25/1133545425.db2.gz ZCGOOOYMSLKMAK-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc(F)c(C)c1)C2 ZINC001205199181 1133545430 /nfs/dbraw/zinc/54/54/30/1133545430.db2.gz ZCGOOOYMSLKMAK-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc(F)c3n[nH]cc32)c1 ZINC001205357563 1133568556 /nfs/dbraw/zinc/56/85/56/1133568556.db2.gz XMSOGXXRLXVCGT-UHFFFAOYSA-N 1 2 292.317 3.770 20 0 CHADLO Cc1[nH]c(CN2CCc3cc(C(C)(C)C)ccc32)[nH+]c1C ZINC001205485939 1133581120 /nfs/dbraw/zinc/58/11/20/1133581120.db2.gz GCURPXMCAUBICI-UHFFFAOYSA-N 1 2 283.419 3.887 20 0 CHADLO CCn1cc(C[N@@H+]2CCC[C@H]2c2c(F)cccc2F)cn1 ZINC001205553073 1133586802 /nfs/dbraw/zinc/58/68/02/1133586802.db2.gz WCSVWVDLIQEOTE-HNNXBMFYSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cc(C[N@H+]2CCC[C@H]2c2c(F)cccc2F)cn1 ZINC001205553073 1133586807 /nfs/dbraw/zinc/58/68/07/1133586807.db2.gz WCSVWVDLIQEOTE-HNNXBMFYSA-N 1 2 291.345 3.518 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2ccc3[nH]ccc3c2)C1 ZINC001205563713 1133588116 /nfs/dbraw/zinc/58/81/16/1133588116.db2.gz XNLKPPPPNMJEMD-UHFFFAOYSA-N 1 2 264.319 3.789 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2ccc3[nH]ccc3c2)C1 ZINC001205563713 1133588120 /nfs/dbraw/zinc/58/81/20/1133588120.db2.gz XNLKPPPPNMJEMD-UHFFFAOYSA-N 1 2 264.319 3.789 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc2cc(O)ccc2c1 ZINC001205777071 1133612315 /nfs/dbraw/zinc/61/23/15/1133612315.db2.gz AHTUEXUBFXACJX-UHFFFAOYSA-N 1 2 290.322 3.869 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1ncccc1C ZINC001205814299 1133617731 /nfs/dbraw/zinc/61/77/31/1133617731.db2.gz ZYSXOFFDOKJHTP-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ncccc1C ZINC001205814299 1133617735 /nfs/dbraw/zinc/61/77/35/1133617735.db2.gz ZYSXOFFDOKJHTP-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO Cc1ccccc1-c1noc([C@H]([NH3+])c2cccc(Cl)c2)n1 ZINC001205812642 1133618125 /nfs/dbraw/zinc/61/81/25/1133618125.db2.gz ZQQFDDRMRSIVEU-CQSZACIVSA-N 1 2 299.761 3.747 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CC[C@H](C)C[C@@H]2C)c(C)[nH+]1 ZINC001205900119 1133628608 /nfs/dbraw/zinc/62/86/08/1133628608.db2.gz VAQLOBABZLGFTH-ZIBATOQPSA-N 1 2 289.423 3.953 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)s2)n(C)n1 ZINC001205910465 1133630027 /nfs/dbraw/zinc/63/00/27/1133630027.db2.gz BJVUDYOLUAMIDU-GFCCVEGCSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)s2)n(C)n1 ZINC001205910465 1133630032 /nfs/dbraw/zinc/63/00/32/1133630032.db2.gz BJVUDYOLUAMIDU-GFCCVEGCSA-N 1 2 295.839 3.781 20 0 CHADLO Brc1cccc(C[NH+]2CC3(C2)CCCCC3)n1 ZINC001205976212 1133637363 /nfs/dbraw/zinc/63/73/63/1133637363.db2.gz HQPDBUNKYFJEEE-UHFFFAOYSA-N 1 2 295.224 3.610 20 0 CHADLO CC[C@H](C)[C@H](C[N@@H+]1CCn2c(cc3ccccc32)C1)OC ZINC001206032116 1133645680 /nfs/dbraw/zinc/64/56/80/1133645680.db2.gz NGMGYMSFQADVMA-KSSFIOAISA-N 1 2 286.419 3.518 20 0 CHADLO CC[C@H](C)[C@H](C[N@H+]1CCn2c(cc3ccccc32)C1)OC ZINC001206032116 1133645681 /nfs/dbraw/zinc/64/56/81/1133645681.db2.gz NGMGYMSFQADVMA-KSSFIOAISA-N 1 2 286.419 3.518 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(F)cc(F)c2F)[nH+]1 ZINC001206375595 1133695689 /nfs/dbraw/zinc/69/56/89/1133695689.db2.gz LJZGGQOQCCHKKH-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO CC(C)(C)Oc1ncccc1Nc1cccn2cc[nH+]c12 ZINC001206488167 1133709216 /nfs/dbraw/zinc/70/92/16/1133709216.db2.gz QBNIXMSVSATFBS-UHFFFAOYSA-N 1 2 282.347 3.650 20 0 CHADLO c1c[nH+]c(N2CCCCC2)c(Nc2ccc3c(c2)OCC3)c1 ZINC001206653107 1133731383 /nfs/dbraw/zinc/73/13/83/1133731383.db2.gz WLWUHWRCPYBDEZ-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3c(c2)OCC3)cc1 ZINC001206708402 1133734000 /nfs/dbraw/zinc/73/40/00/1133734000.db2.gz PFAZBVWMOUXWHS-UHFFFAOYSA-N 1 2 268.360 3.821 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3c(c2)OCC3)cc1 ZINC001206708402 1133734003 /nfs/dbraw/zinc/73/40/03/1133734003.db2.gz PFAZBVWMOUXWHS-UHFFFAOYSA-N 1 2 268.360 3.821 20 0 CHADLO Oc1cc(F)cc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001206774466 1133746612 /nfs/dbraw/zinc/74/66/12/1133746612.db2.gz KZACTDWYDJPGIV-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cc1)Cc1ccncc1F ZINC001206785625 1133748667 /nfs/dbraw/zinc/74/86/67/1133748667.db2.gz IRSSBXILYXZZEI-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cc1)Cc1ccncc1F ZINC001206785625 1133748671 /nfs/dbraw/zinc/74/86/71/1133748671.db2.gz IRSSBXILYXZZEI-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccccn2)C2CCCCC2)no1 ZINC001206819259 1133752889 /nfs/dbraw/zinc/75/28/89/1133752889.db2.gz BCJFOTMTHZLINT-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccccn2)C2CCCCC2)no1 ZINC001206819259 1133752891 /nfs/dbraw/zinc/75/28/91/1133752891.db2.gz BCJFOTMTHZLINT-UHFFFAOYSA-N 1 2 285.391 3.713 20 0 CHADLO F[C@H]1CCCC[N@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC001206842918 1133753620 /nfs/dbraw/zinc/75/36/20/1133753620.db2.gz OSXVLPLKJZGIOV-AWEZNQCLSA-N 1 2 274.339 3.666 20 0 CHADLO F[C@H]1CCCC[N@@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC001206842918 1133753625 /nfs/dbraw/zinc/75/36/25/1133753625.db2.gz OSXVLPLKJZGIOV-AWEZNQCLSA-N 1 2 274.339 3.666 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1cc(C)ccn1 ZINC001206853162 1133756102 /nfs/dbraw/zinc/75/61/02/1133756102.db2.gz OCHDZOXTBGNBHQ-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1cc(C)ccn1 ZINC001206853162 1133756106 /nfs/dbraw/zinc/75/61/06/1133756106.db2.gz OCHDZOXTBGNBHQ-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO FC[C@@H]1CC[N@H+](CCC2CCCCC2)CC1(F)F ZINC001207254779 1133782512 /nfs/dbraw/zinc/78/25/12/1133782512.db2.gz NEQCDTODKPYVHB-ZDUSSCGKSA-N 1 2 263.347 3.884 20 0 CHADLO FC[C@@H]1CC[N@@H+](CCC2CCCCC2)CC1(F)F ZINC001207254779 1133782517 /nfs/dbraw/zinc/78/25/17/1133782517.db2.gz NEQCDTODKPYVHB-ZDUSSCGKSA-N 1 2 263.347 3.884 20 0 CHADLO Clc1cncc(NCc2cc(-n3cc[nH+]c3)cs2)c1 ZINC001207565941 1133819501 /nfs/dbraw/zinc/81/95/01/1133819501.db2.gz UKKIPKCTXKNLKC-UHFFFAOYSA-N 1 2 290.779 3.594 20 0 CHADLO c1c2ccncc2sc1C[NH+]1CC(Oc2ccccc2)C1 ZINC001207866707 1133856017 /nfs/dbraw/zinc/85/60/17/1133856017.db2.gz WBANZLKMZBIRQI-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO C[C@H](C[N@@H+]1CCCC(=O)[C@H](F)C1)c1cccc2ccccc21 ZINC001208705672 1133932679 /nfs/dbraw/zinc/93/26/79/1133932679.db2.gz JPOZOVKWDRUMLT-RDTXWAMCSA-N 1 2 299.389 3.946 20 0 CHADLO C[C@H](C[N@H+]1CCCC(=O)[C@H](F)C1)c1cccc2ccccc21 ZINC001208705672 1133932684 /nfs/dbraw/zinc/93/26/84/1133932684.db2.gz JPOZOVKWDRUMLT-RDTXWAMCSA-N 1 2 299.389 3.946 20 0 CHADLO O=C1C[N@@H+](CC2(c3ccccc3)CCCC2)CCC[C@@H]1F ZINC001208770360 1133941282 /nfs/dbraw/zinc/94/12/82/1133941282.db2.gz AGQUFLSBAJINRN-INIZCTEOSA-N 1 2 289.394 3.501 20 0 CHADLO O=C1C[N@H+](CC2(c3ccccc3)CCCC2)CCC[C@@H]1F ZINC001208770360 1133941285 /nfs/dbraw/zinc/94/12/85/1133941285.db2.gz AGQUFLSBAJINRN-INIZCTEOSA-N 1 2 289.394 3.501 20 0 CHADLO CCOc1cc[nH+]cc1NC1=CCN(c2ccccc2)CC1 ZINC001209010135 1133971081 /nfs/dbraw/zinc/97/10/81/1133971081.db2.gz YOUKFBTXYXNIMI-UHFFFAOYSA-N 1 2 295.386 3.686 20 0 CHADLO CC1(C)COCC[N@@H+]1CCc1ccc(Cl)cc1Cl ZINC001209080277 1133972812 /nfs/dbraw/zinc/97/28/12/1133972812.db2.gz APOXRBIUAFWRSL-UHFFFAOYSA-N 1 2 288.218 3.647 20 0 CHADLO CC1(C)COCC[N@H+]1CCc1ccc(Cl)cc1Cl ZINC001209080277 1133972815 /nfs/dbraw/zinc/97/28/15/1133972815.db2.gz APOXRBIUAFWRSL-UHFFFAOYSA-N 1 2 288.218 3.647 20 0 CHADLO CCOC(C)(C)C[N@@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001209078664 1133973000 /nfs/dbraw/zinc/97/30/00/1133973000.db2.gz KGJCJRPYIMPETL-OAHLLOKOSA-N 1 2 297.389 3.926 20 0 CHADLO CCOC(C)(C)C[N@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001209078664 1133973003 /nfs/dbraw/zinc/97/30/03/1133973003.db2.gz KGJCJRPYIMPETL-OAHLLOKOSA-N 1 2 297.389 3.926 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1CCc1c(Cl)cccc1Cl ZINC001209104406 1133975966 /nfs/dbraw/zinc/97/59/66/1133975966.db2.gz BKUBVRYEKDCYTC-ZJUUUORDSA-N 1 2 276.182 3.968 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1CCc1c(Cl)cccc1Cl ZINC001209104406 1133975969 /nfs/dbraw/zinc/97/59/69/1133975969.db2.gz BKUBVRYEKDCYTC-ZJUUUORDSA-N 1 2 276.182 3.968 20 0 CHADLO CCc1cncc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001209191911 1133986542 /nfs/dbraw/zinc/98/65/42/1133986542.db2.gz YIKPXHXBRLWWQF-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO CCc1cncc(Nc2ccc([N@@H+](C)CC)cc2)c1 ZINC001209234141 1133995016 /nfs/dbraw/zinc/99/50/16/1133995016.db2.gz JJNNAVCWXCLGPC-UHFFFAOYSA-N 1 2 255.365 3.844 20 0 CHADLO CCc1cncc(Nc2ccc([N@H+](C)CC)cc2)c1 ZINC001209234141 1133995020 /nfs/dbraw/zinc/99/50/20/1133995020.db2.gz JJNNAVCWXCLGPC-UHFFFAOYSA-N 1 2 255.365 3.844 20 0 CHADLO CC/C=C\CCCCCC[N@H+]1CCCC(=O)[C@H](F)C1 ZINC001209287635 1134001128 /nfs/dbraw/zinc/00/11/28/1134001128.db2.gz WDLOFTXOGMLLBS-ABCZVMIZSA-N 1 2 269.404 3.906 20 0 CHADLO CC/C=C\CCCCCC[N@@H+]1CCCC(=O)[C@H](F)C1 ZINC001209287635 1134001127 /nfs/dbraw/zinc/00/11/27/1134001127.db2.gz WDLOFTXOGMLLBS-ABCZVMIZSA-N 1 2 269.404 3.906 20 0 CHADLO Cc1ccc2[nH]cc(C[N@@H+]3CCn4cccc4[C@@H]3C)c2c1 ZINC001209493409 1134030706 /nfs/dbraw/zinc/03/07/06/1134030706.db2.gz GNCOTGQSGHOLAI-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1ccc2[nH]cc(C[N@H+]3CCn4cccc4[C@@H]3C)c2c1 ZINC001209493409 1134030715 /nfs/dbraw/zinc/03/07/15/1134030715.db2.gz GNCOTGQSGHOLAI-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccccc2Br)[nH]1 ZINC001209566479 1134037704 /nfs/dbraw/zinc/03/77/04/1134037704.db2.gz MRBKEINFHOHUKX-UHFFFAOYSA-N 1 2 293.208 3.718 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccccc2Br)[nH]1 ZINC001209566479 1134037710 /nfs/dbraw/zinc/03/77/10/1134037710.db2.gz MRBKEINFHOHUKX-UHFFFAOYSA-N 1 2 293.208 3.718 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc2[nH]cc(Cl)c21 ZINC001209659831 1134048721 /nfs/dbraw/zinc/04/87/21/1134048721.db2.gz VVVXLPZMJSEIPU-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc2[nH]cc(Cl)c21 ZINC001209659831 1134048728 /nfs/dbraw/zinc/04/87/28/1134048728.db2.gz VVVXLPZMJSEIPU-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO Clc1ccc2[nH]c(C[N@@H+]3CCc4cccnc4C3)cc2c1 ZINC001209723110 1134055577 /nfs/dbraw/zinc/05/55/77/1134055577.db2.gz BIEBVCAATKLKTK-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2[nH]c(C[N@H+]3CCc4cccnc4C3)cc2c1 ZINC001209723110 1134055584 /nfs/dbraw/zinc/05/55/84/1134055584.db2.gz BIEBVCAATKLKTK-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO c1cc(Nc2ccc3c(c2)OCCN3)[nH+]c(C2CCC2)c1 ZINC001209722597 1134055882 /nfs/dbraw/zinc/05/58/82/1134055882.db2.gz KZVNFYVGFJYOPQ-UHFFFAOYSA-N 1 2 281.359 3.897 20 0 CHADLO C[C@@H]1C[N@H+](Cc2[nH]nc3ccc(Cl)cc32)CCC1(F)F ZINC001209750224 1134059307 /nfs/dbraw/zinc/05/93/07/1134059307.db2.gz GKGNJXFDBSFAPA-SECBINFHSA-N 1 2 299.752 3.693 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2[nH]nc3ccc(Cl)cc32)CCC1(F)F ZINC001209750224 1134059314 /nfs/dbraw/zinc/05/93/14/1134059314.db2.gz GKGNJXFDBSFAPA-SECBINFHSA-N 1 2 299.752 3.693 20 0 CHADLO Fc1ccc2nc(Nc3ccc4[nH]c[nH+]c4c3)oc2c1 ZINC001209841439 1134079053 /nfs/dbraw/zinc/07/90/53/1134079053.db2.gz OPJQTJGPZOJENG-UHFFFAOYSA-N 1 2 268.251 3.587 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2CCO)c2ccccc12 ZINC001209922666 1134102328 /nfs/dbraw/zinc/10/23/28/1134102328.db2.gz FMHXWVGBTWUYIY-UHFFFAOYSA-N 1 2 294.354 3.522 20 0 CHADLO CO[C@@H](C)c1cccc(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001209946896 1134106489 /nfs/dbraw/zinc/10/64/89/1134106489.db2.gz QHSDFGXQFKUIGA-LBPRGKRZSA-N 1 2 271.364 3.599 20 0 CHADLO CO[C@@H](C)c1cccc(-n2c(C)[nH+]c3ccc(N)cc32)c1 ZINC001209952512 1134108716 /nfs/dbraw/zinc/10/87/16/1134108716.db2.gz WAKKVIBIWOARBW-NSHDSACASA-N 1 2 281.359 3.624 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(O)c(C(F)(F)F)c1 ZINC001209984952 1134116867 /nfs/dbraw/zinc/11/68/67/1134116867.db2.gz YYVXCKJDBVXQNW-UHFFFAOYSA-N 1 2 298.264 3.948 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(OC(C)C)c(F)c1 ZINC001209995086 1134119062 /nfs/dbraw/zinc/11/90/62/1134119062.db2.gz IOJJWCAPZCVPHL-UHFFFAOYSA-N 1 2 276.311 3.766 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c(c2)OCCCO3)[nH+]1 ZINC001209998965 1134120141 /nfs/dbraw/zinc/12/01/41/1134120141.db2.gz ZKOJKQAKZJLJAN-UHFFFAOYSA-N 1 2 295.342 3.548 20 0 CHADLO Cc1cc(C)c(Nc2ccc(OCC3CC3)nc2)c[nH+]1 ZINC001210009952 1134122180 /nfs/dbraw/zinc/12/21/80/1134122180.db2.gz LJBRTYARBKJPRN-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO Cc1cc(Nc2cc[nH]c(=O)c2Cl)ccc1[NH+](C)C ZINC001210040722 1134131504 /nfs/dbraw/zinc/13/15/04/1134131504.db2.gz AQZVMIYHCFAPPD-UHFFFAOYSA-N 1 2 277.755 3.559 20 0 CHADLO Cc1cc(Nc2cccc(-c3nnco3)c2)ccc1[NH+](C)C ZINC001210042233 1134131818 /nfs/dbraw/zinc/13/18/18/1134131818.db2.gz XZDTWOFQJRWDQF-UHFFFAOYSA-N 1 2 294.358 3.855 20 0 CHADLO Cc1cc(Nc2cc(Cl)[nH]c(=O)c2)ccc1[NH+](C)C ZINC001210042765 1134132083 /nfs/dbraw/zinc/13/20/83/1134132083.db2.gz LPBAITQSGPWZST-UHFFFAOYSA-N 1 2 277.755 3.559 20 0 CHADLO c1ccc2nc(Nc3ccc4c[nH+]ccc4c3)ncc2c1 ZINC001210043790 1134133126 /nfs/dbraw/zinc/13/31/26/1134133126.db2.gz JODYFJSYFDTBRU-UHFFFAOYSA-N 1 2 272.311 3.922 20 0 CHADLO c1cnc(C2CC2)c(Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210044215 1134133341 /nfs/dbraw/zinc/13/33/41/1134133341.db2.gz NFOZWBKUTKEEPI-UHFFFAOYSA-N 1 2 262.316 3.646 20 0 CHADLO Cc1cn(-c2ccc([NH+](C)C)c(C)c2)c2cccc(N)c12 ZINC001210045353 1134133388 /nfs/dbraw/zinc/13/33/88/1134133388.db2.gz RNKCBACDFQOVTC-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO Cc1cc(N)c(Nc2ccc([NH+](C)C)c(C)c2)cc1F ZINC001210043634 1134133430 /nfs/dbraw/zinc/13/34/30/1134133430.db2.gz POAISZZFYKFMLM-UHFFFAOYSA-N 1 2 273.355 3.834 20 0 CHADLO Cc1cc(Nc2ccc(F)c(O)c2)ccc1[NH+](C)C ZINC001210043625 1134133509 /nfs/dbraw/zinc/13/35/09/1134133509.db2.gz PEZJICQHLNWEGO-UHFFFAOYSA-N 1 2 260.312 3.649 20 0 CHADLO CCOC(=O)c1cccnc1Nc1ccc2c[nH+]ccc2c1 ZINC001210043938 1134133636 /nfs/dbraw/zinc/13/36/36/1134133636.db2.gz LRLJTQZJTACXFY-UHFFFAOYSA-N 1 2 293.326 3.550 20 0 CHADLO Oc1c(Nc2ccc3c[nH+]ccc3c2)ccc(F)c1F ZINC001210052739 1134137158 /nfs/dbraw/zinc/13/71/58/1134137158.db2.gz TUWFUMINTPYBOV-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4cccnc4c3)ccc21 ZINC001210055943 1134139000 /nfs/dbraw/zinc/13/90/00/1134139000.db2.gz HGFJYQPDDDRVQL-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO Cc1cc2n[nH]cc2cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001210100252 1134146128 /nfs/dbraw/zinc/14/61/28/1134146128.db2.gz JULLIOLWFXHTAY-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1cc2n[nH]cc2cc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001210101553 1134146504 /nfs/dbraw/zinc/14/65/04/1134146504.db2.gz IJOCJLRUIGKMNM-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1cc2[nH]ncc2cc1Nc1cccc(C[NH+](C)C)c1 ZINC001210102698 1134147265 /nfs/dbraw/zinc/14/72/65/1134147265.db2.gz RXLRXOKSMPAERB-UHFFFAOYSA-N 1 2 280.375 3.677 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cc(F)ccc1CO ZINC001210181638 1134160793 /nfs/dbraw/zinc/16/07/93/1134160793.db2.gz LCSFQEQWPPGFJH-UHFFFAOYSA-N 1 2 288.366 3.964 20 0 CHADLO Cc1cccc(Nc2cccc3cc[nH+]cc32)c1O ZINC001210191681 1134162832 /nfs/dbraw/zinc/16/28/32/1134162832.db2.gz YESFHLOEDRCUIV-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO Cc1sc(Nc2cccc3cc[nH+]cc32)c(C(N)=O)c1C ZINC001210224308 1134171791 /nfs/dbraw/zinc/17/17/91/1134171791.db2.gz WVMRJFLDYHQXSG-UHFFFAOYSA-N 1 2 297.383 3.756 20 0 CHADLO FC(F)(F)c1ncncc1Nc1cccc2cc[nH+]cc21 ZINC001210225414 1134172858 /nfs/dbraw/zinc/17/28/58/1134172858.db2.gz GLASBAKKFNRWKX-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO COC(=O)c1cccc(Nc2cccc3cc[nH+]cc32)c1F ZINC001210232263 1134173969 /nfs/dbraw/zinc/17/39/69/1134173969.db2.gz ONCBMDRGPIZPGZ-UHFFFAOYSA-N 1 2 296.301 3.904 20 0 CHADLO Cc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(C)o1 ZINC001210281901 1134184951 /nfs/dbraw/zinc/18/49/51/1134184951.db2.gz YJRYBJWXJGKEFD-UHFFFAOYSA-N 1 2 267.332 3.885 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(-c3ccccc3)cn2)cc1N ZINC001210285333 1134185773 /nfs/dbraw/zinc/18/57/73/1134185773.db2.gz OUMXTNKNBRRQQO-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1cccc(Br)c1Nc1c[nH+]c(C)c(N)c1 ZINC001210287730 1134185789 /nfs/dbraw/zinc/18/57/89/1134185789.db2.gz BTYQKUOBVCQDMF-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1ccc2cc(Nc3c[nH+]c(C)c(N)c3)ccc2n1 ZINC001210287945 1134185828 /nfs/dbraw/zinc/18/58/28/1134185828.db2.gz IDFBSRGMPPJKEU-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)c(N)c2)nc2ccccc12 ZINC001210284501 1134185833 /nfs/dbraw/zinc/18/58/33/1134185833.db2.gz HUNWAYOHLDKBOE-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO Cc1[nH+]cc(Nc2ccsc2Br)cc1N ZINC001210288123 1134186275 /nfs/dbraw/zinc/18/62/75/1134186275.db2.gz AYMRIJJBRONGKT-UHFFFAOYSA-N 1 2 284.182 3.540 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Cl)c(F)c2)cc1N ZINC001210288539 1134186568 /nfs/dbraw/zinc/18/65/68/1134186568.db2.gz RWBNEGLLBOCZJV-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1[nH+]cc(Nc2cccc3c2CCCCC3=O)cc1N ZINC001210289524 1134187130 /nfs/dbraw/zinc/18/71/30/1134187130.db2.gz PKINDHLYXSFUTA-UHFFFAOYSA-N 1 2 281.359 3.625 20 0 CHADLO CCOc1ccc(Nc2c[nH+]c(C)c(N)c2)cc1OCC ZINC001210291252 1134187997 /nfs/dbraw/zinc/18/79/97/1134187997.db2.gz WTXCQUVXSBROPA-UHFFFAOYSA-N 1 2 287.363 3.513 20 0 CHADLO Cc1[nH+]cc(-n2c3ccccc3c3cccc(N)c32)cc1N ZINC001210292974 1134188009 /nfs/dbraw/zinc/18/80/09/1134188009.db2.gz DABABWNFMMFPEN-UHFFFAOYSA-N 1 2 288.354 3.652 20 0 CHADLO CSc1cc(Nc2ccc(OC(F)F)nc2)cc[nH+]1 ZINC001210301983 1134188950 /nfs/dbraw/zinc/18/89/50/1134188950.db2.gz QJJWFTUIUJIORC-UHFFFAOYSA-N 1 2 283.303 3.544 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnn(C(C)C)c2)cc1 ZINC001210324763 1134193152 /nfs/dbraw/zinc/19/31/52/1134193152.db2.gz UFONOSTXXLUHNX-UHFFFAOYSA-N 1 2 258.369 3.664 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnn(C(C)C)c2)cc1 ZINC001210324763 1134193157 /nfs/dbraw/zinc/19/31/57/1134193157.db2.gz UFONOSTXXLUHNX-UHFFFAOYSA-N 1 2 258.369 3.664 20 0 CHADLO COc1c(F)cccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001210407733 1134209826 /nfs/dbraw/zinc/20/98/26/1134209826.db2.gz FSEPYVUCBHWOQB-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Cn1cc[nH+]c1-c1ccc(-n2ccc3cccc(N)c32)cc1 ZINC001210435753 1134214230 /nfs/dbraw/zinc/21/42/30/1134214230.db2.gz KWBUKLXQRXPSFH-UHFFFAOYSA-N 1 2 288.354 3.613 20 0 CHADLO CCc1ncccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001210464611 1134221801 /nfs/dbraw/zinc/22/18/01/1134221801.db2.gz HNDDCLPKBJKHHH-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO C[C@@H](O)c1cccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001210508954 1134234152 /nfs/dbraw/zinc/23/41/52/1134234152.db2.gz QQOLMVREUPCGSZ-CYBMUJFWSA-N 1 2 279.343 3.669 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc([C@@H](C)O)c2)c1 ZINC001210509861 1134235103 /nfs/dbraw/zinc/23/51/03/1134235103.db2.gz ZHQYCUAAJCXAOB-GFCCVEGCSA-N 1 2 256.349 3.831 20 0 CHADLO COc1cc2ccccc2c(Nc2c[nH+]ccc2OC)c1 ZINC001210587571 1134256621 /nfs/dbraw/zinc/25/66/21/1134256621.db2.gz YTXWPVBXJYWOEX-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO CSc1cc(Nc2ccc([C@@H](C)O)cc2)cc[nH+]1 ZINC001210645618 1134266930 /nfs/dbraw/zinc/26/69/30/1134266930.db2.gz HYSQWVQFUQJACT-SNVBAGLBSA-N 1 2 260.362 3.600 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2CCO)cc1C(F)(F)F ZINC001210685493 1134274778 /nfs/dbraw/zinc/27/47/78/1134274778.db2.gz BPIPYEIXKWMKPN-UHFFFAOYSA-N 1 2 296.292 3.687 20 0 CHADLO COc1cc(Nc2ccc(C(F)(F)F)cn2)cc(C)[nH+]1 ZINC001210774804 1134293116 /nfs/dbraw/zinc/29/31/16/1134293116.db2.gz BDRZODQBPVZHBH-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO Cc1c[nH+]c(Nc2ccccc2OC2CC2)c(C)c1 ZINC001210835531 1134307555 /nfs/dbraw/zinc/30/75/55/1134307555.db2.gz VFBLAOMXZSQECB-UHFFFAOYSA-N 1 2 254.333 3.983 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(O)c1Cl ZINC001210851784 1134312510 /nfs/dbraw/zinc/31/25/10/1134312510.db2.gz KPJSMPBKDRXSJC-UHFFFAOYSA-N 1 2 264.712 3.583 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2cccc(OC3CC3)c2)c1 ZINC001210858992 1134313518 /nfs/dbraw/zinc/31/35/18/1134313518.db2.gz KEYOZTFWAXEXHF-UHFFFAOYSA-N 1 2 295.386 3.967 20 0 CHADLO Cc1ccc(O)c(Nc2ccccc2N2CCCCC2)[nH+]1 ZINC001210960495 1134340609 /nfs/dbraw/zinc/34/06/09/1134340609.db2.gz BNMNNXLRQMXECV-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO CCOc1ccc(Nc2ccc(C)c[nH+]2)cc1OCC ZINC001211011922 1134348539 /nfs/dbraw/zinc/34/85/39/1134348539.db2.gz JTDORBRANMBTSJ-UHFFFAOYSA-N 1 2 272.348 3.931 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)OC(C)(C)O3)[nH+]c1 ZINC001211014285 1134350074 /nfs/dbraw/zinc/35/00/74/1134350074.db2.gz WLPWIMOMLNWEMN-UHFFFAOYSA-N 1 2 256.305 3.641 20 0 CHADLO CCOc1ccc(F)c(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001211049798 1134356246 /nfs/dbraw/zinc/35/62/46/1134356246.db2.gz CFMHQSSXLDNYDL-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CSc1cncc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001211071866 1134363419 /nfs/dbraw/zinc/36/34/19/1134363419.db2.gz TUNLJSFPZFGWTQ-UHFFFAOYSA-N 1 2 296.399 3.792 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(C)cc2OC)c[nH+]1 ZINC001211115016 1134371721 /nfs/dbraw/zinc/37/17/21/1134371721.db2.gz OELXWFIWIOTCFX-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO COc1cc(C)ccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001211113859 1134372540 /nfs/dbraw/zinc/37/25/40/1134372540.db2.gz LPAOGWAUHXOTIQ-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO Cc1cccc(Nc2cc(C(F)(F)F)ccc2N)[nH+]1 ZINC001211145704 1134380282 /nfs/dbraw/zinc/38/02/82/1134380282.db2.gz ISIDPRMSJQZBPE-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1occc1Nc1cc[nH+]c2ccc(Cl)cc12 ZINC001211237097 1134396112 /nfs/dbraw/zinc/39/61/12/1134396112.db2.gz HLEPIKSLJIVNEB-UHFFFAOYSA-N 1 2 258.708 3.955 20 0 CHADLO Cc1cn2cccc(Nc3cc4ccccc4[nH]3)c2[nH+]1 ZINC001211306149 1134406761 /nfs/dbraw/zinc/40/67/61/1134406761.db2.gz UZOVOWJITSPTMH-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO COc1cc(Nc2ccc(-c3ncc[nH]3)cc2)cc(C)[nH+]1 ZINC001213087010 1134424148 /nfs/dbraw/zinc/42/41/48/1134424148.db2.gz HALRNBGVHAREFE-UHFFFAOYSA-N 1 2 280.331 3.532 20 0 CHADLO Oc1cnc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(Cl)c1 ZINC001213088174 1134424395 /nfs/dbraw/zinc/42/43/95/1134424395.db2.gz UHWSKAONMUYMGA-UHFFFAOYSA-N 1 2 286.722 3.574 20 0 CHADLO Oc1cc(F)ccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088275 1134424706 /nfs/dbraw/zinc/42/47/06/1134424706.db2.gz YBQHBHSHSFIQFN-UHFFFAOYSA-N 1 2 269.279 3.665 20 0 CHADLO Cc1c(O)cccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089863 1134425319 /nfs/dbraw/zinc/42/53/19/1134425319.db2.gz IPAFGXXABOMDIQ-UHFFFAOYSA-N 1 2 265.316 3.834 20 0 CHADLO Oc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1F ZINC001213089118 1134426023 /nfs/dbraw/zinc/42/60/23/1134426023.db2.gz VCJBQNLOPDFGSX-UHFFFAOYSA-N 1 2 269.279 3.665 20 0 CHADLO Cc1cc(F)cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1O ZINC001213090063 1134426170 /nfs/dbraw/zinc/42/61/70/1134426170.db2.gz RXDVEGXWMLYYRB-UHFFFAOYSA-N 1 2 283.306 3.973 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213090032 1134426175 /nfs/dbraw/zinc/42/61/75/1134426175.db2.gz QNXONCCLSFJBDG-UHFFFAOYSA-N 1 2 265.316 3.834 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(O)cc2OC)cc1 ZINC001213100056 1134426866 /nfs/dbraw/zinc/42/68/66/1134426866.db2.gz AYVWZRJOQHUNIH-UHFFFAOYSA-N 1 2 272.348 3.601 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(O)cc2OC)cc1 ZINC001213100056 1134426870 /nfs/dbraw/zinc/42/68/70/1134426870.db2.gz AYVWZRJOQHUNIH-UHFFFAOYSA-N 1 2 272.348 3.601 20 0 CHADLO CCOc1ccc(Nc2[nH+]cc(C)cc2C)c(OC)c1 ZINC001213117376 1134428833 /nfs/dbraw/zinc/42/88/33/1134428833.db2.gz XFKWGFPVWKEPCV-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(c1)CCC(=O)N2C ZINC001213132690 1134430392 /nfs/dbraw/zinc/43/03/92/1134430392.db2.gz RBCFANMQXSGHGA-UHFFFAOYSA-N 1 2 295.386 3.605 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccccc1OCC(C)C ZINC001213253348 1134438121 /nfs/dbraw/zinc/43/81/21/1134438121.db2.gz LMBZPPNDNNRONC-UHFFFAOYSA-N 1 2 295.386 3.951 20 0 CHADLO Cc1cc(C)c(Nc2cncc(Br)c2C)c[nH+]1 ZINC001213507708 1134457955 /nfs/dbraw/zinc/45/79/55/1134457955.db2.gz BWRNGZNREAEPNL-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COC(=O)c1cc(Cl)cc(Nc2[nH+]cc(C)cc2C)c1 ZINC001213332628 1134441593 /nfs/dbraw/zinc/44/15/93/1134441593.db2.gz FFPZIUQFWULANT-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1c[nH+]cc(Nc2csc(Br)n2)c1C ZINC001213524620 1134460707 /nfs/dbraw/zinc/46/07/07/1134460707.db2.gz AJAPFNVUPIHMOO-UHFFFAOYSA-N 1 2 284.182 3.661 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nc2c(s1)CCC2 ZINC001213488626 1134452695 /nfs/dbraw/zinc/45/26/95/1134452695.db2.gz AFVQSKDSJWZISZ-UHFFFAOYSA-N 1 2 271.389 3.956 20 0 CHADLO CCn1ncc(Nc2cc(C3CC3)c[nH+]c2C)c1C ZINC001213491340 1134453946 /nfs/dbraw/zinc/45/39/46/1134453946.db2.gz HWEODDDSTLGMBZ-UHFFFAOYSA-N 1 2 256.353 3.536 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)CN(C)C2=O ZINC001213499141 1134455045 /nfs/dbraw/zinc/45/50/45/1134455045.db2.gz DOGLXKDBHWOGND-UHFFFAOYSA-N 1 2 293.370 3.597 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1OCO2 ZINC001213497054 1134455109 /nfs/dbraw/zinc/45/51/09/1134455109.db2.gz GFTXCAPFMHGMGB-UHFFFAOYSA-N 1 2 268.316 3.740 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(F)cc1O ZINC001213497450 1134455192 /nfs/dbraw/zinc/45/51/92/1134455192.db2.gz PRFXTGGPLUYGHQ-UHFFFAOYSA-N 1 2 258.296 3.856 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnn2ccccc12 ZINC001213497059 1134455252 /nfs/dbraw/zinc/45/52/52/1134455252.db2.gz GLCUGPYXAFPJDV-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)NC(=O)CC2 ZINC001213499357 1134455469 /nfs/dbraw/zinc/45/54/69/1134455469.db2.gz HRKOTCJPMHBYCD-UHFFFAOYSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1OCCO2 ZINC001213499640 1134455726 /nfs/dbraw/zinc/45/57/26/1134455726.db2.gz UEQPJTZOYWVWRA-UHFFFAOYSA-N 1 2 282.343 3.782 20 0 CHADLO COc1cccc(N)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213500875 1134456419 /nfs/dbraw/zinc/45/64/19/1134456419.db2.gz AWFZMFVDINOSMM-UHFFFAOYSA-N 1 2 269.348 3.602 20 0 CHADLO CNC(=O)c1cc(Nc2cc(C3CC3)c[nH+]c2C)ccc1F ZINC001213501324 1134456462 /nfs/dbraw/zinc/45/64/62/1134456462.db2.gz STOVFVNSCBVOJE-UHFFFAOYSA-N 1 2 299.349 3.510 20 0 CHADLO Cc1cc(C)c(Nc2cc(Cl)ncc2F)c[nH+]1 ZINC001213505597 1134457975 /nfs/dbraw/zinc/45/79/75/1134457975.db2.gz JEVSACYOOSCZPM-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)c(F)c(F)c2F)c[nH+]1 ZINC001213513416 1134457991 /nfs/dbraw/zinc/45/79/91/1134457991.db2.gz JKZBYNFQQKZGRP-UHFFFAOYSA-N 1 2 270.229 3.998 20 0 CHADLO Cc1cc(C)c(N2c3ccc(N)cc3CC[C@@H]2C)c[nH+]1 ZINC001213513401 1134458179 /nfs/dbraw/zinc/45/81/79/1134458179.db2.gz IOINZZNPMOZSNF-ZDUSSCGKSA-N 1 2 267.376 3.753 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(Br)cn2)c1C ZINC001213520741 1134458822 /nfs/dbraw/zinc/45/88/22/1134458822.db2.gz HCLFEYXIKJIKAM-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2c[nH+]c(C)cc2C)c1C ZINC001213515714 1134458848 /nfs/dbraw/zinc/45/88/48/1134458848.db2.gz GMOWFIHROFUIHC-UHFFFAOYSA-N 1 2 284.359 3.962 20 0 CHADLO COC(=O)c1cc(F)c(F)cc1Nc1c[nH+]c(C)cc1C ZINC001213513594 1134459192 /nfs/dbraw/zinc/45/91/92/1134459192.db2.gz YDKNBJPDVCFUEB-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO COc1ccc(Nc2c[nH+]c(C)cc2C)c2ncccc12 ZINC001213518358 1134459447 /nfs/dbraw/zinc/45/94/47/1134459447.db2.gz JUJUCNUEFBONBG-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CCn1ncc2cc(Nc3c[nH+]c(C)cc3C)ccc21 ZINC001213518274 1134459511 /nfs/dbraw/zinc/45/95/11/1134459511.db2.gz FIMQUNHPQWVEME-UHFFFAOYSA-N 1 2 266.348 3.812 20 0 CHADLO Cc1cc(Nc2c[nH+]cc(C)c2C)n(CC(F)(F)F)n1 ZINC001213525106 1134460280 /nfs/dbraw/zinc/46/02/80/1134460280.db2.gz NOZLAWUZWKMBFJ-UHFFFAOYSA-N 1 2 284.285 3.509 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Br)ncc2F)c1C ZINC001213527544 1134460460 /nfs/dbraw/zinc/46/04/60/1134460460.db2.gz RXKCUGZFNTZDAF-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO COC(=O)c1cc(F)c(C)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213521205 1134460482 /nfs/dbraw/zinc/46/04/82/1134460482.db2.gz YZBHRNKTKGQATF-UHFFFAOYSA-N 1 2 288.322 3.676 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnn2-c2ccccc2F)c1C ZINC001213527685 1134460510 /nfs/dbraw/zinc/46/05/10/1134460510.db2.gz YMFDLWDJQCNLPN-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO Cc1cc2c(cccc2N)n1-c1c[nH+]c(C)cc1C ZINC001213521213 1134460568 /nfs/dbraw/zinc/46/05/68/1134460568.db2.gz ZLEYDEYSAAXZQU-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(Br)c(F)c2)c1C ZINC001213528398 1134460635 /nfs/dbraw/zinc/46/06/35/1134460635.db2.gz DAVMSEPKIDMHBA-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c[nH+]cc(Nc2nc3c(cccc3O)s2)c1C ZINC001213522660 1134460737 /nfs/dbraw/zinc/46/07/37/1134460737.db2.gz FGFGUWGGMRKMOK-UHFFFAOYSA-N 1 2 271.345 3.757 20 0 CHADLO Cc1c[nH+]cc(N(c2ccc(N)cn2)C2CCCC2)c1C ZINC001213529128 1134461916 /nfs/dbraw/zinc/46/19/16/1134461916.db2.gz WLKSLWGPKHUJOU-UHFFFAOYSA-N 1 2 282.391 3.756 20 0 CHADLO Cc1cc(N)cc2c1ccn2-c1c[nH+]cc(C)c1C ZINC001213532786 1134461978 /nfs/dbraw/zinc/46/19/78/1134461978.db2.gz VGLRVFSIAMYNAO-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO CCN(CC)C(=O)c1ccccc1Nc1c[nH+]cc(C)c1C ZINC001213534029 1134462441 /nfs/dbraw/zinc/46/24/41/1134462441.db2.gz IWRHHBAFZFPQNA-UHFFFAOYSA-N 1 2 297.402 3.924 20 0 CHADLO COC(=O)[C@@H]1CCc2cc(Nc3c[nH+]cc(C)c3C)ccc21 ZINC001213537255 1134462606 /nfs/dbraw/zinc/46/26/06/1134462606.db2.gz YFKSPOSXVDIPPV-MRXNPFEDSA-N 1 2 296.370 3.645 20 0 CHADLO COc1cnc2c(cccc2Nc2c[nH+]cc(C)c2C)c1 ZINC001213534040 1134462623 /nfs/dbraw/zinc/46/26/23/1134462623.db2.gz JGBMGQLFKATQEO-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3ccn(C)c3c2)c1C ZINC001213534059 1134463045 /nfs/dbraw/zinc/46/30/45/1134463045.db2.gz KMCFOTBREGXICT-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO COCc1ccc(C)c(Nc2cccc3[nH+]ccn32)c1 ZINC001213569064 1134463976 /nfs/dbraw/zinc/46/39/76/1134463976.db2.gz WPSLYNWKUBHQRS-UHFFFAOYSA-N 1 2 267.332 3.533 20 0 CHADLO CCc1cccc(Nc2cc(COC)ccc2C)[nH+]1 ZINC001213573748 1134464592 /nfs/dbraw/zinc/46/45/92/1134464592.db2.gz DKUKQRZRYXXIBM-UHFFFAOYSA-N 1 2 256.349 3.842 20 0 CHADLO Cc1cc2cc(-n3c(C)[nH+]c4ccc(N)cc43)ccc2[nH]1 ZINC001213791510 1134484498 /nfs/dbraw/zinc/48/44/98/1134484498.db2.gz GMRUTERBPXAQSE-UHFFFAOYSA-N 1 2 276.343 3.706 20 0 CHADLO FC(F)(F)Cc1ccc(Nc2cccc3[nH+]ccn32)cn1 ZINC001213906978 1134495586 /nfs/dbraw/zinc/49/55/86/1134495586.db2.gz VKYFGWGNNLAUQJ-UHFFFAOYSA-N 1 2 292.264 3.578 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(CC(F)(F)F)nc1 ZINC001213909260 1134495617 /nfs/dbraw/zinc/49/56/17/1134495617.db2.gz WEBQXTHJWWSICZ-UHFFFAOYSA-N 1 2 297.280 3.724 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(F)c(Cl)cc1F ZINC001213939555 1134499615 /nfs/dbraw/zinc/49/96/15/1134499615.db2.gz UDICDQCUGZCAQU-UHFFFAOYSA-N 1 2 293.704 3.848 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)c(CO)c(F)c2)cc1 ZINC001214041214 1134505580 /nfs/dbraw/zinc/50/55/80/1134505580.db2.gz LRANYYQSFGHMIV-UHFFFAOYSA-N 1 2 292.329 3.657 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)c(CO)c(F)c2)cc1 ZINC001214041214 1134505583 /nfs/dbraw/zinc/50/55/83/1134505583.db2.gz LRANYYQSFGHMIV-UHFFFAOYSA-N 1 2 292.329 3.657 20 0 CHADLO CCCOc1cc(F)ccc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001214081606 1134509255 /nfs/dbraw/zinc/50/92/55/1134509255.db2.gz HDTCTYHCOIASEG-UHFFFAOYSA-N 1 2 299.349 3.844 20 0 CHADLO Cc1ccc(O)c(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001214225394 1134522939 /nfs/dbraw/zinc/52/29/39/1134522939.db2.gz GQIVQVTULAEXTC-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(O)ccc2C)cc1 ZINC001214355131 1134533270 /nfs/dbraw/zinc/53/32/70/1134533270.db2.gz QSAHOHHIESQRMC-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(O)ccc2C)cc1 ZINC001214355131 1134533271 /nfs/dbraw/zinc/53/32/71/1134533271.db2.gz QSAHOHHIESQRMC-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(F)c2CO)cc1 ZINC001214622653 1134558296 /nfs/dbraw/zinc/55/82/96/1134558296.db2.gz ZQEGOEGOUDVLKL-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(F)c2CO)cc1 ZINC001214622653 1134558301 /nfs/dbraw/zinc/55/83/01/1134558301.db2.gz ZQEGOEGOUDVLKL-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO Cc1cn2cccc(Nc3cccc(Cl)c3CO)c2[nH+]1 ZINC001214642468 1134560394 /nfs/dbraw/zinc/56/03/94/1134560394.db2.gz GUTSPHKJVAEYIJ-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO Cc1cc(CO)ccc1Nc1[nH+]ccc2ccccc21 ZINC001214655719 1134561810 /nfs/dbraw/zinc/56/18/10/1134561810.db2.gz MFNVBLYDCJUZKU-UHFFFAOYSA-N 1 2 264.328 3.779 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2ccc(C)[nH+]c2C)c1F ZINC001214751343 1134568049 /nfs/dbraw/zinc/56/80/49/1134568049.db2.gz DEPITITUNAESNB-UHFFFAOYSA-N 1 2 276.286 3.923 20 0 CHADLO CCc1cccc(Nc2c(C)cc(OCOC)cc2C)[nH+]1 ZINC001215161992 1134602962 /nfs/dbraw/zinc/60/29/62/1134602962.db2.gz QWFMMIHVYRCRCV-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(F)c(F)c2Cl)c(N)c1 ZINC001215399577 1134623669 /nfs/dbraw/zinc/62/36/69/1134623669.db2.gz LIKHQUXBRVACHB-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO CC(C)Oc1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1F ZINC001215494054 1134633749 /nfs/dbraw/zinc/63/37/49/1134633749.db2.gz QPOQMGIQJSYFPY-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO Oc1cc(F)c(Nc2ccccc2-n2cc[nH+]c2)cc1F ZINC001215571746 1134644645 /nfs/dbraw/zinc/64/46/45/1134644645.db2.gz JYAMTENHDVGFHF-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO COc1c(F)c(C)ccc1Nc1cccc2[nH+]c(C)cn21 ZINC001215600304 1134651578 /nfs/dbraw/zinc/65/15/78/1134651578.db2.gz WZJGAMVAYRXVCW-UHFFFAOYSA-N 1 2 285.322 3.842 20 0 CHADLO CCOc1cc(Nc2c(F)ccc(C)c2O)cc(C)[nH+]1 ZINC001215738463 1134669361 /nfs/dbraw/zinc/66/93/61/1134669361.db2.gz FVQNTYKRYGVKPI-UHFFFAOYSA-N 1 2 276.311 3.685 20 0 CHADLO CCOc1ccc(C)c(F)c1Nc1cccn2cc[nH+]c12 ZINC001215762437 1134679360 /nfs/dbraw/zinc/67/93/60/1134679360.db2.gz YHZVNBMRQBPSGA-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CC(C)Oc1cc(Nc2cccc(-n3cc[nH+]c3)c2)ccn1 ZINC001215768974 1134681562 /nfs/dbraw/zinc/68/15/62/1134681562.db2.gz WBAZRXLOMJEAER-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cnc(OC(C)C)cc1C ZINC001215771011 1134682443 /nfs/dbraw/zinc/68/24/43/1134682443.db2.gz RYSWUPQZGDQOFA-UHFFFAOYSA-N 1 2 287.363 3.715 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(OC)c2C)c[nH+]1 ZINC001215799337 1134689923 /nfs/dbraw/zinc/68/99/23/1134689923.db2.gz UWWXEEQUZDSWGF-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CSc1ncc(Nc2ccc3c(c2)[nH+]cn3C)cc1C ZINC001215830782 1134698297 /nfs/dbraw/zinc/69/82/97/1134698297.db2.gz SNDALQCWHNLIOL-UHFFFAOYSA-N 1 2 284.388 3.742 20 0 CHADLO CC(C)c1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1O ZINC001215846386 1134701846 /nfs/dbraw/zinc/70/18/46/1134701846.db2.gz MFLLVPBJQSKEOI-UHFFFAOYSA-N 1 2 271.364 3.720 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2noc3c2CCCC3)c(C)o1 ZINC000183778387 1134703026 /nfs/dbraw/zinc/70/30/26/1134703026.db2.gz ILDNBDSXNAUMSB-LLVKDONJSA-N 1 2 274.364 3.614 20 0 CHADLO COc1cc(Nc2ccc(SC)nc2C)cc(C)[nH+]1 ZINC001215907407 1134715572 /nfs/dbraw/zinc/71/55/72/1134715572.db2.gz XHVDJHXVBCBWGX-UHFFFAOYSA-N 1 2 275.377 3.568 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(SC)nc2C)c[nH+]1 ZINC001215908475 1134716228 /nfs/dbraw/zinc/71/62/28/1134716228.db2.gz CEWQHAHXPPXGBX-UHFFFAOYSA-N 1 2 288.420 3.707 20 0 CHADLO CCc1cc(Nc2ccc[nH+]c2N2CCCCC2)ccc1N ZINC001216036340 1134754951 /nfs/dbraw/zinc/75/49/51/1134754951.db2.gz KBHUDEPXPXRVEQ-UHFFFAOYSA-N 1 2 296.418 3.960 20 0 CHADLO CCc1cc(Nc2[nH+]cccc2N2CCCC2)ccc1N ZINC001216037882 1134756140 /nfs/dbraw/zinc/75/61/40/1134756140.db2.gz VSENQXNSZJLGQB-UHFFFAOYSA-N 1 2 282.391 3.570 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(N)c(C(C)C)c1 ZINC001216044814 1134759164 /nfs/dbraw/zinc/75/91/64/1134759164.db2.gz GUHWJYYFGJFNLN-UHFFFAOYSA-N 1 2 280.375 3.622 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(C)c(F)c(O)c1 ZINC001216078359 1134769461 /nfs/dbraw/zinc/76/94/61/1134769461.db2.gz GXBXIBJYKSPNGC-UHFFFAOYSA-N 1 2 260.312 3.849 20 0 CHADLO Cc1cc(C)c(N)c(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001216099992 1134777899 /nfs/dbraw/zinc/77/78/99/1134777899.db2.gz ITPUYYWXRDGPKX-UHFFFAOYSA-N 1 2 278.359 3.815 20 0 CHADLO COc1cc(Nc2[nH+]cccc2N(C)C)ccc1C1CC1 ZINC001216251589 1134827471 /nfs/dbraw/zinc/82/74/71/1134827471.db2.gz YAZWHSNHOLSWPC-UHFFFAOYSA-N 1 2 283.375 3.777 20 0 CHADLO COc1ccc(Nc2cccc(C3CCC3)[nH+]2)cc1N ZINC001216256492 1134828991 /nfs/dbraw/zinc/82/89/91/1134828991.db2.gz FWZCBUPGXFVHEE-UHFFFAOYSA-N 1 2 269.348 3.684 20 0 CHADLO Cc1c(CO)ccc(Nc2ccn3cc[nH+]c3c2)c1Cl ZINC001216328838 1134856550 /nfs/dbraw/zinc/85/65/50/1134856550.db2.gz FMSNVRCFUMTERM-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO CCOc1cc(C)c(C)cc1Nc1[nH+]cc(C)cc1N ZINC001216377746 1134875847 /nfs/dbraw/zinc/87/58/47/1134875847.db2.gz RDSOGRFQRSRZQQ-UHFFFAOYSA-N 1 2 271.364 3.731 20 0 CHADLO COc1cc[nH+]c(Nc2cc(F)ccc2Cl)c1 ZINC001216476753 1134902209 /nfs/dbraw/zinc/90/22/09/1134902209.db2.gz DIKLOQKIQWIGEC-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO Cc1cc(Oc2ccc(NC3=CCCOC3)cc2)cc[nH+]1 ZINC001216509963 1134913467 /nfs/dbraw/zinc/91/34/67/1134913467.db2.gz FXKYRBZXSNBUSN-UHFFFAOYSA-N 1 2 282.343 3.898 20 0 CHADLO CCCOc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cn1 ZINC001216585537 1134924407 /nfs/dbraw/zinc/92/44/07/1134924407.db2.gz LTCLEAOUDVDUFD-UHFFFAOYSA-N 1 2 294.358 3.800 20 0 CHADLO FC1(F)CC[NH+](Cc2ccc(Br)s2)CC1 ZINC000404786602 1134949127 /nfs/dbraw/zinc/94/91/27/1134949127.db2.gz YRLITALCICBSJD-UHFFFAOYSA-N 1 2 296.180 3.742 20 0 CHADLO Nc1[nH+]cccc1OC(c1ccccc1)c1ccccc1 ZINC001217942662 1135028340 /nfs/dbraw/zinc/02/83/40/1135028340.db2.gz GKHLTXZJYMKKAC-UHFFFAOYSA-N 1 2 276.339 3.832 20 0 CHADLO Cc1ccc(O[C@@H]2CC[NH2+]CC2(F)F)c(Cl)c1Cl ZINC001218011412 1135041989 /nfs/dbraw/zinc/04/19/89/1135041989.db2.gz CLCLLISSIWLKNZ-SECBINFHSA-N 1 2 296.144 3.678 20 0 CHADLO FC1(F)C[NH2+]CC[C@@H]1Oc1ccc(Cl)c2ccccc12 ZINC001218006744 1135042098 /nfs/dbraw/zinc/04/20/98/1135042098.db2.gz SPZMGPQKZVUZOL-AWEZNQCLSA-N 1 2 297.732 3.869 20 0 CHADLO CC[C@@H](C)c1ccccc1O[C@@H]1C[NH2+]CC(F)(F)C1 ZINC001218273039 1135077923 /nfs/dbraw/zinc/07/79/23/1135077923.db2.gz HKATXTBGXXJTFE-NEPJUHHUSA-N 1 2 269.335 3.576 20 0 CHADLO FC1(F)C[NH2+]C[C@@H](Oc2ccc(-c3ccccc3)cc2)C1 ZINC001218275525 1135078156 /nfs/dbraw/zinc/07/81/56/1135078156.db2.gz WMUHKBFUHXMDKH-INIZCTEOSA-N 1 2 289.325 3.730 20 0 CHADLO CCCC[C@H](Oc1ccc[nH+]c1N)c1ccccc1 ZINC001218294752 1135080067 /nfs/dbraw/zinc/08/00/67/1135080067.db2.gz NVQMGQCWFQECAC-AWEZNQCLSA-N 1 2 256.349 3.974 20 0 CHADLO Cc1ccc(Cl)cc1[C@H](C)Oc1ccc[nH+]c1N ZINC001218301022 1135082362 /nfs/dbraw/zinc/08/23/62/1135082362.db2.gz VDTWTAJHUDXQNB-JTQLQIEISA-N 1 2 262.740 3.766 20 0 CHADLO CC(C)Oc1ccc(COc2cc(N)cc[nH+]2)cc1Cl ZINC001218442224 1135107316 /nfs/dbraw/zinc/10/73/16/1135107316.db2.gz BSNUMLHVJRIKQD-UHFFFAOYSA-N 1 2 292.766 3.683 20 0 CHADLO Cc1cccc(NC(=O)Nc2ccccc2-n2cc[nH+]c2)c1 ZINC000196630740 1135179479 /nfs/dbraw/zinc/17/94/79/1135179479.db2.gz FKXRIRZLQSUWAF-UHFFFAOYSA-N 1 2 292.342 3.825 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2ccc(OCC)nc2C)cc1 ZINC001222117065 1135194640 /nfs/dbraw/zinc/19/46/40/1135194640.db2.gz XZQFKPGJRWGHKI-UHFFFAOYSA-N 1 2 284.359 3.818 20 0 CHADLO CC(C)C[C@@H](Cc1ccccc1)OCCn1cc[nH+]c1 ZINC001222138560 1135198385 /nfs/dbraw/zinc/19/83/85/1135198385.db2.gz HEOFFLVSFJHZFY-KRWDZBQOSA-N 1 2 272.392 3.557 20 0 CHADLO CCCOc1ccc(C)c(-c2ccn3cc(N)[nH+]c3c2)c1 ZINC001222172246 1135204673 /nfs/dbraw/zinc/20/46/73/1135204673.db2.gz ZSZHUKJMJZLUIL-UHFFFAOYSA-N 1 2 281.359 3.681 20 0 CHADLO COCc1ccc(Cl)c(-c2cccc3[nH+]ccn32)c1 ZINC001222261518 1135215457 /nfs/dbraw/zinc/21/54/57/1135215457.db2.gz DRAMDVUERFHNPA-UHFFFAOYSA-N 1 2 272.735 3.801 20 0 CHADLO Cc1cc(CO[C@@H]2CCCN(c3ccccc3)C2)cc(C)[nH+]1 ZINC001223420175 1135342470 /nfs/dbraw/zinc/34/24/70/1135342470.db2.gz IYMCJCXALWXCCN-LJQANCHMSA-N 1 2 296.414 3.884 20 0 CHADLO CO[C@@H](COCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC001223422619 1135343781 /nfs/dbraw/zinc/34/37/81/1135343781.db2.gz XXJYNRJOYMEYFZ-KRWDZBQOSA-N 1 2 271.360 3.603 20 0 CHADLO Cc1cc(COC2CCN(c3ccccc3)CC2)cc(C)[nH+]1 ZINC001223424290 1135343980 /nfs/dbraw/zinc/34/39/80/1135343980.db2.gz IVJYBPBXDOXDOT-UHFFFAOYSA-N 1 2 296.414 3.884 20 0 CHADLO Cc1cc(COCc2cncc(OC(C)C)c2)cc(C)[nH+]1 ZINC001223425812 1135344521 /nfs/dbraw/zinc/34/45/21/1135344521.db2.gz VNXCFRLIEQQVBZ-UHFFFAOYSA-N 1 2 286.375 3.597 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](C(C)=O)c1ccccc1 ZINC001224964710 1135497102 /nfs/dbraw/zinc/49/71/02/1135497102.db2.gz BYGQNOIDELPBJY-INIZCTEOSA-N 1 2 277.364 3.517 20 0 CHADLO COc1ccc([C@@H](Oc2cc[nH+]cc2)C(C)C)cc1 ZINC001225291713 1135537099 /nfs/dbraw/zinc/53/70/99/1135537099.db2.gz WXAOVKAUAQHOQT-INIZCTEOSA-N 1 2 257.333 3.866 20 0 CHADLO c1ccc(CO[C@H]2CC[C@@H](Oc3cc[nH+]cc3)C2)cc1 ZINC001225296493 1135538126 /nfs/dbraw/zinc/53/81/26/1135538126.db2.gz WEUBFHKLXJPVFD-DLBZAZTESA-N 1 2 269.344 3.598 20 0 CHADLO CCCCOC[C@@H](C)OC[C@@H](C)Oc1c(C)cc[nH+]c1C ZINC001227261436 1135810745 /nfs/dbraw/zinc/81/07/45/1135810745.db2.gz AQKBCHRLGHSZBB-HUUCEWRRSA-N 1 2 295.423 3.688 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H](C)c1cc(Br)no1 ZINC001227262498 1135811816 /nfs/dbraw/zinc/81/18/16/1135811816.db2.gz QCTJMKSPDTUUDI-VIFPVBQESA-N 1 2 297.152 3.589 20 0 CHADLO COc1cccc([C@H](C)Oc2c(C)cc[nH+]c2C)c1 ZINC001227263042 1135811891 /nfs/dbraw/zinc/81/18/91/1135811891.db2.gz BMZSCSPXDZLODS-ZDUSSCGKSA-N 1 2 257.333 3.847 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H]1CCc2ccccc2C1 ZINC001227263689 1135812252 /nfs/dbraw/zinc/81/22/52/1135812252.db2.gz GVCKDMRZBQMGJM-INIZCTEOSA-N 1 2 253.345 3.635 20 0 CHADLO CC[C@@H](C)n1ncc(N[C@H](C)c2[nH+]ccn2C)c1C1CC1 ZINC000347331343 529444059 /nfs/dbraw/zinc/44/40/59/529444059.db2.gz GONHRKDTFMOSFD-VXGBXAGGSA-N 1 2 287.411 3.638 20 0 CHADLO CC[C@@]1(C)C[N@@H+]([C@H](C)c2ccc(F)cc2F)CCO1 ZINC000442891832 529533008 /nfs/dbraw/zinc/53/30/08/529533008.db2.gz NVMLIZRQYPIBDE-ABAIWWIYSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@]1(C)C[N@H+]([C@H](C)c2ccc(F)cc2F)CCO1 ZINC000442891832 529533011 /nfs/dbraw/zinc/53/30/11/529533011.db2.gz NVMLIZRQYPIBDE-ABAIWWIYSA-N 1 2 269.335 3.527 20 0 CHADLO CCc1ccc(NC(=O)COc2ccc(C)[nH+]c2CC)cc1 ZINC000352542742 529675889 /nfs/dbraw/zinc/67/58/89/529675889.db2.gz UFNDFXJRYBPLDU-UHFFFAOYSA-N 1 2 298.386 3.532 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2ccc(Cl)o2)o1 ZINC000344458567 529725502 /nfs/dbraw/zinc/72/55/02/529725502.db2.gz FSXKRXXPMXVXPS-LBPRGKRZSA-N 1 2 283.755 3.566 20 0 CHADLO CCc1ccc([C@H]2CCC[N@@H+]2[C@@H](C)c2nnc(CC)o2)o1 ZINC000331375113 529727493 /nfs/dbraw/zinc/72/74/93/529727493.db2.gz CWCCODFAFAYLQB-WCQYABFASA-N 1 2 289.379 3.686 20 0 CHADLO CCc1ccc([C@H]2CCC[N@H+]2[C@@H](C)c2nnc(CC)o2)o1 ZINC000331375113 529727494 /nfs/dbraw/zinc/72/74/94/529727494.db2.gz CWCCODFAFAYLQB-WCQYABFASA-N 1 2 289.379 3.686 20 0 CHADLO CCc1[nH+]c(C)ccc1OCC(=O)Nc1ccc(C)c(C)c1 ZINC000352542197 529730306 /nfs/dbraw/zinc/73/03/06/529730306.db2.gz KDFGYAOMTZFJPD-UHFFFAOYSA-N 1 2 298.386 3.587 20 0 CHADLO CCc1nc(C[NH2+][C@@H](CC(C)C)c2cccs2)no1 ZINC000347607346 529740380 /nfs/dbraw/zinc/74/03/80/529740380.db2.gz IEYQFXGOLNOJSP-NSHDSACASA-N 1 2 279.409 3.571 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCOc3c(C)cccc32)cs1 ZINC000353211127 529740604 /nfs/dbraw/zinc/74/06/04/529740604.db2.gz RGYHYTIYSXWLMI-CQSZACIVSA-N 1 2 288.416 3.627 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1ncc(-c2cccs2)o1 ZINC000344454091 530013137 /nfs/dbraw/zinc/01/31/37/530013137.db2.gz DPOZQBIFAYLJQB-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1ncc(-c2cccs2)o1 ZINC000344454091 530013138 /nfs/dbraw/zinc/01/31/38/530013138.db2.gz DPOZQBIFAYLJQB-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO C[N@H+](Cc1csc(C2CCCCC2)n1)[C@@H]1CCCOC1 ZINC000352188263 530019587 /nfs/dbraw/zinc/01/95/87/530019587.db2.gz XRTYEEALYKLDOX-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO C[N@@H+](Cc1csc(C2CCCCC2)n1)[C@@H]1CCCOC1 ZINC000352188263 530019588 /nfs/dbraw/zinc/01/95/88/530019588.db2.gz XRTYEEALYKLDOX-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1cscn1 ZINC000162307655 261276365 /nfs/dbraw/zinc/27/63/65/261276365.db2.gz WBNQZQDQKOOVAA-DTWKUNHWSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@H](CC(=O)N[C@H](C)c1ccc(C2CC2)cc1)n1cc[nH+]c1 ZINC000574405082 335016987 /nfs/dbraw/zinc/01/69/87/335016987.db2.gz YJPDFFMBWBVGOG-ZIAGYGMSSA-N 1 2 297.402 3.589 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccc(OC(F)(F)F)cc1 ZINC000574657260 335038066 /nfs/dbraw/zinc/03/80/66/335038066.db2.gz HTMITUYPWJLIRM-QMMMGPOBSA-N 1 2 283.240 3.891 20 0 CHADLO COC(C)(C)CCSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000344911800 532952410 /nfs/dbraw/zinc/95/24/10/532952410.db2.gz RVQJNVDSGQJDMS-UHFFFAOYSA-N 1 2 290.432 3.921 20 0 CHADLO COC(C)(C)CCSCc1cn2c(cccc2C)[nH+]1 ZINC000344791838 532953048 /nfs/dbraw/zinc/95/30/48/532953048.db2.gz KVUVWYFCUZKCIZ-UHFFFAOYSA-N 1 2 278.421 3.691 20 0 CHADLO COc1cc[nH+]cc1COc1ccc(Cl)c(Cl)c1 ZINC000574841385 335051676 /nfs/dbraw/zinc/05/16/76/335051676.db2.gz XGZNERDFTAMMIF-UHFFFAOYSA-N 1 2 284.142 3.976 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(Cl)ccc2F)cn1 ZINC000350316072 533542032 /nfs/dbraw/zinc/54/20/32/533542032.db2.gz KCKOELGVEQQJCT-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(Cl)ccc2F)cn1 ZINC000350316072 533542037 /nfs/dbraw/zinc/54/20/37/533542037.db2.gz KCKOELGVEQQJCT-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC(=O)Nc1cccc(C[N@H+](CC(F)F)CC2CCC2)c1 ZINC000341761888 130027802 /nfs/dbraw/zinc/02/78/02/130027802.db2.gz KISPESPTQVVGFE-UHFFFAOYSA-N 1 2 296.361 3.512 20 0 CHADLO CC(=O)Nc1cccc(C[N@@H+](CC(F)F)CC2CCC2)c1 ZINC000341761888 130027803 /nfs/dbraw/zinc/02/78/03/130027803.db2.gz KISPESPTQVVGFE-UHFFFAOYSA-N 1 2 296.361 3.512 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(-c2ccccc2)o1)c1nccn1C ZINC000341763366 130028195 /nfs/dbraw/zinc/02/81/95/130028195.db2.gz XTZYXAHELVLUSK-ZDUSSCGKSA-N 1 2 281.359 3.531 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](C)c2cccc(Cl)c2Cl)n1 ZINC000341843222 130088312 /nfs/dbraw/zinc/08/83/12/130088312.db2.gz MORPUEOMHZLNOP-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO Cc1cc[nH+]c(N[C@H](C)C[C@H]2CCCO2)c1Br ZINC000342117710 130273329 /nfs/dbraw/zinc/27/33/29/130273329.db2.gz OVGYXRFGUGWREK-GHMZBOCLSA-N 1 2 299.212 3.522 20 0 CHADLO CC/C=C\CC[N@@H+]1CCOC[C@@H]1c1ccc(CC)o1 ZINC000342196305 130328801 /nfs/dbraw/zinc/32/88/01/130328801.db2.gz FJGSCLYTABQBEQ-IYKSTZQJSA-N 1 2 263.381 3.572 20 0 CHADLO CC/C=C\CC[N@H+]1CCOC[C@@H]1c1ccc(CC)o1 ZINC000342196305 130328803 /nfs/dbraw/zinc/32/88/03/130328803.db2.gz FJGSCLYTABQBEQ-IYKSTZQJSA-N 1 2 263.381 3.572 20 0 CHADLO O=C(Nc1ccc(F)c(C2CC2)c1)c1ccc2[nH+]ccn2c1 ZINC000342144145 130334361 /nfs/dbraw/zinc/33/43/61/130334361.db2.gz KSSQPVWSUHLSQU-UHFFFAOYSA-N 1 2 295.317 3.603 20 0 CHADLO Cc1ccc(NCc2cn3ccccc3[nH+]2)c(C)c1 ZINC000008410644 170335296 /nfs/dbraw/zinc/33/52/96/170335296.db2.gz LRSOZSNLLJARDX-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1cc(NC(=O)c2ccc(Cl)c(Cl)c2)cc[nH+]1 ZINC000010163060 170399569 /nfs/dbraw/zinc/39/95/69/170399569.db2.gz UXQCFHCWBMMLPL-UHFFFAOYSA-N 1 2 281.142 3.949 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccccn1 ZINC000020123345 171003914 /nfs/dbraw/zinc/00/39/14/171003914.db2.gz OPMBGKKLTUZHIS-QWRGUYRKSA-N 1 2 262.303 3.772 20 0 CHADLO C[C@H]([NH2+]Cc1nc2ccccc2s1)c1ccccn1 ZINC000020136132 171023683 /nfs/dbraw/zinc/02/36/83/171023683.db2.gz WANFPUYBWBMLFW-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO CCCCC(=O)Nc1nc(C[NH+]2CCC(C)CC2)cs1 ZINC000070751566 176004525 /nfs/dbraw/zinc/00/45/25/176004525.db2.gz MMLQYJBLUNFBCX-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)c1ccc(Cl)s1 ZINC000334591248 225025703 /nfs/dbraw/zinc/02/57/03/225025703.db2.gz QCKXVLKSHNXAFV-UHFFFAOYSA-N 1 2 266.753 3.666 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@H]2C)n1 ZINC000344238093 225001625 /nfs/dbraw/zinc/00/16/25/225001625.db2.gz KRHANJDBJUZYBY-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3c(F)cc(F)cc3[C@H]2C)n1 ZINC000344238093 225001627 /nfs/dbraw/zinc/00/16/27/225001627.db2.gz KRHANJDBJUZYBY-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cc(N[C@H]2CSc3ccccc32)nc(C(C)C)[nH+]1 ZINC000344603594 225116417 /nfs/dbraw/zinc/11/64/17/225116417.db2.gz QMSDCFZTMWICCR-ZDUSSCGKSA-N 1 2 285.416 3.589 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](C)C(F)(F)C2)c(C)[nH+]1 ZINC000334701896 225279240 /nfs/dbraw/zinc/27/92/40/225279240.db2.gz QSDAGCKSXNZOGJ-SNVBAGLBSA-N 1 2 297.349 3.516 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@@H]3CCCC[C@@H]3C2)c(C)[nH+]1 ZINC000334711335 225284615 /nfs/dbraw/zinc/28/46/15/225284615.db2.gz BGEVDYYGFXZQEF-GASCZTMLSA-N 1 2 287.407 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@H](C)[C@@H]2C)c(C)[nH+]1 ZINC000334717564 225287072 /nfs/dbraw/zinc/28/70/72/225287072.db2.gz LRLHEVYKFVQRNB-HZMBPMFUSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H]2CCCc3ccccc32)nn1C ZINC000334984889 225386402 /nfs/dbraw/zinc/38/64/02/225386402.db2.gz JDSGUOYDTAZVOA-CQSZACIVSA-N 1 2 289.810 3.549 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@@H+]1CC[C@](C)(F)C1 ZINC000347363285 226134483 /nfs/dbraw/zinc/13/44/83/226134483.db2.gz XJEUWTFRUGYKNN-BMIGLBTASA-N 1 2 297.324 3.926 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@H+]1CC[C@](C)(F)C1 ZINC000347363285 226134486 /nfs/dbraw/zinc/13/44/86/226134486.db2.gz XJEUWTFRUGYKNN-BMIGLBTASA-N 1 2 297.324 3.926 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2csc(-c3cccs3)n2)C1 ZINC000347369272 226137491 /nfs/dbraw/zinc/13/74/91/226137491.db2.gz JHUTYTVEWOHHNJ-ZDUSSCGKSA-N 1 2 282.409 3.806 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2csc(-c3cccs3)n2)C1 ZINC000347369272 226137494 /nfs/dbraw/zinc/13/74/94/226137494.db2.gz JHUTYTVEWOHHNJ-ZDUSSCGKSA-N 1 2 282.409 3.806 20 0 CHADLO COc1ncccc1C[N@@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000347598494 226212332 /nfs/dbraw/zinc/21/23/32/226212332.db2.gz LBTHIRLNYQHLKX-CQSZACIVSA-N 1 2 288.416 3.735 20 0 CHADLO COc1ncccc1C[N@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000347598494 226212338 /nfs/dbraw/zinc/21/23/38/226212338.db2.gz LBTHIRLNYQHLKX-CQSZACIVSA-N 1 2 288.416 3.735 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1ccccc1-n1cccn1 ZINC000347910183 226385505 /nfs/dbraw/zinc/38/55/05/226385505.db2.gz JLRUQJWNGXCHAU-UHFFFAOYSA-N 1 2 292.386 3.620 20 0 CHADLO Fc1ccccc1C[N@@H+]1CCc2cccc(F)c2C1 ZINC000347964184 226390297 /nfs/dbraw/zinc/39/02/97/226390297.db2.gz WTIILSLNOCUKLN-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1ccccc1C[N@H+]1CCc2cccc(F)c2C1 ZINC000347964184 226390299 /nfs/dbraw/zinc/39/02/99/226390299.db2.gz WTIILSLNOCUKLN-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1ccccc1N(C)C ZINC000347921414 226392666 /nfs/dbraw/zinc/39/26/66/226392666.db2.gz QBRGLHXWLSIXRZ-UHFFFAOYSA-N 1 2 269.392 3.501 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000354522888 227022006 /nfs/dbraw/zinc/02/20/06/227022006.db2.gz STCOHKXHUIAGOK-NSHDSACASA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000354522888 227022011 /nfs/dbraw/zinc/02/20/11/227022011.db2.gz STCOHKXHUIAGOK-NSHDSACASA-N 1 2 279.252 3.778 20 0 CHADLO Cc1ccnc(COc2cc(C)[nH+]c3c(C)cccc23)n1 ZINC000354536403 227024836 /nfs/dbraw/zinc/02/48/36/227024836.db2.gz MEBRONNQBLJHFF-UHFFFAOYSA-N 1 2 279.343 3.529 20 0 CHADLO Cc1cc([C@H](C)Nc2cc[nH+]c3ccncc23)c(C)s1 ZINC000354729484 227084442 /nfs/dbraw/zinc/08/44/42/227084442.db2.gz MMESYFWVEGFYJD-NSHDSACASA-N 1 2 283.400 3.903 20 0 CHADLO Cc1cc(C)cc(C2=CCN(c3cc[nH+]c(C)n3)CC2)c1 ZINC000354736572 227086312 /nfs/dbraw/zinc/08/63/12/227086312.db2.gz SQMWSKFLCXKPIR-UHFFFAOYSA-N 1 2 279.387 3.696 20 0 CHADLO Cc1cc(N2C[C@H](C)C[C@@H](C)[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000352594473 227090401 /nfs/dbraw/zinc/09/04/01/227090401.db2.gz HTYOLGFWJRAJPZ-WZRBSPASSA-N 1 2 259.397 3.533 20 0 CHADLO CC(C)c1nc(N[C@@H](c2ccccc2)[C@H]2CCCO2)cc[nH+]1 ZINC000171910096 335084536 /nfs/dbraw/zinc/08/45/36/335084536.db2.gz ADMPWKATXVXTCE-WBVHZDCISA-N 1 2 297.402 3.932 20 0 CHADLO FC(F)C(F)(F)C[NH2+]Cc1ccc2ccccc2c1 ZINC000191770379 227148220 /nfs/dbraw/zinc/14/82/20/227148220.db2.gz OCUIPVKOWVKAGY-UHFFFAOYSA-N 1 2 271.257 3.830 20 0 CHADLO Cc1c[nH+]cc(NCc2cc(O)cc(C(F)(F)F)c2)c1 ZINC000352792651 227228284 /nfs/dbraw/zinc/22/82/84/227228284.db2.gz BTHQPVBXGBKDHI-UHFFFAOYSA-N 1 2 282.265 3.727 20 0 CHADLO C[C@@H]1CC[C@@H](c2ccccc2)[N@H+](Cc2noc(C3CC3)n2)C1 ZINC000352805272 227242867 /nfs/dbraw/zinc/24/28/67/227242867.db2.gz RMMHZWVXFKXRHA-CJNGLKHVSA-N 1 2 297.402 3.920 20 0 CHADLO C[C@@H]1CC[C@@H](c2ccccc2)[N@@H+](Cc2noc(C3CC3)n2)C1 ZINC000352805272 227242872 /nfs/dbraw/zinc/24/28/72/227242872.db2.gz RMMHZWVXFKXRHA-CJNGLKHVSA-N 1 2 297.402 3.920 20 0 CHADLO Cc1cc(N[C@@H]2CCSc3ccc(F)cc32)nc[nH+]1 ZINC000301290427 227501521 /nfs/dbraw/zinc/50/15/21/227501521.db2.gz TUWUKXUJTZGTOH-GFCCVEGCSA-N 1 2 275.352 3.573 20 0 CHADLO Cc1cc(N[C@H]2CCc3c2cccc3O)nc(C(C)C)[nH+]1 ZINC000301627113 227618005 /nfs/dbraw/zinc/61/80/05/227618005.db2.gz BERAUHXRZOEZHH-AWEZNQCLSA-N 1 2 283.375 3.713 20 0 CHADLO CO[C@H](C)CNc1cc(C(C)(C)C)[nH+]c(C(C)(C)C)n1 ZINC000302620406 227870712 /nfs/dbraw/zinc/87/07/12/227870712.db2.gz DKAFXXPKYWQNKW-LLVKDONJSA-N 1 2 279.428 3.518 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(C(C)C)c(C)s2)no1 ZINC000353413069 228098202 /nfs/dbraw/zinc/09/82/02/228098202.db2.gz OEYCODXCNAKUDX-JTQLQIEISA-N 1 2 279.409 3.722 20 0 CHADLO COc1ccccc1[C@H](CC(C)C)Nc1cc[nH+]c(C)n1 ZINC000353448108 228104123 /nfs/dbraw/zinc/10/41/23/228104123.db2.gz JMJWNWBQBUHQFE-HNNXBMFYSA-N 1 2 285.391 3.993 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+]Cc1ccoc1 ZINC000353542424 228117823 /nfs/dbraw/zinc/11/78/23/228117823.db2.gz MBZNXKVVKZOINB-CQSZACIVSA-N 1 2 265.303 3.636 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cnc(C2CCC2)s1 ZINC000353285285 228079395 /nfs/dbraw/zinc/07/93/95/228079395.db2.gz HFJDZAFOLCYXSY-UHFFFAOYSA-N 1 2 293.461 3.808 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cnc(C2CCC2)s1 ZINC000353285285 228079396 /nfs/dbraw/zinc/07/93/96/228079396.db2.gz HFJDZAFOLCYXSY-UHFFFAOYSA-N 1 2 293.461 3.808 20 0 CHADLO Cc1ccc(C)c(NC(=O)Nc2ccn3cc[nH+]c3c2)c1 ZINC000353348597 228089095 /nfs/dbraw/zinc/08/90/95/228089095.db2.gz KGPGEHDGZWKWTD-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Cc1ccc(Cc2noc([C@H](C)c3cccc(F)c3)n2)c[nH+]1 ZINC000356105998 228123288 /nfs/dbraw/zinc/12/32/88/228123288.db2.gz DGHLHZQDYIXBKZ-GFCCVEGCSA-N 1 2 297.333 3.655 20 0 CHADLO Cc1ccc(Cc2noc(-c3cc4ccccc4o3)n2)c[nH+]1 ZINC000356116255 228127584 /nfs/dbraw/zinc/12/75/84/228127584.db2.gz UONQVCWQPWVIFK-UHFFFAOYSA-N 1 2 291.310 3.777 20 0 CHADLO Cc1ccc(Cc2noc(-c3ccc(Cl)s3)n2)c[nH+]1 ZINC000356123879 228130826 /nfs/dbraw/zinc/13/08/26/228130826.db2.gz JBBRPSVJUVUQEF-UHFFFAOYSA-N 1 2 291.763 3.746 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cnc(C3CCC3)s2)n1 ZINC000353743811 228149640 /nfs/dbraw/zinc/14/96/40/228149640.db2.gz DCLALGIKFBVFPR-UHFFFAOYSA-N 1 2 287.432 3.746 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cnc(C3CCC3)s2)n1 ZINC000353743811 228149642 /nfs/dbraw/zinc/14/96/42/228149642.db2.gz DCLALGIKFBVFPR-UHFFFAOYSA-N 1 2 287.432 3.746 20 0 CHADLO CSCc1cnc(C[N@@H+]2CC(C)(C)[C@H]2C(C)C)s1 ZINC000353748955 228150429 /nfs/dbraw/zinc/15/04/29/228150429.db2.gz UFMHAUKTQWTWFU-CYBMUJFWSA-N 1 2 284.494 3.873 20 0 CHADLO CSCc1cnc(C[N@H+]2CC(C)(C)[C@H]2C(C)C)s1 ZINC000353748955 228150431 /nfs/dbraw/zinc/15/04/31/228150431.db2.gz UFMHAUKTQWTWFU-CYBMUJFWSA-N 1 2 284.494 3.873 20 0 CHADLO COCc1ccccc1NCCCc1ccc(C)[nH+]c1 ZINC000353828557 228156806 /nfs/dbraw/zinc/15/68/06/228156806.db2.gz REFRWHZGINEZCQ-UHFFFAOYSA-N 1 2 270.376 3.581 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1c2ccccc2C[C@@H]1C ZINC000351944380 228168126 /nfs/dbraw/zinc/16/81/26/228168126.db2.gz SNSKCHHZEMULPX-SJCJKPOMSA-N 1 2 295.386 3.753 20 0 CHADLO Cc1cscc1NC(=O)Nc1c(C)cc[nH+]c1C ZINC000335963677 228171800 /nfs/dbraw/zinc/17/18/00/228171800.db2.gz WTJPGUFSUSFPMW-UHFFFAOYSA-N 1 2 261.350 3.712 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@H]3CCC[C@@H]32)c(C)[nH+]1 ZINC000336145000 228198716 /nfs/dbraw/zinc/19/87/16/228198716.db2.gz KXOYOJSSQGSTJO-CABCVRRESA-N 1 2 287.407 3.803 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2c(F)cccc2F)on1 ZINC000336160150 228201695 /nfs/dbraw/zinc/20/16/95/228201695.db2.gz PRJQRYRWKCKJKI-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2c(F)cccc2F)on1 ZINC000336160150 228201696 /nfs/dbraw/zinc/20/16/96/228201696.db2.gz PRJQRYRWKCKJKI-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ccc(Cc2noc([C@H]3CCCC(F)(F)C3)n2)c[nH+]1 ZINC000336174213 228203398 /nfs/dbraw/zinc/20/33/98/228203398.db2.gz VJHLYDUJABAOPI-LBPRGKRZSA-N 1 2 293.317 3.657 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CC(C)(C)Oc3cc(F)ccc32)no1 ZINC000336227106 228217985 /nfs/dbraw/zinc/21/79/85/228217985.db2.gz JZSPMLZDIVJMPS-AWEZNQCLSA-N 1 2 290.338 3.514 20 0 CHADLO Cc1cc(SCCCn2cc[nH+]c2)nc2ccccc12 ZINC000180429558 260101901 /nfs/dbraw/zinc/10/19/01/260101901.db2.gz JUDXBYLFBFUMOD-UHFFFAOYSA-N 1 2 283.400 3.922 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2cc(F)c(F)c(F)c2)n1 ZINC000070940063 260158562 /nfs/dbraw/zinc/15/85/62/260158562.db2.gz ZMUJBGDSLULBIA-QMMMGPOBSA-N 1 2 286.322 3.720 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2c(F)cccc2F)cs1 ZINC000037771934 260163340 /nfs/dbraw/zinc/16/33/40/260163340.db2.gz PFDVJWAJALAONY-QMMMGPOBSA-N 1 2 268.332 3.581 20 0 CHADLO COCc1cccc(NCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000079080276 260174723 /nfs/dbraw/zinc/17/47/23/260174723.db2.gz LMEVHZCLYSKZBR-UHFFFAOYSA-N 1 2 293.370 3.631 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(C(C)C)n1)c1nccs1 ZINC000080318377 260180316 /nfs/dbraw/zinc/18/03/16/260180316.db2.gz ZDGSIHGHCGNFES-LLVKDONJSA-N 1 2 276.409 3.928 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2ncccc2c1 ZINC000090209110 260239074 /nfs/dbraw/zinc/23/90/74/260239074.db2.gz SAVFQWMUFJEOJC-UHFFFAOYSA-N 1 2 266.348 3.624 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000187726696 260253926 /nfs/dbraw/zinc/25/39/26/260253926.db2.gz LRMRPWDDYCFTCE-FZMZJTMJSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000187726696 260253928 /nfs/dbraw/zinc/25/39/28/260253928.db2.gz LRMRPWDDYCFTCE-FZMZJTMJSA-N 1 2 253.773 3.512 20 0 CHADLO CC(=O)Nc1cccc([C@H](C)[NH2+][C@@H](C)c2cscn2)c1 ZINC000162340773 260280093 /nfs/dbraw/zinc/28/00/93/260280093.db2.gz YBAXUYFNDXUERC-QWRGUYRKSA-N 1 2 289.404 3.513 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1C[C@H]1CCC2(CCCC2)O1 ZINC000517967414 260330632 /nfs/dbraw/zinc/33/06/32/260330632.db2.gz NHYKLRFGPDDZJH-OLZOCXBDSA-N 1 2 273.367 3.598 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1C[C@H]1CCC2(CCCC2)O1 ZINC000517967414 260330634 /nfs/dbraw/zinc/33/06/34/260330634.db2.gz NHYKLRFGPDDZJH-OLZOCXBDSA-N 1 2 273.367 3.598 20 0 CHADLO COc1ccc([C@H](C)Nc2[nH+]c3ccccc3n2C)cc1 ZINC000090340454 260344207 /nfs/dbraw/zinc/34/42/07/260344207.db2.gz FBOZRLSISMXZLP-LBPRGKRZSA-N 1 2 281.359 3.755 20 0 CHADLO C[C@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccc(Cl)cc1 ZINC000084221200 260361638 /nfs/dbraw/zinc/36/16/38/260361638.db2.gz ODQYRYWOASUQEC-LLVKDONJSA-N 1 2 283.799 3.502 20 0 CHADLO C[C@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000084221200 260361639 /nfs/dbraw/zinc/36/16/39/260361639.db2.gz ODQYRYWOASUQEC-LLVKDONJSA-N 1 2 283.799 3.502 20 0 CHADLO Cc1cc(C)n(CCCNc2ccc3ccccc3[nH+]2)n1 ZINC000130238866 260393853 /nfs/dbraw/zinc/39/38/53/260393853.db2.gz OYIAINSMWMWPRQ-UHFFFAOYSA-N 1 2 280.375 3.550 20 0 CHADLO Cc1cc2[nH+]cn(CC(=O)C3CCCCC3)c2cc1C ZINC000131897769 260423655 /nfs/dbraw/zinc/42/36/55/260423655.db2.gz KVWFMUJKJWSQAY-UHFFFAOYSA-N 1 2 270.376 3.803 20 0 CHADLO Cn1c2c(cccc2F)[nH+]c1N1CC[C@@H](C(C)(C)C)C1 ZINC000409722462 260428131 /nfs/dbraw/zinc/42/81/31/260428131.db2.gz WNNQGSPXTIHFIF-LLVKDONJSA-N 1 2 275.371 3.585 20 0 CHADLO Cn1c2ccc(NC(=O)CC3CCC3)cc2[nH+]c1C1CC1 ZINC000089870186 260452160 /nfs/dbraw/zinc/45/21/60/260452160.db2.gz MHXIGVFRGLFJLE-UHFFFAOYSA-N 1 2 283.375 3.579 20 0 CHADLO Fc1ccc(/C=C/C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)cc1 ZINC000092729486 260499497 /nfs/dbraw/zinc/49/94/97/260499497.db2.gz QXYUYXAKWQGJAT-CWDCEQMOSA-N 1 2 285.366 3.789 20 0 CHADLO Fc1ccc(/C=C/C[N@H+]2CCCC[C@H]2c2ncc[nH]2)cc1 ZINC000092729486 260499498 /nfs/dbraw/zinc/49/94/98/260499498.db2.gz QXYUYXAKWQGJAT-CWDCEQMOSA-N 1 2 285.366 3.789 20 0 CHADLO Cc1cnc([C@@H](C)SCc2ccc(C)[nH+]c2C)cn1 ZINC000427674987 260805866 /nfs/dbraw/zinc/80/58/66/260805866.db2.gz UEJRIWFLYJTPBR-CYBMUJFWSA-N 1 2 273.405 3.791 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC000408405683 260835798 /nfs/dbraw/zinc/83/57/98/260835798.db2.gz FEJNYKTZWGOIMO-QMTHXVAHSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1nc(N2CCCC[C@H]2c2cc3ccccc3[nH]2)cc[nH+]1 ZINC000151737383 261055034 /nfs/dbraw/zinc/05/50/34/261055034.db2.gz CESHYXDBCTWDAU-KRWDZBQOSA-N 1 2 292.386 3.998 20 0 CHADLO Cc1ccc(NC(=O)N2CCc3ccccc3[C@@H]2C)c(C)[nH+]1 ZINC000152353492 261063230 /nfs/dbraw/zinc/06/32/30/261063230.db2.gz SDMSFZIUUNBDKJ-AWEZNQCLSA-N 1 2 295.386 3.850 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3csc(C4CC4)n3)CC2)c1 ZINC000152877795 261070770 /nfs/dbraw/zinc/07/07/70/261070770.db2.gz CTXADYVSKYMKFD-UHFFFAOYSA-N 1 2 288.391 3.939 20 0 CHADLO C[C@H]([NH2+]Cc1noc(C2CC2)n1)c1ccc2c(c1)CCCC2 ZINC000154343756 261090781 /nfs/dbraw/zinc/09/07/81/261090781.db2.gz HMPUDDYECZAJLL-LBPRGKRZSA-N 1 2 297.402 3.677 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1ccc(F)cc1F)c1ccc(C)o1 ZINC000156407397 261122420 /nfs/dbraw/zinc/12/24/20/261122420.db2.gz UVBUOOJBTKOZKN-ABAIWWIYSA-N 1 2 295.329 3.905 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOCC2)cc1C)C1CCC1 ZINC000449042112 261123015 /nfs/dbraw/zinc/12/30/15/261123015.db2.gz QCIKWBUYWHNUFE-KRWDZBQOSA-N 1 2 288.435 3.822 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccco1 ZINC000156659992 261128474 /nfs/dbraw/zinc/12/84/74/261128474.db2.gz AWUPSQJYZZJESM-MFKMUULPSA-N 1 2 281.302 3.596 20 0 CHADLO CC(C)(C)c1ncc(C[N@@H+]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000448364938 261128693 /nfs/dbraw/zinc/12/86/93/261128693.db2.gz HSSBVDJZKLBHFO-CYBMUJFWSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)(C)c1ncc(C[N@H+]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000448364938 261128695 /nfs/dbraw/zinc/12/86/95/261128695.db2.gz HSSBVDJZKLBHFO-CYBMUJFWSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)COC[C@H]([NH2+][C@H](C)c1ccccn1)c1ccco1 ZINC000158921928 261174177 /nfs/dbraw/zinc/17/41/77/261174177.db2.gz KDOGOFFRTRZPBA-ZBFHGGJFSA-N 1 2 288.391 3.739 20 0 CHADLO CC(C)(C)CCCC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000103520876 261282321 /nfs/dbraw/zinc/28/23/21/261282321.db2.gz GSCUQQWFMQGDCZ-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)cc1F ZINC000103965823 261286477 /nfs/dbraw/zinc/28/64/77/261286477.db2.gz WNWVOGSTWSRCSV-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)c1ccc(Cl)o1 ZINC000103964893 261286586 /nfs/dbraw/zinc/28/65/86/261286586.db2.gz FYKXKGWFWRXEFE-UHFFFAOYSA-N 1 2 287.706 3.575 20 0 CHADLO CC(C)(C)c1ccc(NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000368458423 261303137 /nfs/dbraw/zinc/30/31/37/261303137.db2.gz OOADCCMSTDEHOD-AWEZNQCLSA-N 1 2 297.402 3.766 20 0 CHADLO Cc1ccc(NC(=O)NCc2ccc(Cl)cc2)c(C)[nH+]1 ZINC000176712148 261306513 /nfs/dbraw/zinc/30/65/13/261306513.db2.gz JACYAMXVEKONTI-UHFFFAOYSA-N 1 2 289.766 3.674 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cc3ccccc3o2)oc1C ZINC000182635495 261453892 /nfs/dbraw/zinc/45/38/92/261453892.db2.gz JLOAYBUOMWBHKI-NSHDSACASA-N 1 2 270.332 3.888 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1ccc(C)c(C)n1 ZINC000313841147 261528895 /nfs/dbraw/zinc/52/88/95/261528895.db2.gz QEKMODRUOSUBAQ-UHFFFAOYSA-N 1 2 290.794 3.650 20 0 CHADLO C[C@@H]1COCCN1c1ccc([NH2+]C(C2CC2)C2CC2)cc1 ZINC000179944552 261827328 /nfs/dbraw/zinc/82/73/28/261827328.db2.gz CCIRMWOABFJSJO-CYBMUJFWSA-N 1 2 286.419 3.512 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccccc1 ZINC000181781937 261910692 /nfs/dbraw/zinc/91/06/92/261910692.db2.gz CBCUXWVHMVTQES-CQSZACIVSA-N 1 2 287.407 3.998 20 0 CHADLO CCS[C@@H](C)c1noc(C[NH2+][C@H](C)c2cccs2)n1 ZINC000182547315 261942611 /nfs/dbraw/zinc/94/26/11/261942611.db2.gz LROFAPYIEKSSJH-ZJUUUORDSA-N 1 2 297.449 3.796 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1c(F)cccc1F ZINC000182665846 261946858 /nfs/dbraw/zinc/94/68/58/261946858.db2.gz UZDJCKGEAPRKKV-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1c(F)cccc1F ZINC000182665846 261946860 /nfs/dbraw/zinc/94/68/60/261946860.db2.gz UZDJCKGEAPRKKV-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO COC[C@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccco1 ZINC000184517504 262022511 /nfs/dbraw/zinc/02/25/11/262022511.db2.gz OPORGGYGUBPGGS-NSHDSACASA-N 1 2 294.420 3.511 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1c2ccccc2CC[C@@H]1C(C)C ZINC000185083743 262040466 /nfs/dbraw/zinc/04/04/66/262040466.db2.gz KUJILUDUAXLKIZ-SJLPKXTDSA-N 1 2 283.419 3.767 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2CCCSCC(C)C)no1 ZINC000363961809 262069909 /nfs/dbraw/zinc/06/99/09/262069909.db2.gz LMDPASXFVSPKJQ-CQSZACIVSA-N 1 2 297.468 3.684 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2CCCSCC(C)C)no1 ZINC000363961809 262069911 /nfs/dbraw/zinc/06/99/11/262069911.db2.gz LMDPASXFVSPKJQ-CQSZACIVSA-N 1 2 297.468 3.684 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nccn1C(F)F ZINC000185797124 262071679 /nfs/dbraw/zinc/07/16/79/262071679.db2.gz YVIJLEKOSRUBJS-CQSZACIVSA-N 1 2 291.345 3.615 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@H+]1Cc1nccn1C(F)F ZINC000185797124 262071680 /nfs/dbraw/zinc/07/16/80/262071680.db2.gz YVIJLEKOSRUBJS-CQSZACIVSA-N 1 2 291.345 3.615 20 0 CHADLO CCSc1cccc(C[N@H+](C)Cc2cscn2)c1 ZINC000186197732 262083937 /nfs/dbraw/zinc/08/39/37/262083937.db2.gz QPAOYOGVVVNRKD-UHFFFAOYSA-N 1 2 278.446 3.887 20 0 CHADLO CCSc1cccc(C[N@@H+](C)Cc2cscn2)c1 ZINC000186197732 262083938 /nfs/dbraw/zinc/08/39/38/262083938.db2.gz QPAOYOGVVVNRKD-UHFFFAOYSA-N 1 2 278.446 3.887 20 0 CHADLO Cc1ccc(NCc2ccc3c(c2)COC(C)(C)O3)c[nH+]1 ZINC000186397573 262091999 /nfs/dbraw/zinc/09/19/99/262091999.db2.gz BWUVFIORKOWHJG-UHFFFAOYSA-N 1 2 284.359 3.647 20 0 CHADLO Cc1c[nH+]cc(NCc2ccccc2OC(C)C)c1 ZINC000119586947 262168443 /nfs/dbraw/zinc/16/84/43/262168443.db2.gz VTQWLXJGALGQJC-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO Cc1ccc(F)c(CNc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000120512218 262205165 /nfs/dbraw/zinc/20/51/65/262205165.db2.gz BAQBSFKWTHQKRG-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO CCOc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC000121779173 262339909 /nfs/dbraw/zinc/33/99/09/262339909.db2.gz DNWXYFAYLQJRAR-UHFFFAOYSA-N 1 2 297.402 3.693 20 0 CHADLO CC(C)[C@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000171934030 335085554 /nfs/dbraw/zinc/08/55/54/335085554.db2.gz RSGPBEGZGJZCSL-NSHDSACASA-N 1 2 291.782 3.756 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@H](C)c2ncc(C)s2)n1 ZINC000124266813 262536613 /nfs/dbraw/zinc/53/66/13/262536613.db2.gz GIOUFPTXRVOMOR-GFCCVEGCSA-N 1 2 292.452 3.860 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(OC)cc1 ZINC000414298503 262838803 /nfs/dbraw/zinc/83/88/03/262838803.db2.gz IVLDZUXKONAFLW-SMDDNHRTSA-N 1 2 291.420 3.739 20 0 CHADLO CCN(C)c1ccc(CNc2ccc(F)c(F)c2C)c[nH+]1 ZINC000414590060 263002305 /nfs/dbraw/zinc/00/23/05/263002305.db2.gz QUHJYWPWHNEFQM-UHFFFAOYSA-N 1 2 291.345 3.736 20 0 CHADLO COc1ccnc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)c1 ZINC000273198136 263013816 /nfs/dbraw/zinc/01/38/16/263013816.db2.gz SCOUHUZGSMIIDK-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2nnc(C3CC3)s2)c1 ZINC000452080040 263034731 /nfs/dbraw/zinc/03/47/31/263034731.db2.gz GWRRYZNQEABKLQ-NSHDSACASA-N 1 2 273.405 3.575 20 0 CHADLO Cn1c(CNc2ccc3c(c2)CCC[NH2+]3)nc2ccccc21 ZINC000414855973 263072358 /nfs/dbraw/zinc/07/23/58/263072358.db2.gz XVVVQFMHZYXNIZ-UHFFFAOYSA-N 1 2 292.386 3.544 20 0 CHADLO CCn1c2ccccc2nc1[C@@H](C)[NH2+][C@H](C)c1cc(C)on1 ZINC000282695430 263078110 /nfs/dbraw/zinc/07/81/10/263078110.db2.gz KPXYSKLFKCRDRC-CHWSQXEVSA-N 1 2 298.390 3.764 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N(C)[C@@H](C)C1CCCCC1 ZINC000282694549 263078159 /nfs/dbraw/zinc/07/81/59/263078159.db2.gz RXXKTEOWAVCPGM-ZDUSSCGKSA-N 1 2 275.396 3.823 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@@H](C)c1ccc(Cl)cc1Cl ZINC000284043392 263085467 /nfs/dbraw/zinc/08/54/67/263085467.db2.gz NBWNZICPWWYTPP-VIFPVBQESA-N 1 2 284.190 3.876 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@@H](C)c1ccc(Cl)cc1Cl ZINC000284043392 263085468 /nfs/dbraw/zinc/08/54/68/263085468.db2.gz NBWNZICPWWYTPP-VIFPVBQESA-N 1 2 284.190 3.876 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1csc(Cl)n1)C(C)C ZINC000289954357 263113378 /nfs/dbraw/zinc/11/33/78/263113378.db2.gz IDNYLVDAGVRHOM-LBPRGKRZSA-N 1 2 295.839 3.987 20 0 CHADLO C[C@@H]1C[C@@H]1[C@H]([NH2+]Cc1ccn[nH]1)c1ccc(Cl)cc1 ZINC000295067593 263171675 /nfs/dbraw/zinc/17/16/75/263171675.db2.gz WAHLUGDJNAKENV-WKPIXPDZSA-N 1 2 275.783 3.550 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc2ccccc2n1)C(F)F ZINC000453246250 263207845 /nfs/dbraw/zinc/20/78/45/263207845.db2.gz MYMMNQUWMHEBIE-ZYHUDNBSSA-N 1 2 264.319 3.929 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)CC2CCCC2)c1 ZINC000299090807 263230162 /nfs/dbraw/zinc/23/01/62/263230162.db2.gz UFEUZUFUKYHOPE-UHFFFAOYSA-N 1 2 283.375 3.606 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccc(F)cc2)c1 ZINC000187721072 263267452 /nfs/dbraw/zinc/26/74/52/263267452.db2.gz HSAYPXBHTFXNCN-QGZVFWFLSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H](c2[nH]cc[nH+]2)C(C)C)cc1C ZINC000342368231 263425729 /nfs/dbraw/zinc/42/57/29/263425729.db2.gz XTBPOMHBGRDDFV-CQSZACIVSA-N 1 2 286.379 3.545 20 0 CHADLO Cc1cc[nH+]c(N[C@@H]2CCO[C@@H](C(C)C)C2)c1Cl ZINC000342933464 263549559 /nfs/dbraw/zinc/54/95/59/263549559.db2.gz OJPXEDMAWNGGGH-VXGBXAGGSA-N 1 2 268.788 3.659 20 0 CHADLO c1ccc(C2=CCCN(c3cc[nH+]c4ccncc43)C2)cc1 ZINC000343137499 263592076 /nfs/dbraw/zinc/59/20/76/263592076.db2.gz DSQOUVIPKGXEON-UHFFFAOYSA-N 1 2 287.366 3.924 20 0 CHADLO CC(C)[C@@H](NC(=O)[C@@H]1CC[C@@H](C(C)C)CC1)c1[nH]cc[nH+]1 ZINC000330430176 263938269 /nfs/dbraw/zinc/93/82/69/263938269.db2.gz MKRHWUJFHDSLTQ-RBSFLKMASA-N 1 2 291.439 3.685 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)Cc1cc(Cl)ccc1F ZINC000425336279 264012725 /nfs/dbraw/zinc/01/27/25/264012725.db2.gz KGONUUDJIUQHSP-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO Cc1cc(C)c(NC(=O)CO[C@@H]2CCCC[C@H]2C)c(C)[nH+]1 ZINC000330725377 264025641 /nfs/dbraw/zinc/02/56/41/264025641.db2.gz ZJHNMTQGZXHYNR-IAQYHMDHSA-N 1 2 290.407 3.541 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CCC[C@@H](C)C3)n2)c[nH+]1 ZINC000330902786 264073282 /nfs/dbraw/zinc/07/32/82/264073282.db2.gz LHOSKIZOLOMKEI-BXUZGUMPSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1ccc(Cc2noc(C3C[C@H](C)C[C@@H](C)C3)n2)c[nH+]1 ZINC000330919564 264093111 /nfs/dbraw/zinc/09/31/11/264093111.db2.gz YUUADHLAWIBHDQ-VXGBXAGGSA-N 1 2 285.391 3.904 20 0 CHADLO COC[C@@H]1CCCN(c2cc(C)[nH+]c3c(F)cccc23)C1 ZINC000125968962 264122939 /nfs/dbraw/zinc/12/29/39/264122939.db2.gz IIQXCIBJGKCEQK-CYBMUJFWSA-N 1 2 288.366 3.545 20 0 CHADLO Cc1cccc2nc(N[C@H](c3[nH+]ccn3C)C3CC3)sc21 ZINC000343258770 264225180 /nfs/dbraw/zinc/22/51/80/264225180.db2.gz VUBVZQMQCFZRCM-ZDUSSCGKSA-N 1 2 298.415 3.901 20 0 CHADLO Cc1cc(NCc2ncccc2F)c2cccc(F)c2[nH+]1 ZINC000127540774 264252480 /nfs/dbraw/zinc/25/24/80/264252480.db2.gz OKIFAPFJDRERRH-UHFFFAOYSA-N 1 2 285.297 3.829 20 0 CHADLO COc1ccccc1[C@@H]1CC[C@@H](C)CN1c1cc(C)[nH+]cn1 ZINC000343275296 264312002 /nfs/dbraw/zinc/31/20/02/264312002.db2.gz SXMRHPYDMNQMAY-CJNGLKHVSA-N 1 2 297.402 3.771 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1nc(C(F)F)no1)C(C)C ZINC000425454298 264328877 /nfs/dbraw/zinc/32/88/77/264328877.db2.gz GLLXKVNVEFNKJM-ZDUSSCGKSA-N 1 2 295.333 3.803 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[NH+]1CC(C)(C)OC(C)(C)C1 ZINC000190537853 264391944 /nfs/dbraw/zinc/39/19/44/264391944.db2.gz NKLPHPPGGFCJLJ-LBPRGKRZSA-N 1 2 294.439 3.923 20 0 CHADLO CCCCOCC[N@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000518833808 265075172 /nfs/dbraw/zinc/07/51/72/265075172.db2.gz WRRWHSQJEPGOQH-LBPRGKRZSA-N 1 2 271.351 3.774 20 0 CHADLO CCCCOCC[N@@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000518833808 265075175 /nfs/dbraw/zinc/07/51/75/265075175.db2.gz WRRWHSQJEPGOQH-LBPRGKRZSA-N 1 2 271.351 3.774 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CCc3cccc(Cl)c3C2)o1 ZINC000335587318 265159651 /nfs/dbraw/zinc/15/96/51/265159651.db2.gz RGSBEXOAWOPWDE-NSHDSACASA-N 1 2 276.767 3.756 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CCc3cccc(Cl)c3C2)o1 ZINC000335587318 265159657 /nfs/dbraw/zinc/15/96/57/265159657.db2.gz RGSBEXOAWOPWDE-NSHDSACASA-N 1 2 276.767 3.756 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)[C@@H](C)CCC(C)(C)C)[nH+]1 ZINC000520416785 265809743 /nfs/dbraw/zinc/80/97/43/265809743.db2.gz SYSBDJKRXMXQOT-NSHDSACASA-N 1 2 279.428 3.532 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H]2C[C@H]2C2CCC2)[nH+]1 ZINC000514608492 266019410 /nfs/dbraw/zinc/01/94/10/266019410.db2.gz DUISMWFPBJLHKX-LSDHHAIUSA-N 1 2 295.386 3.760 20 0 CHADLO Brc1cccc([C@@H]2C[C@H]2Nc2cccc[nH+]2)c1 ZINC000520756543 266034228 /nfs/dbraw/zinc/03/42/28/266034228.db2.gz MYOCXZRUZRGNAE-QWHCGFSZSA-N 1 2 289.176 3.812 20 0 CHADLO CC[C@H]1CCC[C@@H](NC(=O)c2ccc3[nH+]c(C)n(C)c3c2)C1 ZINC000356168819 266061419 /nfs/dbraw/zinc/06/14/19/266061419.db2.gz MBRAREBLSZRZQL-DZGCQCFKSA-N 1 2 299.418 3.580 20 0 CHADLO OCc1ccc(NCc2c(Cl)oc3ccccc32)[nH+]c1 ZINC000356314623 266093812 /nfs/dbraw/zinc/09/38/12/266093812.db2.gz GFLZHTLTNWNDGS-UHFFFAOYSA-N 1 2 288.734 3.586 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2ccccc2-n2cccn2)o1 ZINC000356314448 266094004 /nfs/dbraw/zinc/09/40/04/266094004.db2.gz SHZHNJHFDLEIOQ-KBPBESRZSA-N 1 2 296.374 3.581 20 0 CHADLO C[C@H]1CCCC[C@H]1c1noc(C[N@H+](C)Cc2ccccc2)n1 ZINC000356589660 266144464 /nfs/dbraw/zinc/14/44/64/266144464.db2.gz BGSXLDUHIFPFFI-GOEBONIOSA-N 1 2 299.418 3.995 20 0 CHADLO C[C@H]1CCCC[C@H]1c1noc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000356589660 266144467 /nfs/dbraw/zinc/14/44/67/266144467.db2.gz BGSXLDUHIFPFFI-GOEBONIOSA-N 1 2 299.418 3.995 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1ccc(F)cn1 ZINC000356689447 266160689 /nfs/dbraw/zinc/16/06/89/266160689.db2.gz YHZYTJBBWHSKNQ-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO CCn1cc[nH+]c1CN1CC2(CCCC2)c2ccccc21 ZINC000356949088 266217115 /nfs/dbraw/zinc/21/71/15/266217115.db2.gz RQCACRRTKRXQAL-UHFFFAOYSA-N 1 2 281.403 3.735 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N[C@H]3CCCC(C)(C)C3)cc2n1C ZINC000356959252 266218092 /nfs/dbraw/zinc/21/80/92/266218092.db2.gz QRHNYSOQEXFWHL-AWEZNQCLSA-N 1 2 299.418 3.580 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccc(Cl)s2)o1 ZINC000356958707 266218505 /nfs/dbraw/zinc/21/85/05/266218505.db2.gz UWGDVCORRVDITK-SECBINFHSA-N 1 2 270.785 3.891 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccc(Cl)s2)o1 ZINC000356958707 266218508 /nfs/dbraw/zinc/21/85/08/266218508.db2.gz UWGDVCORRVDITK-SECBINFHSA-N 1 2 270.785 3.891 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccc(Br)o2)o1 ZINC000356985701 266224539 /nfs/dbraw/zinc/22/45/39/266224539.db2.gz WFBFMIKAVQKVTM-VIFPVBQESA-N 1 2 299.168 3.532 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccc(Br)o2)o1 ZINC000356985701 266224543 /nfs/dbraw/zinc/22/45/43/266224543.db2.gz WFBFMIKAVQKVTM-VIFPVBQESA-N 1 2 299.168 3.532 20 0 CHADLO C[C@@H](CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1)C1CCCCC1 ZINC000357130207 266252836 /nfs/dbraw/zinc/25/28/36/266252836.db2.gz PWFJOIKXKOSKSH-ZFWWWQNUSA-N 1 2 289.423 3.680 20 0 CHADLO CC(C)[C@H]1C[C@@H](Nc2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000357204019 266265575 /nfs/dbraw/zinc/26/55/75/266265575.db2.gz OOWMWPIOLFLNCB-GOEBONIOSA-N 1 2 288.366 3.989 20 0 CHADLO CCc1cc(C(=O)Nc2cccc3[nH+]ccn32)sc1C ZINC000357457469 266300678 /nfs/dbraw/zinc/30/06/78/266300678.db2.gz WBQFTKCJEUUVGJ-UHFFFAOYSA-N 1 2 285.372 3.519 20 0 CHADLO Cc1cc(N[C@H]2CCc3sc(Cl)cc32)nc[nH+]1 ZINC000357606396 266335477 /nfs/dbraw/zinc/33/54/77/266335477.db2.gz NJTGBZKMOPNIOW-VIFPVBQESA-N 1 2 265.769 3.599 20 0 CHADLO CCn1cc[nH+]c1CN[C@@H](c1cccc(C)c1)C(F)(F)F ZINC000357797268 266364364 /nfs/dbraw/zinc/36/43/64/266364364.db2.gz CEYLHSFXMLPKCU-AWEZNQCLSA-N 1 2 297.324 3.605 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C(C)(C)C(F)F ZINC000357909650 266383839 /nfs/dbraw/zinc/38/38/39/266383839.db2.gz VUKUWKUSBKVADB-UHFFFAOYSA-N 1 2 293.317 3.615 20 0 CHADLO Cc1noc(-c2ccc(Oc3cc[nH+]cc3)c(Cl)c2)n1 ZINC000114629692 266457759 /nfs/dbraw/zinc/45/77/59/266457759.db2.gz VXCYGQWRPNEUTH-UHFFFAOYSA-N 1 2 287.706 3.886 20 0 CHADLO CCn1ncnc1C[NH2+][C@H](c1cc2ccccc2o1)C(C)C ZINC000359054010 266528505 /nfs/dbraw/zinc/52/85/05/266528505.db2.gz JSCIBDJTLFGKHQ-KRWDZBQOSA-N 1 2 298.390 3.531 20 0 CHADLO CO[C@@](C)(CNc1[nH+]ccc2ccc(F)cc21)C1CC1 ZINC000359965531 266663831 /nfs/dbraw/zinc/66/38/31/266663831.db2.gz BHYKZBALBDZHGN-INIZCTEOSA-N 1 2 274.339 3.601 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccsc2Cl)n1 ZINC000361571002 266851734 /nfs/dbraw/zinc/85/17/34/266851734.db2.gz GXPRDEUSBCAMQA-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccsc2Cl)n1 ZINC000361571002 266851736 /nfs/dbraw/zinc/85/17/36/266851736.db2.gz GXPRDEUSBCAMQA-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Clc1cccc2c1CC[C@H]2[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367742820 267114452 /nfs/dbraw/zinc/11/44/52/267114452.db2.gz WMODIVPQIBBNOZ-HUUCEWRRSA-N 1 2 287.794 3.718 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+]1CCc2ncccc2C1 ZINC000367858829 267122829 /nfs/dbraw/zinc/12/28/29/267122829.db2.gz MHUXGGASYUZIQJ-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+]1CCc2ncccc2C1 ZINC000367858829 267122832 /nfs/dbraw/zinc/12/28/32/267122832.db2.gz MHUXGGASYUZIQJ-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2C[C@H]3CCCC[C@H]32)s1 ZINC000367955520 267130184 /nfs/dbraw/zinc/13/01/84/267130184.db2.gz IZGZONMABHMGLV-RKDXNWHRSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2C[C@H]3CCCC[C@H]32)s1 ZINC000367955520 267130188 /nfs/dbraw/zinc/13/01/88/267130188.db2.gz IZGZONMABHMGLV-RKDXNWHRSA-N 1 2 276.327 3.536 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000116261863 267134478 /nfs/dbraw/zinc/13/44/78/267134478.db2.gz RHLZRYYAPNJNON-LBPRGKRZSA-N 1 2 253.349 3.836 20 0 CHADLO Cc1ccc2[nH]c(C(=O)Nc3cc[nH+]cc3C)cc2c1C ZINC000116755754 267174870 /nfs/dbraw/zinc/17/48/70/267174870.db2.gz YCNKOOYVXDHKHD-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO CN(C)c1ccc([NH2+][C@H]2CCC23CCOCC3)cc1Cl ZINC000368525063 267180539 /nfs/dbraw/zinc/18/05/39/267180539.db2.gz DENJKNCPCAHYAR-HNNXBMFYSA-N 1 2 294.826 3.777 20 0 CHADLO COc1cccc(C2=CC[N@H+](Cc3nc(C)c(C)o3)CC2)c1 ZINC000368801419 267206363 /nfs/dbraw/zinc/20/63/63/267206363.db2.gz CCWYLVMRMLERMG-UHFFFAOYSA-N 1 2 298.386 3.589 20 0 CHADLO COc1cccc(C2=CC[N@@H+](Cc3nc(C)c(C)o3)CC2)c1 ZINC000368801419 267206366 /nfs/dbraw/zinc/20/63/66/267206366.db2.gz CCWYLVMRMLERMG-UHFFFAOYSA-N 1 2 298.386 3.589 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCC[C@@H]2C2CC2)s1 ZINC000369475053 267244087 /nfs/dbraw/zinc/24/40/87/267244087.db2.gz BIPPBLNKTDKBRF-SECBINFHSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCC[C@@H]2C2CC2)s1 ZINC000369475053 267244089 /nfs/dbraw/zinc/24/40/89/267244089.db2.gz BIPPBLNKTDKBRF-SECBINFHSA-N 1 2 276.327 3.536 20 0 CHADLO Cc1nccc(C[N@H+]2CC=C(c3ccccc3Cl)CC2)n1 ZINC000369530690 267249712 /nfs/dbraw/zinc/24/97/12/267249712.db2.gz IAZOFXRVHGHGHN-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1nccc(C[N@@H+]2CC=C(c3ccccc3Cl)CC2)n1 ZINC000369530690 267249715 /nfs/dbraw/zinc/24/97/15/267249715.db2.gz IAZOFXRVHGHGHN-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2SCCc3ccccc32)c(C)[nH+]1 ZINC000119036206 267293090 /nfs/dbraw/zinc/29/30/90/267293090.db2.gz OWJWYTDYCYBSGZ-INIZCTEOSA-N 1 2 298.411 3.668 20 0 CHADLO CC[C@H](Nc1cnn(C2CCCC2)c1C)c1[nH+]ccn1C ZINC000193387095 267311114 /nfs/dbraw/zinc/31/11/14/267311114.db2.gz FNSQBHZWLJIESO-AWEZNQCLSA-N 1 2 287.411 3.603 20 0 CHADLO Cc1ccc([C@H](C)NC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000119422625 267333523 /nfs/dbraw/zinc/33/35/23/267333523.db2.gz PNSGTLOBZSMOBZ-ZDUSSCGKSA-N 1 2 269.348 3.581 20 0 CHADLO C[C@@H](N[C@H](c1[nH+]ccn1C)c1ccccc1F)c1ccoc1 ZINC000370669299 267336139 /nfs/dbraw/zinc/33/61/39/267336139.db2.gz IOBODYLAUWJZNL-WBMJQRKESA-N 1 2 299.349 3.592 20 0 CHADLO CCC[C@H]1[C@H](C)CCCN1C(=O)Nc1cc[nH+]c(C)c1 ZINC000119482868 267338465 /nfs/dbraw/zinc/33/84/65/267338465.db2.gz CUZYKNQEYGRKEJ-DOMZBBRYSA-N 1 2 275.396 3.823 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2C/C=C/c2ccccc2)no1 ZINC000370744270 267344257 /nfs/dbraw/zinc/34/42/57/267344257.db2.gz CQOBFXRQEXLHCY-JCVNQNCUSA-N 1 2 283.375 3.618 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2C/C=C/c2ccccc2)no1 ZINC000370744270 267344259 /nfs/dbraw/zinc/34/42/59/267344259.db2.gz CQOBFXRQEXLHCY-JCVNQNCUSA-N 1 2 283.375 3.618 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2ccc(F)cc2F)on1 ZINC000371228872 267374414 /nfs/dbraw/zinc/37/44/14/267374414.db2.gz HVUBFVNMSDJASX-INIZCTEOSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2ccc(F)cc2F)on1 ZINC000371228872 267374415 /nfs/dbraw/zinc/37/44/15/267374415.db2.gz HVUBFVNMSDJASX-INIZCTEOSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1cc(NC(=O)[C@H]2C[C@@H]2c2cccc(Cl)c2)cc[nH+]1 ZINC000120120460 267383750 /nfs/dbraw/zinc/38/37/50/267383750.db2.gz VULQFOZXLIQDMW-CABCVRRESA-N 1 2 286.762 3.786 20 0 CHADLO CC1(C)C[C@@H]1C(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000120192479 267392025 /nfs/dbraw/zinc/39/20/25/267392025.db2.gz MWYWIVDLLOUSMT-OAHLLOKOSA-N 1 2 282.343 3.859 20 0 CHADLO CC[N@H+](Cc1cccs1)[C@H](C)c1nc(C2CC2)no1 ZINC000120184671 267392263 /nfs/dbraw/zinc/39/22/63/267392263.db2.gz LJMZQFNNLIOSBS-SNVBAGLBSA-N 1 2 277.393 3.592 20 0 CHADLO CC[N@@H+](Cc1cccs1)[C@H](C)c1nc(C2CC2)no1 ZINC000120184671 267392266 /nfs/dbraw/zinc/39/22/66/267392266.db2.gz LJMZQFNNLIOSBS-SNVBAGLBSA-N 1 2 277.393 3.592 20 0 CHADLO C[C@H](C[N@@H+]1CCC[C@@H]1c1ncon1)c1cccc(Cl)c1 ZINC000372670801 267590337 /nfs/dbraw/zinc/59/03/37/267590337.db2.gz AWEAWLSXTDZVDI-BXUZGUMPSA-N 1 2 291.782 3.664 20 0 CHADLO C[C@H](C[N@H+]1CCC[C@@H]1c1ncon1)c1cccc(Cl)c1 ZINC000372670801 267590339 /nfs/dbraw/zinc/59/03/39/267590339.db2.gz AWEAWLSXTDZVDI-BXUZGUMPSA-N 1 2 291.782 3.664 20 0 CHADLO CN(CCCc1ccccc1)c1cc[nH+]c2ccncc21 ZINC000570830075 327623474 /nfs/dbraw/zinc/62/34/74/327623474.db2.gz JLGGRTWPUCXCKD-UHFFFAOYSA-N 1 2 277.371 3.699 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2cscn2)oc1C ZINC000570942235 327681125 /nfs/dbraw/zinc/68/11/25/327681125.db2.gz HCFVHGQGIGQYIA-UWVGGRQHSA-N 1 2 250.367 3.765 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(C3CC3)nc2C)c(C)[nH+]1 ZINC000580292218 327766779 /nfs/dbraw/zinc/76/67/79/327766779.db2.gz JWIQDOWWOKGQHK-UHFFFAOYSA-N 1 2 295.386 3.840 20 0 CHADLO C[C@H](SCCn1cc[nH+]c1)c1ccccc1F ZINC000179030811 327798338 /nfs/dbraw/zinc/79/83/38/327798338.db2.gz SEVVAQXIQYAVBF-NSHDSACASA-N 1 2 250.342 3.517 20 0 CHADLO c1cn(CCCSC2=Nc3ccccc3CS2)c[nH+]1 ZINC000180433592 327805742 /nfs/dbraw/zinc/80/57/42/327805742.db2.gz JWXBGNZSXPOWQZ-UHFFFAOYSA-N 1 2 289.429 3.941 20 0 CHADLO Fc1ccc([C@H](CC(F)(F)F)[NH2+]Cc2ccon2)cc1 ZINC000180565744 327806099 /nfs/dbraw/zinc/80/60/99/327806099.db2.gz ZAZKSVRRAMBLPG-LBPRGKRZSA-N 1 2 288.244 3.597 20 0 CHADLO FC(F)(F)[C@@H](CNc1cccc[nH+]1)Cc1ccccc1 ZINC000582035391 327812699 /nfs/dbraw/zinc/81/26/99/327812699.db2.gz BGUBWPSZMLQARK-CYBMUJFWSA-N 1 2 280.293 3.915 20 0 CHADLO COc1cc(NCc2ccc(-n3cc[nH+]c3)cc2)ccc1F ZINC000186746027 327917984 /nfs/dbraw/zinc/91/79/84/327917984.db2.gz WYLHLXQPTRXPOC-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO O=C(Nc1cccnc1)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000112625447 327962308 /nfs/dbraw/zinc/96/23/08/327962308.db2.gz HXMSNKMBWHNRIG-UHFFFAOYSA-N 1 2 291.310 3.521 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@H](C)c1ccccc1C ZINC000531547251 328014638 /nfs/dbraw/zinc/01/46/38/328014638.db2.gz LRNIDOSBPRELAR-AWEZNQCLSA-N 1 2 282.387 3.507 20 0 CHADLO Cn1cc(Cl)cc1C[N@H+](C)Cc1ccccc1Cl ZINC000531562009 328018102 /nfs/dbraw/zinc/01/81/02/328018102.db2.gz QWHAOYBBFTTXLY-UHFFFAOYSA-N 1 2 283.202 3.964 20 0 CHADLO Cn1cc(Cl)cc1C[N@@H+](C)Cc1ccccc1Cl ZINC000531562009 328018103 /nfs/dbraw/zinc/01/81/03/328018103.db2.gz QWHAOYBBFTTXLY-UHFFFAOYSA-N 1 2 283.202 3.964 20 0 CHADLO Cc1cc(N[C@@H](C)c2c(F)cccc2F)nc(C(C)C)[nH+]1 ZINC000531604935 328020584 /nfs/dbraw/zinc/02/05/84/328020584.db2.gz ZNLHQIOQVZWLTG-NSHDSACASA-N 1 2 291.345 3.782 20 0 CHADLO CC[C@H](CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)C(C)C ZINC000531687069 328022154 /nfs/dbraw/zinc/02/21/54/328022154.db2.gz YTJTUBUFAABZNH-GFCCVEGCSA-N 1 2 273.380 3.882 20 0 CHADLO CSCC[N@H+](CCc1ccccc1)c1ccc(O)cc1 ZINC000534106624 328035754 /nfs/dbraw/zinc/03/57/54/328035754.db2.gz YOAQXIAZRIMWGU-UHFFFAOYSA-N 1 2 287.428 3.804 20 0 CHADLO CSCC[N@@H+](CCc1ccccc1)c1ccc(O)cc1 ZINC000534106624 328035755 /nfs/dbraw/zinc/03/57/55/328035755.db2.gz YOAQXIAZRIMWGU-UHFFFAOYSA-N 1 2 287.428 3.804 20 0 CHADLO c1csc([C@H]2CCCN2c2[nH]c3ccccc3[nH+]2)c1 ZINC000534242889 328041667 /nfs/dbraw/zinc/04/16/67/328041667.db2.gz FQKVWOMSILGEHZ-CYBMUJFWSA-N 1 2 269.373 3.966 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000534330011 328046399 /nfs/dbraw/zinc/04/63/99/328046399.db2.gz HZNCUJOTEPQLIB-UWVGGRQHSA-N 1 2 280.368 3.703 20 0 CHADLO C[C@@H]([NH2+]Cc1ccn[nH]1)c1ccccc1C(C)(C)C ZINC000534350977 328048095 /nfs/dbraw/zinc/04/80/95/328048095.db2.gz CNPXERXABMHEEM-GFCCVEGCSA-N 1 2 257.381 3.558 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H](C)C2CCCCC2)c(C)[nH+]1 ZINC000152241397 328082379 /nfs/dbraw/zinc/08/23/79/328082379.db2.gz VFUFSXBEEDEEHR-LBPRGKRZSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1ccc(CNC(=O)Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC000152262206 328083187 /nfs/dbraw/zinc/08/31/87/328083187.db2.gz HYSPAZHGYMMDPB-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCCc2c(Cl)cccc21 ZINC000563671494 328083473 /nfs/dbraw/zinc/08/34/73/328083473.db2.gz XTCLFDYBRJJKJM-GFCCVEGCSA-N 1 2 259.727 3.962 20 0 CHADLO Fc1ccc(-c2nc(C[N@H+]3CCC[C@@H](F)C3)cs2)cc1 ZINC000571291183 328099871 /nfs/dbraw/zinc/09/98/71/328099871.db2.gz MKJLGBSDWVRDBF-CYBMUJFWSA-N 1 2 294.370 3.883 20 0 CHADLO Fc1ccc(-c2nc(C[N@@H+]3CCC[C@@H](F)C3)cs2)cc1 ZINC000571291183 328099873 /nfs/dbraw/zinc/09/98/73/328099873.db2.gz MKJLGBSDWVRDBF-CYBMUJFWSA-N 1 2 294.370 3.883 20 0 CHADLO OCCC1CC[NH+](Cc2cc(Cl)sc2Cl)CC1 ZINC000571492382 328125071 /nfs/dbraw/zinc/12/50/71/328125071.db2.gz KAXCPALYGXVKBO-UHFFFAOYSA-N 1 2 294.247 3.649 20 0 CHADLO C[C@@H]1CCCC[C@H]1CC[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000571598735 328136373 /nfs/dbraw/zinc/13/63/73/328136373.db2.gz WUNASWFWUKZQFS-HLLBOEOZSA-N 1 2 298.434 3.700 20 0 CHADLO C[C@H]1CCN(c2cc(NC3CC3)[nH+]cn2)[C@H](c2ccco2)C1 ZINC000413171416 328142021 /nfs/dbraw/zinc/14/20/21/328142021.db2.gz ORTBDULTZMBXFB-JSGCOSHPSA-N 1 2 298.390 3.622 20 0 CHADLO C[C@H]1CCN(c2cc(NC3CC3)nc[nH+]2)[C@H](c2ccco2)C1 ZINC000413171416 328142023 /nfs/dbraw/zinc/14/20/23/328142023.db2.gz ORTBDULTZMBXFB-JSGCOSHPSA-N 1 2 298.390 3.622 20 0 CHADLO COc1cccc2c(N[C@@H]3CS[C@@H](C)C3)cc[nH+]c12 ZINC000413516499 328147677 /nfs/dbraw/zinc/14/76/77/328147677.db2.gz LKWJZRZUFRZKIK-QWRGUYRKSA-N 1 2 274.389 3.549 20 0 CHADLO Cc1cc2nc(N[C@@H]3C[C@H](C)n4cc[nH+]c43)oc2c(C)c1 ZINC000571630581 328150432 /nfs/dbraw/zinc/15/04/32/328150432.db2.gz AVQIYMCLWWQKQS-WCQYABFASA-N 1 2 282.347 3.759 20 0 CHADLO CC1CCC(N(C(=O)c2ccc3[nH+]ccn3c2)C2CC2)CC1 ZINC000264002702 328156568 /nfs/dbraw/zinc/15/65/68/328156568.db2.gz LINPMMKROBDNHV-UHFFFAOYSA-N 1 2 297.402 3.518 20 0 CHADLO COc1cc2ccccc2cc1C[NH2+][C@H](C)c1csnn1 ZINC000414549862 328193675 /nfs/dbraw/zinc/19/36/75/328193675.db2.gz MMCSIVFNHVHNNJ-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO Cc1ccc(-c2ccc(C[NH2+][C@@H](C)c3csnn3)o2)cc1 ZINC000414550079 328193783 /nfs/dbraw/zinc/19/37/83/328193783.db2.gz MPVWTFQSDSYKKI-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Fc1cc(Cl)ccc1C[N@@H+]1CCOCC12CCCC2 ZINC000438104961 328222691 /nfs/dbraw/zinc/22/26/91/328222691.db2.gz OVWPKUTVMBEGIN-UHFFFAOYSA-N 1 2 283.774 3.624 20 0 CHADLO Fc1cc(Cl)ccc1C[N@H+]1CCOCC12CCCC2 ZINC000438104961 328222693 /nfs/dbraw/zinc/22/26/93/328222693.db2.gz OVWPKUTVMBEGIN-UHFFFAOYSA-N 1 2 283.774 3.624 20 0 CHADLO Clc1cccc(Cl)c1C1(NCc2[nH]cc[nH+]2)CCC1 ZINC000278528138 328226125 /nfs/dbraw/zinc/22/61/25/328226125.db2.gz UJHIEEJLKYIJGK-UHFFFAOYSA-N 1 2 296.201 3.886 20 0 CHADLO C[C@H]([NH2+]Cc1ncnn1C(C)(C)C)c1cccc(Cl)c1 ZINC000283537673 328244261 /nfs/dbraw/zinc/24/42/61/328244261.db2.gz ODHDVLCHQDOCIM-NSHDSACASA-N 1 2 292.814 3.537 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H](C)Cc1cccs1 ZINC000287494861 328251475 /nfs/dbraw/zinc/25/14/75/328251475.db2.gz LBLBYKXZKZPGJF-LLVKDONJSA-N 1 2 289.404 3.513 20 0 CHADLO Cc1ccc(CN(C)C(=O)Nc2c(C)cc[nH+]c2C)cc1 ZINC000287506119 328251537 /nfs/dbraw/zinc/25/15/37/328251537.db2.gz LVUSBZIYCJPJFM-UHFFFAOYSA-N 1 2 283.375 3.671 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1ccc(OC(C)C)cc1 ZINC000296739960 328291884 /nfs/dbraw/zinc/29/18/84/328291884.db2.gz JIVZWCKRKSYJAO-CYBMUJFWSA-N 1 2 273.380 3.863 20 0 CHADLO CC[C@H]([NH2+][C@@H](CO)c1c(F)cccc1F)c1ccsc1 ZINC000296883322 328292576 /nfs/dbraw/zinc/29/25/76/328292576.db2.gz OGRHTXXAPAISTQ-KBPBESRZSA-N 1 2 297.370 3.801 20 0 CHADLO COc1cc(C)[nH+]c(CN2C[C@H](C)[C@@H]2c2ccccc2)c1 ZINC000534551438 328306087 /nfs/dbraw/zinc/30/60/87/328306087.db2.gz IFRWYRJBSLNSRN-SCLBCKFNSA-N 1 2 282.387 3.592 20 0 CHADLO Cc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)cc1F ZINC000110975536 328322728 /nfs/dbraw/zinc/32/27/28/328322728.db2.gz SWPGBJRTRUXOJX-UHFFFAOYSA-N 1 2 285.366 3.741 20 0 CHADLO CCC[C@@H]1C[N@@H+]([C@@H](C)c2cc(F)ccc2F)CCO1 ZINC000534667470 328345920 /nfs/dbraw/zinc/34/59/20/328345920.db2.gz PKZQIEKRKICZKA-WCQYABFASA-N 1 2 269.335 3.527 20 0 CHADLO CCC[C@@H]1C[N@H+]([C@@H](C)c2cc(F)ccc2F)CCO1 ZINC000534667470 328345922 /nfs/dbraw/zinc/34/59/22/328345922.db2.gz PKZQIEKRKICZKA-WCQYABFASA-N 1 2 269.335 3.527 20 0 CHADLO Cc1cc(C)c(CSc2nc(N)cc(C)[nH+]2)c(C)c1 ZINC000049151726 328349734 /nfs/dbraw/zinc/34/97/34/328349734.db2.gz TYCMEVJPCOXPJW-UHFFFAOYSA-N 1 2 273.405 3.585 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC[C@]2(CC=CCC2)C1 ZINC000534979784 328372828 /nfs/dbraw/zinc/37/28/28/328372828.db2.gz BBYPDLYGEIXKSR-GOSISDBHSA-N 1 2 281.403 3.900 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC[C@@]2(CC=CCC2)C1 ZINC000534979792 328372925 /nfs/dbraw/zinc/37/29/25/328372925.db2.gz BBYPDLYGEIXKSR-SFHVURJKSA-N 1 2 281.403 3.900 20 0 CHADLO CCCCCN(C(=O)Nc1cc(C)[nH+]cc1C)C(C)C ZINC000535060330 328381921 /nfs/dbraw/zinc/38/19/21/328381921.db2.gz MPEJQAAMJKZMLR-UHFFFAOYSA-N 1 2 277.412 3.553 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000535063191 328382134 /nfs/dbraw/zinc/38/21/34/328382134.db2.gz SKIYTDFKZDLVLZ-UHFFFAOYSA-N 1 2 271.408 3.648 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000535063191 328382136 /nfs/dbraw/zinc/38/21/36/328382136.db2.gz SKIYTDFKZDLVLZ-UHFFFAOYSA-N 1 2 271.408 3.648 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@@H]2c2ccccn2)cc1F ZINC000535067268 328383056 /nfs/dbraw/zinc/38/30/56/328383056.db2.gz LAPPLHZJDZWFFV-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@@H]2c2ccccn2)cc1F ZINC000535067268 328383057 /nfs/dbraw/zinc/38/30/57/328383057.db2.gz LAPPLHZJDZWFFV-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO C[N@H+](CCOc1ccccc1F)Cc1c(F)cccc1F ZINC000535068142 328383351 /nfs/dbraw/zinc/38/33/51/328383351.db2.gz VLAQCJIPLPVDSD-UHFFFAOYSA-N 1 2 295.304 3.615 20 0 CHADLO C[N@@H+](CCOc1ccccc1F)Cc1c(F)cccc1F ZINC000535068142 328383352 /nfs/dbraw/zinc/38/33/52/328383352.db2.gz VLAQCJIPLPVDSD-UHFFFAOYSA-N 1 2 295.304 3.615 20 0 CHADLO COc1cccc(CSCc2cc(OC)cc(C)[nH+]2)c1 ZINC000535256023 328399332 /nfs/dbraw/zinc/39/93/32/328399332.db2.gz ZVUHYMZVLDJRKL-UHFFFAOYSA-N 1 2 289.400 3.841 20 0 CHADLO C[C@H]1C[C@H]1CC(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000353781826 328488525 /nfs/dbraw/zinc/48/85/25/328488525.db2.gz YGINXIKGYKAOJV-QWRGUYRKSA-N 1 2 289.766 3.510 20 0 CHADLO c1sc(C2CC2)nc1C[NH2+][C@H]1CSc2ccccc21 ZINC000344435390 328558313 /nfs/dbraw/zinc/55/83/13/328558313.db2.gz MAIYFBIGOXJRQE-ZDUSSCGKSA-N 1 2 288.441 3.957 20 0 CHADLO Cc1sccc1CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000345626041 328566917 /nfs/dbraw/zinc/56/69/17/328566917.db2.gz OIDVYGIWPWIRFH-UHFFFAOYSA-N 1 2 297.383 3.628 20 0 CHADLO Cc1ncc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)o1 ZINC000398121502 326871912 /nfs/dbraw/zinc/87/19/12/326871912.db2.gz WWOLCDZDUVWYOG-QMMMGPOBSA-N 1 2 268.719 3.626 20 0 CHADLO CCCOc1ccc([C@@H](C)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398255778 326876582 /nfs/dbraw/zinc/87/65/82/326876582.db2.gz CKHIJFPJEPACEY-VXGBXAGGSA-N 1 2 291.420 3.739 20 0 CHADLO Cc1cc(NC[C@@H]2CCSC2)c2cccc(F)c2[nH+]1 ZINC000447815406 326880902 /nfs/dbraw/zinc/88/09/02/326880902.db2.gz FUOBNVWZAYWXIB-NSHDSACASA-N 1 2 276.380 3.847 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)/C=C\c1ccc([C@@H]2C[C@H]2C)o1 ZINC000255196101 326957083 /nfs/dbraw/zinc/95/70/83/326957083.db2.gz KYKMUWKWRYEDSX-CAMLPZEUSA-N 1 2 282.343 3.758 20 0 CHADLO Cc1cc[nH+]c(NCCOC2CCCCC2)c1Cl ZINC000557532919 327011921 /nfs/dbraw/zinc/01/19/21/327011921.db2.gz HHRFLMZGYPKCMZ-UHFFFAOYSA-N 1 2 268.788 3.805 20 0 CHADLO CC(C)(CNc1cccc[nH+]1)Oc1cc(F)cc(F)c1 ZINC000557679145 327020817 /nfs/dbraw/zinc/02/08/17/327020817.db2.gz GIPALBROZSZPCC-UHFFFAOYSA-N 1 2 278.302 3.629 20 0 CHADLO C[C@@H]1COCC[C@@H]1CNc1cc[nH+]c2c(Cl)cccc12 ZINC000557721031 327022681 /nfs/dbraw/zinc/02/26/81/327022681.db2.gz ZHGHSJWHCZCJQS-VXGBXAGGSA-N 1 2 290.794 3.973 20 0 CHADLO CCc1nc(N2C[C@H](C)C[C@@H]2c2ccccc2)cc(C)[nH+]1 ZINC000557763171 327025512 /nfs/dbraw/zinc/02/55/12/327025512.db2.gz YNSCGBLMLCHGDV-CZUORRHYSA-N 1 2 281.403 3.935 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc2c(c1)Cc1ccccc1-2 ZINC000090153444 327031375 /nfs/dbraw/zinc/03/13/75/327031375.db2.gz LVRLSBJRTFFSPM-UHFFFAOYSA-N 1 2 275.355 3.603 20 0 CHADLO CC[C@@H](C)[N@H+](CC(=O)OC(C)(C)C)Cc1cccc(F)c1 ZINC000558072837 327057863 /nfs/dbraw/zinc/05/78/63/327057863.db2.gz NZRWTRZPFDSJIA-CYBMUJFWSA-N 1 2 295.398 3.768 20 0 CHADLO CC[C@@H](C)[N@@H+](CC(=O)OC(C)(C)C)Cc1cccc(F)c1 ZINC000558072837 327057864 /nfs/dbraw/zinc/05/78/64/327057864.db2.gz NZRWTRZPFDSJIA-CYBMUJFWSA-N 1 2 295.398 3.768 20 0 CHADLO CC[C@@H](NC(=O)c1cc2cccc(C)c2s1)c1[nH]cc[nH+]1 ZINC000565976759 327101619 /nfs/dbraw/zinc/10/16/19/327101619.db2.gz DEFRMENDQWUMLD-GFCCVEGCSA-N 1 2 299.399 3.814 20 0 CHADLO c1[nH]cc(N[C@H]2CCC[C@@H]2CCc2ccccc2)[nH+]1 ZINC000558575283 327106578 /nfs/dbraw/zinc/10/65/78/327106578.db2.gz KHQQORCJUPUVDQ-CABCVRRESA-N 1 2 255.365 3.623 20 0 CHADLO C[C@@H]1CC(CCNc2ccc3ccccc3[nH+]2)C[C@@H](C)O1 ZINC000558786748 327120261 /nfs/dbraw/zinc/12/02/61/327120261.db2.gz YLYNDZSJWFSGLA-ZIAGYGMSSA-N 1 2 284.403 3.662 20 0 CHADLO Clc1ccsc1C[N@@H+]1CCOC2(CCCCC2)C1 ZINC000558830804 327123443 /nfs/dbraw/zinc/12/34/43/327123443.db2.gz FKSXNLNDLDOOEQ-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Clc1ccsc1C[N@H+]1CCOC2(CCCCC2)C1 ZINC000558830804 327123445 /nfs/dbraw/zinc/12/34/45/327123445.db2.gz FKSXNLNDLDOOEQ-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Cc1ccc(N[C@H](Cc2ccccc2)C2CC2)c[nH+]1 ZINC000539651836 327130104 /nfs/dbraw/zinc/13/01/04/327130104.db2.gz WGHQTZAKLLQVCU-QGZVFWFLSA-N 1 2 252.361 3.823 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1c(F)cccc1F ZINC000293829479 327158365 /nfs/dbraw/zinc/15/83/65/327158365.db2.gz BFOZSNMCJDSUIQ-KWBADKCTSA-N 1 2 277.318 3.518 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1c(F)cccc1F ZINC000293829477 327158414 /nfs/dbraw/zinc/15/84/14/327158414.db2.gz BFOZSNMCJDSUIQ-GBIKHYSHSA-N 1 2 277.318 3.518 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)C/C(Cl)=C/Cl ZINC000092225139 327190432 /nfs/dbraw/zinc/19/04/32/327190432.db2.gz APWXYJCWQXZGAN-KGTBHZDVSA-N 1 2 259.180 3.784 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3cscn3)CCCC2)c(F)c1 ZINC000089801743 327173555 /nfs/dbraw/zinc/17/35/55/327173555.db2.gz ALTRJFLVMQATEJ-UHFFFAOYSA-N 1 2 294.370 3.980 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)C/C(Cl)=C/Cl ZINC000092225139 327190431 /nfs/dbraw/zinc/19/04/31/327190431.db2.gz APWXYJCWQXZGAN-KGTBHZDVSA-N 1 2 259.180 3.784 20 0 CHADLO CCc1nocc1CSCc1ccc(C)[nH+]c1C ZINC000572617065 327192116 /nfs/dbraw/zinc/19/21/16/327192116.db2.gz PRJNWVCOQOQLMT-UHFFFAOYSA-N 1 2 262.378 3.682 20 0 CHADLO COCCCCSCc1ccc(-n2cc[nH+]c2)cc1C ZINC000507115269 332787585 /nfs/dbraw/zinc/78/75/85/332787585.db2.gz FQLOZEYMPOOIPF-UHFFFAOYSA-N 1 2 290.432 3.841 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+]2Cc3cccnc3C2)cc1 ZINC000559761029 327259952 /nfs/dbraw/zinc/25/99/52/327259952.db2.gz YXDQWBIEABJXDD-UHFFFAOYSA-N 1 2 278.277 3.616 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+]2Cc3cccnc3C2)cc1 ZINC000559761029 327259954 /nfs/dbraw/zinc/25/99/54/327259954.db2.gz YXDQWBIEABJXDD-UHFFFAOYSA-N 1 2 278.277 3.616 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)no1)c1ccc(C2CC2)cc1 ZINC000573823772 327261882 /nfs/dbraw/zinc/26/18/82/327261882.db2.gz YTHSNSVDHOKABD-NSHDSACASA-N 1 2 283.375 3.675 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccccc1OC(F)(F)F ZINC000559878431 327267485 /nfs/dbraw/zinc/26/74/85/327267485.db2.gz RSAZPRUKZSOUAU-MRVPVSSYSA-N 1 2 283.240 3.891 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCCOc2c(Cl)cccc21 ZINC000559879387 327267930 /nfs/dbraw/zinc/26/79/30/327267930.db2.gz RNJVNFMXASSCBJ-NSHDSACASA-N 1 2 275.726 3.799 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+][C@H]1CCC[C@H]1F ZINC000559918795 327271276 /nfs/dbraw/zinc/27/12/76/327271276.db2.gz IMETUSKYSZRKOQ-HZSPNIEDSA-N 1 2 271.326 3.733 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC[C@]2(CCOC2)C1 ZINC000560249665 327293537 /nfs/dbraw/zinc/29/35/37/327293537.db2.gz QDORFJJTQCRIBO-SFHVURJKSA-N 1 2 282.387 3.550 20 0 CHADLO C[C@H](c1noc([C@@H](c2ccccc2)[NH+](C)C)n1)C(C)(C)C ZINC000574197165 327299681 /nfs/dbraw/zinc/29/96/81/327299681.db2.gz KCGSQMPCKXBKTH-TZMCWYRMSA-N 1 2 287.407 3.870 20 0 CHADLO CC1(C)CC(CC(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000560351755 327301956 /nfs/dbraw/zinc/30/19/56/327301956.db2.gz KSTOJCIFEYEJER-UHFFFAOYSA-N 1 2 297.402 3.519 20 0 CHADLO CSCc1cccc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)c1 ZINC000560355649 327302522 /nfs/dbraw/zinc/30/25/22/327302522.db2.gz GQMXQMLCGFGDKF-BXUZGUMPSA-N 1 2 273.405 3.864 20 0 CHADLO CCC[C@@](C)(O)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000561185412 327372967 /nfs/dbraw/zinc/37/29/67/327372967.db2.gz JPRVJNKEFMFPLY-OAHLLOKOSA-N 1 2 278.783 3.851 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccc1OC(F)F)c1csnn1 ZINC000398320923 327382482 /nfs/dbraw/zinc/38/24/82/327382482.db2.gz WLKRKMBIAYFEIY-RKDXNWHRSA-N 1 2 299.346 3.551 20 0 CHADLO FCCCCC[N@@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000561293928 327383104 /nfs/dbraw/zinc/38/31/04/327383104.db2.gz RBSBFHDANWSHSY-HNNXBMFYSA-N 1 2 285.790 3.853 20 0 CHADLO FCCCCC[N@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC000561293928 327383106 /nfs/dbraw/zinc/38/31/06/327383106.db2.gz RBSBFHDANWSHSY-HNNXBMFYSA-N 1 2 285.790 3.853 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1ccccn1 ZINC000152716252 327385342 /nfs/dbraw/zinc/38/53/42/327385342.db2.gz ZVTHOSDKOZIYIB-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO CC1=C[C@@H](C)CN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000561319950 327385881 /nfs/dbraw/zinc/38/58/81/327385881.db2.gz LDIOSFDEKLULGX-SECBINFHSA-N 1 2 256.271 3.503 20 0 CHADLO Cc1cc(NC[C@@H]2CCOC[C@@H]2C)c2cccc(F)c2[nH+]1 ZINC000561397146 327392184 /nfs/dbraw/zinc/39/21/84/327392184.db2.gz MRCGSVIFZQHYOF-AAEUAGOBSA-N 1 2 288.366 3.767 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(C)(C)C)s1)c1csnn1 ZINC000398327113 327394098 /nfs/dbraw/zinc/39/40/98/327394098.db2.gz LKERQKUBYNAPBC-SECBINFHSA-N 1 2 281.450 3.748 20 0 CHADLO C[C@@H]1Oc2cc(F)ccc2[C@@H]1[NH2+]Cc1ccc(Cl)o1 ZINC000561748951 327416581 /nfs/dbraw/zinc/41/65/81/327416581.db2.gz RHTPOPUEZMOBQP-RMLUDKJBSA-N 1 2 281.714 3.684 20 0 CHADLO CCN(CC(C)(C)C)c1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000562113106 327450340 /nfs/dbraw/zinc/45/03/40/327450340.db2.gz FBBQMAZARDLWDM-UHFFFAOYSA-N 1 2 284.407 3.719 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)[C@H]1CC12CCCCC2 ZINC000562307294 327467107 /nfs/dbraw/zinc/46/71/07/327467107.db2.gz IESJSXUSHQRQGM-OAHLLOKOSA-N 1 2 295.386 3.986 20 0 CHADLO CCc1cc(C[NH2+][C@H](C)c2ccccc2Cl)on1 ZINC000562319061 327469107 /nfs/dbraw/zinc/46/91/07/327469107.db2.gz HCHFBUHFHXUSLJ-SNVBAGLBSA-N 1 2 264.756 3.741 20 0 CHADLO CC1(C)CCCC[C@H]1CC(=O)NCc1cn2ccccc2[nH+]1 ZINC000151648117 327485204 /nfs/dbraw/zinc/48/52/04/327485204.db2.gz PZYMQGSCGWOQJS-AWEZNQCLSA-N 1 2 299.418 3.557 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N(Cc1ccccn1)C(C)C ZINC000562878823 327521749 /nfs/dbraw/zinc/52/17/49/327521749.db2.gz WPDAYRKNFTTWJW-UHFFFAOYSA-N 1 2 298.390 3.536 20 0 CHADLO CC[C@H](CC(F)F)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000569683890 327535052 /nfs/dbraw/zinc/53/50/52/327535052.db2.gz QZUVXIHBTBIVAS-SNVBAGLBSA-N 1 2 293.317 3.697 20 0 CHADLO CCC[C@@]1(C)CCCN(C(=O)c2ccn3c(C)c[nH+]c3c2)C1 ZINC000570461415 327593542 /nfs/dbraw/zinc/59/35/42/327593542.db2.gz CAXKJBSDIRDEHG-SFHVURJKSA-N 1 2 299.418 3.685 20 0 CHADLO CCCN(Cc1ccc(C)cc1)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000572648459 327598696 /nfs/dbraw/zinc/59/86/96/327598696.db2.gz FCPWLXCOQHCNQY-INIZCTEOSA-N 1 2 299.418 3.581 20 0 CHADLO COc1cc2c(cc1C[NH+]1Cc3ccccc3C1)O[C@H](C)C2 ZINC000536656767 328676971 /nfs/dbraw/zinc/67/69/71/328676971.db2.gz VHSXYPSMYQOVLK-CYBMUJFWSA-N 1 2 295.382 3.534 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@H](C)c1cc(C)ccn1 ZINC000583646801 328726128 /nfs/dbraw/zinc/72/61/28/328726128.db2.gz LHDYLXMUVWNDSY-CHWSQXEVSA-N 1 2 288.366 3.950 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cnc(C3CC3)s2)c(C)[nH+]1 ZINC000336344947 328750049 /nfs/dbraw/zinc/75/00/49/328750049.db2.gz KBXWFKYGBQYMOL-UHFFFAOYSA-N 1 2 287.388 3.593 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)c1ccccc1Cl ZINC000103966828 328774317 /nfs/dbraw/zinc/77/43/17/328774317.db2.gz QERWZSATHNVBOV-UHFFFAOYSA-N 1 2 297.745 3.982 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccccc1OC(F)F ZINC000389467458 328848754 /nfs/dbraw/zinc/84/87/54/328848754.db2.gz MCNOMGQZCQBDRA-BDAKNGLRSA-N 1 2 279.277 3.982 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+][C@H](C)C(C)(F)F ZINC000389466982 328848822 /nfs/dbraw/zinc/84/88/22/328848822.db2.gz LVKOBGCELXNDLX-GHMZBOCLSA-N 1 2 257.324 3.698 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)cc(Br)c1)C(C)(F)F ZINC000389476410 328850143 /nfs/dbraw/zinc/85/01/43/328850143.db2.gz OLBNRPOOUSZUNI-ZETCQYMHSA-N 1 2 296.130 3.722 20 0 CHADLO C[C@H](Cn1cc[nH+]c1)Nc1ncc(-c2ccccc2)s1 ZINC000357315268 328869167 /nfs/dbraw/zinc/86/91/67/328869167.db2.gz MZSWSCGPONBALH-GFCCVEGCSA-N 1 2 284.388 3.507 20 0 CHADLO Cc1sc(C[NH2+][C@H](C)c2nccs2)nc1C(C)C ZINC000357789789 328876169 /nfs/dbraw/zinc/87/61/69/328876169.db2.gz FFFVEIXTQRADKZ-SECBINFHSA-N 1 2 281.450 3.882 20 0 CHADLO CC(C)c1nc(N2CCc3sccc3[C@H]2C)cc[nH+]1 ZINC000358783140 328894908 /nfs/dbraw/zinc/89/49/08/328894908.db2.gz BTPDONOIHXUWEX-LLVKDONJSA-N 1 2 273.405 3.785 20 0 CHADLO Fc1ccccc1[C@@H]1CC[C@@H](Nc2cccc[nH+]2)C1 ZINC000360274846 328905726 /nfs/dbraw/zinc/90/57/26/328905726.db2.gz MZYRMEFWQQLMPK-CHWSQXEVSA-N 1 2 256.324 3.969 20 0 CHADLO CCCCC[C@@H](CC)C(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000378515461 329053853 /nfs/dbraw/zinc/05/38/53/329053853.db2.gz DBESNCSMIKTYLI-ZIAGYGMSSA-N 1 2 277.412 3.680 20 0 CHADLO Cc1cc(OCc2cccnc2)c2ccccc2[nH+]1 ZINC000193726458 329061254 /nfs/dbraw/zinc/06/12/54/329061254.db2.gz LSFDFMVMVPFYQY-UHFFFAOYSA-N 1 2 250.301 3.517 20 0 CHADLO CCCc1nc(C[NH+]2CCC(c3ccccc3C)CC2)no1 ZINC000170953637 329072567 /nfs/dbraw/zinc/07/25/67/329072567.db2.gz HCVSOUJFNIBVHB-UHFFFAOYSA-N 1 2 299.418 3.710 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCc2c1cccc2Br ZINC000393425577 329092152 /nfs/dbraw/zinc/09/21/52/329092152.db2.gz GADQPHKOZYJQBC-LLVKDONJSA-N 1 2 290.151 3.681 20 0 CHADLO CSc1ccc(NCCCc2c[nH+]ccc2C)cn1 ZINC000582632636 329119855 /nfs/dbraw/zinc/11/98/55/329119855.db2.gz BZSWVQBMZYOLDJ-UHFFFAOYSA-N 1 2 273.405 3.552 20 0 CHADLO CCn1ccnc1C[N@H+](Cc1ccoc1)C1CCCCC1 ZINC000172872513 329139516 /nfs/dbraw/zinc/13/95/16/329139516.db2.gz IFLNHJUGKCRQPU-UHFFFAOYSA-N 1 2 287.407 3.831 20 0 CHADLO CCn1ccnc1C[N@@H+](Cc1ccoc1)C1CCCCC1 ZINC000172872513 329139517 /nfs/dbraw/zinc/13/95/17/329139517.db2.gz IFLNHJUGKCRQPU-UHFFFAOYSA-N 1 2 287.407 3.831 20 0 CHADLO CCc1nc(C[N@@H+]2Cc3ccccc3C[C@@H]2C)cs1 ZINC000172909867 329140447 /nfs/dbraw/zinc/14/04/47/329140447.db2.gz VXTLJOUZGJRFPJ-LBPRGKRZSA-N 1 2 272.417 3.652 20 0 CHADLO CCc1nc(C[N@H+]2Cc3ccccc3C[C@@H]2C)cs1 ZINC000172909867 329140448 /nfs/dbraw/zinc/14/04/48/329140448.db2.gz VXTLJOUZGJRFPJ-LBPRGKRZSA-N 1 2 272.417 3.652 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H]1C[C@]12CCCc1ccccc12 ZINC000173173357 329143263 /nfs/dbraw/zinc/14/32/63/329143263.db2.gz QMLFQWUJGOHCPW-LPHOPBHVSA-N 1 2 292.382 3.623 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1)c1nc(-c2cccc(F)c2)no1 ZINC000174665488 329160648 /nfs/dbraw/zinc/16/06/48/329160648.db2.gz CVKJHZRCOALRNO-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1nc(N2CCc3cc(-c4ccccc4)oc3C2)cc[nH+]1 ZINC000175169068 329165884 /nfs/dbraw/zinc/16/58/84/329165884.db2.gz BNQMWGGAJYBBPG-UHFFFAOYSA-N 1 2 291.354 3.608 20 0 CHADLO COCCCCCSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000177850934 329192985 /nfs/dbraw/zinc/19/29/85/329192985.db2.gz CXWIQIJWUHDXAT-UHFFFAOYSA-N 1 2 290.432 3.922 20 0 CHADLO Cc1ccc(NC(=O)c2cc(C3CCCCC3)[nH]n2)c(C)[nH+]1 ZINC000179085743 329204005 /nfs/dbraw/zinc/20/40/05/329204005.db2.gz KNEBLASXUDFJBX-UHFFFAOYSA-N 1 2 298.390 3.722 20 0 CHADLO Oc1cccc(C[N@@H+]2CCc3[nH]c4ccc(F)cc4c3C2)c1 ZINC000179682254 329211338 /nfs/dbraw/zinc/21/13/38/329211338.db2.gz SUPRXXIAOCPGDB-UHFFFAOYSA-N 1 2 296.345 3.571 20 0 CHADLO Oc1cccc(C[N@H+]2CCc3[nH]c4ccc(F)cc4c3C2)c1 ZINC000179682254 329211339 /nfs/dbraw/zinc/21/13/39/329211339.db2.gz SUPRXXIAOCPGDB-UHFFFAOYSA-N 1 2 296.345 3.571 20 0 CHADLO Cc1cnc(C[NH2+]C(C)(C)c2nc(C)c(C)s2)s1 ZINC000180598060 329220999 /nfs/dbraw/zinc/22/09/99/329220999.db2.gz BZIVQXIBMVACMG-UHFFFAOYSA-N 1 2 281.450 3.550 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nnc(C3CCC3)n2C)cc1 ZINC000181102218 329226006 /nfs/dbraw/zinc/22/60/06/329226006.db2.gz JZHFPIZVKHGWEY-AWEZNQCLSA-N 1 2 298.434 3.584 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nnc(C3CCC3)n2C)cc1 ZINC000181102218 329226008 /nfs/dbraw/zinc/22/60/08/329226008.db2.gz JZHFPIZVKHGWEY-AWEZNQCLSA-N 1 2 298.434 3.584 20 0 CHADLO Cc1ccc(N[C@@H]2CCCOc3cc(F)ccc32)c[nH+]1 ZINC000182202055 329240728 /nfs/dbraw/zinc/24/07/28/329240728.db2.gz IMEHFBKOSVDFSQ-OAHLLOKOSA-N 1 2 272.323 3.855 20 0 CHADLO Cc1ccc(N[C@H]2CCCOc3cc(F)ccc32)c[nH+]1 ZINC000182202073 329240784 /nfs/dbraw/zinc/24/07/84/329240784.db2.gz IMEHFBKOSVDFSQ-HNNXBMFYSA-N 1 2 272.323 3.855 20 0 CHADLO Cc1ccc(NC(=O)c2cccn2C2CCCC2)c(C)[nH+]1 ZINC000182368769 329241914 /nfs/dbraw/zinc/24/19/14/329241914.db2.gz XMFDPMAESYXFNK-UHFFFAOYSA-N 1 2 283.375 3.867 20 0 CHADLO Cc1cc(N2C[C@@H](C)C[C@@H]2c2cccnc2)nc(C2CC2)[nH+]1 ZINC000182611386 329243340 /nfs/dbraw/zinc/24/33/40/329243340.db2.gz LUAKHXUNKBJKTM-BLLLJJGKSA-N 1 2 294.402 3.645 20 0 CHADLO C[C@H]1CC(C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C[C@@H](C)C1 ZINC000183532289 329248377 /nfs/dbraw/zinc/24/83/77/329248377.db2.gz NMSOCJFZEFXZSV-IZGVIRRGSA-N 1 2 289.423 3.536 20 0 CHADLO CC(C)c1nc(N2Cc3ccccc3C[C@H]2C)cc[nH+]1 ZINC000184174548 329256953 /nfs/dbraw/zinc/25/69/53/329256953.db2.gz PPABWPIHPUGLGD-CYBMUJFWSA-N 1 2 267.376 3.551 20 0 CHADLO Cc1ccc(CSCc2nccs2)c(C)[nH+]1 ZINC000184831479 329263228 /nfs/dbraw/zinc/26/32/28/329263228.db2.gz RAFSKFRUPROSSJ-UHFFFAOYSA-N 1 2 250.392 3.588 20 0 CHADLO Cc1cc[nH+]cc1NCc1c[nH]nc1-c1ccccc1F ZINC000184892143 329263599 /nfs/dbraw/zinc/26/35/99/329263599.db2.gz YYTZWDLVWPSWDM-UHFFFAOYSA-N 1 2 282.322 3.531 20 0 CHADLO Cc1oncc1C[N@@H+]1CCC[C@@H]1c1nc2ccccc2s1 ZINC000185165613 329266908 /nfs/dbraw/zinc/26/69/08/329266908.db2.gz DPQFUWNXIYFWKG-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1oncc1C[N@H+]1CCC[C@@H]1c1nc2ccccc2s1 ZINC000185165613 329266909 /nfs/dbraw/zinc/26/69/09/329266909.db2.gz DPQFUWNXIYFWKG-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO CCOc1ccc(OCc2ccc(C)[nH+]c2C)cc1 ZINC000185246182 329269471 /nfs/dbraw/zinc/26/94/71/329269471.db2.gz MHERQJQEBDVPDI-UHFFFAOYSA-N 1 2 257.333 3.676 20 0 CHADLO CC(C)c1nc(C[NH2+]Cc2ccsc2)c2ccccn21 ZINC000582909107 329287058 /nfs/dbraw/zinc/28/70/58/329287058.db2.gz OYJRKEFPPDYXIA-UHFFFAOYSA-N 1 2 285.416 3.809 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2oc3ccccc3c2C)[nH]1 ZINC000392359782 329326934 /nfs/dbraw/zinc/32/69/34/329326934.db2.gz WTHAVIUJKJVNID-GHMZBOCLSA-N 1 2 284.363 3.580 20 0 CHADLO FC(F)(F)[C@H]([NH2+]CCCCOc1ccccc1)C1CC1 ZINC000583170568 329330639 /nfs/dbraw/zinc/33/06/39/329330639.db2.gz DLLNFYBMAZFBAA-CQSZACIVSA-N 1 2 287.325 3.776 20 0 CHADLO Cc1nc(CNc2[nH+]c3c(cccc3Cl)n2C)cs1 ZINC000185854671 329333618 /nfs/dbraw/zinc/33/36/18/329333618.db2.gz QXBNHZMGJSMPKG-UHFFFAOYSA-N 1 2 292.795 3.604 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(Cl)c(Cl)c2)[nH]1 ZINC000392448695 329340805 /nfs/dbraw/zinc/34/08/05/329340805.db2.gz GFSTUHBQJXADDN-JGVFFNPUSA-N 1 2 299.205 3.832 20 0 CHADLO Cc1ccc(C[S@](=O)[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000187159056 329354131 /nfs/dbraw/zinc/35/41/31/329354131.db2.gz HFIRKWNVSYYQTG-KUHUBIRLSA-N 1 2 273.401 3.708 20 0 CHADLO Cc1[nH]ncc1C[NH+]1CCC(c2ccc(Cl)cc2)CC1 ZINC000187609864 329359922 /nfs/dbraw/zinc/35/99/22/329359922.db2.gz YPSXSCQHLIUEIS-UHFFFAOYSA-N 1 2 289.810 3.751 20 0 CHADLO Cc1[nH+]cccc1NCc1ccccc1Br ZINC000085711855 329369703 /nfs/dbraw/zinc/36/97/03/329369703.db2.gz YGHDKZFFYOIUIO-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2c1c(F)ccc2F)c1cscn1 ZINC000583215055 329370358 /nfs/dbraw/zinc/37/03/58/329370358.db2.gz LWUUBJKEFUJXPE-PRHODGIISA-N 1 2 280.343 3.759 20 0 CHADLO Cc1cccc2c1OCC[C@H]2[NH2+][C@@H](C)c1nccs1 ZINC000189378149 329380501 /nfs/dbraw/zinc/38/05/01/329380501.db2.gz MGNRQUPXHGNMKF-WCQYABFASA-N 1 2 274.389 3.626 20 0 CHADLO C[C@H](Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1)C(C)(C)C ZINC000530418853 329381209 /nfs/dbraw/zinc/38/12/09/329381209.db2.gz BDXMIGFVPJAAMQ-MJBXVCDLSA-N 1 2 291.439 3.542 20 0 CHADLO c1cc(C[NH2+][C@H]2CCc3ccc(-c4ccccc4)cc32)[nH]n1 ZINC000189578482 329383640 /nfs/dbraw/zinc/38/36/40/329383640.db2.gz KHWSUGWGMWYADK-IBGZPJMESA-N 1 2 289.382 3.854 20 0 CHADLO FC(F)(F)c1ccc(Cl)c(CNc2c[nH]c[nH+]2)c1 ZINC000583235979 329387375 /nfs/dbraw/zinc/38/73/75/329387375.db2.gz PWMPSNMHCDCFJD-UHFFFAOYSA-N 1 2 275.661 3.694 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cnc2ccsc2c1)c1csnn1 ZINC000398393592 329443229 /nfs/dbraw/zinc/44/32/29/329443229.db2.gz XPKSSIVTASYFNS-IUCAKERBSA-N 1 2 290.417 3.560 20 0 CHADLO C[C@H]([NH2+]Cc1nc(-c2ccc(F)cc2)no1)c1ccccc1 ZINC000102676725 329534506 /nfs/dbraw/zinc/53/45/06/329534506.db2.gz SGDQJRBSZUDKKZ-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2cccc(C3CC3)c2)o1 ZINC000580403101 329554200 /nfs/dbraw/zinc/55/42/00/329554200.db2.gz RVCGCKPCBXVXDG-WDEREUQCSA-N 1 2 271.364 3.667 20 0 CHADLO CCCC(CCC)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000127499130 329600002 /nfs/dbraw/zinc/60/00/02/329600002.db2.gz FZKJOAHDJXLQCG-GOEBONIOSA-N 1 2 291.439 3.509 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H](C)c2cnn(C(C)C)c2)n1 ZINC000128685337 329610746 /nfs/dbraw/zinc/61/07/46/329610746.db2.gz MGTWKXNUYJXSLY-GFCCVEGCSA-N 1 2 292.452 3.895 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1ccc(C)o1)c1nnc2ccccn21 ZINC000129398099 329617350 /nfs/dbraw/zinc/61/73/50/329617350.db2.gz NOFUMBUDPQIVJA-KBPBESRZSA-N 1 2 298.390 3.823 20 0 CHADLO CC(C)c1nc(N2CCc3c4ccccc4[nH]c3C2)cc[nH+]1 ZINC000129999865 329621922 /nfs/dbraw/zinc/62/19/22/329621922.db2.gz GZAGSFBVSXLDOC-UHFFFAOYSA-N 1 2 292.386 3.644 20 0 CHADLO CC(C)Cn1cc[nH+]c1CNC(=O)c1ccc(C(C)C)cc1 ZINC000131357091 329634832 /nfs/dbraw/zinc/63/48/32/329634832.db2.gz LXAOSLOAXBTJEX-UHFFFAOYSA-N 1 2 299.418 3.593 20 0 CHADLO COc1ccc2c(c1)[nH+]ccc2OCCC(C)(F)F ZINC000418887610 329679393 /nfs/dbraw/zinc/67/93/93/329679393.db2.gz SIVSTTPZDKTPQI-UHFFFAOYSA-N 1 2 267.275 3.668 20 0 CHADLO c1ccc(COc2cncc(COc3cc[nH+]cc3)c2)cc1 ZINC000431476864 329704593 /nfs/dbraw/zinc/70/45/93/329704593.db2.gz JRHFLTAIDKKQHG-UHFFFAOYSA-N 1 2 292.338 3.635 20 0 CHADLO Cc1cccc2[nH+]c(CSCC3CC(F)(F)C3)cn21 ZINC000419464764 329732123 /nfs/dbraw/zinc/73/21/23/329732123.db2.gz RURNHMHGGHUVOD-UHFFFAOYSA-N 1 2 282.359 3.921 20 0 CHADLO Cc1nc(C(C)C)ccc1CSCCn1cc[nH+]c1 ZINC000419487259 329736692 /nfs/dbraw/zinc/73/66/92/329736692.db2.gz ATOBNYQDOLOEQB-UHFFFAOYSA-N 1 2 275.421 3.643 20 0 CHADLO C[C@H](SCCn1cc[nH+]c1)c1ccc2c(c1)CCC2 ZINC000419584584 329741534 /nfs/dbraw/zinc/74/15/34/329741534.db2.gz JASIWZUZQCEWAI-ZDUSSCGKSA-N 1 2 272.417 3.866 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H](C)CC(C)C)n1 ZINC000420509168 329772374 /nfs/dbraw/zinc/77/23/74/329772374.db2.gz CCCMQBYBRPUWFK-NSHDSACASA-N 1 2 259.353 3.513 20 0 CHADLO CCC(=Cc1nc(Cc2ccc[nH+]c2C)no1)CC ZINC000420510333 329772599 /nfs/dbraw/zinc/77/25/99/329772599.db2.gz IXOACKTZMUKIFJ-UHFFFAOYSA-N 1 2 257.337 3.567 20 0 CHADLO CCCC1(c2noc([C@H](c3ccccc3)[NH+](C)C)n2)CCC1 ZINC000420517786 329774346 /nfs/dbraw/zinc/77/43/46/329774346.db2.gz MZEMMCAUWILJFS-HNNXBMFYSA-N 1 2 299.418 3.942 20 0 CHADLO Cc1cc(N2CCC[C@@H](CC(F)(F)F)C2)nc(C2CC2)[nH+]1 ZINC000420628472 329780032 /nfs/dbraw/zinc/78/00/32/329780032.db2.gz OFPVRKQKGHYBQY-NSHDSACASA-N 1 2 299.340 3.831 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+]Cc1nn(C)cc1Cl ZINC000420921077 329788865 /nfs/dbraw/zinc/78/88/65/329788865.db2.gz LCZBEEDQABVOFA-GFCCVEGCSA-N 1 2 277.799 3.541 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H](C)c2cc(C)cc(C)c2)nn1C ZINC000421320726 329811609 /nfs/dbraw/zinc/81/16/09/329811609.db2.gz CKYZCCYJWUHYRB-LBPRGKRZSA-N 1 2 291.826 3.850 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nn(C)c(C)c2Cl)c(C)s1 ZINC000421332801 329813136 /nfs/dbraw/zinc/81/31/36/329813136.db2.gz MGOMGIGGBBFRRR-SECBINFHSA-N 1 2 297.855 3.911 20 0 CHADLO CC(C)n1cc(C(C)(C)[NH2+]Cc2sccc2Cl)nn1 ZINC000421405781 329822135 /nfs/dbraw/zinc/82/21/35/329822135.db2.gz RSNVEHZWAUEBHD-UHFFFAOYSA-N 1 2 298.843 3.599 20 0 CHADLO Cc1cc(CNC(=O)c2ccc(C3CCC3)cc2)cc(C)[nH+]1 ZINC000421577980 329843238 /nfs/dbraw/zinc/84/32/38/329843238.db2.gz QFOOZCKAIIQAMH-UHFFFAOYSA-N 1 2 294.398 3.896 20 0 CHADLO Cc1cc(CNC(=O)c2ccccc2C(C)(C)C)cc(C)[nH+]1 ZINC000421577536 329843274 /nfs/dbraw/zinc/84/32/74/329843274.db2.gz PIRZHWBZLUEIIK-UHFFFAOYSA-N 1 2 296.414 3.926 20 0 CHADLO Cc1cccc2[nH+]c(CNC(=O)CCC3CCCCC3)cn21 ZINC000068569242 329852439 /nfs/dbraw/zinc/85/24/39/329852439.db2.gz OBGSYPHTNFLETD-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccc(Cl)o2)n1 ZINC000069168431 329853605 /nfs/dbraw/zinc/85/36/05/329853605.db2.gz DOGAVTMAQQVMEA-MRVPVSSYSA-N 1 2 256.758 3.549 20 0 CHADLO Cc1ccc(CC(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)cc1 ZINC000074829827 329865531 /nfs/dbraw/zinc/86/55/31/329865531.db2.gz RFYOJLLHQNTGSL-UHFFFAOYSA-N 1 2 291.354 3.566 20 0 CHADLO Cc1c[nH+]cc(CCc2nc(C(C)(C)C3CCC3)no2)c1 ZINC000571871668 329925511 /nfs/dbraw/zinc/92/55/11/329925511.db2.gz ASJAUJMVOQOLKN-UHFFFAOYSA-N 1 2 285.391 3.636 20 0 CHADLO Cc1ccc(/C=C/C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)o1 ZINC000161815881 329956226 /nfs/dbraw/zinc/95/62/26/329956226.db2.gz KBRQZOFFGJRPSZ-CMDGGOBGSA-N 1 2 293.326 3.630 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc([C@@H]3C[C@H]3C)o2)no1 ZINC000572259226 329962008 /nfs/dbraw/zinc/96/20/08/329962008.db2.gz UEAKQZIFLJIZNN-SUZMYJTESA-N 1 2 260.337 3.550 20 0 CHADLO C[C@H](CC(=O)N1CCC[C@@H](C(C)(C)C)CC1)n1cc[nH+]c1 ZINC000572368054 330011671 /nfs/dbraw/zinc/01/16/71/330011671.db2.gz RQNRJTMCHHDCFG-HUUCEWRRSA-N 1 2 291.439 3.509 20 0 CHADLO c1coc(CNc2[nH+]cccc2-c2ccccc2)c1 ZINC000162382425 330012235 /nfs/dbraw/zinc/01/22/35/330012235.db2.gz XNIFDZVYAOUVCK-UHFFFAOYSA-N 1 2 250.301 3.954 20 0 CHADLO C[C@H]1[N@H+](Cc2ccc(C(F)(F)F)s2)CCOC1(C)C ZINC000432744163 330016053 /nfs/dbraw/zinc/01/60/53/330016053.db2.gz FEWJXISSBOXCSS-SECBINFHSA-N 1 2 293.354 3.766 20 0 CHADLO C[C@H]1[N@@H+](Cc2ccc(C(F)(F)F)s2)CCOC1(C)C ZINC000432744163 330016055 /nfs/dbraw/zinc/01/60/55/330016055.db2.gz FEWJXISSBOXCSS-SECBINFHSA-N 1 2 293.354 3.766 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2cscn2)c(C)o1 ZINC000162396401 330016809 /nfs/dbraw/zinc/01/68/09/330016809.db2.gz ZXOUIVXXQVCGTG-UWVGGRQHSA-N 1 2 250.367 3.765 20 0 CHADLO CCCCC[C@@H](C)CC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000079839775 330062816 /nfs/dbraw/zinc/06/28/16/330062816.db2.gz DWGJHDAFBMEGMZ-OAHLLOKOSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1cc(N[C@@H](CO)C2CCCC2)c2cccc(F)c2[nH+]1 ZINC000572419264 330066501 /nfs/dbraw/zinc/06/65/01/330066501.db2.gz HDZABLUSVDAUDA-INIZCTEOSA-N 1 2 288.366 3.645 20 0 CHADLO CCOCc1cccc(N[C@@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421831139 330084784 /nfs/dbraw/zinc/08/47/84/330084784.db2.gz DDXJAYOUCVTTBW-QGZVFWFLSA-N 1 2 296.414 3.978 20 0 CHADLO COc1cc(C)ccc1N[C@@H]1CCc2ccc[nH+]c2CC1 ZINC000421841334 330093674 /nfs/dbraw/zinc/09/36/74/330093674.db2.gz KFYBQHBZVPRODD-OAHLLOKOSA-N 1 2 282.387 3.758 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2ccncc2Cl)o1 ZINC000421865293 330099118 /nfs/dbraw/zinc/09/91/18/330099118.db2.gz ZKHCLMIJMAWPRY-JTQLQIEISA-N 1 2 293.798 3.835 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+]CCOCC1CCC1 ZINC000572453099 330104003 /nfs/dbraw/zinc/10/40/03/330104003.db2.gz BSNBPGFANCGSGN-INIZCTEOSA-N 1 2 297.389 3.659 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H](C)c2ccc(C)o2)n1 ZINC000080274417 330116386 /nfs/dbraw/zinc/11/63/86/330116386.db2.gz IVWICJUHGPITPM-QWRGUYRKSA-N 1 2 250.367 3.765 20 0 CHADLO Cc1cccc(N(C(=O)C[C@@H](C)n2cc[nH+]c2)C(C)C)c1 ZINC000574992511 330127371 /nfs/dbraw/zinc/12/73/71/330127371.db2.gz NGRREDDGFSLIGV-OAHLLOKOSA-N 1 2 285.391 3.584 20 0 CHADLO CC[C@@H]1CCCCCN1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000422217577 330132937 /nfs/dbraw/zinc/13/29/37/330132937.db2.gz WUICRTCEDOKWJA-MRXNPFEDSA-N 1 2 289.423 3.563 20 0 CHADLO COc1cc2c(cc1F)CC[C@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423208108 330177287 /nfs/dbraw/zinc/17/72/87/330177287.db2.gz CZOYMBNDEFGMME-QGZVFWFLSA-N 1 2 298.361 3.902 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccccc1N(C)C ZINC000423214649 330178286 /nfs/dbraw/zinc/17/82/86/330178286.db2.gz LPNYPDAXXWVPPO-CYBMUJFWSA-N 1 2 281.403 3.894 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)cc1O ZINC000423222137 330179635 /nfs/dbraw/zinc/17/96/35/330179635.db2.gz ZVJQLTOQGXTPTB-LLVKDONJSA-N 1 2 284.359 3.542 20 0 CHADLO CC(C)(C)c1[nH]c2ccc(NC(=O)C3(C)CCC3)cc2[nH+]1 ZINC000527249629 330241397 /nfs/dbraw/zinc/24/13/97/330241397.db2.gz GKVZOGICAQDTHE-UHFFFAOYSA-N 1 2 285.391 3.989 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1ncccc1Cl ZINC000528400743 330257152 /nfs/dbraw/zinc/25/71/52/330257152.db2.gz QXRUAOBHOMQUDQ-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1ncccc1Cl ZINC000528400743 330257153 /nfs/dbraw/zinc/25/71/53/330257153.db2.gz QXRUAOBHOMQUDQ-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[NH+]1[C@@H](C)C[C@@H]1C ZINC000527529375 330257970 /nfs/dbraw/zinc/25/79/70/330257970.db2.gz DMUHIJAEFGFNHE-IUCAKERBSA-N 1 2 274.191 3.985 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2c(F)cccc2F)ccn1 ZINC000527534408 330262850 /nfs/dbraw/zinc/26/28/50/330262850.db2.gz PUSCGTRLDVFHFK-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2c(F)cccc2F)ccn1 ZINC000527534408 330262852 /nfs/dbraw/zinc/26/28/52/330262852.db2.gz PUSCGTRLDVFHFK-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3[nH]c4ccc(F)cc4c3C2)ccn1 ZINC000527535159 330263140 /nfs/dbraw/zinc/26/31/40/330263140.db2.gz QRXPVSGLQQDAEA-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1cc(C[N@H+]2CCc3[nH]c4ccc(F)cc4c3C2)ccn1 ZINC000527535159 330263141 /nfs/dbraw/zinc/26/31/41/330263141.db2.gz QRXPVSGLQQDAEA-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)ccn1 ZINC000527536305 330263534 /nfs/dbraw/zinc/26/35/34/330263534.db2.gz VXMOYEJDDOTAAJ-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)ccn1 ZINC000527536305 330263535 /nfs/dbraw/zinc/26/35/35/330263535.db2.gz VXMOYEJDDOTAAJ-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2ncccc2Cl)CC1 ZINC000527628972 330266557 /nfs/dbraw/zinc/26/65/57/330266557.db2.gz FXXJOJZUAHITKQ-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2ncccc2Cl)C1 ZINC000527630248 330266894 /nfs/dbraw/zinc/26/68/94/330266894.db2.gz UDSNEWICDURNBQ-SECBINFHSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2ncccc2Cl)C1 ZINC000527630248 330266895 /nfs/dbraw/zinc/26/68/95/330266895.db2.gz UDSNEWICDURNBQ-SECBINFHSA-N 1 2 278.705 3.509 20 0 CHADLO CC(C)(C)c1csc(C[NH2+]Cc2ccncc2Cl)n1 ZINC000527656028 330273018 /nfs/dbraw/zinc/27/30/18/330273018.db2.gz VJKGZRKYZSIWIQ-UHFFFAOYSA-N 1 2 295.839 3.779 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NCc1c(F)ccc(F)c1Cl ZINC000527716493 330276816 /nfs/dbraw/zinc/27/68/16/330276816.db2.gz NGEYLSQZCJSVLE-VIFPVBQESA-N 1 2 299.752 3.685 20 0 CHADLO CC(C)n1cncc1C[NH2+]Cc1c(F)cccc1Cl ZINC000424141576 330283317 /nfs/dbraw/zinc/28/33/17/330283317.db2.gz CXHODPKNGRZIQC-UHFFFAOYSA-N 1 2 281.762 3.546 20 0 CHADLO Cn1c[nH+]c(CNc2cc(C(C)(C)C)cc3cccnc32)c1 ZINC000527801412 330284129 /nfs/dbraw/zinc/28/41/29/330284129.db2.gz VOMODKPEGVZOGY-UHFFFAOYSA-N 1 2 294.402 3.878 20 0 CHADLO Cc1ccc([C@H](C)Nc2cccc3c2OCC3)c(C)[nH+]1 ZINC000527957912 330294752 /nfs/dbraw/zinc/29/47/52/330294752.db2.gz QEHFOFAEHSOIQX-ZDUSSCGKSA-N 1 2 268.360 3.806 20 0 CHADLO Cc1nc(C[NH2+]Cc2c(F)cc(C)cc2F)sc1C ZINC000424148095 330294961 /nfs/dbraw/zinc/29/49/61/330294961.db2.gz TWDMTKJIWXOOPO-UHFFFAOYSA-N 1 2 282.359 3.636 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CC[C@H](Cc2ccncc2)C1 ZINC000527960140 330300484 /nfs/dbraw/zinc/30/04/84/330300484.db2.gz ULGVMJGDTBPWDF-MRXNPFEDSA-N 1 2 289.382 3.699 20 0 CHADLO COc1c(C)cc(Cl)cc1N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000527974148 330301785 /nfs/dbraw/zinc/30/17/85/330301785.db2.gz NMVGDAWWJZVWNO-GXFFZTMASA-N 1 2 291.782 3.971 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1ncccc1F ZINC000528035150 330302418 /nfs/dbraw/zinc/30/24/18/330302418.db2.gz CZCTVVCGIUZYOW-NEPJUHHUSA-N 1 2 274.339 3.641 20 0 CHADLO C[C@@H](Nc1cccc2c1OC(C)(C)CC2)c1[nH+]ccn1C ZINC000528007778 330303494 /nfs/dbraw/zinc/30/34/94/330303494.db2.gz DPDCYOYFPILCRL-GFCCVEGCSA-N 1 2 285.391 3.697 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3ccccc32)CC2(CCCC2)O1 ZINC000528044848 330305973 /nfs/dbraw/zinc/30/59/73/330305973.db2.gz VUWVACFTRSWKBY-CQSZACIVSA-N 1 2 282.387 3.773 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)[C@@H]2CCCC23CC3)c1 ZINC000528054300 330306312 /nfs/dbraw/zinc/30/63/12/330306312.db2.gz PANBCBNCIXDJJZ-HNNXBMFYSA-N 1 2 295.386 3.606 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1C[C@]23COC[C@@]2(C1)CCCC3 ZINC000528058237 330306490 /nfs/dbraw/zinc/30/64/90/330306490.db2.gz MZMPWUDEQGUPSK-KDURUIRLSA-N 1 2 294.398 3.632 20 0 CHADLO COc1cccc2c1cc[nH+]c2N1CCC(F)(F)C[C@@H]1C ZINC000528208331 330313272 /nfs/dbraw/zinc/31/32/72/330313272.db2.gz QTWRKDYVQSCMDW-NSHDSACASA-N 1 2 292.329 3.867 20 0 CHADLO Cc1nc(N2CC[C@@H](c3cccc(F)c3)C2(C)C)cc[nH+]1 ZINC000528214409 330315536 /nfs/dbraw/zinc/31/55/36/330315536.db2.gz WLBBAKNPXJMVTP-HNNXBMFYSA-N 1 2 285.366 3.697 20 0 CHADLO F[C@]1(c2ccccc2)C[C@@H]1[NH2+]Cc1ccc(Cl)o1 ZINC000424187255 330320020 /nfs/dbraw/zinc/32/00/20/330320020.db2.gz APBCPLRBUVHPLC-JSGCOSHPSA-N 1 2 265.715 3.660 20 0 CHADLO CCCc1nc(C[N@H+](CC2CCCCC2)C2CC2)no1 ZINC000054990368 330320576 /nfs/dbraw/zinc/32/05/76/330320576.db2.gz CKAZFINKWILSAU-UHFFFAOYSA-N 1 2 277.412 3.567 20 0 CHADLO CCCc1nc(C[N@@H+](CC2CCCCC2)C2CC2)no1 ZINC000054990368 330320577 /nfs/dbraw/zinc/32/05/77/330320577.db2.gz CKAZFINKWILSAU-UHFFFAOYSA-N 1 2 277.412 3.567 20 0 CHADLO Cc1ccc(OCC[N@@H+](C)Cc2ncccc2Cl)cc1 ZINC000528344724 330321158 /nfs/dbraw/zinc/32/11/58/330321158.db2.gz KGTZRLLMEVKZCZ-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO Cc1ccc(OCC[N@H+](C)Cc2ncccc2Cl)cc1 ZINC000528344724 330321159 /nfs/dbraw/zinc/32/11/59/330321159.db2.gz KGTZRLLMEVKZCZ-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO CC[N@H+](Cc1ccc(OC)cc1)Cc1ncccc1Cl ZINC000528357520 330321952 /nfs/dbraw/zinc/32/19/52/330321952.db2.gz OWTHECDMCFLVIB-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1ccc(OC)cc1)Cc1ncccc1Cl ZINC000528357520 330321953 /nfs/dbraw/zinc/32/19/53/330321953.db2.gz OWTHECDMCFLVIB-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO F[C@]1(c2ccccc2)C[C@H]1[NH2+]Cc1ncc(Cl)s1 ZINC000424203013 330327067 /nfs/dbraw/zinc/32/70/67/330327067.db2.gz UEPKNSYBJIREPD-MFKMUULPSA-N 1 2 282.771 3.523 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+](C)C/C=C\c1ccccc1 ZINC000533074822 330329157 /nfs/dbraw/zinc/32/91/57/330329157.db2.gz YARXZJJUROYCEM-DVESRUBUSA-N 1 2 295.382 3.546 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+](C)C/C=C\c1ccccc1 ZINC000533074822 330329158 /nfs/dbraw/zinc/32/91/58/330329158.db2.gz YARXZJJUROYCEM-DVESRUBUSA-N 1 2 295.382 3.546 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCC[C@H](C(F)(F)F)C1)C(F)(F)F ZINC000424329751 330341908 /nfs/dbraw/zinc/34/19/08/330341908.db2.gz SEQKEIQPWZZTRB-GJMOJQLCSA-N 1 2 263.225 3.648 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@]1(F)c1ccccc1)c1ccc(F)cn1 ZINC000424329878 330342034 /nfs/dbraw/zinc/34/20/34/330342034.db2.gz SUOXHCQFUISKOF-XZJROXQQSA-N 1 2 274.314 3.509 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H]2C[C@]2(F)c2ccccc2)o1 ZINC000424336430 330343434 /nfs/dbraw/zinc/34/34/34/330343434.db2.gz XYYKECXZWUZWCT-MAZHCROVSA-N 1 2 259.324 3.876 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2ccc(OC)cc2Cl)o1 ZINC000424351864 330345630 /nfs/dbraw/zinc/34/56/30/330345630.db2.gz PKYIQIBTCRAKOW-JTQLQIEISA-N 1 2 294.782 3.750 20 0 CHADLO Cc1ccc(F)cc1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000045763757 330348115 /nfs/dbraw/zinc/34/81/15/330348115.db2.gz XEJCIJUGNURDQF-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C[C@H](C)C1CCCCC1 ZINC000107293860 330348676 /nfs/dbraw/zinc/34/86/76/330348676.db2.gz CCISSQUDZNLJQI-LBPRGKRZSA-N 1 2 260.381 3.935 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)COc1ccccc1C(C)(C)C ZINC000107285613 330348829 /nfs/dbraw/zinc/34/88/29/330348829.db2.gz QKBSUFNHNIACRD-UHFFFAOYSA-N 1 2 298.386 3.705 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cnc(-c2ccccc2)nc1 ZINC000533246835 330355093 /nfs/dbraw/zinc/35/50/93/330355093.db2.gz SIGQMNUFIUMBTJ-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cnc(-c2ccccc2)nc1 ZINC000533246835 330355094 /nfs/dbraw/zinc/35/50/94/330355094.db2.gz SIGQMNUFIUMBTJ-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO CC(C)c1cc(N(C)C[C@H]2CCCOC2)nc(C(C)C)[nH+]1 ZINC000119061680 330369559 /nfs/dbraw/zinc/36/95/59/330369559.db2.gz VPHYILHXHJDZON-CQSZACIVSA-N 1 2 291.439 3.586 20 0 CHADLO Cc1ccc(C(C)(C)C(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000119093063 330370092 /nfs/dbraw/zinc/37/00/92/330370092.db2.gz MDHVCKUSBVXGFK-UHFFFAOYSA-N 1 2 282.387 3.923 20 0 CHADLO Cc1ccc(NC(=O)Cc2ccc(Cl)cc2)c(C)[nH+]1 ZINC000119157634 330370541 /nfs/dbraw/zinc/37/05/41/330370541.db2.gz QILNUGVXPMXNIN-UHFFFAOYSA-N 1 2 274.751 3.533 20 0 CHADLO Cc1ccc(NC(=O)CCCC2CCCC2)c(C)[nH+]1 ZINC000119264069 330371491 /nfs/dbraw/zinc/37/14/91/330371491.db2.gz ITFJSNJCSBIWEB-UHFFFAOYSA-N 1 2 260.381 3.997 20 0 CHADLO Cc1ccc(CCC(=O)Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC000119204942 330371820 /nfs/dbraw/zinc/37/18/20/330371820.db2.gz WWEBDHRBXGOANY-UHFFFAOYSA-N 1 2 282.387 3.887 20 0 CHADLO CCc1nc(C[N@@H+]2CCOC3(C2)CCCCCC3)cs1 ZINC000119584477 330376983 /nfs/dbraw/zinc/37/69/83/330376983.db2.gz ZEHNKFOBIMXSAO-UHFFFAOYSA-N 1 2 294.464 3.631 20 0 CHADLO CCc1nc(C[N@H+]2CCOC3(C2)CCCCCC3)cs1 ZINC000119584477 330376984 /nfs/dbraw/zinc/37/69/84/330376984.db2.gz ZEHNKFOBIMXSAO-UHFFFAOYSA-N 1 2 294.464 3.631 20 0 CHADLO COc1ccccc1[C@H]([NH2+]Cc1ccco1)c1ccccn1 ZINC000089797015 330388187 /nfs/dbraw/zinc/38/81/87/330388187.db2.gz AQDCFEQZZVFVOG-SFHVURJKSA-N 1 2 294.354 3.562 20 0 CHADLO C[C@H](CCc1ccccc1)SCCn1cc[nH+]c1 ZINC000090200599 330391224 /nfs/dbraw/zinc/39/12/24/330391224.db2.gz JYBRCZOCASPVEN-CQSZACIVSA-N 1 2 260.406 3.638 20 0 CHADLO Clc1cccc2c1CC[C@@H]2SCCn1cc[nH+]c1 ZINC000090202736 330391417 /nfs/dbraw/zinc/39/14/17/330391417.db2.gz RNBWKTMIDXQEGG-AWEZNQCLSA-N 1 2 278.808 3.957 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccc(C)o2)c1 ZINC000090228449 330391593 /nfs/dbraw/zinc/39/15/93/330391593.db2.gz CFHJVDDIOAXELY-UHFFFAOYSA-N 1 2 262.378 3.848 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1cccc(Cl)c1 ZINC000537045365 330394181 /nfs/dbraw/zinc/39/41/81/330394181.db2.gz LOZZOYYDKZZPRX-CYBMUJFWSA-N 1 2 291.782 3.841 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+]Cc1ncc(CC)o1 ZINC000537052878 330395130 /nfs/dbraw/zinc/39/51/30/330395130.db2.gz VMAVGQWAGNTLPK-OAHLLOKOSA-N 1 2 288.391 3.877 20 0 CHADLO CCOC(=O)C[NH2+][C@@H](c1ccc(Cl)cc1)[C@H](C)CC ZINC000537086275 330397739 /nfs/dbraw/zinc/39/77/39/330397739.db2.gz KKBDLSFZOVIBBK-IAQYHMDHSA-N 1 2 283.799 3.580 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccnc1)c1noc(-c2ccccc2)n1 ZINC000092767875 330413226 /nfs/dbraw/zinc/41/32/26/330413226.db2.gz PRIJQOBEBNJZAC-CHWSQXEVSA-N 1 2 294.358 3.543 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H](C)c1ccc(Cl)s1 ZINC000093401875 330420246 /nfs/dbraw/zinc/42/02/46/330420246.db2.gz VMJLFXMHYBKYAG-VIFPVBQESA-N 1 2 295.795 3.988 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1ccc(F)cc1)C(=O)OC(C)(C)C ZINC000537448552 330437139 /nfs/dbraw/zinc/43/71/39/330437139.db2.gz CQCHTUONYOOUSK-WFASDCNBSA-N 1 2 295.398 3.843 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1C[C@@H](C)[C@H]1C)c1ccc2ccccc2c1 ZINC000430080836 330558868 /nfs/dbraw/zinc/55/88/68/330558868.db2.gz OWXXXUBJYYEESS-XHSVMWQWSA-N 1 2 297.398 3.688 20 0 CHADLO Cc1ccc2c(c1)CC[C@H]2Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000433785926 330631271 /nfs/dbraw/zinc/63/12/71/330631271.db2.gz UKJDPSZHISAWOP-OAHLLOKOSA-N 1 2 278.359 3.674 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(C(C)C)cc2O)c(C)[nH+]1 ZINC000436583523 330680801 /nfs/dbraw/zinc/68/08/01/330680801.db2.gz HJZWCFKHDHMVSW-UHFFFAOYSA-N 1 2 284.359 3.780 20 0 CHADLO Fc1ccccc1CSCc1cn2ccccc2[nH+]1 ZINC000439546948 330740260 /nfs/dbraw/zinc/74/02/60/330740260.db2.gz VYQHVKHTVYGHJZ-UHFFFAOYSA-N 1 2 272.348 3.907 20 0 CHADLO COc1cccc2c(N3CCCC[C@H]3C)cc[nH+]c12 ZINC000440653084 330753569 /nfs/dbraw/zinc/75/35/69/330753569.db2.gz ZWICPMYSKGQFIY-GFCCVEGCSA-N 1 2 256.349 3.622 20 0 CHADLO CC[C@@H](CSC)Nc1cc[nH+]c2c(OC)cccc12 ZINC000440665716 330753856 /nfs/dbraw/zinc/75/38/56/330753856.db2.gz RQARHJGJDAINKN-NSHDSACASA-N 1 2 276.405 3.797 20 0 CHADLO CC[C@@H](F)C[NH2+][C@H](c1nccs1)c1ccccc1 ZINC000440792701 330756537 /nfs/dbraw/zinc/75/65/37/330756537.db2.gz AJWGPYMEAMCXOW-OLZOCXBDSA-N 1 2 264.369 3.570 20 0 CHADLO C[C@H]1CCC[C@@]1(C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000448646597 330757803 /nfs/dbraw/zinc/75/78/03/330757803.db2.gz IHAYDXFVCMHZFX-SUMWQHHRSA-N 1 2 283.375 3.637 20 0 CHADLO CC[C@H](NC(=O)[C@H](C)CCCc1ccccc1)c1[nH]cc[nH+]1 ZINC000442806402 330785033 /nfs/dbraw/zinc/78/50/33/330785033.db2.gz BQHUPCPQXLRTQX-ZBFHGGJFSA-N 1 2 299.418 3.636 20 0 CHADLO CC[C@H](NC(=O)c1ccc(-c2ccccc2)o1)c1[nH]cc[nH+]1 ZINC000442812856 330785274 /nfs/dbraw/zinc/78/52/74/330785274.db2.gz RSQSHARRYFYJGB-ZDUSSCGKSA-N 1 2 295.342 3.551 20 0 CHADLO Cc1ccc([C@H]2CCCN2c2[nH+]c3cccc(F)c3n2C)o1 ZINC000443496977 330800337 /nfs/dbraw/zinc/80/03/37/330800337.db2.gz CBOOUSXHZDXPAT-CQSZACIVSA-N 1 2 299.349 3.955 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C)sc1C)c1nccs1 ZINC000443578977 330801804 /nfs/dbraw/zinc/80/18/04/330801804.db2.gz HSCYUONHXHWOMV-ZDUSSCGKSA-N 1 2 281.450 3.631 20 0 CHADLO CC(C)[C@@H](C(=O)Nc1cccc2[nH+]ccn21)c1ccccc1 ZINC000443884865 330807224 /nfs/dbraw/zinc/80/72/24/330807224.db2.gz YQBHQKRYDUOSEO-QGZVFWFLSA-N 1 2 293.370 3.713 20 0 CHADLO c1cc(N2CCCC3(CCCC3)C2)nc(C2CC2)[nH+]1 ZINC000445664738 330834328 /nfs/dbraw/zinc/83/43/28/330834328.db2.gz MOURSBDHQBGBLV-UHFFFAOYSA-N 1 2 257.381 3.515 20 0 CHADLO Cc1[nH+]c2ccccn2c1Cn1cnc2cc(C)c(C)cc21 ZINC000446053720 330843211 /nfs/dbraw/zinc/84/32/11/330843211.db2.gz NCQQKEUSJITJBB-UHFFFAOYSA-N 1 2 290.370 3.658 20 0 CHADLO C[C@@]1(c2ccccc2)CCN(c2cc[nH+]c3ccncc32)C1 ZINC000446850096 330856539 /nfs/dbraw/zinc/85/65/39/330856539.db2.gz VMNARMNFNJGGAR-LJQANCHMSA-N 1 2 289.382 3.798 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CC[C@@H](C)C[C@H]2C)c(C)[nH+]1 ZINC000447192632 330862800 /nfs/dbraw/zinc/86/28/00/330862800.db2.gz KDFNBXKLFHTKMV-JTNHKYCSSA-N 1 2 275.396 3.645 20 0 CHADLO CC(C)(C)[C@@H]1CN(c2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000447813852 330877548 /nfs/dbraw/zinc/87/75/48/330877548.db2.gz VBGMTNSYHVFTFO-HNNXBMFYSA-N 1 2 288.366 3.625 20 0 CHADLO CSc1cccc(F)c1C[NH2+]Cc1ncc(C)s1 ZINC000449313596 330913898 /nfs/dbraw/zinc/91/38/98/330913898.db2.gz AHNCVLMXEFMAEO-UHFFFAOYSA-N 1 2 282.409 3.602 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(OCc2ccccc2)cc1 ZINC000449373903 330920131 /nfs/dbraw/zinc/92/01/31/330920131.db2.gz VNIJFJYQXSUIJE-UHFFFAOYSA-N 1 2 291.341 3.663 20 0 CHADLO C[C@@H]([NH2+]Cc1cnn(-c2ccc(Cl)cc2)c1)C(C)(F)F ZINC000449411881 330923447 /nfs/dbraw/zinc/92/34/47/330923447.db2.gz CZKPSGDDCUEQML-SNVBAGLBSA-N 1 2 299.752 3.659 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(OCC(F)(F)F)c1)C(C)(F)F ZINC000449413450 330923650 /nfs/dbraw/zinc/92/36/50/330923650.db2.gz IYBKKOSJVXDPOT-SECBINFHSA-N 1 2 297.267 3.761 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(-c2cccnc2)c1)C(C)(F)F ZINC000449415083 330923788 /nfs/dbraw/zinc/92/37/88/330923788.db2.gz NYOBWOGFGAVIAJ-LBPRGKRZSA-N 1 2 276.330 3.882 20 0 CHADLO COCc1ccccc1/C=C(/C)C[NH2+][C@H](C)C(C)(F)F ZINC000449414704 330923916 /nfs/dbraw/zinc/92/39/16/330923916.db2.gz MRJPDDCGGSAUAB-KIWPFMIBSA-N 1 2 283.362 3.870 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NCc1csc(C(C)(C)C)n1 ZINC000449563467 330937164 /nfs/dbraw/zinc/93/71/64/330937164.db2.gz VJGQPPDTZBVMCT-LLVKDONJSA-N 1 2 292.452 3.508 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]Cc2ccc(-c3ccccc3)o2)c2nccn21 ZINC000449573073 330938052 /nfs/dbraw/zinc/93/80/52/330938052.db2.gz PKHHPIPUFIDXDF-CJNGLKHVSA-N 1 2 293.370 3.939 20 0 CHADLO FC(F)(F)CCCNc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000450366704 330974834 /nfs/dbraw/zinc/97/48/34/330974834.db2.gz WDGJOHCETUPDLM-UHFFFAOYSA-N 1 2 294.280 3.866 20 0 CHADLO CC[C@H](CC(C)C)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000450377295 330975283 /nfs/dbraw/zinc/97/52/83/330975283.db2.gz IUTBBRZNZRCTLH-OAHLLOKOSA-N 1 2 299.418 3.765 20 0 CHADLO CC(C)OCCCCNc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000450442346 330979846 /nfs/dbraw/zinc/97/98/46/330979846.db2.gz SRPXUSPBZFUTOV-UHFFFAOYSA-N 1 2 298.390 3.728 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H]1CC[C@H](F)C1 ZINC000450534087 330985331 /nfs/dbraw/zinc/98/53/31/330985331.db2.gz AZGYDFBOVIKGEJ-WDEREUQCSA-N 1 2 260.312 3.546 20 0 CHADLO Cc1nc(N[C@H]2CCCC[C@H]2CC(F)(F)F)cc[nH+]1 ZINC000450645674 330991034 /nfs/dbraw/zinc/99/10/34/330991034.db2.gz GEVLSKAJPYDYCZ-QWRGUYRKSA-N 1 2 273.302 3.708 20 0 CHADLO CC[C@@H](NC(=O)C(CC(C)C)CC(C)C)c1[nH]cc[nH+]1 ZINC000450676675 330992900 /nfs/dbraw/zinc/99/29/00/330992900.db2.gz XLHNDQADJNFZIH-CQSZACIVSA-N 1 2 279.428 3.685 20 0 CHADLO Clc1cccc2c(N[C@@H]3CC34CCOCC4)cc[nH+]c12 ZINC000450798774 331000207 /nfs/dbraw/zinc/00/02/07/331000207.db2.gz VQURZROSUROBAA-CQSZACIVSA-N 1 2 288.778 3.869 20 0 CHADLO C[C@H]1C[C@@H](Nc2nc3cccc(F)c3s2)c2[nH+]ccn21 ZINC000450822260 331001145 /nfs/dbraw/zinc/00/11/45/331001145.db2.gz STFPUVOLKACODB-GZMMTYOYSA-N 1 2 288.351 3.750 20 0 CHADLO CCc1nc(N2CC3(CCC3)[C@H]2c2ccco2)cc(C)[nH+]1 ZINC000450864914 331003593 /nfs/dbraw/zinc/00/35/93/331003593.db2.gz VHEFKFUUSIKKAB-MRXNPFEDSA-N 1 2 283.375 3.672 20 0 CHADLO Cc1cc(N2CCC[C@]3(CCSC3)C2)nc(C(C)C)[nH+]1 ZINC000450911452 331006741 /nfs/dbraw/zinc/00/67/41/331006741.db2.gz YZXQGRNBTNLTNB-INIZCTEOSA-N 1 2 291.464 3.632 20 0 CHADLO Cc1cc2[nH+]cn([C@@H]3C[C@H](C)CCC3=O)c2cc1C ZINC000450916357 331007079 /nfs/dbraw/zinc/00/70/79/331007079.db2.gz UZXMBNTUPGKMNB-MEBBXXQBSA-N 1 2 256.349 3.583 20 0 CHADLO CC(C)CC1(C)CC[NH+]([C@H](C)c2csnn2)CC1 ZINC000451041414 331013139 /nfs/dbraw/zinc/01/31/39/331013139.db2.gz NKWATRWUSXDHKZ-GFCCVEGCSA-N 1 2 267.442 3.747 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000451119201 331016115 /nfs/dbraw/zinc/01/61/15/331016115.db2.gz GBSPGSFWWXVLGG-MRXNPFEDSA-N 1 2 292.329 3.805 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2coc(-c3ccc(F)cc3)n2)C1 ZINC000451119201 331016116 /nfs/dbraw/zinc/01/61/16/331016116.db2.gz GBSPGSFWWXVLGG-MRXNPFEDSA-N 1 2 292.329 3.805 20 0 CHADLO CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@H](F)C1 ZINC000451179429 331019082 /nfs/dbraw/zinc/01/90/82/331019082.db2.gz AQMMFFLONSDFHC-MJBXVCDLSA-N 1 2 292.398 3.571 20 0 CHADLO CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@H](F)C1 ZINC000451179429 331019083 /nfs/dbraw/zinc/01/90/83/331019083.db2.gz AQMMFFLONSDFHC-MJBXVCDLSA-N 1 2 292.398 3.571 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H](C)c2ccccc2F)o1 ZINC000451240035 331021575 /nfs/dbraw/zinc/02/15/75/331021575.db2.gz GQWXTEWOWOKUPE-NSHDSACASA-N 1 2 262.328 3.617 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2F)nc1 ZINC000451966116 331048570 /nfs/dbraw/zinc/04/85/70/331048570.db2.gz DQUHGNKEGHJXMA-INIZCTEOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2F)nc1 ZINC000451966116 331048571 /nfs/dbraw/zinc/04/85/71/331048571.db2.gz DQUHGNKEGHJXMA-INIZCTEOSA-N 1 2 292.304 3.836 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2C)n1 ZINC000451979554 331049314 /nfs/dbraw/zinc/04/93/14/331049314.db2.gz CGFSQEJBXXHNRN-INIZCTEOSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1ccnc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2C)n1 ZINC000451979554 331049315 /nfs/dbraw/zinc/04/93/15/331049315.db2.gz CGFSQEJBXXHNRN-INIZCTEOSA-N 1 2 285.366 3.570 20 0 CHADLO CN(C)c1[nH+]ccc2cc(NC(=O)C3=CCCCC3)ccc21 ZINC000452359213 331063071 /nfs/dbraw/zinc/06/30/71/331063071.db2.gz URRZRPRZMNKXFU-UHFFFAOYSA-N 1 2 295.386 3.740 20 0 CHADLO CCCC(C)(C)CC(=O)N1CC(C)(C)[C@@H]1c1[nH+]ccn1C ZINC000452448501 331064878 /nfs/dbraw/zinc/06/48/78/331064878.db2.gz WHHVFAIICKSCHM-AWEZNQCLSA-N 1 2 291.439 3.546 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)N[C@@H](c1ccco1)c1ccccc1 ZINC000453076474 331086950 /nfs/dbraw/zinc/08/69/50/331086950.db2.gz HVASLQBHFQUQCV-RHSMWYFYSA-N 1 2 295.386 3.936 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1Cl)c1ccncn1 ZINC000453111954 331090189 /nfs/dbraw/zinc/09/01/89/331090189.db2.gz QSWWLANPUKFXOZ-NXEZZACHSA-N 1 2 279.746 3.681 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C(C)C)s1)c1ccsc1 ZINC000453120084 331091106 /nfs/dbraw/zinc/09/11/06/331091106.db2.gz CIXGFWSKLREZCQ-LLVKDONJSA-N 1 2 281.450 3.964 20 0 CHADLO CCCOc1cc(C)ccc1C[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000453125554 331091747 /nfs/dbraw/zinc/09/17/47/331091747.db2.gz JHIGEOFPWQNNOV-GOEBONIOSA-N 1 2 299.418 3.776 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2ccccc2OC2CCC2)c2nccn21 ZINC000453151234 331094487 /nfs/dbraw/zinc/09/44/87/331094487.db2.gz WECNJFSZXRQTGH-BBRMVZONSA-N 1 2 297.402 3.610 20 0 CHADLO Cc1cc2cccnc2c(N[C@@H]2C[C@H](C)n3cc[nH+]c32)c1 ZINC000453175257 331097273 /nfs/dbraw/zinc/09/72/73/331097273.db2.gz CGXHNNBVSRCHSZ-SWLSCSKDSA-N 1 2 278.359 3.858 20 0 CHADLO Cc1c(N[C@@H]2C[C@H](C)n3cc[nH+]c32)cnn1CCC(C)C ZINC000453175915 331097325 /nfs/dbraw/zinc/09/73/25/331097325.db2.gz DBKXVLMOANBOKY-GXTWGEPZSA-N 1 2 287.411 3.552 20 0 CHADLO CCc1cc(N[C@H]2C[C@@H](C)n3cc[nH+]c32)ccc1F ZINC000453212748 331102063 /nfs/dbraw/zinc/10/20/63/331102063.db2.gz PESMXCDCTMJBIE-YGRLFVJLSA-N 1 2 259.328 3.703 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cnn(Cc2ccccc2)c1)C(F)F ZINC000453246975 331107028 /nfs/dbraw/zinc/10/70/28/331107028.db2.gz NEPSCKHDDTZQCV-IUODEOHRSA-N 1 2 293.361 3.626 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(OC)c(F)c1)C(F)F ZINC000453252205 331107847 /nfs/dbraw/zinc/10/78/47/331107847.db2.gz VCGXIYHPQGDHEZ-KWQFWETISA-N 1 2 261.287 3.529 20 0 CHADLO C[C@H](Nc1ccccc1C(C)(F)F)c1[nH+]ccn1C ZINC000453298548 331112757 /nfs/dbraw/zinc/11/27/57/331112757.db2.gz SRKFDGIANAKRBS-JTQLQIEISA-N 1 2 265.307 3.705 20 0 CHADLO CCc1ccc(N[C@@H](c2[nH+]ccn2C)C2CC2)cc1OC ZINC000453338779 331118731 /nfs/dbraw/zinc/11/87/31/331118731.db2.gz AYNWBLDBPPOYJU-MRXNPFEDSA-N 1 2 285.391 3.554 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H](C)c1ccc2ccccc2n1 ZINC000453350868 331120769 /nfs/dbraw/zinc/12/07/69/331120769.db2.gz MKMURAACWMDHTG-KBPBESRZSA-N 1 2 294.402 3.863 20 0 CHADLO CC[C@H]([NH2+]Cc1ncccc1OC)c1ccc(F)cc1F ZINC000453374682 331124557 /nfs/dbraw/zinc/12/45/57/331124557.db2.gz SRAMBYFSEKTPPL-AWEZNQCLSA-N 1 2 292.329 3.609 20 0 CHADLO C[C@@H]1COCC[C@@H]1[NH2+]c1ccc(OC2CCCC2)cc1 ZINC000195053035 331133797 /nfs/dbraw/zinc/13/37/97/331133797.db2.gz MYJKSVPYLFAYEZ-DYVFJYSZSA-N 1 2 275.392 3.845 20 0 CHADLO Cc1ccc(NC(=O)N2CC[C@@H](CC(C)(C)C)C2)c(C)[nH+]1 ZINC000455035793 331159301 /nfs/dbraw/zinc/15/93/01/331159301.db2.gz BMHRIPKWQLZQIH-AWEZNQCLSA-N 1 2 289.423 3.988 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)Nc1ccc(C)[nH+]c1C ZINC000455044214 331159508 /nfs/dbraw/zinc/15/95/08/331159508.db2.gz LRLIMPOPXWVLPF-GFCCVEGCSA-N 1 2 275.396 3.955 20 0 CHADLO CCc1ccc(NC(=O)Nc2ccc(C)[nH+]c2C)cc1OC ZINC000455053661 331159742 /nfs/dbraw/zinc/15/97/42/331159742.db2.gz VEVKLWMWJNHGEV-UHFFFAOYSA-N 1 2 299.374 3.913 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@@H](C(C)C)[C@H]2C(C)C)c(C)[nH+]1 ZINC000455058450 331159822 /nfs/dbraw/zinc/15/98/22/331159822.db2.gz YCNCRGNDAHZYDF-GOEBONIOSA-N 1 2 289.423 3.843 20 0 CHADLO CCN(C(=O)Nc1c(C)cc(C)[nH+]c1C)[C@H](C)C(C)C ZINC000455487612 331170856 /nfs/dbraw/zinc/17/08/56/331170856.db2.gz SWUFNICKNJNOSK-CQSZACIVSA-N 1 2 277.412 3.905 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)CCc2ccccc2)c(C)[nH+]1 ZINC000455488266 331170896 /nfs/dbraw/zinc/17/08/96/331170896.db2.gz UNUSPWXMUVXXMR-UHFFFAOYSA-N 1 2 297.402 3.713 20 0 CHADLO CC[C@H](CSC)N(C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455505512 331171244 /nfs/dbraw/zinc/17/12/44/331171244.db2.gz XHRIBEVAYUAPPA-CYBMUJFWSA-N 1 2 295.452 3.612 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)CCCCC(C)(C)C ZINC000456351653 331190494 /nfs/dbraw/zinc/19/04/94/331190494.db2.gz KTAAASXIXDGSPC-ZDUSSCGKSA-N 1 2 279.428 3.687 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000456353436 331190603 /nfs/dbraw/zinc/19/06/03/331190603.db2.gz MIVJPFCFMNDWGW-UKRRQHHQSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1csc2ccccc12 ZINC000456403114 331192201 /nfs/dbraw/zinc/19/22/01/331192201.db2.gz WRERQTBRYRCNPO-NSHDSACASA-N 1 2 299.399 3.609 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cccc(C(F)F)c2)c[nH+]1 ZINC000456840250 331207206 /nfs/dbraw/zinc/20/72/06/331207206.db2.gz NHNQRCXURZUWAJ-UHFFFAOYSA-N 1 2 290.313 3.566 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cccn2C2CCCC2)c[nH+]1 ZINC000456850250 331207480 /nfs/dbraw/zinc/20/74/80/331207480.db2.gz WDCJMQGWOSSJEM-UHFFFAOYSA-N 1 2 297.402 3.545 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccccc2OCCC(C)C)no1 ZINC000459405013 331280015 /nfs/dbraw/zinc/28/00/15/331280015.db2.gz SJYNECPYLASESP-UHFFFAOYSA-N 1 2 288.391 3.698 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1cnc(C2CC2)nc1 ZINC000459463593 331282802 /nfs/dbraw/zinc/28/28/02/331282802.db2.gz NXGCKBMIKAHSKE-UHFFFAOYSA-N 1 2 298.390 3.538 20 0 CHADLO CCc1nnc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)o1 ZINC000459560422 331287819 /nfs/dbraw/zinc/28/78/19/331287819.db2.gz AEPHRYZORVHFFY-QGZVFWFLSA-N 1 2 297.402 3.749 20 0 CHADLO CCc1nnc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)o1 ZINC000459560422 331287820 /nfs/dbraw/zinc/28/78/20/331287820.db2.gz AEPHRYZORVHFFY-QGZVFWFLSA-N 1 2 297.402 3.749 20 0 CHADLO CSCc1cnc(C[NH+]2CC(C3CCCC3)C2)s1 ZINC000459617657 331290741 /nfs/dbraw/zinc/29/07/41/331290741.db2.gz RMGMQWQPHXOZEK-UHFFFAOYSA-N 1 2 282.478 3.628 20 0 CHADLO COCCC1(C)C[NH+](Cc2ccc(Cl)cc2Cl)C1 ZINC000459636651 331291570 /nfs/dbraw/zinc/29/15/70/331291570.db2.gz WUGVJKIEFPFUJS-UHFFFAOYSA-N 1 2 288.218 3.852 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2Cc3ccc(Cl)cc3C2)s1 ZINC000459736787 331296939 /nfs/dbraw/zinc/29/69/39/331296939.db2.gz BILMEHRSCLAUAZ-UHFFFAOYSA-N 1 2 278.808 3.929 20 0 CHADLO Cc1nc(C)c(C[N@H+]2Cc3ccc(Cl)cc3C2)s1 ZINC000459736787 331296940 /nfs/dbraw/zinc/29/69/40/331296940.db2.gz BILMEHRSCLAUAZ-UHFFFAOYSA-N 1 2 278.808 3.929 20 0 CHADLO Cc1nnc(C[N@@H+]2CC3(CCCCC3)[C@H]2c2ccccc2)[nH]1 ZINC000459759529 331298904 /nfs/dbraw/zinc/29/89/04/331298904.db2.gz WGFDBHYREIGZHN-QGZVFWFLSA-N 1 2 296.418 3.621 20 0 CHADLO Cc1nnc(C[N@H+]2CC3(CCCCC3)[C@H]2c2ccccc2)[nH]1 ZINC000459759529 331298905 /nfs/dbraw/zinc/29/89/05/331298905.db2.gz WGFDBHYREIGZHN-QGZVFWFLSA-N 1 2 296.418 3.621 20 0 CHADLO C[C@H]([NH2+]Cc1csc(Cl)c1Cl)c1ccn(C)n1 ZINC000459762644 331299128 /nfs/dbraw/zinc/29/91/28/331299128.db2.gz UBTFJVYJISIQFH-ZETCQYMHSA-N 1 2 290.219 3.639 20 0 CHADLO CCc1cc(CCC[N@@H+]2Cc3ccc(Cl)cc3C2)on1 ZINC000459767181 331299507 /nfs/dbraw/zinc/29/95/07/331299507.db2.gz PTLJLWKREWSGPH-UHFFFAOYSA-N 1 2 290.794 3.839 20 0 CHADLO CCc1cc(CCC[N@H+]2Cc3ccc(Cl)cc3C2)on1 ZINC000459767181 331299508 /nfs/dbraw/zinc/29/95/08/331299508.db2.gz PTLJLWKREWSGPH-UHFFFAOYSA-N 1 2 290.794 3.839 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)CC(F)(F)F)c(C)[nH+]1 ZINC000459917244 331303408 /nfs/dbraw/zinc/30/34/08/331303408.db2.gz DRSSCHBMCOUQNP-MRVPVSSYSA-N 1 2 274.286 3.534 20 0 CHADLO CC[C@@H](CC(F)(F)F)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000459927231 331303452 /nfs/dbraw/zinc/30/34/52/331303452.db2.gz HWGPMJRWYWYMMR-NSHDSACASA-N 1 2 288.313 3.924 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2cncs2)c[nH+]1 ZINC000165325382 331306171 /nfs/dbraw/zinc/30/61/71/331306171.db2.gz FUBDNGGGKNVUHL-LLVKDONJSA-N 1 2 276.409 3.557 20 0 CHADLO C[C@H](CC1CC1)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000165740597 331306374 /nfs/dbraw/zinc/30/63/74/331306374.db2.gz HUTODOSVKWLBMM-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1cscn1 ZINC000165741090 331306414 /nfs/dbraw/zinc/30/64/14/331306414.db2.gz ZIXYMPKKLTVQTH-GFCCVEGCSA-N 1 2 288.420 3.702 20 0 CHADLO Cc1ccc(C(C)(C)C(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000460338139 331309350 /nfs/dbraw/zinc/30/93/50/331309350.db2.gz ZUHFADPTWDXPIE-UHFFFAOYSA-N 1 2 293.370 3.559 20 0 CHADLO Cc1ccc(CC[C@@H](C)Nc2ccc3ccccc3[nH+]2)o1 ZINC000463075128 331339462 /nfs/dbraw/zinc/33/94/62/331339462.db2.gz XJDPVDMXGQHTPA-CYBMUJFWSA-N 1 2 280.371 3.991 20 0 CHADLO CCCC[C@@H]([NH2+]C1CC(c2ccc(C)cc2)C1)C(=O)OC ZINC000463293823 331345527 /nfs/dbraw/zinc/34/55/27/331345527.db2.gz NPPXNLSODFZURK-OFLPRAFFSA-N 1 2 289.419 3.562 20 0 CHADLO CC/C=C\CC[N@@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000466222045 331391219 /nfs/dbraw/zinc/39/12/19/331391219.db2.gz GRIKKXWNLMINOG-QGZUEGPWSA-N 1 2 296.418 3.965 20 0 CHADLO CC/C=C\CC[N@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000466222045 331391220 /nfs/dbraw/zinc/39/12/20/331391220.db2.gz GRIKKXWNLMINOG-QGZUEGPWSA-N 1 2 296.418 3.965 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2ccc(F)cc21)c1ccc(F)cc1F ZINC000467004424 331410245 /nfs/dbraw/zinc/41/02/45/331410245.db2.gz CNGKGYJKZXSVAF-BJOHPYRUSA-N 1 2 293.288 3.888 20 0 CHADLO CCc1cccc(Cn2c[nH+]c3cc(F)c(F)cc32)c1 ZINC000468505850 331436085 /nfs/dbraw/zinc/43/60/85/331436085.db2.gz HTDQYAOAGSNGSG-UHFFFAOYSA-N 1 2 272.298 3.925 20 0 CHADLO CCOc1nnc(C[NH+]2CCC(CCC(C)C)CC2)s1 ZINC000469999851 331469090 /nfs/dbraw/zinc/46/90/90/331469090.db2.gz OFAAZXSPHYXTAM-UHFFFAOYSA-N 1 2 297.468 3.585 20 0 CHADLO C[C@@H]1[C@@H](Cc2ccccc2)CCN1c1cccc[nH+]1 ZINC000474172090 331574351 /nfs/dbraw/zinc/57/43/51/331574351.db2.gz HQYWJQOHJWQSSI-GDBMZVCRSA-N 1 2 252.361 3.539 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)C2CCSCC2)c[nH+]1 ZINC000474888802 331603014 /nfs/dbraw/zinc/60/30/14/331603014.db2.gz UYNIJIVVCNIASG-CYBMUJFWSA-N 1 2 293.480 3.871 20 0 CHADLO COC(=O)[C@H]([NH2+]C[C@@H]1C(C)=CCC[C@H]1C)c1cccs1 ZINC000475142316 331616186 /nfs/dbraw/zinc/61/61/86/331616186.db2.gz WXKCVBIYIFSFNN-UMVBOHGHSA-N 1 2 293.432 3.544 20 0 CHADLO c1cc2[nH+]ccc(N3CCOC4(C3)CCCCCC4)c2cn1 ZINC000477148531 331677732 /nfs/dbraw/zinc/67/77/32/331677732.db2.gz HJBRTBOAADOOBI-UHFFFAOYSA-N 1 2 297.402 3.559 20 0 CHADLO c1ccc(-c2noc(C[NH2+]C3(c4ccccc4)CC3)n2)cc1 ZINC000477481179 331684739 /nfs/dbraw/zinc/68/47/39/331684739.db2.gz FGOCJXSTDGNMLS-UHFFFAOYSA-N 1 2 291.354 3.516 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(C)cc1)C(=O)OC(C)(C)C ZINC000479449284 331730328 /nfs/dbraw/zinc/73/03/28/331730328.db2.gz CNYATITWFFUUTP-HIFRSBDPSA-N 1 2 277.408 3.766 20 0 CHADLO Cc1nc(N2CC[C@@](C)(c3ccc(F)cc3)C2)c(C)c(C)[nH+]1 ZINC000481117448 331798609 /nfs/dbraw/zinc/79/86/09/331798609.db2.gz URZSICCVCTXTKP-GOSISDBHSA-N 1 2 299.393 3.709 20 0 CHADLO CCCc1[nH+]c2ccccc2n1C[C@@H](O)CCC(C)C ZINC000481724986 331813639 /nfs/dbraw/zinc/81/36/39/331813639.db2.gz DIZRHIQUBCVSCH-AWEZNQCLSA-N 1 2 274.408 3.786 20 0 CHADLO c1[nH+]c2ccccn2c1CN1CC2(CCC2)c2ccccc21 ZINC000483633224 331880295 /nfs/dbraw/zinc/88/02/95/331880295.db2.gz FJLXUVCLQSPRAE-UHFFFAOYSA-N 1 2 289.382 3.776 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@@H]1CCC[C@H](C)[C@H]1C ZINC000485500722 331915731 /nfs/dbraw/zinc/91/57/31/331915731.db2.gz REDRDOAXZZBONM-LNSITVRQSA-N 1 2 289.423 3.892 20 0 CHADLO CC[C@H]1CCCC[C@H]1NC(=O)Nc1c(C)cc[nH+]c1C ZINC000485572422 331917334 /nfs/dbraw/zinc/91/73/34/331917334.db2.gz CSXAEHGFLYLEOP-UONOGXRCSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)N(c3cccc[nH+]3)C2)cc1 ZINC000486493427 331938587 /nfs/dbraw/zinc/93/85/87/331938587.db2.gz BPINEWPRGCXRBQ-GOEBONIOSA-N 1 2 252.361 3.772 20 0 CHADLO C[C@@H]1CSCCN(c2[nH+]ccc3ccc(F)cc32)C1 ZINC000487062589 331955726 /nfs/dbraw/zinc/95/57/26/331955726.db2.gz NEWBALGFDYFACV-NSHDSACASA-N 1 2 276.380 3.563 20 0 CHADLO C[C@H]1C[C@H]1CNc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000488352395 332001545 /nfs/dbraw/zinc/00/15/45/332001545.db2.gz NQRSEFPFQQLYRJ-QWRGUYRKSA-N 1 2 261.756 3.594 20 0 CHADLO Cc1c[nH+]cc(N[C@H]2CS[C@@H](C(C)(C)C)C2)c1 ZINC000488449192 332010065 /nfs/dbraw/zinc/01/00/65/332010065.db2.gz NPSLPXDSXKPLIL-CHWSQXEVSA-N 1 2 250.411 3.722 20 0 CHADLO C[C@@H]1C[C@H]1C[N@@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000488521380 332015364 /nfs/dbraw/zinc/01/53/64/332015364.db2.gz XPEWHIKNAMVJPW-TYNCELHUSA-N 1 2 299.336 3.735 20 0 CHADLO C[C@@H]1C[C@H]1C[N@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000488521380 332015365 /nfs/dbraw/zinc/01/53/65/332015365.db2.gz XPEWHIKNAMVJPW-TYNCELHUSA-N 1 2 299.336 3.735 20 0 CHADLO COc1cccc2c(NCc3occc3C)cc[nH+]c12 ZINC000488707904 332022082 /nfs/dbraw/zinc/02/20/82/332022082.db2.gz YIRPNSZNRIMYMH-UHFFFAOYSA-N 1 2 268.316 3.757 20 0 CHADLO Cc1ccoc1CNc1[nH+]ccc2ccc(F)cc21 ZINC000488704143 332022198 /nfs/dbraw/zinc/02/21/98/332022198.db2.gz YGHNMPGLOYTVCQ-UHFFFAOYSA-N 1 2 256.280 3.887 20 0 CHADLO Cc1cc(NC[C@@H]2CCC[C@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000489209998 332043339 /nfs/dbraw/zinc/04/33/39/332043339.db2.gz ZVXSKQPCDVRFBT-DZGCQCFKSA-N 1 2 296.418 3.507 20 0 CHADLO COC(=O)C[N@H+](C)[C@@H](C)c1ccc(Oc2ccccc2)cc1 ZINC000489546945 332059050 /nfs/dbraw/zinc/05/90/50/332059050.db2.gz ALBYETSYBHPWML-AWEZNQCLSA-N 1 2 299.370 3.645 20 0 CHADLO COC(=O)C[N@@H+](C)[C@@H](C)c1ccc(Oc2ccccc2)cc1 ZINC000489546945 332059052 /nfs/dbraw/zinc/05/90/52/332059052.db2.gz ALBYETSYBHPWML-AWEZNQCLSA-N 1 2 299.370 3.645 20 0 CHADLO FC(F)C1CCC([NH2+][C@@H](C2CC2)C(F)(F)F)CC1 ZINC000489797920 332068272 /nfs/dbraw/zinc/06/82/72/332068272.db2.gz VARVINSBDVFFGP-RTBKNWGFSA-N 1 2 271.273 3.741 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCC(C)(C(C)C)CC2)[nH+]1 ZINC000489897634 332072296 /nfs/dbraw/zinc/07/22/96/332072296.db2.gz MPXXJIHOZZILEJ-UHFFFAOYSA-N 1 2 272.396 3.529 20 0 CHADLO C[C@H](CCC(F)(F)F)[NH2+][C@@H](C1CC1)C(F)(F)F ZINC000489940184 332073395 /nfs/dbraw/zinc/07/33/95/332073395.db2.gz GTNJMVFATPZDIA-SVRRBLITSA-N 1 2 263.225 3.648 20 0 CHADLO Cc1cccc2c(Nc3ccc4c(c3)[nH+]cn4C)ncnc12 ZINC000489970336 332075241 /nfs/dbraw/zinc/07/52/41/332075241.db2.gz YCHJLTZSPJIEOV-UHFFFAOYSA-N 1 2 289.342 3.569 20 0 CHADLO CC1(C)CC[C@H](CNc2[nH+]ccc3ccc(F)cc32)O1 ZINC000490080012 332080707 /nfs/dbraw/zinc/08/07/07/332080707.db2.gz MBQVPEOHANRERZ-CYBMUJFWSA-N 1 2 274.339 3.743 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)C=CC2CCC2)[nH+]1 ZINC000492212919 332387487 /nfs/dbraw/zinc/38/74/87/332387487.db2.gz DYTQCSXTZJOJBB-KTKRTIGZSA-N 1 2 281.359 3.680 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1cccc(Cl)c1C ZINC000492255303 332389326 /nfs/dbraw/zinc/38/93/26/332389326.db2.gz ZBJIBZCHRHZNQM-FPLPWBNLSA-N 1 2 289.766 3.517 20 0 CHADLO Cc1ccccc1[C@H](C(C)C)N(C)C(=O)C=Cc1c[nH]c[nH+]1 ZINC000493497924 332442651 /nfs/dbraw/zinc/44/26/51/332442651.db2.gz QBQWDIVJQXFEPP-BBVFFXRHSA-N 1 2 297.402 3.587 20 0 CHADLO CC(C)c1nc(N(C)Cc2cccc3[nH]ccc32)cc[nH+]1 ZINC000493602583 332446760 /nfs/dbraw/zinc/44/67/60/332446760.db2.gz HERWZGGHDKSZRQ-UHFFFAOYSA-N 1 2 280.375 3.718 20 0 CHADLO CCCc1csc(C[NH2+]Cc2cc(F)ccc2OC)n1 ZINC000494847854 332488091 /nfs/dbraw/zinc/48/80/91/332488091.db2.gz LFVVLWXFQYVFLS-UHFFFAOYSA-N 1 2 294.395 3.533 20 0 CHADLO CCSc1cc(C[NH2+]Cc2ncc(Cl)s2)ccn1 ZINC000495153100 332508893 /nfs/dbraw/zinc/50/88/93/332508893.db2.gz CQUZAXUDLNEBCV-UHFFFAOYSA-N 1 2 299.852 3.593 20 0 CHADLO CCCC[C@@H]([NH2+][C@@H](C)c1ccc(SC)cc1)C(=O)OC ZINC000500245834 332603861 /nfs/dbraw/zinc/60/38/61/332603861.db2.gz MVESUQVXXRPRBU-SWLSCSKDSA-N 1 2 295.448 3.791 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1c1noc(C[C@@H](C)n2cc[nH+]c2)n1 ZINC000566319197 334642669 /nfs/dbraw/zinc/64/26/69/334642669.db2.gz JNYKOLREESVVDQ-MCIONIFRSA-N 1 2 288.395 3.754 20 0 CHADLO c1[nH+]c2c(cc1N[C@H]1CCCC13CCOCC3)CCCC2 ZINC000502429521 332658854 /nfs/dbraw/zinc/65/88/54/332658854.db2.gz HVIUFHODNAQZDQ-KRWDZBQOSA-N 1 2 286.419 3.722 20 0 CHADLO CC[C@@H]1C[C@@]1([NH2+]Cc1noc(C(C)C)n1)c1cccc(C)c1 ZINC000502580752 332666364 /nfs/dbraw/zinc/66/63/64/332666364.db2.gz JCMGVIKVRWETRJ-KDOFPFPSSA-N 1 2 299.418 3.916 20 0 CHADLO FC(F)C1([NH2+][C@@H]2CCc3cc(Cl)ccc32)CC1 ZINC000503929251 332699527 /nfs/dbraw/zinc/69/95/27/332699527.db2.gz PJDONMCAMMYEQI-LLVKDONJSA-N 1 2 257.711 3.715 20 0 CHADLO CCCCN(C(=O)c1ccn2c(C)c[nH+]c2c1)[C@H](C)CC ZINC000568900420 334846089 /nfs/dbraw/zinc/84/60/89/334846089.db2.gz ZIIRGIJUWIOURS-CYBMUJFWSA-N 1 2 287.407 3.684 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc2occc2c1)c1nc(C(C)(C)C)no1 ZINC000512013426 332950589 /nfs/dbraw/zinc/95/05/89/332950589.db2.gz ALYSIAYMRADWQQ-LLVKDONJSA-N 1 2 299.374 3.964 20 0 CHADLO CC(C)Cc1ccc(C[N@@H+]2CCOC[C@H]2CC(F)F)cc1 ZINC000513372530 333015691 /nfs/dbraw/zinc/01/56/91/333015691.db2.gz UHEVHWYRNDWZGC-MRXNPFEDSA-N 1 2 297.389 3.741 20 0 CHADLO CC(C)Cc1ccc(C[N@H+]2CCOC[C@H]2CC(F)F)cc1 ZINC000513372530 333015693 /nfs/dbraw/zinc/01/56/93/333015693.db2.gz UHEVHWYRNDWZGC-MRXNPFEDSA-N 1 2 297.389 3.741 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]C/C=C/c2ccc(Cl)cc2)no1 ZINC000513538529 333024642 /nfs/dbraw/zinc/02/46/42/333024642.db2.gz LKCIRCOOISWHDB-SNAWJCMRSA-N 1 2 291.782 3.570 20 0 CHADLO CCc1ccc(C(C)(C)C(=O)Nc2cc[nH+]cc2C)cc1 ZINC000176310060 333040837 /nfs/dbraw/zinc/04/08/37/333040837.db2.gz KRXLBAIKJDLPNU-UHFFFAOYSA-N 1 2 282.387 3.869 20 0 CHADLO Cc1cc(NC(=O)C/C=C/c2cccc(F)c2)cc[nH+]1 ZINC000176638259 333044668 /nfs/dbraw/zinc/04/46/68/333044668.db2.gz QFTYVCCVRSKTSI-HWKANZROSA-N 1 2 270.307 3.571 20 0 CHADLO CC1(C)CCCC[C@H]1CC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178987351 333078933 /nfs/dbraw/zinc/07/89/33/333078933.db2.gz DCYAWNFWWQCWHT-HNNXBMFYSA-N 1 2 299.418 3.557 20 0 CHADLO CCc1noc(C)c1CNc1[nH+]ccc2ccc(F)cc21 ZINC000517223514 333095185 /nfs/dbraw/zinc/09/51/85/333095185.db2.gz MRWNHRBLNHLUKX-UHFFFAOYSA-N 1 2 285.322 3.845 20 0 CHADLO CC(C)c1nc(N2CCC[C@H]2Cc2ccccc2)cc[nH+]1 ZINC000521061079 333110620 /nfs/dbraw/zinc/11/06/20/333110620.db2.gz XKMSIIOPSSKQAT-INIZCTEOSA-N 1 2 281.403 3.812 20 0 CHADLO Cc1ncccc1C[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000521231617 333115849 /nfs/dbraw/zinc/11/58/49/333115849.db2.gz XDBBSDXLHCOSFS-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ncccc1C[N@H+]1CCc2sc(Cl)cc2C1 ZINC000521231617 333115851 /nfs/dbraw/zinc/11/58/51/333115851.db2.gz XDBBSDXLHCOSFS-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@@H](Cc1ccsc1)[N@@H+]1CC[C@@H](C)C(F)(F)C1 ZINC000521246481 333116332 /nfs/dbraw/zinc/11/63/32/333116332.db2.gz NITVYVYNKIGWHL-MNOVXSKESA-N 1 2 259.365 3.656 20 0 CHADLO C[C@@H](Cc1ccsc1)[N@H+]1CC[C@@H](C)C(F)(F)C1 ZINC000521246481 333116334 /nfs/dbraw/zinc/11/63/34/333116334.db2.gz NITVYVYNKIGWHL-MNOVXSKESA-N 1 2 259.365 3.656 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@H]1CCc2ccccc21 ZINC000521293104 333117367 /nfs/dbraw/zinc/11/73/67/333117367.db2.gz NROOVBMKPQRYET-OAHLLOKOSA-N 1 2 295.386 3.550 20 0 CHADLO CCOC(=O)[C@@H]([NH2+]Cc1ccc(C(F)F)cc1)[C@H](C)CC ZINC000183994125 333124190 /nfs/dbraw/zinc/12/41/90/333124190.db2.gz DTBRQDNXIQBIKG-RISCZKNCSA-N 1 2 299.361 3.692 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2nc3cc(F)ccc3o2)n1 ZINC000184199913 333125583 /nfs/dbraw/zinc/12/55/83/333125583.db2.gz XNOZCKXXORCDJR-VIFPVBQESA-N 1 2 291.351 3.583 20 0 CHADLO CC/C=C/CCn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000184440601 333128619 /nfs/dbraw/zinc/12/86/19/333128619.db2.gz ABBYIMNUUCOXNQ-ONEGZZNKSA-N 1 2 269.270 3.806 20 0 CHADLO Cc1ccc(OC(F)F)c([C@H](C)[NH2+]CC(C)(F)F)c1 ZINC000521651193 333181093 /nfs/dbraw/zinc/18/10/93/333181093.db2.gz WDKOJQUNKROWQT-VIFPVBQESA-N 1 2 279.277 3.902 20 0 CHADLO CCc1cccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000189968528 333201205 /nfs/dbraw/zinc/20/12/05/333201205.db2.gz WZGODZXFKJCNAO-GFCCVEGCSA-N 1 2 287.407 3.780 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(Cl)c1Cl)c1nncn1C ZINC000223136376 333204770 /nfs/dbraw/zinc/20/47/70/333204770.db2.gz VVEAAIZHFBXOJY-DTWKUNHWSA-N 1 2 299.205 3.534 20 0 CHADLO CCCOc1cccc(NCc2ccc(N(C)CC)[nH+]c2)c1 ZINC000190823003 333210991 /nfs/dbraw/zinc/21/09/91/333210991.db2.gz YCMVVAFXBWMFHF-UHFFFAOYSA-N 1 2 299.418 3.939 20 0 CHADLO COc1ccc(C)cc1NCc1ccc(N(C)C(C)C)[nH+]c1 ZINC000191369954 333218715 /nfs/dbraw/zinc/21/87/15/333218715.db2.gz SEJVEPJPVSEUNP-UHFFFAOYSA-N 1 2 299.418 3.855 20 0 CHADLO CCN(Cc1ccc(N2CCCC2)[nH+]c1)c1ccccc1 ZINC000191459465 333220036 /nfs/dbraw/zinc/22/00/36/333220036.db2.gz XNJDISVRCAUORY-UHFFFAOYSA-N 1 2 281.403 3.708 20 0 CHADLO Fc1ccc(N(CCCn2cc[nH+]c2)C2CCCC2)cc1 ZINC000575891651 335128593 /nfs/dbraw/zinc/12/85/93/335128593.db2.gz KMGMLXYWJJTWFO-UHFFFAOYSA-N 1 2 287.382 3.862 20 0 CHADLO Cc1cc[nH+]cc1CCCN1CCc2cc(F)c(F)cc21 ZINC000522035503 333231159 /nfs/dbraw/zinc/23/11/59/333231159.db2.gz WNGITQDEGPPPMH-UHFFFAOYSA-N 1 2 288.341 3.664 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@H+](C)Cc1ccccn1 ZINC000192782997 333239254 /nfs/dbraw/zinc/23/92/54/333239254.db2.gz PYLWTAIPNXAFDW-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@@H+](C)Cc1ccccn1 ZINC000192782997 333239256 /nfs/dbraw/zinc/23/92/56/333239256.db2.gz PYLWTAIPNXAFDW-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO COC1(CNc2[nH+]ccc(C)c2Cl)CCCCC1 ZINC000575906387 335129869 /nfs/dbraw/zinc/12/98/69/335129869.db2.gz XSWXZGLAXHFTDF-UHFFFAOYSA-N 1 2 268.788 3.805 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1sccc1Cl ZINC000194303244 333268691 /nfs/dbraw/zinc/26/86/91/333268691.db2.gz RAETYILVMVGMOE-SNVBAGLBSA-N 1 2 266.797 3.780 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1sccc1Cl ZINC000194303244 333268693 /nfs/dbraw/zinc/26/86/93/333268693.db2.gz RAETYILVMVGMOE-SNVBAGLBSA-N 1 2 266.797 3.780 20 0 CHADLO Cc1cn2cc(NC(=O)C[C@H](C)c3ccccc3)ccc2[nH+]1 ZINC000522251194 333272494 /nfs/dbraw/zinc/27/24/94/333272494.db2.gz QXEAXVAZDUYUBC-ZDUSSCGKSA-N 1 2 293.370 3.775 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccccc1)c1cccnc1 ZINC000227899242 333290319 /nfs/dbraw/zinc/29/03/19/333290319.db2.gz FUWIMPFWZYBBMB-GFCCVEGCSA-N 1 2 262.303 3.524 20 0 CHADLO CC[N@H+](CCCNC(=O)CCC(C)(C)C)c1ccccc1 ZINC000523103522 333308851 /nfs/dbraw/zinc/30/88/51/333308851.db2.gz TZOXYPUFSLRMPI-UHFFFAOYSA-N 1 2 290.451 3.846 20 0 CHADLO CC[N@@H+](CCCNC(=O)CCC(C)(C)C)c1ccccc1 ZINC000523103522 333308853 /nfs/dbraw/zinc/30/88/53/333308853.db2.gz TZOXYPUFSLRMPI-UHFFFAOYSA-N 1 2 290.451 3.846 20 0 CHADLO CCC[C@](C)([NH2+]Cc1ccc(C(C)(C)C)cc1)C(=O)OC ZINC000303419703 333341716 /nfs/dbraw/zinc/34/17/16/333341716.db2.gz XOHYPXZUTMEOKV-SFHVURJKSA-N 1 2 291.435 3.806 20 0 CHADLO CC[C@H](CNc1cc(C)[nH+]c(C2CC2)n1)c1ccccc1 ZINC000523877151 333348903 /nfs/dbraw/zinc/34/89/03/333348903.db2.gz JSFXHKVWOFYUGU-CQSZACIVSA-N 1 2 281.403 3.690 20 0 CHADLO COC(=O)[C@H]([NH2+]CC[C@@H]1CCCC[C@H]1C)c1ccccc1 ZINC000524012871 333351330 /nfs/dbraw/zinc/35/13/30/333351330.db2.gz OMCSCSZIVBUTLD-HLLBOEOZSA-N 1 2 289.419 3.707 20 0 CHADLO CCOC[C@H](Nc1cc(C(F)(F)F)cc[nH+]1)C(C)C ZINC000233795356 333355189 /nfs/dbraw/zinc/35/51/89/333355189.db2.gz LRYYLIFIVHHJIX-NSHDSACASA-N 1 2 276.302 3.573 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nnc(C)s1)c1ccccc1OC ZINC000338287907 335135683 /nfs/dbraw/zinc/13/56/83/335135683.db2.gz OAADOBKSISNEAX-ZWNOBZJWSA-N 1 2 291.420 3.657 20 0 CHADLO Fc1ccc2[nH+]c(NCc3cc(F)ccc3F)[nH]c2c1 ZINC000236228540 333373500 /nfs/dbraw/zinc/37/35/00/333373500.db2.gz HQQDWPPIWVGAAC-UHFFFAOYSA-N 1 2 277.249 3.592 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C(C)C)n1)c1cc(F)ccc1F ZINC000524841360 333390048 /nfs/dbraw/zinc/39/00/48/333390048.db2.gz OISHJMQVRWUKDR-CQSZACIVSA-N 1 2 291.345 3.863 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2noc(C3CC3)n2)C(C)C)c1 ZINC000528770521 333456646 /nfs/dbraw/zinc/45/66/46/333456646.db2.gz XPUVFCILWBBXOB-INIZCTEOSA-N 1 2 285.391 3.742 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2nnsc2Cl)C(C)C)c1 ZINC000528768808 333456731 /nfs/dbraw/zinc/45/67/31/333456731.db2.gz SJAXFUCECHXQGK-ZDUSSCGKSA-N 1 2 295.839 3.987 20 0 CHADLO Cc1cocc1C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000528914165 333464340 /nfs/dbraw/zinc/46/43/40/333464340.db2.gz BQXYGLLWIBLGSP-UHFFFAOYSA-N 1 2 295.342 3.604 20 0 CHADLO Cc1[nH+]c[nH]c1CC(=O)Nc1ccc(Cl)cc1C(C)C ZINC000529854334 333511329 /nfs/dbraw/zinc/51/13/29/333511329.db2.gz STZOVBSDIVZIQI-UHFFFAOYSA-N 1 2 291.782 3.676 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+]C[C@H]1CC=CCC1 ZINC000037779093 333539750 /nfs/dbraw/zinc/53/97/50/333539750.db2.gz QVSXBICGJXOFIA-HNNXBMFYSA-N 1 2 275.392 3.788 20 0 CHADLO CCc1cnc(C[NH2+][C@H](c2ccc(F)c(C)c2)C2CC2)o1 ZINC000538320561 333585101 /nfs/dbraw/zinc/58/51/01/333585101.db2.gz MWHRZXMPYSPJPZ-KRWDZBQOSA-N 1 2 288.366 3.925 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccccc2)s1 ZINC000126001818 333587250 /nfs/dbraw/zinc/58/72/50/333587250.db2.gz OUDOEAOZFNSXOB-OAHLLOKOSA-N 1 2 283.400 3.659 20 0 CHADLO CCc1cc(N2[C@@H](C)CC[C@@H]2CC)nc(-c2ccncc2)[nH+]1 ZINC000538978813 333647724 /nfs/dbraw/zinc/64/77/24/333647724.db2.gz GECCAQYEIGLTRK-BBRMVZONSA-N 1 2 296.418 3.868 20 0 CHADLO Cc1nc(CCNc2cc(C)[nH+]c3c(F)cccc23)c(C)o1 ZINC000540297662 333723754 /nfs/dbraw/zinc/72/37/54/333723754.db2.gz RVUBKRCHTKQULI-UHFFFAOYSA-N 1 2 299.349 3.942 20 0 CHADLO CC(C)C[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccccc1 ZINC000540341892 333726266 /nfs/dbraw/zinc/72/62/66/333726266.db2.gz BYZSMGBQZINKMW-HNNXBMFYSA-N 1 2 285.391 3.824 20 0 CHADLO Cn1cc(CNc2c[nH+]c3c(c2)CCCC3)c(C(C)(C)C)n1 ZINC000541065874 333761291 /nfs/dbraw/zinc/76/12/91/333761291.db2.gz UCNXIZNFOCZDNU-UHFFFAOYSA-N 1 2 298.434 3.604 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)c(F)c1)c1ccon1 ZINC000541770928 333791542 /nfs/dbraw/zinc/79/15/42/333791542.db2.gz CIVIPMYYODBAHQ-RKDXNWHRSA-N 1 2 268.719 3.879 20 0 CHADLO Cc1ccc([C@@H]2CCN(c3[nH+]c4ccccc4n3C)C2)cc1 ZINC000542267545 333819393 /nfs/dbraw/zinc/81/93/93/333819393.db2.gz IEXKLWFGPZMLEQ-MRXNPFEDSA-N 1 2 291.398 3.876 20 0 CHADLO Cc1cc([NH2+][C@@H](C)C(C)C)ccc1-n1nnnc1C(C)C ZINC000542489750 333827890 /nfs/dbraw/zinc/82/78/90/333827890.db2.gz XLMOZHUJCUCGKJ-ZDUSSCGKSA-N 1 2 287.411 3.551 20 0 CHADLO Cc1cc(N2C[C@H](C)[C@H]3CCCC[C@@H]32)nc(C2CC2)[nH+]1 ZINC000542965234 333851240 /nfs/dbraw/zinc/85/12/40/333851240.db2.gz KEHUTHUVDJVOKM-GLQYFDAESA-N 1 2 271.408 3.677 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)N(C)c1ccc[nH+]c1N(C)C ZINC000544446800 333931622 /nfs/dbraw/zinc/93/16/22/333931622.db2.gz VCGHDRCPNHTOMI-CYBMUJFWSA-N 1 2 291.439 3.573 20 0 CHADLO Cc1ccc(CC(=O)Nc2c[nH+]ccc2OC(C)(C)C)cc1 ZINC000131972817 333969648 /nfs/dbraw/zinc/96/96/48/333969648.db2.gz JVZWKFPFCPVJNS-UHFFFAOYSA-N 1 2 298.386 3.749 20 0 CHADLO Cc1cc(NC(=O)c2cccc3ccsc32)c(C)c[nH+]1 ZINC000545218583 333970343 /nfs/dbraw/zinc/97/03/43/333970343.db2.gz PHPWPTKTALFYNG-UHFFFAOYSA-N 1 2 282.368 3.587 20 0 CHADLO Cc1ccc(Cc2noc(CC3CCCCCC3)n2)c[nH+]1 ZINC000545635765 333992641 /nfs/dbraw/zinc/99/26/41/333992641.db2.gz YLURIJHAKMORLO-UHFFFAOYSA-N 1 2 285.391 3.877 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+]Cc1noc(C(C)(C)C)n1 ZINC000546228253 334020883 /nfs/dbraw/zinc/02/08/83/334020883.db2.gz JITLDNFRFVIGBR-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO CCOc1cc(C)ccc1NCc1cn2cc(C)ccc2[nH+]1 ZINC000133366720 334039269 /nfs/dbraw/zinc/03/92/69/334039269.db2.gz YUMOSAZBBNPRKE-UHFFFAOYSA-N 1 2 295.386 3.962 20 0 CHADLO Cc1ccc2[nH+]c(CN[C@H](C(C)(C)C)C(F)(F)F)cn2c1 ZINC000546629140 334041803 /nfs/dbraw/zinc/04/18/03/334041803.db2.gz ZVHIRHILFWOWGM-CYBMUJFWSA-N 1 2 299.340 3.709 20 0 CHADLO C[C@H]1CC[C@@H](c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)C1 ZINC000547104466 334066852 /nfs/dbraw/zinc/06/68/52/334066852.db2.gz VTRPWGQESSYRGI-GXTWGEPZSA-N 1 2 294.358 3.826 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@@H](C)CC(C)C)ccc2n1C ZINC000547318507 334078685 /nfs/dbraw/zinc/07/86/85/334078685.db2.gz ITPBGRNADGRLEC-NSHDSACASA-N 1 2 273.380 3.502 20 0 CHADLO Cc1nc(-c2cccc(OCc3ccc(C)[nH+]c3C)c2)no1 ZINC000547492166 334093880 /nfs/dbraw/zinc/09/38/80/334093880.db2.gz QMNUSGDUBIBBLN-UHFFFAOYSA-N 1 2 295.342 3.636 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](C)c2nc3c(s2)CCC3)o1 ZINC000134679387 334100302 /nfs/dbraw/zinc/10/03/02/334100302.db2.gz GMJFFMTVLLFLSU-WDEREUQCSA-N 1 2 276.405 3.945 20 0 CHADLO Cc1cnc([C@H](C)Nc2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000134933752 334108781 /nfs/dbraw/zinc/10/87/81/334108781.db2.gz MVWSSNNSFGPULO-NSHDSACASA-N 1 2 276.409 3.846 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cccc4cc[nH]c43)n2c1 ZINC000547819926 334122169 /nfs/dbraw/zinc/12/21/69/334122169.db2.gz SGJSGMCAJIUPEO-UHFFFAOYSA-N 1 2 276.343 3.736 20 0 CHADLO CCC[C@H](C)[C@@H]1CCC[N@@H+]1Cc1nnsc1Cl ZINC000135336108 334126574 /nfs/dbraw/zinc/12/65/74/334126574.db2.gz AGOVTGAYRLVXBG-ONGXEEELSA-N 1 2 273.833 3.592 20 0 CHADLO CCC[C@H](C)[C@@H]1CCC[N@H+]1Cc1nnsc1Cl ZINC000135336108 334126576 /nfs/dbraw/zinc/12/65/76/334126576.db2.gz AGOVTGAYRLVXBG-ONGXEEELSA-N 1 2 273.833 3.592 20 0 CHADLO C/C=C/C=C/C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000078651562 334138289 /nfs/dbraw/zinc/13/82/89/334138289.db2.gz UMUFJNQGJBHLBO-CRBCFSCISA-N 1 2 280.327 3.945 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccc(Cl)cc1 ZINC000078883581 334141741 /nfs/dbraw/zinc/14/17/41/334141741.db2.gz KLXWAWBRTYUQJG-JTQLQIEISA-N 1 2 293.798 3.871 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)c(F)cc1F)c1nccs1 ZINC000136186553 334149136 /nfs/dbraw/zinc/14/91/36/334149136.db2.gz IXEITFXDOXDSKP-LBPRGKRZSA-N 1 2 286.322 3.801 20 0 CHADLO CCOc1ccccc1N[C@H](C)c1cn2ccccc2[nH+]1 ZINC000548383886 334153544 /nfs/dbraw/zinc/15/35/44/334153544.db2.gz TVYLOFYYTXDTCI-CYBMUJFWSA-N 1 2 281.359 3.906 20 0 CHADLO c1cc2cccc(NC3CCN(c4cccc[nH+]4)CC3)c2[nH]1 ZINC000548510270 334161532 /nfs/dbraw/zinc/16/15/32/334161532.db2.gz KZLUWSJRHKDRSQ-UHFFFAOYSA-N 1 2 292.386 3.644 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cccc(Cl)c2Cl)nn1C ZINC000548793949 334174446 /nfs/dbraw/zinc/17/44/46/334174446.db2.gz KPUIFUCDUBALLQ-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2cc[nH]n2)c2cccc(F)c2)c1 ZINC000549033949 334182938 /nfs/dbraw/zinc/18/29/38/334182938.db2.gz MJUFLDRETOFRBE-GOSISDBHSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1cc(NC(=O)N(C)[C@@H](C)C2CCCCC2)c(C)c[nH+]1 ZINC000549194879 334187422 /nfs/dbraw/zinc/18/74/22/334187422.db2.gz USPLGEZBSXMUCB-AWEZNQCLSA-N 1 2 289.423 3.553 20 0 CHADLO Clc1ccccc1[C@H]1C[N@@H+]([C@@H]2C=CCCC2)CCO1 ZINC000246296626 334249302 /nfs/dbraw/zinc/24/93/02/334249302.db2.gz WBHBYOTTWSFBGE-CZUORRHYSA-N 1 2 277.795 3.822 20 0 CHADLO Clc1ccccc1[C@H]1C[N@H+]([C@@H]2C=CCCC2)CCO1 ZINC000246296626 334249303 /nfs/dbraw/zinc/24/93/03/334249303.db2.gz WBHBYOTTWSFBGE-CZUORRHYSA-N 1 2 277.795 3.822 20 0 CHADLO CC(C)(C)c1noc(C[N@@H+]2CCC=C(c3ccccc3)C2)n1 ZINC000551708613 334258732 /nfs/dbraw/zinc/25/87/32/334258732.db2.gz IMYRCPRWEBWODK-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CC(C)(C)c1noc(C[N@H+]2CCC=C(c3ccccc3)C2)n1 ZINC000551708613 334258733 /nfs/dbraw/zinc/25/87/33/334258733.db2.gz IMYRCPRWEBWODK-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(C3CCCC3)no2)[C@H]1c1ccccc1 ZINC000246704787 334267587 /nfs/dbraw/zinc/26/75/87/334267587.db2.gz NCUYCFKTICYKRS-SUMWQHHRSA-N 1 2 297.402 3.920 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(C3CCCC3)no2)[C@H]1c1ccccc1 ZINC000246704787 334267588 /nfs/dbraw/zinc/26/75/88/334267588.db2.gz NCUYCFKTICYKRS-SUMWQHHRSA-N 1 2 297.402 3.920 20 0 CHADLO CC(C)[C@@H]1CC[C@H]1Nc1ccccc1OCCn1cc[nH+]c1 ZINC000552070910 334285641 /nfs/dbraw/zinc/28/56/41/334285641.db2.gz IVQVYNTWKYOCJE-JKSUJKDBSA-N 1 2 299.418 3.809 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000552146996 334295163 /nfs/dbraw/zinc/29/51/63/334295163.db2.gz HDWIQLRDQBIEGH-SJORKVTESA-N 1 2 291.822 3.792 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000552146996 334295165 /nfs/dbraw/zinc/29/51/65/334295165.db2.gz HDWIQLRDQBIEGH-SJORKVTESA-N 1 2 291.822 3.792 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000248374543 334299411 /nfs/dbraw/zinc/29/94/11/334299411.db2.gz RJVBGGGJFFLIIN-IINYFYTJSA-N 1 2 271.763 3.651 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000248374543 334299412 /nfs/dbraw/zinc/29/94/12/334299412.db2.gz RJVBGGGJFFLIIN-IINYFYTJSA-N 1 2 271.763 3.651 20 0 CHADLO Cc1ccc(C)c([C@H]2CN(c3cccc[nH+]3)[C@@H](C)CO2)c1 ZINC000248663424 334312247 /nfs/dbraw/zinc/31/22/47/334312247.db2.gz IAXGGZRATAMGJH-DOTOQJQBSA-N 1 2 282.387 3.665 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000249393432 334333097 /nfs/dbraw/zinc/33/30/97/334333097.db2.gz SESBNZUVZQWQGG-IINYFYTJSA-N 1 2 271.763 3.651 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000249393432 334333098 /nfs/dbraw/zinc/33/30/98/334333098.db2.gz SESBNZUVZQWQGG-IINYFYTJSA-N 1 2 271.763 3.651 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc2oc3ccccc3c2c1 ZINC000090153555 334357995 /nfs/dbraw/zinc/35/79/95/334357995.db2.gz UGCWFHVVFJNTLJ-UHFFFAOYSA-N 1 2 277.327 3.932 20 0 CHADLO COc1cc[nH+]cc1CSc1c(F)cc(F)cc1F ZINC000553717966 334396373 /nfs/dbraw/zinc/39/63/73/334396373.db2.gz PXPLMICPRXACOH-UHFFFAOYSA-N 1 2 285.290 3.800 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(C)cc1C)C(=O)OC(C)(C)C ZINC000554328318 334422230 /nfs/dbraw/zinc/42/22/30/334422230.db2.gz QUZHYTGFXYXDIF-OAHLLOKOSA-N 1 2 277.408 3.513 20 0 CHADLO COCc1ccc(Cn2c[nH+]c3cc(C)c(C)cc32)cc1 ZINC000555049932 334466377 /nfs/dbraw/zinc/46/63/77/334466377.db2.gz JFGJBPVMOPZLRX-UHFFFAOYSA-N 1 2 280.371 3.848 20 0 CHADLO CC1C[NH+](Cc2cc(Br)ccc2Cl)C1 ZINC000555340601 334475554 /nfs/dbraw/zinc/47/55/54/334475554.db2.gz JLCROIGSDMWLTB-UHFFFAOYSA-N 1 2 274.589 3.554 20 0 CHADLO CC1(C)OCC[C@@H]1Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000556160780 334498075 /nfs/dbraw/zinc/49/80/75/334498075.db2.gz NLNLPIGJXSGEPU-AWEZNQCLSA-N 1 2 291.782 3.505 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccoc1 ZINC000094868924 334506794 /nfs/dbraw/zinc/50/67/94/334506794.db2.gz DBTKWODUPJCHSY-UWVGGRQHSA-N 1 2 251.276 3.970 20 0 CHADLO CC[C@@H](C)C[C@@H]([NH2+]Cc1ccc(C(F)F)cc1)C(=O)OC ZINC000566248075 334638617 /nfs/dbraw/zinc/63/86/17/334638617.db2.gz KYTPUVHEAULURO-BXUZGUMPSA-N 1 2 299.361 3.692 20 0 CHADLO CCc1cc(OCc2coc(C)n2)c2ccccc2[nH+]1 ZINC000566476762 334651484 /nfs/dbraw/zinc/65/14/84/334651484.db2.gz JQUSNKDTORRKMI-UHFFFAOYSA-N 1 2 268.316 3.673 20 0 CHADLO Cc1cc(NC(=O)c2cccc(OC(F)(F)F)c2)cc[nH+]1 ZINC000154485918 334695332 /nfs/dbraw/zinc/69/53/32/334695332.db2.gz QYBZJFASVSCLRS-UHFFFAOYSA-N 1 2 296.248 3.541 20 0 CHADLO Fc1ccc(SCc2c[nH+]c3ccccn23)cc1 ZINC000155847291 334730559 /nfs/dbraw/zinc/73/05/59/334730559.db2.gz QLLURPRPFHZLEH-UHFFFAOYSA-N 1 2 258.321 3.766 20 0 CHADLO CC(C)(C)OC1CC(Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000156941048 334754449 /nfs/dbraw/zinc/75/44/49/334754449.db2.gz SHTCNWVPIPUOAL-UHFFFAOYSA-N 1 2 285.391 3.630 20 0 CHADLO CCc1ccc([C@@H](C)CC(=O)NC(C)(C)c2[nH]cc[nH+]2)cc1 ZINC000568069202 334789805 /nfs/dbraw/zinc/78/98/05/334789805.db2.gz BRJSNSBFPFAZAH-ZDUSSCGKSA-N 1 2 299.418 3.517 20 0 CHADLO CCc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1Cl ZINC000568269265 334811075 /nfs/dbraw/zinc/81/10/75/334811075.db2.gz FJAWUXXOCPJLIE-UHFFFAOYSA-N 1 2 263.772 3.854 20 0 CHADLO CCCCc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1 ZINC000569259633 334864364 /nfs/dbraw/zinc/86/43/64/334864364.db2.gz HNZKOVLMIRZGIL-UHFFFAOYSA-N 1 2 257.381 3.981 20 0 CHADLO Cc1c[nH+]cc(CCc2nc(C3CCCCCC3)no2)c1 ZINC000576516818 335213547 /nfs/dbraw/zinc/21/35/47/335213547.db2.gz GDJODZZWYOCDSG-UHFFFAOYSA-N 1 2 285.391 3.996 20 0 CHADLO CC(C)C[C@H]1CCCCCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000576521037 335214474 /nfs/dbraw/zinc/21/44/74/335214474.db2.gz LBLXHYFEUARDDQ-HZPDHXFCSA-N 1 2 291.439 3.652 20 0 CHADLO CCCCCN(C(=O)[C@@H](C)Cc1c[nH+]c[nH]1)[C@@H](C)CCC ZINC000576528703 335215754 /nfs/dbraw/zinc/21/57/54/335215754.db2.gz KRWOGVDHNAMYDJ-GJZGRUSLSA-N 1 2 293.455 3.796 20 0 CHADLO CCCCCN(C(=O)[C@@H](C)Cc1c[nH]c[nH+]1)[C@@H](C)CCC ZINC000576528703 335215755 /nfs/dbraw/zinc/21/57/55/335215755.db2.gz KRWOGVDHNAMYDJ-GJZGRUSLSA-N 1 2 293.455 3.796 20 0 CHADLO Cc1[nH]c(CNc2ccc(Br)c(C)c2)[nH+]c1C ZINC000576530200 335216111 /nfs/dbraw/zinc/21/61/11/335216111.db2.gz QXUHBIMRBLIZOO-UHFFFAOYSA-N 1 2 294.196 3.710 20 0 CHADLO CSc1ccc(C(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000176194330 335248511 /nfs/dbraw/zinc/24/85/11/335248511.db2.gz FWJHKPDHAUQVMD-UHFFFAOYSA-N 1 2 272.373 3.673 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C3=CC[C@@H](C)CC3)ccc2n1C ZINC000576945888 335267872 /nfs/dbraw/zinc/26/78/72/335267872.db2.gz YDHNPNFRKWWQIA-LLVKDONJSA-N 1 2 283.375 3.567 20 0 CHADLO COc1ccccc1[C@@H]([NH2+]Cc1ncccn1)C1CCCC1 ZINC000580582808 335291006 /nfs/dbraw/zinc/29/10/06/335291006.db2.gz QLGPSWXIYZVHCB-SFHVURJKSA-N 1 2 297.402 3.506 20 0 CHADLO C[C@@H]1C[C@@H](N[C@@H](c2ccco2)c2ccccc2)c2[nH+]ccn21 ZINC000345323816 335313248 /nfs/dbraw/zinc/31/32/48/335313248.db2.gz BBZONSUMAHYBQL-FRFSOERESA-N 1 2 293.370 3.861 20 0 CHADLO Cc1c[nH+]c(C)nc1N[C@@H]1CCc2sc(Cl)cc21 ZINC000577295679 335336655 /nfs/dbraw/zinc/33/66/55/335336655.db2.gz YKANWDQXYBIWBA-SNVBAGLBSA-N 1 2 279.796 3.908 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cccc(CSC)c1 ZINC000577351557 335341729 /nfs/dbraw/zinc/34/17/29/335341729.db2.gz UPSAVNZFVQJZIU-LBPRGKRZSA-N 1 2 275.421 3.939 20 0 CHADLO FCC1C[NH+](Cc2csc(-c3ccc(Cl)cc3)n2)C1 ZINC000577481447 335356693 /nfs/dbraw/zinc/35/66/93/335356693.db2.gz QHMJTTJGWGTXSA-UHFFFAOYSA-N 1 2 296.798 3.865 20 0 CHADLO FCC1([NH2+]Cc2csc(-c3ccc(F)cc3)n2)CCC1 ZINC000577512967 335363177 /nfs/dbraw/zinc/36/31/77/335363177.db2.gz SIURNAAWAGHURT-UHFFFAOYSA-N 1 2 294.370 3.931 20 0 CHADLO Cc1ncc(CN(C)c2[nH+]c3ccccc3cc2C)s1 ZINC000178426792 335431645 /nfs/dbraw/zinc/43/16/45/335431645.db2.gz QCRRHQGRECLECJ-UHFFFAOYSA-N 1 2 283.400 3.945 20 0 CHADLO C[C@@H](Nc1cc(CSCCO)cc[nH+]1)C1CCCCC1 ZINC000179799705 335519878 /nfs/dbraw/zinc/51/98/78/335519878.db2.gz RCMLBEDAOZTTIB-CYBMUJFWSA-N 1 2 294.464 3.688 20 0 CHADLO CC[C@H](CC(F)(F)F)c1nc(Cc2ccc(C)[nH+]c2)no1 ZINC000351075757 335563970 /nfs/dbraw/zinc/56/39/70/335563970.db2.gz JHRYMFCWBFMTSV-LLVKDONJSA-N 1 2 299.296 3.810 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H]2C[C@@H]2c2ccccc2)n1 ZINC000351233026 335584959 /nfs/dbraw/zinc/58/49/59/335584959.db2.gz LNASZLKIQJNNQA-CVEARBPZSA-N 1 2 291.354 3.635 20 0 CHADLO CC[C@H](CC(F)F)c1nc(Cc2ccc[nH+]c2C)no1 ZINC000351233590 335584966 /nfs/dbraw/zinc/58/49/66/335584966.db2.gz MAGCKWCKQUIZFM-SNVBAGLBSA-N 1 2 281.306 3.513 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CCC(C)=C(C)C3)n2)c[nH+]1 ZINC000351355953 335610321 /nfs/dbraw/zinc/61/03/21/335610321.db2.gz ZAYXHASTHLYNAX-OAHLLOKOSA-N 1 2 283.375 3.968 20 0 CHADLO C[C@@H]1C[C@@H]1CC(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000353804681 533714922 /nfs/dbraw/zinc/71/49/22/533714922.db2.gz FBMBIHKKKLSUMI-ZYHUDNBSSA-N 1 2 283.375 3.815 20 0 CHADLO Cc1cc[nH+]cc1NCc1c(F)cccc1Br ZINC000359291221 335811903 /nfs/dbraw/zinc/81/19/03/335811903.db2.gz SRIFPUCPPXLYMH-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO CC(C)(C)c1noc(C[NH2+]C2(c3ccccc3)CCCC2)n1 ZINC000183546887 335896911 /nfs/dbraw/zinc/89/69/11/335896911.db2.gz LDIPGJWRPXBRJU-UHFFFAOYSA-N 1 2 299.418 3.926 20 0 CHADLO CCc1[nH+]c2ccccc2n1C[C@H](O)CCc1ccccc1 ZINC000184680584 335931494 /nfs/dbraw/zinc/93/14/94/335931494.db2.gz DIDNKXIEONPEMF-MRXNPFEDSA-N 1 2 294.398 3.592 20 0 CHADLO CC(C)c1noc(C[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000193297968 335965423 /nfs/dbraw/zinc/96/54/23/335965423.db2.gz OUTGZSAKCQOKLU-ZDUSSCGKSA-N 1 2 299.418 3.923 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(CC2CCCCC2)n1 ZINC000580875737 335977894 /nfs/dbraw/zinc/97/78/94/335977894.db2.gz UEFIFHGPMAXBNU-UHFFFAOYSA-N 1 2 285.391 3.681 20 0 CHADLO COc1cc[nH+]cc1NC(=O)[C@@H]1CCC[C@H]1c1ccccc1 ZINC000580992162 335993396 /nfs/dbraw/zinc/99/33/96/335993396.db2.gz QVUZPSPHXMRDRJ-LSDHHAIUSA-N 1 2 296.370 3.613 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@@H](C)C4CCC4)n3)[nH+]c12 ZINC000581243620 336030185 /nfs/dbraw/zinc/03/01/85/336030185.db2.gz OKYLRKVMUPBDOP-LBPRGKRZSA-N 1 2 296.374 3.520 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2cccc(C(F)F)c2)n1 ZINC000581258639 336033450 /nfs/dbraw/zinc/03/34/50/336033450.db2.gz GJVCMNAYBVTHQT-VIFPVBQESA-N 1 2 281.306 3.509 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2cccc(C(F)F)c2)n1 ZINC000581258639 336033451 /nfs/dbraw/zinc/03/34/51/336033451.db2.gz GJVCMNAYBVTHQT-VIFPVBQESA-N 1 2 281.306 3.509 20 0 CHADLO CCc1ccc(CC)c(NC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000581313531 336046719 /nfs/dbraw/zinc/04/67/19/336046719.db2.gz JLSSEMDJKPAREM-ZDUSSCGKSA-N 1 2 285.391 3.598 20 0 CHADLO CCc1ccc(CC)c(NC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000581313530 336046821 /nfs/dbraw/zinc/04/68/21/336046821.db2.gz JLSSEMDJKPAREM-CYBMUJFWSA-N 1 2 285.391 3.598 20 0 CHADLO CSCCC(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000195198984 336049284 /nfs/dbraw/zinc/04/92/84/336049284.db2.gz OYDZXKZLWFMUPR-UHFFFAOYSA-N 1 2 289.404 3.522 20 0 CHADLO CC[C@@H](C)[C@H](CNc1[nH+]ccc2c(OC)cccc21)OC ZINC000381126576 336051027 /nfs/dbraw/zinc/05/10/27/336051027.db2.gz GHJDKHWXAFUONV-WBMJQRKESA-N 1 2 288.391 3.716 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc2ccccc2s1)C(=O)OC ZINC000381767821 336072891 /nfs/dbraw/zinc/07/28/91/336072891.db2.gz ZXAWFMZDAZPTRO-JQWIXIFHSA-N 1 2 277.389 3.504 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(-c3ccsc3)cc2)o1 ZINC000581765087 336144059 /nfs/dbraw/zinc/14/40/59/336144059.db2.gz AXBQIAIUEYAUSV-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO C[C@H](CC(C)(C)C)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000355983940 533716676 /nfs/dbraw/zinc/71/66/76/533716676.db2.gz JDFQZEAHQHBTSJ-CHWSQXEVSA-N 1 2 277.412 3.536 20 0 CHADLO CCCNc1cc(C)[nH+]c(-c2cc(C)ccc2C)n1 ZINC000050864746 520134050 /nfs/dbraw/zinc/13/40/50/520134050.db2.gz KDRFBMISXANPMX-UHFFFAOYSA-N 1 2 255.365 3.891 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccoc2)c(Br)c1 ZINC000352647549 533878361 /nfs/dbraw/zinc/87/83/61/533878361.db2.gz BFPGMNILZQBUEP-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccoc2)c(Br)c1 ZINC000352647549 533878366 /nfs/dbraw/zinc/87/83/66/533878366.db2.gz BFPGMNILZQBUEP-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N(C)Cc1ccncc1 ZINC000302619955 533909137 /nfs/dbraw/zinc/90/91/37/533909137.db2.gz FCKHSOWDHUZAGY-UHFFFAOYSA-N 1 2 293.370 3.583 20 0 CHADLO C[C@H](CCO)CNc1cc(C(C)(C)C)[nH+]c(C(C)(C)C)n1 ZINC000301737653 533971389 /nfs/dbraw/zinc/97/13/89/533971389.db2.gz CDNJCKODBFFKKY-GFCCVEGCSA-N 1 2 293.455 3.502 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC(C)=C(C)C2)c(C)[nH+]1 ZINC000334705756 534073344 /nfs/dbraw/zinc/07/33/44/534073344.db2.gz JTXFDILDOCJVTO-UHFFFAOYSA-N 1 2 273.380 3.581 20 0 CHADLO Cc1ccc(CSCC[C@H]2CCCO2)c(C)[nH+]1 ZINC000344872527 534106209 /nfs/dbraw/zinc/10/62/09/534106209.db2.gz LUAKWIFJTAPZQG-CQSZACIVSA-N 1 2 251.395 3.501 20 0 CHADLO COc1ccc[nH+]c1N[C@@H]1CCC[C@H](C(F)(F)F)C1 ZINC000526113167 518170233 /nfs/dbraw/zinc/17/02/33/518170233.db2.gz OPPMVXZFZVYAFE-VHSXEESVSA-N 1 2 274.286 3.623 20 0 CHADLO Cc1ccc(C[S@@](=O)CCCC2CCCC2)c(C)[nH+]1 ZINC000190853820 518189032 /nfs/dbraw/zinc/18/90/32/518189032.db2.gz NIIJXQRITHHOAD-IBGZPJMESA-N 1 2 279.449 3.918 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCC[C@@H](c3ccccc3)C2)[nH+]1 ZINC000431509603 518192967 /nfs/dbraw/zinc/19/29/67/518192967.db2.gz PLHLJJXERIAIHQ-OAHLLOKOSA-N 1 2 292.386 3.650 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCc3cc(F)c(F)c(F)c32)cs1 ZINC000336212745 534392002 /nfs/dbraw/zinc/39/20/02/534392002.db2.gz JXQLUTDZZLAAGM-LLVKDONJSA-N 1 2 298.333 3.646 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1cccc(C(F)F)c1 ZINC000347316399 534417091 /nfs/dbraw/zinc/41/70/91/534417091.db2.gz DZOCYBGJUQHLRU-UHFFFAOYSA-N 1 2 287.269 3.524 20 0 CHADLO CC(C)C(C)(C)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000275981384 519540152 /nfs/dbraw/zinc/54/01/52/519540152.db2.gz YGZWLBZYZFVCTE-UHFFFAOYSA-N 1 2 285.391 3.708 20 0 CHADLO CC(C)N(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CCCC1 ZINC000264059197 519577376 /nfs/dbraw/zinc/57/73/76/519577376.db2.gz OWMMHAOYWYHNDH-UHFFFAOYSA-N 1 2 297.402 3.666 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1cccnc1Cl ZINC000263786663 519660656 /nfs/dbraw/zinc/66/06/56/519660656.db2.gz MPLKXUIOYFDPMM-UHFFFAOYSA-N 1 2 264.756 3.739 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1cccnc1Cl ZINC000263786663 519660658 /nfs/dbraw/zinc/66/06/58/519660658.db2.gz MPLKXUIOYFDPMM-UHFFFAOYSA-N 1 2 264.756 3.739 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1ncc(Cl)n1C ZINC000299166916 519661727 /nfs/dbraw/zinc/66/17/27/519661727.db2.gz OWZOEUMAMHVASB-UHFFFAOYSA-N 1 2 283.828 3.546 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1ncc(Cl)n1C ZINC000299166916 519661729 /nfs/dbraw/zinc/66/17/29/519661729.db2.gz OWZOEUMAMHVASB-UHFFFAOYSA-N 1 2 283.828 3.546 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1nccn1C(F)F ZINC000299200899 519662021 /nfs/dbraw/zinc/66/20/21/519662021.db2.gz NJDMNYXEMONIFU-UHFFFAOYSA-N 1 2 285.363 3.750 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1nccn1C(F)F ZINC000299200899 519662022 /nfs/dbraw/zinc/66/20/22/519662022.db2.gz NJDMNYXEMONIFU-UHFFFAOYSA-N 1 2 285.363 3.750 20 0 CHADLO CC(C)[N@H+](Cc1ncc(C(F)(F)F)s1)C1CC1 ZINC000279452075 519663554 /nfs/dbraw/zinc/66/35/54/519663554.db2.gz SSSKQGSQALXSGJ-UHFFFAOYSA-N 1 2 264.316 3.535 20 0 CHADLO CC(C)[N@@H+](Cc1ncc(C(F)(F)F)s1)C1CC1 ZINC000279452075 519663559 /nfs/dbraw/zinc/66/35/59/519663559.db2.gz SSSKQGSQALXSGJ-UHFFFAOYSA-N 1 2 264.316 3.535 20 0 CHADLO CC(C)[N@H+](Cc1noc(C2CC2)n1)[C@@H](C)c1ccccc1 ZINC000292323851 519664383 /nfs/dbraw/zinc/66/43/83/519664383.db2.gz VYOAUEQMRZDMRG-ZDUSSCGKSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)[N@@H+](Cc1noc(C2CC2)n1)[C@@H](C)c1ccccc1 ZINC000292323851 519664386 /nfs/dbraw/zinc/66/43/86/519664386.db2.gz VYOAUEQMRZDMRG-ZDUSSCGKSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)COC[C@H]([NH2+]Cc1ccccc1F)c1ccco1 ZINC000236630043 519757504 /nfs/dbraw/zinc/75/75/04/519757504.db2.gz KWQPPJPEEPOWAS-INIZCTEOSA-N 1 2 291.366 3.922 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ccn[nH]1)c1cccc(C(F)(F)F)c1 ZINC000066992359 519799128 /nfs/dbraw/zinc/79/91/28/519799128.db2.gz CINQSFORSMQBMG-CQSZACIVSA-N 1 2 297.324 3.915 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ccn[nH]1)c1ccc(Cl)cc1 ZINC000036975545 519820967 /nfs/dbraw/zinc/82/09/67/519820967.db2.gz MGXYXUKBRPNPEN-AWEZNQCLSA-N 1 2 263.772 3.550 20 0 CHADLO CC(C)[C@H]1C[C@@H]([NH2+]Cc2csnn2)c2ccccc21 ZINC000280503010 519827410 /nfs/dbraw/zinc/82/74/10/519827410.db2.gz PPYNKFYFVPBKFD-HUUCEWRRSA-N 1 2 273.405 3.512 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H](C)c2cncs2)n1 ZINC000128587976 519855166 /nfs/dbraw/zinc/85/51/66/519855166.db2.gz ATMFNYJMGANGFY-VIFPVBQESA-N 1 2 267.423 3.574 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)[nH]1 ZINC000292333707 519862836 /nfs/dbraw/zinc/86/28/36/519862836.db2.gz CGQJYENUUSLKEC-CYBMUJFWSA-N 1 2 288.395 3.638 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCCC[C@@H]2c2ccco2)[nH]1 ZINC000292333707 519862837 /nfs/dbraw/zinc/86/28/37/519862837.db2.gz CGQJYENUUSLKEC-CYBMUJFWSA-N 1 2 288.395 3.638 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H](c2ccccc2)C2CCC2)no1 ZINC000104702273 519863819 /nfs/dbraw/zinc/86/38/19/519863819.db2.gz NZWMEGOENOZDHG-INIZCTEOSA-N 1 2 285.391 3.824 20 0 CHADLO CC(C)c1nc2ccccc2c(SCCn2cc[nH+]c2)n1 ZINC000278279913 519869435 /nfs/dbraw/zinc/86/94/35/519869435.db2.gz FUTHFOKMAPSUGU-UHFFFAOYSA-N 1 2 298.415 3.742 20 0 CHADLO CC(C)c1nnc(C[N@H+](Cc2cccs2)C(C)C)o1 ZINC000263781204 519885888 /nfs/dbraw/zinc/88/58/88/519885888.db2.gz OXWNVUOIHNZOOC-UHFFFAOYSA-N 1 2 279.409 3.665 20 0 CHADLO CC(C)c1nnc(C[N@@H+](Cc2cccs2)C(C)C)o1 ZINC000263781204 519885890 /nfs/dbraw/zinc/88/58/90/519885890.db2.gz OXWNVUOIHNZOOC-UHFFFAOYSA-N 1 2 279.409 3.665 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@H](C)c2ccccc2Cl)n1 ZINC000083683254 520004489 /nfs/dbraw/zinc/00/44/89/520004489.db2.gz CCGFMEIKCFTTDO-GFCCVEGCSA-N 1 2 277.799 3.968 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1C[C@H](C)[C@@H]1c1ccccc1 ZINC000248339624 520029641 /nfs/dbraw/zinc/02/96/41/520029641.db2.gz IHEOGINJMZIXDU-WMLDXEAASA-N 1 2 269.392 3.657 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1C[C@H](C)[C@@H]1c1ccccc1 ZINC000248339624 520029651 /nfs/dbraw/zinc/02/96/51/520029651.db2.gz IHEOGINJMZIXDU-WMLDXEAASA-N 1 2 269.392 3.657 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CC[C@](F)(c2ccccc2)C1 ZINC000374535621 520031677 /nfs/dbraw/zinc/03/16/77/520031677.db2.gz HSUKJACZGZJINF-QGZVFWFLSA-N 1 2 287.382 3.535 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CC[C@](F)(c2ccccc2)C1 ZINC000374535621 520031686 /nfs/dbraw/zinc/03/16/86/520031686.db2.gz HSUKJACZGZJINF-QGZVFWFLSA-N 1 2 287.382 3.535 20 0 CHADLO Fc1ccc(C[NH2+]Cc2cccc(Cl)c2Cl)nc1 ZINC000344910763 534467681 /nfs/dbraw/zinc/46/76/81/534467681.db2.gz VYMOTFDALVOULX-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000337131458 520098234 /nfs/dbraw/zinc/09/82/34/520098234.db2.gz ABTYISKJBUELAJ-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000337131458 520098241 /nfs/dbraw/zinc/09/82/41/520098241.db2.gz ABTYISKJBUELAJ-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CCCCC[C@H](CC)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000367740956 520308559 /nfs/dbraw/zinc/30/85/59/520308559.db2.gz NREVEPGGHIRYKG-LSDHHAIUSA-N 1 2 291.439 3.722 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)cc1 ZINC000347673690 534497035 /nfs/dbraw/zinc/49/70/35/534497035.db2.gz FKYCFERSZGMNLP-CQSZACIVSA-N 1 2 295.308 3.754 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)cc1 ZINC000347673690 534497038 /nfs/dbraw/zinc/49/70/38/534497038.db2.gz FKYCFERSZGMNLP-CQSZACIVSA-N 1 2 295.308 3.754 20 0 CHADLO Fc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)o1)C2 ZINC000354753822 534499399 /nfs/dbraw/zinc/49/93/99/534499399.db2.gz XPPIDBDDHZAUMJ-UHFFFAOYSA-N 1 2 265.715 3.630 20 0 CHADLO Fc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)o1)C2 ZINC000354753822 534499409 /nfs/dbraw/zinc/49/94/09/534499409.db2.gz XPPIDBDDHZAUMJ-UHFFFAOYSA-N 1 2 265.715 3.630 20 0 CHADLO Fc1ccc2cc[nH+]c(NCCCOC3CCCC3)c2c1 ZINC000354576327 534506606 /nfs/dbraw/zinc/50/66/06/534506606.db2.gz XMRRJZVCOKDKDS-UHFFFAOYSA-N 1 2 288.366 3.557 20 0 CHADLO Fc1ccc2cc[nH+]c(NC(C3CC3)C3CC3)c2c1 ZINC000354559304 534510439 /nfs/dbraw/zinc/51/04/39/534510439.db2.gz DWYAIDSBZFCXGP-UHFFFAOYSA-N 1 2 256.324 3.974 20 0 CHADLO CCOc1cc(N[C@H]2C[C@H](C)n3cc[nH+]c32)ccc1C ZINC000296482674 520766036 /nfs/dbraw/zinc/76/60/36/520766036.db2.gz PEKOZVRZCFQPAK-JSGCOSHPSA-N 1 2 271.364 3.708 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H]2CC[C@H](C)C2)[nH+]1 ZINC000336557449 534519886 /nfs/dbraw/zinc/51/98/86/534519886.db2.gz BQDFNPVMVPZWEK-WCQYABFASA-N 1 2 283.375 3.760 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ncc(C3CC3)o2)cc1 ZINC000340658810 520960753 /nfs/dbraw/zinc/96/07/53/520960753.db2.gz LMPMLIXSORPRGM-UHFFFAOYSA-N 1 2 286.375 3.583 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ncc(C3CC3)o2)cc1 ZINC000340658810 520960761 /nfs/dbraw/zinc/96/07/61/520960761.db2.gz LMPMLIXSORPRGM-UHFFFAOYSA-N 1 2 286.375 3.583 20 0 CHADLO CCCC[C@@H](CC)C[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000275914626 521034533 /nfs/dbraw/zinc/03/45/33/521034533.db2.gz YBUVEZDNJIGILM-GDBMZVCRSA-N 1 2 286.423 3.700 20 0 CHADLO CCC[C@](C)(CC)C(=O)NCCCCNc1cccc[nH+]1 ZINC000280529405 521265057 /nfs/dbraw/zinc/26/50/57/521265057.db2.gz NKWPRRSSUKFPHR-KRWDZBQOSA-N 1 2 291.439 3.606 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](C)c2ccc(Cl)cc2)no1 ZINC000064931460 521301489 /nfs/dbraw/zinc/30/14/89/521301489.db2.gz BTAYAPXQUBTXBM-NSHDSACASA-N 1 2 293.798 3.916 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](C)c2cnn(CC)c2)cs1 ZINC000296222721 521303174 /nfs/dbraw/zinc/30/31/74/521303174.db2.gz WEQGOEVEMYWEDR-LBPRGKRZSA-N 1 2 292.452 3.553 20 0 CHADLO CC[N@@H+](Cc1csc(C)n1)Cc1cccs1 ZINC000072803535 521443336 /nfs/dbraw/zinc/44/33/36/521443336.db2.gz HOCCXCKKIUBIIJ-UHFFFAOYSA-N 1 2 252.408 3.535 20 0 CHADLO CC[N@H+](Cc1csc(C)n1)Cc1cccs1 ZINC000072803535 521443345 /nfs/dbraw/zinc/44/33/45/521443345.db2.gz HOCCXCKKIUBIIJ-UHFFFAOYSA-N 1 2 252.408 3.535 20 0 CHADLO CC[N@H+](Cc1ncc(C(C)(C)C)s1)Cc1ccncc1 ZINC000289837942 521460012 /nfs/dbraw/zinc/46/00/12/521460012.db2.gz PCZJPAZKRPBKAG-UHFFFAOYSA-N 1 2 289.448 3.858 20 0 CHADLO CC[N@@H+](Cc1ncc(C(C)(C)C)s1)Cc1ccncc1 ZINC000289837942 521460017 /nfs/dbraw/zinc/46/00/17/521460017.db2.gz PCZJPAZKRPBKAG-UHFFFAOYSA-N 1 2 289.448 3.858 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1c(C)onc1CC ZINC000336835901 521478763 /nfs/dbraw/zinc/47/87/63/521478763.db2.gz KHYCAMSLCHATQR-UHFFFAOYSA-N 1 2 288.391 3.576 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1c(C)onc1CC ZINC000336835901 521478777 /nfs/dbraw/zinc/47/87/77/521478777.db2.gz KHYCAMSLCHATQR-UHFFFAOYSA-N 1 2 288.391 3.576 20 0 CHADLO CCC(CC)NC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264848020 521595058 /nfs/dbraw/zinc/59/50/58/521595058.db2.gz GTGQOXHWSMIQCS-UHFFFAOYSA-N 1 2 284.359 3.792 20 0 CHADLO CCCN(CCC)C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000341509838 521636914 /nfs/dbraw/zinc/63/69/14/521636914.db2.gz XPVPTOBLBWSGNN-MRXNPFEDSA-N 1 2 299.418 3.580 20 0 CHADLO CCC(CC)n1ccc(C[NH2+]C(C)(C)c2nccs2)n1 ZINC000227911651 521640039 /nfs/dbraw/zinc/64/00/39/521640039.db2.gz CJPIJTIHUJXXOQ-UHFFFAOYSA-N 1 2 292.452 3.726 20 0 CHADLO CCOCCC1(C(=O)Nc2ccc(C)[nH+]c2C)CCCC1 ZINC000121842787 521681361 /nfs/dbraw/zinc/68/13/61/521681361.db2.gz KTAKIMVYDOJEMW-UHFFFAOYSA-N 1 2 290.407 3.624 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2COc3ccc(Cl)cc32)cs1 ZINC000336618365 534601960 /nfs/dbraw/zinc/60/19/60/534601960.db2.gz FWDNBYXIUDHPOT-QPUJVOFHSA-N 1 2 294.807 3.889 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](c2ccc(F)cn2)C(C)C)s1 ZINC000353546763 522039035 /nfs/dbraw/zinc/03/90/35/522039035.db2.gz WUUCRXSOPBRHJJ-OAHLLOKOSA-N 1 2 293.411 3.727 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)c2ccc(C)o2)[nH]1 ZINC000277072983 522056459 /nfs/dbraw/zinc/05/64/59/522056459.db2.gz FBOLZQCTBYNJMV-WCQGTBRESA-N 1 2 276.384 3.631 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCc1ccc(C)s1 ZINC000292085293 522078153 /nfs/dbraw/zinc/07/81/53/522078153.db2.gz QADGLSARRVBREX-UHFFFAOYSA-N 1 2 274.389 3.585 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCc1ccc(Cl)s1 ZINC000264992056 522079624 /nfs/dbraw/zinc/07/96/24/522079624.db2.gz KFNWARZPKYAPPB-UHFFFAOYSA-N 1 2 294.807 3.930 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C(C)(C)c1ccccc1OC ZINC000265201491 522080175 /nfs/dbraw/zinc/08/01/75/522080175.db2.gz JZPMEIJKPYOJNZ-UHFFFAOYSA-N 1 2 298.386 3.569 20 0 CHADLO CCc1nc(N2Cc3cccc(Cl)c3C2)cc(C)[nH+]1 ZINC000340882384 522237746 /nfs/dbraw/zinc/23/77/46/522237746.db2.gz SORVKMIUJNOYDN-UHFFFAOYSA-N 1 2 273.767 3.521 20 0 CHADLO CC[C@@H](C)Oc1cccc(NCc2[nH+]cc(C)n2C)c1 ZINC000339427086 522271630 /nfs/dbraw/zinc/27/16/30/522271630.db2.gz KNLRVOGSGRYEAX-CYBMUJFWSA-N 1 2 273.380 3.518 20 0 CHADLO COc1cc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)ccn1 ZINC000339866084 522355790 /nfs/dbraw/zinc/35/57/90/522355790.db2.gz IJTQILQTXOQVRT-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1cc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)ccn1 ZINC000339866084 522355802 /nfs/dbraw/zinc/35/58/02/522355802.db2.gz IJTQILQTXOQVRT-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cn1)c1ccc(C)o1 ZINC000274907678 522376343 /nfs/dbraw/zinc/37/63/43/522376343.db2.gz MMJQIMYOGMOFFL-SMDDNHRTSA-N 1 2 294.782 3.675 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1cc(C)oc1C)c1ccc(C)o1 ZINC000278387440 522377282 /nfs/dbraw/zinc/37/72/82/522377282.db2.gz DKWZFNZPXUUCSN-IUODEOHRSA-N 1 2 277.364 3.836 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2ccc(Cl)s2)[nH]1 ZINC000277503903 522439813 /nfs/dbraw/zinc/43/98/13/522439813.db2.gz VRLKESMPDCNZCJ-RKDXNWHRSA-N 1 2 298.843 3.884 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2cc(F)ccc2C)[nH]1 ZINC000277058081 522439856 /nfs/dbraw/zinc/43/98/56/522439856.db2.gz BHDAPYZSAXDYBQ-ZYHUDNBSSA-N 1 2 290.386 3.617 20 0 CHADLO CC[C@@H](CC(=O)Nc1cc[nH+]cc1C)c1ccc(OC)cc1 ZINC000127708892 522483310 /nfs/dbraw/zinc/48/33/10/522483310.db2.gz KOKUPKQDRRVJEJ-AWEZNQCLSA-N 1 2 298.386 3.921 20 0 CHADLO COC[C@H]([NH2+]Cc1nc(C)cs1)c1cccc(Cl)c1 ZINC000288509297 522753696 /nfs/dbraw/zinc/75/36/96/522753696.db2.gz IRICYHYPFTTWGN-ZDUSSCGKSA-N 1 2 296.823 3.582 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cc(C)oc1C)c1ccc(C)o1 ZINC000278387438 522765699 /nfs/dbraw/zinc/76/56/99/522765699.db2.gz DKWZFNZPXUUCSN-DOMZBBRYSA-N 1 2 277.364 3.836 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CCC[C@@H]1c1csc(C)n1 ZINC000275064886 522836882 /nfs/dbraw/zinc/83/68/82/522836882.db2.gz RQXWMBKUVKNWLO-OAHLLOKOSA-N 1 2 291.420 3.647 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CCC[C@@H]1c1csc(C)n1 ZINC000275064886 522836896 /nfs/dbraw/zinc/83/68/96/522836896.db2.gz RQXWMBKUVKNWLO-OAHLLOKOSA-N 1 2 291.420 3.647 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(C2CC2)cc1F)c1nccn1C ZINC000293360978 522874127 /nfs/dbraw/zinc/87/41/27/522874127.db2.gz HJFIWYIEBPGBOF-MRXNPFEDSA-N 1 2 287.382 3.678 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2cccc(C)c2C)n1 ZINC000115602456 522916180 /nfs/dbraw/zinc/91/61/80/522916180.db2.gz AYBBBNYXXRBQET-STQMWFEESA-N 1 2 273.380 3.661 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cccc(Cl)c1F ZINC000293444646 522930318 /nfs/dbraw/zinc/93/03/18/522930318.db2.gz OWLLJBBAVYPKJA-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cccc(Cl)c1F ZINC000293444646 522930330 /nfs/dbraw/zinc/93/03/30/522930330.db2.gz OWLLJBBAVYPKJA-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cc(Cl)ccc1OC ZINC000293278608 522931372 /nfs/dbraw/zinc/93/13/72/522931372.db2.gz CJFMWKBXTUWUQL-UHFFFAOYSA-N 1 2 294.782 3.531 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cc(Cl)ccc1OC ZINC000293278608 522931381 /nfs/dbraw/zinc/93/13/81/522931381.db2.gz CJFMWKBXTUWUQL-UHFFFAOYSA-N 1 2 294.782 3.531 20 0 CHADLO CCc1nocc1C[N@H+](C)[C@H](C)c1nc2ccccc2o1 ZINC000293355318 522934943 /nfs/dbraw/zinc/93/49/43/522934943.db2.gz ICQSQLLPDANUSG-LLVKDONJSA-N 1 2 285.347 3.571 20 0 CHADLO CCc1nocc1C[N@@H+](C)[C@H](C)c1nc2ccccc2o1 ZINC000293355318 522934952 /nfs/dbraw/zinc/93/49/52/522934952.db2.gz ICQSQLLPDANUSG-LLVKDONJSA-N 1 2 285.347 3.571 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C(C)C)n1)c1nccs1 ZINC000150874960 522965036 /nfs/dbraw/zinc/96/50/36/522965036.db2.gz VNJIFPBHFPJKTC-SNVBAGLBSA-N 1 2 262.382 3.620 20 0 CHADLO COCc1ccc(C[NH2+][C@@H](c2ccco2)c2ccccc2)o1 ZINC000125237349 522991725 /nfs/dbraw/zinc/99/17/25/522991725.db2.gz NNGIOPHLHWZGEU-GOSISDBHSA-N 1 2 297.354 3.898 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1csc(COc2ccccc2)n1 ZINC000292449324 523341785 /nfs/dbraw/zinc/34/17/85/523341785.db2.gz PHVCJTQJEKKPHH-CQSZACIVSA-N 1 2 288.416 3.706 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1csc(COc2ccccc2)n1 ZINC000292449324 523341793 /nfs/dbraw/zinc/34/17/93/523341793.db2.gz PHVCJTQJEKKPHH-CQSZACIVSA-N 1 2 288.416 3.706 20 0 CHADLO C[N@H+](Cc1ccccc1F)Cc1cccnc1Cl ZINC000052709368 523373836 /nfs/dbraw/zinc/37/38/36/523373836.db2.gz OFIWSYFPVBYYFV-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccc1F)Cc1cccnc1Cl ZINC000052709368 523373846 /nfs/dbraw/zinc/37/38/46/523373846.db2.gz OFIWSYFPVBYYFV-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+]C2(c3ccccc3)CC2)cs1 ZINC000267034361 523471648 /nfs/dbraw/zinc/47/16/48/523471648.db2.gz WGKVNSKJOHZPTF-LBPRGKRZSA-N 1 2 288.416 3.629 20 0 CHADLO CC[C@H](C)CC(=O)N(Cc1[nH]cc[nH+]1)c1cccc(F)c1 ZINC000276902894 523843086 /nfs/dbraw/zinc/84/30/86/523843086.db2.gz NRNYBNYRXJZQCP-LBPRGKRZSA-N 1 2 289.354 3.518 20 0 CHADLO CO[C@H](C)[C@H](C)Nc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000367965445 523900567 /nfs/dbraw/zinc/90/05/67/523900567.db2.gz XJMLDOPVLOBLOE-UONOGXRCSA-N 1 2 299.418 3.722 20 0 CHADLO CCc1ccc(C2=CC[N@@H+]([C@H](C)c3noc(C)n3)CC2)cc1 ZINC000289396425 523903260 /nfs/dbraw/zinc/90/32/60/523903260.db2.gz RTVDYHNDWLBERO-CYBMUJFWSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1ccc(C2=CC[N@H+]([C@H](C)c3noc(C)n3)CC2)cc1 ZINC000289396425 523903263 /nfs/dbraw/zinc/90/32/63/523903263.db2.gz RTVDYHNDWLBERO-CYBMUJFWSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1ccc(C[NH2+][C@H](C)c2nccn2-c2ccccc2)o1 ZINC000290092703 523946027 /nfs/dbraw/zinc/94/60/27/523946027.db2.gz JQHJNEYDUSSXHO-CQSZACIVSA-N 1 2 295.386 3.879 20 0 CHADLO COc1ccc(C[N@H+](Cc2nccn2C(C)C)C2CC2)cc1 ZINC000299620478 524015814 /nfs/dbraw/zinc/01/58/14/524015814.db2.gz QJWQLVUYYHUGTF-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO COc1ccc(C[N@@H+](Cc2nccn2C(C)C)C2CC2)cc1 ZINC000299620478 524015819 /nfs/dbraw/zinc/01/58/19/524015819.db2.gz QJWQLVUYYHUGTF-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2nnc(C)o2)o1 ZINC000076145026 524056500 /nfs/dbraw/zinc/05/65/00/524056500.db2.gz WCVKKTVMFIEQKN-SMDDNHRTSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2nnc(C)o2)o1 ZINC000076145026 524056502 /nfs/dbraw/zinc/05/65/02/524056502.db2.gz WCVKKTVMFIEQKN-SMDDNHRTSA-N 1 2 289.379 3.507 20 0 CHADLO COc1ccc(CNc2ccc([NH+](C)C)cc2)cc1F ZINC000058946940 524099676 /nfs/dbraw/zinc/09/96/76/524099676.db2.gz FGULDZZNEBILIE-UHFFFAOYSA-N 1 2 274.339 3.512 20 0 CHADLO COc1cccc2c(N[C@H]3CCO[C@@H](C4CC4)C3)cc[nH+]c12 ZINC000275840863 524201195 /nfs/dbraw/zinc/20/11/95/524201195.db2.gz PSVXGMVCWZZPQM-SUMWQHHRSA-N 1 2 298.386 3.613 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@@H](C)c1nccs1 ZINC000070942420 524211748 /nfs/dbraw/zinc/21/17/48/524211748.db2.gz VTQDCENLDBZZPQ-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO COc1cccc2cc([C@@H](C)[NH2+]Cc3nccs3)oc21 ZINC000041012408 524259830 /nfs/dbraw/zinc/25/98/30/524259830.db2.gz GDOVPHJELKZXGH-SNVBAGLBSA-N 1 2 288.372 3.749 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+][C@H](C)c1ncc(C)o1 ZINC000356307343 524330341 /nfs/dbraw/zinc/33/03/41/524330341.db2.gz LXJCFBXXCPMVPX-GHMZBOCLSA-N 1 2 278.327 3.543 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(C(F)(F)F)cn2)o1 ZINC000277529864 524395488 /nfs/dbraw/zinc/39/54/88/524395488.db2.gz AJDOPOLMICPDLB-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(C(F)(F)F)cn2)o1 ZINC000277529864 524395498 /nfs/dbraw/zinc/39/54/98/524395498.db2.gz AJDOPOLMICPDLB-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)o1 ZINC000292747755 524434747 /nfs/dbraw/zinc/43/47/47/524434747.db2.gz IECPNYRJSUPINB-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)o1 ZINC000292747755 524434753 /nfs/dbraw/zinc/43/47/53/524434753.db2.gz IECPNYRJSUPINB-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO COc1ccccc1CN(Cc1[nH+]ccn1C(C)C)C1CC1 ZINC000299610414 524442534 /nfs/dbraw/zinc/44/25/34/524442534.db2.gz OFAGYSDJVCKRLJ-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nc(C3CC3)no2)[C@H](c2ccco2)C1 ZINC000076184235 524516250 /nfs/dbraw/zinc/51/62/50/524516250.db2.gz IVSISVRAQSUVBV-YPMHNXCESA-N 1 2 287.363 3.513 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nc(C3CC3)no2)[C@H](c2ccco2)C1 ZINC000076184235 524516261 /nfs/dbraw/zinc/51/62/61/524516261.db2.gz IVSISVRAQSUVBV-YPMHNXCESA-N 1 2 287.363 3.513 20 0 CHADLO Cc1ccc(C[NH2+]C2(c3noc(C(F)F)n3)CCC2)s1 ZINC000366497576 524570900 /nfs/dbraw/zinc/57/09/00/524570900.db2.gz NAWISGLVJLQELB-UHFFFAOYSA-N 1 2 299.346 3.546 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ccc(Cl)o2)n1 ZINC000344302834 534793400 /nfs/dbraw/zinc/79/34/00/534793400.db2.gz AYARHNCPTLOAEF-UHFFFAOYSA-N 1 2 270.785 3.723 20 0 CHADLO C[C@H]1C[N@H+](Cc2nnc(-c3ccco3)o2)[C@@H]1c1ccccc1 ZINC000081574677 524651551 /nfs/dbraw/zinc/65/15/51/524651551.db2.gz MOEXHMAGNIMULW-LRDDRELGSA-N 1 2 295.342 3.523 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nnc(-c3ccco3)o2)[C@@H]1c1ccccc1 ZINC000081574677 524651564 /nfs/dbraw/zinc/65/15/64/524651564.db2.gz MOEXHMAGNIMULW-LRDDRELGSA-N 1 2 295.342 3.523 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000080267317 524726914 /nfs/dbraw/zinc/72/69/14/524726914.db2.gz AUZOVMMBMHQMQB-MNOVXSKESA-N 1 2 262.378 3.564 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@H](C)c1csc(C)n1 ZINC000036914649 524782682 /nfs/dbraw/zinc/78/26/82/524782682.db2.gz PEXGLGPEQFPAHR-WDEREUQCSA-N 1 2 276.405 3.872 20 0 CHADLO COc1ccccc1[C@H](C)Nc1cc[nH+]c(C2CC2)n1 ZINC000264644897 524786146 /nfs/dbraw/zinc/78/61/46/524786146.db2.gz NPGNGNVTBPTMIG-NSHDSACASA-N 1 2 269.348 3.536 20 0 CHADLO COc1cc[nH+]cc1NCc1cc(C)cc(Cl)c1 ZINC000339317516 524868793 /nfs/dbraw/zinc/86/87/93/524868793.db2.gz UAMQTVIYMBUXHE-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cnn(C(C)(C)C)c1 ZINC000057784937 525024364 /nfs/dbraw/zinc/02/43/64/525024364.db2.gz OAZXSWIYPVXWNG-UHFFFAOYSA-N 1 2 286.423 3.625 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@@H]2c2cccnc2)nc(C2CC2)[nH+]1 ZINC000291982328 525052454 /nfs/dbraw/zinc/05/24/54/525052454.db2.gz XCPZOZLNFYCWKL-INIZCTEOSA-N 1 2 294.402 3.645 20 0 CHADLO Cc1cc(N2CCCSCC2)c2cccc(F)c2[nH+]1 ZINC000121485035 525067070 /nfs/dbraw/zinc/06/70/70/525067070.db2.gz VQCOZEADBDPNMT-UHFFFAOYSA-N 1 2 276.380 3.626 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccc(Cl)nc1Cl ZINC000278520483 525129531 /nfs/dbraw/zinc/12/95/31/525129531.db2.gz CLHTUCLTGGSWRC-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccc(Cl)nc1Cl ZINC000278520483 525129536 /nfs/dbraw/zinc/12/95/36/525129536.db2.gz CLHTUCLTGGSWRC-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO Cc1ccc(NCc2cn3c(cccc3C)[nH+]2)c(F)c1 ZINC000157257805 525285417 /nfs/dbraw/zinc/28/54/17/525285417.db2.gz LOCGCADYYRXUBL-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1ccc(NCc2cn3c(cccc3C)[nH+]2)cc1C ZINC000157270189 525286049 /nfs/dbraw/zinc/28/60/49/525286049.db2.gz SOUWMIRSWGGWKI-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO C[C@]12CCN(c3cc(C(F)(F)F)cc[nH+]3)C[C@H]1C2(F)F ZINC000291845450 525347016 /nfs/dbraw/zinc/34/70/16/525347016.db2.gz HCDUSHFWXPZEAR-KOLCDFICSA-N 1 2 292.251 3.582 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC000276778390 525406952 /nfs/dbraw/zinc/40/69/52/525406952.db2.gz QAKOWZFJIZQUPR-AWEZNQCLSA-N 1 2 286.423 3.535 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1cn(C(C)(C)C)nn1 ZINC000276778390 525406960 /nfs/dbraw/zinc/40/69/60/525406960.db2.gz QAKOWZFJIZQUPR-AWEZNQCLSA-N 1 2 286.423 3.535 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2CCCOc3cc(F)ccc32)no1 ZINC000290650249 525476075 /nfs/dbraw/zinc/47/60/75/525476075.db2.gz KBVHKPXOFCRWIW-RISCZKNCSA-N 1 2 290.338 3.687 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C(C)(C)C)[nH]1)c1cc2ccccc2o1 ZINC000292801237 525487381 /nfs/dbraw/zinc/48/73/81/525487381.db2.gz BNHZGANLWXLRKP-NSHDSACASA-N 1 2 298.390 3.699 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc[nH]1)c1ccc(Cl)cc1Cl ZINC000054763241 525551289 /nfs/dbraw/zinc/55/12/89/525551289.db2.gz PPPZCRJARRXFDT-MRVPVSSYSA-N 1 2 270.163 3.567 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2cccc(Cl)c2n1C)c1ccco1 ZINC000274819031 525558170 /nfs/dbraw/zinc/55/81/70/525558170.db2.gz ZZGWKXKZGXZCKQ-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@@H+]1Cc1csc(-c2cccs2)n1 ZINC000293367276 525654470 /nfs/dbraw/zinc/65/44/70/525654470.db2.gz IXRLKQRZYDGWAO-VHSXEESVSA-N 1 2 264.419 3.712 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@H+]1Cc1csc(-c2cccs2)n1 ZINC000293367276 525654477 /nfs/dbraw/zinc/65/44/77/525654477.db2.gz IXRLKQRZYDGWAO-VHSXEESVSA-N 1 2 264.419 3.712 20 0 CHADLO C[C@@H](Nc1nc[nH+]c(N)c1Cl)c1ccccc1Cl ZINC000227842404 525732896 /nfs/dbraw/zinc/73/28/96/525732896.db2.gz SVZZVZWLXMUGJG-SSDOTTSWSA-N 1 2 283.162 3.539 20 0 CHADLO C[C@@H](Nc1[nH+]cnc(N)c1Cl)c1ccccc1Cl ZINC000227842404 525732902 /nfs/dbraw/zinc/73/29/02/525732902.db2.gz SVZZVZWLXMUGJG-SSDOTTSWSA-N 1 2 283.162 3.539 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]CC(F)(F)c1ccccc1 ZINC000353625348 525764473 /nfs/dbraw/zinc/76/44/73/525764473.db2.gz GDGRBJCBOKXKFY-CYBMUJFWSA-N 1 2 276.330 3.833 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1ccnc(Cl)c1Cl ZINC000292859857 525796400 /nfs/dbraw/zinc/79/64/00/525796400.db2.gz VGBWIOZOUXRJFY-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1ccnc(Cl)c1Cl ZINC000292859857 525796405 /nfs/dbraw/zinc/79/64/05/525796405.db2.gz VGBWIOZOUXRJFY-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@@H+]2Cc2ncc(C)cn2)o1 ZINC000340824493 525797798 /nfs/dbraw/zinc/79/77/98/525797798.db2.gz VOWDDQYLHMFZNX-HNNXBMFYSA-N 1 2 285.391 3.804 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@H+]2Cc2ncc(C)cn2)o1 ZINC000340824493 525797842 /nfs/dbraw/zinc/79/78/42/525797842.db2.gz VOWDDQYLHMFZNX-HNNXBMFYSA-N 1 2 285.391 3.804 20 0 CHADLO Cc1ccoc1CNc1cc(NCc2occc2C)[nH+]cn1 ZINC000291634673 525810325 /nfs/dbraw/zinc/81/03/25/525810325.db2.gz AQPHLHTZMNAMAB-UHFFFAOYSA-N 1 2 298.346 3.504 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2ncc(C)o2)o1 ZINC000076120287 525855203 /nfs/dbraw/zinc/85/52/03/525855203.db2.gz JDZHAHMKERLKRI-RISCZKNCSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2ncc(C)o2)o1 ZINC000076120287 525855212 /nfs/dbraw/zinc/85/52/12/525855212.db2.gz JDZHAHMKERLKRI-RISCZKNCSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1c2ccccc2oc1C(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000276605394 525979970 /nfs/dbraw/zinc/97/99/70/525979970.db2.gz MDARZMVBONXTFA-AWEZNQCLSA-N 1 2 297.358 3.591 20 0 CHADLO Cc1c2ccccc2oc1C(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000276605400 525980752 /nfs/dbraw/zinc/98/07/52/525980752.db2.gz MDARZMVBONXTFA-CQSZACIVSA-N 1 2 297.358 3.591 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)nc1 ZINC000340663982 526008372 /nfs/dbraw/zinc/00/83/72/526008372.db2.gz VLTBWKLHHNZLQI-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)nc1 ZINC000340663982 526008379 /nfs/dbraw/zinc/00/83/79/526008379.db2.gz VLTBWKLHHNZLQI-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccccc2F)CC(C)C)nc1 ZINC000340688465 526011491 /nfs/dbraw/zinc/01/14/91/526011491.db2.gz VHEHZYGSLMJQIJ-UHFFFAOYSA-N 1 2 287.382 3.582 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccccc2F)CC(C)C)nc1 ZINC000340688465 526011501 /nfs/dbraw/zinc/01/15/01/526011501.db2.gz VHEHZYGSLMJQIJ-UHFFFAOYSA-N 1 2 287.382 3.582 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCC[C@@H]1c1cccc(Cl)c1 ZINC000368216146 526026257 /nfs/dbraw/zinc/02/62/57/526026257.db2.gz QQGSIZSGSISGMU-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCC[C@@H]1c1cccc(Cl)c1 ZINC000368216146 526026266 /nfs/dbraw/zinc/02/62/66/526026266.db2.gz QQGSIZSGSISGMU-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CCC[C@@H]1c1cccc(Cl)c1 ZINC000368216146 526026274 /nfs/dbraw/zinc/02/62/74/526026274.db2.gz QQGSIZSGSISGMU-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCC[C@@H]1c1cccc(Cl)c1 ZINC000368216146 526026278 /nfs/dbraw/zinc/02/62/78/526026278.db2.gz QQGSIZSGSISGMU-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccc(F)cn1 ZINC000276398194 526030493 /nfs/dbraw/zinc/03/04/93/526030493.db2.gz TWPJTONMBNDANA-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccc(F)cn1 ZINC000276398194 526030500 /nfs/dbraw/zinc/03/05/00/526030500.db2.gz TWPJTONMBNDANA-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@H](C)c2noc(C)n2)cc1 ZINC000289484010 526075747 /nfs/dbraw/zinc/07/57/47/526075747.db2.gz YGYGZJGOYPQZER-VHSXEESVSA-N 1 2 277.393 3.512 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1nc2ccccc2s1 ZINC000299192744 526112296 /nfs/dbraw/zinc/11/22/96/526112296.db2.gz JIGGFYOABMVAKO-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1nc2ccccc2s1 ZINC000299192744 526112303 /nfs/dbraw/zinc/11/23/03/526112303.db2.gz JIGGFYOABMVAKO-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000338588161 526116106 /nfs/dbraw/zinc/11/61/06/526116106.db2.gz UGBXHMBCMQVXGG-GUYCJALGSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000338588161 526116120 /nfs/dbraw/zinc/11/61/20/526116120.db2.gz UGBXHMBCMQVXGG-GUYCJALGSA-N 1 2 288.341 3.852 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cc(-c3ccco3)on2)s1 ZINC000299305343 526125732 /nfs/dbraw/zinc/12/57/32/526125732.db2.gz NCIWMKQBOJDBCH-SNVBAGLBSA-N 1 2 289.360 3.550 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278741143 526143781 /nfs/dbraw/zinc/14/37/81/526143781.db2.gz WKVXMZLFOXSKGM-LLVKDONJSA-N 1 2 262.353 3.758 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278741143 526143788 /nfs/dbraw/zinc/14/37/88/526143788.db2.gz WKVXMZLFOXSKGM-LLVKDONJSA-N 1 2 262.353 3.758 20 0 CHADLO Cc1cnc([C@H](C)CNc2cc[nH+]c(C(C)C)n2)s1 ZINC000302164975 526144751 /nfs/dbraw/zinc/14/47/51/526144751.db2.gz ZQBXZVGPTSNNLU-SNVBAGLBSA-N 1 2 276.409 3.581 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[N@@H+]1CCC[C@H]1c1ccco1 ZINC000246963283 526172055 /nfs/dbraw/zinc/17/20/55/526172055.db2.gz JXPQXZAWRSRXFI-NEPJUHHUSA-N 1 2 289.379 3.858 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[N@H+]1CCC[C@H]1c1ccco1 ZINC000246963283 526172062 /nfs/dbraw/zinc/17/20/62/526172062.db2.gz JXPQXZAWRSRXFI-NEPJUHHUSA-N 1 2 289.379 3.858 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1csc(-c2cccs2)n1 ZINC000293193548 526286985 /nfs/dbraw/zinc/28/69/85/526286985.db2.gz WOPKBCUDBADVPK-NSHDSACASA-N 1 2 282.409 3.806 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1csc(-c2cccs2)n1 ZINC000293193548 526286989 /nfs/dbraw/zinc/28/69/89/526286989.db2.gz WOPKBCUDBADVPK-NSHDSACASA-N 1 2 282.409 3.806 20 0 CHADLO Fc1cc2c(c(F)c1)[C@H]([NH2+][C@H]1CCCc3occc31)CO2 ZINC000365493920 526310028 /nfs/dbraw/zinc/31/00/28/526310028.db2.gz PKQLUTKJWSYVTF-QWHCGFSZSA-N 1 2 291.297 3.659 20 0 CHADLO Fc1ccc2cc[nH+]c(NCCCC(F)(F)F)c2c1 ZINC000354533917 526375582 /nfs/dbraw/zinc/37/55/82/526375582.db2.gz FRIZQUZBOQWRRC-UHFFFAOYSA-N 1 2 272.245 3.550 20 0 CHADLO Cc1nnc(SCc2ccc(C(C)C)[nH+]c2C)s1 ZINC000292653388 526386365 /nfs/dbraw/zinc/38/63/65/526386365.db2.gz MSMIPLSPWWDCGN-UHFFFAOYSA-N 1 2 279.434 3.966 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@H]1CCOC2(CCC2)C1 ZINC000094372525 526431419 /nfs/dbraw/zinc/43/14/19/526431419.db2.gz XABWFJUBVFTAKM-INIZCTEOSA-N 1 2 286.419 3.800 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+][C@H]1CCOC2(CCC2)C1 ZINC000094372525 526431424 /nfs/dbraw/zinc/43/14/24/526431424.db2.gz XABWFJUBVFTAKM-INIZCTEOSA-N 1 2 286.419 3.800 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CCCc2sc(Cl)cc21 ZINC000128011483 526553330 /nfs/dbraw/zinc/55/33/30/526553330.db2.gz MJRNCEFZSPHRRA-LLVKDONJSA-N 1 2 281.812 3.600 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](c1ccc(C)cc1)C1CCC1 ZINC000275274095 526553676 /nfs/dbraw/zinc/55/36/76/526553676.db2.gz CNOGYTSJWXPRRB-QGZVFWFLSA-N 1 2 269.392 3.658 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C[C@@H]3C=CCC3)c2)[nH+]c1C ZINC000363374013 526587268 /nfs/dbraw/zinc/58/72/68/526587268.db2.gz JBQFIBGMMKSTOQ-CQSZACIVSA-N 1 2 295.386 3.988 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1cnc(-c2ccccc2)n1 ZINC000338550714 526668531 /nfs/dbraw/zinc/66/85/31/526668531.db2.gz DUEVSSHODZMQIW-UHFFFAOYSA-N 1 2 292.386 3.820 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCn3c(Cl)ccc3[C@H]2C)s1 ZINC000293077177 526718385 /nfs/dbraw/zinc/71/83/85/526718385.db2.gz HLPKZBRKOVRXRN-SNVBAGLBSA-N 1 2 295.839 3.792 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCn3c(Cl)ccc3[C@H]2C)s1 ZINC000293077177 526718388 /nfs/dbraw/zinc/71/83/88/526718388.db2.gz HLPKZBRKOVRXRN-SNVBAGLBSA-N 1 2 295.839 3.792 20 0 CHADLO Cc1oc2ccccc2c1C[N@H+](C)Cc1nccs1 ZINC000129291804 526786528 /nfs/dbraw/zinc/78/65/28/526786528.db2.gz GDIBANFKLZGKBD-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1oc2ccccc2c1C[N@@H+](C)Cc1nccs1 ZINC000129291804 526786531 /nfs/dbraw/zinc/78/65/31/526786531.db2.gz GDIBANFKLZGKBD-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2Cl)oc1C ZINC000049508686 526894662 /nfs/dbraw/zinc/89/46/62/526894662.db2.gz CDNPIFDNCCWYET-SNVBAGLBSA-N 1 2 264.756 3.796 20 0 CHADLO Cc1sccc1C[NH2+]Cc1ncc(-c2ccccc2)cn1 ZINC000339350767 526916671 /nfs/dbraw/zinc/91/66/71/526916671.db2.gz FPJAOLFIDQBASV-UHFFFAOYSA-N 1 2 295.411 3.803 20 0 CHADLO Clc1ccc(OCC2CC2)c(COCc2[nH]cc[nH+]2)c1 ZINC000280036124 526957129 /nfs/dbraw/zinc/95/71/29/526957129.db2.gz WJOZDHJHUZXBNW-UHFFFAOYSA-N 1 2 292.766 3.569 20 0 CHADLO Clc1cccc2c1OCCC[C@H]2[NH2+]Cc1nccs1 ZINC000128142222 526983331 /nfs/dbraw/zinc/98/33/31/526983331.db2.gz DQJLQFZXNHGNTB-GFCCVEGCSA-N 1 2 294.807 3.800 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2ccnn2C(C)C)cs1 ZINC000280046476 527082380 /nfs/dbraw/zinc/08/23/80/527082380.db2.gz XCQTXFCKQHMVLG-OAHLLOKOSA-N 1 2 290.436 3.566 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2ccnn2C(C)C)cs1 ZINC000280046476 527082384 /nfs/dbraw/zinc/08/23/84/527082384.db2.gz XCQTXFCKQHMVLG-OAHLLOKOSA-N 1 2 290.436 3.566 20 0 CHADLO Cc1[nH]c(C(=O)N[C@H](C)c2ccc(Cl)cc2Cl)c[nH+]1 ZINC000336901645 527241586 /nfs/dbraw/zinc/24/15/86/527241586.db2.gz RAFGDXLOGIBEEF-SSDOTTSWSA-N 1 2 298.173 3.516 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)s1 ZINC000079881905 527251057 /nfs/dbraw/zinc/25/10/57/527251057.db2.gz YLQSDMLWZNJVRA-VIFPVBQESA-N 1 2 282.359 3.923 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)s1 ZINC000079881905 527251059 /nfs/dbraw/zinc/25/10/59/527251059.db2.gz YLQSDMLWZNJVRA-VIFPVBQESA-N 1 2 282.359 3.923 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(Br)c(F)c1 ZINC000161330231 527366806 /nfs/dbraw/zinc/36/68/06/527366806.db2.gz QISFVOPDQOTYAW-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cn1cc[nH+]c1CNc1ccc(C(F)(F)F)cc1Cl ZINC000049856689 527437496 /nfs/dbraw/zinc/43/74/96/527437496.db2.gz PNNLKESEHRZJKT-UHFFFAOYSA-N 1 2 289.688 3.704 20 0 CHADLO Nc1cc(CSc2cccc(Br)c2)cc[nH+]1 ZINC000055309644 527449968 /nfs/dbraw/zinc/44/99/68/527449968.db2.gz PIDDZFLDBASUBB-UHFFFAOYSA-N 1 2 295.205 3.719 20 0 CHADLO Cn1cc[nH+]c1[C@H](Nc1cc(F)cc(Cl)c1)C1CC1 ZINC000128911344 527467068 /nfs/dbraw/zinc/46/70/68/527467068.db2.gz VDHDBYAAWVAILQ-CYBMUJFWSA-N 1 2 279.746 3.776 20 0 CHADLO O=C(NCC1CCC1)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000264754095 527602247 /nfs/dbraw/zinc/60/22/47/527602247.db2.gz HJIAXMBRLFCZHL-UHFFFAOYSA-N 1 2 297.358 3.796 20 0 CHADLO CC(C)c1ccc(/C=C/CC(=O)N(C)Cc2[nH]cc[nH+]2)cc1 ZINC000344566814 528054745 /nfs/dbraw/zinc/05/47/45/528054745.db2.gz ADGYDVSSCWZFTP-SNAWJCMRSA-N 1 2 297.402 3.595 20 0 CHADLO CC1=C(C)C[C@@H](C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)CC1 ZINC000335527785 528092418 /nfs/dbraw/zinc/09/24/18/528092418.db2.gz KBCRPSQHIZRSRY-GJZGRUSLSA-N 1 2 287.407 3.600 20 0 CHADLO CCC[C@H]1C[N@H+](Cc2cscc2C(F)(F)F)CCO1 ZINC000356125797 528401213 /nfs/dbraw/zinc/40/12/13/528401213.db2.gz SNAUJCBTARSGGS-NSHDSACASA-N 1 2 293.354 3.768 20 0 CHADLO CCC[C@H]1C[N@@H+](Cc2cscc2C(F)(F)F)CCO1 ZINC000356125797 528401217 /nfs/dbraw/zinc/40/12/17/528401217.db2.gz SNAUJCBTARSGGS-NSHDSACASA-N 1 2 293.354 3.768 20 0 CHADLO CC(C)[C@@H]1[N@H+](Cc2ncc(Cl)s2)CC1(C)C ZINC000353744875 528812225 /nfs/dbraw/zinc/81/22/25/528812225.db2.gz HSWNXCWWYQONFV-NSHDSACASA-N 1 2 258.818 3.663 20 0 CHADLO CC(C)[C@@H]1[N@@H+](Cc2ncc(Cl)s2)CC1(C)C ZINC000353744875 528812228 /nfs/dbraw/zinc/81/22/28/528812228.db2.gz HSWNXCWWYQONFV-NSHDSACASA-N 1 2 258.818 3.663 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+](C)Cc1ccon1 ZINC000347452347 529179910 /nfs/dbraw/zinc/17/99/10/529179910.db2.gz ZGILNSJDTOHTPT-CQSZACIVSA-N 1 2 266.291 3.536 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+](C)Cc1ccon1 ZINC000347452347 529179912 /nfs/dbraw/zinc/17/99/12/529179912.db2.gz ZGILNSJDTOHTPT-CQSZACIVSA-N 1 2 266.291 3.536 20 0 CHADLO CC[C@H]1CCCCCN1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000353334377 529195935 /nfs/dbraw/zinc/19/59/35/529195935.db2.gz YIQAZUUUUBZYPG-AWEZNQCLSA-N 1 2 286.379 3.521 20 0 CHADLO CC[C@@H](Nc1[nH+]ccc2ccc(F)cc21)C1CCOCC1 ZINC000354557379 529213696 /nfs/dbraw/zinc/21/36/96/529213696.db2.gz GXUQDSINNMKTLC-MRXNPFEDSA-N 1 2 288.366 3.991 20 0 CHADLO CC[C@@H](c1ccncc1)N(C)C(=O)Nc1c(C)cc[nH+]c1C ZINC000351930335 529259657 /nfs/dbraw/zinc/25/96/57/529259657.db2.gz FUMKHHLUJHQIRB-HNNXBMFYSA-N 1 2 298.390 3.708 20 0 CHADLO CC[C@H](C)c1ccc(NC(=O)COc2cc[nH+]cc2)cc1 ZINC000356089143 529273743 /nfs/dbraw/zinc/27/37/43/529273743.db2.gz FLHYLVNMOZWWMQ-ZDUSSCGKSA-N 1 2 284.359 3.613 20 0 CHADLO CC[C@H](C)c1noc(/C=C\c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000355894594 529282465 /nfs/dbraw/zinc/28/24/65/529282465.db2.gz POZJGZCBBFNYRD-XURPKSDJSA-N 1 2 294.358 3.939 20 0 CHADLO CC[C@H]1C[C@H](C)CN1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334712557 529325173 /nfs/dbraw/zinc/32/51/73/529325173.db2.gz QAXHVQZEQOQMFG-HZMBPMFUSA-N 1 2 275.396 3.659 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1ccn(C(F)F)n1 ZINC000347698726 529345319 /nfs/dbraw/zinc/34/53/19/529345319.db2.gz IWYHQUXSCAJNND-LBPRGKRZSA-N 1 2 297.374 3.849 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1ccn(C(F)F)n1 ZINC000347698726 529345320 /nfs/dbraw/zinc/34/53/20/529345320.db2.gz IWYHQUXSCAJNND-LBPRGKRZSA-N 1 2 297.374 3.849 20 0 CHADLO CCC[C@@H](C)N(C)C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000341768487 535329325 /nfs/dbraw/zinc/32/93/25/535329325.db2.gz LSZJGDAHMKYTSC-ZBFHGGJFSA-N 1 2 299.418 3.579 20 0 CHADLO CC[C@@H](C)[C@@H]([NH2+]Cc1noc(C)n1)c1ccc(Cl)cc1 ZINC000341860656 535669956 /nfs/dbraw/zinc/66/99/56/535669956.db2.gz SDKQCIMPJHJGEF-MEBBXXQBSA-N 1 2 293.798 3.908 20 0 CHADLO COc1cc[nH+]cc1CSCCOc1cccc(F)c1 ZINC000341960021 537119751 /nfs/dbraw/zinc/11/97/51/537119751.db2.gz RKUMAVDQWQOUEW-UHFFFAOYSA-N 1 2 293.363 3.542 20 0 CHADLO COc1cc[nH+]cc1CSCc1ccc(F)cc1F ZINC000341930922 537120263 /nfs/dbraw/zinc/12/02/63/537120263.db2.gz SXYOXPITUOIXRC-UHFFFAOYSA-N 1 2 281.327 3.802 20 0 CHADLO COc1cc[nH+]cc1CSCc1cc(F)ccc1C ZINC000342010273 537120724 /nfs/dbraw/zinc/12/07/24/537120724.db2.gz UTSQKCAHGVHBPD-UHFFFAOYSA-N 1 2 277.364 3.971 20 0 CHADLO Cc1cc2[nH]c(Cc3ccc(F)cc3)[nH+]c2cc1C ZINC000031943812 567930767 /nfs/dbraw/zinc/93/07/67/567930767.db2.gz MOIFPKTZBCCZNJ-UHFFFAOYSA-N 1 2 254.308 3.910 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+][C@H](C)c2cccnc2Cl)c1 ZINC000282874453 1125459339 /nfs/dbraw/zinc/45/93/39/1125459339.db2.gz VJZDDVFMPQSQIV-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO CC(C)OC[C@H](C)[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000623658016 1117885666 /nfs/dbraw/zinc/88/56/66/1117885666.db2.gz HAUVIZUBNYCMQN-DZGCQCFKSA-N 1 2 285.378 3.656 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2ncc(Br)s2)CC1 ZINC000341186216 1118613364 /nfs/dbraw/zinc/61/33/64/1118613364.db2.gz ORNJYABFYXBMCQ-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2ncc(Br)s2)CC1 ZINC000341186216 1118613367 /nfs/dbraw/zinc/61/33/67/1118613367.db2.gz ORNJYABFYXBMCQ-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO CCCC[N@H+](Cc1cnc[nH]1)[C@H](C)c1ccc(C)o1 ZINC000684617734 1118907203 /nfs/dbraw/zinc/90/72/03/1118907203.db2.gz WHBSGGPYTKKWQP-CYBMUJFWSA-N 1 2 261.369 3.674 20 0 CHADLO CCCC[N@@H+](Cc1cnc[nH]1)[C@H](C)c1ccc(C)o1 ZINC000684617734 1118907205 /nfs/dbraw/zinc/90/72/05/1118907205.db2.gz WHBSGGPYTKKWQP-CYBMUJFWSA-N 1 2 261.369 3.674 20 0 CHADLO C[C@@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)c1ccccc1 ZINC000131321326 1125490600 /nfs/dbraw/zinc/49/06/00/1125490600.db2.gz SHUBYTBZUYORTJ-CQSZACIVSA-N 1 2 291.354 3.615 20 0 CHADLO CCCCS[C@H](C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000049247806 1125493987 /nfs/dbraw/zinc/49/39/87/1125493987.db2.gz CSHCLMPUIOUYDS-SNVBAGLBSA-N 1 2 291.420 3.732 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nccn2Cc2ccccc2)o1 ZINC000114758306 1125499041 /nfs/dbraw/zinc/49/90/41/1125499041.db2.gz AXWINSUWASIDIM-HNNXBMFYSA-N 1 2 295.386 3.684 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](C)c2cccc(C)c2C)n1 ZINC000115602455 1125504470 /nfs/dbraw/zinc/50/44/70/1125504470.db2.gz AYBBBNYXXRBQET-QWHCGFSZSA-N 1 2 273.380 3.661 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@H+](C)Cc1ccc(C)s1 ZINC000093049248 1120082734 /nfs/dbraw/zinc/08/27/34/1120082734.db2.gz FREDSGGTFQSXOU-UHFFFAOYSA-N 1 2 297.855 3.638 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@@H+](C)Cc1ccc(C)s1 ZINC000093049248 1120082737 /nfs/dbraw/zinc/08/27/37/1120082737.db2.gz FREDSGGTFQSXOU-UHFFFAOYSA-N 1 2 297.855 3.638 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2CC=C(Cl)Cl)n1 ZINC000851813905 1120125238 /nfs/dbraw/zinc/12/52/38/1120125238.db2.gz ZQZJGWWDTYEHLR-LBPRGKRZSA-N 1 2 271.191 3.846 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2CC=C(Cl)Cl)n1 ZINC000851813905 1120125239 /nfs/dbraw/zinc/12/52/39/1120125239.db2.gz ZQZJGWWDTYEHLR-LBPRGKRZSA-N 1 2 271.191 3.846 20 0 CHADLO COc1cccc(C[NH2+][C@@H](C)c2nc(C)cs2)c1Cl ZINC000660266868 1120474184 /nfs/dbraw/zinc/47/41/84/1120474184.db2.gz UQNIREMGPIOXGN-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)c(N)cc2Cl)c[nH+]1 ZINC001175345179 1121273750 /nfs/dbraw/zinc/27/37/50/1121273750.db2.gz ORXOIMACKXRULC-UHFFFAOYSA-N 1 2 297.189 3.780 20 0 CHADLO Cc1cscc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175765747 1121458653 /nfs/dbraw/zinc/45/86/53/1121458653.db2.gz PFRDPFCEIIZTOZ-UHFFFAOYSA-N 1 2 259.378 3.795 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C(F)(F)F)CC(C)(C)O1 ZINC000509278109 1121508072 /nfs/dbraw/zinc/50/80/72/1121508072.db2.gz GHUWSDMBGBLWDF-LLVKDONJSA-N 1 2 287.325 3.705 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)CC(C)(C)O1 ZINC000509278109 1121508081 /nfs/dbraw/zinc/50/80/81/1121508081.db2.gz GHUWSDMBGBLWDF-LLVKDONJSA-N 1 2 287.325 3.705 20 0 CHADLO FC[C@@H]([NH2+]Cc1cccc(F)c1)c1ccc(F)cc1 ZINC001179402588 1121874518 /nfs/dbraw/zinc/87/45/18/1121874518.db2.gz VXPOVAKUVOJGEP-OAHLLOKOSA-N 1 2 265.278 3.765 20 0 CHADLO Fc1cccc(CCC[N@H+]2CCCC[C@@H]2C(F)F)c1 ZINC000502860295 1122152624 /nfs/dbraw/zinc/15/26/24/1122152624.db2.gz HTPCMHPCXLRQAS-CQSZACIVSA-N 1 2 271.326 3.878 20 0 CHADLO Fc1cccc(CCC[N@@H+]2CCCC[C@@H]2C(F)F)c1 ZINC000502860295 1122152628 /nfs/dbraw/zinc/15/26/28/1122152628.db2.gz HTPCMHPCXLRQAS-CQSZACIVSA-N 1 2 271.326 3.878 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C)o1)c1ccc(Cl)s1 ZINC000273463231 1122233705 /nfs/dbraw/zinc/23/37/05/1122233705.db2.gz DLUIYGDMYZHVFZ-SECBINFHSA-N 1 2 270.785 3.939 20 0 CHADLO Brc1ccc2[nH]c(NCc3ccoc3)[nH+]c2c1 ZINC000775172265 1122465529 /nfs/dbraw/zinc/46/55/29/1122465529.db2.gz MLMXPYYYDKUIAZ-UHFFFAOYSA-N 1 2 292.136 3.531 20 0 CHADLO Brc1ccc2[nH+]c(NCc3ccoc3)[nH]c2c1 ZINC000775172265 1122465532 /nfs/dbraw/zinc/46/55/32/1122465532.db2.gz MLMXPYYYDKUIAZ-UHFFFAOYSA-N 1 2 292.136 3.531 20 0 CHADLO CC(C)(SCc1cc[nH+]c(N)c1)c1ccccc1 ZINC000443472623 1123413685 /nfs/dbraw/zinc/41/36/85/1123413685.db2.gz DULFMGXCNKMZEW-UHFFFAOYSA-N 1 2 258.390 3.663 20 0 CHADLO CC[C@@H](CO[NH+]=C(N)Cc1cccc(F)c1)CC(F)F ZINC000853694496 1123447733 /nfs/dbraw/zinc/44/77/33/1123447733.db2.gz LWROSEWMJTXRNA-SNVBAGLBSA-N 1 2 288.313 3.548 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2cccc(Cl)c2C)s1 ZINC000865527646 1123992497 /nfs/dbraw/zinc/99/24/97/1123992497.db2.gz JBWMJWNJFYFNRE-SECBINFHSA-N 1 2 281.812 3.659 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2cccc(Cl)c2C)s1 ZINC000865527651 1123992296 /nfs/dbraw/zinc/99/22/96/1123992296.db2.gz JBWMJWNJFYFNRE-VIFPVBQESA-N 1 2 281.812 3.659 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2C[C@@H](C)OC[C@H]2C)c1 ZINC001143680671 1131523974 /nfs/dbraw/zinc/52/39/74/1131523974.db2.gz IANTWQIEVPDORJ-VXGBXAGGSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2C[C@@H](C)OC[C@H]2C)c1 ZINC001143680671 1131523977 /nfs/dbraw/zinc/52/39/77/1131523977.db2.gz IANTWQIEVPDORJ-VXGBXAGGSA-N 1 2 287.325 3.623 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2cc(Cl)c(F)cc2F)[C@@H](C)C1 ZINC001144137929 1131590227 /nfs/dbraw/zinc/59/02/27/1131590227.db2.gz MOFJLZVSYVETGA-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2cc(Cl)c(F)cc2F)[C@@H](C)C1 ZINC001144137929 1131590231 /nfs/dbraw/zinc/59/02/31/1131590231.db2.gz MOFJLZVSYVETGA-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO CC1(F)CC[NH+](Cc2c(Cl)ccnc2Cl)CC1 ZINC001139475679 1131610907 /nfs/dbraw/zinc/61/09/07/1131610907.db2.gz WRKZDAXDAKBJER-UHFFFAOYSA-N 1 2 277.170 3.712 20 0 CHADLO Cc1n[nH]c(C[NH2+][C@@H](C)c2c(F)cccc2Cl)c1C ZINC000716460757 1132025527 /nfs/dbraw/zinc/02/55/27/1132025527.db2.gz WBYUQUPMPYETBR-JTQLQIEISA-N 1 2 281.762 3.670 20 0 CHADLO CCCCCCc1csc(C[N@@H+]2CCO[C@@H]3C[C@@H]32)c1 ZINC001248686925 1132051048 /nfs/dbraw/zinc/05/10/48/1132051048.db2.gz ABFAIZMFQPYTME-JKSUJKDBSA-N 1 2 279.449 3.844 20 0 CHADLO CCCCCCc1csc(C[N@H+]2CCO[C@@H]3C[C@@H]32)c1 ZINC001248686925 1132051051 /nfs/dbraw/zinc/05/10/51/1132051051.db2.gz ABFAIZMFQPYTME-JKSUJKDBSA-N 1 2 279.449 3.844 20 0 CHADLO Cc1cccc2c1CC[C@H]([NH2+]CC(F)(F)C(F)(F)F)C2 ZINC001171552252 1132053021 /nfs/dbraw/zinc/05/30/21/1132053021.db2.gz VNHQCARJHBHWPG-NSHDSACASA-N 1 2 293.279 3.640 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ccc(F)cc2Cl)[C@@H](CC)CO1 ZINC001171778592 1132096394 /nfs/dbraw/zinc/09/63/94/1132096394.db2.gz OKKRLOIYEMSMGI-KBPBESRZSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ccc(F)cc2Cl)[C@@H](CC)CO1 ZINC001171778592 1132096403 /nfs/dbraw/zinc/09/64/03/1132096403.db2.gz OKKRLOIYEMSMGI-KBPBESRZSA-N 1 2 285.790 3.869 20 0 CHADLO COc1ccc(Cl)cc1C[NH+]1CC(CC(F)(F)F)C1 ZINC000839138371 1132183091 /nfs/dbraw/zinc/18/30/91/1132183091.db2.gz PGUNKTAKSHQGOV-UHFFFAOYSA-N 1 2 293.716 3.733 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+](Cc2cccc(C(F)(F)F)n2)C1 ZINC001232071832 1132419044 /nfs/dbraw/zinc/41/90/44/1132419044.db2.gz QXJWWLGVXPLZOH-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+](Cc2cccc(C(F)(F)F)n2)C1 ZINC001232071832 1132419049 /nfs/dbraw/zinc/41/90/49/1132419049.db2.gz QXJWWLGVXPLZOH-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO Cc1scnc1C[N@@H+](C)Cc1ccc(Cl)cc1 ZINC001232203720 1132434165 /nfs/dbraw/zinc/43/41/65/1132434165.db2.gz HXNTWHPGNLMKKG-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1scnc1C[N@H+](C)Cc1ccc(Cl)cc1 ZINC001232203720 1132434169 /nfs/dbraw/zinc/43/41/69/1132434169.db2.gz HXNTWHPGNLMKKG-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Oc1cc(Br)cc(C[NH+]2CC3(C2)CCCC3)c1 ZINC001232993415 1132558652 /nfs/dbraw/zinc/55/86/52/1132558652.db2.gz HUSYXPBNBXPQSS-UHFFFAOYSA-N 1 2 296.208 3.531 20 0 CHADLO CCCC[C@H](COC)Nc1c[nH+]cc2c1CCCC2 ZINC001206635661 1132560011 /nfs/dbraw/zinc/56/00/11/1132560011.db2.gz DXOKOOKYTBFWSU-CQSZACIVSA-N 1 2 262.397 3.578 20 0 CHADLO Fc1c(Cl)ccc(C[N@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c1F ZINC001233211698 1132580016 /nfs/dbraw/zinc/58/00/16/1132580016.db2.gz DCOSNNFUOHNFDB-QXEWZRGKSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1c(Cl)ccc(C[N@@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c1F ZINC001233211698 1132580018 /nfs/dbraw/zinc/58/00/18/1132580018.db2.gz DCOSNNFUOHNFDB-QXEWZRGKSA-N 1 2 275.701 3.551 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(Cl)ccc3C2)sn1 ZINC001233312158 1132597398 /nfs/dbraw/zinc/59/73/98/1132597398.db2.gz ASXCEDGTTUSMAO-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(Cl)ccc3C2)sn1 ZINC001233312158 1132597403 /nfs/dbraw/zinc/59/74/03/1132597403.db2.gz ASXCEDGTTUSMAO-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC[NH+]1CC(Oc2cc(Cl)c(Cl)c(Cl)c2)C1 ZINC001233670584 1132660306 /nfs/dbraw/zinc/66/03/06/1132660306.db2.gz PLLYAXPZERVXSK-UHFFFAOYSA-N 1 2 280.582 3.730 20 0 CHADLO COc1cc(C[NH+]2CC3(C2)CCCC3)c(F)cc1Cl ZINC001209662111 1134048940 /nfs/dbraw/zinc/04/89/40/1134048940.db2.gz KOGGSBHGWCNHQY-UHFFFAOYSA-N 1 2 283.774 3.864 20 0 CHADLO CC[N@H+](Cc1nc(C2CC2)no1)[C@@H](C)c1ccc(F)cc1 ZINC000053088727 1125520009 /nfs/dbraw/zinc/52/00/09/1125520009.db2.gz KYDFTUAMXQUBJG-NSHDSACASA-N 1 2 289.354 3.669 20 0 CHADLO CC[N@@H+](Cc1nc(C2CC2)no1)[C@@H](C)c1ccc(F)cc1 ZINC000053088727 1125520014 /nfs/dbraw/zinc/52/00/14/1125520014.db2.gz KYDFTUAMXQUBJG-NSHDSACASA-N 1 2 289.354 3.669 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2ccc(Cl)cc2)n1 ZINC000118405676 1125523026 /nfs/dbraw/zinc/52/30/26/1125523026.db2.gz SYTBZXWJONUJSX-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2ccc(Cl)cc2)n1 ZINC000118405676 1125523030 /nfs/dbraw/zinc/52/30/30/1125523030.db2.gz SYTBZXWJONUJSX-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H](C)c2ccns2)n1 ZINC000925282942 1125527469 /nfs/dbraw/zinc/52/74/69/1125527469.db2.gz LUBGFVZMMPNHBX-VIFPVBQESA-N 1 2 267.423 3.574 20 0 CHADLO Cc1sc(/C=C/c2c[nH+]c3cccc(C)n23)cc1C=O ZINC000760265338 1125549970 /nfs/dbraw/zinc/54/99/70/1125549970.db2.gz SMQYPAABIVYCIH-VOTSOKGWSA-N 1 2 282.368 3.996 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc3c2CCC3)c(C)[nH+]1 ZINC000194330618 1125552391 /nfs/dbraw/zinc/55/23/91/1125552391.db2.gz JZNOKNKQUISYBT-UHFFFAOYSA-N 1 2 280.371 3.748 20 0 CHADLO Cc1sc(/C=C/c2c[nH+]c3ccccn23)cc1C=O ZINC000760266458 1125553984 /nfs/dbraw/zinc/55/39/84/1125553984.db2.gz UXYCYXYBVKMOBI-AATRIKPKSA-N 1 2 268.341 3.687 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)no1 ZINC000245480549 1125572889 /nfs/dbraw/zinc/57/28/89/1125572889.db2.gz XKIGCDSYNJGOMM-WDEREUQCSA-N 1 2 293.798 3.655 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)[C@H](C)c2ccc(Cl)cc2)no1 ZINC000245480549 1125572894 /nfs/dbraw/zinc/57/28/94/1125572894.db2.gz XKIGCDSYNJGOMM-WDEREUQCSA-N 1 2 293.798 3.655 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2Cl)C[N@@H+]1CCCCF ZINC000509674322 1125573229 /nfs/dbraw/zinc/57/32/29/1125573229.db2.gz VVGNEKLAXGDVSG-DOMZBBRYSA-N 1 2 285.790 3.852 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2Cl)C[N@H+]1CCCCF ZINC000509674322 1125573233 /nfs/dbraw/zinc/57/32/33/1125573233.db2.gz VVGNEKLAXGDVSG-DOMZBBRYSA-N 1 2 285.790 3.852 20 0 CHADLO Cl/C=C/C[N@@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000135119317 1125578483 /nfs/dbraw/zinc/57/84/83/1125578483.db2.gz KBUJJWYNRZTOPH-RDTXFTJFSA-N 1 2 272.779 3.646 20 0 CHADLO Cl/C=C/C[N@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000135119317 1125578487 /nfs/dbraw/zinc/57/84/87/1125578487.db2.gz KBUJJWYNRZTOPH-RDTXFTJFSA-N 1 2 272.779 3.646 20 0 CHADLO COc1cccc2c(NCc3cc(C4CC4)no3)cc[nH+]c12 ZINC000884637570 1125581127 /nfs/dbraw/zinc/58/11/27/1125581127.db2.gz SNHYVANPXSNUNQ-UHFFFAOYSA-N 1 2 295.342 3.721 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@@H+]1Cc1ccc[nH]1 ZINC001204011417 1125585138 /nfs/dbraw/zinc/58/51/38/1125585138.db2.gz RCGURDMVXPXVPJ-CQSZACIVSA-N 1 2 262.303 3.630 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@H+]1Cc1ccc[nH]1 ZINC001204011417 1125585143 /nfs/dbraw/zinc/58/51/43/1125585143.db2.gz RCGURDMVXPXVPJ-CQSZACIVSA-N 1 2 262.303 3.630 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3CCC34CCC4)cs2)o1 ZINC000348329997 1125585848 /nfs/dbraw/zinc/58/58/48/1125585848.db2.gz LUXBCEWKTQHDKJ-UHFFFAOYSA-N 1 2 274.389 3.840 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3CCC34CCC4)cs2)o1 ZINC000348329997 1125585852 /nfs/dbraw/zinc/58/58/52/1125585852.db2.gz LUXBCEWKTQHDKJ-UHFFFAOYSA-N 1 2 274.389 3.840 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)o1 ZINC000245769593 1125592923 /nfs/dbraw/zinc/59/29/23/1125592923.db2.gz RNIJONYUYAQHLF-HACGYAERSA-N 1 2 287.359 3.570 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCO[C@@H](c3ccco3)C2)o1 ZINC000245769593 1125592928 /nfs/dbraw/zinc/59/29/28/1125592928.db2.gz RNIJONYUYAQHLF-HACGYAERSA-N 1 2 287.359 3.570 20 0 CHADLO CCSCC[C@H](C)N(C)c1cc(C)[nH+]c(C(C)C)n1 ZINC000348336515 1125592989 /nfs/dbraw/zinc/59/29/89/1125592989.db2.gz DUDKOBHLQBHOSU-ZDUSSCGKSA-N 1 2 281.469 3.876 20 0 CHADLO CCSCC[C@@H](C)N(C)c1cc(C)[nH+]c(C(C)C)n1 ZINC000348336513 1125593492 /nfs/dbraw/zinc/59/34/92/1125593492.db2.gz DUDKOBHLQBHOSU-CYBMUJFWSA-N 1 2 281.469 3.876 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2CCOc3c(Cl)cccc32)no1 ZINC000282937115 1125609790 /nfs/dbraw/zinc/60/97/90/1125609790.db2.gz YQUGDCUOKYPKSC-ZWNOBZJWSA-N 1 2 292.766 3.811 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccccc2C(F)(F)F)no1 ZINC000282939442 1125614805 /nfs/dbraw/zinc/61/48/05/1125614805.db2.gz YTPVXRIPXWGOSB-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO CC[N@H+](Cc1nnc(C)o1)[C@@H](C)c1cc2ccccc2o1 ZINC000066434287 1125622850 /nfs/dbraw/zinc/62/28/50/1125622850.db2.gz QEBLPMUVWYTVGD-NSHDSACASA-N 1 2 285.347 3.707 20 0 CHADLO CC[N@@H+](Cc1nnc(C)o1)[C@@H](C)c1cc2ccccc2o1 ZINC000066434287 1125622851 /nfs/dbraw/zinc/62/28/51/1125622851.db2.gz QEBLPMUVWYTVGD-NSHDSACASA-N 1 2 285.347 3.707 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1ccc2cccc(Cl)c2n1 ZINC001137220199 1125623748 /nfs/dbraw/zinc/62/37/48/1125623748.db2.gz XKHJMPCBPLPPJW-ZYHUDNBSSA-N 1 2 278.758 3.821 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1ccc2cccc(Cl)c2n1 ZINC001137220199 1125623752 /nfs/dbraw/zinc/62/37/52/1125623752.db2.gz XKHJMPCBPLPPJW-ZYHUDNBSSA-N 1 2 278.758 3.821 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2F)o1 ZINC000247544560 1125658307 /nfs/dbraw/zinc/65/83/07/1125658307.db2.gz PKIMOAKAZKVVOW-ABAIWWIYSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2F)o1 ZINC000247544560 1125658315 /nfs/dbraw/zinc/65/83/15/1125658315.db2.gz PKIMOAKAZKVVOW-ABAIWWIYSA-N 1 2 274.339 3.705 20 0 CHADLO CCCOc1ccc(C[NH2+][C@@H](C)c2ncco2)c(C)c1 ZINC000886272260 1125665763 /nfs/dbraw/zinc/66/57/63/1125665763.db2.gz LEYFKAWVCRTZOO-ZDUSSCGKSA-N 1 2 274.364 3.623 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(F)(F)F)s1)c1ncco1 ZINC000886272546 1125665853 /nfs/dbraw/zinc/66/58/53/1125665853.db2.gz TWXAHVQLSMJBES-ZETCQYMHSA-N 1 2 276.283 3.606 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1CC(F)(F)F)c1ncco1 ZINC000886272661 1125665950 /nfs/dbraw/zinc/66/59/50/1125665950.db2.gz YKRWCFXTDIRDKG-JTQLQIEISA-N 1 2 284.281 3.630 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2ncco2)cc1Br ZINC000886274430 1125665978 /nfs/dbraw/zinc/66/59/78/1125665978.db2.gz SWWVWMBDHVNQDH-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1C(F)(F)F)c1ncco1 ZINC000886275756 1125666126 /nfs/dbraw/zinc/66/61/26/1125666126.db2.gz LCGRIAWTAALNSD-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2csc(C(C)C)n2)CCS1 ZINC000076073622 1125666180 /nfs/dbraw/zinc/66/61/80/1125666180.db2.gz PWGNAEBOFWWYMO-GFCCVEGCSA-N 1 2 270.467 3.594 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2csc(C(C)C)n2)CCS1 ZINC000076073622 1125666181 /nfs/dbraw/zinc/66/61/81/1125666181.db2.gz PWGNAEBOFWWYMO-GFCCVEGCSA-N 1 2 270.467 3.594 20 0 CHADLO CCn1ccnc1C[N@H+](CC)[C@H](C)c1ccc(F)cc1 ZINC000076292556 1125675586 /nfs/dbraw/zinc/67/55/86/1125675586.db2.gz WLEXTXNXWLWBCT-CYBMUJFWSA-N 1 2 275.371 3.625 20 0 CHADLO CCn1ccnc1C[N@@H+](CC)[C@H](C)c1ccc(F)cc1 ZINC000076292556 1125675589 /nfs/dbraw/zinc/67/55/89/1125675589.db2.gz WLEXTXNXWLWBCT-CYBMUJFWSA-N 1 2 275.371 3.625 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2cc(Cl)ccc21)c1ncco1 ZINC000886538260 1125685414 /nfs/dbraw/zinc/68/54/14/1125685414.db2.gz FIVADBCRJARZHQ-NOZJJQNGSA-N 1 2 262.740 3.666 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nc(C(F)F)no2)[C@@H]1c1ccccc1 ZINC000886540658 1125687559 /nfs/dbraw/zinc/68/75/59/1125687559.db2.gz IYSVWQASUMITCV-GWCFXTLKSA-N 1 2 293.317 3.590 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nc(C(F)F)no2)[C@@H]1c1ccccc1 ZINC000886540658 1125687563 /nfs/dbraw/zinc/68/75/63/1125687563.db2.gz IYSVWQASUMITCV-GWCFXTLKSA-N 1 2 293.317 3.590 20 0 CHADLO Fc1ccc(F)c2c1CC[C@H]2[NH2+]Cc1cc(C2CC2)no1 ZINC000886684370 1125706396 /nfs/dbraw/zinc/70/63/96/1125706396.db2.gz KFBUXJLZBITNBL-CQSZACIVSA-N 1 2 290.313 3.607 20 0 CHADLO Fc1ccc(F)c2c1CC[C@@H]2[NH2+]Cc1cc(C2CC2)no1 ZINC000886684369 1125707751 /nfs/dbraw/zinc/70/77/51/1125707751.db2.gz KFBUXJLZBITNBL-AWEZNQCLSA-N 1 2 290.313 3.607 20 0 CHADLO CC(C)(C)c1cc(C[NH2+][C@H]2CCc3c2cccc3F)no1 ZINC000886922969 1125725154 /nfs/dbraw/zinc/72/51/54/1125725154.db2.gz HDOLGIXIHKQHGI-HNNXBMFYSA-N 1 2 288.366 3.888 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2cnccc2Cl)C1 ZINC001137235205 1125729028 /nfs/dbraw/zinc/72/90/28/1125729028.db2.gz GLSIXMNQTNCBES-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2cnccc2Cl)C1 ZINC001137235205 1125729031 /nfs/dbraw/zinc/72/90/31/1125729031.db2.gz GLSIXMNQTNCBES-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO Clc1ccncc1C[N@@H+]1CCOC[C@H]1C1CCCCC1 ZINC001137239403 1125773699 /nfs/dbraw/zinc/77/36/99/1125773699.db2.gz UJHJIKIYBSOFAN-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccncc1C[N@H+]1CCOC[C@H]1C1CCCCC1 ZINC001137239403 1125773705 /nfs/dbraw/zinc/77/37/05/1125773705.db2.gz UJHJIKIYBSOFAN-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO CC1=CC[N@H+](Cc2ccc(Br)cc2F)CC1 ZINC000533760737 1125780415 /nfs/dbraw/zinc/78/04/15/1125780415.db2.gz JTEBMPOOMPUDNZ-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CC[N@@H+](Cc2ccc(Br)cc2F)CC1 ZINC000533760737 1125780422 /nfs/dbraw/zinc/78/04/22/1125780422.db2.gz JTEBMPOOMPUDNZ-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CSc1cc(C)cc(C[N@H+]2CCn3cccc3C2)c1 ZINC001238685995 1131232016 /nfs/dbraw/zinc/23/20/16/1131232016.db2.gz SHNGUAUSQRNYLF-UHFFFAOYSA-N 1 2 272.417 3.534 20 0 CHADLO CSc1cc(C)cc(C[N@@H+]2CCn3cccc3C2)c1 ZINC001238685995 1131232013 /nfs/dbraw/zinc/23/20/13/1131232013.db2.gz SHNGUAUSQRNYLF-UHFFFAOYSA-N 1 2 272.417 3.534 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1)C(C)(C)C ZINC000246081708 1129249122 /nfs/dbraw/zinc/24/91/22/1129249122.db2.gz HHJHTFAUHGQPJJ-UONOGXRCSA-N 1 2 276.424 3.758 20 0 CHADLO CC(C)C[C@@H](C(=O)N([C@@H](C)C(C)C)C1CC1)n1cc[nH+]c1 ZINC000635418152 1129181623 /nfs/dbraw/zinc/18/16/23/1129181623.db2.gz UVTDILQUWMVRNW-HOCLYGCPSA-N 1 2 291.439 3.506 20 0 CHADLO Brc1ccoc1C[NH2+]C1(c2ccccc2)CC1 ZINC000735321090 1129339309 /nfs/dbraw/zinc/33/93/09/1129339309.db2.gz JEYSXGFQHPSPSW-UHFFFAOYSA-N 1 2 292.176 3.821 20 0 CHADLO CCCCCCc1ccc(C[N@@H+]2CCO[C@H]3C[C@H]32)s1 ZINC001238703502 1131234555 /nfs/dbraw/zinc/23/45/55/1131234555.db2.gz NYCUGABFIJAITF-CVEARBPZSA-N 1 2 279.449 3.844 20 0 CHADLO CCCCCCc1ccc(C[N@H+]2CCO[C@H]3C[C@H]32)s1 ZINC001238703502 1131234557 /nfs/dbraw/zinc/23/45/57/1131234557.db2.gz NYCUGABFIJAITF-CVEARBPZSA-N 1 2 279.449 3.844 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCOC[C@@H]2CC(F)F)s1 ZINC000621727881 1129187097 /nfs/dbraw/zinc/18/70/97/1129187097.db2.gz UKZJAZKVOXDBAO-NSHDSACASA-N 1 2 289.391 3.557 20 0 CHADLO CCCc1ccc(C[N@H+]2CCOC[C@@H]2CC(F)F)s1 ZINC000621727881 1129187100 /nfs/dbraw/zinc/18/71/00/1129187100.db2.gz UKZJAZKVOXDBAO-NSHDSACASA-N 1 2 289.391 3.557 20 0 CHADLO C[C@H]1CC[N@H+](Cc2csc(Cl)c2Cl)C[C@@H]1CO ZINC000621737373 1129188567 /nfs/dbraw/zinc/18/85/67/1129188567.db2.gz PMEJRNBIMMBLGV-DTWKUNHWSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2csc(Cl)c2Cl)C[C@@H]1CO ZINC000621737373 1129188569 /nfs/dbraw/zinc/18/85/69/1129188569.db2.gz PMEJRNBIMMBLGV-DTWKUNHWSA-N 1 2 294.247 3.505 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccccc2F)cnc1Cl ZINC000768174580 1129839621 /nfs/dbraw/zinc/83/96/21/1129839621.db2.gz XWUXTFBNMJSZMD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccccn1 ZINC000769914742 1129929618 /nfs/dbraw/zinc/92/96/18/1129929618.db2.gz PMNDCUQYISPBCJ-ZDUSSCGKSA-N 1 2 281.812 3.678 20 0 CHADLO CCCC(=CC(=O)Nc1cc(C)[nH+]cc1C)CCC ZINC000770774403 1129957519 /nfs/dbraw/zinc/95/75/19/1129957519.db2.gz JJJLZMZXISEVDV-UHFFFAOYSA-N 1 2 260.381 3.585 20 0 CHADLO Clc1cnccc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000088781427 1129209876 /nfs/dbraw/zinc/20/98/76/1129209876.db2.gz LLCYYNDQTHTQCR-UHFFFAOYSA-N 1 2 287.794 3.947 20 0 CHADLO c1cc(N[C@H]2CC[C@H]2C2CCC2)[nH+]cc1N1CCCC1 ZINC000337987732 1126706466 /nfs/dbraw/zinc/70/64/66/1126706466.db2.gz GYUSUYKVKMSZFS-HOTGVXAUSA-N 1 2 271.408 3.672 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc(F)ccc1F)c1ccncc1F ZINC000338007434 1126708930 /nfs/dbraw/zinc/70/89/30/1126708930.db2.gz ISFOFHFMFXZYKX-NXEZZACHSA-N 1 2 280.293 3.911 20 0 CHADLO Cc1c[nH+]c(CCS[C@@H]2COC(C)(C)C2)c(C)c1 ZINC000600572895 1126717837 /nfs/dbraw/zinc/71/78/37/1126717837.db2.gz PVFBWUXGBFJJGI-ZDUSSCGKSA-N 1 2 265.422 3.542 20 0 CHADLO C[C@H](c1ccc2ccccc2c1)[N@H+](C)Cc1ncc[nH]1 ZINC000600629631 1126728529 /nfs/dbraw/zinc/72/85/29/1126728529.db2.gz HANWOYQXPXRUIG-CYBMUJFWSA-N 1 2 265.360 3.756 20 0 CHADLO C[C@H](c1ccc2ccccc2c1)[N@@H+](C)Cc1ncc[nH]1 ZINC000600629631 1126728533 /nfs/dbraw/zinc/72/85/33/1126728533.db2.gz HANWOYQXPXRUIG-CYBMUJFWSA-N 1 2 265.360 3.756 20 0 CHADLO CO[C@@H](C[N@@H+]1CCC=C(F)C1)c1ccc(Cl)cc1 ZINC000338291293 1126731456 /nfs/dbraw/zinc/73/14/56/1126731456.db2.gz YOFFRTMCHIPDIM-AWEZNQCLSA-N 1 2 269.747 3.587 20 0 CHADLO CO[C@@H](C[N@H+]1CCC=C(F)C1)c1ccc(Cl)cc1 ZINC000338291293 1126731460 /nfs/dbraw/zinc/73/14/60/1126731460.db2.gz YOFFRTMCHIPDIM-AWEZNQCLSA-N 1 2 269.747 3.587 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccncc1F ZINC000338357733 1126738338 /nfs/dbraw/zinc/73/83/38/1126738338.db2.gz KHKNTZUFLJMIPZ-NXEZZACHSA-N 1 2 280.293 3.911 20 0 CHADLO CCC[C@@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000338380311 1126739207 /nfs/dbraw/zinc/73/92/07/1126739207.db2.gz PFOUFSSJTDHHCP-LLVKDONJSA-N 1 2 291.782 3.900 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCC[C@@H]3c3ccc[nH]3)oc2c1 ZINC000162917869 1126754632 /nfs/dbraw/zinc/75/46/32/1126754632.db2.gz QNPMIMRKUAFTTG-CQSZACIVSA-N 1 2 285.322 3.632 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCC[C@@H]3c3ccc[nH]3)oc2c1 ZINC000162917869 1126754633 /nfs/dbraw/zinc/75/46/33/1126754633.db2.gz QNPMIMRKUAFTTG-CQSZACIVSA-N 1 2 285.322 3.632 20 0 CHADLO CCn1ccc(C[N@H+](C)Cc2ccc(F)c(F)c2F)c1 ZINC000668052607 1126757520 /nfs/dbraw/zinc/75/75/20/1126757520.db2.gz XERMCNRAMTZBMW-UHFFFAOYSA-N 1 2 282.309 3.557 20 0 CHADLO CCn1ccc(C[N@@H+](C)Cc2ccc(F)c(F)c2F)c1 ZINC000668052607 1126757523 /nfs/dbraw/zinc/75/75/23/1126757523.db2.gz XERMCNRAMTZBMW-UHFFFAOYSA-N 1 2 282.309 3.557 20 0 CHADLO CCCn1nccc1C[N@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000668064467 1126764892 /nfs/dbraw/zinc/76/48/92/1126764892.db2.gz MUJKSDBMKVSCEG-UHFFFAOYSA-N 1 2 295.789 3.718 20 0 CHADLO CCCn1nccc1C[N@@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000668064467 1126764893 /nfs/dbraw/zinc/76/48/93/1126764893.db2.gz MUJKSDBMKVSCEG-UHFFFAOYSA-N 1 2 295.789 3.718 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2cc(F)ccc2F)o1 ZINC000174478621 1126770560 /nfs/dbraw/zinc/77/05/60/1126770560.db2.gz GHODRIQPYZRKFE-HNNXBMFYSA-N 1 2 295.329 3.598 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc(OC)c(SC)c1 ZINC001202769519 1126774540 /nfs/dbraw/zinc/77/45/40/1126774540.db2.gz VVIVOLKIAKVONT-UHFFFAOYSA-N 1 2 291.420 3.636 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1nccs1 ZINC000175066970 1126777292 /nfs/dbraw/zinc/77/72/92/1126777292.db2.gz HUDGCKCFQBQMAC-ZDUSSCGKSA-N 1 2 264.369 3.865 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1nccs1 ZINC000175066970 1126777297 /nfs/dbraw/zinc/77/72/97/1126777297.db2.gz HUDGCKCFQBQMAC-ZDUSSCGKSA-N 1 2 264.369 3.865 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+](C)Cc1nccs1 ZINC000175092893 1126777307 /nfs/dbraw/zinc/77/73/07/1126777307.db2.gz RAYLCABWTWQIOW-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+](C)Cc1nccs1 ZINC000175092893 1126777310 /nfs/dbraw/zinc/77/73/10/1126777310.db2.gz RAYLCABWTWQIOW-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000483410115 1126785030 /nfs/dbraw/zinc/78/50/30/1126785030.db2.gz BPXOUOHJJPGQOC-GRYCIOLGSA-N 1 2 298.224 3.619 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000483410115 1126785033 /nfs/dbraw/zinc/78/50/33/1126785033.db2.gz BPXOUOHJJPGQOC-GRYCIOLGSA-N 1 2 298.224 3.619 20 0 CHADLO CCCn1cc([C@@H](C)[NH2+]Cc2cc3cc(C)ccc3o2)nn1 ZINC000903051529 1126793141 /nfs/dbraw/zinc/79/31/41/1126793141.db2.gz ORXZEUWCIUEWRO-CYBMUJFWSA-N 1 2 298.390 3.594 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nccs1)c1cnn(CC2CCC2)c1 ZINC000903092537 1126793446 /nfs/dbraw/zinc/79/34/46/1126793446.db2.gz LGRUNLMIOXGOKV-VXGBXAGGSA-N 1 2 290.436 3.552 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc2nc(C3CC3)sc2c1 ZINC000177372760 1126800941 /nfs/dbraw/zinc/80/09/41/1126800941.db2.gz MGHRCNWBRRMFMB-UHFFFAOYSA-N 1 2 284.388 3.519 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2cccc(OC3CC3)c2F)C1 ZINC000668273856 1126803622 /nfs/dbraw/zinc/80/36/22/1126803622.db2.gz NFTPTPMFNFXOAX-UHFFFAOYSA-N 1 2 299.336 3.844 20 0 CHADLO CC[C@@]1(C)CC[N@@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000758394531 1130609388 /nfs/dbraw/zinc/60/93/88/1130609388.db2.gz DLJASTJRAZEJRP-ZDUSSCGKSA-N 1 2 283.466 3.631 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2nc(C(C)(C)C)no2)c1 ZINC000179594395 1126831110 /nfs/dbraw/zinc/83/11/10/1126831110.db2.gz GHNSSDIGKQUWMR-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2cccc(Cl)c2)oc1C ZINC000179606560 1126831245 /nfs/dbraw/zinc/83/12/45/1126831245.db2.gz ZJFOANDNZJHWEH-UHFFFAOYSA-N 1 2 278.783 3.970 20 0 CHADLO CC[C@@]1(C)CC[N@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000758394531 1130609384 /nfs/dbraw/zinc/60/93/84/1130609384.db2.gz DLJASTJRAZEJRP-ZDUSSCGKSA-N 1 2 283.466 3.631 20 0 CHADLO C[C@H](c1cc2ccccc2o1)[N@H+](C)CC(=O)OC(C)(C)C ZINC000484617000 1126834195 /nfs/dbraw/zinc/83/41/95/1126834195.db2.gz JAOFDXUWVYCQQK-GFCCVEGCSA-N 1 2 289.375 3.767 20 0 CHADLO C[C@H](c1cc2ccccc2o1)[N@@H+](C)CC(=O)OC(C)(C)C ZINC000484617000 1126834197 /nfs/dbraw/zinc/83/41/97/1126834197.db2.gz JAOFDXUWVYCQQK-GFCCVEGCSA-N 1 2 289.375 3.767 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2cccc(C)c2)C(C)(C)C)o1 ZINC000180796811 1126848904 /nfs/dbraw/zinc/84/89/04/1126848904.db2.gz PNGHKBLUAHYJBS-OAHLLOKOSA-N 1 2 273.380 3.563 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+](C)Cc1ccco1 ZINC000180901235 1126849987 /nfs/dbraw/zinc/84/99/87/1126849987.db2.gz UWOQPCAIUSBTEK-LLVKDONJSA-N 1 2 256.305 3.614 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+](C)Cc1ccco1 ZINC000180901235 1126849990 /nfs/dbraw/zinc/84/99/90/1126849990.db2.gz UWOQPCAIUSBTEK-LLVKDONJSA-N 1 2 256.305 3.614 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCOc2c(F)cccc21)c1nccs1 ZINC000181322985 1126854982 /nfs/dbraw/zinc/85/49/82/1126854982.db2.gz SLBLLHLYIHMNQD-GWCFXTLKSA-N 1 2 292.379 3.847 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccn1)c1nc2ccccc2o1 ZINC000181771007 1126860777 /nfs/dbraw/zinc/86/07/77/1126860777.db2.gz PBZTXQJVVVRNHR-RYUDHWBXSA-N 1 2 267.332 3.635 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+]Cc2nc(CC(C)C)no2)c1 ZINC000182910913 1126879192 /nfs/dbraw/zinc/87/91/92/1126879192.db2.gz GBSXMTJKYRELSN-CQSZACIVSA-N 1 2 287.407 3.736 20 0 CHADLO CO[C@H]1C[C@H]2C[C@H](Nc3c[nH+]cc4c3CCCC4)C[C@H]2C1 ZINC000668441325 1126891960 /nfs/dbraw/zinc/89/19/60/1126891960.db2.gz TXWVHXFSVVNXAI-PLAPLOCASA-N 1 2 286.419 3.576 20 0 CHADLO CC(C)([NH2+]Cc1cscn1)c1cccc(Cl)c1F ZINC000185850186 1126904087 /nfs/dbraw/zinc/90/40/87/1126904087.db2.gz ZESQOSSYBHUUAZ-UHFFFAOYSA-N 1 2 284.787 3.961 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC001235203320 1130722726 /nfs/dbraw/zinc/72/27/26/1130722726.db2.gz VVULBSDICGYUAX-SECBINFHSA-N 1 2 279.252 3.657 20 0 CHADLO C[N@H+](C[C@@H]1CC=CCC1)Cn1nc(C(C)(C)C)oc1=S ZINC000784014597 1126913574 /nfs/dbraw/zinc/91/35/74/1126913574.db2.gz MVNDZTKKFMPGAM-GFCCVEGCSA-N 1 2 295.452 3.749 20 0 CHADLO C[N@@H+](C[C@@H]1CC=CCC1)Cn1nc(C(C)(C)C)oc1=S ZINC000784014597 1126913576 /nfs/dbraw/zinc/91/35/76/1126913576.db2.gz MVNDZTKKFMPGAM-GFCCVEGCSA-N 1 2 295.452 3.749 20 0 CHADLO COc1ccc(CSCCCn2cc[nH+]c2)cc1Cl ZINC000188683108 1126925154 /nfs/dbraw/zinc/92/51/54/1126925154.db2.gz UUDLCZHXKGJLDM-UHFFFAOYSA-N 1 2 296.823 3.869 20 0 CHADLO Cc1cn2cc(-c3cnn(C(C)(C)C)c3)cc(C)c2[nH+]1 ZINC000906185509 1126935991 /nfs/dbraw/zinc/93/59/91/1126935991.db2.gz UURWVUZRKMTSHO-UHFFFAOYSA-N 1 2 268.364 3.570 20 0 CHADLO Cc1cncc(C[N@@H+](C)Cc2ccccc2Cl)c1 ZINC000189753990 1126933749 /nfs/dbraw/zinc/93/37/49/1126933749.db2.gz AVHADLVWSOJDPH-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cncc(C[N@H+](C)Cc2ccccc2Cl)c1 ZINC000189753990 1126933751 /nfs/dbraw/zinc/93/37/51/1126933751.db2.gz AVHADLVWSOJDPH-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO c1cn(-c2ccc(CSCC[C@H]3CCCO3)cc2)c[nH+]1 ZINC000190168808 1126940144 /nfs/dbraw/zinc/94/01/44/1126940144.db2.gz GMLPDPMTCCOIBZ-MRXNPFEDSA-N 1 2 288.416 3.675 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2cocn2)o1 ZINC000191949380 1126956385 /nfs/dbraw/zinc/95/63/85/1126956385.db2.gz VFBLPQSAUVLRPE-WFASDCNBSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2cocn2)o1 ZINC000191949380 1126956387 /nfs/dbraw/zinc/95/63/87/1126956387.db2.gz VFBLPQSAUVLRPE-WFASDCNBSA-N 1 2 274.364 3.803 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+]Cc2ccc(F)c(C)c2)cs1 ZINC000267636142 1127003066 /nfs/dbraw/zinc/00/30/66/1127003066.db2.gz PHRNNGZKMYGBHS-NSHDSACASA-N 1 2 294.395 3.588 20 0 CHADLO CCc1ncc(C[NH2+]Cc2cc(-c3ccccc3)on2)s1 ZINC000267652214 1127004381 /nfs/dbraw/zinc/00/43/81/1127004381.db2.gz UYQMVDQCLJARJP-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@H](C)[C@H]1CC1(C)C ZINC000486960364 1127013100 /nfs/dbraw/zinc/01/31/00/1127013100.db2.gz UMHVFAUMHORVSE-GDBMZVCRSA-N 1 2 288.435 3.678 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000268721029 1127021988 /nfs/dbraw/zinc/02/19/88/1127021988.db2.gz ZVMRKOQQIXXUNY-AWEZNQCLSA-N 1 2 299.418 3.897 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000268721029 1127021991 /nfs/dbraw/zinc/02/19/91/1127021991.db2.gz ZVMRKOQQIXXUNY-AWEZNQCLSA-N 1 2 299.418 3.897 20 0 CHADLO FC(F)(F)C[C@H]1C[N@H+](Cc2cccc(C3CC3)c2)CCO1 ZINC000668766347 1127026712 /nfs/dbraw/zinc/02/67/12/1127026712.db2.gz PZINKZFQYKWRAL-HNNXBMFYSA-N 1 2 299.336 3.717 20 0 CHADLO FC(F)(F)C[C@H]1C[N@@H+](Cc2cccc(C3CC3)c2)CCO1 ZINC000668766347 1127026713 /nfs/dbraw/zinc/02/67/13/1127026713.db2.gz PZINKZFQYKWRAL-HNNXBMFYSA-N 1 2 299.336 3.717 20 0 CHADLO CCOc1ccccc1C[NH2+][C@H](C)c1csc(C)n1 ZINC000269401906 1127038646 /nfs/dbraw/zinc/03/86/46/1127038646.db2.gz GNUHGBXRCNCHMF-LLVKDONJSA-N 1 2 276.405 3.701 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1nccs1 ZINC000269624159 1127043278 /nfs/dbraw/zinc/04/32/78/1127043278.db2.gz FSMCHBYISIZVTM-LLVKDONJSA-N 1 2 264.419 3.714 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1nccs1 ZINC000269624159 1127043281 /nfs/dbraw/zinc/04/32/81/1127043281.db2.gz FSMCHBYISIZVTM-LLVKDONJSA-N 1 2 264.419 3.714 20 0 CHADLO FC(F)(F)c1cc(C[NH+]2C3CCC2CC3)cnc1Cl ZINC001236633523 1130987258 /nfs/dbraw/zinc/98/72/58/1130987258.db2.gz PWHVTMYIRYZLQH-UHFFFAOYSA-N 1 2 290.716 3.881 20 0 CHADLO CC(C)(C)C(=O)Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000270529510 1127057593 /nfs/dbraw/zinc/05/75/93/1127057593.db2.gz VGTZAXSUHRIQRZ-UHFFFAOYSA-N 1 2 295.386 3.586 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000270682285 1127060603 /nfs/dbraw/zinc/06/06/03/1127060603.db2.gz YXRAAGBSVGVHCV-JTQLQIEISA-N 1 2 262.378 3.807 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000270682285 1127060606 /nfs/dbraw/zinc/06/06/06/1127060606.db2.gz YXRAAGBSVGVHCV-JTQLQIEISA-N 1 2 262.378 3.807 20 0 CHADLO CC[N@H+](Cc1nc(C)oc1C)Cc1ccc(Cl)cc1 ZINC000659856968 1127062632 /nfs/dbraw/zinc/06/26/32/1127062632.db2.gz ITUQJRGPUKJBFL-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO CC[N@@H+](Cc1nc(C)oc1C)Cc1ccc(Cl)cc1 ZINC000659856968 1127062635 /nfs/dbraw/zinc/06/26/35/1127062635.db2.gz ITUQJRGPUKJBFL-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@@H](C)c1cscn1 ZINC000271027900 1127064409 /nfs/dbraw/zinc/06/44/09/1127064409.db2.gz BIEBNPHADBCGEA-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CCc1nnc([C@H](C)[N@H+](CC)Cc2ccc(Cl)cc2)[nH]1 ZINC000659857909 1127072241 /nfs/dbraw/zinc/07/22/41/1127072241.db2.gz AKWUOGJPOIBLGO-NSHDSACASA-N 1 2 292.814 3.604 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+](CC)Cc2ccc(Cl)cc2)[nH]1 ZINC000659857909 1127072243 /nfs/dbraw/zinc/07/22/43/1127072243.db2.gz AKWUOGJPOIBLGO-NSHDSACASA-N 1 2 292.814 3.604 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OCC1CC1)c1cscn1 ZINC000271187350 1127072395 /nfs/dbraw/zinc/07/23/95/1127072395.db2.gz WXRINWTZCQTGFZ-LBPRGKRZSA-N 1 2 288.416 3.783 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2cscn2)cc1Cl ZINC000271515189 1127089150 /nfs/dbraw/zinc/08/91/50/1127089150.db2.gz OKZMDJMREFWVPN-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO CC(C)c1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)c(F)c2)[nH]1 ZINC000271583648 1127094049 /nfs/dbraw/zinc/09/40/49/1127094049.db2.gz XFPQIJAQMOWYAP-UWVGGRQHSA-N 1 2 294.349 3.618 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2ncc(C3CC3)o2)cc1 ZINC000271675986 1127096783 /nfs/dbraw/zinc/09/67/83/1127096783.db2.gz IZFKQWVOQXSGLU-LBPRGKRZSA-N 1 2 270.376 3.965 20 0 CHADLO Cc1csc(C2([NH2+]Cc3cccc(Cl)n3)CCC2)n1 ZINC000273983910 1127104184 /nfs/dbraw/zinc/10/41/84/1127104184.db2.gz IWEUZDWFVIOVRV-UHFFFAOYSA-N 1 2 293.823 3.669 20 0 CHADLO Cc1cc(C)c(C(=O)N(CCC2CC2)CC2CC2)c(C)[nH+]1 ZINC000912718271 1127109094 /nfs/dbraw/zinc/10/90/94/1127109094.db2.gz BNKRWCLSZUIXKE-UHFFFAOYSA-N 1 2 286.419 3.659 20 0 CHADLO Fc1cncc(C[N@@H+]2CCSC[C@@H]2c2ccccc2)c1 ZINC000274325229 1127112632 /nfs/dbraw/zinc/11/26/32/1127112632.db2.gz DQCGKAWOOKNOGX-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1cncc(C[N@H+]2CCSC[C@@H]2c2ccccc2)c1 ZINC000274325229 1127112634 /nfs/dbraw/zinc/11/26/34/1127112634.db2.gz DQCGKAWOOKNOGX-MRXNPFEDSA-N 1 2 288.391 3.511 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H](C)c3cccs3)cc2[nH+]1 ZINC000348534597 1127120926 /nfs/dbraw/zinc/12/09/26/1127120926.db2.gz VQMQOLKVOQNHPH-VIFPVBQESA-N 1 2 285.372 3.675 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)ccc1F ZINC000348704700 1127125663 /nfs/dbraw/zinc/12/56/63/1127125663.db2.gz DZLYJZYNQFQOOJ-MRXNPFEDSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)ccc1F ZINC000348704700 1127125666 /nfs/dbraw/zinc/12/56/66/1127125666.db2.gz DZLYJZYNQFQOOJ-MRXNPFEDSA-N 1 2 273.355 3.584 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000348739316 1127126848 /nfs/dbraw/zinc/12/68/48/1127126848.db2.gz KDGMSDWINPCARK-CYBMUJFWSA-N 1 2 291.439 3.578 20 0 CHADLO c1cn(Cc2ccc(N[C@H]3CCCC[C@@H]3C3CC3)nc2)c[nH+]1 ZINC000348836876 1127130798 /nfs/dbraw/zinc/13/07/98/1127130798.db2.gz FBMIRLUYZDIZSJ-SJORKVTESA-N 1 2 296.418 3.707 20 0 CHADLO C[C@@H]1CCC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)[C@H]1C ZINC000348848295 1127131478 /nfs/dbraw/zinc/13/14/78/1127131478.db2.gz MVQRRQFQGCHJQS-YCPHGPKFSA-N 1 2 284.407 3.563 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCOc3cc(O)ccc3C2)o1 ZINC000348880973 1127132236 /nfs/dbraw/zinc/13/22/36/1127132236.db2.gz WHISLERVQMCZJZ-WBMJQRKESA-N 1 2 299.370 3.503 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCOc3cc(O)ccc3C2)o1 ZINC000348880973 1127132237 /nfs/dbraw/zinc/13/22/37/1127132237.db2.gz WHISLERVQMCZJZ-WBMJQRKESA-N 1 2 299.370 3.503 20 0 CHADLO C[C@@H]([NH2+]Cc1ncnn1C(C)(C)C)c1ccc(Cl)cc1 ZINC000283341125 1127133430 /nfs/dbraw/zinc/13/34/30/1127133430.db2.gz BAKXITWEHKBQHH-LLVKDONJSA-N 1 2 292.814 3.537 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000348897620 1127133882 /nfs/dbraw/zinc/13/38/82/1127133882.db2.gz WFJOYUQOILGXCF-UONOGXRCSA-N 1 2 291.439 3.578 20 0 CHADLO CC[C@@H]([NH2+][C@H](CC)c1cc(F)ccc1F)c1ccn(C)n1 ZINC000349511983 1127153040 /nfs/dbraw/zinc/15/30/40/1127153040.db2.gz GWBXRTVZMRUDGG-HUUCEWRRSA-N 1 2 293.361 3.890 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@H](C)c2ccon2)ccc1SC ZINC000349843478 1127164917 /nfs/dbraw/zinc/16/49/17/1127164917.db2.gz XORKBSBCNMGHHM-GHMZBOCLSA-N 1 2 292.404 3.817 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2csc3ccccc23)n1 ZINC000284098554 1127174250 /nfs/dbraw/zinc/17/42/50/1127174250.db2.gz RYBFEUNBDXLEQM-UHFFFAOYSA-N 1 2 287.388 3.618 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cc(Cl)nc(Cl)c1O ZINC001237524784 1131110733 /nfs/dbraw/zinc/11/07/33/1131110733.db2.gz HYSGIHWJTAYJNX-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO CCCC1CCC(N(C)C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000571885467 1127179587 /nfs/dbraw/zinc/17/95/87/1127179587.db2.gz OFGDNAMNHQWOCQ-FHERZECASA-N 1 2 291.439 3.652 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1nc2ccccc2s1 ZINC000588169212 1127180121 /nfs/dbraw/zinc/18/01/21/1127180121.db2.gz HGHIEZPQWPPQOJ-UHFFFAOYSA-N 1 2 272.373 3.911 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1nc2ccccc2s1 ZINC000588169212 1127180125 /nfs/dbraw/zinc/18/01/25/1127180125.db2.gz HGHIEZPQWPPQOJ-UHFFFAOYSA-N 1 2 272.373 3.911 20 0 CHADLO CCCCc1nc(C[NH+]2CCC3(CCCCC3)CC2)no1 ZINC000588169856 1127180317 /nfs/dbraw/zinc/18/03/17/1127180317.db2.gz RHFUOSTVENFGOQ-UHFFFAOYSA-N 1 2 291.439 3.959 20 0 CHADLO Cc1nc([C@H](C)[NH+]2CCC(CCC(C)C)CC2)no1 ZINC000351519345 1127191548 /nfs/dbraw/zinc/19/15/48/1127191548.db2.gz FKVSSAMPZMHLGM-LBPRGKRZSA-N 1 2 265.401 3.587 20 0 CHADLO Cc1cccc(CNc2ccc(Cn3cc[nH+]c3)cn2)c1C ZINC000351549448 1127193314 /nfs/dbraw/zinc/19/33/14/1127193314.db2.gz ADHIMVDSOYVSPJ-UHFFFAOYSA-N 1 2 292.386 3.555 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)cc(Cl)c1)c1ccon1 ZINC000351579455 1127195319 /nfs/dbraw/zinc/19/53/19/1127195319.db2.gz JLMFAYRBTSDICW-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO Fc1ccc(SCCCn2cc[nH+]c2)cc1Cl ZINC000351698521 1127205130 /nfs/dbraw/zinc/20/51/30/1127205130.db2.gz BLBNSXMCNNVKGC-UHFFFAOYSA-N 1 2 270.760 3.858 20 0 CHADLO COCCCCSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000285173949 1127218294 /nfs/dbraw/zinc/21/82/94/1127218294.db2.gz UFSZAABHUBQBLQ-UHFFFAOYSA-N 1 2 276.405 3.532 20 0 CHADLO CCc1nc(C[N@@H+]2CCC=C(c3ccco3)C2)cs1 ZINC000285303209 1127222530 /nfs/dbraw/zinc/22/25/30/1127222530.db2.gz LIXKAKVLOKKVEO-UHFFFAOYSA-N 1 2 274.389 3.588 20 0 CHADLO CCc1nc(C[N@H+]2CCC=C(c3ccco3)C2)cs1 ZINC000285303209 1127222533 /nfs/dbraw/zinc/22/25/33/1127222533.db2.gz LIXKAKVLOKKVEO-UHFFFAOYSA-N 1 2 274.389 3.588 20 0 CHADLO Cc1cccc2c1OCC[C@H]2Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000352445214 1127233097 /nfs/dbraw/zinc/23/30/97/1127233097.db2.gz LKAIAAOOGLCLHE-CQSZACIVSA-N 1 2 294.358 3.510 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCc3cc(F)ccc32)cc1 ZINC000165512358 1127235260 /nfs/dbraw/zinc/23/52/60/1127235260.db2.gz CWHDEWQPPXQPBX-KRWDZBQOSA-N 1 2 270.351 3.991 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2cc(F)ccc2C)n1 ZINC000285640551 1127239644 /nfs/dbraw/zinc/23/96/44/1127239644.db2.gz LTTLUHTYZSZTDP-HNNXBMFYSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2cc(F)ccc2C)n1 ZINC000285640551 1127239647 /nfs/dbraw/zinc/23/96/47/1127239647.db2.gz LTTLUHTYZSZTDP-HNNXBMFYSA-N 1 2 289.354 3.553 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237996627 1131159669 /nfs/dbraw/zinc/15/96/69/1131159669.db2.gz GJKBAKKRCLSDNL-UHFFFAOYSA-N 1 2 285.771 3.878 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237996627 1131159673 /nfs/dbraw/zinc/15/96/73/1131159673.db2.gz GJKBAKKRCLSDNL-UHFFFAOYSA-N 1 2 285.771 3.878 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237984329 1131158826 /nfs/dbraw/zinc/15/88/26/1131158826.db2.gz NRWULHGTFZRIAV-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(C(=O)OC)cc1 ZINC000590254523 1127253263 /nfs/dbraw/zinc/25/32/63/1127253263.db2.gz KBSCBGAZGVCGAM-KGLIPLIRSA-N 1 2 297.345 3.702 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+]Cc2nc(C3CC3)cs2)c1 ZINC000286227959 1127263133 /nfs/dbraw/zinc/26/31/33/1127263133.db2.gz SRUIQGCSRHBRIP-LLVKDONJSA-N 1 2 273.405 3.575 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+]Cc2nc(C3CC3)cs2)c1 ZINC000286227963 1127263499 /nfs/dbraw/zinc/26/34/99/1127263499.db2.gz SRUIQGCSRHBRIP-NSHDSACASA-N 1 2 273.405 3.575 20 0 CHADLO Cc1c(Cl)ccc(C[NH+]2CC3(C2)CCCCO3)c1C ZINC001238212944 1131182130 /nfs/dbraw/zinc/18/21/30/1131182130.db2.gz XILZESYIRWAWLA-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO c1snnc1C[NH2+][C@H](CC1CCC1)c1ccccc1 ZINC000679357727 1127290818 /nfs/dbraw/zinc/29/08/18/1127290818.db2.gz DXCCJSPDZKRMFD-OAHLLOKOSA-N 1 2 273.405 3.559 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(C3CCCC3)s2)C[C@@H]1F ZINC000679357687 1127290224 /nfs/dbraw/zinc/29/02/24/1127290224.db2.gz CWMOHTKHHBIJGJ-RISCZKNCSA-N 1 2 282.428 3.981 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(C3CCCC3)s2)C[C@@H]1F ZINC000679357687 1127290225 /nfs/dbraw/zinc/29/02/25/1127290225.db2.gz CWMOHTKHHBIJGJ-RISCZKNCSA-N 1 2 282.428 3.981 20 0 CHADLO O=C(/C=C/c1ccccc1)Nc1ccccc1-n1cc[nH+]c1 ZINC000045859897 1127306654 /nfs/dbraw/zinc/30/66/54/1127306654.db2.gz UMXMJGJJEPCYNR-ZHACJKMWSA-N 1 2 289.338 3.524 20 0 CHADLO Cc1cccc([C@@H](NC(=O)CCc2[nH]cc[nH+]2)C(C)(C)C)c1 ZINC000287588828 1127310403 /nfs/dbraw/zinc/31/04/03/1127310403.db2.gz GFGBSEGBSYEJBC-QGZVFWFLSA-N 1 2 299.418 3.554 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(F)cccc1SC)c1nccn1C ZINC000287743893 1127312527 /nfs/dbraw/zinc/31/25/27/1127312527.db2.gz YXSCNJAFJAFGOH-CYBMUJFWSA-N 1 2 293.411 3.522 20 0 CHADLO CCCN(C(=O)CCc1[nH]cc[nH+]1)[C@@H](CC)c1ccccc1 ZINC000287758234 1127313310 /nfs/dbraw/zinc/31/33/10/1127313310.db2.gz QMFKVQWVSIVDSQ-INIZCTEOSA-N 1 2 299.418 3.732 20 0 CHADLO CCC(C)(C)[C@H]1CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000573577886 1127319466 /nfs/dbraw/zinc/31/94/66/1127319466.db2.gz XUNMONRHVWXDIQ-OAHLLOKOSA-N 1 2 299.418 3.684 20 0 CHADLO Cc1c[nH+]c(CCSCc2noc(C(C)C)n2)c(C)c1 ZINC000573802316 1127328586 /nfs/dbraw/zinc/32/85/86/1127328586.db2.gz HSTCOAOEEZHRLC-UHFFFAOYSA-N 1 2 291.420 3.681 20 0 CHADLO CSc1ccc(C)c(C(=O)Nc2ccn3cc[nH+]c3c2)c1 ZINC000355287368 1127331556 /nfs/dbraw/zinc/33/15/56/1127331556.db2.gz HUBNEHKTIOIVHC-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1csc2ccccc12 ZINC000355313984 1127333447 /nfs/dbraw/zinc/33/34/47/1127333447.db2.gz OHLAOOTXFWDLAF-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cnc(C3CCCC3)s2)C1 ZINC000355357011 1127336176 /nfs/dbraw/zinc/33/61/76/1127336176.db2.gz TVSLHRDRQJHPON-AWEZNQCLSA-N 1 2 268.401 3.735 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cnc(C3CCCC3)s2)C1 ZINC000355357011 1127336181 /nfs/dbraw/zinc/33/61/81/1127336181.db2.gz TVSLHRDRQJHPON-AWEZNQCLSA-N 1 2 268.401 3.735 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1ccc(Cl)nn1 ZINC000167250110 1127342734 /nfs/dbraw/zinc/34/27/34/1127342734.db2.gz ZGRXUYQFLBGIJK-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1ccc(Cl)nn1 ZINC000167250110 1127342739 /nfs/dbraw/zinc/34/27/39/1127342739.db2.gz ZGRXUYQFLBGIJK-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1csc(C2CC2)n1 ZINC000696042490 1127348757 /nfs/dbraw/zinc/34/87/57/1127348757.db2.gz VIEHIDQEMKHHCW-LLVKDONJSA-N 1 2 288.416 3.880 20 0 CHADLO C[C@@H](Nc1cc(CO)cc[nH+]1)c1cccc(C2CC2)c1 ZINC000641613384 1127361330 /nfs/dbraw/zinc/36/13/30/1127361330.db2.gz RCYLLQUILVINBR-GFCCVEGCSA-N 1 2 268.360 3.624 20 0 CHADLO CC(C)n1cc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)nn1 ZINC000288999551 1127369487 /nfs/dbraw/zinc/36/94/87/1127369487.db2.gz DWICOJPCYYUWBQ-GFCCVEGCSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1cc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)nn1 ZINC000288999551 1127369490 /nfs/dbraw/zinc/36/94/90/1127369490.db2.gz DWICOJPCYYUWBQ-GFCCVEGCSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)CC1(C[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)CCC1 ZINC000289211364 1127377491 /nfs/dbraw/zinc/37/74/91/1127377491.db2.gz KMFGUGVABWXGGQ-MRXNPFEDSA-N 1 2 298.434 3.700 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@H+](C)[C@@H](C)c1cccs1 ZINC000157800752 1127382908 /nfs/dbraw/zinc/38/29/08/1127382908.db2.gz QUVVKZBAHKPDLX-JTQLQIEISA-N 1 2 297.855 3.890 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@@H+](C)[C@@H](C)c1cccs1 ZINC000157800752 1127382914 /nfs/dbraw/zinc/38/29/14/1127382914.db2.gz QUVVKZBAHKPDLX-JTQLQIEISA-N 1 2 297.855 3.890 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@H+](Cc2ncc(C(C)(C)C)o2)C1 ZINC000358810088 1127434961 /nfs/dbraw/zinc/43/49/61/1127434961.db2.gz OGLPOXBVURJAOE-UKRRQHHQSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@@H+](Cc2ncc(C(C)(C)C)o2)C1 ZINC000358810088 1127434963 /nfs/dbraw/zinc/43/49/63/1127434963.db2.gz OGLPOXBVURJAOE-UKRRQHHQSA-N 1 2 299.418 3.950 20 0 CHADLO Cc1ccc(CSc2ncnc3ccsc32)c(C)[nH+]1 ZINC000358859108 1127436459 /nfs/dbraw/zinc/43/64/59/1127436459.db2.gz AZZKMUREOPSGGU-UHFFFAOYSA-N 1 2 287.413 3.995 20 0 CHADLO CC1(C)CC[C@@H](C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000358954555 1127440400 /nfs/dbraw/zinc/44/04/00/1127440400.db2.gz SKUSCWTYMWQYJK-CYBMUJFWSA-N 1 2 283.375 3.637 20 0 CHADLO COCC[C@H](C)SCc1ccc(-n2cc[nH+]c2)cc1C ZINC000359037499 1127446386 /nfs/dbraw/zinc/44/63/86/1127446386.db2.gz RIJNTXKLMJUHFR-AWEZNQCLSA-N 1 2 290.432 3.839 20 0 CHADLO COc1cc(Br)ccc1C[N@@H+]1CCC12CCC2 ZINC000359241345 1127455345 /nfs/dbraw/zinc/45/53/45/1127455345.db2.gz VCIMFMMGCQLPBH-UHFFFAOYSA-N 1 2 296.208 3.586 20 0 CHADLO COc1cc(Br)ccc1C[N@H+]1CCC12CCC2 ZINC000359241345 1127455348 /nfs/dbraw/zinc/45/53/48/1127455348.db2.gz VCIMFMMGCQLPBH-UHFFFAOYSA-N 1 2 296.208 3.586 20 0 CHADLO Cc1ccc(C[S@@](=O)C[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000359400869 1127462076 /nfs/dbraw/zinc/46/20/76/1127462076.db2.gz DLQJERFRDFYKLR-XCLFUZPHSA-N 1 2 287.428 3.751 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1noc2c1CCCC2 ZINC000595563993 1127465774 /nfs/dbraw/zinc/46/57/74/1127465774.db2.gz CKVHMRGIWRIGGY-UHFFFAOYSA-N 1 2 274.364 3.557 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1noc2c1CCCC2 ZINC000595563993 1127465775 /nfs/dbraw/zinc/46/57/75/1127465775.db2.gz CKVHMRGIWRIGGY-UHFFFAOYSA-N 1 2 274.364 3.557 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1noc2c1CCCC2 ZINC000595550262 1127466737 /nfs/dbraw/zinc/46/67/37/1127466737.db2.gz WIFOOVQSZXFBCY-NSHDSACASA-N 1 2 288.416 3.734 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1noc2c1CCCC2 ZINC000595550262 1127466738 /nfs/dbraw/zinc/46/67/38/1127466738.db2.gz WIFOOVQSZXFBCY-NSHDSACASA-N 1 2 288.416 3.734 20 0 CHADLO Cc1nc(N[C@H]2CC[C@@H](c3ccc(F)cc3)C2)cc[nH+]1 ZINC000359880334 1127481505 /nfs/dbraw/zinc/48/15/05/1127481505.db2.gz SFEZPBFLVPJKAG-HIFRSBDPSA-N 1 2 271.339 3.672 20 0 CHADLO COC(=O)Cc1cc[nH+]c(N[C@@H](c2ccccc2)C(C)C)c1 ZINC000596063857 1127481931 /nfs/dbraw/zinc/48/19/31/1127481931.db2.gz OQKNMQYMBYOLTM-GOSISDBHSA-N 1 2 298.386 3.606 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2CCCc3ccc(F)cc32)[nH+]1 ZINC000360106615 1127489977 /nfs/dbraw/zinc/48/99/77/1127489977.db2.gz CSOHDANYPMEQPC-OAHLLOKOSA-N 1 2 296.349 3.895 20 0 CHADLO Cc1cc(N2Cc3ccccc3OC[C@H]2C)nc(C(C)C)[nH+]1 ZINC000360180657 1127491495 /nfs/dbraw/zinc/49/14/95/1127491495.db2.gz BGXBIGNDYQFYIQ-CQSZACIVSA-N 1 2 297.402 3.696 20 0 CHADLO COc1cc(C)[nH+]c(CSCC[C@H]2CCCCO2)c1 ZINC000596352238 1127496992 /nfs/dbraw/zinc/49/69/92/1127496992.db2.gz JPLQYSBXROSRGI-CQSZACIVSA-N 1 2 281.421 3.591 20 0 CHADLO COc1cc(C)[nH+]c(CSC[C@@H](OC)C2CCCC2)c1 ZINC000596353562 1127497123 /nfs/dbraw/zinc/49/71/23/1127497123.db2.gz YFEIUAGXJBAPIW-MRXNPFEDSA-N 1 2 295.448 3.837 20 0 CHADLO Fc1ccccc1[C@H]1CC[C@H](Nc2cccc[nH+]2)C1 ZINC000360274849 1127494830 /nfs/dbraw/zinc/49/48/30/1127494830.db2.gz MZYRMEFWQQLMPK-STQMWFEESA-N 1 2 256.324 3.969 20 0 CHADLO Cc1c[nH+]c(CCSCC[C@@H]2CCCCO2)c(C)c1 ZINC000596390100 1127496170 /nfs/dbraw/zinc/49/61/70/1127496170.db2.gz FHFIACACNUDFOO-HNNXBMFYSA-N 1 2 279.449 3.933 20 0 CHADLO Cc1nc(N[C@@H]2CC(C)(C)Oc3cc(F)ccc32)cc[nH+]1 ZINC000360787491 1127511136 /nfs/dbraw/zinc/51/11/36/1127511136.db2.gz PCRQUEJDXZTHJC-CYBMUJFWSA-N 1 2 287.338 3.638 20 0 CHADLO Cc1nc(N[C@H]2c3ccccc3CCC[C@H]2C)cc[nH+]1 ZINC000360863319 1127516819 /nfs/dbraw/zinc/51/68/19/1127516819.db2.gz BGRCIQGLDRIDEF-SJKOYZFVSA-N 1 2 267.376 3.911 20 0 CHADLO Cc1nc(N[C@@H](c2ccc(F)cc2)C2CCC2)cc[nH+]1 ZINC000361002605 1127528276 /nfs/dbraw/zinc/52/82/76/1127528276.db2.gz KSQNFAWOKMEZDY-MRXNPFEDSA-N 1 2 271.339 3.877 20 0 CHADLO Cc1nc(N[C@@H](c2ccccc2)C2CCC2)cc[nH+]1 ZINC000361001607 1127528504 /nfs/dbraw/zinc/52/85/04/1127528504.db2.gz GUDBEJVCTCGSCG-INIZCTEOSA-N 1 2 253.349 3.738 20 0 CHADLO Cc1cc(N2CC[C@@H](Cc3ccccc3)C2)nc(C2CC2)[nH+]1 ZINC000361049997 1127533769 /nfs/dbraw/zinc/53/37/69/1127533769.db2.gz HDCIUGBNPRUJTH-INIZCTEOSA-N 1 2 293.414 3.731 20 0 CHADLO COC(=O)c1ccc(C[N@@H+]2Cc3ccccc3[C@H]2C)s1 ZINC000361074555 1127535847 /nfs/dbraw/zinc/53/58/47/1127535847.db2.gz UIQHPTYFEUUZEY-LLVKDONJSA-N 1 2 287.384 3.612 20 0 CHADLO COC(=O)c1ccc(C[N@H+]2Cc3ccccc3[C@H]2C)s1 ZINC000361074555 1127535849 /nfs/dbraw/zinc/53/58/49/1127535849.db2.gz UIQHPTYFEUUZEY-LLVKDONJSA-N 1 2 287.384 3.612 20 0 CHADLO CC(C)(C)n1cc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)cn1 ZINC000293966567 1127537644 /nfs/dbraw/zinc/53/76/44/1127537644.db2.gz QFLKLVCXHQPIMQ-INIZCTEOSA-N 1 2 298.434 3.616 20 0 CHADLO CC(C)(C)n1cc(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)cn1 ZINC000293966567 1127537645 /nfs/dbraw/zinc/53/76/45/1127537645.db2.gz QFLKLVCXHQPIMQ-INIZCTEOSA-N 1 2 298.434 3.616 20 0 CHADLO CCOc1cccc2cc([C@@H](C)Nc3cc[nH+]c(C)n3)oc21 ZINC000361161619 1127543447 /nfs/dbraw/zinc/54/34/47/1127543447.db2.gz LMEIRFSDVFWJDK-LLVKDONJSA-N 1 2 297.358 3.525 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCOc2c(F)ccc(F)c21)c1ccccn1 ZINC000361186883 1127545160 /nfs/dbraw/zinc/54/51/60/1127545160.db2.gz HKXJBHJXDKJKIF-YGRLFVJLSA-N 1 2 290.313 3.534 20 0 CHADLO CCCc1csc(C[NH+]2CC(c3ccccc3F)C2)n1 ZINC000361237753 1127549519 /nfs/dbraw/zinc/54/95/19/1127549519.db2.gz MAEUGZSIYWHLDI-UHFFFAOYSA-N 1 2 290.407 3.834 20 0 CHADLO CC[N@H+](Cc1c(Cl)cccc1Cl)[C@@H]1CCOC1 ZINC000361251044 1127550611 /nfs/dbraw/zinc/55/06/11/1127550611.db2.gz LAYHFUSZHJDANM-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cccc1Cl)[C@@H]1CCOC1 ZINC000361251044 1127550613 /nfs/dbraw/zinc/55/06/13/1127550613.db2.gz LAYHFUSZHJDANM-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H](C)C3CCOCC3)cc2)c1C ZINC000361252485 1127550911 /nfs/dbraw/zinc/55/09/11/1127550911.db2.gz RKRAPQBZNRDQJM-AWEZNQCLSA-N 1 2 299.418 3.716 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)c2ccccc21)c1ncn(C)n1 ZINC000294267890 1127561464 /nfs/dbraw/zinc/56/14/64/1127561464.db2.gz LRUSXVVRALCPOY-RYUDHWBXSA-N 1 2 298.365 3.519 20 0 CHADLO CCc1ccc([C@@H]2C[N@H+](Cc3ccc(C)nc3)CCO2)cc1 ZINC000361645139 1127578695 /nfs/dbraw/zinc/57/86/95/1127578695.db2.gz CFLORTNZBCEPTD-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@@H]2C[N@@H+](Cc3ccc(C)nc3)CCO2)cc1 ZINC000361645139 1127578698 /nfs/dbraw/zinc/57/86/98/1127578698.db2.gz CFLORTNZBCEPTD-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@@H]2C[N@H+](Cc3ccc(C)cn3)CCO2)cc1 ZINC000361647203 1127579551 /nfs/dbraw/zinc/57/95/51/1127579551.db2.gz KFFRGWQSIWPEPT-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@@H]2C[N@@H+](Cc3ccc(C)cn3)CCO2)cc1 ZINC000361647203 1127579553 /nfs/dbraw/zinc/57/95/53/1127579553.db2.gz KFFRGWQSIWPEPT-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1cc(F)ncc1F ZINC000294793693 1127600358 /nfs/dbraw/zinc/60/03/58/1127600358.db2.gz KWDUJGLPYHRKGD-SECBINFHSA-N 1 2 268.332 3.614 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1cc(F)ncc1F ZINC000294793693 1127600359 /nfs/dbraw/zinc/60/03/59/1127600359.db2.gz KWDUJGLPYHRKGD-SECBINFHSA-N 1 2 268.332 3.614 20 0 CHADLO C[C@@H]([NH2+]Cc1ncoc1-c1ccccc1)c1cncs1 ZINC000361944582 1127601778 /nfs/dbraw/zinc/60/17/78/1127601778.db2.gz IOIPGJAFRQHXSN-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO Cc1nocc1C[N@@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000294827606 1127602183 /nfs/dbraw/zinc/60/21/83/1127602183.db2.gz GTYSUDXRAWKAOZ-ZBEGNZNMSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1nocc1C[N@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000294827606 1127602185 /nfs/dbraw/zinc/60/21/85/1127602185.db2.gz GTYSUDXRAWKAOZ-ZBEGNZNMSA-N 1 2 286.375 3.864 20 0 CHADLO CC(C)Oc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC000672160670 1127606389 /nfs/dbraw/zinc/60/63/89/1127606389.db2.gz YHFKNCBSCBWWTB-UHFFFAOYSA-N 1 2 281.359 3.962 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+](C)Cc2ncccn2)cc1 ZINC001232079673 1127606543 /nfs/dbraw/zinc/60/65/43/1127606543.db2.gz WYNURBHFEHTONC-UHFFFAOYSA-N 1 2 283.419 3.796 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+](C)Cc2ncccn2)cc1 ZINC001232079673 1127606544 /nfs/dbraw/zinc/60/65/44/1127606544.db2.gz WYNURBHFEHTONC-UHFFFAOYSA-N 1 2 283.419 3.796 20 0 CHADLO Clc1nc(C[NH2+]C2(c3ccccc3)CC2)cs1 ZINC000294856862 1127604397 /nfs/dbraw/zinc/60/43/97/1127604397.db2.gz ABZYXCHCZRBJCV-UHFFFAOYSA-N 1 2 264.781 3.575 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)ncc1F)c1ccc(F)cc1F ZINC000294918517 1127608383 /nfs/dbraw/zinc/60/83/83/1127608383.db2.gz APJBXMJGEVOCQQ-AWEZNQCLSA-N 1 2 298.283 3.879 20 0 CHADLO Cc1cccc([C@H]([NH2+]Cc2ccn[nH]2)C(C)(C)C)c1 ZINC000294926151 1127608557 /nfs/dbraw/zinc/60/85/57/1127608557.db2.gz HBBKXRGVJQPTRA-HNNXBMFYSA-N 1 2 257.381 3.595 20 0 CHADLO CCN(CC(C)(C)C)C(=O)c1cc(C)ccc1-n1cc[nH+]c1 ZINC000362386851 1127632386 /nfs/dbraw/zinc/63/23/86/1127632386.db2.gz JUASZZVVYMVXIZ-UHFFFAOYSA-N 1 2 299.418 3.689 20 0 CHADLO Cc1ccc(SCC/[NH+]=C/c2ccccc2O)cc1 ZINC000061002213 1127633792 /nfs/dbraw/zinc/63/37/92/1127633792.db2.gz WJVILBQPLHUJFX-SFQUDFHCSA-N 1 2 271.385 3.912 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2nc3ccccc3s2)C1 ZINC000295445344 1127643508 /nfs/dbraw/zinc/64/35/08/1127643508.db2.gz OZYITBMAGMYTTH-AWEZNQCLSA-N 1 2 264.369 3.620 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2nc3ccccc3s2)C1 ZINC000295445344 1127643510 /nfs/dbraw/zinc/64/35/10/1127643510.db2.gz OZYITBMAGMYTTH-AWEZNQCLSA-N 1 2 264.369 3.620 20 0 CHADLO Cc1cnc(C[NH2+][C@@H]2CCCOc3c(F)cccc32)s1 ZINC000295535600 1127645468 /nfs/dbraw/zinc/64/54/68/1127645468.db2.gz WZIRPDKTVBCAKO-CYBMUJFWSA-N 1 2 292.379 3.594 20 0 CHADLO Cc1c(Cl)cc(NC(=O)CCn2cc[nH+]c2)cc1Cl ZINC000599534270 1127678777 /nfs/dbraw/zinc/67/87/77/1127678777.db2.gz QQNNCNMNNOFAPA-UHFFFAOYSA-N 1 2 298.173 3.527 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1cccc(F)c1F ZINC000296706123 1127681862 /nfs/dbraw/zinc/68/18/62/1127681862.db2.gz HXXDXPRODYLVNQ-NRUUGDAUSA-N 1 2 277.318 3.518 20 0 CHADLO CCCCc1noc(C[N@@H+]2CCc3ccccc3[C@@H]2CC)n1 ZINC000603944599 1127684679 /nfs/dbraw/zinc/68/46/79/1127684679.db2.gz MNVJHDIXCISSGA-INIZCTEOSA-N 1 2 299.418 3.922 20 0 CHADLO CCCCc1noc(C[N@H+]2CCc3ccccc3[C@@H]2CC)n1 ZINC000603944599 1127684681 /nfs/dbraw/zinc/68/46/81/1127684681.db2.gz MNVJHDIXCISSGA-INIZCTEOSA-N 1 2 299.418 3.922 20 0 CHADLO C[C@H](C[NH2+]C(C)(C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000599658868 1127686195 /nfs/dbraw/zinc/68/61/95/1127686195.db2.gz FYTIXJFRNMDHAK-CYBMUJFWSA-N 1 2 277.408 3.500 20 0 CHADLO COCc1nc(C[NH2+][C@H](C)c2csc(C)c2)cs1 ZINC000297296162 1127692916 /nfs/dbraw/zinc/69/29/16/1127692916.db2.gz MBQUILMYNDCNBZ-SNVBAGLBSA-N 1 2 282.434 3.510 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1ccc(C2CC2)cc1F ZINC000297304824 1127693272 /nfs/dbraw/zinc/69/32/72/1127693272.db2.gz MMRGMASZGGRLQD-GFCCVEGCSA-N 1 2 287.382 3.770 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cc(C3CC3)ccc2F)nn1C ZINC000297419426 1127696029 /nfs/dbraw/zinc/69/60/29/1127696029.db2.gz UOYFYWMLCRBHQA-GFCCVEGCSA-N 1 2 287.382 3.596 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1c(F)cccc1SC ZINC000297477444 1127697807 /nfs/dbraw/zinc/69/78/07/1127697807.db2.gz YYIFJUCGAHEFDP-LLVKDONJSA-N 1 2 293.411 3.615 20 0 CHADLO CC[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000297785194 1127707853 /nfs/dbraw/zinc/70/78/53/1127707853.db2.gz PTSNSLDNUXJFPZ-LKTVYLICSA-N 1 2 291.345 3.908 20 0 CHADLO C[C@@H](Cc1ccsc1)[N@@H+]1CCCC[C@H]1C(F)F ZINC000669716657 1127708761 /nfs/dbraw/zinc/70/87/61/1127708761.db2.gz HMMRPLDNEIOIDG-JQWIXIFHSA-N 1 2 259.365 3.799 20 0 CHADLO C[C@@H](Cc1ccsc1)[N@H+]1CCCC[C@H]1C(F)F ZINC000669716657 1127708764 /nfs/dbraw/zinc/70/87/64/1127708764.db2.gz HMMRPLDNEIOIDG-JQWIXIFHSA-N 1 2 259.365 3.799 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1C[C@H]1CCOc2ccccc21 ZINC000669716709 1127709447 /nfs/dbraw/zinc/70/94/47/1127709447.db2.gz ZIBZGIQLEULCAR-OCCSQVGLSA-N 1 2 281.346 3.672 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1C[C@H]1CCOc2ccccc21 ZINC000669716709 1127709450 /nfs/dbraw/zinc/70/94/50/1127709450.db2.gz ZIBZGIQLEULCAR-OCCSQVGLSA-N 1 2 281.346 3.672 20 0 CHADLO Cc1cc(NCC(C)(C)c2ccc(F)cc2)nc(C2CC2)[nH+]1 ZINC000604757545 1127715873 /nfs/dbraw/zinc/71/58/73/1127715873.db2.gz JRRWGVDCVIMGEF-UHFFFAOYSA-N 1 2 299.393 3.613 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccccc1 ZINC000298195917 1127716550 /nfs/dbraw/zinc/71/65/50/1127716550.db2.gz COKJXGUZWBCLGL-HNNXBMFYSA-N 1 2 287.407 3.854 20 0 CHADLO Cc1cccc2c1CC[N@@H+]([C@@H](C)c1nc(C(C)C)no1)C2 ZINC000171609013 1127717138 /nfs/dbraw/zinc/71/71/38/1127717138.db2.gz SAZNEAIIONWAOK-ZDUSSCGKSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1cccc2c1CC[N@H+]([C@@H](C)c1nc(C(C)C)no1)C2 ZINC000171609013 1127717142 /nfs/dbraw/zinc/71/71/42/1127717142.db2.gz SAZNEAIIONWAOK-ZDUSSCGKSA-N 1 2 285.391 3.621 20 0 CHADLO C(=C/[C@@H]1CCC[N@H+]1Cc1nc(C2CC2)no1)\c1ccccc1 ZINC000365394038 1127728019 /nfs/dbraw/zinc/72/80/19/1127728019.db2.gz QZGUDKSJQSAESQ-KXKDPZRNSA-N 1 2 295.386 3.625 20 0 CHADLO C(=C/[C@@H]1CCC[N@@H+]1Cc1nc(C2CC2)no1)\c1ccccc1 ZINC000365394038 1127728022 /nfs/dbraw/zinc/72/80/22/1127728022.db2.gz QZGUDKSJQSAESQ-KXKDPZRNSA-N 1 2 295.386 3.625 20 0 CHADLO CCCc1nc(COc2ccccc2-n2cc[nH+]c2)cs1 ZINC000605434273 1127736045 /nfs/dbraw/zinc/73/60/45/1127736045.db2.gz VXHQDCIMQLXMBD-UHFFFAOYSA-N 1 2 299.399 3.860 20 0 CHADLO COc1cccc(C2(F)CCN(c3cccc[nH+]3)CC2)c1 ZINC000366835268 1127748082 /nfs/dbraw/zinc/74/80/82/1127748082.db2.gz NJDNXDRTBOGXRQ-UHFFFAOYSA-N 1 2 286.350 3.555 20 0 CHADLO CCCC[N@@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000543807170 1127764018 /nfs/dbraw/zinc/76/40/18/1127764018.db2.gz GINFUHQERGWFLV-AWEZNQCLSA-N 1 2 287.325 3.879 20 0 CHADLO CCCC[N@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000543807170 1127764020 /nfs/dbraw/zinc/76/40/20/1127764020.db2.gz GINFUHQERGWFLV-AWEZNQCLSA-N 1 2 287.325 3.879 20 0 CHADLO CCCC[C@H](CC)CNC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606584000 1127768075 /nfs/dbraw/zinc/76/80/75/1127768075.db2.gz WVZIYOOVNBRSSA-ZDUSSCGKSA-N 1 2 288.395 3.672 20 0 CHADLO CCCCc1nc(C[N@H+](CC)CCc2cccs2)no1 ZINC000409446181 1127768459 /nfs/dbraw/zinc/76/84/59/1127768459.db2.gz KBWSVMVYZFMAFS-UHFFFAOYSA-N 1 2 293.436 3.538 20 0 CHADLO CCCCc1nc(C[N@@H+](CC)CCc2cccs2)no1 ZINC000409446181 1127768462 /nfs/dbraw/zinc/76/84/62/1127768462.db2.gz KBWSVMVYZFMAFS-UHFFFAOYSA-N 1 2 293.436 3.538 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCC[C@@H]2C2CC2)n1 ZINC000372132025 1127789094 /nfs/dbraw/zinc/78/90/94/1127789094.db2.gz NGXWQRAXOVHSCU-SECBINFHSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCC[C@@H]2C2CC2)n1 ZINC000372132025 1127789097 /nfs/dbraw/zinc/78/90/97/1127789097.db2.gz NGXWQRAXOVHSCU-SECBINFHSA-N 1 2 276.327 3.536 20 0 CHADLO Clc1cccc2c1OC[C@@H]2[NH2+]Cc1cccnc1Cl ZINC000372674493 1127808949 /nfs/dbraw/zinc/80/89/49/1127808949.db2.gz LSPGYXMWTITJLN-LBPRGKRZSA-N 1 2 295.169 3.612 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)Cc1ccccc1 ZINC000373658960 1127856522 /nfs/dbraw/zinc/85/65/22/1127856522.db2.gz IHUKDGGCXCJHMA-UHFFFAOYSA-N 1 2 291.354 3.566 20 0 CHADLO Cc1ccc([C@@H]2CCC[C@@H]2[N@H+](C)CC(F)F)cc1 ZINC000608501475 1127871124 /nfs/dbraw/zinc/87/11/24/1127871124.db2.gz WJYPEFHAXZQQAB-KBPBESRZSA-N 1 2 253.336 3.828 20 0 CHADLO Cc1ccc([C@@H]2CCC[C@@H]2[N@@H+](C)CC(F)F)cc1 ZINC000608501475 1127871129 /nfs/dbraw/zinc/87/11/29/1127871129.db2.gz WJYPEFHAXZQQAB-KBPBESRZSA-N 1 2 253.336 3.828 20 0 CHADLO Cc1ccc2ccccc2c1C[NH2+][C@H](C)c1csnn1 ZINC000412046464 1127891790 /nfs/dbraw/zinc/89/17/90/1127891790.db2.gz UDRIKUKIOXUMGH-GFCCVEGCSA-N 1 2 283.400 3.851 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+]1CCC[C@@H]1c1ccccn1 ZINC000535378188 1127914276 /nfs/dbraw/zinc/91/42/76/1127914276.db2.gz WYAUEFYSHDBWMQ-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+]1CCC[C@@H]1c1ccccn1 ZINC000535378188 1127914279 /nfs/dbraw/zinc/91/42/79/1127914279.db2.gz WYAUEFYSHDBWMQ-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)Cc1ccsc1)C(=O)OC(C)(C)C ZINC000539681985 1127935435 /nfs/dbraw/zinc/93/54/35/1127935435.db2.gz WYWHDFIBNSMALO-TZMCWYRMSA-N 1 2 297.464 3.635 20 0 CHADLO COc1ccc(CNc2cc(C)c[nH+]c2C)cc1OC1CC1 ZINC000623559737 1127970754 /nfs/dbraw/zinc/97/07/54/1127970754.db2.gz KYHRQVAKIMZJLJ-UHFFFAOYSA-N 1 2 298.386 3.860 20 0 CHADLO CC(C)[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)C1CC1 ZINC000540758111 1127993541 /nfs/dbraw/zinc/99/35/41/1127993541.db2.gz HOPCRPLJWFZPCT-MRXNPFEDSA-N 1 2 259.397 3.528 20 0 CHADLO CC[C@@H]1CCC[C@H](C[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000545600935 1128024433 /nfs/dbraw/zinc/02/44/33/1128024433.db2.gz YOJVONSHOWQSRS-HLLBOEOZSA-N 1 2 298.434 3.700 20 0 CHADLO CCC(CC)Cc1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000545685075 1128028540 /nfs/dbraw/zinc/02/85/40/1128028540.db2.gz WYQSZFVLVWVXNM-UHFFFAOYSA-N 1 2 298.390 3.595 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@@H](CC(C)C)C2)no1 ZINC000469117074 1128036140 /nfs/dbraw/zinc/03/61/40/1128036140.db2.gz XYOBRNSQSUXKDO-AWEZNQCLSA-N 1 2 279.428 3.670 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@@H](CC(C)C)C2)no1 ZINC000469117074 1128036143 /nfs/dbraw/zinc/03/61/43/1128036143.db2.gz XYOBRNSQSUXKDO-AWEZNQCLSA-N 1 2 279.428 3.670 20 0 CHADLO CC[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@H]1CCOC1 ZINC000312420672 1128040462 /nfs/dbraw/zinc/04/04/62/1128040462.db2.gz YMPUCZPPTBTGAQ-YOEHRIQHSA-N 1 2 274.408 3.514 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@H]1CCOC1 ZINC000312420672 1128040467 /nfs/dbraw/zinc/04/04/67/1128040467.db2.gz YMPUCZPPTBTGAQ-YOEHRIQHSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccc(Cl)cc1 ZINC000302761630 1128041509 /nfs/dbraw/zinc/04/15/09/1128041509.db2.gz WCWUFZKZLAUASY-LBPRGKRZSA-N 1 2 260.768 3.718 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccc(Cl)cc1 ZINC000302761630 1128041514 /nfs/dbraw/zinc/04/15/14/1128041514.db2.gz WCWUFZKZLAUASY-LBPRGKRZSA-N 1 2 260.768 3.718 20 0 CHADLO CC[C@H]1C[C@H](C)C[N@@H+]1Cc1ncc(Br)s1 ZINC000542167385 1128054230 /nfs/dbraw/zinc/05/42/30/1128054230.db2.gz MRYLFAMSCHBCKG-IUCAKERBSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1C[C@H](C)C[N@H+]1Cc1ncc(Br)s1 ZINC000542167385 1128054234 /nfs/dbraw/zinc/05/42/34/1128054234.db2.gz MRYLFAMSCHBCKG-IUCAKERBSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(C(C)(C)C)o1)c1ccsc1 ZINC000669938083 1128076776 /nfs/dbraw/zinc/07/67/76/1128076776.db2.gz CLSMCDCPESSNDR-SNVBAGLBSA-N 1 2 264.394 3.884 20 0 CHADLO c1[nH]cc([C@H]2C[C@@H]2c2nc(C(C3CCC3)C3CCC3)no2)[nH+]1 ZINC000924062611 1128089363 /nfs/dbraw/zinc/08/93/63/1128089363.db2.gz YUYPGJPQXJURDS-STQMWFEESA-N 1 2 298.390 3.748 20 0 CHADLO CCC1CCC(c2noc([C@@H]3C[C@H]3c3c[nH]c[nH+]3)n2)CC1 ZINC000923924980 1128088318 /nfs/dbraw/zinc/08/83/18/1128088318.db2.gz TZHUXJGDVCYVMN-FIYWTHMPSA-N 1 2 286.379 3.748 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1nc(C)cs1 ZINC000777505213 1128094819 /nfs/dbraw/zinc/09/48/19/1128094819.db2.gz MKTMDEHWEPPPPN-UHFFFAOYSA-N 1 2 286.404 3.823 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc2c(c1)CCCC2)c1ncco1 ZINC000924573424 1128105509 /nfs/dbraw/zinc/10/55/09/1128105509.db2.gz PKPNPZBYOMTIOJ-CHWSQXEVSA-N 1 2 270.376 3.965 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc2ccccc2c1 ZINC000175080365 1128111732 /nfs/dbraw/zinc/11/17/32/1128111732.db2.gz FLZIGKMLRQOMKN-UHFFFAOYSA-N 1 2 268.385 3.928 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc2ccccc2c1 ZINC000175080365 1128111736 /nfs/dbraw/zinc/11/17/36/1128111736.db2.gz FLZIGKMLRQOMKN-UHFFFAOYSA-N 1 2 268.385 3.928 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nccs1)c1ccccc1)C1(Cl)CC1 ZINC000924864207 1128115322 /nfs/dbraw/zinc/11/53/22/1128115322.db2.gz JZLCRFPEMLNUID-DGCLKSJQSA-N 1 2 292.835 3.982 20 0 CHADLO CCCOc1ccc([C@H](C)[NH2+][C@H](C)c2ncco2)cc1 ZINC000924858107 1128115447 /nfs/dbraw/zinc/11/54/47/1128115447.db2.gz IBKWMPSVFXGFNK-QWHCGFSZSA-N 1 2 274.364 3.875 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+]Cc2ccc(F)c(Cl)c2)nn1 ZINC000924904159 1128116712 /nfs/dbraw/zinc/11/67/12/1128116712.db2.gz ZLOAJOFGHGFITF-JTQLQIEISA-N 1 2 296.777 3.502 20 0 CHADLO COc1ccnc([C@@H](C)Nc2ccc([NH+]3CCCC3)cc2)c1 ZINC000925725896 1128139190 /nfs/dbraw/zinc/13/91/90/1128139190.db2.gz DEXAEAHKWHWREW-CQSZACIVSA-N 1 2 297.402 3.864 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(-c2ccccc2)[nH]1)c1cccs1 ZINC000777573146 1128137867 /nfs/dbraw/zinc/13/78/67/1128137867.db2.gz TUGTXRAGMBJOAY-ZDUSSCGKSA-N 1 2 298.415 3.774 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(-c2ccccc2)n[nH]1)c1cccs1 ZINC000777573146 1128137868 /nfs/dbraw/zinc/13/78/68/1128137868.db2.gz TUGTXRAGMBJOAY-ZDUSSCGKSA-N 1 2 298.415 3.774 20 0 CHADLO Cc1ccc(N[C@H](C)c2cn3c([nH+]2)CCCC3)c(F)c1 ZINC000925956915 1128147996 /nfs/dbraw/zinc/14/79/96/1128147996.db2.gz QDFUDTWFHCRPAA-GFCCVEGCSA-N 1 2 273.355 3.840 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccns2)ccc1[NH+](C)C ZINC000926053027 1128151381 /nfs/dbraw/zinc/15/13/81/1128151381.db2.gz VBZKYSGERKPIGS-NSHDSACASA-N 1 2 261.394 3.691 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Cl)cn2)CC2(CCCCC2)O1 ZINC000653902937 1128196998 /nfs/dbraw/zinc/19/69/98/1128196998.db2.gz AUMIVKYOUBVJRD-ZDUSSCGKSA-N 1 2 294.826 3.659 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)cn2)CC2(CCCCC2)O1 ZINC000653902937 1128197001 /nfs/dbraw/zinc/19/70/01/1128197001.db2.gz AUMIVKYOUBVJRD-ZDUSSCGKSA-N 1 2 294.826 3.659 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc2ncsc2c1)c1ncco1 ZINC000926212446 1128160550 /nfs/dbraw/zinc/16/05/50/1128160550.db2.gz AOECFVVALVVZIH-VHSXEESVSA-N 1 2 273.361 3.696 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc2ncsc2c1)c1ncco1 ZINC000926212441 1128161145 /nfs/dbraw/zinc/16/11/45/1128161145.db2.gz AOECFVVALVVZIH-NXEZZACHSA-N 1 2 273.361 3.696 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+]Cc1ccc(C(F)(F)F)cc1C ZINC000926259725 1128163783 /nfs/dbraw/zinc/16/37/83/1128163783.db2.gz WBIGRXBXDUZQQO-VIFPVBQESA-N 1 2 299.296 3.556 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)CC(=O)c1cc(C)[nH]c1C ZINC000494289081 1128169025 /nfs/dbraw/zinc/16/90/25/1128169025.db2.gz YHKMYNABCRUEHY-UVTDQMKNSA-N 1 2 296.414 3.850 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)CC(=O)c1cc(C)[nH]c1C ZINC000494289081 1128169027 /nfs/dbraw/zinc/16/90/27/1128169027.db2.gz YHKMYNABCRUEHY-UVTDQMKNSA-N 1 2 296.414 3.850 20 0 CHADLO CCCCc1noc(C[N@H+](C)C/C(C)=C/c2ccccc2)n1 ZINC000494288104 1128169263 /nfs/dbraw/zinc/16/92/63/1128169263.db2.gz PAJKHYCWPXMQDY-NTCAYCPXSA-N 1 2 299.418 3.948 20 0 CHADLO CCCCc1noc(C[N@@H+](C)C/C(C)=C/c2ccccc2)n1 ZINC000494288104 1128169268 /nfs/dbraw/zinc/16/92/68/1128169268.db2.gz PAJKHYCWPXMQDY-NTCAYCPXSA-N 1 2 299.418 3.948 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(C2CC2)c1)c1ncco1 ZINC000926492538 1128175561 /nfs/dbraw/zinc/17/55/61/1128175561.db2.gz FHQSELXQJCDNTC-VXGBXAGGSA-N 1 2 256.349 3.964 20 0 CHADLO Fc1cncc(C[NH2+]Cc2csc(-c3ccccc3)n2)c1 ZINC000494574416 1128178422 /nfs/dbraw/zinc/17/84/22/1128178422.db2.gz KWFMBMDRCOBOMM-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO C[C@@H](NCC(F)(F)C(C)(C)C)c1cn2c([nH+]1)CCCC2 ZINC000926730104 1128190379 /nfs/dbraw/zinc/19/03/79/1128190379.db2.gz ZYELYSZBZPPHOW-LLVKDONJSA-N 1 2 285.382 3.551 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000926899041 1128200959 /nfs/dbraw/zinc/20/09/59/1128200959.db2.gz RYKUJNUGYSSZTR-XHDPSFHLSA-N 1 2 273.355 3.585 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CCC2CCCCC2)C2CCCCC2)n1 ZINC000494906449 1128210762 /nfs/dbraw/zinc/21/07/62/1128210762.db2.gz DLOWIZPHOOKLNH-INIZCTEOSA-N 1 2 290.455 3.986 20 0 CHADLO C[C@@H]1CCC[C@@H](C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000494925331 1128213554 /nfs/dbraw/zinc/21/35/54/1128213554.db2.gz UCRLOLRLPBRIHA-IIAWOOMASA-N 1 2 290.455 3.842 20 0 CHADLO COc1ccnc([C@H](C)[NH2+]Cc2c(F)cc(C)cc2F)c1 ZINC000927093038 1128216560 /nfs/dbraw/zinc/21/65/60/1128216560.db2.gz NFJGWSFKGVUDFA-NSHDSACASA-N 1 2 292.329 3.528 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1)C1CCC1 ZINC000777658813 1128234348 /nfs/dbraw/zinc/23/43/48/1128234348.db2.gz HZHPTWVMWBKPJH-RBSFLKMASA-N 1 2 288.435 3.901 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1)C1CC1 ZINC000777693312 1128242976 /nfs/dbraw/zinc/24/29/76/1128242976.db2.gz MLPIQCQXTCFLBM-GUYCJALGSA-N 1 2 274.408 3.512 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccco1 ZINC000056267229 1128243200 /nfs/dbraw/zinc/24/32/00/1128243200.db2.gz MHPMUNPDZGEKGX-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccco1 ZINC000056267229 1128243202 /nfs/dbraw/zinc/24/32/02/1128243202.db2.gz MHPMUNPDZGEKGX-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO Cc1ccc([C@@H]2[C@@H](C)CCC[N@@H+]2Cc2nncs2)cc1 ZINC000929688637 1128282437 /nfs/dbraw/zinc/28/24/37/1128282437.db2.gz MZPRXMOMHGIQGP-BBRMVZONSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@@H]2[C@@H](C)CCC[N@H+]2Cc2nncs2)cc1 ZINC000929688637 1128282440 /nfs/dbraw/zinc/28/24/40/1128282440.db2.gz MZPRXMOMHGIQGP-BBRMVZONSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1cc(NC(=O)c2cc3ccc(C(C)C)cc3[nH]2)cc[nH+]1 ZINC000915259588 1128297941 /nfs/dbraw/zinc/29/79/41/1128297941.db2.gz BGPCBNNTJSRJAQ-UHFFFAOYSA-N 1 2 293.370 3.669 20 0 CHADLO CN(C)c1cc(CSC2=Nc3ccccc3C2)cc[nH+]1 ZINC000762479348 1128302934 /nfs/dbraw/zinc/30/29/34/1128302934.db2.gz MENFWFKURWFPPD-UHFFFAOYSA-N 1 2 283.400 3.667 20 0 CHADLO Cc1nc(SCc2cc[nH+]c(N(C)C)c2)sc1C ZINC000762479032 1128302940 /nfs/dbraw/zinc/30/29/40/1128302940.db2.gz JCGSNTGOQUAEFL-UHFFFAOYSA-N 1 2 279.434 3.513 20 0 CHADLO Clc1cccc(C2CC[NH+](Cc3nncs3)CC2)c1 ZINC000930290602 1128312821 /nfs/dbraw/zinc/31/28/21/1128312821.db2.gz MWFJJAUTFNAUIA-UHFFFAOYSA-N 1 2 293.823 3.571 20 0 CHADLO CC[C@@H](CC(=O)Nc1ccc(C)[nH+]c1N(C)C)C(C)(C)C ZINC001127365244 1128313604 /nfs/dbraw/zinc/31/36/04/1128313604.db2.gz IEFYWOQPKRJTKY-ZDUSSCGKSA-N 1 2 291.439 3.857 20 0 CHADLO CC(C)c1ccccc1C[N@@H+]1Cc2ccncc2C1 ZINC000930462928 1128319301 /nfs/dbraw/zinc/31/93/01/1128319301.db2.gz QOGHAQYORQPRSB-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO CC(C)c1ccccc1C[N@H+]1Cc2ccncc2C1 ZINC000930462928 1128319304 /nfs/dbraw/zinc/31/93/04/1128319304.db2.gz QOGHAQYORQPRSB-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO CNc1ccc(Nc2nc(Cl)ccc2Cl)c[nH+]1 ZINC001203453191 1128328678 /nfs/dbraw/zinc/32/86/78/1128328678.db2.gz XZWCLVKMOCEWER-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO CNc1ccc(Nc2cc(C(C)(C)C)ns2)c[nH+]1 ZINC001203453234 1128329969 /nfs/dbraw/zinc/32/99/69/1128329969.db2.gz ZIEAEHWPKVEPFG-UHFFFAOYSA-N 1 2 262.382 3.621 20 0 CHADLO c1nnc(C[N@H+](C2CC2)[C@@H]2CCCc3ccccc32)s1 ZINC000929890018 1128330852 /nfs/dbraw/zinc/33/08/52/1128330852.db2.gz LPJFEXXLXQSBQA-OAHLLOKOSA-N 1 2 285.416 3.580 20 0 CHADLO c1nnc(C[N@@H+](C2CC2)[C@@H]2CCCc3ccccc32)s1 ZINC000929890018 1128330856 /nfs/dbraw/zinc/33/08/56/1128330856.db2.gz LPJFEXXLXQSBQA-OAHLLOKOSA-N 1 2 285.416 3.580 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC[C@]3(CC3(F)F)C2)co1 ZINC000930861616 1128337982 /nfs/dbraw/zinc/33/79/82/1128337982.db2.gz AXPNJPHQVKEGMG-AWEZNQCLSA-N 1 2 284.350 3.593 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC[C@]3(CC3(F)F)C2)co1 ZINC000930861616 1128337985 /nfs/dbraw/zinc/33/79/85/1128337985.db2.gz AXPNJPHQVKEGMG-AWEZNQCLSA-N 1 2 284.350 3.593 20 0 CHADLO CC[N@H+](Cc1cocn1)Cc1ccc(C(F)(F)F)cc1 ZINC000762970883 1128340200 /nfs/dbraw/zinc/34/02/00/1128340200.db2.gz YMJADRJPPMELCX-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO CC[N@@H+](Cc1cocn1)Cc1ccc(C(F)(F)F)cc1 ZINC000762970883 1128340205 /nfs/dbraw/zinc/34/02/05/1128340205.db2.gz YMJADRJPPMELCX-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000930970544 1128342306 /nfs/dbraw/zinc/34/23/06/1128342306.db2.gz ZRWZVFSEKNNIES-XHDPSFHLSA-N 1 2 274.314 3.868 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000930970544 1128342309 /nfs/dbraw/zinc/34/23/09/1128342309.db2.gz ZRWZVFSEKNNIES-XHDPSFHLSA-N 1 2 274.314 3.868 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cccc(Br)c1Cl ZINC001235594080 1128343789 /nfs/dbraw/zinc/34/37/89/1128343789.db2.gz PKEJLRUCWZVUAW-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cccc(Br)c1Cl ZINC001235594080 1128343793 /nfs/dbraw/zinc/34/37/93/1128343793.db2.gz PKEJLRUCWZVUAW-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO C[N@H+](Cc1c(F)cc(Cl)cc1Cl)[C@H]1CCCOC1 ZINC001235743168 1128350670 /nfs/dbraw/zinc/35/06/70/1128350670.db2.gz RCCBVQQVPURHED-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO C[N@@H+](Cc1c(F)cc(Cl)cc1Cl)[C@H]1CCCOC1 ZINC001235743168 1128350673 /nfs/dbraw/zinc/35/06/73/1128350673.db2.gz RCCBVQQVPURHED-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2ccc(F)cc2C)o1 ZINC000932560555 1128414711 /nfs/dbraw/zinc/41/47/11/1128414711.db2.gz LXSLEMMYUCRWBX-NEPJUHHUSA-N 1 2 262.328 3.842 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C(F)(F)F)Cc2c[nH]nc21 ZINC000931452409 1128361922 /nfs/dbraw/zinc/36/19/22/1128361922.db2.gz FOPBMLGKYUCTFG-SNVBAGLBSA-N 1 2 295.308 3.548 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)Cc2c[nH]nc21 ZINC000931452409 1128361926 /nfs/dbraw/zinc/36/19/26/1128361926.db2.gz FOPBMLGKYUCTFG-SNVBAGLBSA-N 1 2 295.308 3.548 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC2(CCCCC2)CC1 ZINC000931566265 1128370178 /nfs/dbraw/zinc/37/01/78/1128370178.db2.gz QFWSZMVKYDYTJP-UHFFFAOYSA-N 1 2 297.402 3.749 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccc1)c1nc(C(C)(C)C)no1 ZINC000107070781 1128378856 /nfs/dbraw/zinc/37/88/56/1128378856.db2.gz CFCSWAMVXNQHKZ-NWDGAFQWSA-N 1 2 273.380 3.779 20 0 CHADLO CCc1noc(C[NH2+][C@H]2CCc3cccc4cccc2c43)n1 ZINC000931805850 1128381527 /nfs/dbraw/zinc/38/15/27/1128381527.db2.gz HXWWKFONXYWBAR-HNNXBMFYSA-N 1 2 293.370 3.562 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@@H]1CCC[C@@H]1c1ccccc1Cl ZINC000763272809 1128387007 /nfs/dbraw/zinc/38/70/07/1128387007.db2.gz VGMQBIUYLWSFHC-NJZAAPMLSA-N 1 2 295.810 3.517 20 0 CHADLO Cc1cn2c(cccc2-c2cc(O)cc(Cl)c2)[nH+]1 ZINC001235903845 1128387226 /nfs/dbraw/zinc/38/72/26/1128387226.db2.gz JTYYMKMUJGCZCY-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO CC[C@H](C[NH2+][C@@H](C)C(=O)OC1CCCC1)c1ccccc1 ZINC000763286929 1128388362 /nfs/dbraw/zinc/38/83/62/1128388362.db2.gz JURYPTCEDIVHDX-LSDHHAIUSA-N 1 2 289.419 3.644 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC000562237089 1128389562 /nfs/dbraw/zinc/38/95/62/1128389562.db2.gz SAMHACPPAPOQNE-CQSZACIVSA-N 1 2 259.397 3.533 20 0 CHADLO Fc1cccc(C[N@H+](Cc2nncs2)C2CCCC2)c1 ZINC000929911999 1128396741 /nfs/dbraw/zinc/39/67/41/1128396741.db2.gz LNOXSJJSRPVJIT-UHFFFAOYSA-N 1 2 291.395 3.622 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2nncs2)C2CCCC2)c1 ZINC000929911999 1128396745 /nfs/dbraw/zinc/39/67/45/1128396745.db2.gz LNOXSJJSRPVJIT-UHFFFAOYSA-N 1 2 291.395 3.622 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@@H](c2ccccn2)C2CC2)co1 ZINC000932385709 1128407109 /nfs/dbraw/zinc/40/71/09/1128407109.db2.gz KOKNWSGWYOXTQF-OAHLLOKOSA-N 1 2 285.391 3.608 20 0 CHADLO Cc1cnc(C[N@H+](Cc2cccc(F)c2)C2CCC2)o1 ZINC000932407390 1128408660 /nfs/dbraw/zinc/40/86/60/1128408660.db2.gz ZCXZUERYOODMIH-UHFFFAOYSA-N 1 2 274.339 3.677 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2cccc(F)c2)C2CCC2)o1 ZINC000932407390 1128408662 /nfs/dbraw/zinc/40/86/62/1128408662.db2.gz ZCXZUERYOODMIH-UHFFFAOYSA-N 1 2 274.339 3.677 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC[C@]2(CC=CCC2)C1 ZINC000932852272 1128423702 /nfs/dbraw/zinc/42/37/02/1128423702.db2.gz POIUKGPPPCWQBI-GOSISDBHSA-N 1 2 295.386 3.525 20 0 CHADLO Cc1cc(C)c(NC(=O)c2noc3c2CCCCC3)c(C)[nH+]1 ZINC000125992848 1128459995 /nfs/dbraw/zinc/45/99/95/1128459995.db2.gz CPYJCNJISYQQCM-UHFFFAOYSA-N 1 2 299.374 3.516 20 0 CHADLO C[C@@H]([NH2+]C/C(Cl)=C\Cl)c1cnn(CC2CCC2)c1 ZINC000934561825 1128462900 /nfs/dbraw/zinc/46/29/00/1128462900.db2.gz ISCHQSDQRYHSQC-ASOMJZDJSA-N 1 2 288.222 3.653 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](C)c2nc(C3CC3)no2)cc1F ZINC000126692179 1128465695 /nfs/dbraw/zinc/46/56/95/1128465695.db2.gz BGDFIGNAIGBYSU-GHMZBOCLSA-N 1 2 289.354 3.806 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](C)c2ccc(C3CC3)cc2)n1 ZINC000563799745 1128479528 /nfs/dbraw/zinc/47/95/28/1128479528.db2.gz NAMBBVVSNUOTAL-VXGBXAGGSA-N 1 2 285.391 3.921 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1coc(-c2ccccc2)n1 ZINC000127527517 1128479544 /nfs/dbraw/zinc/47/95/44/1128479544.db2.gz FHBHHXVKZHJBIT-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1coc(-c2ccccc2)n1 ZINC000127527517 1128479547 /nfs/dbraw/zinc/47/95/47/1128479547.db2.gz FHBHHXVKZHJBIT-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO CC(C)OC[C@H]1C[N@@H+]([C@H](C)c2ccc(Cl)cc2)CCO1 ZINC000564130102 1128495079 /nfs/dbraw/zinc/49/50/79/1128495079.db2.gz WNDWYXNJFYBHQT-CZUORRHYSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@H]1C[N@H+]([C@H](C)c2ccc(Cl)cc2)CCO1 ZINC000564130102 1128495082 /nfs/dbraw/zinc/49/50/82/1128495082.db2.gz WNDWYXNJFYBHQT-CZUORRHYSA-N 1 2 297.826 3.527 20 0 CHADLO Cc1cnc(CC[N@H+](CC(F)F)CC2CCC2)c(C)c1 ZINC000564207769 1128498196 /nfs/dbraw/zinc/49/81/96/1128498196.db2.gz HDQSCILDHGHKTD-UHFFFAOYSA-N 1 2 282.378 3.608 20 0 CHADLO Cc1cnc(CC[N@@H+](CC(F)F)CC2CCC2)c(C)c1 ZINC000564207769 1128498198 /nfs/dbraw/zinc/49/81/98/1128498198.db2.gz HDQSCILDHGHKTD-UHFFFAOYSA-N 1 2 282.378 3.608 20 0 CHADLO COCc1ccc(C[NH2+]C2(C(F)F)CCCC2)cc1 ZINC000674143867 1128501182 /nfs/dbraw/zinc/50/11/82/1128501182.db2.gz AZTBDZREKVGADQ-UHFFFAOYSA-N 1 2 269.335 3.501 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@]1(C)C=CCC1 ZINC000935759536 1128501722 /nfs/dbraw/zinc/50/17/22/1128501722.db2.gz LAZAYERUMMNVOC-KRWDZBQOSA-N 1 2 281.359 3.680 20 0 CHADLO C[N@H+](Cc1cnns1)Cc1ccccc1-c1ccccc1 ZINC000564577111 1128512128 /nfs/dbraw/zinc/51/21/28/1128512128.db2.gz OAVPVOULWNSVAA-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1cnns1)Cc1ccccc1-c1ccccc1 ZINC000564577111 1128512130 /nfs/dbraw/zinc/51/21/30/1128512130.db2.gz OAVPVOULWNSVAA-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Clc1nccc(Nc2cccc3[nH+]ccn32)c1Cl ZINC001212229808 1128518565 /nfs/dbraw/zinc/51/85/65/1128518565.db2.gz GESOVVTWOHKHFR-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Cc1nsc(C)c1C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000565156135 1128520568 /nfs/dbraw/zinc/52/05/68/1128520568.db2.gz JJSMJWIKRBLOSZ-UHFFFAOYSA-N 1 2 297.427 3.800 20 0 CHADLO Cc1nsc(C)c1C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000565156135 1128520570 /nfs/dbraw/zinc/52/05/70/1128520570.db2.gz JJSMJWIKRBLOSZ-UHFFFAOYSA-N 1 2 297.427 3.800 20 0 CHADLO CC(C)CCc1ccc(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)cc1 ZINC000565176606 1128521335 /nfs/dbraw/zinc/52/13/35/1128521335.db2.gz GXIDYOWDGIYXCH-CQSZACIVSA-N 1 2 299.418 3.816 20 0 CHADLO CC(C)CCc1ccc(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)cc1 ZINC000565176606 1128521338 /nfs/dbraw/zinc/52/13/38/1128521338.db2.gz GXIDYOWDGIYXCH-CQSZACIVSA-N 1 2 299.418 3.816 20 0 CHADLO Cc1nnsc1C[N@@H+]1CCC[C@@H]1c1cccc(Cl)c1 ZINC000566302494 1128541918 /nfs/dbraw/zinc/54/19/18/1128541918.db2.gz YZBDEAMHTVYUJV-CYBMUJFWSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1nnsc1C[N@H+]1CCC[C@@H]1c1cccc(Cl)c1 ZINC000566302494 1128541921 /nfs/dbraw/zinc/54/19/21/1128541921.db2.gz YZBDEAMHTVYUJV-CYBMUJFWSA-N 1 2 293.823 3.837 20 0 CHADLO c1cc(CNc2[nH+]c3ccccc3n2C2CC2)co1 ZINC000763427384 1128544017 /nfs/dbraw/zinc/54/40/17/1128544017.db2.gz ZFEKZPGSVGPYBQ-UHFFFAOYSA-N 1 2 253.305 3.576 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2ccc(Cl)cn2)C1 ZINC000566867951 1128553261 /nfs/dbraw/zinc/55/32/61/1128553261.db2.gz LGDWXGKQMPRNDA-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2ccc(Cl)cn2)C1 ZINC000566867951 1128553262 /nfs/dbraw/zinc/55/32/62/1128553262.db2.gz LGDWXGKQMPRNDA-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO Oc1cccc(C[N@@H+]2CCCn3c(cc4ccccc43)C2)c1 ZINC000566882770 1128553700 /nfs/dbraw/zinc/55/37/00/1128553700.db2.gz VTHZDBMDDRYOFY-UHFFFAOYSA-N 1 2 292.382 3.753 20 0 CHADLO Oc1cccc(C[N@H+]2CCCn3c(cc4ccccc43)C2)c1 ZINC000566882770 1128553703 /nfs/dbraw/zinc/55/37/03/1128553703.db2.gz VTHZDBMDDRYOFY-UHFFFAOYSA-N 1 2 292.382 3.753 20 0 CHADLO FC[C@H]1CCC[N@@H+]1CCOc1ccc(Cl)cc1Cl ZINC000567295528 1128562095 /nfs/dbraw/zinc/56/20/95/1128562095.db2.gz GZHRLVCMCANUPU-LLVKDONJSA-N 1 2 292.181 3.806 20 0 CHADLO FC[C@H]1CCC[N@H+]1CCOc1ccc(Cl)cc1Cl ZINC000567295528 1128562098 /nfs/dbraw/zinc/56/20/98/1128562098.db2.gz GZHRLVCMCANUPU-LLVKDONJSA-N 1 2 292.181 3.806 20 0 CHADLO CCCN(CC1CCCCC1)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000568014069 1128576022 /nfs/dbraw/zinc/57/60/22/1128576022.db2.gz UBTINFLHSJSCLE-OAHLLOKOSA-N 1 2 291.439 3.653 20 0 CHADLO C[C@]1(F)CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000568734331 1128590820 /nfs/dbraw/zinc/59/08/20/1128590820.db2.gz WBXKSARJDNCYRM-AWEZNQCLSA-N 1 2 264.731 3.827 20 0 CHADLO CCC(F)(F)Cn1c[nH+]c(Cc2ccccc2)c1 ZINC000919149691 1128592460 /nfs/dbraw/zinc/59/24/60/1128592460.db2.gz CVJXQNWQJPWQPU-UHFFFAOYSA-N 1 2 250.292 3.519 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)ncc2C)cc1 ZINC001212853720 1128598374 /nfs/dbraw/zinc/59/83/74/1128598374.db2.gz GLMWZQWFHZRBQR-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)ncc2C)cc1 ZINC001212853720 1128598377 /nfs/dbraw/zinc/59/83/77/1128598377.db2.gz GLMWZQWFHZRBQR-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CCOCC(C)(C)CNc1cc[nH+]c2c(OC)cccc12 ZINC000569953146 1128612931 /nfs/dbraw/zinc/61/29/31/1128612931.db2.gz GUFGWHKTWWJGGH-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc(C(C)(C)C)cc1)n1cc[nH+]c1 ZINC000570350193 1128621966 /nfs/dbraw/zinc/62/19/66/1128621966.db2.gz CFAYLARITJPSBA-ZDUSSCGKSA-N 1 2 285.391 3.770 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@H+](C)Cc2nc(C3CC3)nn2C)c1 ZINC000570797477 1128631811 /nfs/dbraw/zinc/63/18/11/1128631811.db2.gz FWODAXROXFGOJX-CQSZACIVSA-N 1 2 298.434 3.502 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@@H+](C)Cc2nc(C3CC3)nn2C)c1 ZINC000570797477 1128631813 /nfs/dbraw/zinc/63/18/13/1128631813.db2.gz FWODAXROXFGOJX-CQSZACIVSA-N 1 2 298.434 3.502 20 0 CHADLO CC[N@H+](Cc1ccccc1)[C@H](C)c1nc(C(C)(C)C)no1 ZINC000132068702 1128642482 /nfs/dbraw/zinc/64/24/82/1128642482.db2.gz ZAZVEHLBPGCMBA-CYBMUJFWSA-N 1 2 287.407 3.950 20 0 CHADLO CC[N@@H+](Cc1ccccc1)[C@H](C)c1nc(C(C)(C)C)no1 ZINC000132068702 1128642483 /nfs/dbraw/zinc/64/24/83/1128642483.db2.gz ZAZVEHLBPGCMBA-CYBMUJFWSA-N 1 2 287.407 3.950 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccc3c(n2)CCC3)c1 ZINC000573183818 1128652115 /nfs/dbraw/zinc/65/21/15/1128652115.db2.gz QPRUPPQPIJUUEP-UHFFFAOYSA-N 1 2 299.443 3.831 20 0 CHADLO Cc1cc(NC(=O)c2ccccc2C(C)(C)C)cc[nH+]1 ZINC000132584474 1128655089 /nfs/dbraw/zinc/65/50/89/1128655089.db2.gz LSFXTXBJQKMTPJ-UHFFFAOYSA-N 1 2 268.360 3.940 20 0 CHADLO Cc1ccc(CSCC(=O)c2ccccc2)c(C)[nH+]1 ZINC000601084828 1128697061 /nfs/dbraw/zinc/69/70/61/1128697061.db2.gz FIXFLUYMPHGGKV-UHFFFAOYSA-N 1 2 271.385 3.815 20 0 CHADLO CC(C)CC[N@H+](C)Cn1nc(-c2ccccc2)oc1=S ZINC000921050446 1128699311 /nfs/dbraw/zinc/69/93/11/1128699311.db2.gz PZAWFMNFRUFTIX-UHFFFAOYSA-N 1 2 291.420 3.808 20 0 CHADLO CC(C)CC[N@@H+](C)Cn1nc(-c2ccccc2)oc1=S ZINC000921050446 1128699313 /nfs/dbraw/zinc/69/93/13/1128699313.db2.gz PZAWFMNFRUFTIX-UHFFFAOYSA-N 1 2 291.420 3.808 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cc(C(C)(C)C)on1)CC2 ZINC000578560399 1128721954 /nfs/dbraw/zinc/72/19/54/1128721954.db2.gz NJVWEGGHPGXCJN-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cc(C(C)(C)C)on1)CC2 ZINC000578560399 1128721956 /nfs/dbraw/zinc/72/19/56/1128721956.db2.gz NJVWEGGHPGXCJN-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO CCSc1cccc(C[NH2+][C@H](C)c2nnc(C)s2)c1 ZINC000274491304 1117744931 /nfs/dbraw/zinc/74/49/31/1117744931.db2.gz ZUAOKANBUGPDFR-SNVBAGLBSA-N 1 2 293.461 3.809 20 0 CHADLO CC(C)c1cc(C[NH2+]Cc2cc(F)c(F)c(F)c2)on1 ZINC000114510261 1117763127 /nfs/dbraw/zinc/76/31/27/1117763127.db2.gz OEJVLWLXYRNFIX-UHFFFAOYSA-N 1 2 284.281 3.505 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc3c(c2)C[C@H](C)O3)c(C)[nH+]1 ZINC000622777006 1117766734 /nfs/dbraw/zinc/76/67/34/1117766734.db2.gz ZLAIMBPFKMTFHO-LBPRGKRZSA-N 1 2 296.370 3.583 20 0 CHADLO COc1ccc(CCNc2cc(C)[nH+]c(C(C)C)n2)cc1 ZINC000035121284 1117778689 /nfs/dbraw/zinc/77/86/89/1117778689.db2.gz QLHFXJNRQIZCHI-UHFFFAOYSA-N 1 2 285.391 3.572 20 0 CHADLO Cc1cc(NCc2ccccc2F)nc(C(C)C)[nH+]1 ZINC000035121383 1117779385 /nfs/dbraw/zinc/77/93/85/1117779385.db2.gz FVVKILCVCOZCII-UHFFFAOYSA-N 1 2 259.328 3.660 20 0 CHADLO CC1(C)CCC[C@H](CC(=O)NCc2ccn3cc[nH+]c3c2)C1 ZINC000339449519 1117798360 /nfs/dbraw/zinc/79/83/60/1117798360.db2.gz VIIHNMAYJWKKTM-CQSZACIVSA-N 1 2 299.418 3.557 20 0 CHADLO Cc1cc2c(ncnc2Nc2c[nH+]c(C)cc2C)s1 ZINC001213503750 1117806952 /nfs/dbraw/zinc/80/69/52/1117806952.db2.gz WJGNSLMVNPSEHT-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cc1cc(C)c(Nc2cc(-c3ccccc3)nn2C)c[nH+]1 ZINC001213505565 1117821474 /nfs/dbraw/zinc/82/14/74/1117821474.db2.gz IUQOQEJNRLJWKV-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO Cc1c(Cl)ccc(C[N@@H+]2CCn3cccc3C2)c1C ZINC001238218796 1117823403 /nfs/dbraw/zinc/82/34/03/1117823403.db2.gz LWCXOCXTSFABHX-UHFFFAOYSA-N 1 2 274.795 3.774 20 0 CHADLO Cc1c(Cl)ccc(C[N@H+]2CCn3cccc3C2)c1C ZINC001238218796 1117823407 /nfs/dbraw/zinc/82/34/07/1117823407.db2.gz LWCXOCXTSFABHX-UHFFFAOYSA-N 1 2 274.795 3.774 20 0 CHADLO CC(C)c1cnc(C[NH2+]C2(c3ccccc3)CCC2)o1 ZINC000733307726 1117835851 /nfs/dbraw/zinc/83/58/51/1117835851.db2.gz QDIPOFXEAOCLPZ-UHFFFAOYSA-N 1 2 270.376 3.967 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1cc(Cl)cc(Cl)c1OC ZINC000430195755 1117845725 /nfs/dbraw/zinc/84/57/25/1117845725.db2.gz JXPZHKJTRVCPFR-NSHDSACASA-N 1 2 274.191 3.986 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1cc(Cl)cc(Cl)c1OC ZINC000430195755 1117845732 /nfs/dbraw/zinc/84/57/32/1117845732.db2.gz JXPZHKJTRVCPFR-NSHDSACASA-N 1 2 274.191 3.986 20 0 CHADLO CCCCc1noc(C[N@H+](Cc2ccccc2)C(C)C)n1 ZINC000042632548 1117847358 /nfs/dbraw/zinc/84/73/58/1117847358.db2.gz WHTJUTYZLLXFQJ-UHFFFAOYSA-N 1 2 287.407 3.823 20 0 CHADLO CCCCc1noc(C[N@@H+](Cc2ccccc2)C(C)C)n1 ZINC000042632548 1117847366 /nfs/dbraw/zinc/84/73/66/1117847366.db2.gz WHTJUTYZLLXFQJ-UHFFFAOYSA-N 1 2 287.407 3.823 20 0 CHADLO c1cn2c(n1)[C@@H]([NH2+]C(c1ccccc1)c1ccccc1)CC2 ZINC000345351976 1117849773 /nfs/dbraw/zinc/84/97/73/1117849773.db2.gz PFUOLFALYNGODJ-KRWDZBQOSA-N 1 2 289.382 3.707 20 0 CHADLO Cc1nc2ccc(C[NH2+]C(C)(C)C(F)F)cc2s1 ZINC000684414280 1117850092 /nfs/dbraw/zinc/85/00/92/1117850092.db2.gz WTPANFJXCJSWIQ-UHFFFAOYSA-N 1 2 270.348 3.738 20 0 CHADLO CCOc1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1C ZINC001214320778 1128738733 /nfs/dbraw/zinc/73/87/33/1128738733.db2.gz CHQLHMWHWNDLJZ-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccc(C)o1)c1cccc(Cl)c1F ZINC000631730602 1128739154 /nfs/dbraw/zinc/73/91/54/1128739154.db2.gz YWGYTTWZBKNEDG-CQSZACIVSA-N 1 2 297.757 3.858 20 0 CHADLO Cc1cc(C)c(Nc2cc(-c3ccccc3)cnn2)c[nH+]1 ZINC001213513479 1117873149 /nfs/dbraw/zinc/87/31/49/1117873149.db2.gz NNIMLZQWIAJVKU-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO COc1ccc(C[NH2+][C@H](CF)c2ccc(F)cc2)cc1F ZINC000631285274 1117878085 /nfs/dbraw/zinc/87/80/85/1117878085.db2.gz ZXOACHWHOXBPJU-OAHLLOKOSA-N 1 2 295.304 3.774 20 0 CHADLO Cn1cc(Cl)c(C[NH2+][C@H]2CCc3c2cccc3Cl)n1 ZINC000579197651 1128741271 /nfs/dbraw/zinc/74/12/71/1128741271.db2.gz KQLCWOAXQOAKEV-ZDUSSCGKSA-N 1 2 296.201 3.504 20 0 CHADLO COc1cc(C)c[nH+]c1N1CC[C@H](C2CCCCC2)C1 ZINC001167068647 1117878841 /nfs/dbraw/zinc/87/88/41/1117878841.db2.gz VWMMLARXPRURPY-HNNXBMFYSA-N 1 2 274.408 3.805 20 0 CHADLO C[C@@H]([NH2+]Cc1cc[nH]n1)c1ccc(-c2ccccc2)o1 ZINC000177958560 1117882478 /nfs/dbraw/zinc/88/24/78/1117882478.db2.gz UBLNGDZWLVVQPO-GFCCVEGCSA-N 1 2 267.332 3.521 20 0 CHADLO Cc1oc2ccc(NC(=O)[C@H](C)Cc3c[nH]c[nH+]3)cc2c1C ZINC000579240838 1128741567 /nfs/dbraw/zinc/74/15/67/1128741567.db2.gz BCKDQMROKZSFBD-SNVBAGLBSA-N 1 2 297.358 3.590 20 0 CHADLO Cc1oc2ccc(NC(=O)[C@H](C)Cc3c[nH+]c[nH]3)cc2c1C ZINC000579240838 1128741569 /nfs/dbraw/zinc/74/15/69/1128741569.db2.gz BCKDQMROKZSFBD-SNVBAGLBSA-N 1 2 297.358 3.590 20 0 CHADLO Cc1ccc(C)c(NC(=O)C[N@@H+]2CC[C@@H]2c2ccccc2)c1 ZINC000471168072 1117910096 /nfs/dbraw/zinc/91/00/96/1117910096.db2.gz HEXSXCSZUAATEU-GOSISDBHSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1ccc(C)c(NC(=O)C[N@H+]2CC[C@@H]2c2ccccc2)c1 ZINC000471168072 1117910098 /nfs/dbraw/zinc/91/00/98/1117910098.db2.gz HEXSXCSZUAATEU-GOSISDBHSA-N 1 2 294.398 3.689 20 0 CHADLO CCC(CC)[C@H]([NH2+]Cc1noc(C)n1)c1ccccc1 ZINC000179788304 1117912559 /nfs/dbraw/zinc/91/25/59/1117912559.db2.gz ZCJYQYGFPUEFBX-INIZCTEOSA-N 1 2 273.380 3.645 20 0 CHADLO CCOC(=O)C[N@H+](CCC1CCCC1)Cc1ccsc1 ZINC001208694027 1117915387 /nfs/dbraw/zinc/91/53/87/1117915387.db2.gz HQVIKARLHQHYQY-UHFFFAOYSA-N 1 2 295.448 3.694 20 0 CHADLO CCOC(=O)C[N@@H+](CCC1CCCC1)Cc1ccsc1 ZINC001208694027 1117915393 /nfs/dbraw/zinc/91/53/93/1117915393.db2.gz HQVIKARLHQHYQY-UHFFFAOYSA-N 1 2 295.448 3.694 20 0 CHADLO Clc1ccc2c(c1)nccc2SCCn1cc[nH+]c1 ZINC000180020410 1117919873 /nfs/dbraw/zinc/91/98/73/1117919873.db2.gz FAVAVQXULOGWNJ-UHFFFAOYSA-N 1 2 289.791 3.877 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2CC[C@@H]2c2ccccc2)c(C)c1 ZINC000471174754 1117922454 /nfs/dbraw/zinc/92/24/54/1117922454.db2.gz CEAMKRUGEQNDLI-GOSISDBHSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2CC[C@@H]2c2ccccc2)c(C)c1 ZINC000471174754 1117922456 /nfs/dbraw/zinc/92/24/56/1117922456.db2.gz CEAMKRUGEQNDLI-GOSISDBHSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1cc(-c2noc(C[N@@H+](C)Cc3ccccc3)n2)c(C)o1 ZINC000351070301 1117925707 /nfs/dbraw/zinc/92/57/07/1117925707.db2.gz QERBAOUGJDRHTN-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1cc(-c2noc(C[N@H+](C)Cc3ccccc3)n2)c(C)o1 ZINC000351070301 1117925710 /nfs/dbraw/zinc/92/57/10/1117925710.db2.gz QERBAOUGJDRHTN-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO F[C@H]1C[N@@H+](CCc2ccc(Cl)cc2)CCC1(F)F ZINC001208737476 1117928478 /nfs/dbraw/zinc/92/84/78/1117928478.db2.gz ZIVXXAZDZLPJTP-LBPRGKRZSA-N 1 2 277.717 3.562 20 0 CHADLO F[C@H]1C[N@H+](CCc2ccc(Cl)cc2)CCC1(F)F ZINC001208737476 1117928476 /nfs/dbraw/zinc/92/84/76/1117928476.db2.gz ZIVXXAZDZLPJTP-LBPRGKRZSA-N 1 2 277.717 3.562 20 0 CHADLO C/C(=C\C(C)(C)C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000185860641 1117931587 /nfs/dbraw/zinc/93/15/87/1117931587.db2.gz AQZXESRNUPHLHV-ACCUITESSA-N 1 2 283.375 3.803 20 0 CHADLO COc1ccc[nH+]c1NCCCO[C@H](C)c1ccccc1 ZINC000623813488 1117939264 /nfs/dbraw/zinc/93/92/64/1117939264.db2.gz QBYJDXBFFKSELB-CQSZACIVSA-N 1 2 286.375 3.670 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@H]1CCCc2scnc21 ZINC000623852483 1117946465 /nfs/dbraw/zinc/94/64/65/1117946465.db2.gz XWHJJTVHKFKKRB-YPMHNXCESA-N 1 2 288.416 3.880 20 0 CHADLO CC[N@H+](Cc1nccc(C)n1)[C@@H](C)c1ccc(F)cc1 ZINC000339646384 1117946789 /nfs/dbraw/zinc/94/67/89/1117946789.db2.gz BCVMAQJVJDFVRD-ZDUSSCGKSA-N 1 2 273.355 3.507 20 0 CHADLO CC[N@@H+](Cc1nccc(C)n1)[C@@H](C)c1ccc(F)cc1 ZINC000339646384 1117946792 /nfs/dbraw/zinc/94/67/92/1117946792.db2.gz BCVMAQJVJDFVRD-ZDUSSCGKSA-N 1 2 273.355 3.507 20 0 CHADLO Cc1ccc(F)c(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000339651329 1117948016 /nfs/dbraw/zinc/94/80/16/1117948016.db2.gz MNHFGCXQBKAHFP-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC[C@H](CC3CC3)C2)n1 ZINC000339678505 1117953139 /nfs/dbraw/zinc/95/31/39/1117953139.db2.gz GIZKDEUOPWCBHH-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC[C@H](CC3CC3)C2)n1 ZINC000339678505 1117953140 /nfs/dbraw/zinc/95/31/40/1117953140.db2.gz GIZKDEUOPWCBHH-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO CC(C)[N@H+](Cc1nnc(C(C)(C)C)o1)Cc1ccccc1 ZINC000073653269 1117958553 /nfs/dbraw/zinc/95/85/53/1117958553.db2.gz MKXJABBFBAAKFK-UHFFFAOYSA-N 1 2 287.407 3.778 20 0 CHADLO CC(C)[N@@H+](Cc1nnc(C(C)(C)C)o1)Cc1ccccc1 ZINC000073653269 1117958555 /nfs/dbraw/zinc/95/85/55/1117958555.db2.gz MKXJABBFBAAKFK-UHFFFAOYSA-N 1 2 287.407 3.778 20 0 CHADLO Cc1csc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)n1 ZINC000623942430 1117959438 /nfs/dbraw/zinc/95/94/38/1117959438.db2.gz JKDLGJIGOGRPAO-GFCCVEGCSA-N 1 2 288.420 3.620 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H]2CCOc3c(F)cccc32)n1 ZINC000623966142 1117963239 /nfs/dbraw/zinc/96/32/39/1117963239.db2.gz FZZGSVRWXGAAOT-ZWNOBZJWSA-N 1 2 292.379 3.765 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1csnn1)c1ccc(F)cc1 ZINC000037119217 1117966592 /nfs/dbraw/zinc/96/65/92/1117966592.db2.gz WHWFXUFITLRYEQ-ZDUSSCGKSA-N 1 2 279.384 3.554 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cnc(Cl)cc3C)n2c1 ZINC000186053555 1117974790 /nfs/dbraw/zinc/97/47/90/1117974790.db2.gz UMJPMMYPTBRZPD-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CC(C)=CCC[C@H](C)[NH2+]CC(F)(F)C(F)(F)F ZINC001258078940 1128747535 /nfs/dbraw/zinc/74/75/35/1128747535.db2.gz JPZXHEQJWRZPKU-VIFPVBQESA-N 1 2 259.262 3.909 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc(-n2cccn2)cc1 ZINC000624147517 1117988940 /nfs/dbraw/zinc/98/89/40/1117988940.db2.gz CIJKLOXAUMTYJU-LBPRGKRZSA-N 1 2 279.334 3.568 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](c1ccc2[nH]c(=O)oc2c1)C(C)C ZINC000624147764 1117989137 /nfs/dbraw/zinc/98/91/37/1117989137.db2.gz KEQXSFRBJQHSJL-ZDUSSCGKSA-N 1 2 298.333 3.865 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(C)c3ccccc3n2)cc1F ZINC000078794755 1118011020 /nfs/dbraw/zinc/01/10/20/1118011020.db2.gz ZFUARFGOPWBRML-UHFFFAOYSA-N 1 2 295.361 3.676 20 0 CHADLO FC1(C2CC2)C[NH+](CCCCCc2ccccc2)C1 ZINC001209228097 1118018600 /nfs/dbraw/zinc/01/86/00/1118018600.db2.gz UROKCLYUJAYDCN-UHFFFAOYSA-N 1 2 261.384 3.833 20 0 CHADLO C[C@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1nccs1 ZINC000182910215 1118032303 /nfs/dbraw/zinc/03/23/03/1118032303.db2.gz DCJTVNRJAZDJEX-JTQLQIEISA-N 1 2 288.351 3.641 20 0 CHADLO Cc1cc(C)c(CNc2cc(Cl)ccc2[N+](=O)[O-])c[nH+]1 ZINC000397023014 1118040167 /nfs/dbraw/zinc/04/01/67/1118040167.db2.gz SQYHQRMETFLADD-UHFFFAOYSA-N 1 2 291.738 3.872 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCc3ccc(Cl)cc3C2)c1 ZINC001206847194 1118052527 /nfs/dbraw/zinc/05/25/27/1118052527.db2.gz JFFWCPYWZXSDPK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccnc(C[N@H+]2CCc3ccc(Cl)cc3C2)c1 ZINC001206847194 1118052530 /nfs/dbraw/zinc/05/25/30/1118052530.db2.gz JFFWCPYWZXSDPK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCc2[nH]ncc21)c1ccc(C)cc1 ZINC000367601554 1118055460 /nfs/dbraw/zinc/05/54/60/1118055460.db2.gz OKQNETFPKQTKNA-JKSUJKDBSA-N 1 2 269.392 3.836 20 0 CHADLO COc1cc(F)cc(CNc2cc(CSC)cc[nH+]2)c1 ZINC000091685394 1118066783 /nfs/dbraw/zinc/06/67/83/1118066783.db2.gz KNIDSEHSPQMDCL-UHFFFAOYSA-N 1 2 292.379 3.704 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(cccc3C(F)(F)F)C2)[nH]1 ZINC001209579650 1118081730 /nfs/dbraw/zinc/08/17/30/1118081730.db2.gz WPMDFOGMWLIDRQ-UHFFFAOYSA-N 1 2 294.320 3.900 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(cccc3C(F)(F)F)C2)[nH]1 ZINC001209579650 1118081736 /nfs/dbraw/zinc/08/17/36/1118081736.db2.gz WPMDFOGMWLIDRQ-UHFFFAOYSA-N 1 2 294.320 3.900 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2csc(C3CC3)n2)c1 ZINC000340184548 1118104600 /nfs/dbraw/zinc/10/46/00/1118104600.db2.gz SJLAEFZMELAJHU-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2csc(C3CC3)n2)c1 ZINC000340184548 1118104601 /nfs/dbraw/zinc/10/46/01/1118104601.db2.gz SJLAEFZMELAJHU-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO C=Cc1cccc(Nc2ccc(N(C)CC)[nH+]c2)c1 ZINC001209918591 1118111134 /nfs/dbraw/zinc/11/11/34/1118111134.db2.gz SAQFWLHZWQTWSL-UHFFFAOYSA-N 1 2 253.349 3.924 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(N3CCCC3)nc[nH+]2)s1 ZINC000764789408 1118116975 /nfs/dbraw/zinc/11/69/75/1118116975.db2.gz DOICNIDPPRBNHO-GFCCVEGCSA-N 1 2 288.420 3.620 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(N3CCCC3)[nH+]cn2)s1 ZINC000764789408 1118116978 /nfs/dbraw/zinc/11/69/78/1118116978.db2.gz DOICNIDPPRBNHO-GFCCVEGCSA-N 1 2 288.420 3.620 20 0 CHADLO CC1(C)C[N@H+](Cc2cn3ccsc3n2)Cc2ccccc21 ZINC000340234589 1118117388 /nfs/dbraw/zinc/11/73/88/1118117388.db2.gz ALMJQKSWBYOIAE-UHFFFAOYSA-N 1 2 297.427 3.689 20 0 CHADLO CC1(C)C[N@@H+](Cc2cn3ccsc3n2)Cc2ccccc21 ZINC000340234589 1118117389 /nfs/dbraw/zinc/11/73/89/1118117389.db2.gz ALMJQKSWBYOIAE-UHFFFAOYSA-N 1 2 297.427 3.689 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(OC(F)F)cc1)c1csnn1 ZINC000398297993 1118118493 /nfs/dbraw/zinc/11/84/93/1118118493.db2.gz LJXWJJYWEJWGKY-DTWKUNHWSA-N 1 2 299.346 3.551 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(OC(F)F)cc1)c1csnn1 ZINC000398297999 1118119248 /nfs/dbraw/zinc/11/92/48/1118119248.db2.gz LJXWJJYWEJWGKY-RKDXNWHRSA-N 1 2 299.346 3.551 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1ncccc1Cl ZINC000528434456 1118122735 /nfs/dbraw/zinc/12/27/35/1118122735.db2.gz UVDCALCBDKDCBW-HNNXBMFYSA-N 1 2 275.783 3.713 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1ncccc1Cl ZINC000528434456 1118122737 /nfs/dbraw/zinc/12/27/37/1118122737.db2.gz UVDCALCBDKDCBW-HNNXBMFYSA-N 1 2 275.783 3.713 20 0 CHADLO Cc1ccc(Cl)c(NCc2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000765014510 1118129449 /nfs/dbraw/zinc/12/94/49/1118129449.db2.gz OFYGEXSIFFUSIH-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Brc1ccc2c(cc[nH+]c2N2CCCC2)c1 ZINC000258420709 1118132255 /nfs/dbraw/zinc/13/22/55/1118132255.db2.gz GGNBDONPPHKZHH-UHFFFAOYSA-N 1 2 277.165 3.598 20 0 CHADLO FC1(F)CC=C(Nc2ccccc2-n2cc[nH+]c2)CC1 ZINC001210032504 1118137299 /nfs/dbraw/zinc/13/72/99/1118137299.db2.gz NIELZDRYIIBTKN-UHFFFAOYSA-N 1 2 275.302 3.987 20 0 CHADLO Cc1ccc(Cl)c(NC(=O)c2cccc3[nH+]ccn32)c1C ZINC000765183750 1118137803 /nfs/dbraw/zinc/13/78/03/1118137803.db2.gz VZASAZGEOOIGTQ-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO CNc1ccc(Nc2cccc(OC(C)C)c2)c[nH+]1 ZINC001203456546 1118141983 /nfs/dbraw/zinc/14/19/83/1118141983.db2.gz JGKGQWDPXOGESA-UHFFFAOYSA-N 1 2 257.337 3.654 20 0 CHADLO CNc1ccc(Nc2c3nsnc3ccc2Cl)c[nH+]1 ZINC001203456633 1118143271 /nfs/dbraw/zinc/14/32/71/1118143271.db2.gz NUUZXZDNVBQEEK-UHFFFAOYSA-N 1 2 291.767 3.525 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CC3(CCC3)Oc3ccccc32)no1 ZINC000765326760 1118144494 /nfs/dbraw/zinc/14/44/94/1118144494.db2.gz TZPDJJZSVFABOS-OAHLLOKOSA-N 1 2 284.359 3.519 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)[C@H](C)CC(C)C)n1 ZINC000765388888 1118148567 /nfs/dbraw/zinc/14/85/67/1118148567.db2.gz GPNPKYLPDZATRA-GFCCVEGCSA-N 1 2 297.468 3.606 20 0 CHADLO CSc1ccc(C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)cc1 ZINC000010896286 1118160034 /nfs/dbraw/zinc/16/00/34/1118160034.db2.gz DPFLPYUCOJWHLB-UHFFFAOYSA-N 1 2 297.383 3.846 20 0 CHADLO CC(C)C[C@@H](C)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000765547141 1118166771 /nfs/dbraw/zinc/16/67/71/1118166771.db2.gz ZAQPHACLCCHJKX-CQSZACIVSA-N 1 2 286.375 3.598 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1nnc(-c2ccco2)o1 ZINC000016434715 1118168370 /nfs/dbraw/zinc/16/83/70/1118168370.db2.gz YQTKLRWFGSBRGU-SNVBAGLBSA-N 1 2 289.360 3.584 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1nnc(-c2ccco2)o1 ZINC000016434715 1118168372 /nfs/dbraw/zinc/16/83/72/1118168372.db2.gz YQTKLRWFGSBRGU-SNVBAGLBSA-N 1 2 289.360 3.584 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(-c3cccs3)cc2)n1 ZINC000683521978 1118168796 /nfs/dbraw/zinc/16/87/96/1118168796.db2.gz OLLUGLKZHLEYHO-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c(C)c1 ZINC000023889676 1118180509 /nfs/dbraw/zinc/18/05/09/1118180509.db2.gz HIITUHVVKKIMEI-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO COc1cc(C)c(CNc2cc(C)c[nH+]c2C)cc1OC ZINC000650242209 1118187118 /nfs/dbraw/zinc/18/71/18/1118187118.db2.gz CFCLUEWWYKXSGZ-UHFFFAOYSA-N 1 2 286.375 3.636 20 0 CHADLO CC(C)(C)c1coc(CNc2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000921565383 1118199265 /nfs/dbraw/zinc/19/92/65/1118199265.db2.gz AKZCUHWFTJQLMW-UHFFFAOYSA-N 1 2 296.374 3.770 20 0 CHADLO CCc1ccccc1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000030605740 1118218710 /nfs/dbraw/zinc/21/87/10/1118218710.db2.gz ZFEPOLWPTSOBAL-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO Cc1cc([NH2+][C@@H](C2CCC2)[C@H]2CCOC2)ccc1N(C)C ZINC000926052405 1118235096 /nfs/dbraw/zinc/23/50/96/1118235096.db2.gz FTIIOXOGDVMUQQ-YJBOKZPZSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@@H](C2CCC2)[C@H]2CCOC2)ccc1[NH+](C)C ZINC000926052405 1118235098 /nfs/dbraw/zinc/23/50/98/1118235098.db2.gz FTIIOXOGDVMUQQ-YJBOKZPZSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1nc2ccc(Nc3c[nH+]c(C)c(N)c3)cc2s1 ZINC001210288041 1118235190 /nfs/dbraw/zinc/23/51/90/1118235190.db2.gz IFIMFQHXLLDKMM-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(F)c2C(F)(F)F)cc1N ZINC001210289976 1118235975 /nfs/dbraw/zinc/23/59/75/1118235975.db2.gz CQZRSUDVQSQQEF-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO CC1(C)CC[C@H]1c1nc(-c2ccc(-n3cc[nH+]c3)cc2)no1 ZINC001212351951 1118242099 /nfs/dbraw/zinc/24/20/99/1118242099.db2.gz ZXZBSIPCGMQZJL-AWEZNQCLSA-N 1 2 294.358 3.826 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(Cl)cc2)o1 ZINC000072556497 1118242591 /nfs/dbraw/zinc/24/25/91/1118242591.db2.gz MDIKOCNSNZJMLH-SNVBAGLBSA-N 1 2 264.756 3.741 20 0 CHADLO C=Cc1ccc(CNc2nc(C3CC3)[nH+]c(C)c2OC)cc1 ZINC001168575782 1118245788 /nfs/dbraw/zinc/24/57/88/1118245788.db2.gz PDTNYBQDNDYMMW-UHFFFAOYSA-N 1 2 295.386 3.926 20 0 CHADLO CCCCOCC[N@@H+]1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000056495262 1118272621 /nfs/dbraw/zinc/27/26/21/1118272621.db2.gz GXVVOKXXKHXNOA-MRXNPFEDSA-N 1 2 297.826 3.530 20 0 CHADLO CCCCOCC[N@H+]1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000056495262 1118272623 /nfs/dbraw/zinc/27/26/23/1118272623.db2.gz GXVVOKXXKHXNOA-MRXNPFEDSA-N 1 2 297.826 3.530 20 0 CHADLO c1nc(N2CCCCC2)cc(NC2CCCCCC2)[nH+]1 ZINC000242662439 1118287421 /nfs/dbraw/zinc/28/74/21/1118287421.db2.gz CTNBGVZTDKLZSE-UHFFFAOYSA-N 1 2 274.412 3.602 20 0 CHADLO c1nc(NC2CCCCCC2)cc(N2CCCCC2)[nH+]1 ZINC000242662439 1118287423 /nfs/dbraw/zinc/28/74/23/1118287423.db2.gz CTNBGVZTDKLZSE-UHFFFAOYSA-N 1 2 274.412 3.602 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1nc(-c2cccs2)no1 ZINC000061760109 1118308215 /nfs/dbraw/zinc/30/82/15/1118308215.db2.gz HYTVNBBUVWQCNA-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1nc(-c2cccs2)no1 ZINC000061760109 1118308217 /nfs/dbraw/zinc/30/82/17/1118308217.db2.gz HYTVNBBUVWQCNA-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)C(C)(C)C ZINC000179953174 1128769265 /nfs/dbraw/zinc/76/92/65/1128769265.db2.gz HHJHTFAUHGQPJJ-KGLIPLIRSA-N 1 2 276.424 3.758 20 0 CHADLO C[C@@H]([NH2+]Cc1cscc1Cl)c1c(F)cncc1F ZINC000671730660 1118317240 /nfs/dbraw/zinc/31/72/40/1118317240.db2.gz FSYQQMAFXBDYSL-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(C(C)(C)C)cc2)n1 ZINC000063773996 1118320376 /nfs/dbraw/zinc/32/03/76/1118320376.db2.gz HGDJDGUCBKFFHG-NSHDSACASA-N 1 2 273.380 3.526 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccc(F)nc2)C2CC2)c(F)c1 ZINC000189295086 1128770441 /nfs/dbraw/zinc/77/04/41/1128770441.db2.gz JXELQRHNBANYCH-UHFFFAOYSA-N 1 2 292.304 3.664 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccc(F)nc2)C2CC2)c(F)c1 ZINC000189295086 1128770445 /nfs/dbraw/zinc/77/04/45/1128770445.db2.gz JXELQRHNBANYCH-UHFFFAOYSA-N 1 2 292.304 3.664 20 0 CHADLO FC(F)(F)COc1cc(NCc2cccs2)cc[nH+]1 ZINC000340556252 1118346518 /nfs/dbraw/zinc/34/65/18/1118346518.db2.gz INYGZBYSYFAMLA-UHFFFAOYSA-N 1 2 288.294 3.696 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nnc(-c2ccccc2)o1 ZINC000066695543 1118347298 /nfs/dbraw/zinc/34/72/98/1118347298.db2.gz MLNSJLAFODEFRC-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nnc(-c2ccccc2)o1 ZINC000066695543 1118347301 /nfs/dbraw/zinc/34/73/01/1118347301.db2.gz MLNSJLAFODEFRC-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO Fc1ccc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)cc1F ZINC000066850900 1118348577 /nfs/dbraw/zinc/34/85/77/1118348577.db2.gz CTURRUXNBFICBW-KRWDZBQOSA-N 1 2 289.325 3.538 20 0 CHADLO Fc1ccc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)cc1F ZINC000066850900 1118348583 /nfs/dbraw/zinc/34/85/83/1118348583.db2.gz CTURRUXNBFICBW-KRWDZBQOSA-N 1 2 289.325 3.538 20 0 CHADLO CCC(C)(C)CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000073020368 1118377868 /nfs/dbraw/zinc/37/78/68/1118377868.db2.gz BUTXAWCGPQMEAB-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO COc1ccc([NH2+]C[C@@H](C)C(C)(C)C)c(OC)c1OC ZINC000802640416 1118383453 /nfs/dbraw/zinc/38/34/53/1118383453.db2.gz MBLKTKSNNGXYBH-LLVKDONJSA-N 1 2 281.396 3.807 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@H](C)c1ccc(Cl)cc1 ZINC000073618766 1118383994 /nfs/dbraw/zinc/38/39/94/1118383994.db2.gz NTLDKHURVSVAOG-SNVBAGLBSA-N 1 2 293.750 3.570 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cc2Cl)[C@@H]1[C@@H]1CCCO1 ZINC000564396956 1118386766 /nfs/dbraw/zinc/38/67/66/1118386766.db2.gz VFQLAYUZKFTCBE-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cc2Cl)[C@@H]1[C@@H]1CCCO1 ZINC000564396956 1118386771 /nfs/dbraw/zinc/38/67/71/1118386771.db2.gz VFQLAYUZKFTCBE-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO COc1cc(Nc2cccc(C(F)(F)F)c2O)cc(C)[nH+]1 ZINC001210784706 1118388553 /nfs/dbraw/zinc/38/85/53/1118388553.db2.gz UDZOLRJOUKBEFO-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3c(N)cccc32)C[C@@H](C)C1(F)F ZINC000624446344 1118404543 /nfs/dbraw/zinc/40/45/43/1118404543.db2.gz KGSZTALREPVQDE-GHMZBOCLSA-N 1 2 291.345 3.545 20 0 CHADLO CCC(C)(C)C1CCC(NC(=O)[C@@H](C)n2cc[nH+]c2)CC1 ZINC000044943714 1118408943 /nfs/dbraw/zinc/40/89/43/1118408943.db2.gz QQILVLIPOKOISC-WLYUNCDWSA-N 1 2 291.439 3.555 20 0 CHADLO COc1c(F)cc(F)cc1Nc1cccn2cc(C)[nH+]c12 ZINC001210865567 1118410772 /nfs/dbraw/zinc/41/07/72/1118410772.db2.gz RKNXIXMLKOSMCS-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Cc1cc(NC(=O)C/C=C\c2ccc(F)cc2)cc[nH+]1 ZINC000255340897 1118417060 /nfs/dbraw/zinc/41/70/60/1118417060.db2.gz QBABBUMKVMEPSD-IHWYPQMZSA-N 1 2 270.307 3.571 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2nccs2)oc1C ZINC001204532197 1118417647 /nfs/dbraw/zinc/41/76/47/1118417647.db2.gz AIHGHZCTHMHJFR-ZDUSSCGKSA-N 1 2 262.378 3.690 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2nccs2)oc1C ZINC001204532197 1118417649 /nfs/dbraw/zinc/41/76/49/1118417649.db2.gz AIHGHZCTHMHJFR-ZDUSSCGKSA-N 1 2 262.378 3.690 20 0 CHADLO C=C(Nc1cc(C)[nH+]c(OCC)c1)c1ccccc1 ZINC001210983769 1118434494 /nfs/dbraw/zinc/43/44/94/1118434494.db2.gz TUHUEJKSIJAYDP-UHFFFAOYSA-N 1 2 254.333 3.872 20 0 CHADLO COc1ccc(Nc2ccc[nH+]c2N2CCCCC2)c(C)n1 ZINC001211040151 1118442863 /nfs/dbraw/zinc/44/28/63/1118442863.db2.gz VHQDMOCLXLNCQL-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1nnc(-c2ccccc2)o1 ZINC000053427892 1118460316 /nfs/dbraw/zinc/46/03/16/1118460316.db2.gz AYDOZCMTCMPRCO-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1nnc(-c2ccccc2)o1 ZINC000053427892 1118460319 /nfs/dbraw/zinc/46/03/19/1118460319.db2.gz AYDOZCMTCMPRCO-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccccc1N1CCCC1 ZINC000398143603 1118484958 /nfs/dbraw/zinc/48/49/58/1118484958.db2.gz WWSWIRXZVWQYJV-HNNXBMFYSA-N 1 2 280.362 3.564 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@@H](OC3CCC3)C2)cc1F ZINC000656272334 1118492571 /nfs/dbraw/zinc/49/25/71/1118492571.db2.gz UDOHZWYZPLDGNY-CYBMUJFWSA-N 1 2 299.336 3.637 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@@H](OC3CCC3)C2)cc1F ZINC000656272334 1118492573 /nfs/dbraw/zinc/49/25/73/1118492573.db2.gz UDOHZWYZPLDGNY-CYBMUJFWSA-N 1 2 299.336 3.637 20 0 CHADLO Cc1cn2c(cccc2Nc2cc3ccccc3[nH]2)[nH+]1 ZINC001211303347 1118500530 /nfs/dbraw/zinc/50/05/30/1118500530.db2.gz PLNRFPCNAYRJTP-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)on1 ZINC000048055497 1118506586 /nfs/dbraw/zinc/50/65/86/1118506586.db2.gz PTUJLSUPHBFUDJ-ZBEGNZNMSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)on1 ZINC000048055497 1118506588 /nfs/dbraw/zinc/50/65/88/1118506588.db2.gz PTUJLSUPHBFUDJ-ZBEGNZNMSA-N 1 2 286.375 3.864 20 0 CHADLO CSCc1cc[nH+]c(N(C)CCc2cccs2)c1 ZINC000091728003 1118510429 /nfs/dbraw/zinc/51/04/29/1118510429.db2.gz HDVQZPJROKZLGN-UHFFFAOYSA-N 1 2 278.446 3.685 20 0 CHADLO Cc1ccc(NCc2occc2Br)c(C)[nH+]1 ZINC000227600885 1118511787 /nfs/dbraw/zinc/51/17/87/1118511787.db2.gz HWJDENSCYWKLLL-UHFFFAOYSA-N 1 2 281.153 3.666 20 0 CHADLO COc1cc2cccnc2c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213515677 1118512661 /nfs/dbraw/zinc/51/26/61/1118512661.db2.gz CQCOLZKEYUHNFU-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COC(=O)c1c(Cl)cccc1Nc1c[nH+]c(C)cc1C ZINC001213518629 1118517924 /nfs/dbraw/zinc/51/79/24/1118517924.db2.gz YSLFSFWAEWWXQU-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO CCOC(=O)CCc1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001213520769 1118521152 /nfs/dbraw/zinc/52/11/52/1118521152.db2.gz HOPDETDJFHLTKF-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO COCCOc1cccc(F)c1Nc1c[nH+]cc(C)c1C ZINC001213533883 1118554020 /nfs/dbraw/zinc/55/40/20/1118554020.db2.gz ADBHJOVNGZHEIZ-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2c3ccccc3[nH]c21)C(F)F ZINC000389954985 1118589920 /nfs/dbraw/zinc/58/99/20/1118589920.db2.gz WNHINOWWUFIPCM-ZANVPECISA-N 1 2 264.319 3.789 20 0 CHADLO c1cc2c(s1)C[N@H+](Cc1nc3ccccc3s1)CC2 ZINC000341050231 1118597051 /nfs/dbraw/zinc/59/70/51/1118597051.db2.gz SXXZMLLDDICLRN-UHFFFAOYSA-N 1 2 286.425 3.916 20 0 CHADLO c1cc2c(s1)C[N@@H+](Cc1nc3ccccc3s1)CC2 ZINC000341050231 1118597055 /nfs/dbraw/zinc/59/70/55/1118597055.db2.gz SXXZMLLDDICLRN-UHFFFAOYSA-N 1 2 286.425 3.916 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(O)c(C(C)C)c1 ZINC001215846622 1118610320 /nfs/dbraw/zinc/61/03/20/1118610320.db2.gz PYFNZVZHBPJZLU-UHFFFAOYSA-N 1 2 258.321 3.668 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCSc3ccc(F)cc32)co1 ZINC000834901663 1118619423 /nfs/dbraw/zinc/61/94/23/1118619423.db2.gz XOXVWSSEPSBBAX-CYBMUJFWSA-N 1 2 292.379 3.703 20 0 CHADLO COCc1ccc(CNc2c[nH+]cc3c2CCCC3)cc1 ZINC000656776413 1118655049 /nfs/dbraw/zinc/65/50/49/1118655049.db2.gz LWRIGVSWHFUPPQ-UHFFFAOYSA-N 1 2 282.387 3.719 20 0 CHADLO CCOc1ccc(CNc2cc(COC)cc[nH+]2)c(C)c1 ZINC000656776262 1118655193 /nfs/dbraw/zinc/65/51/93/1118655193.db2.gz LIAZMLDSOHHEDQ-UHFFFAOYSA-N 1 2 286.375 3.547 20 0 CHADLO CC(C)C[C@H](C[N@@H+]1C[C@@H](O)CC(F)(F)C1)c1ccccc1 ZINC000684405906 1118682548 /nfs/dbraw/zinc/68/25/48/1118682548.db2.gz QKXSEIVXPISVHN-CVEARBPZSA-N 1 2 297.389 3.518 20 0 CHADLO CC(C)C[C@H](C[N@H+]1C[C@@H](O)CC(F)(F)C1)c1ccccc1 ZINC000684405906 1118682549 /nfs/dbraw/zinc/68/25/49/1118682549.db2.gz QKXSEIVXPISVHN-CVEARBPZSA-N 1 2 297.389 3.518 20 0 CHADLO CCn1cc(C[N@H+](Cc2ccccc2F)C2CC2)c(C)n1 ZINC000891515275 1118700678 /nfs/dbraw/zinc/70/06/78/1118700678.db2.gz DUQBUWUIQWOXGR-UHFFFAOYSA-N 1 2 287.382 3.515 20 0 CHADLO CCn1cc(C[N@@H+](Cc2ccccc2F)C2CC2)c(C)n1 ZINC000891515275 1118700679 /nfs/dbraw/zinc/70/06/79/1118700679.db2.gz DUQBUWUIQWOXGR-UHFFFAOYSA-N 1 2 287.382 3.515 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2csc(CC)n2)o1 ZINC000156483400 1118707688 /nfs/dbraw/zinc/70/76/88/1118707688.db2.gz FQCIBLOINFFXLZ-JTQLQIEISA-N 1 2 264.394 3.712 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2csc(CC)n2)o1 ZINC000156483269 1118707840 /nfs/dbraw/zinc/70/78/40/1118707840.db2.gz FQCIBLOINFFXLZ-SNVBAGLBSA-N 1 2 264.394 3.712 20 0 CHADLO CCC1(C)CCN(c2cc(C)[nH+]c(C3CC3)n2)CC1 ZINC000341450844 1118709845 /nfs/dbraw/zinc/70/98/45/1118709845.db2.gz HAFYHCNSQBQTLD-UHFFFAOYSA-N 1 2 259.397 3.679 20 0 CHADLO Cc1cc(N2CC[C@@H](c3ccccc3)C2)nc(C2CC2)[nH+]1 ZINC000341476536 1118714759 /nfs/dbraw/zinc/71/47/59/1118714759.db2.gz FPTRKNXVLWCWTQ-MRXNPFEDSA-N 1 2 279.387 3.656 20 0 CHADLO Cc1cccc(CN(C)c2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000341515644 1118717257 /nfs/dbraw/zinc/71/72/57/1118717257.db2.gz XOPWSNSSIPGIEE-UHFFFAOYSA-N 1 2 267.376 3.607 20 0 CHADLO Cc1cc(C(=O)Nc2ccc3[nH+]ccn3c2)c(C)cc1Cl ZINC000684441117 1118720194 /nfs/dbraw/zinc/72/01/94/1118720194.db2.gz YJBCVKRLQAOXQZ-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO CCn1cc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)c(C)n1 ZINC000891605703 1118720594 /nfs/dbraw/zinc/72/05/94/1118720594.db2.gz CSIZILWQZFYUSV-LBPRGKRZSA-N 1 2 293.361 3.683 20 0 CHADLO CCn1cc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)c(C)n1 ZINC000891605703 1118720598 /nfs/dbraw/zinc/72/05/98/1118720598.db2.gz CSIZILWQZFYUSV-LBPRGKRZSA-N 1 2 293.361 3.683 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCC[C@H]2c2ccccn2)c1 ZINC000891630960 1118726387 /nfs/dbraw/zinc/72/63/87/1118726387.db2.gz RDDMDXRJUATRBN-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCC[C@H]2c2ccccn2)c1 ZINC000891630960 1118726389 /nfs/dbraw/zinc/72/63/89/1118726389.db2.gz RDDMDXRJUATRBN-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2[C@H](C)CCC[C@@H]2C)no1 ZINC000083170732 1118727507 /nfs/dbraw/zinc/72/75/07/1118727507.db2.gz CFHKQIMKLLTKOI-GASCZTMLSA-N 1 2 299.418 3.732 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2[C@H](C)CCC[C@@H]2C)no1 ZINC000083170732 1118727510 /nfs/dbraw/zinc/72/75/10/1118727510.db2.gz CFHKQIMKLLTKOI-GASCZTMLSA-N 1 2 299.418 3.732 20 0 CHADLO c1coc([C@H]2CCC[N@H+]2Cc2nc3cccnc3s2)c1 ZINC000341572035 1118744175 /nfs/dbraw/zinc/74/41/75/1118744175.db2.gz AOTYSZLNSSYNOZ-GFCCVEGCSA-N 1 2 285.372 3.621 20 0 CHADLO c1coc([C@H]2CCC[N@@H+]2Cc2nc3cccnc3s2)c1 ZINC000341572035 1118744177 /nfs/dbraw/zinc/74/41/77/1118744177.db2.gz AOTYSZLNSSYNOZ-GFCCVEGCSA-N 1 2 285.372 3.621 20 0 CHADLO Cc1cc([C@H]2CCCN2c2cc(C)[nH+]c(C3CCC3)n2)no1 ZINC000891701904 1118746330 /nfs/dbraw/zinc/74/63/30/1118746330.db2.gz FXRLSKVWISZFNF-OAHLLOKOSA-N 1 2 298.390 3.690 20 0 CHADLO CC[N@H+](Cc1nccn1C1CC1)[C@@H](C)c1ccc(F)cc1 ZINC000891734967 1118753115 /nfs/dbraw/zinc/75/31/15/1118753115.db2.gz ZTCMFWVVCCZYQI-ZDUSSCGKSA-N 1 2 287.382 3.940 20 0 CHADLO CC[N@@H+](Cc1nccn1C1CC1)[C@@H](C)c1ccc(F)cc1 ZINC000891734967 1118753118 /nfs/dbraw/zinc/75/31/18/1118753118.db2.gz ZTCMFWVVCCZYQI-ZDUSSCGKSA-N 1 2 287.382 3.940 20 0 CHADLO C[C@@H](F)CC[N@@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000510618038 1118776847 /nfs/dbraw/zinc/77/68/47/1118776847.db2.gz JVPXVXUJACXBBE-YGRLFVJLSA-N 1 2 275.289 3.583 20 0 CHADLO C[C@@H](F)CC[N@H+]1CC[C@@](F)(c2cc(F)cc(F)c2)C1 ZINC000510618038 1118776849 /nfs/dbraw/zinc/77/68/49/1118776849.db2.gz JVPXVXUJACXBBE-YGRLFVJLSA-N 1 2 275.289 3.583 20 0 CHADLO c1cn(-c2cccc(N[C@@H]3CC[C@@H]3C3CCC3)c2)c[nH+]1 ZINC000510653554 1118783632 /nfs/dbraw/zinc/78/36/32/1118783632.db2.gz SFSIKHKKXQWTMW-IAGOWNOFSA-N 1 2 267.376 3.863 20 0 CHADLO COc1cc[nH+]cc1NCc1cccc(-c2ccccn2)c1 ZINC000129324906 1118789946 /nfs/dbraw/zinc/78/99/46/1118789946.db2.gz KUFPBXMUDPVIRZ-UHFFFAOYSA-N 1 2 291.354 3.764 20 0 CHADLO Cc1cc(C[NH2+]Cc2ncc(Cl)s2)ccc1F ZINC000349595791 1118806287 /nfs/dbraw/zinc/80/62/87/1118806287.db2.gz DZMKNHSJULXCTP-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO COc1ccc(F)c(F)c1C[NH+]1CC2(C1)CCCCC2 ZINC001143413438 1118833553 /nfs/dbraw/zinc/83/35/53/1118833553.db2.gz QRXNINWRSQFSIG-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO Fc1ccc(Br)cc1C[NH+]1CC2(C1)CCCC2 ZINC000133305309 1118863177 /nfs/dbraw/zinc/86/31/77/1118863177.db2.gz BSTIAUQTEOEPPY-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ccco2)c2ccccc2)nc1 ZINC000133666726 1118865822 /nfs/dbraw/zinc/86/58/22/1118865822.db2.gz CYTOVULUDCVPIX-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO C[C@H]([NH2+]Cc1ccc2ccccc2n1)c1cscn1 ZINC000535777890 1118885218 /nfs/dbraw/zinc/88/52/18/1118885218.db2.gz QSYWYRWPFWYPOQ-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2ccccn2)nc(C2CCC2)[nH+]1 ZINC000891973579 1118915899 /nfs/dbraw/zinc/91/58/99/1118915899.db2.gz DNOMPIGNRLEDQE-MRXNPFEDSA-N 1 2 294.402 3.789 20 0 CHADLO CSc1ncc(C)cc1Nc1ccn2cc[nH+]c2c1 ZINC001216240979 1118920070 /nfs/dbraw/zinc/92/00/70/1118920070.db2.gz JTSFZRJWOIPTAC-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO O=C(CC(C1CC1)C1CC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000148055288 1118922480 /nfs/dbraw/zinc/92/24/80/1118922480.db2.gz ZHDCWKJDMADZAR-UHFFFAOYSA-N 1 2 295.386 3.637 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+]2Cc2cnn(C3CCC3)c2)c1 ZINC000892133201 1118982158 /nfs/dbraw/zinc/98/21/58/1118982158.db2.gz KTIYRHRPTMXTHZ-QGZVFWFLSA-N 1 2 285.366 3.694 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+]2Cc2cnn(C3CCC3)c2)c1 ZINC000892133201 1118982159 /nfs/dbraw/zinc/98/21/59/1118982159.db2.gz KTIYRHRPTMXTHZ-QGZVFWFLSA-N 1 2 285.366 3.694 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(C(C)(C)C)co2)s1 ZINC000724387650 1118986365 /nfs/dbraw/zinc/98/63/65/1118986365.db2.gz KTDNVVPRGTZNFE-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO CCc1ccc(C[NH2+]Cc2nc(C(C)(C)C)co2)s1 ZINC000724427897 1118999297 /nfs/dbraw/zinc/99/92/97/1118999297.db2.gz CKNWFTALMYWSHN-UHFFFAOYSA-N 1 2 278.421 3.886 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2CCC[C@@H](C)[C@H]2C)[nH+]1 ZINC000348728918 1119005309 /nfs/dbraw/zinc/00/53/09/1119005309.db2.gz OIWSYRPWDYNJPK-GIPNMCIBSA-N 1 2 258.369 3.503 20 0 CHADLO CCOc1cc(C)cc(F)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001216364827 1119021514 /nfs/dbraw/zinc/02/15/14/1119021514.db2.gz FMPOOUIBLSENPC-UHFFFAOYSA-N 1 2 289.354 3.737 20 0 CHADLO CCC[N@H+](Cc1nc(C)c(C)[nH]1)Cc1ccc(F)cc1 ZINC000628139854 1128816756 /nfs/dbraw/zinc/81/67/56/1128816756.db2.gz SBADATHFNFUNJE-UHFFFAOYSA-N 1 2 275.371 3.578 20 0 CHADLO CCC[N@@H+](Cc1nc(C)c(C)[nH]1)Cc1ccc(F)cc1 ZINC000628139854 1128816761 /nfs/dbraw/zinc/81/67/61/1128816761.db2.gz SBADATHFNFUNJE-UHFFFAOYSA-N 1 2 275.371 3.578 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628149623 1128817764 /nfs/dbraw/zinc/81/77/64/1128817764.db2.gz GYQRAWLOQGLVTP-LBPRGKRZSA-N 1 2 284.359 3.508 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628149623 1128817767 /nfs/dbraw/zinc/81/77/67/1128817767.db2.gz GYQRAWLOQGLVTP-LBPRGKRZSA-N 1 2 284.359 3.508 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccc(F)c(F)c2F)s1 ZINC000657388105 1119047154 /nfs/dbraw/zinc/04/71/54/1119047154.db2.gz DPDFONJBDQPSLZ-MRVPVSSYSA-N 1 2 286.322 3.720 20 0 CHADLO Cc1ccc(C)c(N(CCCn2cc[nH+]c2)C(C)C)c1 ZINC000342482698 1119048079 /nfs/dbraw/zinc/04/80/79/1119048079.db2.gz QZJNLMUBUABUPH-UHFFFAOYSA-N 1 2 271.408 3.805 20 0 CHADLO CCc1noc(CC)c1C[NH2+]Cc1ccc(F)c(F)c1F ZINC000657398128 1119055599 /nfs/dbraw/zinc/05/55/99/1119055599.db2.gz ZYKGLIFHIBITHU-UHFFFAOYSA-N 1 2 298.308 3.507 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+]Cc1ccc(F)c(F)c1F)CO2 ZINC000657397535 1119056107 /nfs/dbraw/zinc/05/61/07/1119056107.db2.gz AGTQVYPRAZDUPL-ZDUSSCGKSA-N 1 2 293.288 3.636 20 0 CHADLO COc1ccc([C@H]([NH2+][C@@H]2CCCc3[nH]ncc32)C2CC2)cc1 ZINC000353401810 1128818692 /nfs/dbraw/zinc/81/86/92/1128818692.db2.gz IIHZBGPBSRJIDB-SJLPKXTDSA-N 1 2 297.402 3.537 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@H](c2cccs2)C2CC2)n1 ZINC000342507478 1119058554 /nfs/dbraw/zinc/05/85/54/1119058554.db2.gz IHKYNFGWVYRZJY-HNNXBMFYSA-N 1 2 291.420 3.571 20 0 CHADLO Cc1nc(-c2ccc(NCc3[nH]c(C)c(C)[nH+]3)cc2)oc1C ZINC000657406178 1119063967 /nfs/dbraw/zinc/06/39/67/1119063967.db2.gz WPRGJXHTIKVBIT-UHFFFAOYSA-N 1 2 296.374 3.910 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cc(Cl)ccc1C(F)(F)F ZINC001140565224 1119084298 /nfs/dbraw/zinc/08/42/98/1119084298.db2.gz MOKCCHGJOKALSW-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cc(Cl)ccc1C(F)(F)F ZINC001140565224 1119084302 /nfs/dbraw/zinc/08/43/02/1119084302.db2.gz MOKCCHGJOKALSW-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@@H+]1Cc1nc(C)c(C)[nH]1 ZINC000628163852 1128821065 /nfs/dbraw/zinc/82/10/65/1128821065.db2.gz VLUUUBKTJFJKLA-MRXNPFEDSA-N 1 2 299.418 3.762 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@H+]1Cc1nc(C)c(C)[nH]1 ZINC000628163852 1128821070 /nfs/dbraw/zinc/82/10/70/1128821070.db2.gz VLUUUBKTJFJKLA-MRXNPFEDSA-N 1 2 299.418 3.762 20 0 CHADLO COc1ccccc1[C@H]1CCCCN1Cc1[nH]c(C)c(C)[nH+]1 ZINC000628163852 1128821071 /nfs/dbraw/zinc/82/10/71/1128821071.db2.gz VLUUUBKTJFJKLA-MRXNPFEDSA-N 1 2 299.418 3.762 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628175796 1128821297 /nfs/dbraw/zinc/82/12/97/1128821297.db2.gz KHIUAPXUHIXPIA-LLVKDONJSA-N 1 2 286.350 3.810 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628175796 1128821299 /nfs/dbraw/zinc/82/12/99/1128821299.db2.gz KHIUAPXUHIXPIA-LLVKDONJSA-N 1 2 286.350 3.810 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)CC(=O)NC1CCCCCCC1 ZINC000069069227 1128821463 /nfs/dbraw/zinc/82/14/63/1128821463.db2.gz VZTXTLTYNRHVKU-AWEZNQCLSA-N 1 2 292.423 3.502 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)CC(=O)NC1CCCCCCC1 ZINC000069069227 1128821467 /nfs/dbraw/zinc/82/14/67/1128821467.db2.gz VZTXTLTYNRHVKU-AWEZNQCLSA-N 1 2 292.423 3.502 20 0 CHADLO COCc1csc(C[NH2+]C2(C(F)F)CCCC2)c1 ZINC000657468211 1119114362 /nfs/dbraw/zinc/11/43/62/1119114362.db2.gz LXHNQMDTDIGHIL-UHFFFAOYSA-N 1 2 275.364 3.562 20 0 CHADLO Cc1[nH+]ccn1CCSc1nc2ccc(Cl)cc2[nH]1 ZINC000342668006 1119119897 /nfs/dbraw/zinc/11/98/97/1119119897.db2.gz OYQAMMNFWLKBBM-UHFFFAOYSA-N 1 2 292.795 3.514 20 0 CHADLO CC(C)(O)[C@@H]1CCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000342701012 1119131454 /nfs/dbraw/zinc/13/14/54/1119131454.db2.gz MKTZNUGXTSNBIA-AWEZNQCLSA-N 1 2 290.794 3.628 20 0 CHADLO CCc1ccccc1C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000431467723 1119141949 /nfs/dbraw/zinc/14/19/49/1119141949.db2.gz ANVSZVFNMOPALQ-UHFFFAOYSA-N 1 2 279.343 3.686 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccc(C)o2)n1 ZINC000628189133 1128824378 /nfs/dbraw/zinc/82/43/78/1128824378.db2.gz RQSLKIJGKONLCY-SMDDNHRTSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccc(C)o2)n1 ZINC000628189133 1128824382 /nfs/dbraw/zinc/82/43/82/1128824382.db2.gz RQSLKIJGKONLCY-SMDDNHRTSA-N 1 2 273.380 3.593 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628189302 1128824510 /nfs/dbraw/zinc/82/45/10/1128824510.db2.gz XFDNGRIDYKZDNG-WFASDCNBSA-N 1 2 287.407 3.847 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628189302 1128824515 /nfs/dbraw/zinc/82/45/15/1128824515.db2.gz XFDNGRIDYKZDNG-WFASDCNBSA-N 1 2 287.407 3.847 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@H](C)C(C)(C)C)cc2[nH+]1 ZINC000342785865 1119166573 /nfs/dbraw/zinc/16/65/73/1119166573.db2.gz UCSRCANINBKTTE-JTQLQIEISA-N 1 2 273.380 3.882 20 0 CHADLO CC(C)c1nc(C[NH+]2C[C@H](C)S[C@@H](C)C2)cs1 ZINC000075895304 1119180877 /nfs/dbraw/zinc/18/08/77/1119180877.db2.gz GURJHKSFYSCBAC-QWRGUYRKSA-N 1 2 270.467 3.592 20 0 CHADLO Cc1ccccc1[C@@H]1C[N@H+](CCC(F)(F)F)[C@H](C)CO1 ZINC000075785139 1119181595 /nfs/dbraw/zinc/18/15/95/1119181595.db2.gz YPSQAZODARHMFL-OCCSQVGLSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1ccccc1[C@@H]1C[N@@H+](CCC(F)(F)F)[C@H](C)CO1 ZINC000075785139 1119181596 /nfs/dbraw/zinc/18/15/96/1119181596.db2.gz YPSQAZODARHMFL-OCCSQVGLSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1ccccc1[C@H]1C[N@H+](CCC(F)(F)F)[C@H](C)CO1 ZINC000075785140 1119181795 /nfs/dbraw/zinc/18/17/95/1119181795.db2.gz YPSQAZODARHMFL-TZMCWYRMSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1ccccc1[C@H]1C[N@@H+](CCC(F)(F)F)[C@H](C)CO1 ZINC000075785140 1119181797 /nfs/dbraw/zinc/18/17/97/1119181797.db2.gz YPSQAZODARHMFL-TZMCWYRMSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1cc(NC(=O)CCc2ccnc3ccccc23)cc[nH+]1 ZINC000076611429 1119187123 /nfs/dbraw/zinc/18/71/23/1119187123.db2.gz DPEODDJIMZGZPL-UHFFFAOYSA-N 1 2 291.354 3.510 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)[N@@H+]1CCC(F)(F)F ZINC000077286215 1119191595 /nfs/dbraw/zinc/19/15/95/1119191595.db2.gz CDDICCCRWPYWPE-DYEKYZERSA-N 1 2 287.325 3.789 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)[N@H+]1CCC(F)(F)F ZINC000077286215 1119191596 /nfs/dbraw/zinc/19/15/96/1119191596.db2.gz CDDICCCRWPYWPE-DYEKYZERSA-N 1 2 287.325 3.789 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1c(F)cccc1F ZINC000077276010 1119191891 /nfs/dbraw/zinc/19/18/91/1119191891.db2.gz JFKYRGABOAFTSS-UHFFFAOYSA-N 1 2 267.241 3.739 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1c(F)cccc1F ZINC000077276010 1119191892 /nfs/dbraw/zinc/19/18/92/1119191892.db2.gz JFKYRGABOAFTSS-UHFFFAOYSA-N 1 2 267.241 3.739 20 0 CHADLO Cc1cc(N[C@@H]2CCc3ccccc3C2)c[nH+]c1C ZINC001207326619 1119202906 /nfs/dbraw/zinc/20/29/06/1119202906.db2.gz RFYMIUKXIPYTBY-MRXNPFEDSA-N 1 2 252.361 3.668 20 0 CHADLO C[C@H]([NH2+]Cc1ncccc1Br)c1ccsc1 ZINC000309296224 1119203120 /nfs/dbraw/zinc/20/31/20/1119203120.db2.gz XDXXTPXEOBRSRD-VIFPVBQESA-N 1 2 297.221 3.756 20 0 CHADLO COc1ccc([C@H](C)CCNc2cccc[nH+]2)cc1 ZINC000078359658 1119217296 /nfs/dbraw/zinc/21/72/96/1119217296.db2.gz YUWQAWIXNKYADO-CYBMUJFWSA-N 1 2 256.349 3.696 20 0 CHADLO C[C@@H](CNc1cccc[nH+]1)Oc1ccccc1Cl ZINC000078468308 1119225159 /nfs/dbraw/zinc/22/51/59/1119225159.db2.gz RHYPYAPLCMUOLQ-NSHDSACASA-N 1 2 262.740 3.614 20 0 CHADLO Clc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001213085772 1119226370 /nfs/dbraw/zinc/22/63/70/1119226370.db2.gz WLTGVIRYFYMYBT-UHFFFAOYSA-N 1 2 270.723 3.869 20 0 CHADLO Cc1cc(NCc2ccc(F)cc2)ccc1[NH+](C)C ZINC000120197241 1119232567 /nfs/dbraw/zinc/23/25/67/1119232567.db2.gz URDVWIPPGRWCMQ-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCC[C@H](C(F)(F)F)C2)cc[nH+]1 ZINC000120342645 1119237711 /nfs/dbraw/zinc/23/77/11/1119237711.db2.gz OTJHJZNGARGNDN-MNOVXSKESA-N 1 2 286.297 3.697 20 0 CHADLO C[C@@H]([NH2+]C(c1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000138901609 1119249382 /nfs/dbraw/zinc/24/93/82/1119249382.db2.gz IBDLWYURIJCVAU-CQSZACIVSA-N 1 2 277.371 3.850 20 0 CHADLO COc1ccc([C@@H](Nc2cccc[nH+]2)C(C)C)cc1 ZINC000078783754 1119252452 /nfs/dbraw/zinc/25/24/52/1119252452.db2.gz NEYZLPCPCKMPFM-INIZCTEOSA-N 1 2 256.349 3.899 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+]Cc1n[nH]c3ccccc31)[C@H](C)C2 ZINC000657696825 1119255259 /nfs/dbraw/zinc/25/52/59/1119255259.db2.gz MPOZQERYVLXZGZ-YJYMSZOUSA-N 1 2 291.398 3.894 20 0 CHADLO CCS[C@@H](C)c1noc(C[NH+]2CCC3(CCC3)CC2)n1 ZINC000367548519 1119280724 /nfs/dbraw/zinc/28/07/24/1119280724.db2.gz FIMKBOCMPBSEFS-LBPRGKRZSA-N 1 2 295.452 3.650 20 0 CHADLO COC(=O)[C@@H](c1ccccc1Cl)[N@@H+]1CC[C@@H](C(C)C)C1 ZINC001167656026 1119301378 /nfs/dbraw/zinc/30/13/78/1119301378.db2.gz FLCYRSQYYZIVDD-IUODEOHRSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@@H](c1ccccc1Cl)[N@H+]1CC[C@@H](C(C)C)C1 ZINC001167656026 1119301381 /nfs/dbraw/zinc/30/13/81/1119301381.db2.gz FLCYRSQYYZIVDD-IUODEOHRSA-N 1 2 295.810 3.532 20 0 CHADLO Cc1ncc(C[NH2+][C@H](C)c2csc(C(C)C)n2)s1 ZINC000124343315 1119312486 /nfs/dbraw/zinc/31/24/86/1119312486.db2.gz MCPGYRKISIYFSH-SECBINFHSA-N 1 2 281.450 3.882 20 0 CHADLO C/C=C(/CC)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000588571166 1119324068 /nfs/dbraw/zinc/32/40/68/1119324068.db2.gz LDQMTSPUVZZWBU-JYOAFUTRSA-N 1 2 289.766 3.821 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](C)c2c(C)noc2C)c(C)s1 ZINC000092327011 1119328024 /nfs/dbraw/zinc/32/80/24/1119328024.db2.gz RLUCUHFDUDDJOH-VXNVDRBHSA-N 1 2 279.409 3.777 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2c(C)noc2C)c(C)s1 ZINC000092327012 1119328396 /nfs/dbraw/zinc/32/83/96/1119328396.db2.gz RLUCUHFDUDDJOH-IONNQARKSA-N 1 2 279.409 3.777 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnn(C2CCCC2)c1)c1cscn1 ZINC000566501410 1119348747 /nfs/dbraw/zinc/34/87/47/1119348747.db2.gz QSVYDRGGGAOTHK-NWDGAFQWSA-N 1 2 290.436 3.867 20 0 CHADLO Cc1cccc(CCCNc2ccnc(C(F)(F)F)c2)[nH+]1 ZINC000343222307 1119355064 /nfs/dbraw/zinc/35/50/64/1119355064.db2.gz DREVOGBQGOJQBX-UHFFFAOYSA-N 1 2 295.308 3.849 20 0 CHADLO CSc1cccc(F)c1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000628271376 1128840482 /nfs/dbraw/zinc/84/04/82/1128840482.db2.gz XPLUMSBAGTXRAG-UHFFFAOYSA-N 1 2 292.379 3.703 20 0 CHADLO Fc1ccc(C[N@H+]2CC=C(C(F)(F)F)CC2)cc1F ZINC000536039416 1119364585 /nfs/dbraw/zinc/36/45/85/1119364585.db2.gz QICWHRMTXZVUNP-UHFFFAOYSA-N 1 2 277.236 3.659 20 0 CHADLO Fc1ccc(C[N@@H+]2CC=C(C(F)(F)F)CC2)cc1F ZINC000536039416 1119364587 /nfs/dbraw/zinc/36/45/87/1119364587.db2.gz QICWHRMTXZVUNP-UHFFFAOYSA-N 1 2 277.236 3.659 20 0 CHADLO COc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)ccc1O ZINC001213089013 1119373096 /nfs/dbraw/zinc/37/30/96/1119373096.db2.gz OZVAENWRIIYCTO-UHFFFAOYSA-N 1 2 281.315 3.535 20 0 CHADLO CC[N@H+](Cc1ccc(C)c(C)c1)Cc1nccnc1Cl ZINC001236625054 1128842093 /nfs/dbraw/zinc/84/20/93/1128842093.db2.gz PTHRQHPFPIGITC-UHFFFAOYSA-N 1 2 289.810 3.769 20 0 CHADLO CC[N@@H+](Cc1ccc(C)c(C)c1)Cc1nccnc1Cl ZINC001236625054 1128842098 /nfs/dbraw/zinc/84/20/98/1128842098.db2.gz PTHRQHPFPIGITC-UHFFFAOYSA-N 1 2 289.810 3.769 20 0 CHADLO Cc1c(CO)cccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090175 1119392541 /nfs/dbraw/zinc/39/25/41/1119392541.db2.gz XZAAOAZJSKTZGA-UHFFFAOYSA-N 1 2 279.343 3.621 20 0 CHADLO Cc1nsc(C)c1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000583731369 1119402569 /nfs/dbraw/zinc/40/25/69/1119402569.db2.gz MXDXUHPDJATKSF-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1nsc(C)c1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC000583731369 1119402572 /nfs/dbraw/zinc/40/25/72/1119402572.db2.gz MXDXUHPDJATKSF-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1c[nH]nc1-c1ccsc1 ZINC000657793207 1119412273 /nfs/dbraw/zinc/41/22/73/1119412273.db2.gz IAOUFRWSGSDSHS-UHFFFAOYSA-N 1 2 299.390 3.909 20 0 CHADLO Cc1ccc([C@H](C)NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)cc1 ZINC000635224850 1119413999 /nfs/dbraw/zinc/41/39/99/1119413999.db2.gz DZKRQUXOIINZHJ-RDJZCZTQSA-N 1 2 299.418 3.656 20 0 CHADLO CCCCn1c(S[C@@H](C)CC)nnc1[C@H](CC)[NH+](C)C ZINC000151201743 1119435863 /nfs/dbraw/zinc/43/58/63/1119435863.db2.gz YOQOEKJFRUWWTR-STQMWFEESA-N 1 2 298.500 3.982 20 0 CHADLO c1coc([C@@H]2CCC[N@H+]2Cc2nc(C3CC3)cs2)c1 ZINC000343519046 1119440463 /nfs/dbraw/zinc/44/04/63/1119440463.db2.gz CKZVMUWEFBHKGS-ZDUSSCGKSA-N 1 2 274.389 3.951 20 0 CHADLO c1coc([C@@H]2CCC[N@@H+]2Cc2nc(C3CC3)cs2)c1 ZINC000343519046 1119440464 /nfs/dbraw/zinc/44/04/64/1119440464.db2.gz CKZVMUWEFBHKGS-ZDUSSCGKSA-N 1 2 274.389 3.951 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cccnc2Cl)c1 ZINC000052709366 1119441808 /nfs/dbraw/zinc/44/18/08/1119441808.db2.gz DFJLOPFNBJXJEF-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cccnc2Cl)c1 ZINC000052709366 1119441809 /nfs/dbraw/zinc/44/18/09/1119441809.db2.gz DFJLOPFNBJXJEF-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO CC[C@H](Nc1[nH+]c(C)nc2[nH]ccc21)c1nc(C)cs1 ZINC000080980333 1119507720 /nfs/dbraw/zinc/50/77/20/1119507720.db2.gz UCEPJVGKABDCOR-NSHDSACASA-N 1 2 287.392 3.594 20 0 CHADLO CCn1c(C[N@H+](C)[C@H](C)c2cccnc2)nc2ccccc21 ZINC000080989787 1119509024 /nfs/dbraw/zinc/50/90/24/1119509024.db2.gz FMYZDFCBHRUESQ-CQSZACIVSA-N 1 2 294.402 3.644 20 0 CHADLO CCn1c(C[N@@H+](C)[C@H](C)c2cccnc2)nc2ccccc21 ZINC000080989787 1119509027 /nfs/dbraw/zinc/50/90/27/1119509027.db2.gz FMYZDFCBHRUESQ-CQSZACIVSA-N 1 2 294.402 3.644 20 0 CHADLO FC(F)n1c2ccccc2nc1C[N@@H+]1CCC12CCC2 ZINC000081515945 1119518303 /nfs/dbraw/zinc/51/83/03/1119518303.db2.gz FJANQZZTQJECQP-UHFFFAOYSA-N 1 2 277.318 3.560 20 0 CHADLO FC(F)n1c2ccccc2nc1C[N@H+]1CCC12CCC2 ZINC000081515945 1119518308 /nfs/dbraw/zinc/51/83/08/1119518308.db2.gz FJANQZZTQJECQP-UHFFFAOYSA-N 1 2 277.318 3.560 20 0 CHADLO CC(C)c1nnc2n1CC[N@@H+](C[C@H](C)c1ccccc1)[C@@H]2C ZINC000251694464 1119523369 /nfs/dbraw/zinc/52/33/69/1119523369.db2.gz JQCBVHBUUWVJGW-LSDHHAIUSA-N 1 2 298.434 3.582 20 0 CHADLO CC(C)c1nnc2n1CC[N@H+](C[C@H](C)c1ccccc1)[C@@H]2C ZINC000251694464 1119523370 /nfs/dbraw/zinc/52/33/70/1119523370.db2.gz JQCBVHBUUWVJGW-LSDHHAIUSA-N 1 2 298.434 3.582 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CCC[C@H](C)[C@@H]2C)no1 ZINC000083211427 1119523701 /nfs/dbraw/zinc/52/37/01/1119523701.db2.gz TZEZYDIJVNOGFF-ZFWWWQNUSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CCC[C@H](C)[C@@H]2C)no1 ZINC000083211427 1119523704 /nfs/dbraw/zinc/52/37/04/1119523704.db2.gz TZEZYDIJVNOGFF-ZFWWWQNUSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CCCCC[C@H]2C)no1 ZINC000083173408 1119524588 /nfs/dbraw/zinc/52/45/88/1119524588.db2.gz HFOWPTSCGXHMOR-OAHLLOKOSA-N 1 2 299.418 3.733 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CCCCC[C@H]2C)no1 ZINC000083173408 1119524591 /nfs/dbraw/zinc/52/45/91/1119524591.db2.gz HFOWPTSCGXHMOR-OAHLLOKOSA-N 1 2 299.418 3.733 20 0 CHADLO CC(C)c1cc(NCC2(CCO)CCC2)nc(C(C)C)[nH+]1 ZINC000090113210 1119545841 /nfs/dbraw/zinc/54/58/41/1119545841.db2.gz VLXMUQXCTATUSS-UHFFFAOYSA-N 1 2 291.439 3.688 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](C[C@H]1CCOC1)c1ccccc1 ZINC000246039038 1119551832 /nfs/dbraw/zinc/55/18/32/1119551832.db2.gz WXPZZNVWHWRBHM-JCKWVBRZSA-N 1 2 299.418 3.537 20 0 CHADLO COc1ccc(CNc2cc[nH+]c3c(OC)cccc23)cc1 ZINC000196909594 1119586694 /nfs/dbraw/zinc/58/66/94/1119586694.db2.gz LTLCABKGRNAQBR-UHFFFAOYSA-N 1 2 294.354 3.864 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(Cl)c1Cl)c1ncc[nH]1 ZINC000138999937 1119596991 /nfs/dbraw/zinc/59/69/91/1119596991.db2.gz LDABXEUGZWQBHD-MRVPVSSYSA-N 1 2 270.163 3.567 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2Oc2ccccc2)CCO1 ZINC000685424958 1119605386 /nfs/dbraw/zinc/60/53/86/1119605386.db2.gz ARDXOWUMOQYJPI-HNNXBMFYSA-N 1 2 283.371 3.700 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2Oc2ccccc2)CCO1 ZINC000685424958 1119605388 /nfs/dbraw/zinc/60/53/88/1119605388.db2.gz ARDXOWUMOQYJPI-HNNXBMFYSA-N 1 2 283.371 3.700 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2coc3ccccc23)n1 ZINC000685448146 1119610070 /nfs/dbraw/zinc/61/00/70/1119610070.db2.gz QWWRRPJVFNUJGG-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2coc3ccccc23)n1 ZINC000685448146 1119610071 /nfs/dbraw/zinc/61/00/71/1119610071.db2.gz QWWRRPJVFNUJGG-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO COc1ccc(F)cc1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000271822080 1119613761 /nfs/dbraw/zinc/61/37/61/1119613761.db2.gz VUDWHSAESYPFBC-SNVBAGLBSA-N 1 2 295.304 3.963 20 0 CHADLO Cc1cc(N2Cc3ccccc3C2)nc(C2CCC2)[nH+]1 ZINC000892396061 1119615978 /nfs/dbraw/zinc/61/59/78/1119615978.db2.gz KLSKRPFNRTYHJF-UHFFFAOYSA-N 1 2 265.360 3.573 20 0 CHADLO COCC[C@@H]([NH2+]Cc1nc(C)c(C)s1)c1ccc(C)o1 ZINC000273242120 1119626685 /nfs/dbraw/zinc/62/66/85/1119626685.db2.gz FQMBQIKCBCKZMX-CYBMUJFWSA-N 1 2 294.420 3.529 20 0 CHADLO C[C@@H]([NH2+]C/C=C/Cl)c1nc(C(F)(F)F)cs1 ZINC000279048126 1119637965 /nfs/dbraw/zinc/63/79/65/1119637965.db2.gz YESPKMPTPUJLDE-YRFDSLTASA-N 1 2 270.707 3.565 20 0 CHADLO Cc1nc(C[NH2+]Cc2cscc2C(F)(F)F)cs1 ZINC000685613227 1119639139 /nfs/dbraw/zinc/63/91/39/1119639139.db2.gz RQJRRLORYAAOJI-UHFFFAOYSA-N 1 2 292.351 3.822 20 0 CHADLO FC(F)Oc1ccccc1C[NH+]1CC2(C1)CC(F)(F)C2 ZINC000677734181 1119640519 /nfs/dbraw/zinc/64/05/19/1119640519.db2.gz YVMBEDGRZUYLRG-UHFFFAOYSA-N 1 2 289.272 3.519 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+][C@H]2CCCn3nccc32)cs1 ZINC000657919471 1119641401 /nfs/dbraw/zinc/64/14/01/1119641401.db2.gz MOIBXHWBBVLVBN-NEPJUHHUSA-N 1 2 290.436 3.649 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1cc(C)on1)c1ccccn1 ZINC000685646844 1119643918 /nfs/dbraw/zinc/64/39/18/1119643918.db2.gz SUKLZMHSLPBRHZ-JSGCOSHPSA-N 1 2 259.353 3.570 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1ccc2c(c1)CCCO2 ZINC000657939954 1119647852 /nfs/dbraw/zinc/64/78/52/1119647852.db2.gz FXGGMVYYQNEUPR-LBPRGKRZSA-N 1 2 254.333 3.580 20 0 CHADLO Cc1oncc1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000185192325 1119653071 /nfs/dbraw/zinc/65/30/71/1119653071.db2.gz QLJTVZZPETYNOI-JTQLQIEISA-N 1 2 264.756 3.829 20 0 CHADLO Cc1oncc1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000185192325 1119653074 /nfs/dbraw/zinc/65/30/74/1119653074.db2.gz QLJTVZZPETYNOI-JTQLQIEISA-N 1 2 264.756 3.829 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)c1 ZINC000628430674 1128860806 /nfs/dbraw/zinc/86/08/06/1128860806.db2.gz FFZQUTKLSZRFQG-OLZOCXBDSA-N 1 2 285.391 3.741 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)c1 ZINC000628430674 1128860812 /nfs/dbraw/zinc/86/08/12/1128860812.db2.gz FFZQUTKLSZRFQG-OLZOCXBDSA-N 1 2 285.391 3.741 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1cc2ccncc2s1 ZINC000658023118 1119668304 /nfs/dbraw/zinc/66/83/04/1119668304.db2.gz KJARIKJKPYKZGB-QMMMGPOBSA-N 1 2 256.321 3.602 20 0 CHADLO COCOc1ccc(Nc2[nH+]ccc3ccccc32)cc1 ZINC001211629120 1119685503 /nfs/dbraw/zinc/68/55/03/1119685503.db2.gz YZEFBVBRFHCKKX-UHFFFAOYSA-N 1 2 280.327 3.961 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1N(C)C)c1cscn1 ZINC000658151692 1119691106 /nfs/dbraw/zinc/69/11/06/1119691106.db2.gz LSQNDUSEXAYPQZ-QWRGUYRKSA-N 1 2 293.411 3.760 20 0 CHADLO Nc1cc(Nc2[nH+]cccc2N2CCCCC2)ccc1F ZINC001212334705 1128863333 /nfs/dbraw/zinc/86/33/33/1128863333.db2.gz NPLPKEQQVBPHBA-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO Fc1ccc(C[NH+]2CC(Cc3cccs3)C2)c(F)c1F ZINC000628479664 1128865468 /nfs/dbraw/zinc/86/54/68/1128865468.db2.gz WONUYRBYGBCNKU-UHFFFAOYSA-N 1 2 297.345 3.840 20 0 CHADLO COc1cccc(Cl)c1C[N@H+](C)Cc1ccccc1 ZINC001140725742 1119736997 /nfs/dbraw/zinc/73/69/97/1119736997.db2.gz LMMIFPYWQLUDGW-UHFFFAOYSA-N 1 2 275.779 3.981 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+](C)Cc1ccccc1 ZINC001140725742 1119736999 /nfs/dbraw/zinc/73/69/99/1119736999.db2.gz LMMIFPYWQLUDGW-UHFFFAOYSA-N 1 2 275.779 3.981 20 0 CHADLO Cc1nc(C)c(C[NH2+]Cc2cccc(Cl)c2Cl)o1 ZINC000311734073 1119755055 /nfs/dbraw/zinc/75/50/55/1119755055.db2.gz DWFPSESJJPICSV-UHFFFAOYSA-N 1 2 285.174 3.888 20 0 CHADLO Cc1[nH]c(CN2CC[C@H](C)c3ccccc32)[nH+]c1C ZINC000628236058 1119756266 /nfs/dbraw/zinc/75/62/66/1119756266.db2.gz OIXSGSQODTWNQW-NSHDSACASA-N 1 2 255.365 3.540 20 0 CHADLO Cc1cc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c(C)s1 ZINC000023889936 1119757944 /nfs/dbraw/zinc/75/79/44/1119757944.db2.gz UTVWUVVJDQGWGE-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO Cc1ccccc1-c1nn(C[N@@H+](C)CC(C)C)c(=S)o1 ZINC000065943619 1119790839 /nfs/dbraw/zinc/79/08/39/1119790839.db2.gz YBXMYGBDJBOZSB-UHFFFAOYSA-N 1 2 291.420 3.726 20 0 CHADLO Cc1ccccc1-c1nn(C[N@H+](C)CC(C)C)c(=S)o1 ZINC000065943619 1119790842 /nfs/dbraw/zinc/79/08/42/1119790842.db2.gz YBXMYGBDJBOZSB-UHFFFAOYSA-N 1 2 291.420 3.726 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+]Cc1ccc(-c2cccs2)cc1 ZINC000926682755 1119803450 /nfs/dbraw/zinc/80/34/50/1119803450.db2.gz FODGIOHASZEXBD-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1csc(C[NH2+]Cc2cc(C(C)(C)C)oc2C)n1 ZINC000892532834 1119886315 /nfs/dbraw/zinc/88/63/15/1119886315.db2.gz HPDXSOCTXNDWMX-UHFFFAOYSA-N 1 2 278.421 3.940 20 0 CHADLO CCn1ccc(C[NH2+][C@H](C)c2cc3ccc(C)cc3o2)n1 ZINC000414205688 1119938621 /nfs/dbraw/zinc/93/86/21/1119938621.db2.gz HSRPVMZRUSYIOD-CYBMUJFWSA-N 1 2 283.375 3.808 20 0 CHADLO C[N@H+](CCCOc1ccc(F)cc1)C/C(Cl)=C\Cl ZINC000763493526 1128879186 /nfs/dbraw/zinc/87/91/86/1128879186.db2.gz JSXVSHIOYFRNNW-PKNBQFBNSA-N 1 2 292.181 3.845 20 0 CHADLO C[N@@H+](CCCOc1ccc(F)cc1)C/C(Cl)=C\Cl ZINC000763493526 1128879191 /nfs/dbraw/zinc/87/91/91/1128879191.db2.gz JSXVSHIOYFRNNW-PKNBQFBNSA-N 1 2 292.181 3.845 20 0 CHADLO CCn1ccc(C[NH2+][C@H](C)c2cc3ccccc3o2)n1 ZINC000414214369 1119942538 /nfs/dbraw/zinc/94/25/38/1119942538.db2.gz VZJQLHJYVHDILT-GFCCVEGCSA-N 1 2 269.348 3.500 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nccc(C(F)(F)F)n2)c1 ZINC000414240487 1119952841 /nfs/dbraw/zinc/95/28/41/1119952841.db2.gz LXSDTYAWXDGNPC-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccccc1F ZINC000414330733 1119985805 /nfs/dbraw/zinc/98/58/05/1119985805.db2.gz SGYPUVVRUYFELS-CYBMUJFWSA-N 1 2 291.395 3.796 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2ccc([C@H]3C[C@H]3C)o2)o1 ZINC000892622687 1119989419 /nfs/dbraw/zinc/98/94/19/1119989419.db2.gz QXFWNMFAPBITRR-RISCZKNCSA-N 1 2 275.348 3.685 20 0 CHADLO Cc1occc1C[NH2+][C@@H](COCC(C)C)c1ccco1 ZINC000414353008 1119995301 /nfs/dbraw/zinc/99/53/01/1119995301.db2.gz XVKBAWSDKHVQCB-HNNXBMFYSA-N 1 2 277.364 3.685 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2c(F)cccc2Cl)cn1 ZINC000414363441 1119999910 /nfs/dbraw/zinc/99/99/10/1119999910.db2.gz LOHJBKLLTWSEEB-SNVBAGLBSA-N 1 2 293.773 3.682 20 0 CHADLO C[C@H]([NH2+]Cc1ccccn1)c1c(F)cccc1Cl ZINC000311072065 1120011380 /nfs/dbraw/zinc/01/13/80/1120011380.db2.gz LYNSEYPVFTXYIH-JTQLQIEISA-N 1 2 264.731 3.725 20 0 CHADLO Cc1occc1C[N@@H+]1C[C@@H](c2ccsc2)O[C@@H](C)C1 ZINC000414394159 1120016991 /nfs/dbraw/zinc/01/69/91/1120016991.db2.gz FEFGUPWZEKZLGH-NHYWBVRUSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1occc1C[N@H+]1C[C@@H](c2ccsc2)O[C@@H](C)C1 ZINC000414394159 1120016995 /nfs/dbraw/zinc/01/69/95/1120016995.db2.gz FEFGUPWZEKZLGH-NHYWBVRUSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1cc(C)nc(Nc2ccc3c(c2)[nH+]c(C2CC2)n3C)n1 ZINC000089649063 1120050133 /nfs/dbraw/zinc/05/01/33/1120050133.db2.gz DDGVHFYWBKWWNQ-UHFFFAOYSA-N 1 2 293.374 3.601 20 0 CHADLO CC[C@H](C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921666959 1120061588 /nfs/dbraw/zinc/06/15/88/1120061588.db2.gz YMORRRYUJNLFAI-JTQLQIEISA-N 1 2 271.364 3.678 20 0 CHADLO CC1(C)C[N@H+](Cc2scnc2Cl)CCC1(F)F ZINC001137817392 1120077028 /nfs/dbraw/zinc/07/70/28/1120077028.db2.gz RCZWIVJLIZGIOZ-UHFFFAOYSA-N 1 2 280.771 3.664 20 0 CHADLO CC1(C)C[N@@H+](Cc2scnc2Cl)CCC1(F)F ZINC001137817392 1120077035 /nfs/dbraw/zinc/07/70/35/1120077035.db2.gz RCZWIVJLIZGIOZ-UHFFFAOYSA-N 1 2 280.771 3.664 20 0 CHADLO CC(C)c1ccc(C[NH2+]Cc2n[nH]c3ccccc32)cc1 ZINC000589644448 1120087489 /nfs/dbraw/zinc/08/74/89/1120087489.db2.gz QEWBVXAJDOJUMH-UHFFFAOYSA-N 1 2 279.387 3.976 20 0 CHADLO C/C(=C/c1ccc(C)cc1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000779357473 1128889974 /nfs/dbraw/zinc/88/99/74/1128889974.db2.gz MBGWNQYZNMYWJS-OWBHPGMISA-N 1 2 291.354 3.685 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1cccc(O)c1 ZINC000180417021 1120099541 /nfs/dbraw/zinc/09/95/41/1120099541.db2.gz BWFQQLKXENFGPD-UHFFFAOYSA-N 1 2 264.328 3.861 20 0 CHADLO CCSc1cc[nH+]c(NC[C@@H]2CCOC(C)(C)C2)c1 ZINC001161430349 1120114432 /nfs/dbraw/zinc/11/44/32/1120114432.db2.gz RONXISPDZHWWEF-GFCCVEGCSA-N 1 2 280.437 3.811 20 0 CHADLO C[N@H+](Cc1cc(C2CC2)no1)Cc1ccc(Cl)s1 ZINC000659816324 1120115486 /nfs/dbraw/zinc/11/54/86/1120115486.db2.gz BTNZBKMLPVUZHF-UHFFFAOYSA-N 1 2 282.796 3.899 20 0 CHADLO C[N@@H+](Cc1cc(C2CC2)no1)Cc1ccc(Cl)s1 ZINC000659816324 1120115490 /nfs/dbraw/zinc/11/54/90/1120115490.db2.gz BTNZBKMLPVUZHF-UHFFFAOYSA-N 1 2 282.796 3.899 20 0 CHADLO C[C@H]([NH2+]Cc1scnc1C1CC1)c1c(F)cncc1F ZINC000353118657 1120116504 /nfs/dbraw/zinc/11/65/04/1120116504.db2.gz MICABGSRFKZFPE-QMMMGPOBSA-N 1 2 295.358 3.545 20 0 CHADLO c1cc2cc(N[C@H]3CCNc4ccccc43)[nH+]cc2[nH]1 ZINC001168638327 1120122210 /nfs/dbraw/zinc/12/22/10/1120122210.db2.gz KEKBOKTXOLGLBR-AWEZNQCLSA-N 1 2 264.332 3.532 20 0 CHADLO Cc1cn(C)nc1C[N@H+](C)Cc1ccc(Cl)c(Cl)c1 ZINC000929231169 1120125745 /nfs/dbraw/zinc/12/57/45/1120125745.db2.gz CJVIYVSNOBEZRM-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cn(C)nc1C[N@@H+](C)Cc1ccc(Cl)c(Cl)c1 ZINC000929231169 1120125746 /nfs/dbraw/zinc/12/57/46/1120125746.db2.gz CJVIYVSNOBEZRM-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cc(N[C@@H](CO)c2ccccc2C)nc(C2CCC2)[nH+]1 ZINC000892771005 1120139469 /nfs/dbraw/zinc/13/94/69/1120139469.db2.gz FBQPHNVBGGPDNO-INIZCTEOSA-N 1 2 297.402 3.506 20 0 CHADLO Cc1cccc2sc(N3CCC(c4c[nH]c[nH+]4)CC3)nc21 ZINC000892855303 1120152091 /nfs/dbraw/zinc/15/20/91/1120152091.db2.gz HQWTZIBHKWWNMU-UHFFFAOYSA-N 1 2 298.415 3.712 20 0 CHADLO COc1ccc[nH+]c1NCc1ccccc1CC(F)(F)F ZINC000624752980 1120159298 /nfs/dbraw/zinc/15/92/98/1120159298.db2.gz JCQIWCFGDBDLPI-UHFFFAOYSA-N 1 2 296.292 3.807 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H](c1ccccc1)c1ccccn1 ZINC000313984656 1120162565 /nfs/dbraw/zinc/16/25/65/1120162565.db2.gz JNHYHKLVCHJQJX-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CCOc2ccccc2C1 ZINC000108721599 1120169528 /nfs/dbraw/zinc/16/95/28/1120169528.db2.gz LNTPRPSETWEEOF-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CCOc2ccccc2C1 ZINC000108721599 1120169531 /nfs/dbraw/zinc/16/95/31/1120169531.db2.gz LNTPRPSETWEEOF-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]C2(c3ccccc3)CC2)n1 ZINC000921716053 1120170894 /nfs/dbraw/zinc/17/08/94/1120170894.db2.gz IQYOPXMTVQJGLY-UHFFFAOYSA-N 1 2 270.376 3.751 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2cccc(C(F)(F)F)c2Cl)C1 ZINC001205038105 1120195704 /nfs/dbraw/zinc/19/57/04/1120195704.db2.gz ZRXMPNXOTCJHJL-JTQLQIEISA-N 1 2 293.716 3.580 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2cccc(C(F)(F)F)c2Cl)C1 ZINC001205038105 1120195706 /nfs/dbraw/zinc/19/57/06/1120195706.db2.gz ZRXMPNXOTCJHJL-JTQLQIEISA-N 1 2 293.716 3.580 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@@H](c2ccccc2)O1 ZINC000659855239 1120196440 /nfs/dbraw/zinc/19/64/40/1120196440.db2.gz WULXEOCPMHGUAY-UGSOOPFHSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@@H](c2ccccc2)O1 ZINC000659855239 1120196443 /nfs/dbraw/zinc/19/64/43/1120196443.db2.gz WULXEOCPMHGUAY-UGSOOPFHSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(C3CC3)no2)C[C@@H](c2ccccc2)O1 ZINC000659855233 1120196654 /nfs/dbraw/zinc/19/66/54/1120196654.db2.gz WULXEOCPMHGUAY-ACJLOTCBSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(C3CC3)no2)C[C@@H](c2ccccc2)O1 ZINC000659855233 1120196657 /nfs/dbraw/zinc/19/66/57/1120196657.db2.gz WULXEOCPMHGUAY-ACJLOTCBSA-N 1 2 298.386 3.514 20 0 CHADLO Nc1ccc(Cl)cc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001212435580 1120196984 /nfs/dbraw/zinc/19/69/84/1120196984.db2.gz GXTDRDUOPFPHBH-UHFFFAOYSA-N 1 2 298.777 3.911 20 0 CHADLO Cc1ccc(C2CC2)c(C(=O)Nc2cc(N(C)C)cc[nH+]2)c1 ZINC000644703549 1120203530 /nfs/dbraw/zinc/20/35/30/1120203530.db2.gz XPHABACOKZBZAL-UHFFFAOYSA-N 1 2 295.386 3.586 20 0 CHADLO C[C@@H](CCC1CC1)[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000186490114 1120214749 /nfs/dbraw/zinc/21/47/49/1120214749.db2.gz WYJOCFIJPGFPBB-LSDHHAIUSA-N 1 2 288.435 3.902 20 0 CHADLO CNc1ccc(Nc2ccc3cccc(C)c3n2)c[nH+]1 ZINC001203447137 1120215475 /nfs/dbraw/zinc/21/54/75/1120215475.db2.gz JRIHMHNTJFJGIS-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO CC(C)(c1noc([C@@H]2CC[N@@H+]2C2CCCC2)n1)C1CCC1 ZINC000924091624 1120232423 /nfs/dbraw/zinc/23/24/23/1120232423.db2.gz YWYAZYUAWWINIT-AWEZNQCLSA-N 1 2 289.423 3.837 20 0 CHADLO CC(C)(c1noc([C@@H]2CC[N@H+]2C2CCCC2)n1)C1CCC1 ZINC000924091624 1120232425 /nfs/dbraw/zinc/23/24/25/1120232425.db2.gz YWYAZYUAWWINIT-AWEZNQCLSA-N 1 2 289.423 3.837 20 0 CHADLO Cc1cc(NCCCOC(C)C)[nH+]cc1C(F)(F)F ZINC001168677328 1120232676 /nfs/dbraw/zinc/23/26/76/1120232676.db2.gz SYQIVVHMRUCHQE-UHFFFAOYSA-N 1 2 276.302 3.636 20 0 CHADLO CC(C)Oc1cccc(CNc2cc3cc[nH]c3c[nH+]2)c1 ZINC000672167755 1120248353 /nfs/dbraw/zinc/24/83/53/1120248353.db2.gz HDLUXFSEYUPMRJ-UHFFFAOYSA-N 1 2 281.359 3.962 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000271387171 1120248563 /nfs/dbraw/zinc/24/85/63/1120248563.db2.gz LHKPXCCOFOZTMC-SFHVURJKSA-N 1 2 298.434 3.976 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000271387171 1120248565 /nfs/dbraw/zinc/24/85/65/1120248565.db2.gz LHKPXCCOFOZTMC-SFHVURJKSA-N 1 2 298.434 3.976 20 0 CHADLO Cc1cc(Br)sc1C[N@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001236829731 1128902332 /nfs/dbraw/zinc/90/23/32/1128902332.db2.gz PHOABHLSMQCWJK-BDAKNGLRSA-N 1 2 292.217 3.609 20 0 CHADLO Cc1cc(Br)sc1C[N@@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001236829731 1128902333 /nfs/dbraw/zinc/90/23/33/1128902333.db2.gz PHOABHLSMQCWJK-BDAKNGLRSA-N 1 2 292.217 3.609 20 0 CHADLO CC(C)(CNc1cc2cc[nH]c2c[nH+]1)Oc1ccccc1 ZINC000672217245 1120255951 /nfs/dbraw/zinc/25/59/51/1120255951.db2.gz RUWWYVJNOUPTFX-UHFFFAOYSA-N 1 2 281.359 3.832 20 0 CHADLO CCc1nc(N2[C@H](C)C[C@H]3CCCC[C@@H]32)cc(C)[nH+]1 ZINC000672224368 1120257582 /nfs/dbraw/zinc/25/75/82/1120257582.db2.gz SJWQIEHLMISWNV-MCIONIFRSA-N 1 2 259.397 3.505 20 0 CHADLO CC[C@@H](C)C[C@H](C)[NH2+]c1ccc2c(c1)N(C)CCO2 ZINC001168692688 1120269503 /nfs/dbraw/zinc/26/95/03/1120269503.db2.gz JDTVFDMEZIPWOW-OLZOCXBDSA-N 1 2 262.397 3.752 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@@H+]1Cc1coc(C)n1 ZINC000659904988 1120276425 /nfs/dbraw/zinc/27/64/25/1120276425.db2.gz RYHQSNQOKAAIDT-MRXNPFEDSA-N 1 2 286.375 3.719 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@H+]1Cc1coc(C)n1 ZINC000659904988 1120276429 /nfs/dbraw/zinc/27/64/29/1120276429.db2.gz RYHQSNQOKAAIDT-MRXNPFEDSA-N 1 2 286.375 3.719 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1[nH+]cc(OC)c2cc[nH]c21 ZINC001168694940 1120277402 /nfs/dbraw/zinc/27/74/02/1120277402.db2.gz NWEBSTQZKPUMNM-QWRGUYRKSA-N 1 2 261.369 3.808 20 0 CHADLO FC(F)[C@H]([NH2+]CCCc1cccnc1)c1ccccc1 ZINC000930566164 1120282114 /nfs/dbraw/zinc/28/21/14/1120282114.db2.gz MZAVHLVJYDDPME-OAHLLOKOSA-N 1 2 276.330 3.610 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]C/C(Cl)=C/Cl)cc1[N+](=O)[O-] ZINC000181811045 1128904885 /nfs/dbraw/zinc/90/48/85/1128904885.db2.gz ZQEOZAIXLOZRJZ-ISAHRAOESA-N 1 2 289.162 3.873 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1ncsc1Br ZINC000659924468 1120289205 /nfs/dbraw/zinc/28/92/05/1120289205.db2.gz ASMDWZVSFPHNFA-SECBINFHSA-N 1 2 289.242 3.670 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1ncsc1Br ZINC000659924468 1120289209 /nfs/dbraw/zinc/28/92/09/1120289209.db2.gz ASMDWZVSFPHNFA-SECBINFHSA-N 1 2 289.242 3.670 20 0 CHADLO Clc1cccc2c(N3CCC[C@@H]4C[C@@H]43)cc[nH+]c12 ZINC000672323883 1120290371 /nfs/dbraw/zinc/29/03/71/1120290371.db2.gz VTQHYNUJJOXNIN-YGRLFVJLSA-N 1 2 258.752 3.877 20 0 CHADLO C[C@H]1c2ccc(F)cc2CC[N@H+]1Cc1cc(C2CC2)no1 ZINC000659944510 1120299597 /nfs/dbraw/zinc/29/95/97/1120299597.db2.gz LGMXTOAVTQXRRS-NSHDSACASA-N 1 2 286.350 3.810 20 0 CHADLO C[C@H]1c2ccc(F)cc2CC[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659944510 1120299601 /nfs/dbraw/zinc/29/96/01/1120299601.db2.gz LGMXTOAVTQXRRS-NSHDSACASA-N 1 2 286.350 3.810 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)co1 ZINC000659958895 1120310047 /nfs/dbraw/zinc/31/00/47/1120310047.db2.gz GNHRDWNNSOKBKI-BDJLRTHQSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1nc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)co1 ZINC000659958895 1120310051 /nfs/dbraw/zinc/31/00/51/1120310051.db2.gz GNHRDWNNSOKBKI-BDJLRTHQSA-N 1 2 274.339 3.705 20 0 CHADLO COc1cccc2c(NCCSC(C)C)cc[nH+]c12 ZINC000343854475 1120325871 /nfs/dbraw/zinc/32/58/71/1120325871.db2.gz KYBOJQBTQIAPRQ-UHFFFAOYSA-N 1 2 276.405 3.797 20 0 CHADLO Cc1cccn2c(/C=C\c3nc4ccccc4o3)c[nH+]c12 ZINC000255116666 1120327530 /nfs/dbraw/zinc/32/75/30/1120327530.db2.gz UZABDPNNSKFOPQ-HJWRWDBZSA-N 1 2 275.311 3.954 20 0 CHADLO COC[C@H]([NH2+]Cc1ccoc1C)c1cccc(Cl)c1F ZINC000672602479 1120332532 /nfs/dbraw/zinc/33/25/32/1120332532.db2.gz LNIBLUBFIUVHLT-AWEZNQCLSA-N 1 2 297.757 3.858 20 0 CHADLO CCc1nc(C)c([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)s1 ZINC000672622489 1120335000 /nfs/dbraw/zinc/33/50/00/1120335000.db2.gz ZJYPQOCYIIOTOY-PSASIEDQSA-N 1 2 274.380 3.852 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+][C@H]1CCCNc2ccccc21 ZINC000672622895 1120337087 /nfs/dbraw/zinc/33/70/87/1120337087.db2.gz XETIPFMTZSIFNS-UONOGXRCSA-N 1 2 266.335 3.711 20 0 CHADLO COc1cccc2c1CCC[C@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000672622884 1120337822 /nfs/dbraw/zinc/33/78/22/1120337822.db2.gz WXMZCGFWNPYSKO-UKRRQHHQSA-N 1 2 281.346 3.850 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+][C@H]1CCCNc2ccccc21 ZINC000672622893 1120337829 /nfs/dbraw/zinc/33/78/29/1120337829.db2.gz XETIPFMTZSIFNS-KBPBESRZSA-N 1 2 266.335 3.711 20 0 CHADLO c1cn(-c2ccc(-c3noc(-c4ccsc4)n3)cc2)c[nH+]1 ZINC001212469337 1120342108 /nfs/dbraw/zinc/34/21/08/1120342108.db2.gz NXPPLPINUNWIOE-UHFFFAOYSA-N 1 2 294.339 3.651 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccccc2Cl)c(C)o1 ZINC000660020949 1120342573 /nfs/dbraw/zinc/34/25/73/1120342573.db2.gz HNZPDILGIIJGSK-VIFPVBQESA-N 1 2 264.756 3.796 20 0 CHADLO CC[C@H](Sc1nc(N)cc(C)[nH+]1)c1ccc(F)cc1 ZINC000077910744 1120356227 /nfs/dbraw/zinc/35/62/27/1120356227.db2.gz OCNUPVKHYMRBNQ-LBPRGKRZSA-N 1 2 277.368 3.750 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1ccc(F)c(Cl)c1F ZINC001143615299 1120390598 /nfs/dbraw/zinc/39/05/98/1120390598.db2.gz BBMOCFQRLCYSEF-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1ccc(F)c(Cl)c1F ZINC001143615299 1120390602 /nfs/dbraw/zinc/39/06/02/1120390602.db2.gz BBMOCFQRLCYSEF-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Cc1cc([NH+](C)C)cc(C)c1NCc1cccc2nccn21 ZINC000186268349 1120395114 /nfs/dbraw/zinc/39/51/14/1120395114.db2.gz PLQVDIIWXIAMHB-UHFFFAOYSA-N 1 2 294.402 3.629 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H](C)c2ccc(Cl)cn2)on1 ZINC000681389053 1120400640 /nfs/dbraw/zinc/40/06/40/1120400640.db2.gz BMMZWMCFDFWVJY-SNVBAGLBSA-N 1 2 279.771 3.697 20 0 CHADLO CCOc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c(OC)c1 ZINC001213119068 1120406990 /nfs/dbraw/zinc/40/69/90/1120406990.db2.gz LAGCGYLCOKHXSP-UHFFFAOYSA-N 1 2 297.358 3.724 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cscn1)c1ccccc1OC ZINC000162355500 1120412283 /nfs/dbraw/zinc/41/22/83/1120412283.db2.gz ZSQXNWLEAAHFAX-DGCLKSJQSA-N 1 2 276.405 3.954 20 0 CHADLO c1csc(-c2nc(C[NH2+]Cc3ccccn3)cs2)c1 ZINC000066861944 1120416344 /nfs/dbraw/zinc/41/63/44/1120416344.db2.gz QBDNHBAMEVJPHT-UHFFFAOYSA-N 1 2 287.413 3.556 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@@H](c3ccccc3)CC2)no1 ZINC000066897659 1120417313 /nfs/dbraw/zinc/41/73/13/1120417313.db2.gz HSMDKOUQOLKNMH-MRXNPFEDSA-N 1 2 299.418 3.792 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@@H](c3ccccc3)CC2)no1 ZINC000066897659 1120417318 /nfs/dbraw/zinc/41/73/18/1120417318.db2.gz HSMDKOUQOLKNMH-MRXNPFEDSA-N 1 2 299.418 3.792 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1nsc2ccccc12 ZINC000660195745 1120430126 /nfs/dbraw/zinc/43/01/26/1120430126.db2.gz BRMRHVYZQOTICB-SECBINFHSA-N 1 2 287.388 3.752 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000079288058 1120432047 /nfs/dbraw/zinc/43/20/47/1120432047.db2.gz KOEYLDDYXDUXOD-NEPJUHHUSA-N 1 2 285.391 3.669 20 0 CHADLO C[C@H](Cc1ccco1)[NH2+][C@H](C(=O)OC(C)(C)C)C(C)(C)C ZINC001258182228 1128915888 /nfs/dbraw/zinc/91/58/88/1128915888.db2.gz MLOSAFODGCIDPG-TZMCWYRMSA-N 1 2 295.423 3.557 20 0 CHADLO O=C(Nc1ccc(F)cc1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000079816515 1120448378 /nfs/dbraw/zinc/44/83/78/1120448378.db2.gz UAUKRBCEKBVTHE-UHFFFAOYSA-N 1 2 296.305 3.655 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2cc(C3CC3)no2)C2CC2)s1 ZINC000660254240 1120468671 /nfs/dbraw/zinc/46/86/71/1120468671.db2.gz QVYSGNIFDIIEAL-CQSZACIVSA-N 1 2 289.404 3.558 20 0 CHADLO CCc1ncc(C[N@H+](C)[C@H](C)c2ccccc2F)o1 ZINC000929285685 1120483605 /nfs/dbraw/zinc/48/36/05/1120483605.db2.gz NOWBWFYNFHJVPW-LLVKDONJSA-N 1 2 262.328 3.569 20 0 CHADLO CCc1ncc(C[N@@H+](C)[C@H](C)c2ccccc2F)o1 ZINC000929285685 1120483607 /nfs/dbraw/zinc/48/36/07/1120483607.db2.gz NOWBWFYNFHJVPW-LLVKDONJSA-N 1 2 262.328 3.569 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(-c2nc(C)cs2)c1 ZINC000417860349 1120487577 /nfs/dbraw/zinc/48/75/77/1120487577.db2.gz YCWNIHXJONMVPL-UHFFFAOYSA-N 1 2 298.415 3.947 20 0 CHADLO CCCCn1ncc(NCc2c[nH+]cn2CC)c1C(C)C ZINC000418029040 1120507692 /nfs/dbraw/zinc/50/76/92/1120507692.db2.gz INOVNTZCPPIWFZ-UHFFFAOYSA-N 1 2 289.427 3.635 20 0 CHADLO CCn1c[nH+]cc1CN1CC[C@H](C)Sc2ccccc21 ZINC000418047881 1120510492 /nfs/dbraw/zinc/51/04/92/1120510492.db2.gz UISRHQIUNPCTCO-ZDUSSCGKSA-N 1 2 287.432 3.794 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2cccc3[nH]ccc32)n1 ZINC000922320294 1120512127 /nfs/dbraw/zinc/51/21/27/1120512127.db2.gz NFTDIBXVDLRKOJ-UHFFFAOYSA-N 1 2 283.375 3.743 20 0 CHADLO Cc1nc(C(C)(C)C)sc1[C@H](C)[NH2+]Cc1cocn1 ZINC000397822902 1120523638 /nfs/dbraw/zinc/52/36/38/1120523638.db2.gz KQCWBLMKIXSIAK-VIFPVBQESA-N 1 2 279.409 3.588 20 0 CHADLO COc1cccc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000398001179 1120548004 /nfs/dbraw/zinc/54/80/04/1120548004.db2.gz FAFOZWYWPWFNHW-GXFFZTMASA-N 1 2 255.308 3.534 20 0 CHADLO CNc1ccc([NH2+]CCCCOc2ccccc2)cc1 ZINC000629657030 1128924098 /nfs/dbraw/zinc/92/40/98/1128924098.db2.gz SVDBIONVSLNZIE-UHFFFAOYSA-N 1 2 270.376 3.999 20 0 CHADLO Cc1nc2[nH]ccc2c(NC(C)(C)CC2CCC2)[nH+]1 ZINC001161847081 1120555752 /nfs/dbraw/zinc/55/57/52/1120555752.db2.gz SZBOHGJVMAMFMI-UHFFFAOYSA-N 1 2 258.369 3.647 20 0 CHADLO FC(F)(F)c1cccc(C[NH2+][C@H]2CCCC2(F)F)c1 ZINC000398015227 1120556090 /nfs/dbraw/zinc/55/60/90/1120556090.db2.gz VXUHXCASYWATLD-NSHDSACASA-N 1 2 279.252 3.983 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000398062408 1120564413 /nfs/dbraw/zinc/56/44/13/1120564413.db2.gz WSSMGPHKKRCTCK-ZWNOBZJWSA-N 1 2 255.308 3.534 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C)s1)c1cc(F)ccc1OC ZINC000922620619 1120564598 /nfs/dbraw/zinc/56/45/98/1120564598.db2.gz DUGOELSXVWTVOB-ZDUSSCGKSA-N 1 2 294.395 3.840 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(OC)cc1 ZINC000398097093 1120567323 /nfs/dbraw/zinc/56/73/23/1120567323.db2.gz XYCLPNZKWITWJQ-KGLIPLIRSA-N 1 2 269.335 3.924 20 0 CHADLO Cn1c2ccc(F)cc2nc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC000123892563 1120571021 /nfs/dbraw/zinc/57/10/21/1120571021.db2.gz BTNPLOLUGXFHIA-UHFFFAOYSA-N 1 2 292.317 3.565 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc2c(c1)OCCCO2 ZINC000398128936 1120572863 /nfs/dbraw/zinc/57/28/63/1120572863.db2.gz SJNDJTRZCNFYRJ-XHDPSFHLSA-N 1 2 297.345 3.686 20 0 CHADLO Cc1nc(C)c([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)s1 ZINC000398133072 1120573259 /nfs/dbraw/zinc/57/32/59/1120573259.db2.gz KEXRGOOPHPLMFW-PSASIEDQSA-N 1 2 260.353 3.598 20 0 CHADLO FC(F)Oc1cccc(C[NH2+][C@H]2CCCC2(F)F)c1 ZINC000673618440 1120598056 /nfs/dbraw/zinc/59/80/56/1120598056.db2.gz GMRZJLFMYXBXBB-NSHDSACASA-N 1 2 277.261 3.565 20 0 CHADLO FC(F)COc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000673618570 1120602168 /nfs/dbraw/zinc/60/21/68/1120602168.db2.gz RLEQRFVHDHRSDM-LBPRGKRZSA-N 1 2 291.288 3.608 20 0 CHADLO COc1cc[nH+]cc1NC/C(C)=C/c1ccccc1 ZINC000500425220 1120622417 /nfs/dbraw/zinc/62/24/17/1120622417.db2.gz XJJWBVBFBOKKPJ-JLHYYAGUSA-N 1 2 254.333 3.606 20 0 CHADLO CC(C)[N@H+](Cc1ccccn1)Cc1cc(O)ccc1Cl ZINC000625089797 1120631543 /nfs/dbraw/zinc/63/15/43/1120631543.db2.gz PDTDQPOZCWUBAW-UHFFFAOYSA-N 1 2 290.794 3.851 20 0 CHADLO CC(C)[N@@H+](Cc1ccccn1)Cc1cc(O)ccc1Cl ZINC000625089797 1120631546 /nfs/dbraw/zinc/63/15/46/1120631546.db2.gz PDTDQPOZCWUBAW-UHFFFAOYSA-N 1 2 290.794 3.851 20 0 CHADLO COc1ccc(-c2ccc([C@@H]3CC(=O)CC[N@@H+]3C)cc2)cc1 ZINC000629712445 1128929539 /nfs/dbraw/zinc/92/95/39/1128929539.db2.gz NOGDHNYITWTZFE-IBGZPJMESA-N 1 2 295.382 3.698 20 0 CHADLO COc1ccc(-c2ccc([C@@H]3CC(=O)CC[N@H+]3C)cc2)cc1 ZINC000629712445 1128929542 /nfs/dbraw/zinc/92/95/42/1128929542.db2.gz NOGDHNYITWTZFE-IBGZPJMESA-N 1 2 295.382 3.698 20 0 CHADLO CC[C@@H](C)n1cc(-c2cc(F)cc(Cn3cc[nH+]c3)c2)cn1 ZINC000629732033 1128930444 /nfs/dbraw/zinc/93/04/44/1128930444.db2.gz RRSQAAIPGMHSRE-CYBMUJFWSA-N 1 2 298.365 3.905 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cc(C)ccc2OC(F)F)C[C@H](C)O1 ZINC000511843121 1120662206 /nfs/dbraw/zinc/66/22/06/1120662206.db2.gz IUPUTGNCZCJUHA-GXTWGEPZSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cc(C)ccc2OC(F)F)C[C@H](C)O1 ZINC000511843121 1120662209 /nfs/dbraw/zinc/66/22/09/1120662209.db2.gz IUPUTGNCZCJUHA-GXTWGEPZSA-N 1 2 299.361 3.596 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1ncccc1Cl ZINC000625314961 1120666758 /nfs/dbraw/zinc/66/67/58/1120666758.db2.gz RRPRYVLAZRRHOF-NXEZZACHSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1ncccc1Cl ZINC000625314961 1120666761 /nfs/dbraw/zinc/66/67/61/1120666761.db2.gz RRPRYVLAZRRHOF-NXEZZACHSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CCC[C@H](C[N@@H+](C)[C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000660765895 1120686460 /nfs/dbraw/zinc/68/64/60/1120686460.db2.gz YFMYFXCTKNYRLC-VYDXJSESSA-N 1 2 298.434 3.652 20 0 CHADLO CCCc1nc(C[NH2+][C@H](C)c2cnn(C(C)C)c2)cs1 ZINC000543506122 1120695057 /nfs/dbraw/zinc/69/50/57/1120695057.db2.gz WOCUAZRDMAFWQA-GFCCVEGCSA-N 1 2 292.452 3.724 20 0 CHADLO COc1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC000095585381 1120704509 /nfs/dbraw/zinc/70/45/09/1120704509.db2.gz ARFMLKFZNOTZNS-UHFFFAOYSA-N 1 2 279.343 3.684 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169590 1120730997 /nfs/dbraw/zinc/73/09/97/1120730997.db2.gz MWBBVVMDEYDMAC-BZNIZROVSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169590 1120731001 /nfs/dbraw/zinc/73/10/01/1120731001.db2.gz MWBBVVMDEYDMAC-BZNIZROVSA-N 1 2 281.346 3.527 20 0 CHADLO CCc1noc(C[NH2+][C@@H](c2ccc(F)cc2)C(C)(C)C)n1 ZINC000618752051 1128936669 /nfs/dbraw/zinc/93/66/69/1128936669.db2.gz VQWCIGCNEWXILP-HNNXBMFYSA-N 1 2 291.370 3.648 20 0 CHADLO CCCOc1ccc(Nc2c[nH+]ccc2OC)cc1F ZINC001211666198 1120750467 /nfs/dbraw/zinc/75/04/67/1120750467.db2.gz XDVVFYDOFPQQSX-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO Cc1ccc2ncc(C[NH2+][C@H](C)c3cscn3)cc2c1 ZINC000661413764 1120763165 /nfs/dbraw/zinc/76/31/65/1120763165.db2.gz GRPYGJAQJBVJPF-GFCCVEGCSA-N 1 2 283.400 3.851 20 0 CHADLO CCc1nocc1C[N@@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661435170 1120765023 /nfs/dbraw/zinc/76/50/23/1120765023.db2.gz NJKIRMFRWGBHHY-MRXNPFEDSA-N 1 2 286.375 3.947 20 0 CHADLO CCc1nocc1C[N@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661435170 1120765027 /nfs/dbraw/zinc/76/50/27/1120765027.db2.gz NJKIRMFRWGBHHY-MRXNPFEDSA-N 1 2 286.375 3.947 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ccccc2F)C(C)C)oc1C ZINC000661485120 1120770387 /nfs/dbraw/zinc/77/03/87/1120770387.db2.gz JTYDSHXIDAUELO-INIZCTEOSA-N 1 2 276.355 3.917 20 0 CHADLO CCc1ccc(C[N@@H+]2CC[C@H]2c2ccc(F)cc2)nc1 ZINC000661514124 1120772413 /nfs/dbraw/zinc/77/24/13/1120772413.db2.gz PTVUQUUXAURGHQ-KRWDZBQOSA-N 1 2 270.351 3.730 20 0 CHADLO CCc1ccc(C[N@H+]2CC[C@H]2c2ccc(F)cc2)nc1 ZINC000661514124 1120772416 /nfs/dbraw/zinc/77/24/16/1120772416.db2.gz PTVUQUUXAURGHQ-KRWDZBQOSA-N 1 2 270.351 3.730 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)c1 ZINC000661511836 1120773949 /nfs/dbraw/zinc/77/39/49/1120773949.db2.gz JHPNNWAYEIWTTI-GOSISDBHSA-N 1 2 283.346 3.975 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CC[C@@H]2c2ccc(F)cc2)c1 ZINC000661511836 1120773951 /nfs/dbraw/zinc/77/39/51/1120773951.db2.gz JHPNNWAYEIWTTI-GOSISDBHSA-N 1 2 283.346 3.975 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cc3nccn3C3CC3)CC2)c1 ZINC000893465646 1120781019 /nfs/dbraw/zinc/78/10/19/1120781019.db2.gz YHLWAXKICIGECC-UHFFFAOYSA-N 1 2 293.414 3.816 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cc3nccn3C3CC3)CC2)c1 ZINC000893465646 1120781021 /nfs/dbraw/zinc/78/10/21/1120781021.db2.gz YHLWAXKICIGECC-UHFFFAOYSA-N 1 2 293.414 3.816 20 0 CHADLO CC(C)COc1cc(NCc2ccc3c(c2)CCO3)cc[nH+]1 ZINC001167780906 1120784567 /nfs/dbraw/zinc/78/45/67/1120784567.db2.gz DPJGJUBHRHHAQL-UHFFFAOYSA-N 1 2 298.386 3.663 20 0 CHADLO CC1(C)C[C@@H]([NH2+]Cc2cscn2)c2cc(F)ccc2O1 ZINC000651949619 1120790516 /nfs/dbraw/zinc/79/05/16/1120790516.db2.gz PPWXRPCOWUYDTB-CYBMUJFWSA-N 1 2 292.379 3.674 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccccc2Cl)CCC1)c1ccn(C)n1 ZINC000652216989 1120799963 /nfs/dbraw/zinc/79/99/63/1120799963.db2.gz LMWGZFLJZKHMNL-GFCCVEGCSA-N 1 2 289.810 3.804 20 0 CHADLO CC(F)(F)C[NH2+][C@@H](c1cccnc1)C1CCCCC1 ZINC000652202173 1120800020 /nfs/dbraw/zinc/80/00/20/1120800020.db2.gz BTTNCCIKFPEPCS-CQSZACIVSA-N 1 2 268.351 3.948 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3cc(C)on3)CC2)cc1 ZINC000093263769 1128941408 /nfs/dbraw/zinc/94/14/08/1128941408.db2.gz LRABRHJQMFDRNQ-UHFFFAOYSA-N 1 2 282.387 3.835 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3cc(C)on3)CC2)cc1 ZINC000093263769 1128941410 /nfs/dbraw/zinc/94/14/10/1128941410.db2.gz LRABRHJQMFDRNQ-UHFFFAOYSA-N 1 2 282.387 3.835 20 0 CHADLO C[C@@H]1[C@@H](c2cccc(Cl)c2)OCC[N@@H+]1CCCCF ZINC000661798080 1120815795 /nfs/dbraw/zinc/81/57/95/1120815795.db2.gz KLNHXBKXPYIWMM-DOMZBBRYSA-N 1 2 285.790 3.852 20 0 CHADLO C[C@@H]1[C@@H](c2cccc(Cl)c2)OCC[N@H+]1CCCCF ZINC000661798080 1120815798 /nfs/dbraw/zinc/81/57/98/1120815798.db2.gz KLNHXBKXPYIWMM-DOMZBBRYSA-N 1 2 285.790 3.852 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccccc2F)c1 ZINC000652329835 1120828292 /nfs/dbraw/zinc/82/82/92/1120828292.db2.gz KSMQWGCNBYRCQE-NSHDSACASA-N 1 2 260.312 3.540 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCOc3ccccc32)c1 ZINC000652330738 1120829151 /nfs/dbraw/zinc/82/91/51/1120829151.db2.gz NXVQDTOFFJCLPS-HNNXBMFYSA-N 1 2 284.359 3.554 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](C)[C@H](C)c2ccncc2)c1 ZINC000893539879 1120850399 /nfs/dbraw/zinc/85/03/99/1120850399.db2.gz NWZOIXXWEWBEPJ-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](C)[C@H](C)c2ccncc2)c1 ZINC000893539879 1120850401 /nfs/dbraw/zinc/85/04/01/1120850401.db2.gz NWZOIXXWEWBEPJ-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO CCC(CC)CCC[N@H+](C)Cc1ncnn1C(C)C ZINC000662036491 1120862166 /nfs/dbraw/zinc/86/21/66/1120862166.db2.gz IQTSHMTYXWKLJO-UHFFFAOYSA-N 1 2 266.433 3.507 20 0 CHADLO CCC(CC)CCC[N@@H+](C)Cc1ncnn1C(C)C ZINC000662036491 1120862168 /nfs/dbraw/zinc/86/21/68/1120862168.db2.gz IQTSHMTYXWKLJO-UHFFFAOYSA-N 1 2 266.433 3.507 20 0 CHADLO FC(F)(F)Oc1ccccc1-c1c[nH+]c2n1CCCC2 ZINC000630049595 1128945426 /nfs/dbraw/zinc/94/54/26/1128945426.db2.gz GQQSICZKTKZWJI-UHFFFAOYSA-N 1 2 282.265 3.785 20 0 CHADLO CC(C)c1nc(C[NH+]2CCC(c3ccon3)CC2)cs1 ZINC000662046703 1120863849 /nfs/dbraw/zinc/86/38/49/1120863849.db2.gz LMBUARFVTPCFBT-UHFFFAOYSA-N 1 2 291.420 3.634 20 0 CHADLO COc1cc(C)c(-c2c[nH+]c(C(C)C)n2C)cc1C ZINC000630050632 1128945535 /nfs/dbraw/zinc/94/55/35/1128945535.db2.gz DSMNEUUWRFLVFS-UHFFFAOYSA-N 1 2 258.365 3.836 20 0 CHADLO COc1c(F)cc(Nc2ccc3c(c2)[nH+]cn3C)cc1F ZINC001211682830 1120866879 /nfs/dbraw/zinc/86/68/79/1120866879.db2.gz WQZIWSBAMHNYPJ-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc(C(F)(F)F)cc2CO)n1C ZINC000630053565 1128946407 /nfs/dbraw/zinc/94/64/07/1128946407.db2.gz RFCJOOGGUTYRPG-UHFFFAOYSA-N 1 2 298.308 3.722 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(Cl)sc2Cl)C[C@@]1(C)CO ZINC000662142986 1120884789 /nfs/dbraw/zinc/88/47/89/1120884789.db2.gz MCTIXCRFRKMILI-UFBFGSQYSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(Cl)sc2Cl)C[C@@]1(C)CO ZINC000662142986 1120884793 /nfs/dbraw/zinc/88/47/93/1120884793.db2.gz MCTIXCRFRKMILI-UFBFGSQYSA-N 1 2 294.247 3.505 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2C)n1 ZINC000628302489 1120887230 /nfs/dbraw/zinc/88/72/30/1120887230.db2.gz FKXBKWVCPMYBBZ-HNNXBMFYSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CCC[C@H]2c2ccc(F)cc2C)n1 ZINC000628302489 1120887239 /nfs/dbraw/zinc/88/72/39/1120887239.db2.gz FKXBKWVCPMYBBZ-HNNXBMFYSA-N 1 2 273.355 3.503 20 0 CHADLO CCCCc1nc(C[N@H+](C)C[C@@H]2CCc3ccccc32)no1 ZINC000662198687 1120896399 /nfs/dbraw/zinc/89/63/99/1120896399.db2.gz JUVPEAQZUTZVCS-HNNXBMFYSA-N 1 2 299.418 3.574 20 0 CHADLO CCCCc1nc(C[N@@H+](C)C[C@@H]2CCc3ccccc32)no1 ZINC000662198687 1120896403 /nfs/dbraw/zinc/89/64/03/1120896403.db2.gz JUVPEAQZUTZVCS-HNNXBMFYSA-N 1 2 299.418 3.574 20 0 CHADLO Cc1cccc([C@@H](C)[N@@H+]2CCO[C@H](CC(F)(F)F)C2)c1 ZINC000662230577 1120906261 /nfs/dbraw/zinc/90/62/61/1120906261.db2.gz BPWIUWDSFLGFSK-TZMCWYRMSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1cccc([C@@H](C)[N@H+]2CCO[C@H](CC(F)(F)F)C2)c1 ZINC000662230577 1120906267 /nfs/dbraw/zinc/90/62/67/1120906267.db2.gz BPWIUWDSFLGFSK-TZMCWYRMSA-N 1 2 287.325 3.709 20 0 CHADLO C[C@@H]1C[N@H+](C/C=C\c2ccccc2)C[C@@H](CC(F)(F)F)O1 ZINC000662236030 1120907702 /nfs/dbraw/zinc/90/77/02/1120907702.db2.gz LMFIQDSLZYMNDJ-VZFGUZNESA-N 1 2 299.336 3.742 20 0 CHADLO C[C@@H]1C[N@@H+](C/C=C\c2ccccc2)C[C@@H](CC(F)(F)F)O1 ZINC000662236030 1120907705 /nfs/dbraw/zinc/90/77/05/1120907705.db2.gz LMFIQDSLZYMNDJ-VZFGUZNESA-N 1 2 299.336 3.742 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+]Cc1cscn1 ZINC000040504872 1120908261 /nfs/dbraw/zinc/90/82/61/1120908261.db2.gz PKXULFJHYDENMS-LBPRGKRZSA-N 1 2 276.405 3.781 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H]2CC[C@H](C)C[C@H]2C)c(C)[nH+]1 ZINC001126217570 1120910111 /nfs/dbraw/zinc/91/01/11/1120910111.db2.gz BVOQSJMNFYXCAK-FIXISWKDSA-N 1 2 274.408 3.561 20 0 CHADLO CCCCc1noc(C[N@@H+]2CC3CC2(c2ccccc2)C3)n1 ZINC000662335627 1120926763 /nfs/dbraw/zinc/92/67/63/1120926763.db2.gz LZFNAVXSELNYDU-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO CCCCc1noc(C[N@H+]2CC3CC2(c2ccccc2)C3)n1 ZINC000662335627 1120926766 /nfs/dbraw/zinc/92/67/66/1120926766.db2.gz LZFNAVXSELNYDU-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO CC1(C)C[C@@]1([NH2+]Cc1ncc(C2CC2)o1)c1ccccc1 ZINC000662343962 1120928450 /nfs/dbraw/zinc/92/84/50/1120928450.db2.gz WAFDDDPFSQJGAQ-GOSISDBHSA-N 1 2 282.387 3.967 20 0 CHADLO CC1(C)C[C@]1([NH2+]Cc1noc2c1CCCC2)c1ccccc1 ZINC000662343760 1120928704 /nfs/dbraw/zinc/92/87/04/1120928704.db2.gz NRINKWJPBMUYSX-IBGZPJMESA-N 1 2 296.414 3.968 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662365641 1120930568 /nfs/dbraw/zinc/93/05/68/1120930568.db2.gz SQCPXQYKOXVEFZ-UEKVPHQBSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662365641 1120930572 /nfs/dbraw/zinc/93/05/72/1120930572.db2.gz SQCPXQYKOXVEFZ-UEKVPHQBSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@@]1(C(F)F)C[N@@H+]([C@H]2CCCc3ccc(F)cc32)CCO1 ZINC000662370993 1120931312 /nfs/dbraw/zinc/93/13/12/1120931312.db2.gz GAWKQVGBTITTJZ-HOCLYGCPSA-N 1 2 299.336 3.559 20 0 CHADLO C[C@@]1(C(F)F)C[N@H+]([C@H]2CCCc3ccc(F)cc32)CCO1 ZINC000662370993 1120931317 /nfs/dbraw/zinc/93/13/17/1120931317.db2.gz GAWKQVGBTITTJZ-HOCLYGCPSA-N 1 2 299.336 3.559 20 0 CHADLO CCCc1ccc([C@H]([NH2+]Cc2ccn[nH]2)C(C)C)cc1 ZINC000066970825 1120952836 /nfs/dbraw/zinc/95/28/36/1120952836.db2.gz RIMUXVPGMLJCIL-QGZVFWFLSA-N 1 2 271.408 3.849 20 0 CHADLO COc1ccc(CCC[N@@H+]2CC[C@H](C)C(F)(F)C2)cc1 ZINC001207572001 1120979872 /nfs/dbraw/zinc/97/98/72/1120979872.db2.gz DWXGUTGTYPFFPC-ZDUSSCGKSA-N 1 2 283.362 3.605 20 0 CHADLO COc1ccc(CCC[N@H+]2CC[C@H](C)C(F)(F)C2)cc1 ZINC001207572001 1120979875 /nfs/dbraw/zinc/97/98/75/1120979875.db2.gz DWXGUTGTYPFFPC-ZDUSSCGKSA-N 1 2 283.362 3.605 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+]C1(c2ccc(Cl)cc2)CCC1 ZINC000925777556 1120986058 /nfs/dbraw/zinc/98/60/58/1120986058.db2.gz FOWJAZFTNTZZLF-SNVBAGLBSA-N 1 2 291.782 3.761 20 0 CHADLO CC1(C)C[N@H+](Cc2ccccc2OC(F)F)C(C)(C)CO1 ZINC000604386435 1120994678 /nfs/dbraw/zinc/99/46/78/1120994678.db2.gz LHIPPTWDHCOXEK-UHFFFAOYSA-N 1 2 299.361 3.677 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccccc2OC(F)F)C(C)(C)CO1 ZINC000604386435 1120994682 /nfs/dbraw/zinc/99/46/82/1120994682.db2.gz LHIPPTWDHCOXEK-UHFFFAOYSA-N 1 2 299.361 3.677 20 0 CHADLO Cc1ncoc1C[NH2+]Cc1nc2cc(Cl)ccc2s1 ZINC001648930771 1121002506 /nfs/dbraw/zinc/00/25/06/1121002506.db2.gz GJDGSEDKMSNPMO-UHFFFAOYSA-N 1 2 293.779 3.536 20 0 CHADLO Cc1cccc2c1CCN2Cc1ccc(-n2cc[nH+]c2)cc1 ZINC000585749470 1121027725 /nfs/dbraw/zinc/02/77/25/1121027725.db2.gz DBPWYTGKYOFOKA-UHFFFAOYSA-N 1 2 289.382 3.743 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cncc(C(F)(F)F)c3)ccc21 ZINC001174745165 1121033421 /nfs/dbraw/zinc/03/34/21/1121033421.db2.gz ZSUSFDURTNUOFT-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO CC(C)Oc1ccc(Nc2ccc[nH+]c2N2CCCC2)cn1 ZINC001174761315 1121043080 /nfs/dbraw/zinc/04/30/80/1121043080.db2.gz GYVHQECVNDGPNH-UHFFFAOYSA-N 1 2 298.390 3.608 20 0 CHADLO COc1ccc(Nc2ccc3c(c2)[nH+]cn3C)cc1C ZINC001174831984 1121050002 /nfs/dbraw/zinc/05/00/02/1121050002.db2.gz MOPOMDNZGACSPJ-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccc(F)cc1 ZINC000223045549 1121062516 /nfs/dbraw/zinc/06/25/16/1121062516.db2.gz KNTSPMHYRAGWFY-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1c(Cl)oc2ccccc21 ZINC000351053063 1121065892 /nfs/dbraw/zinc/06/58/92/1121065892.db2.gz PAARDJTZNYPSKU-MNOVXSKESA-N 1 2 279.767 3.695 20 0 CHADLO C[C@@H]1CO[C@@H](C)C[N@H+]1Cc1c(Cl)oc2ccccc21 ZINC000351053063 1121065899 /nfs/dbraw/zinc/06/58/99/1121065899.db2.gz PAARDJTZNYPSKU-MNOVXSKESA-N 1 2 279.767 3.695 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)OCO3)c(N2CCCCC2)c1 ZINC001174865306 1121073032 /nfs/dbraw/zinc/07/30/32/1121073032.db2.gz LNPZDJZMSLGQRR-UHFFFAOYSA-N 1 2 297.358 3.544 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2Cc3ccccc3OC(C)(C)C2)n1 ZINC000344109761 1121089813 /nfs/dbraw/zinc/08/98/13/1121089813.db2.gz JAORKQBITYMJIE-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO CC(C)n1ccc(C[N@H+]2Cc3ccccc3OC(C)(C)C2)n1 ZINC000344109761 1121089822 /nfs/dbraw/zinc/08/98/22/1121089822.db2.gz JAORKQBITYMJIE-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO COCc1ccc(Nc2cc[nH+]c(SC)c2)cc1 ZINC001174919710 1121094174 /nfs/dbraw/zinc/09/41/74/1121094174.db2.gz KJAUDCTXMYLEDR-UHFFFAOYSA-N 1 2 260.362 3.694 20 0 CHADLO COc1cc(F)c(Nc2cc(C)cc(C)[nH+]2)cc1F ZINC001174923602 1121096737 /nfs/dbraw/zinc/09/67/37/1121096737.db2.gz GGTFJHVUONQKBX-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO O=C(CC1CCCCCC1)Nc1ccc2[nH+]ccn2c1 ZINC000674241965 1121104639 /nfs/dbraw/zinc/10/46/39/1121104639.db2.gz IAIFRGHKNSUEFY-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)c(F)c(F)c1 ZINC001174955248 1121110789 /nfs/dbraw/zinc/11/07/89/1121110789.db2.gz HJKNZQPGJWPQTJ-UHFFFAOYSA-N 1 2 268.238 3.641 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCC(C(F)(F)F)CC1 ZINC000663672291 1121120143 /nfs/dbraw/zinc/12/01/43/1121120143.db2.gz ZZVFWGRKFQUBQI-UHFFFAOYSA-N 1 2 295.308 3.596 20 0 CHADLO Clc1ccccc1[C@@H]1C[N@H+](Cc2cccs2)CCO1 ZINC000093080810 1121120339 /nfs/dbraw/zinc/12/03/39/1121120339.db2.gz GOFQKOBSXWTVNQ-HNNXBMFYSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccccc1[C@@H]1C[N@@H+](Cc2cccs2)CCO1 ZINC000093080810 1121120340 /nfs/dbraw/zinc/12/03/40/1121120340.db2.gz GOFQKOBSXWTVNQ-HNNXBMFYSA-N 1 2 293.819 3.975 20 0 CHADLO C[C@@H](CC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)CC(C)(C)C ZINC000674362056 1121123644 /nfs/dbraw/zinc/12/36/44/1121123644.db2.gz XYLVYBLQDXZAOP-ZDUSSCGKSA-N 1 2 291.439 3.578 20 0 CHADLO C[C@@H](CC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)CC(C)(C)C ZINC000674362056 1121123648 /nfs/dbraw/zinc/12/36/48/1121123648.db2.gz XYLVYBLQDXZAOP-ZDUSSCGKSA-N 1 2 291.439 3.578 20 0 CHADLO CCCC[C@H](C)N(CCCC)C(=O)CCc1c[nH+]cn1C ZINC000359420878 1121123817 /nfs/dbraw/zinc/12/38/17/1121123817.db2.gz IYUATGCTUYMGIZ-HNNXBMFYSA-N 1 2 293.455 3.560 20 0 CHADLO COc1cc(Nc2ccc3c(c2)[nH+]cn3C)ccc1C ZINC001175015633 1121134396 /nfs/dbraw/zinc/13/43/96/1121134396.db2.gz IJJOTTDCVBSCMS-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO COc1cc(Nc2ccccc2-n2cc[nH+]c2)ccc1C ZINC001175016748 1121135710 /nfs/dbraw/zinc/13/57/10/1121135710.db2.gz PAXLLLXATJADTJ-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c(C)cn32)c(F)c1F ZINC001175019118 1121136524 /nfs/dbraw/zinc/13/65/24/1121136524.db2.gz BYFQPHAESVMHOK-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC)c(F)c1F ZINC001175020175 1121138047 /nfs/dbraw/zinc/13/80/47/1121138047.db2.gz LRVQARFZICQSKI-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO Cc1cn2cccc(Nc3c(F)ccc(F)c3F)c2[nH+]1 ZINC001175025816 1121140134 /nfs/dbraw/zinc/14/01/34/1121140134.db2.gz MNNIKCWTMSOMDU-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC)c(C(F)(F)F)c1 ZINC001175068124 1121148174 /nfs/dbraw/zinc/14/81/74/1121148174.db2.gz AJDOCDVHJXZSQK-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO CCOc1ccc(F)c(Nc2ccn3cc[nH+]c3c2)c1F ZINC001175039822 1121154762 /nfs/dbraw/zinc/15/47/62/1121154762.db2.gz QBYUZPDKOFEILO-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO C[C@@H]([NH2+]Cc1nsc2ccccc12)c1ccns1 ZINC001175111206 1121169021 /nfs/dbraw/zinc/16/90/21/1121169021.db2.gz HOAWKPZFYKQBPT-SECBINFHSA-N 1 2 275.402 3.604 20 0 CHADLO CCOc1ccc(F)cc1Nc1cccc2[nH+]c(C)cn21 ZINC001175077633 1121174106 /nfs/dbraw/zinc/17/41/06/1121174106.db2.gz SBRPSTUWSMYXBK-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO Cc1cc(N[C@@H](c2cnn(C)c2)C(C)(C)C)nc(C2CC2)[nH+]1 ZINC000674747256 1121174565 /nfs/dbraw/zinc/17/45/65/1121174565.db2.gz NKFBCOZRTRKJGG-HNNXBMFYSA-N 1 2 299.422 3.595 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)c(F)cc2F)c[nH+]1 ZINC001175084124 1121177735 /nfs/dbraw/zinc/17/77/35/1121177735.db2.gz MSQNBYBRWRLNLD-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](CC(C)C)c2cccs2)no1 ZINC000351595568 1121182586 /nfs/dbraw/zinc/18/25/86/1121182586.db2.gz RGJYQDPIONJDSF-ZYHUDNBSSA-N 1 2 279.409 3.878 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)ccc1OCC ZINC001175122708 1121191390 /nfs/dbraw/zinc/19/13/90/1121191390.db2.gz IHIXTNZTRURMNT-UHFFFAOYSA-N 1 2 272.348 3.931 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1nc2cc(F)ccc2o1 ZINC000674905670 1121193935 /nfs/dbraw/zinc/19/39/35/1121193935.db2.gz SDWGFLPICJSVMK-UHFFFAOYSA-N 1 2 290.260 3.741 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1nc2cc(F)ccc2o1 ZINC000674905670 1121193938 /nfs/dbraw/zinc/19/39/38/1121193938.db2.gz SDWGFLPICJSVMK-UHFFFAOYSA-N 1 2 290.260 3.741 20 0 CHADLO COc1ncccc1CNc1[nH+]c2ccccc2cc1C ZINC000063105784 1121198406 /nfs/dbraw/zinc/19/84/06/1121198406.db2.gz UKNWAVMQNYAUDM-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OC)cc1C ZINC001175165882 1121210950 /nfs/dbraw/zinc/21/09/50/1121210950.db2.gz AXFBHKDLUPPDQX-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CCOc1ccc(Nc2[nH+]cccc2N(C)C)cc1C ZINC001175167115 1121212332 /nfs/dbraw/zinc/21/23/32/1121212332.db2.gz RSMGHRKKUQSTNB-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CC(=O)c1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1F ZINC001175226353 1121232339 /nfs/dbraw/zinc/23/23/39/1121232339.db2.gz AMWNGCUPYLXFMP-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO CCOc1cc(F)ccc1Nc1cccc2[nH+]c(C)cn21 ZINC001175288929 1121255670 /nfs/dbraw/zinc/25/56/70/1121255670.db2.gz UBBJJSJPINEZTQ-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccccc2C(F)(F)F)o1 ZINC000112534969 1121256957 /nfs/dbraw/zinc/25/69/57/1121256957.db2.gz NRUQBFTXGVXKGP-RKDXNWHRSA-N 1 2 299.296 3.809 20 0 CHADLO Clc1cc(Cl)cc(C[NH2+]Cc2cscn2)c1 ZINC000070167423 1121266182 /nfs/dbraw/zinc/26/61/82/1121266182.db2.gz QOLBZZOQMPLHBT-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO CCOc1cc(Nc2cc(C(C)=O)ccc2F)cc(C)[nH+]1 ZINC001175272930 1121272505 /nfs/dbraw/zinc/27/25/05/1121272505.db2.gz YRSLMNIWGIODLZ-UHFFFAOYSA-N 1 2 288.322 3.874 20 0 CHADLO CN(C)c1ccc(Nc2ccc(-c3ccccn3)cc2)c[nH+]1 ZINC001175343048 1121272756 /nfs/dbraw/zinc/27/27/56/1121272756.db2.gz DWEOXZDJRVPAQQ-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CN(C)c1ccc(Nc2ccc(O)cc2C(F)(F)F)c[nH+]1 ZINC001175344817 1121274620 /nfs/dbraw/zinc/27/46/20/1121274620.db2.gz IMKBCDICYSETDG-UHFFFAOYSA-N 1 2 297.280 3.616 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1Nc1cncc(C2CC2)c1 ZINC001175347927 1121275498 /nfs/dbraw/zinc/27/54/98/1121275498.db2.gz XYNYXYJDMPJDRT-UHFFFAOYSA-N 1 2 280.375 3.698 20 0 CHADLO c1cc(C2CC2)c(Nc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC001175347544 1121275745 /nfs/dbraw/zinc/27/57/45/1121275745.db2.gz OIOQHGOTXNQRGQ-UHFFFAOYSA-N 1 2 280.375 3.698 20 0 CHADLO Cc1nc(NCCc2ccsc2)cc(C(C)C)[nH+]1 ZINC000709796690 1121282334 /nfs/dbraw/zinc/28/23/34/1121282334.db2.gz CUNMPKHBZXMONH-UHFFFAOYSA-N 1 2 261.394 3.625 20 0 CHADLO Cc1cnc(COc2cc(C)[nH+]c3ccccc32)s1 ZINC000192193126 1128974891 /nfs/dbraw/zinc/97/48/91/1128974891.db2.gz IUTOSCIPFTWMIN-UHFFFAOYSA-N 1 2 270.357 3.887 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2cc(F)cc(F)c2)s1 ZINC000274266430 1121285376 /nfs/dbraw/zinc/28/53/76/1121285376.db2.gz VWKYCEWKCYZMEJ-JGVFFNPUSA-N 1 2 283.347 3.537 20 0 CHADLO CN(C)c1ccc(Nc2csc3cnccc23)c[nH+]1 ZINC001175341004 1121290505 /nfs/dbraw/zinc/29/05/05/1121290505.db2.gz MTTYXNAAGITOJN-UHFFFAOYSA-N 1 2 270.361 3.501 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)nc(Cl)c2)c[nH+]1 ZINC001175339153 1121290631 /nfs/dbraw/zinc/29/06/31/1121290631.db2.gz ZAAUOFPEDGHDEO-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO Oc1cc(Cl)cc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175349759 1121291741 /nfs/dbraw/zinc/29/17/41/1121291741.db2.gz FJZWJVGIGAKCPM-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO Cc1c(O)ccc(Nc2ccc(N3CCCC3)[nH+]c2)c1C ZINC001175350586 1121292150 /nfs/dbraw/zinc/29/21/50/1121292150.db2.gz GTYWLXVHLCWUEB-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO c1cc2cc(NC[C@@H]3CCOc4ccccc43)[nH+]cc2[nH]1 ZINC000672211882 1121297346 /nfs/dbraw/zinc/29/73/46/1121297346.db2.gz BERKKJZMFVNLFE-ZDUSSCGKSA-N 1 2 279.343 3.541 20 0 CHADLO CC(C)c1cc(N2C[C@H](C)[C@H](C)C2)nc(C(C)C)[nH+]1 ZINC001162606199 1121304345 /nfs/dbraw/zinc/30/43/45/1121304345.db2.gz VEVCWYQEJDSEAA-BETUJISGSA-N 1 2 261.413 3.816 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnc4n[nH]cc4c3)ccc12 ZINC001175404557 1121315900 /nfs/dbraw/zinc/31/59/00/1121315900.db2.gz HOEIQKOCYYTWNU-UHFFFAOYSA-N 1 2 275.315 3.558 20 0 CHADLO CSc1cc(Nc2ccc3n[nH]cc3c2C)cc[nH+]1 ZINC001175465817 1121329859 /nfs/dbraw/zinc/32/98/59/1121329859.db2.gz LVPIHSVDQKPEHQ-UHFFFAOYSA-N 1 2 270.361 3.732 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H](C)O1 ZINC000511842443 1121360520 /nfs/dbraw/zinc/36/05/20/1121360520.db2.gz KDNJOWUZIAEZML-RISCZKNCSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H](C)O1 ZINC000511842443 1121360529 /nfs/dbraw/zinc/36/05/29/1121360529.db2.gz KDNJOWUZIAEZML-RISCZKNCSA-N 1 2 287.325 3.705 20 0 CHADLO Cc1cn2cccc(Nc3c4c[nH]nc4ccc3C)c2[nH+]1 ZINC001175523871 1121364088 /nfs/dbraw/zinc/36/40/88/1121364088.db2.gz PCORFLFBUXJCTB-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1c(Cl)ccc2c1C[N@H+](Cc1ccnc(F)c1)CC2 ZINC001175587537 1121373512 /nfs/dbraw/zinc/37/35/12/1121373512.db2.gz UPMAHNHLNODWRY-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1c(Cl)ccc2c1C[N@@H+](Cc1ccnc(F)c1)CC2 ZINC001175587537 1121373520 /nfs/dbraw/zinc/37/35/20/1121373520.db2.gz UPMAHNHLNODWRY-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1csc(-c2ccoc2)n1 ZINC000676043305 1121401783 /nfs/dbraw/zinc/40/17/83/1121401783.db2.gz OLLBJDBYHSOBKS-UHFFFAOYSA-N 1 2 294.420 3.650 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1csc(-c2ccoc2)n1 ZINC000676043305 1121401787 /nfs/dbraw/zinc/40/17/87/1121401787.db2.gz OLLBJDBYHSOBKS-UHFFFAOYSA-N 1 2 294.420 3.650 20 0 CHADLO COC[C@H]([NH2+]Cc1cscc1Cl)c1ccc(C)o1 ZINC000676418871 1121432979 /nfs/dbraw/zinc/43/29/79/1121432979.db2.gz JJRKSTRMUBODMB-LBPRGKRZSA-N 1 2 285.796 3.780 20 0 CHADLO Cc1cc(C)c(Nc2ccnc(Br)c2)c[nH+]1 ZINC001175746885 1121446101 /nfs/dbraw/zinc/44/61/01/1121446101.db2.gz VKXCTZOPQFUUCL-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1cc(NC(=O)c2ccc(-c3ccco3)s2)c(C)c[nH+]1 ZINC000676587924 1121447161 /nfs/dbraw/zinc/44/71/61/1121447161.db2.gz ITKVUNRSXFTDLL-UHFFFAOYSA-N 1 2 298.367 3.694 20 0 CHADLO Cc1cc(NC(=O)c2sccc2C(C)C)c(C)c[nH+]1 ZINC000676588358 1121447358 /nfs/dbraw/zinc/44/73/58/1121447358.db2.gz XQGHTSJBMACKSS-UHFFFAOYSA-N 1 2 274.389 3.558 20 0 CHADLO CCCCc1ccc(C(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000676588360 1121447840 /nfs/dbraw/zinc/44/78/40/1121447840.db2.gz XRGSVNIZIYVBSM-UHFFFAOYSA-N 1 2 282.387 3.715 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cncc(C3CC3)c2)c[nH+]1 ZINC001175711213 1121449615 /nfs/dbraw/zinc/44/96/15/1121449615.db2.gz SCXXWJKQGYRKQZ-UHFFFAOYSA-N 1 2 294.280 3.699 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cnccc2C2CC2)c[nH+]1 ZINC001175711484 1121449942 /nfs/dbraw/zinc/44/99/42/1121449942.db2.gz YDYCUSCGHOXVCN-UHFFFAOYSA-N 1 2 294.280 3.699 20 0 CHADLO Cc1n[nH]c(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)c1C ZINC000894025868 1121457530 /nfs/dbraw/zinc/45/75/30/1121457530.db2.gz JBNDCWBMHPHZCW-MRXNPFEDSA-N 1 2 291.345 3.642 20 0 CHADLO Cc1n[nH]c(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)c1C ZINC000894025868 1121457533 /nfs/dbraw/zinc/45/75/33/1121457533.db2.gz JBNDCWBMHPHZCW-MRXNPFEDSA-N 1 2 291.345 3.642 20 0 CHADLO COc1cc(Nc2cccn3cc[nH+]c23)ccc1Cl ZINC001175773716 1121461513 /nfs/dbraw/zinc/46/15/13/1121461513.db2.gz IJPVJCPQDFGWLJ-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO Cc1ccnc(N)c1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000894060530 1121462957 /nfs/dbraw/zinc/46/29/57/1121462957.db2.gz ZVHMFXONIOGTEM-OAHLLOKOSA-N 1 2 287.794 3.573 20 0 CHADLO Cc1ccnc(N)c1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000894060530 1121462964 /nfs/dbraw/zinc/46/29/64/1121462964.db2.gz ZVHMFXONIOGTEM-OAHLLOKOSA-N 1 2 287.794 3.573 20 0 CHADLO Cc1cn2cccc(Nc3cnn(-c4ccccc4)c3)c2[nH+]1 ZINC001175792659 1121467007 /nfs/dbraw/zinc/46/70/07/1121467007.db2.gz OAXFQGLYQXKWJO-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO C/C=C/C[C@H]([NH2+][C@H](C)[C@H](C)c1ccccc1)C(=O)OCC ZINC000432898343 1121470981 /nfs/dbraw/zinc/47/09/81/1121470981.db2.gz IGMVADAREPLGOF-DJYOTSCKSA-N 1 2 289.419 3.666 20 0 CHADLO CCCn1nc(C)cc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001175803492 1121472901 /nfs/dbraw/zinc/47/29/01/1121472901.db2.gz LELGRGWRLJZMJC-UHFFFAOYSA-N 1 2 281.363 3.531 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1cn(C)cn1 ZINC000710104010 1121473640 /nfs/dbraw/zinc/47/36/40/1121473640.db2.gz KJMZPQGQQKXDJS-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO CCCn1cc(N[C@@H](C)c2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC000182585021 1128986952 /nfs/dbraw/zinc/98/69/52/1128986952.db2.gz PGVLVPKYWAWGHV-AWEZNQCLSA-N 1 2 295.390 3.652 20 0 CHADLO Cc1cn2cccc(Nc3cccc4c3ccn4C)c2[nH+]1 ZINC001175863336 1121483600 /nfs/dbraw/zinc/48/36/00/1121483600.db2.gz QURNQSNQVWYZPH-UHFFFAOYSA-N 1 2 276.343 3.878 20 0 CHADLO Cc1ccc([C@]2(C)CCN(c3cccc[nH+]3)C2)cc1 ZINC000432932230 1121483811 /nfs/dbraw/zinc/48/38/11/1121483811.db2.gz OZEHRWPYLAUIIV-QGZVFWFLSA-N 1 2 252.361 3.558 20 0 CHADLO CCC[N@H+](Cc1nnc(C(C)(C)C)[nH]1)Cc1ccccc1 ZINC000432929781 1121484500 /nfs/dbraw/zinc/48/45/00/1121484500.db2.gz SZMVRINWTFPXCC-UHFFFAOYSA-N 1 2 286.423 3.514 20 0 CHADLO CCC[N@@H+](Cc1nnc(C(C)(C)C)[nH]1)Cc1ccccc1 ZINC000432929781 1121484505 /nfs/dbraw/zinc/48/45/05/1121484505.db2.gz SZMVRINWTFPXCC-UHFFFAOYSA-N 1 2 286.423 3.514 20 0 CHADLO Cc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc(C)c1N ZINC001175936308 1121487338 /nfs/dbraw/zinc/48/73/38/1121487338.db2.gz XTVWSWYKJUQNDO-UHFFFAOYSA-N 1 2 292.386 3.874 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(C(C)(C)C)no2)c1C ZINC000428742990 1121495439 /nfs/dbraw/zinc/49/54/39/1121495439.db2.gz JFXOFXMUBKWWJP-UHFFFAOYSA-N 1 2 287.407 3.616 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(C(C)(C)C)no2)c1C ZINC000428742990 1121495443 /nfs/dbraw/zinc/49/54/43/1121495443.db2.gz JFXOFXMUBKWWJP-UHFFFAOYSA-N 1 2 287.407 3.616 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3scnc3c2)[nH+]1 ZINC001175910907 1121495696 /nfs/dbraw/zinc/49/56/96/1121495696.db2.gz KQYNFPMBLXGWQJ-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO Cc1cc(Nc2cccc(-n3cc[nH+]c3)c2)cc(C)c1N ZINC001175931411 1121500396 /nfs/dbraw/zinc/50/03/96/1121500396.db2.gz JTRARNAOXJPTBA-UHFFFAOYSA-N 1 2 278.359 3.815 20 0 CHADLO C[C@@]1([NH2+]Cc2ncc(Cl)s2)CCOc2ccccc21 ZINC000923025882 1121515943 /nfs/dbraw/zinc/51/59/43/1121515943.db2.gz QYEAPAXESGWFFD-CQSZACIVSA-N 1 2 294.807 3.584 20 0 CHADLO C[C@]1([NH2+]Cc2ncc(Cl)s2)CCOc2ccccc21 ZINC000923025881 1121516272 /nfs/dbraw/zinc/51/62/72/1121516272.db2.gz QYEAPAXESGWFFD-AWEZNQCLSA-N 1 2 294.807 3.584 20 0 CHADLO CCC[C@H]([NH2+]C1CC(c2ccccc2Cl)C1)C(=O)OC ZINC000512663839 1121517945 /nfs/dbraw/zinc/51/79/45/1121517945.db2.gz QZYOHSNATBNBLP-QOZQQMKHSA-N 1 2 295.810 3.517 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccon2)c(OCCC(C)C)c1 ZINC000634968365 1121532761 /nfs/dbraw/zinc/53/27/61/1121532761.db2.gz MOHOGSTZVSUWFI-UHFFFAOYSA-N 1 2 288.391 3.698 20 0 CHADLO Fc1ccccc1CC[NH2+][C@H](c1ccccc1)C(F)F ZINC000433059119 1121545026 /nfs/dbraw/zinc/54/50/26/1121545026.db2.gz MFISYLYATUPTIE-OAHLLOKOSA-N 1 2 279.305 3.964 20 0 CHADLO Cc1ccc(C[N@@H+](CCCC(C)C)Cc2c[nH]cn2)o1 ZINC001176138433 1121548302 /nfs/dbraw/zinc/54/83/02/1121548302.db2.gz XCYDKHFCAVYSJD-UHFFFAOYSA-N 1 2 275.396 3.750 20 0 CHADLO Cc1ccc(C[N@H+](CCCC(C)C)Cc2c[nH]cn2)o1 ZINC001176138433 1121548309 /nfs/dbraw/zinc/54/83/09/1121548309.db2.gz XCYDKHFCAVYSJD-UHFFFAOYSA-N 1 2 275.396 3.750 20 0 CHADLO CC[C@@H](COc1cccc(-n2cc[nH+]c2)c1)CC(F)F ZINC000663979473 1121556652 /nfs/dbraw/zinc/55/66/52/1121556652.db2.gz JICSTTNCWYLIIV-GFCCVEGCSA-N 1 2 280.318 3.933 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cl)cc1F ZINC001176120446 1121557134 /nfs/dbraw/zinc/55/71/34/1121557134.db2.gz BBMHIPFPKMXAAQ-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc2cccc(F)c2c1 ZINC001176118071 1121557336 /nfs/dbraw/zinc/55/73/36/1121557336.db2.gz AQKIFFJWJMWEHM-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)cc(F)cc1Cl ZINC001176123939 1121557982 /nfs/dbraw/zinc/55/79/82/1121557982.db2.gz ZCSMUTFEOYQTME-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)cc1Cl ZINC001176121184 1121557998 /nfs/dbraw/zinc/55/79/98/1121557998.db2.gz SHMMSVKDCIBEEW-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO COc1cc[nH+]cc1Nc1c(Cl)ccc(O)c1Cl ZINC001176123191 1121558036 /nfs/dbraw/zinc/55/80/36/1121558036.db2.gz OUOJNOBKWFQAPB-UHFFFAOYSA-N 1 2 285.130 3.846 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(C(F)(F)F)c1O ZINC001176123164 1121558670 /nfs/dbraw/zinc/55/86/70/1121558670.db2.gz KUCKCKYGRPCJGK-UHFFFAOYSA-N 1 2 284.237 3.558 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)c(N)cc1Cl ZINC001176123511 1121559499 /nfs/dbraw/zinc/55/94/99/1121559499.db2.gz AHZKQVDUHHSTRM-UHFFFAOYSA-N 1 2 284.146 3.723 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)ccc1OC(C)C ZINC001176124444 1121560621 /nfs/dbraw/zinc/56/06/21/1121560621.db2.gz PQSCHEADGSIERX-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(c1)CCC2=O ZINC001176231441 1121581939 /nfs/dbraw/zinc/58/19/39/1121581939.db2.gz CTFGDZVQCCCUJF-UHFFFAOYSA-N 1 2 266.344 3.825 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CCc2c(O)cccc2C1 ZINC000626026493 1121583064 /nfs/dbraw/zinc/58/30/64/1121583064.db2.gz MFGQDMVIVZZUHH-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CCc2c(O)cccc2C1 ZINC000626026493 1121583069 /nfs/dbraw/zinc/58/30/69/1121583069.db2.gz MFGQDMVIVZZUHH-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO COc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1O ZINC001176254083 1121593511 /nfs/dbraw/zinc/59/35/11/1121593511.db2.gz LLLUOPWEKLCAON-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CCC1(C)C ZINC000614282728 1121595857 /nfs/dbraw/zinc/59/58/57/1121595857.db2.gz WBZIODKRGVTTSW-ZDUSSCGKSA-N 1 2 283.375 3.760 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc4c(c3)CC(=O)N4)ccc12 ZINC001176430757 1121620142 /nfs/dbraw/zinc/62/01/42/1121620142.db2.gz QCBCQYUJJNNPRY-UHFFFAOYSA-N 1 2 289.338 3.781 20 0 CHADLO Cc1ncc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001176395956 1121624659 /nfs/dbraw/zinc/62/46/59/1121624659.db2.gz KRVIKXWJBMYNFZ-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Cc1ccc(Nc2cc(OC(C)C)ncc2F)c(C)[nH+]1 ZINC001176480191 1121636243 /nfs/dbraw/zinc/63/62/43/1121636243.db2.gz DQWZXKPWRJNRHD-UHFFFAOYSA-N 1 2 275.327 3.763 20 0 CHADLO COc1ccc(O)cc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001176574777 1121658268 /nfs/dbraw/zinc/65/82/68/1121658268.db2.gz SGQZYCBEYJNRSB-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO Oc1cc(Cl)cc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001176625090 1121664288 /nfs/dbraw/zinc/66/42/88/1121664288.db2.gz GUEGYFFFLRYWPQ-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO FC(F)(F)Oc1ccc(Nc2cccn3cc[nH+]c23)cc1 ZINC001176640108 1121666429 /nfs/dbraw/zinc/66/64/29/1121666429.db2.gz LMEYYIWZBOJXNP-UHFFFAOYSA-N 1 2 293.248 3.977 20 0 CHADLO Cn1cc(Cl)cc1C[N@H+](C)Cc1scnc1C1CC1 ZINC000494981494 1121674569 /nfs/dbraw/zinc/67/45/69/1121674569.db2.gz SDDAISCYDPWUBY-UHFFFAOYSA-N 1 2 295.839 3.644 20 0 CHADLO Cn1cc(Cl)cc1C[N@@H+](C)Cc1scnc1C1CC1 ZINC000494981494 1121674574 /nfs/dbraw/zinc/67/45/74/1121674574.db2.gz SDDAISCYDPWUBY-UHFFFAOYSA-N 1 2 295.839 3.644 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1cccc(C2CC2)c1 ZINC001176723606 1121678840 /nfs/dbraw/zinc/67/88/40/1121678840.db2.gz IXPCBHCHDPMZFN-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cccnc2OC(C)C)c1 ZINC001176730647 1121683264 /nfs/dbraw/zinc/68/32/64/1121683264.db2.gz STLRZJIVTFLPHA-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO COc1c(F)cccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176748918 1121686289 /nfs/dbraw/zinc/68/62/89/1121686289.db2.gz JAMKTYMWVUNRJN-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO c1ccc2cc(Nc3ccc[nH+]c3N3CCCC3)ncc2c1 ZINC001176749707 1121686357 /nfs/dbraw/zinc/68/63/57/1121686357.db2.gz GVKQBASXXJEWAS-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO Cc1csc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001176749788 1121687019 /nfs/dbraw/zinc/68/70/19/1121687019.db2.gz KPOODJIMMQWUDR-UHFFFAOYSA-N 1 2 259.378 3.795 20 0 CHADLO c1coc(-c2cc(Nc3ccc[nH+]c3N3CCCC3)on2)c1 ZINC001176749325 1121687133 /nfs/dbraw/zinc/68/71/33/1121687133.db2.gz DAONHOQAIHMIML-UHFFFAOYSA-N 1 2 296.330 3.673 20 0 CHADLO CC(C)(C)c1cc(Nc2ccc[nH+]c2N2CCCC2)on1 ZINC001176750888 1121688298 /nfs/dbraw/zinc/68/82/98/1121688298.db2.gz ZAHUFHIIRVDMMV-UHFFFAOYSA-N 1 2 286.379 3.711 20 0 CHADLO COCOc1ccc(F)cc1Nc1[nH+]c(C)ccc1C ZINC001176715725 1121691651 /nfs/dbraw/zinc/69/16/51/1121691651.db2.gz ZGNLEEVGXFHLGQ-UHFFFAOYSA-N 1 2 276.311 3.564 20 0 CHADLO CCOC(=O)[C@H](C)[N@H+](C)Cc1ccc(C(C)(C)CC)cc1 ZINC001176856880 1121700893 /nfs/dbraw/zinc/70/08/93/1121700893.db2.gz RIKLVQOMDLCLJA-AWEZNQCLSA-N 1 2 291.435 3.758 20 0 CHADLO CCOC(=O)[C@H](C)[N@@H+](C)Cc1ccc(C(C)(C)CC)cc1 ZINC001176856880 1121700898 /nfs/dbraw/zinc/70/08/98/1121700898.db2.gz RIKLVQOMDLCLJA-AWEZNQCLSA-N 1 2 291.435 3.758 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000397996514 1121702999 /nfs/dbraw/zinc/70/29/99/1121702999.db2.gz SRQORQRUFCAQQK-CHWSQXEVSA-N 1 2 284.306 3.823 20 0 CHADLO C[C@@H](CC1CCC1)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000165317184 1121705198 /nfs/dbraw/zinc/70/51/98/1121705198.db2.gz KZMBISFCEWOGKY-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cc(C)c2c(c1)N(Cc1cc[nH+]c(N(C)C)c1)CCC2 ZINC001167926679 1121707316 /nfs/dbraw/zinc/70/73/16/1121707316.db2.gz CAVLCAVWXDPLSQ-UHFFFAOYSA-N 1 2 295.430 3.717 20 0 CHADLO Cc1noc([C@@H]([NH2+]Cc2cccc(C(C)C)c2)C(C)C)n1 ZINC001177044419 1121709775 /nfs/dbraw/zinc/70/97/75/1121709775.db2.gz WSIDVAHCELMUBE-INIZCTEOSA-N 1 2 287.407 3.988 20 0 CHADLO Cc1cc(C)c(NC(=S)Nc2cc[nH+]cc2C)c(C)c1 ZINC001249718691 1121716515 /nfs/dbraw/zinc/71/65/15/1121716515.db2.gz GJGZCDQJKLIPBU-UHFFFAOYSA-N 1 2 285.416 3.546 20 0 CHADLO FC(F)Oc1ccc(NCc2cccc3[nH+]ccn32)cc1 ZINC001177379413 1121726859 /nfs/dbraw/zinc/72/68/59/1121726859.db2.gz YYVXNNOXPYFQRV-UHFFFAOYSA-N 1 2 289.285 3.548 20 0 CHADLO COC(C)(C)[C@@H]1CCCN1c1[nH+]c(C)cc(Cl)c1C ZINC001166795757 1121781441 /nfs/dbraw/zinc/78/14/41/1121781441.db2.gz JYOVSJQEEWKNSX-ZDUSSCGKSA-N 1 2 282.815 3.746 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1cccnc1Cl ZINC001178505698 1121791191 /nfs/dbraw/zinc/79/11/91/1121791191.db2.gz VVBMBTLNLQILLG-UHFFFAOYSA-N 1 2 277.755 3.529 20 0 CHADLO COc1ccc(F)cc1C[NH2+]Cc1ccc(F)c(F)c1F ZINC001178542804 1121794838 /nfs/dbraw/zinc/79/48/38/1121794838.db2.gz SGCMLHRRLIVWSJ-UHFFFAOYSA-N 1 2 299.267 3.541 20 0 CHADLO Cc1[nH+]cc(CN(C)[C@H](C)c2cccc(Cl)c2)n1C ZINC000667498801 1121824590 /nfs/dbraw/zinc/82/45/90/1121824590.db2.gz UKMHAIFXTCWEFA-LLVKDONJSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccc(N(CC2CC2)C(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000894233399 1121835563 /nfs/dbraw/zinc/83/55/63/1121835563.db2.gz GHHRDKVKEPJMHP-HNNXBMFYSA-N 1 2 297.402 3.586 20 0 CHADLO Clc1cnc2oc(CNc3cc4cc[nH]c4c[nH+]3)cc2c1 ZINC001179044790 1121844063 /nfs/dbraw/zinc/84/40/63/1121844063.db2.gz QDPGIIXDBNBTGK-UHFFFAOYSA-N 1 2 298.733 3.970 20 0 CHADLO Cc1cc(N2CCCc3ccccc3C2)nc(C2CC2)[nH+]1 ZINC000345165863 1121851252 /nfs/dbraw/zinc/85/12/52/1121851252.db2.gz UCCVIBHDHHTFMX-UHFFFAOYSA-N 1 2 279.387 3.615 20 0 CHADLO Cc1cc(NCc2cc3ccccc3[nH]c2=O)c[nH+]c1C ZINC001179447978 1121877103 /nfs/dbraw/zinc/87/71/03/1121877103.db2.gz URXKIOLMPBQAKQ-UHFFFAOYSA-N 1 2 279.343 3.564 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)Oc1ccc(C)cc1)c1ccccc1 ZINC000731598302 1121877389 /nfs/dbraw/zinc/87/73/89/1121877389.db2.gz GOXKLDBWMBMEBO-KRWDZBQOSA-N 1 2 283.371 3.593 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)Oc1ccc(C)cc1)c1ccccc1 ZINC000731598302 1121877399 /nfs/dbraw/zinc/87/73/99/1121877399.db2.gz GOXKLDBWMBMEBO-KRWDZBQOSA-N 1 2 283.371 3.593 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)Oc1ccc(C)cc1)c1ccccc1 ZINC000731598303 1121877953 /nfs/dbraw/zinc/87/79/53/1121877953.db2.gz GOXKLDBWMBMEBO-QGZVFWFLSA-N 1 2 283.371 3.593 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)Oc1ccc(C)cc1)c1ccccc1 ZINC000731598303 1121877960 /nfs/dbraw/zinc/87/79/60/1121877960.db2.gz GOXKLDBWMBMEBO-QGZVFWFLSA-N 1 2 283.371 3.593 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)cc(F)c1F ZINC001206383038 1121889564 /nfs/dbraw/zinc/88/95/64/1121889564.db2.gz MJXGJTIPPSZSRY-UHFFFAOYSA-N 1 2 268.238 3.641 20 0 CHADLO c1nc(C[NH2+][C@H](Cc2ccccc2)c2ccccn2)cs1 ZINC001179730635 1121904136 /nfs/dbraw/zinc/90/41/36/1121904136.db2.gz ZPJYKXBBNNCCCH-QGZVFWFLSA-N 1 2 295.411 3.612 20 0 CHADLO Clc1ccc(CCSCCn2cc[nH+]c2)cc1 ZINC000419605684 1121957195 /nfs/dbraw/zinc/95/71/95/1121957195.db2.gz SQZDEKFLVUQNKE-UHFFFAOYSA-N 1 2 266.797 3.512 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCCc3sccc3C2)o1 ZINC000733309924 1121974119 /nfs/dbraw/zinc/97/41/19/1121974119.db2.gz AZCMYQLVJPJLNB-UHFFFAOYSA-N 1 2 276.405 3.808 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCCc3sccc3C2)o1 ZINC000733309924 1121974124 /nfs/dbraw/zinc/97/41/24/1121974124.db2.gz AZCMYQLVJPJLNB-UHFFFAOYSA-N 1 2 276.405 3.808 20 0 CHADLO CCCn1cc(C[N@H+](C)Cc2ccccc2Cl)c(C)n1 ZINC001180531777 1121994505 /nfs/dbraw/zinc/99/45/05/1121994505.db2.gz JTJVAMFXNDRVIV-UHFFFAOYSA-N 1 2 291.826 3.887 20 0 CHADLO CCCn1cc(C[N@@H+](C)Cc2ccccc2Cl)c(C)n1 ZINC001180531777 1121994507 /nfs/dbraw/zinc/99/45/07/1121994507.db2.gz JTJVAMFXNDRVIV-UHFFFAOYSA-N 1 2 291.826 3.887 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000501842452 1122015569 /nfs/dbraw/zinc/01/55/69/1122015569.db2.gz MNHDTAZSUCUCHU-LLVKDONJSA-N 1 2 262.784 3.909 20 0 CHADLO CC[C@@H](C)[N@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000501842452 1122015576 /nfs/dbraw/zinc/01/55/76/1122015576.db2.gz MNHDTAZSUCUCHU-LLVKDONJSA-N 1 2 262.784 3.909 20 0 CHADLO CCC[N@H+](Cc1cccc(C)c1F)[C@@H](CC)C(=O)OCC ZINC001180858708 1122018780 /nfs/dbraw/zinc/01/87/80/1122018780.db2.gz SYNNUDUJZYPAEN-HNNXBMFYSA-N 1 2 295.398 3.688 20 0 CHADLO CCC[N@@H+](Cc1cccc(C)c1F)[C@@H](CC)C(=O)OCC ZINC001180858708 1122018785 /nfs/dbraw/zinc/01/87/85/1122018785.db2.gz SYNNUDUJZYPAEN-HNNXBMFYSA-N 1 2 295.398 3.688 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H](CC(F)(F)F)C2)cs1 ZINC000420951350 1122049782 /nfs/dbraw/zinc/04/97/82/1122049782.db2.gz QRCNCGGCQSXAKF-SNVBAGLBSA-N 1 2 278.343 3.616 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H](CC(F)(F)F)C2)cs1 ZINC000420951350 1122049787 /nfs/dbraw/zinc/04/97/87/1122049787.db2.gz QRCNCGGCQSXAKF-SNVBAGLBSA-N 1 2 278.343 3.616 20 0 CHADLO CCn1ccc(C[N@@H+]2CCc3c(cccc3C(C)C)C2)n1 ZINC001181411055 1122052466 /nfs/dbraw/zinc/05/24/66/1122052466.db2.gz XJDUTFKDYASXRG-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO CCn1ccc(C[N@H+]2CCc3c(cccc3C(C)C)C2)n1 ZINC001181411055 1122052475 /nfs/dbraw/zinc/05/24/75/1122052475.db2.gz XJDUTFKDYASXRG-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO CC(C)n1cc(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)nn1 ZINC000421004980 1122060176 /nfs/dbraw/zinc/06/01/76/1122060176.db2.gz IYUNBRUQZFXZTL-ZDUSSCGKSA-N 1 2 292.452 3.892 20 0 CHADLO CC(C)n1cc(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)nn1 ZINC000421004980 1122060182 /nfs/dbraw/zinc/06/01/82/1122060182.db2.gz IYUNBRUQZFXZTL-ZDUSSCGKSA-N 1 2 292.452 3.892 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000631798448 1129029288 /nfs/dbraw/zinc/02/92/88/1129029288.db2.gz SVKSTHSHUZZCKW-BLLLJJGKSA-N 1 2 276.330 3.891 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@H](CF)c2ccc(F)cc2)ccn1 ZINC000631798340 1129029403 /nfs/dbraw/zinc/02/94/03/1129029403.db2.gz RDGDZDZRTLFFIA-XHDPSFHLSA-N 1 2 292.329 3.591 20 0 CHADLO C[C@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1cccnc1 ZINC000631798605 1129029722 /nfs/dbraw/zinc/02/97/22/1129029722.db2.gz WDCQAUYCRLYATM-NHYWBVRUSA-N 1 2 262.303 3.582 20 0 CHADLO CSc1cc(NCc2[nH+]cc(C)n2C)ccc1Cl ZINC000414502876 1122076358 /nfs/dbraw/zinc/07/63/58/1122076358.db2.gz PUHCSNNVUVKOBT-UHFFFAOYSA-N 1 2 281.812 3.716 20 0 CHADLO CSCC[C@H](C)[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001258224997 1129029886 /nfs/dbraw/zinc/02/98/86/1129029886.db2.gz RLKVERYWRHGOIB-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CSCC[C@H](C)[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001258224997 1129029890 /nfs/dbraw/zinc/02/98/90/1129029890.db2.gz RLKVERYWRHGOIB-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2cccc(C)c2C)nn1C ZINC000421352289 1122099702 /nfs/dbraw/zinc/09/97/02/1122099702.db2.gz CWUADGMSKIUNML-GFCCVEGCSA-N 1 2 291.826 3.850 20 0 CHADLO COCc1cc(C[N@H+](C(C)C)[C@H](C)c2ccsc2)no1 ZINC000421367574 1122101938 /nfs/dbraw/zinc/10/19/38/1122101938.db2.gz FCCNATLFOXUPTO-GFCCVEGCSA-N 1 2 294.420 3.854 20 0 CHADLO COCc1cc(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)no1 ZINC000421367574 1122101942 /nfs/dbraw/zinc/10/19/42/1122101942.db2.gz FCCNATLFOXUPTO-GFCCVEGCSA-N 1 2 294.420 3.854 20 0 CHADLO Cc1ccc(Br)c(F)c1C[N@H+]1C[C@H](C)[C@@H]1C ZINC001182061266 1122107796 /nfs/dbraw/zinc/10/77/96/1122107796.db2.gz CQOAKPZQQFESFQ-UWVGGRQHSA-N 1 2 286.188 3.737 20 0 CHADLO Cc1ccc(Br)c(F)c1C[N@@H+]1C[C@H](C)[C@@H]1C ZINC001182061266 1122107798 /nfs/dbraw/zinc/10/77/98/1122107798.db2.gz CQOAKPZQQFESFQ-UWVGGRQHSA-N 1 2 286.188 3.737 20 0 CHADLO Cc1cc(CNC(=O)C2(CC(C)C)CCCC2)cc(C)[nH+]1 ZINC000421576018 1122138910 /nfs/dbraw/zinc/13/89/10/1122138910.db2.gz LIWYMVWVVBYZBP-UHFFFAOYSA-N 1 2 288.435 3.921 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1nc(C3CC3)cs1)CC2 ZINC000429591102 1122143248 /nfs/dbraw/zinc/14/32/48/1122143248.db2.gz QHCWKISOIQEMSD-UHFFFAOYSA-N 1 2 284.428 3.887 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1nc(C3CC3)cs1)CC2 ZINC000429591102 1122143252 /nfs/dbraw/zinc/14/32/52/1122143252.db2.gz QHCWKISOIQEMSD-UHFFFAOYSA-N 1 2 284.428 3.887 20 0 CHADLO COc1cccc(CCC[N@@H+]2CCCC[C@H]2C(F)F)c1 ZINC000502825720 1122149771 /nfs/dbraw/zinc/14/97/71/1122149771.db2.gz RBGFWVYCYWFKRL-HNNXBMFYSA-N 1 2 283.362 3.747 20 0 CHADLO COc1cccc(CCC[N@H+]2CCCC[C@H]2C(F)F)c1 ZINC000502825720 1122149774 /nfs/dbraw/zinc/14/97/74/1122149774.db2.gz RBGFWVYCYWFKRL-HNNXBMFYSA-N 1 2 283.362 3.747 20 0 CHADLO COC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)cc1Cl ZINC001203372607 1122165903 /nfs/dbraw/zinc/16/59/03/1122165903.db2.gz DCGVDHJWKCCQCG-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO c1ccc(-c2nnc([C@H]3CCC[N@@H+]3[C@@H]3C=CCCC3)[nH]2)cc1 ZINC000503159753 1122169961 /nfs/dbraw/zinc/16/99/61/1122169961.db2.gz LDLYULPPGFTSFE-HZPDHXFCSA-N 1 2 294.402 3.717 20 0 CHADLO c1ccc(-c2nnc([C@H]3CCC[N@H+]3[C@@H]3C=CCCC3)[nH]2)cc1 ZINC000503159753 1122169965 /nfs/dbraw/zinc/16/99/65/1122169965.db2.gz LDLYULPPGFTSFE-HZPDHXFCSA-N 1 2 294.402 3.717 20 0 CHADLO Cc1noc(C[NH2+]C2(c3cccc(Cl)c3)CCCC2)n1 ZINC000271843909 1122178827 /nfs/dbraw/zinc/17/88/27/1122178827.db2.gz ZWMOXTXKTMPPLG-UHFFFAOYSA-N 1 2 291.782 3.591 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ncc(Cl)cc3C2)cc1C ZINC001204354495 1122186075 /nfs/dbraw/zinc/18/60/75/1122186075.db2.gz GFAAXGHLSQCWFT-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ncc(Cl)cc3C2)cc1C ZINC001204354495 1122186081 /nfs/dbraw/zinc/18/60/81/1122186081.db2.gz GFAAXGHLSQCWFT-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)c(C)n1 ZINC001203373860 1122187979 /nfs/dbraw/zinc/18/79/79/1122187979.db2.gz CFFMKISGZZIGLO-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO Fc1ccc2c(c1)OCCC[C@@H]2Nc1cccc[nH+]1 ZINC000272046720 1122188143 /nfs/dbraw/zinc/18/81/43/1122188143.db2.gz VYGRNDUPWOYBMN-ZDUSSCGKSA-N 1 2 258.296 3.547 20 0 CHADLO C[C@H]([NH2+]C1(c2cccc(F)c2)CC1)c1cscn1 ZINC000272169961 1122192391 /nfs/dbraw/zinc/19/23/91/1122192391.db2.gz PNFHPYKVWHFOIE-JTQLQIEISA-N 1 2 262.353 3.622 20 0 CHADLO COC(=O)c1ccc(Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC001203374061 1122193418 /nfs/dbraw/zinc/19/34/18/1122193418.db2.gz RWJSDENKIAJLRL-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO CO[C@H](C)[C@@H](C)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000272331900 1122198856 /nfs/dbraw/zinc/19/88/56/1122198856.db2.gz CTPKQPFQTHRIKY-ZIAGYGMSSA-N 1 2 299.418 3.722 20 0 CHADLO CO[C@H](c1ccccc1)[C@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC001183527352 1122225912 /nfs/dbraw/zinc/22/59/12/1122225912.db2.gz VHLQEVPZCUYQCO-YOEHRIQHSA-N 1 2 270.376 3.887 20 0 CHADLO Cc1cc(N[C@H]2C[C@H](OC(C)C)C2(C)C)c[nH+]c1C ZINC001183527386 1122226066 /nfs/dbraw/zinc/22/60/66/1122226066.db2.gz VVDWCSKIXKILMS-GJZGRUSLSA-N 1 2 262.397 3.702 20 0 CHADLO CCc1ccc(-c2noc([C@@H](C)[NH2+]C/C=C/Cl)n2)cc1 ZINC000273306303 1122227793 /nfs/dbraw/zinc/22/77/93/1122227793.db2.gz LSLZQRVOJHYSHR-VCFDLTMXSA-N 1 2 291.782 3.702 20 0 CHADLO COc1cc[nH+]c(Nc2cc(SC)ccc2F)c1 ZINC001250118772 1122230470 /nfs/dbraw/zinc/23/04/70/1122230470.db2.gz GHKOCEYAVJUAAO-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H]2CCc3c2cccc3Cl)s1 ZINC000273747097 1122247644 /nfs/dbraw/zinc/24/76/44/1122247644.db2.gz WOITWNDBGMLBCM-ISVAXAHUSA-N 1 2 293.823 3.838 20 0 CHADLO Fc1cc(Cl)cc(C[NH+]2CC(Cc3ccoc3)C2)c1 ZINC001184198494 1122260302 /nfs/dbraw/zinc/26/03/02/1122260302.db2.gz YEOMKAQDWPWCIJ-UHFFFAOYSA-N 1 2 279.742 3.747 20 0 CHADLO Cc1[nH+]ccc(N(C)Cc2cc(C(C)(C)C)[nH]n2)c1Cl ZINC000503587043 1122269593 /nfs/dbraw/zinc/26/95/93/1122269593.db2.gz QGHDXYGJHMJSJW-UHFFFAOYSA-N 1 2 292.814 3.700 20 0 CHADLO COc1ccc([C@H]2CCCN2c2cccc[nH+]2)cc1F ZINC000664364668 1122294457 /nfs/dbraw/zinc/29/44/57/1122294457.db2.gz BWRWPKPMJNYIHQ-CQSZACIVSA-N 1 2 272.323 3.571 20 0 CHADLO COc1cc(C)cc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001184969079 1122304363 /nfs/dbraw/zinc/30/43/63/1122304363.db2.gz WNPSTXMGGOJKCY-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO CC1(C)CC[C@H](C(=O)Nc2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC001185170003 1122322319 /nfs/dbraw/zinc/32/23/19/1122322319.db2.gz XTNNCLYDCMORLO-HNNXBMFYSA-N 1 2 297.402 3.696 20 0 CHADLO Cc1nc(NC[C@H](C)Oc2ccccc2)c2c([nH+]1)CCCC2 ZINC001185402887 1122337569 /nfs/dbraw/zinc/33/75/69/1122337569.db2.gz DGTZNLYWSUTBAJ-ZDUSSCGKSA-N 1 2 297.402 3.543 20 0 CHADLO CCc1cc(N2[C@H](C)C[C@H]3CCCC[C@H]32)nc(CC)[nH+]1 ZINC001185421843 1122339490 /nfs/dbraw/zinc/33/94/90/1122339490.db2.gz ZEDHRCACDNOVNK-UMVBOHGHSA-N 1 2 273.424 3.759 20 0 CHADLO Cc1cc(NCC[C@]2(C)CC2(F)F)nc(C2CC2)[nH+]1 ZINC000664399498 1122339989 /nfs/dbraw/zinc/33/99/89/1122339989.db2.gz QLXIUYYBLRGEKU-CYBMUJFWSA-N 1 2 267.323 3.510 20 0 CHADLO COc1ccc(CNc2cc(C)[nH+]c(C3CCC3)n2)c(C)c1 ZINC001185707447 1122358676 /nfs/dbraw/zinc/35/86/76/1122358676.db2.gz BNDZVPRIYXIGPK-UHFFFAOYSA-N 1 2 297.402 3.982 20 0 CHADLO Cc1cc(C)c(Nc2c(F)ccc(O)c2Cl)c[nH+]1 ZINC001250229080 1122374339 /nfs/dbraw/zinc/37/43/39/1122374339.db2.gz ULQFFTUOHPRREA-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO Cc1nc(N(C)Cc2ccc(F)c(Cl)c2)c(C)c(C)[nH+]1 ZINC001186102515 1122375124 /nfs/dbraw/zinc/37/51/24/1122375124.db2.gz NHLPNFIJNZRFQV-UHFFFAOYSA-N 1 2 293.773 3.831 20 0 CHADLO CC[C@H]1[C@@H](C)CCN1c1nc2ccccc2n2c[nH+]cc12 ZINC001186203470 1122379092 /nfs/dbraw/zinc/37/90/92/1122379092.db2.gz QCUNANSQLGGDLX-JSGCOSHPSA-N 1 2 280.375 3.507 20 0 CHADLO CC[C@@H]1[C@@H](C)CCN1c1nc2ccccc2n2c[nH+]cc12 ZINC001186203468 1122379665 /nfs/dbraw/zinc/37/96/65/1122379665.db2.gz QCUNANSQLGGDLX-GXTWGEPZSA-N 1 2 280.375 3.507 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+]1CCOc2c(F)cccc2C1 ZINC000434611261 1122382761 /nfs/dbraw/zinc/38/27/61/1122382761.db2.gz FIUBULZHAPJCTG-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+]1CCOc2c(F)cccc2C1 ZINC000434611261 1122382767 /nfs/dbraw/zinc/38/27/67/1122382767.db2.gz FIUBULZHAPJCTG-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO CCC[N@@H+](Cc1cccc2ncccc12)CC(F)F ZINC000637994302 1122388905 /nfs/dbraw/zinc/38/89/05/1122388905.db2.gz SNELUNFRLGWHCB-UHFFFAOYSA-N 1 2 264.319 3.712 20 0 CHADLO CCC[N@H+](Cc1cccc2ncccc12)CC(F)F ZINC000637994302 1122388907 /nfs/dbraw/zinc/38/89/07/1122388907.db2.gz SNELUNFRLGWHCB-UHFFFAOYSA-N 1 2 264.319 3.712 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[N@H+](C)CCC(F)(F)F ZINC000514231128 1122389950 /nfs/dbraw/zinc/38/99/50/1122389950.db2.gz SLSSHINSQKLLLE-JTQLQIEISA-N 1 2 299.296 3.682 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[N@@H+](C)CCC(F)(F)F ZINC000514231128 1122389953 /nfs/dbraw/zinc/38/99/53/1122389953.db2.gz SLSSHINSQKLLLE-JTQLQIEISA-N 1 2 299.296 3.682 20 0 CHADLO Cc1cc(Oc2ccc(NC(=O)NCC3CC3)cc2)cc[nH+]1 ZINC001186614706 1122399943 /nfs/dbraw/zinc/39/99/43/1122399943.db2.gz VTRGDKDEBPKCOW-UHFFFAOYSA-N 1 2 297.358 3.714 20 0 CHADLO C[C@@H](CC(C)(C)C)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC001186655229 1122402672 /nfs/dbraw/zinc/40/26/72/1122402672.db2.gz WYKLOOZUVLTBQR-NSHDSACASA-N 1 2 289.427 3.818 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccccc3C2)c(Cl)n1 ZINC000688586226 1129052230 /nfs/dbraw/zinc/05/22/30/1129052230.db2.gz MFOBNAZKNQLSAE-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccccc3C2)c(Cl)n1 ZINC000688586226 1129052232 /nfs/dbraw/zinc/05/22/32/1129052232.db2.gz MFOBNAZKNQLSAE-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(C)nc2Cl)cc1 ZINC000688602420 1129052299 /nfs/dbraw/zinc/05/22/99/1129052299.db2.gz SZXDRQGIRZRHCO-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(C)nc2Cl)cc1 ZINC000688602420 1129052301 /nfs/dbraw/zinc/05/23/01/1129052301.db2.gz SZXDRQGIRZRHCO-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CCOC(=O)[C@H](CC1CC1)[NH2+]CC[C@@H](C)c1ccccc1 ZINC000775053724 1122409482 /nfs/dbraw/zinc/40/94/82/1122409482.db2.gz KCRMQMAOPMMDAO-PBHICJAKSA-N 1 2 289.419 3.502 20 0 CHADLO C[C@H]1COCCN1c1ccc([NH2+][C@@H]2CCC23CCC3)cc1 ZINC000281933311 1122417532 /nfs/dbraw/zinc/41/75/32/1122417532.db2.gz BDCYLFOAPOQNKO-WMLDXEAASA-N 1 2 286.419 3.656 20 0 CHADLO CC[C@H]1[C@H](C)CC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434981023 1122426439 /nfs/dbraw/zinc/42/64/39/1122426439.db2.gz CSOKOAUIGAGQCS-BDAKNGLRSA-N 1 2 278.343 3.782 20 0 CHADLO CC[C@H]1[C@H](C)CC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434981023 1122426440 /nfs/dbraw/zinc/42/64/40/1122426440.db2.gz CSOKOAUIGAGQCS-BDAKNGLRSA-N 1 2 278.343 3.782 20 0 CHADLO CC(C)c1cc(N2CC[C@H](CF)C2)nc(C(C)C)[nH+]1 ZINC001187445389 1122428913 /nfs/dbraw/zinc/42/89/13/1122428913.db2.gz JEFUDQJLTLIAIV-GFCCVEGCSA-N 1 2 265.376 3.519 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(C(=O)NCC(C)C)c2)c1 ZINC001203663464 1122430178 /nfs/dbraw/zinc/43/01/78/1122430178.db2.gz KWKIGVHBTJIEBD-UHFFFAOYSA-N 1 2 297.402 3.828 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1cc(C)ccc1OC(F)F ZINC000505598298 1122431999 /nfs/dbraw/zinc/43/19/99/1122431999.db2.gz WDGMPSGJYNBZJX-GXTWGEPZSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@H+]1Cc1cc(C)ccc1OC(F)F ZINC000505598298 1122432004 /nfs/dbraw/zinc/43/20/04/1122432004.db2.gz WDGMPSGJYNBZJX-GXTWGEPZSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000505596054 1122432361 /nfs/dbraw/zinc/43/23/61/1122432361.db2.gz HNQUKLZJXLYGOY-RISCZKNCSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000505596054 1122432363 /nfs/dbraw/zinc/43/23/63/1122432363.db2.gz HNQUKLZJXLYGOY-RISCZKNCSA-N 1 2 287.325 3.705 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2ncc(C(F)(F)F)s2)CC1 ZINC000435123609 1122435645 /nfs/dbraw/zinc/43/56/45/1122435645.db2.gz HWIBJGYNYVEQFZ-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2ncc(C(F)(F)F)s2)CC1 ZINC000435123609 1122435650 /nfs/dbraw/zinc/43/56/50/1122435650.db2.gz HWIBJGYNYVEQFZ-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCC[C@@H]3CCC[C@@H]32)s1 ZINC000435134210 1122437344 /nfs/dbraw/zinc/43/73/44/1122437344.db2.gz WHVHPKNKTXXTSR-UWVGGRQHSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCC[C@@H]3CCC[C@@H]32)s1 ZINC000435134210 1122437346 /nfs/dbraw/zinc/43/73/46/1122437346.db2.gz WHVHPKNKTXXTSR-UWVGGRQHSA-N 1 2 290.354 3.926 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1ccnn1-c1ccc(F)cc1 ZINC000430131473 1122439185 /nfs/dbraw/zinc/43/91/85/1122439185.db2.gz KMRNJTGPJCYCFK-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1ccnn1-c1ccc(F)cc1 ZINC000430131473 1122439187 /nfs/dbraw/zinc/43/91/87/1122439187.db2.gz KMRNJTGPJCYCFK-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO COC(=O)[C@@H]1CCc2cc(Nc3cc(C)c[nH+]c3C)ccc21 ZINC001203670032 1122444597 /nfs/dbraw/zinc/44/45/97/1122444597.db2.gz YLBVSQDKWJPWDT-MRXNPFEDSA-N 1 2 296.370 3.645 20 0 CHADLO CC[C@@H](C)CCC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000505629638 1122444792 /nfs/dbraw/zinc/44/47/92/1122444792.db2.gz BTJDACVXXOHFJK-CYBMUJFWSA-N 1 2 271.364 3.637 20 0 CHADLO CC(=O)c1cc(C)ccc1Nc1cc(C)c[nH+]c1C ZINC001203669334 1122444939 /nfs/dbraw/zinc/44/49/39/1122444939.db2.gz PYSJQQNQVILTLY-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO CC(C)C[C@H](NC(=O)CCCn1cc[nH+]c1)c1ccccc1 ZINC000620411001 1129055164 /nfs/dbraw/zinc/05/51/64/1129055164.db2.gz VGLHKEOPJOGSHE-KRWDZBQOSA-N 1 2 299.418 3.567 20 0 CHADLO COc1cc(Nc2cc(C)c[nH+]c2C)cc(F)c1F ZINC001203669329 1122445501 /nfs/dbraw/zinc/44/55/01/1122445501.db2.gz PVDLEXZADHSKGB-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CC(C)n1cncc1C[N@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000425330506 1122455471 /nfs/dbraw/zinc/45/54/71/1122455471.db2.gz AVFQPYNOCQXKOL-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC(C)n1cncc1C[N@@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000425330506 1122455475 /nfs/dbraw/zinc/45/54/75/1122455475.db2.gz AVFQPYNOCQXKOL-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cccc2c1OC(C)(C)C2 ZINC000505667564 1122459834 /nfs/dbraw/zinc/45/98/34/1122459834.db2.gz ZHHXEDIJNHDWLQ-CQSZACIVSA-N 1 2 296.414 3.778 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cccc2c1OC(C)(C)C2 ZINC000505667564 1122459837 /nfs/dbraw/zinc/45/98/37/1122459837.db2.gz ZHHXEDIJNHDWLQ-CQSZACIVSA-N 1 2 296.414 3.778 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3[C@@H]2C)ccc1F ZINC000505682904 1122462735 /nfs/dbraw/zinc/46/27/35/1122462735.db2.gz HKIXLYZZEJDTDB-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3[C@@H]2C)ccc1F ZINC000505682904 1122462739 /nfs/dbraw/zinc/46/27/39/1122462739.db2.gz HKIXLYZZEJDTDB-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO CN(C)c1cc(COc2cccc(Cl)c2F)cc[nH+]1 ZINC000775221234 1122490875 /nfs/dbraw/zinc/49/08/75/1122490875.db2.gz MPGXVZHYCFFDBZ-UHFFFAOYSA-N 1 2 280.730 3.519 20 0 CHADLO O=C(O[C@H]1CC=C(CCn2cc[nH+]c2)CC1)c1ccccc1 ZINC001250320934 1122492858 /nfs/dbraw/zinc/49/28/58/1122492858.db2.gz SZHIPKQORSLFCM-KRWDZBQOSA-N 1 2 296.370 3.609 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@H+](Cc1ccco1)C2 ZINC001203283940 1122500808 /nfs/dbraw/zinc/50/08/08/1122500808.db2.gz NXAVIMCGKLRYKD-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@@H+](Cc1ccco1)C2 ZINC001203283940 1122500810 /nfs/dbraw/zinc/50/08/10/1122500810.db2.gz NXAVIMCGKLRYKD-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO Cc1ccc(NCc2cc3c(cccc3F)[nH]2)c(C)[nH+]1 ZINC000436024117 1122505388 /nfs/dbraw/zinc/50/53/88/1122505388.db2.gz XMKBTEDGDDLMDF-UHFFFAOYSA-N 1 2 269.323 3.931 20 0 CHADLO Fc1cccnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203712442 1122522192 /nfs/dbraw/zinc/52/21/92/1122522192.db2.gz HDEYJCUGUWXIFM-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO CCc1cn(Cc2nc(C3CCCCC3)cs2)c[nH+]1 ZINC001190104955 1122525240 /nfs/dbraw/zinc/52/52/40/1122525240.db2.gz WENRBMOHGPFTNZ-UHFFFAOYSA-N 1 2 275.421 3.998 20 0 CHADLO COc1cc(Cl)ccc1NCc1cccc2[nH+]ccn21 ZINC000775345380 1122525301 /nfs/dbraw/zinc/52/53/01/1122525301.db2.gz NBJGWKWCWUSMKM-UHFFFAOYSA-N 1 2 287.750 3.608 20 0 CHADLO CCS[C@H](C)c1noc(C[N@@H+]2CC[C@@H](C)C[C@H]2C)n1 ZINC000425524641 1122542327 /nfs/dbraw/zinc/54/23/27/1122542327.db2.gz RJKSRBLYLLBNAJ-IJLUTSLNSA-N 1 2 283.441 3.504 20 0 CHADLO CCS[C@H](C)c1noc(C[N@H+]2CC[C@@H](C)C[C@H]2C)n1 ZINC000425524641 1122542329 /nfs/dbraw/zinc/54/23/29/1122542329.db2.gz RJKSRBLYLLBNAJ-IJLUTSLNSA-N 1 2 283.441 3.504 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2cc(Cl)cc(Cl)c2)cn1 ZINC000505814287 1122548265 /nfs/dbraw/zinc/54/82/65/1122548265.db2.gz FVHNAIFHUNWERH-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2cc(Cl)cc(Cl)c2)cn1 ZINC000505814287 1122548268 /nfs/dbraw/zinc/54/82/68/1122548268.db2.gz FVHNAIFHUNWERH-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO CC[C@H]1CCC[N@@H+]([C@H](C(=O)OC)c2ccccc2F)CC1 ZINC001190967178 1122562024 /nfs/dbraw/zinc/56/20/24/1122562024.db2.gz SDKHURKBAFXSPN-BBRMVZONSA-N 1 2 293.382 3.552 20 0 CHADLO CC[C@H]1CCC[N@H+]([C@H](C(=O)OC)c2ccccc2F)CC1 ZINC001190967178 1122562026 /nfs/dbraw/zinc/56/20/26/1122562026.db2.gz SDKHURKBAFXSPN-BBRMVZONSA-N 1 2 293.382 3.552 20 0 CHADLO CC[C@@H](C)N(Cc1ccccc1)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000516071517 1122568465 /nfs/dbraw/zinc/56/84/65/1122568465.db2.gz KFTTUNCHSBXCFZ-HZPDHXFCSA-N 1 2 299.418 3.662 20 0 CHADLO CC1(C[N@@H+]2CCO[C@@H](c3ccc(F)cc3Cl)C2)CC1 ZINC000578071421 1122569526 /nfs/dbraw/zinc/56/95/26/1122569526.db2.gz CBTPCKHPCYNHQB-CQSZACIVSA-N 1 2 283.774 3.653 20 0 CHADLO CC1(C[N@H+]2CCO[C@@H](c3ccc(F)cc3Cl)C2)CC1 ZINC000578071421 1122569528 /nfs/dbraw/zinc/56/95/28/1122569528.db2.gz CBTPCKHPCYNHQB-CQSZACIVSA-N 1 2 283.774 3.653 20 0 CHADLO COc1nscc1C[N@@H+]1CC[C@@H](C)C[C@H]1c1ccco1 ZINC001191213486 1122582257 /nfs/dbraw/zinc/58/22/57/1122582257.db2.gz IJEYUQCTMTWBDK-YPMHNXCESA-N 1 2 292.404 3.718 20 0 CHADLO COc1nscc1C[N@H+]1CC[C@@H](C)C[C@H]1c1ccco1 ZINC001191213486 1122582261 /nfs/dbraw/zinc/58/22/61/1122582261.db2.gz IJEYUQCTMTWBDK-YPMHNXCESA-N 1 2 292.404 3.718 20 0 CHADLO C[C@H]1C[N@H+](CCCSC(C)(C)C)C[C@H](C(F)(F)F)O1 ZINC001191214922 1122583342 /nfs/dbraw/zinc/58/33/42/1122583342.db2.gz RJEJNYNZUPCNOJ-WDEREUQCSA-N 1 2 299.402 3.560 20 0 CHADLO C[C@H]1C[N@@H+](CCCSC(C)(C)C)C[C@H](C(F)(F)F)O1 ZINC001191214922 1122583343 /nfs/dbraw/zinc/58/33/43/1122583343.db2.gz RJEJNYNZUPCNOJ-WDEREUQCSA-N 1 2 299.402 3.560 20 0 CHADLO Cc1cc(N[C@@H]2[C@H]3Cc4cc(F)ccc4[C@@H]23)nc(C2CC2)[nH+]1 ZINC000664602966 1122608858 /nfs/dbraw/zinc/60/88/58/1122608858.db2.gz MHLWYLDTTWZTQX-USXIJHARSA-N 1 2 295.361 3.552 20 0 CHADLO CC[N@H+](CCC1CCOCC1)c1cc(C)ccc1C ZINC001191646769 1122609271 /nfs/dbraw/zinc/60/92/71/1122609271.db2.gz TTWGFISAVRAYMT-UHFFFAOYSA-N 1 2 261.409 3.946 20 0 CHADLO CC[N@@H+](CCC1CCOCC1)c1cc(C)ccc1C ZINC001191646769 1122609274 /nfs/dbraw/zinc/60/92/74/1122609274.db2.gz TTWGFISAVRAYMT-UHFFFAOYSA-N 1 2 261.409 3.946 20 0 CHADLO Cc1ccc(C)c([N@@H+](C[C@H]2C[C@@]23CCOC3)C(C)C)c1 ZINC001191960434 1122625170 /nfs/dbraw/zinc/62/51/70/1122625170.db2.gz IEGJXHJHWRLPFS-SJLPKXTDSA-N 1 2 273.420 3.945 20 0 CHADLO Cc1ccc(C)c([N@H+](C[C@H]2C[C@@]23CCOC3)C(C)C)c1 ZINC001191960434 1122625174 /nfs/dbraw/zinc/62/51/74/1122625174.db2.gz IEGJXHJHWRLPFS-SJLPKXTDSA-N 1 2 273.420 3.945 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC[C@@H](c2ccccc2)C1)C(=O)OC(C)(C)C ZINC000437785353 1122655481 /nfs/dbraw/zinc/65/54/81/1122655481.db2.gz LMHTZSYUMDPSOA-FVQBIDKESA-N 1 2 289.419 3.643 20 0 CHADLO Cc1nc(Cl)ccc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000193345005 1129069179 /nfs/dbraw/zinc/06/91/79/1129069179.db2.gz MFDBDNSQDLJNNV-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Cc1cc(N2CC3CC2(c2ccccc2)C3)nc(C2CC2)[nH+]1 ZINC000664667505 1122664050 /nfs/dbraw/zinc/66/40/50/1122664050.db2.gz QUVQYMVFTGZAQC-UHFFFAOYSA-N 1 2 291.398 3.788 20 0 CHADLO CC(C)COc1cccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000437997556 1122670846 /nfs/dbraw/zinc/67/08/46/1122670846.db2.gz LZFFFIGHNVNXMT-KRWDZBQOSA-N 1 2 299.418 3.782 20 0 CHADLO CC(C)COc1cccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000437997556 1122670849 /nfs/dbraw/zinc/67/08/49/1122670849.db2.gz LZFFFIGHNVNXMT-KRWDZBQOSA-N 1 2 299.418 3.782 20 0 CHADLO Cc1cn2cc(NC(=O)c3cccc(Cl)c3C)ccc2[nH+]1 ZINC000425945755 1122674307 /nfs/dbraw/zinc/67/43/07/1122674307.db2.gz LXQALYQBFMBVOT-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO CC[C@H](C)C[C@@H](C)NC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000438124485 1122680861 /nfs/dbraw/zinc/68/08/61/1122680861.db2.gz GGICMTMSZDHBRM-NWDGAFQWSA-N 1 2 288.395 3.589 20 0 CHADLO CSc1cccc(NC(=O)c2cccc3[nH+]ccn32)c1C ZINC000775587582 1122682825 /nfs/dbraw/zinc/68/28/25/1122682825.db2.gz GWBGRGUDOLEPDL-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO C[C@@H]1COc2ccccc2C[N@@H+]1Cc1ccc(F)cc1F ZINC001193312099 1122683317 /nfs/dbraw/zinc/68/33/17/1122683317.db2.gz UOOZBRMRPLHZRE-GFCCVEGCSA-N 1 2 289.325 3.748 20 0 CHADLO C[C@@H]1COc2ccccc2C[N@H+]1Cc1ccc(F)cc1F ZINC001193312099 1122683318 /nfs/dbraw/zinc/68/33/18/1122683318.db2.gz UOOZBRMRPLHZRE-GFCCVEGCSA-N 1 2 289.325 3.748 20 0 CHADLO COC(=O)CCCC[N@H+](CC(C)(C)C)c1ccccc1 ZINC001193591778 1122699712 /nfs/dbraw/zinc/69/97/12/1122699712.db2.gz APABECZEBOCNKD-UHFFFAOYSA-N 1 2 277.408 3.882 20 0 CHADLO COC(=O)CCCC[N@@H+](CC(C)(C)C)c1ccccc1 ZINC001193591778 1122699714 /nfs/dbraw/zinc/69/97/14/1122699714.db2.gz APABECZEBOCNKD-UHFFFAOYSA-N 1 2 277.408 3.882 20 0 CHADLO CCCc1csc(C[N@H+](C)Cc2ccccc2)n1 ZINC000426047277 1122707607 /nfs/dbraw/zinc/70/76/07/1122707607.db2.gz ZIIAGZSKWJZQTK-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO CCCc1csc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000426047277 1122707611 /nfs/dbraw/zinc/70/76/11/1122707611.db2.gz ZIIAGZSKWJZQTK-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCO[C@@H](c3ccccc3)C2)o1 ZINC000506339474 1122721535 /nfs/dbraw/zinc/72/15/35/1122721535.db2.gz KDXIFTMMTIVDFJ-DKSSEZFCSA-N 1 2 297.398 3.977 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCO[C@@H](c3ccccc3)C2)o1 ZINC000506339474 1122721537 /nfs/dbraw/zinc/72/15/37/1122721537.db2.gz KDXIFTMMTIVDFJ-DKSSEZFCSA-N 1 2 297.398 3.977 20 0 CHADLO Cc1cccc2[nH]c(C[NH2+]Cc3ccc([C@H]4C[C@H]4C)o3)nc21 ZINC000153635584 1129074466 /nfs/dbraw/zinc/07/44/66/1129074466.db2.gz CFEOQKDYHPJMQH-OCCSQVGLSA-N 1 2 295.386 3.878 20 0 CHADLO CCSc1nn(C[N@H+](CC)CC(C)C)c(=S)s1 ZINC000193397447 1129074616 /nfs/dbraw/zinc/07/46/16/1129074616.db2.gz RHODIVCVOPXNNM-UHFFFAOYSA-N 1 2 291.511 3.721 20 0 CHADLO CCSc1nn(C[N@@H+](CC)CC(C)C)c(=S)s1 ZINC000193397447 1129074618 /nfs/dbraw/zinc/07/46/18/1129074618.db2.gz RHODIVCVOPXNNM-UHFFFAOYSA-N 1 2 291.511 3.721 20 0 CHADLO CCCCCCOC(=O)Nc1cccc2[nH+]c(C)cn21 ZINC001194150506 1122750868 /nfs/dbraw/zinc/75/08/68/1122750868.db2.gz OAEAGMJDUZJDTD-UHFFFAOYSA-N 1 2 275.352 3.772 20 0 CHADLO CC[NH+](CC)Cc1nc(C(C)(C)C2=CCCCC2)no1 ZINC000518615581 1122772963 /nfs/dbraw/zinc/77/29/63/1122772963.db2.gz MDAPLTHAUCOHBN-UHFFFAOYSA-N 1 2 277.412 3.689 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](c3ccccc3)C[C@@H]2C)no1 ZINC000439332819 1122773198 /nfs/dbraw/zinc/77/31/98/1122773198.db2.gz SFTDMIKPRHPELV-HOCLYGCPSA-N 1 2 299.418 3.961 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](c3ccccc3)C[C@@H]2C)no1 ZINC000439332819 1122773199 /nfs/dbraw/zinc/77/31/99/1122773199.db2.gz SFTDMIKPRHPELV-HOCLYGCPSA-N 1 2 299.418 3.961 20 0 CHADLO CC(C)(C)c1cc(C[NH2+]C2(c3ccc(F)cc3)CC2)no1 ZINC000518621455 1122773609 /nfs/dbraw/zinc/77/36/09/1122773609.db2.gz SNAANAPHYJLSDP-UHFFFAOYSA-N 1 2 288.366 3.890 20 0 CHADLO Cc1cn2cc(NC(=O)Oc3ccc(C)cc3)ccc2[nH+]1 ZINC001194425701 1122774534 /nfs/dbraw/zinc/77/45/34/1122774534.db2.gz GWWIAZXATISORN-UHFFFAOYSA-N 1 2 281.315 3.562 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2ccc(Cl)cc2)C(C)C)o1 ZINC000296793711 1122778586 /nfs/dbraw/zinc/77/85/86/1122778586.db2.gz QFQYXHFMEJZWGD-AWEZNQCLSA-N 1 2 279.771 3.518 20 0 CHADLO Cn1c[nH+]cc1CSc1ccc(F)c(Cl)c1 ZINC000439540277 1122789077 /nfs/dbraw/zinc/78/90/77/1122789077.db2.gz HEYUBTDJFWTPKS-UHFFFAOYSA-N 1 2 256.733 3.505 20 0 CHADLO C[C@@H](SCc1c[nH+]cn1C)c1ccc(F)cc1 ZINC000439539419 1122790476 /nfs/dbraw/zinc/79/04/76/1122790476.db2.gz DIIMIUFWQSAVRS-SNVBAGLBSA-N 1 2 250.342 3.554 20 0 CHADLO CCCCCOc1ccc(OCc2c[nH+]cn2C)cc1 ZINC000439557150 1122792517 /nfs/dbraw/zinc/79/25/17/1122792517.db2.gz QFLXORSYBGUWJG-UHFFFAOYSA-N 1 2 274.364 3.568 20 0 CHADLO CC1(C)CC[C@@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000664786472 1122826233 /nfs/dbraw/zinc/82/62/33/1122826233.db2.gz MFOLUEFWIBTHSF-CQSZACIVSA-N 1 2 294.358 3.826 20 0 CHADLO c1cn(-c2cccc(-c3noc(C4CC5(CC5)C4)n3)c2)c[nH+]1 ZINC000664788634 1122829362 /nfs/dbraw/zinc/82/93/62/1122829362.db2.gz YTIPMSWKGRONIF-UHFFFAOYSA-N 1 2 292.342 3.580 20 0 CHADLO Cc1ccc([C@@H](NCC[N@H+]2CCC[C@H]2C)C2CCCC2)o1 ZINC000775634651 1122839955 /nfs/dbraw/zinc/83/99/55/1122839955.db2.gz YHTGIFMAPOYHIE-KDOFPFPSSA-N 1 2 290.451 3.893 20 0 CHADLO Cc1ccc([C@@H](NCC[N@@H+]2CCC[C@H]2C)C2CCCC2)o1 ZINC000775634651 1122839959 /nfs/dbraw/zinc/83/99/59/1122839959.db2.gz YHTGIFMAPOYHIE-KDOFPFPSSA-N 1 2 290.451 3.893 20 0 CHADLO Cc1ccc([C@H](NCC[N@H+]2CCC[C@@H]2C)C2CCCC2)o1 ZINC000775634637 1122840057 /nfs/dbraw/zinc/84/00/57/1122840057.db2.gz YHTGIFMAPOYHIE-KBXCAEBGSA-N 1 2 290.451 3.893 20 0 CHADLO Cc1ccc([C@H](NCC[N@@H+]2CCC[C@@H]2C)C2CCCC2)o1 ZINC000775634637 1122840064 /nfs/dbraw/zinc/84/00/64/1122840064.db2.gz YHTGIFMAPOYHIE-KBXCAEBGSA-N 1 2 290.451 3.893 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000073637543 1122847484 /nfs/dbraw/zinc/84/74/84/1122847484.db2.gz LNIIZDKYQGRELI-IUCAKERBSA-N 1 2 299.296 3.809 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CCC[C@@H]2c2ccncc2)n1 ZINC000894449087 1122854980 /nfs/dbraw/zinc/85/49/80/1122854980.db2.gz JOESYCSWMCIPNU-CQSZACIVSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CCC[C@@H]2c2ccncc2)n1 ZINC000894449087 1122854985 /nfs/dbraw/zinc/85/49/85/1122854985.db2.gz JOESYCSWMCIPNU-CQSZACIVSA-N 1 2 285.391 3.704 20 0 CHADLO CC[C@H]1C[NH+](Cc2ccc(F)cc2Cl)C[C@H](CC)O1 ZINC001195299551 1122862093 /nfs/dbraw/zinc/86/20/93/1122862093.db2.gz OXONSKLLRIYRLW-KBPBESRZSA-N 1 2 285.790 3.869 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC[C@H](C3CC3)C2)cs1 ZINC000894522000 1122869384 /nfs/dbraw/zinc/86/93/84/1122869384.db2.gz AGEYFBWMGXDJST-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC[C@H](C3CC3)C2)cs1 ZINC000894522000 1122869389 /nfs/dbraw/zinc/86/93/89/1122869389.db2.gz AGEYFBWMGXDJST-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO Cc1ncoc1C[N@H+](CCC(F)(F)F)Cc1ccccc1 ZINC000894550097 1122872184 /nfs/dbraw/zinc/87/21/84/1122872184.db2.gz FDVJWRPTCKVPDF-UHFFFAOYSA-N 1 2 298.308 3.938 20 0 CHADLO Cc1ncoc1C[N@@H+](CCC(F)(F)F)Cc1ccccc1 ZINC000894550097 1122872189 /nfs/dbraw/zinc/87/21/89/1122872189.db2.gz FDVJWRPTCKVPDF-UHFFFAOYSA-N 1 2 298.308 3.938 20 0 CHADLO Cc1cc(NC[C@@]2(C)CC2(F)F)nc(C2CCC2)[nH+]1 ZINC000894557409 1122872722 /nfs/dbraw/zinc/87/27/22/1122872722.db2.gz DKOUVONKYCVKAJ-CYBMUJFWSA-N 1 2 267.323 3.510 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2nc3ccccc3o2)C1 ZINC000519561651 1122882168 /nfs/dbraw/zinc/88/21/68/1122882168.db2.gz WBFBZPOILZOGRQ-GOSISDBHSA-N 1 2 296.345 3.899 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2nc3ccccc3o2)C1 ZINC000519561651 1122882170 /nfs/dbraw/zinc/88/21/70/1122882170.db2.gz WBFBZPOILZOGRQ-GOSISDBHSA-N 1 2 296.345 3.899 20 0 CHADLO C[C@H]1CC[C@H](C)[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000154178154 1129084674 /nfs/dbraw/zinc/08/46/74/1129084674.db2.gz FSDBTQXHXLBZPE-STQMWFEESA-N 1 2 277.412 3.738 20 0 CHADLO C[C@H]1CC[C@H](C)[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000154178154 1129084678 /nfs/dbraw/zinc/08/46/78/1129084678.db2.gz FSDBTQXHXLBZPE-STQMWFEESA-N 1 2 277.412 3.738 20 0 CHADLO COC(=O)CC1(C[N@@H+](c2ccccc2C)C(C)C)CC1 ZINC001167977152 1122892785 /nfs/dbraw/zinc/89/27/85/1122892785.db2.gz XXBUVXYKTAHODO-UHFFFAOYSA-N 1 2 275.392 3.553 20 0 CHADLO COC(=O)CC1(C[N@H+](c2ccccc2C)C(C)C)CC1 ZINC001167977152 1122892790 /nfs/dbraw/zinc/89/27/90/1122892790.db2.gz XXBUVXYKTAHODO-UHFFFAOYSA-N 1 2 275.392 3.553 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(Cl)cc2)C2CC2)co1 ZINC000647959702 1122897376 /nfs/dbraw/zinc/89/73/76/1122897376.db2.gz GXWOQZDJYQRSPP-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(Cl)cc2)C2CC2)co1 ZINC000647959702 1122897380 /nfs/dbraw/zinc/89/73/80/1122897380.db2.gz GXWOQZDJYQRSPP-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO CC[C@H](COC)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000519573779 1122903648 /nfs/dbraw/zinc/90/36/48/1122903648.db2.gz WLYJLXOPXRSAGW-SNVBAGLBSA-N 1 2 264.756 3.725 20 0 CHADLO Cc1nc(NCc2cccs2)c(Br)c(C)[nH+]1 ZINC000322983226 1122908051 /nfs/dbraw/zinc/90/80/51/1122908051.db2.gz WMBUKLUUFXOJMD-UHFFFAOYSA-N 1 2 298.209 3.530 20 0 CHADLO COc1ccc(C)cc1C[N@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440478365 1122908381 /nfs/dbraw/zinc/90/83/81/1122908381.db2.gz LKMHCXMXJQASMS-UHFFFAOYSA-N 1 2 299.418 3.549 20 0 CHADLO COc1ccc(C)cc1C[N@@H+](C)Cc1cnc(C(C)C)nc1 ZINC000440478365 1122908383 /nfs/dbraw/zinc/90/83/83/1122908383.db2.gz LKMHCXMXJQASMS-UHFFFAOYSA-N 1 2 299.418 3.549 20 0 CHADLO CCn1ccnc1SCc1ccc(-n2cc[nH+]c2)cc1C ZINC000794424490 1122910355 /nfs/dbraw/zinc/91/03/55/1122910355.db2.gz MBPOEXMHNNDHMC-UHFFFAOYSA-N 1 2 298.415 3.689 20 0 CHADLO CC(C)[C@@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1 ZINC000506894903 1122911295 /nfs/dbraw/zinc/91/12/95/1122911295.db2.gz XCBSQUVMMILMKF-QGZVFWFLSA-N 1 2 293.370 3.713 20 0 CHADLO CCC(C)(C)c1noc(-c2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC000867175000 1122921392 /nfs/dbraw/zinc/92/13/92/1122921392.db2.gz WKOJCDOGGZOSHX-UHFFFAOYSA-N 1 2 296.374 3.669 20 0 CHADLO CC(C)OCCC[N@H+](C)[C@@H](CCc1ccccc1)C(F)F ZINC001196646744 1122928631 /nfs/dbraw/zinc/92/86/31/1122928631.db2.gz QPNDAINHYJRVFP-INIZCTEOSA-N 1 2 299.405 4.000 20 0 CHADLO CC(C)OCCC[N@@H+](C)[C@@H](CCc1ccccc1)C(F)F ZINC001196646744 1122928636 /nfs/dbraw/zinc/92/86/36/1122928636.db2.gz QPNDAINHYJRVFP-INIZCTEOSA-N 1 2 299.405 4.000 20 0 CHADLO CCCC[N@H+](C)Cn1c2c(sc1=O)C[C@@H](CCC)CC2 ZINC000102764154 1122944256 /nfs/dbraw/zinc/94/42/56/1122944256.db2.gz NSJMYBRCUGUSRF-ZDUSSCGKSA-N 1 2 296.480 3.504 20 0 CHADLO CCCC[N@@H+](C)Cn1c2c(sc1=O)C[C@@H](CCC)CC2 ZINC000102764154 1122944259 /nfs/dbraw/zinc/94/42/59/1122944259.db2.gz NSJMYBRCUGUSRF-ZDUSSCGKSA-N 1 2 296.480 3.504 20 0 CHADLO c1nc(C[NH2+]Cc2ccc(C3CCCCC3)cc2)c[nH]1 ZINC000894852198 1122970083 /nfs/dbraw/zinc/97/00/83/1122970083.db2.gz RTWUHPNFBCNJTH-UHFFFAOYSA-N 1 2 269.392 3.747 20 0 CHADLO CC1(C)CC[C@@H]2C[C@@H]([NH2+]Cc3csnn3)c3cccc1c32 ZINC000334218542 1122971428 /nfs/dbraw/zinc/97/14/28/1122971428.db2.gz IKAKHYPQFJZOID-IAQYHMDHSA-N 1 2 299.443 3.928 20 0 CHADLO c1c2c(nn1C1CCCC1)[C@H](Nc1cccc[nH+]1)CCC2 ZINC000334310916 1122985287 /nfs/dbraw/zinc/98/52/87/1122985287.db2.gz PSNLLACIIXPNFH-OAHLLOKOSA-N 1 2 282.391 3.883 20 0 CHADLO COc1ccccc1[C@H](C)[N@H+](C)Cc1nc(C)c(C)s1 ZINC000081648941 1122989742 /nfs/dbraw/zinc/98/97/42/1122989742.db2.gz NVVNWSMJMYXONP-LBPRGKRZSA-N 1 2 290.432 3.962 20 0 CHADLO COc1ccccc1[C@H](C)[N@@H+](C)Cc1nc(C)c(C)s1 ZINC000081648941 1122989746 /nfs/dbraw/zinc/98/97/46/1122989746.db2.gz NVVNWSMJMYXONP-LBPRGKRZSA-N 1 2 290.432 3.962 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H](C)c2cccnc2)c(C)[nH+]1 ZINC000346001884 1122992941 /nfs/dbraw/zinc/99/29/41/1122992941.db2.gz JYQGNVQDRCEABS-LLVKDONJSA-N 1 2 283.375 3.534 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H](C)c2cccnc2)c(C)[nH+]1 ZINC000346001890 1122993236 /nfs/dbraw/zinc/99/32/36/1122993236.db2.gz JYQGNVQDRCEABS-NSHDSACASA-N 1 2 283.375 3.534 20 0 CHADLO COc1ccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c(C)c1 ZINC000334377390 1123000657 /nfs/dbraw/zinc/00/06/57/1123000657.db2.gz GAYIICBIRORJSB-UHFFFAOYSA-N 1 2 284.359 3.576 20 0 CHADLO CC[C@@H](F)C[NH2+][C@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000440522832 1123010561 /nfs/dbraw/zinc/01/05/61/1123010561.db2.gz FPNOIUWIDVMEPP-ZYHUDNBSSA-N 1 2 295.333 3.583 20 0 CHADLO CC[C@H]([NH2+]Cc1ncccc1F)c1ccc(F)cc1F ZINC000154873261 1129093085 /nfs/dbraw/zinc/09/30/85/1129093085.db2.gz RSPDFAJGXYVAGS-AWEZNQCLSA-N 1 2 280.293 3.740 20 0 CHADLO Cc1cncc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)n1 ZINC001203178301 1123029310 /nfs/dbraw/zinc/02/93/10/1123029310.db2.gz ZUBSKGVLNXPROF-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cncc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)n1 ZINC001203178301 1123029314 /nfs/dbraw/zinc/02/93/14/1123029314.db2.gz ZUBSKGVLNXPROF-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO CC[C@@H](F)C[N@H+](C)Cc1cc(Br)ccc1F ZINC000440694433 1123030030 /nfs/dbraw/zinc/03/00/30/1123030030.db2.gz OQPXDRTWTHIKKW-LLVKDONJSA-N 1 2 292.167 3.768 20 0 CHADLO CC[C@@H](F)C[N@@H+](C)Cc1cc(Br)ccc1F ZINC000440694433 1123030036 /nfs/dbraw/zinc/03/00/36/1123030036.db2.gz OQPXDRTWTHIKKW-LLVKDONJSA-N 1 2 292.167 3.768 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)C[N@H+](C)[C@@H](C)c1ccco1 ZINC000519903643 1123037413 /nfs/dbraw/zinc/03/74/13/1123037413.db2.gz QYQXZHVWHQZWIF-CABCVRRESA-N 1 2 294.439 3.603 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)C[N@@H+](C)[C@@H](C)c1ccco1 ZINC000519903643 1123037418 /nfs/dbraw/zinc/03/74/18/1123037418.db2.gz QYQXZHVWHQZWIF-CABCVRRESA-N 1 2 294.439 3.603 20 0 CHADLO COCc1ccccc1/C=C(\C)C[NH+]1CC(C(F)(F)F)C1 ZINC000894891905 1123044063 /nfs/dbraw/zinc/04/40/63/1123044063.db2.gz RIGDWOUZDMWAAH-KPKJPENVSA-N 1 2 299.336 3.730 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H]2CCc3ccc(Cl)cc32)o1 ZINC000334492669 1123059147 /nfs/dbraw/zinc/05/91/47/1123059147.db2.gz ZEMNGTAJYHTHKB-HZMBPMFUSA-N 1 2 276.767 3.975 20 0 CHADLO Cc1nc(N2C3CCCC2CCC3)c2c([nH+]1)CCCC2 ZINC000679838775 1123063532 /nfs/dbraw/zinc/06/35/32/1123063532.db2.gz MZNTXPFZZJPBKT-UHFFFAOYSA-N 1 2 271.408 3.575 20 0 CHADLO COc1cc(C)ccc1NCc1cc(-n2cc[nH+]c2)cs1 ZINC001201080003 1123074583 /nfs/dbraw/zinc/07/45/83/1123074583.db2.gz WKWYGTGPOUHOHB-UHFFFAOYSA-N 1 2 299.399 3.863 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2CCCC(F)(F)[C@@H](F)C2)c1 ZINC001143580800 1123078654 /nfs/dbraw/zinc/07/86/54/1123078654.db2.gz WTHCZRFOVOCJRK-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2CCCC(F)(F)[C@@H](F)C2)c1 ZINC001143580800 1123078659 /nfs/dbraw/zinc/07/86/59/1123078659.db2.gz WTHCZRFOVOCJRK-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1cc(OC(F)(F)F)ccc1Nc1ccc(N)[nH+]c1 ZINC001201283842 1123080839 /nfs/dbraw/zinc/08/08/39/1123080839.db2.gz HACSKJXUORPMMT-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO Nc1ccc(Nc2cc(F)c(Cl)cc2Cl)c[nH+]1 ZINC001201286184 1123081359 /nfs/dbraw/zinc/08/13/59/1123081359.db2.gz YERQPADYSLSPBM-UHFFFAOYSA-N 1 2 272.110 3.853 20 0 CHADLO Nc1ccc(Nc2ncccc2Oc2ccccc2)c[nH+]1 ZINC001201272412 1123083685 /nfs/dbraw/zinc/08/36/85/1123083685.db2.gz NVSAYKMCFVMIGO-UHFFFAOYSA-N 1 2 278.315 3.595 20 0 CHADLO Cc1cnn(-c2ccc(Cl)cc2)c1Nc1ccc(N)[nH+]c1 ZINC001201279267 1123085122 /nfs/dbraw/zinc/08/51/22/1123085122.db2.gz NPBHCHVXPWADOM-UHFFFAOYSA-N 1 2 299.765 3.555 20 0 CHADLO CC(C)COc1c(F)cccc1Nc1ccc(N)[nH+]c1 ZINC001201295928 1123085662 /nfs/dbraw/zinc/08/56/62/1123085662.db2.gz YFUTZIDDWCERFV-UHFFFAOYSA-N 1 2 275.327 3.581 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cnn(-c2ccccc2)c1C ZINC001201367284 1123088282 /nfs/dbraw/zinc/08/82/82/1123088282.db2.gz OBYFLFIMKOOJFT-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO Cc1nc(CNc2cccc(-n3cc[nH+]c3)c2)sc1C ZINC001201357056 1123090722 /nfs/dbraw/zinc/09/07/22/1123090722.db2.gz PNVOTCSSVJEJGE-UHFFFAOYSA-N 1 2 284.388 3.558 20 0 CHADLO CNc1ccccc1CNc1ccc(-n2cc[nH+]c2)c(C)c1 ZINC001201357000 1123090961 /nfs/dbraw/zinc/09/09/61/1123090961.db2.gz OSBUVSUPSPKGKS-UHFFFAOYSA-N 1 2 292.386 3.835 20 0 CHADLO CCCc1nc(C[N@H+](C)[C@@H](c2ccccc2)C(C)C)no1 ZINC000112699136 1123095283 /nfs/dbraw/zinc/09/52/83/1123095283.db2.gz IMJCXDGKFVRRLJ-QGZVFWFLSA-N 1 2 287.407 3.851 20 0 CHADLO CCCc1nc(C[N@@H+](C)[C@@H](c2ccccc2)C(C)C)no1 ZINC000112699136 1123095289 /nfs/dbraw/zinc/09/52/89/1123095289.db2.gz IMJCXDGKFVRRLJ-QGZVFWFLSA-N 1 2 287.407 3.851 20 0 CHADLO FC1(F)C[N@H+](C[C@@H]2CC=CCC2)CC[C@H]1N1CCCCC1 ZINC001201426637 1123099902 /nfs/dbraw/zinc/09/99/02/1123099902.db2.gz PEMQQOLQXDQOJM-HZPDHXFCSA-N 1 2 298.421 3.538 20 0 CHADLO FC1(F)C[N@@H+](C[C@@H]2CC=CCC2)CC[C@H]1N1CCCCC1 ZINC001201426637 1123099905 /nfs/dbraw/zinc/09/99/05/1123099905.db2.gz PEMQQOLQXDQOJM-HZPDHXFCSA-N 1 2 298.421 3.538 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C(C)C)nc1OC ZINC001201438073 1123104126 /nfs/dbraw/zinc/10/41/26/1123104126.db2.gz POADJSFILZPFJU-UHFFFAOYSA-N 1 2 287.363 3.751 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2ccnnc2Cl)cc1 ZINC001201488288 1123107369 /nfs/dbraw/zinc/10/73/69/1123107369.db2.gz CVSHHOKENIBCIE-UHFFFAOYSA-N 1 2 262.744 3.694 20 0 CHADLO CC(C)[NH2+]c1ccc(N(C)c2cccc(N)c2)cc1 ZINC001201504296 1123112523 /nfs/dbraw/zinc/11/25/23/1123112523.db2.gz DVUXWWDCLOQOHL-UHFFFAOYSA-N 1 2 255.365 3.857 20 0 CHADLO CCCc1cccc(CNc2c[nH+]ccc2OC)c1 ZINC001201573426 1123126825 /nfs/dbraw/zinc/12/68/25/1123126825.db2.gz VHWXAZRRURKOPW-UHFFFAOYSA-N 1 2 256.349 3.655 20 0 CHADLO COC[C@H](CC(C)(C)C)Nc1ccc([NH+](C)C)cc1 ZINC000845914619 1123129323 /nfs/dbraw/zinc/12/93/23/1123129323.db2.gz LZVADGQYLGSYSL-AWEZNQCLSA-N 1 2 264.413 3.616 20 0 CHADLO c1cn(Cc2cccc(Nc3ccc(C4CC4)nc3)c2)c[nH+]1 ZINC001201714244 1123139106 /nfs/dbraw/zinc/13/91/06/1123139106.db2.gz FNTDOMZUSKMBKU-UHFFFAOYSA-N 1 2 290.370 3.947 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@@H+]1CCCC(=O)[C@H](F)C1 ZINC001201977536 1123159361 /nfs/dbraw/zinc/15/93/61/1123159361.db2.gz RYHKQYYRWBYXLC-HUUCEWRRSA-N 1 2 269.404 3.762 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@H+]1CCCC(=O)[C@H](F)C1 ZINC001201977536 1123159363 /nfs/dbraw/zinc/15/93/63/1123159363.db2.gz RYHKQYYRWBYXLC-HUUCEWRRSA-N 1 2 269.404 3.762 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@@H+]1CCC[C@@H](F)C(=O)C1 ZINC001201977688 1123159457 /nfs/dbraw/zinc/15/94/57/1123159457.db2.gz WGHYPFFTYMEDCT-LSDHHAIUSA-N 1 2 269.404 3.762 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@H+]1CCC[C@@H](F)C(=O)C1 ZINC001201977688 1123159458 /nfs/dbraw/zinc/15/94/58/1123159458.db2.gz WGHYPFFTYMEDCT-LSDHHAIUSA-N 1 2 269.404 3.762 20 0 CHADLO Cc1cccn2c([C@H](C)NC(=O)Nc3ccccc3)c[nH+]c12 ZINC001201962591 1123164306 /nfs/dbraw/zinc/16/43/06/1123164306.db2.gz OORDFGWLTSVSED-ZDUSSCGKSA-N 1 2 294.358 3.525 20 0 CHADLO CCOC(=O)C[N@H+](CCC(C)(C)C)Cc1ccsc1 ZINC001202096709 1123174715 /nfs/dbraw/zinc/17/47/15/1123174715.db2.gz NJSXILGJWONFRD-UHFFFAOYSA-N 1 2 283.437 3.549 20 0 CHADLO CCOC(=O)C[N@@H+](CCC(C)(C)C)Cc1ccsc1 ZINC001202096709 1123174717 /nfs/dbraw/zinc/17/47/17/1123174717.db2.gz NJSXILGJWONFRD-UHFFFAOYSA-N 1 2 283.437 3.549 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(F)c3ccccc23)n1 ZINC000639096227 1123176052 /nfs/dbraw/zinc/17/60/52/1123176052.db2.gz BRZJKSNHUONERS-JTQLQIEISA-N 1 2 285.322 3.521 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc2ccccc2c1 ZINC000776252894 1123179814 /nfs/dbraw/zinc/17/98/14/1123179814.db2.gz GIFXODIJAYPEEU-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO C[C@H]1CC[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC1(C)C ZINC000759001621 1123182510 /nfs/dbraw/zinc/18/25/10/1123182510.db2.gz XSSXGLXXGZUPCJ-NSHDSACASA-N 1 2 296.484 3.564 20 0 CHADLO C[C@H]1CC[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC1(C)C ZINC000759001621 1123182512 /nfs/dbraw/zinc/18/25/12/1123182512.db2.gz XSSXGLXXGZUPCJ-NSHDSACASA-N 1 2 296.484 3.564 20 0 CHADLO CC1(C)CCC(C[NH2+]CC(F)(F)Br)CC1 ZINC001202315619 1123197805 /nfs/dbraw/zinc/19/78/05/1123197805.db2.gz YEFVIXQQAXJDFD-UHFFFAOYSA-N 1 2 284.188 3.780 20 0 CHADLO O=C(Nc1ccc(Cl)cc1)Nc1ccn2cc[nH+]c2c1 ZINC001202367438 1123203389 /nfs/dbraw/zinc/20/33/89/1123203389.db2.gz AEMGRJVPVZSYNR-UHFFFAOYSA-N 1 2 286.722 3.632 20 0 CHADLO COc1ccc2ccccc2c1C[N@@H+]1Cc2cccnc2C1 ZINC001203986896 1123204110 /nfs/dbraw/zinc/20/41/10/1123204110.db2.gz OTKMUGDCUHLQRJ-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1ccc2ccccc2c1C[N@H+]1Cc2cccnc2C1 ZINC001203986896 1123204113 /nfs/dbraw/zinc/20/41/13/1123204113.db2.gz OTKMUGDCUHLQRJ-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO Cc1ccc(/C=C\C(=O)Nc2c(C)cc(C)[nH+]c2C)o1 ZINC000212059969 1123208750 /nfs/dbraw/zinc/20/87/50/1123208750.db2.gz GEGQCOVRXRPJGI-FPLPWBNLSA-N 1 2 270.332 3.560 20 0 CHADLO CC(C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)=C1CCC1 ZINC000825536011 1123218860 /nfs/dbraw/zinc/21/88/60/1123218860.db2.gz KBDYJHADIANVCK-UHFFFAOYSA-N 1 2 267.332 3.516 20 0 CHADLO Cc1[nH]c(CNc2cccc(N3CCCCC3)c2)[nH+]c1C ZINC000850073270 1123240863 /nfs/dbraw/zinc/24/08/63/1123240863.db2.gz GPYPOWXDAAKQHC-UHFFFAOYSA-N 1 2 284.407 3.629 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CC[C@@H](C)c2ccsc21 ZINC000334543069 1123250059 /nfs/dbraw/zinc/25/00/59/1123250059.db2.gz CUUUNXYNBPDVPX-NOZJJQNGSA-N 1 2 261.394 3.508 20 0 CHADLO Fc1ccc(F)c(COc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000343910544 1123255713 /nfs/dbraw/zinc/25/57/13/1123255713.db2.gz UQUBDIIBUGPKNO-UHFFFAOYSA-N 1 2 286.281 3.730 20 0 CHADLO Cc1cn2cc(NC(=O)c3csc(Cl)c3)ccc2[nH+]1 ZINC000344011826 1123261761 /nfs/dbraw/zinc/26/17/61/1123261761.db2.gz MHBQEMZGXVSDGJ-UHFFFAOYSA-N 1 2 291.763 3.610 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)CSCCF ZINC000850449408 1123268600 /nfs/dbraw/zinc/26/86/00/1123268600.db2.gz WWNKXYJZYMGALX-LBPRGKRZSA-N 1 2 270.417 3.564 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc2c(c1)CCCO2)C(F)F ZINC000850471235 1123271722 /nfs/dbraw/zinc/27/17/22/1123271722.db2.gz ONXXADXXWFOELA-ZWNOBZJWSA-N 1 2 269.335 3.706 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+][C@@H]1C=CCC1 ZINC000850511100 1123277700 /nfs/dbraw/zinc/27/77/00/1123277700.db2.gz ZIMIRQPACNOSDB-KGLIPLIRSA-N 1 2 251.320 3.561 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+](C)Cc1cc(Cl)cn1C ZINC000428226185 1123280704 /nfs/dbraw/zinc/28/07/04/1123280704.db2.gz GLVRXBRVHGCWPS-UHFFFAOYSA-N 1 2 295.814 3.730 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+](C)Cc1cc(Cl)cn1C ZINC000428226185 1123280707 /nfs/dbraw/zinc/28/07/07/1123280707.db2.gz GLVRXBRVHGCWPS-UHFFFAOYSA-N 1 2 295.814 3.730 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)c1 ZINC000335051628 1123290647 /nfs/dbraw/zinc/29/06/47/1123290647.db2.gz CDONZTUJQJRXNM-GFCCVEGCSA-N 1 2 253.349 3.531 20 0 CHADLO O=C(Nc1ccccc1-c1[nH+]cc2n1CCCC2)C1=CCC1 ZINC000335127517 1123305601 /nfs/dbraw/zinc/30/56/01/1123305601.db2.gz KGJZPSSLFXWFBZ-UHFFFAOYSA-N 1 2 293.370 3.545 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@@H]2c2cccnc2)cs1 ZINC000346988957 1123331880 /nfs/dbraw/zinc/33/18/80/1123331880.db2.gz UOXPOPBZQITXPD-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@@H]2c2cccnc2)cs1 ZINC000346988957 1123331883 /nfs/dbraw/zinc/33/18/83/1123331883.db2.gz UOXPOPBZQITXPD-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CC(C)CCc1noc([C@@H](C)[N@H+](C)Cc2ccccc2)n1 ZINC000443084922 1123354739 /nfs/dbraw/zinc/35/47/39/1123354739.db2.gz JJRVMTICRQETKS-CQSZACIVSA-N 1 2 287.407 3.851 20 0 CHADLO CC(C)CCc1noc([C@@H](C)[N@@H+](C)Cc2ccccc2)n1 ZINC000443084922 1123354743 /nfs/dbraw/zinc/35/47/43/1123354743.db2.gz JJRVMTICRQETKS-CQSZACIVSA-N 1 2 287.407 3.851 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](CC=C(Cl)Cl)C1 ZINC000851712824 1123374045 /nfs/dbraw/zinc/37/40/45/1123374045.db2.gz VAVHDETWOHVOSO-ZETCQYMHSA-N 1 2 262.102 3.580 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](CC=C(Cl)Cl)C1 ZINC000851712824 1123374047 /nfs/dbraw/zinc/37/40/47/1123374047.db2.gz VAVHDETWOHVOSO-ZETCQYMHSA-N 1 2 262.102 3.580 20 0 CHADLO CC[N@H+](Cc1cnon1)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000851739827 1123376814 /nfs/dbraw/zinc/37/68/14/1123376814.db2.gz PNWPAYVGGXICJA-SNVBAGLBSA-N 1 2 299.296 3.672 20 0 CHADLO CC[N@@H+](Cc1cnon1)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000851739827 1123376820 /nfs/dbraw/zinc/37/68/20/1123376820.db2.gz PNWPAYVGGXICJA-SNVBAGLBSA-N 1 2 299.296 3.672 20 0 CHADLO CC(C)=CCC[N@@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000851746539 1123377753 /nfs/dbraw/zinc/37/77/53/1123377753.db2.gz AAQRXYGGIMLYKR-MRXNPFEDSA-N 1 2 281.346 3.694 20 0 CHADLO CC(C)=CCC[N@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000851746539 1123377756 /nfs/dbraw/zinc/37/77/56/1123377756.db2.gz AAQRXYGGIMLYKR-MRXNPFEDSA-N 1 2 281.346 3.694 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OC/C=C/c1ccccc1 ZINC000851790545 1123380528 /nfs/dbraw/zinc/38/05/28/1123380528.db2.gz LDCZPIGYKBODQW-YRNVUSSQSA-N 1 2 266.344 3.555 20 0 CHADLO COc1ccc(NC(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000335589523 1123380754 /nfs/dbraw/zinc/38/07/54/1123380754.db2.gz NONXTALPRZRFND-UHFFFAOYSA-N 1 2 285.347 3.659 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335580384 1123382953 /nfs/dbraw/zinc/38/29/53/1123382953.db2.gz IGQHWUUCCBTPKU-HXPMCKFVSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335580384 1123382958 /nfs/dbraw/zinc/38/29/58/1123382958.db2.gz IGQHWUUCCBTPKU-HXPMCKFVSA-N 1 2 299.867 3.634 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398400676 1123403452 /nfs/dbraw/zinc/40/34/52/1123403452.db2.gz WGDSBMQYFTZPOK-UWVGGRQHSA-N 1 2 279.434 3.672 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](C)c2csnn2)cc1C ZINC000398468242 1123406326 /nfs/dbraw/zinc/40/63/26/1123406326.db2.gz MGGBHLSHJQUUSW-VXGBXAGGSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CCCC3)OC[C@@H]2C)cc(Cl)n1 ZINC000852635665 1123411181 /nfs/dbraw/zinc/41/11/81/1123411181.db2.gz IVFQTHOLRWYEOI-ZDUSSCGKSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CCCC3)OC[C@@H]2C)cc(Cl)n1 ZINC000852635665 1123411183 /nfs/dbraw/zinc/41/11/83/1123411183.db2.gz IVFQTHOLRWYEOI-ZDUSSCGKSA-N 1 2 294.826 3.577 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)c1cccs1 ZINC000151642490 1123449631 /nfs/dbraw/zinc/44/96/31/1123449631.db2.gz VSLUSNCAMWIXNR-LBPRGKRZSA-N 1 2 297.383 3.676 20 0 CHADLO Cc1cc(NCc2cccnc2Cl)nc(C(C)C)[nH+]1 ZINC000853766927 1123452665 /nfs/dbraw/zinc/45/26/65/1123452665.db2.gz IFBBFCIBDFNGHO-UHFFFAOYSA-N 1 2 276.771 3.569 20 0 CHADLO Cc1oncc1CSCc1ccc(-n2cc[nH+]c2)cc1C ZINC000853773004 1123453090 /nfs/dbraw/zinc/45/30/90/1123453090.db2.gz PXDDXNZOMMCSIC-UHFFFAOYSA-N 1 2 299.399 3.911 20 0 CHADLO CC(C)(C)OC[C@@H]1CCCN1c1[nH+]ccc2c(N)cccc21 ZINC000895660326 1123502457 /nfs/dbraw/zinc/50/24/57/1123502457.db2.gz BTTQBTKDQQWAFH-ZDUSSCGKSA-N 1 2 299.418 3.601 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCCC3(CC3)CC2)cs1 ZINC000895666721 1123504078 /nfs/dbraw/zinc/50/40/78/1123504078.db2.gz WCMMTMKBVWWEQK-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCCC3(CC3)CC2)cs1 ZINC000895666721 1123504080 /nfs/dbraw/zinc/50/40/80/1123504080.db2.gz WCMMTMKBVWWEQK-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO COC[C@@H](CC(C)C)Nc1ccc([NH+]2CCCC2)cc1 ZINC000776802306 1123514687 /nfs/dbraw/zinc/51/46/87/1123514687.db2.gz FKOZZNICEIZJIY-MRXNPFEDSA-N 1 2 276.424 3.760 20 0 CHADLO CC(C)[C@H](c1ccccc1Cl)[N@H+](C)CN1CCCC1=O ZINC000113245940 1123515383 /nfs/dbraw/zinc/51/53/83/1123515383.db2.gz AAUSWIRJGSDAGD-MRXNPFEDSA-N 1 2 294.826 3.549 20 0 CHADLO CC(C)[C@H](c1ccccc1Cl)[N@@H+](C)CN1CCCC1=O ZINC000113245940 1123515385 /nfs/dbraw/zinc/51/53/85/1123515385.db2.gz AAUSWIRJGSDAGD-MRXNPFEDSA-N 1 2 294.826 3.549 20 0 CHADLO CCOc1ccc(C[NH2+][C@H]2c3ccccc3CC[C@H]2F)o1 ZINC000896030506 1123544115 /nfs/dbraw/zinc/54/41/15/1123544115.db2.gz MADJYDRAJBVJRF-WBVHZDCISA-N 1 2 289.350 3.794 20 0 CHADLO CCc1nnc(CNc2ccc3[nH+]c(C)cc(C)c3c2)s1 ZINC000896064284 1123546447 /nfs/dbraw/zinc/54/64/47/1123546447.db2.gz LAIRRZHFBNVBQT-UHFFFAOYSA-N 1 2 298.415 3.878 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@](F)(c3ccccc3F)C2)o1 ZINC000338997571 1123563895 /nfs/dbraw/zinc/56/38/95/1123563895.db2.gz FKEMWXHGWWRHII-INIZCTEOSA-N 1 2 277.314 3.798 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@](F)(c3ccccc3F)C2)o1 ZINC000338997571 1123563899 /nfs/dbraw/zinc/56/38/99/1123563899.db2.gz FKEMWXHGWWRHII-INIZCTEOSA-N 1 2 277.314 3.798 20 0 CHADLO Clc1cccc(C[N@@H+]2CCO[C@@H](c3cccs3)C2)c1 ZINC000157588993 1129130490 /nfs/dbraw/zinc/13/04/90/1129130490.db2.gz WHZFNDKNNPIKRA-CQSZACIVSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1cccc(C[N@H+]2CCO[C@@H](c3cccs3)C2)c1 ZINC000157588993 1129130494 /nfs/dbraw/zinc/13/04/94/1129130494.db2.gz WHZFNDKNNPIKRA-CQSZACIVSA-N 1 2 293.819 3.975 20 0 CHADLO CCc1nc(C)c(CNc2cc3ccccc3c[nH+]2)o1 ZINC000631288516 1123601759 /nfs/dbraw/zinc/60/17/59/1123601759.db2.gz QUVDOJOLQDVADZ-UHFFFAOYSA-N 1 2 267.332 3.706 20 0 CHADLO Clc1ccc(OCCC[N@@H+]2Cc3ccncc3C2)cc1 ZINC000857873869 1123623875 /nfs/dbraw/zinc/62/38/75/1123623875.db2.gz FZGMKCOPBUZGDA-UHFFFAOYSA-N 1 2 288.778 3.520 20 0 CHADLO Clc1ccc(OCCC[N@H+]2Cc3ccncc3C2)cc1 ZINC000857873869 1123623876 /nfs/dbraw/zinc/62/38/76/1123623876.db2.gz FZGMKCOPBUZGDA-UHFFFAOYSA-N 1 2 288.778 3.520 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2CCc3ccc(Cl)nc32)n1 ZINC000857903932 1123624957 /nfs/dbraw/zinc/62/49/57/1123624957.db2.gz SINRQNWRSDNHAG-GXSJLCMTSA-N 1 2 293.823 3.838 20 0 CHADLO Cc1c[nH+]c2c(c1)N(C(=O)CC1(C)CCCCC1)CCN2 ZINC000857999856 1123628875 /nfs/dbraw/zinc/62/88/75/1123628875.db2.gz PREQRULQDYHRFT-UHFFFAOYSA-N 1 2 287.407 3.509 20 0 CHADLO Fc1c[nH+]ccc1NCc1cccc(Br)c1 ZINC000192149554 1123635644 /nfs/dbraw/zinc/63/56/44/1123635644.db2.gz GDWNQOPVCKSBTF-UHFFFAOYSA-N 1 2 281.128 3.595 20 0 CHADLO CCCOc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1OC ZINC000673618053 1123657483 /nfs/dbraw/zinc/65/74/83/1123657483.db2.gz RNYKKWWNQKTPSC-OAHLLOKOSA-N 1 2 299.361 3.761 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(C(C)(C)C)cc1)c1ncn(C)n1 ZINC000275575852 1129138793 /nfs/dbraw/zinc/13/87/93/1129138793.db2.gz GQVZCQAPZOGYDH-CHWSQXEVSA-N 1 2 286.423 3.524 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1cncs1 ZINC000346542636 1123694174 /nfs/dbraw/zinc/69/41/74/1123694174.db2.gz IOZASKIZEUFRBK-LBPRGKRZSA-N 1 2 288.420 3.702 20 0 CHADLO CC(C)C[N@H+](Cc1noc(C2CC2)n1)Cc1ccccc1 ZINC000346790858 1123712419 /nfs/dbraw/zinc/71/24/19/1123712419.db2.gz GETFDAFBMDWFKU-UHFFFAOYSA-N 1 2 285.391 3.605 20 0 CHADLO CC(C)C[N@@H+](Cc1noc(C2CC2)n1)Cc1ccccc1 ZINC000346790858 1123712421 /nfs/dbraw/zinc/71/24/21/1123712421.db2.gz GETFDAFBMDWFKU-UHFFFAOYSA-N 1 2 285.391 3.605 20 0 CHADLO Cc1ccccc1[C@H](CC(F)(F)F)[NH2+]Cc1ccn(C)n1 ZINC000444016410 1123721426 /nfs/dbraw/zinc/72/14/26/1123721426.db2.gz ZFGUOWAKORVTLC-AWEZNQCLSA-N 1 2 297.324 3.512 20 0 CHADLO Clc1ccc2c(c1Cl)CC[C@@H]2[NH2+]Cc1cocn1 ZINC000346886202 1123728083 /nfs/dbraw/zinc/72/80/83/1123728083.db2.gz OHXLZRZOFNZMQT-LBPRGKRZSA-N 1 2 283.158 3.759 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccn1)c1nccn1-c1ccccc1 ZINC000346954704 1123736705 /nfs/dbraw/zinc/73/67/05/1123736705.db2.gz XYJAWGUTSRZQIL-GJZGRUSLSA-N 1 2 292.386 3.679 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@@H+]1CCC[C@H]1c1cccnc1 ZINC000346989852 1123740218 /nfs/dbraw/zinc/74/02/18/1123740218.db2.gz HHXDFWDBPWFAOW-SFHVURJKSA-N 1 2 296.418 3.730 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@H+]1CCC[C@H]1c1cccnc1 ZINC000346989852 1123740221 /nfs/dbraw/zinc/74/02/21/1123740221.db2.gz HHXDFWDBPWFAOW-SFHVURJKSA-N 1 2 296.418 3.730 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@@H](C)c2nnc(C)o2)cc1 ZINC000281928379 1123751260 /nfs/dbraw/zinc/75/12/60/1123751260.db2.gz QXSOTLLXPFYUFJ-ZJUUUORDSA-N 1 2 277.393 3.512 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2)o1)[N@@H+]1CCC[C@@](C)(F)C1 ZINC000336267310 1123754945 /nfs/dbraw/zinc/75/49/45/1123754945.db2.gz GILYZTYBASCBFL-MLGOLLRUSA-N 1 2 289.354 3.622 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2)o1)[N@H+]1CCC[C@@](C)(F)C1 ZINC000336267310 1123754947 /nfs/dbraw/zinc/75/49/47/1123754947.db2.gz GILYZTYBASCBFL-MLGOLLRUSA-N 1 2 289.354 3.622 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2c1cccc2Cl)c1csnn1 ZINC000336280829 1123756500 /nfs/dbraw/zinc/75/65/00/1123756500.db2.gz HQPJSLUUMDNAST-PELKAZGASA-N 1 2 279.796 3.530 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CCOC2(CCCC2)C1 ZINC000158365592 1129143382 /nfs/dbraw/zinc/14/33/82/1129143382.db2.gz IZMDCWQWSPXHNK-GFCCVEGCSA-N 1 2 281.346 3.671 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CCOC2(CCCC2)C1 ZINC000158365592 1129143384 /nfs/dbraw/zinc/14/33/84/1129143384.db2.gz IZMDCWQWSPXHNK-GFCCVEGCSA-N 1 2 281.346 3.671 20 0 CHADLO Cc1csc(C[N@H+]2CCC[C@@H](C(F)(F)F)[C@H]2C)n1 ZINC000336477308 1123772725 /nfs/dbraw/zinc/77/27/25/1123772725.db2.gz MSTYQXADFKJGDW-NXEZZACHSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1csc(C[N@@H+]2CCC[C@@H](C(F)(F)F)[C@H]2C)n1 ZINC000336477308 1123772727 /nfs/dbraw/zinc/77/27/27/1123772727.db2.gz MSTYQXADFKJGDW-NXEZZACHSA-N 1 2 278.343 3.614 20 0 CHADLO CC[C@@H](CC(=O)NCc1[nH]c(C)c(C)[nH+]1)c1ccc(C)cc1 ZINC000896919635 1123779057 /nfs/dbraw/zinc/77/90/57/1123779057.db2.gz VTZMWBRSZPVBKU-HNNXBMFYSA-N 1 2 299.418 3.535 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)C1CC(F)(F)C1 ZINC000862253339 1123795368 /nfs/dbraw/zinc/79/53/68/1123795368.db2.gz OHMPBVHWHRUHOE-NSHDSACASA-N 1 2 268.351 3.907 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)cc2)o1 ZINC000173475714 1123825784 /nfs/dbraw/zinc/82/57/84/1123825784.db2.gz LZAQNDRGTAHOHJ-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)cc2)o1 ZINC000173475714 1123825788 /nfs/dbraw/zinc/82/57/88/1123825788.db2.gz LZAQNDRGTAHOHJ-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cccc(C)c1OCCCNc1cccc[nH+]1 ZINC000019777553 1123865118 /nfs/dbraw/zinc/86/51/18/1123865118.db2.gz MYRYRCBADYBPPZ-UHFFFAOYSA-N 1 2 256.349 3.579 20 0 CHADLO c1ccc(NCc2ccccc2OC2CCC2)[nH+]c1 ZINC000301268812 1123886521 /nfs/dbraw/zinc/88/65/21/1123886521.db2.gz GETXMHBUBKTYMN-UHFFFAOYSA-N 1 2 254.333 3.625 20 0 CHADLO Cc1cc([C@@H](C)Nc2cc(NCC3CC3)[nH+]cn2)c(C)o1 ZINC000301284420 1123887846 /nfs/dbraw/zinc/88/78/46/1123887846.db2.gz LXVALLUDKRMIPK-LLVKDONJSA-N 1 2 286.379 3.681 20 0 CHADLO Cc1cc([C@@H](C)Nc2cc(NCC3CC3)nc[nH+]2)c(C)o1 ZINC000301284420 1123887855 /nfs/dbraw/zinc/88/78/55/1123887855.db2.gz LXVALLUDKRMIPK-LLVKDONJSA-N 1 2 286.379 3.681 20 0 CHADLO CC[C@@H](C)n1cc(-c2cccc(Cn3cc[nH+]c3)c2)cn1 ZINC000863689733 1123890787 /nfs/dbraw/zinc/89/07/87/1123890787.db2.gz FNBJKHGDZPVTCR-CQSZACIVSA-N 1 2 280.375 3.766 20 0 CHADLO COC(C)(C)C[C@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000301434476 1123899913 /nfs/dbraw/zinc/89/99/13/1123899913.db2.gz HCMIPOOBASQREU-LBPRGKRZSA-N 1 2 265.401 3.524 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1cccc2c1OCO2 ZINC000863985767 1123907627 /nfs/dbraw/zinc/90/76/27/1123907627.db2.gz MBXGWCRIXKNUDN-GFCCVEGCSA-N 1 2 284.359 3.654 20 0 CHADLO COc1ccc(COc2cccc(-n3cc[nH+]c3)c2)cc1C ZINC000864046836 1123913906 /nfs/dbraw/zinc/91/39/06/1123913906.db2.gz ZOKWXHWOQONWRQ-UHFFFAOYSA-N 1 2 294.354 3.768 20 0 CHADLO Cc1cc(N2CCC[C@H]2C2CCC2)nc(C(C)C)[nH+]1 ZINC000301621781 1123918820 /nfs/dbraw/zinc/91/88/20/1123918820.db2.gz FORRORYJLLSKEM-AWEZNQCLSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@](C)(O)c1ccsc1 ZINC000301742151 1123929341 /nfs/dbraw/zinc/92/93/41/1123929341.db2.gz YMCIBPDCUFHUOM-QGZVFWFLSA-N 1 2 298.411 3.924 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cncc(Cl)c2)[C@H]1c1ccccc1 ZINC000621261678 1129153960 /nfs/dbraw/zinc/15/39/60/1129153960.db2.gz ZLASTDDNXCKANQ-MLGOLLRUSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cncc(Cl)c2)[C@H]1c1ccccc1 ZINC000621261678 1129153962 /nfs/dbraw/zinc/15/39/62/1129153962.db2.gz ZLASTDDNXCKANQ-MLGOLLRUSA-N 1 2 272.779 3.928 20 0 CHADLO Fc1ccc2c(c1)[C@@H](Nc1cc(NCC3CC3)[nH+]cn1)CC2 ZINC000301818189 1123935497 /nfs/dbraw/zinc/93/54/97/1123935497.db2.gz IKPNBKPTFJVLMF-HNNXBMFYSA-N 1 2 298.365 3.537 20 0 CHADLO Fc1ccc2c(c1)[C@@H](Nc1cc(NCC3CC3)nc[nH+]1)CC2 ZINC000301818189 1123935505 /nfs/dbraw/zinc/93/55/05/1123935505.db2.gz IKPNBKPTFJVLMF-HNNXBMFYSA-N 1 2 298.365 3.537 20 0 CHADLO C[C@H](Nc1[nH+]cnc2c1cnn2C(C)(C)C)c1ccccc1 ZINC000301830154 1123936213 /nfs/dbraw/zinc/93/62/13/1123936213.db2.gz HIAFAFLKYVVUHK-LBPRGKRZSA-N 1 2 295.390 3.754 20 0 CHADLO C[C@H]1CCC[C@@H](Nc2[nH+]cnc3c2cnn3C(C)(C)C)C1 ZINC000301868421 1123939154 /nfs/dbraw/zinc/93/91/54/1123939154.db2.gz GPUUHFXNIZQIIT-NWDGAFQWSA-N 1 2 287.411 3.572 20 0 CHADLO CC(C)c1cc(NCC(C)(C)C[C@@H](C)O)nc(C(C)C)[nH+]1 ZINC000301871405 1123940090 /nfs/dbraw/zinc/94/00/90/1123940090.db2.gz PTWRNVUBYNLEEC-CYBMUJFWSA-N 1 2 293.455 3.932 20 0 CHADLO CSc1ccc(CNc2nc(N)c3ccccc3[nH+]2)cc1 ZINC000301888658 1123941056 /nfs/dbraw/zinc/94/10/56/1123941056.db2.gz PZPDQMKRPLFFNR-UHFFFAOYSA-N 1 2 296.399 3.546 20 0 CHADLO CCCc1nc(C)c(C[NH2+]C(C)(C)c2ncc(C)s2)o1 ZINC000428344915 1123941743 /nfs/dbraw/zinc/94/17/43/1123941743.db2.gz CRDZHVVXUXVRCN-UHFFFAOYSA-N 1 2 293.436 3.725 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2ccncc2)nc(C(C)C)[nH+]1 ZINC000301946232 1123945754 /nfs/dbraw/zinc/94/57/54/1123945754.db2.gz XKSMXSOGKLGGHP-OAHLLOKOSA-N 1 2 282.391 3.645 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2cncc(Cl)c2)n1 ZINC000621263549 1129155185 /nfs/dbraw/zinc/15/51/85/1129155185.db2.gz XGRSHGGFIZLALY-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2cncc(Cl)c2)n1 ZINC000621263549 1129155186 /nfs/dbraw/zinc/15/51/86/1129155186.db2.gz XGRSHGGFIZLALY-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2cccc(F)c2)nc(C)[nH+]1 ZINC000302058895 1123952712 /nfs/dbraw/zinc/95/27/12/1123952712.db2.gz RUWCGHTYTQRJML-MRXNPFEDSA-N 1 2 285.366 3.828 20 0 CHADLO Cc1cc(N2C[C@@H](C)C[C@H]2c2cccnc2)nc(C(C)C)[nH+]1 ZINC000302143145 1123958070 /nfs/dbraw/zinc/95/80/70/1123958070.db2.gz PVUAESNZTBAOIB-BBRMVZONSA-N 1 2 296.418 3.891 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCC[C@H]2CCCCO2)c1 ZINC000302218191 1123961683 /nfs/dbraw/zinc/96/16/83/1123961683.db2.gz KRSQYKJZLMIRQW-GFCCVEGCSA-N 1 2 288.313 3.862 20 0 CHADLO Cn1cc2c(n1)CCC[C@H]2Nc1ccc([NH+]2CCCC2)cc1 ZINC000794818631 1123961729 /nfs/dbraw/zinc/96/17/29/1123961729.db2.gz WGGGSEOWQJDMAG-QGZVFWFLSA-N 1 2 296.418 3.510 20 0 CHADLO Fc1cccc(F)c1C1=CCN(c2cccc[nH+]2)CC1 ZINC000302308060 1123965523 /nfs/dbraw/zinc/96/55/23/1123965523.db2.gz ZXUNFHFMGZDKRI-UHFFFAOYSA-N 1 2 272.298 3.654 20 0 CHADLO CC(C)(C)c1csc(CNc2cc3cc[nH]c3c[nH+]2)n1 ZINC000865293823 1123970675 /nfs/dbraw/zinc/97/06/75/1123970675.db2.gz XQHXKFOGPRBORK-UHFFFAOYSA-N 1 2 286.404 3.929 20 0 CHADLO COc1cccc2c(N[C@@H]3CCC[C@H]4OCC[C@@H]43)cc[nH+]c12 ZINC000302530315 1123971269 /nfs/dbraw/zinc/97/12/69/1123971269.db2.gz LEACYERFPUEQMK-XNRPHZJLSA-N 1 2 298.386 3.613 20 0 CHADLO COCCC(C)(C)CNc1cc[nH+]c2c(OC)cccc12 ZINC000302524522 1123971344 /nfs/dbraw/zinc/97/13/44/1123971344.db2.gz JNZMPFQLCSDVRW-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO CC(C)(C)c1cn(CC(=O)c2c[nH]c3ccccc32)c[nH+]1 ZINC000865375312 1123976516 /nfs/dbraw/zinc/97/65/16/1123976516.db2.gz UFTPDEQYFNCUGL-UHFFFAOYSA-N 1 2 281.359 3.545 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2oc(C(C)C)nc2C)n1 ZINC000428351640 1123987934 /nfs/dbraw/zinc/98/79/34/1123987934.db2.gz OTUFVELCPJTYOG-LLVKDONJSA-N 1 2 279.409 3.722 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1c(Cl)cncc1Cl ZINC000865578177 1123995824 /nfs/dbraw/zinc/99/58/24/1123995824.db2.gz VEIARBWOZVJGQM-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO CCC[C@H]([NH2+]Cc1csc(C)n1)c1cc(C)ccn1 ZINC000631569394 1124002989 /nfs/dbraw/zinc/00/29/89/1124002989.db2.gz HKROKGMDEABTKU-AWEZNQCLSA-N 1 2 275.421 3.786 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1cncc(Cl)c1 ZINC000621275593 1129160159 /nfs/dbraw/zinc/16/01/59/1129160159.db2.gz ACLPELHJNDXMQT-MWLCHTKSSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1cncc(Cl)c1 ZINC000621275593 1129160160 /nfs/dbraw/zinc/16/01/60/1129160160.db2.gz ACLPELHJNDXMQT-MWLCHTKSSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(OC(F)(F)F)c1)C(C)(F)F ZINC000389475988 1124031087 /nfs/dbraw/zinc/03/10/87/1124031087.db2.gz ZOERQQAJJZGZLR-QMMMGPOBSA-N 1 2 283.240 3.719 20 0 CHADLO CC[C@H](Nc1cccc[nH+]1)c1cccc(OC)c1OC ZINC000866140663 1124033847 /nfs/dbraw/zinc/03/38/47/1124033847.db2.gz LFSBPCOABYOMQB-ZDUSSCGKSA-N 1 2 272.348 3.662 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)cc1F ZINC000866221440 1124038413 /nfs/dbraw/zinc/03/84/13/1124038413.db2.gz RDTBIGSJLNXAPH-RDJZCZTQSA-N 1 2 289.325 3.696 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@@H]1F)c1cccs1 ZINC000866217446 1124039065 /nfs/dbraw/zinc/03/90/65/1124039065.db2.gz NNEATGCETBIHMY-ITDIGPHOSA-N 1 2 277.364 3.871 20 0 CHADLO Cc1cc(N[C@@H](C)c2cc3cnccc3o2)nc(C2CC2)[nH+]1 ZINC000866265211 1124043484 /nfs/dbraw/zinc/04/34/84/1124043484.db2.gz YJUIUGHFIUCWEV-NSHDSACASA-N 1 2 294.358 3.977 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)CCO[C@@H]1CCCCO1 ZINC000444589942 1124044233 /nfs/dbraw/zinc/04/42/33/1124044233.db2.gz LEFSCPYWUHNJQI-SWLSCSKDSA-N 1 2 299.361 3.501 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)CCO[C@@H]1CCCCO1 ZINC000444589942 1124044239 /nfs/dbraw/zinc/04/42/39/1124044239.db2.gz LEFSCPYWUHNJQI-SWLSCSKDSA-N 1 2 299.361 3.501 20 0 CHADLO C[C@@H]([NH2+][C@H]1c2ccccc2OC[C@H]1F)c1ccccc1 ZINC000866293896 1124048436 /nfs/dbraw/zinc/04/84/36/1124048436.db2.gz GUAMEWCSTCJSNL-VMGRFDJRSA-N 1 2 271.335 3.809 20 0 CHADLO CC(C)c1nnc(C[N@H+](C2CC2)[C@H]2CCc3ccccc32)[nH]1 ZINC000428373967 1124053143 /nfs/dbraw/zinc/05/31/43/1124053143.db2.gz MMYIFYVNCVQIOF-INIZCTEOSA-N 1 2 296.418 3.580 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C2CC2)[C@H]2CCc3ccccc32)[nH]1 ZINC000428373967 1124053147 /nfs/dbraw/zinc/05/31/47/1124053147.db2.gz MMYIFYVNCVQIOF-INIZCTEOSA-N 1 2 296.418 3.580 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccc(F)c(C)c2)o1 ZINC000444743543 1124058825 /nfs/dbraw/zinc/05/88/25/1124058825.db2.gz LZBJMQBSCUZDDC-LBPRGKRZSA-N 1 2 262.328 3.624 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccc(F)c(C)c2)o1 ZINC000444743543 1124058827 /nfs/dbraw/zinc/05/88/27/1124058827.db2.gz LZBJMQBSCUZDDC-LBPRGKRZSA-N 1 2 262.328 3.624 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2ccc(C(C)(C)C)o2)c2nccn21 ZINC000866573312 1124084288 /nfs/dbraw/zinc/08/42/88/1124084288.db2.gz HPYQGBDHKCFTGG-WCQYABFASA-N 1 2 273.380 3.569 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccccc2Cl)cn1 ZINC000428468229 1124131790 /nfs/dbraw/zinc/13/17/90/1124131790.db2.gz NGNMDCWQVZZMMU-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccccc2Cl)cn1 ZINC000428468229 1124131793 /nfs/dbraw/zinc/13/17/93/1124131793.db2.gz NGNMDCWQVZZMMU-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+](C)Cc1ccccc1F ZINC000428468184 1124132747 /nfs/dbraw/zinc/13/27/47/1124132747.db2.gz MWOADGMGRAOZLV-UHFFFAOYSA-N 1 2 276.355 3.878 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+](C)Cc1ccccc1F ZINC000428468184 1124132748 /nfs/dbraw/zinc/13/27/48/1124132748.db2.gz MWOADGMGRAOZLV-UHFFFAOYSA-N 1 2 276.355 3.878 20 0 CHADLO CC(C)C[C@@H](C(=O)N(C)[C@H](C)c1ccccc1)n1cc[nH+]c1 ZINC000635148770 1129173822 /nfs/dbraw/zinc/17/38/22/1129173822.db2.gz BSZBCFNGFLAZBO-WBVHZDCISA-N 1 2 299.418 3.690 20 0 CHADLO Cc1ccccc1[C@@H](O)CNc1ccc([NH+](C)C)c(C)c1 ZINC000819408023 1131245114 /nfs/dbraw/zinc/24/51/14/1131245114.db2.gz HRLBBZOPXRHGEU-SFHVURJKSA-N 1 2 284.403 3.515 20 0 CHADLO Cc1cc(F)cc(C[N@H+](C)Cc2cccnc2)c1Cl ZINC001238778475 1131245120 /nfs/dbraw/zinc/24/51/20/1131245120.db2.gz XQDRCBURUCESKD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)cc(C[N@@H+](C)Cc2cccnc2)c1Cl ZINC001238778475 1131245123 /nfs/dbraw/zinc/24/51/23/1131245123.db2.gz XQDRCBURUCESKD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(NC[C@@H](O)[C@@H](C)c2ccccc2)ccc1[NH+](C)C ZINC000819407849 1131245818 /nfs/dbraw/zinc/24/58/18/1131245818.db2.gz CXCZAMSEZVXPQS-HNAYVOBHSA-N 1 2 298.430 3.638 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001238781643 1131246611 /nfs/dbraw/zinc/24/66/11/1131246611.db2.gz RGSXJMVEFIFTDW-RNCFNFMXSA-N 1 2 259.727 3.577 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001238781643 1131246614 /nfs/dbraw/zinc/24/66/14/1131246614.db2.gz RGSXJMVEFIFTDW-RNCFNFMXSA-N 1 2 259.727 3.577 20 0 CHADLO COc1cccc([C@@H]2CCCN2c2cc[nH+]cc2F)c1 ZINC001119338939 1131251949 /nfs/dbraw/zinc/25/19/49/1131251949.db2.gz MOLWGAYGBXFLKT-HNNXBMFYSA-N 1 2 272.323 3.571 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2cnn(C)c2)c(Cl)c1 ZINC000447310809 1124461548 /nfs/dbraw/zinc/46/15/48/1124461548.db2.gz BMPNAPCZZBQIJN-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2cnn(C)c2)c(Cl)c1 ZINC000447310809 1124461552 /nfs/dbraw/zinc/46/15/52/1124461552.db2.gz BMPNAPCZZBQIJN-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000867943206 1124451632 /nfs/dbraw/zinc/45/16/32/1124451632.db2.gz OWIKNDDRURIAMR-FAAHXZRKSA-N 1 2 295.386 3.616 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CCc2cccc(F)c2[C@H]1C ZINC000525978492 1124488803 /nfs/dbraw/zinc/48/88/03/1124488803.db2.gz MEDJMJKWZKZQDT-CYBMUJFWSA-N 1 2 287.382 3.722 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CCc2cccc(F)c2[C@H]1C ZINC000525978492 1124488807 /nfs/dbraw/zinc/48/88/07/1124488807.db2.gz MEDJMJKWZKZQDT-CYBMUJFWSA-N 1 2 287.382 3.722 20 0 CHADLO C[C@@H]1OCC[N@H+](CCCc2c(F)cccc2Cl)[C@H]1C ZINC000447744627 1124519030 /nfs/dbraw/zinc/51/90/30/1124519030.db2.gz KTWQXMLZPKQZRD-RYUDHWBXSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@@H]1OCC[N@@H+](CCCc2c(F)cccc2Cl)[C@H]1C ZINC000447744627 1124519034 /nfs/dbraw/zinc/51/90/34/1124519034.db2.gz KTWQXMLZPKQZRD-RYUDHWBXSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000447833937 1124533320 /nfs/dbraw/zinc/53/33/20/1124533320.db2.gz JRUNUTJILPRELO-IAQYHMDHSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000447833937 1124533330 /nfs/dbraw/zinc/53/33/30/1124533330.db2.gz JRUNUTJILPRELO-IAQYHMDHSA-N 1 2 283.362 3.773 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000339099781 1124548758 /nfs/dbraw/zinc/54/87/58/1124548758.db2.gz QHPFHOBNZHBQBT-YVEFUNNKSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000339099781 1124548759 /nfs/dbraw/zinc/54/87/59/1124548759.db2.gz QHPFHOBNZHBQBT-YVEFUNNKSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@]3(C)CCC[C@@H]3C)cc2[nH+]1 ZINC000448625364 1124600161 /nfs/dbraw/zinc/60/01/61/1124600161.db2.gz NESCMXBQANYJMM-QFYYESIMSA-N 1 2 271.364 3.636 20 0 CHADLO C[C@@H]1CCC[C@@]1(C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000448646594 1124601394 /nfs/dbraw/zinc/60/13/94/1124601394.db2.gz IHAYDXFVCMHZFX-CXAGYDPISA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H]1CCC[C@]1(C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000448646596 1124601490 /nfs/dbraw/zinc/60/14/90/1124601490.db2.gz IHAYDXFVCMHZFX-GUYCJALGSA-N 1 2 283.375 3.637 20 0 CHADLO CC(C)(C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1CCC1 ZINC000448841668 1124620497 /nfs/dbraw/zinc/62/04/97/1124620497.db2.gz VLXMXOIXXIJCJF-UHFFFAOYSA-N 1 2 283.375 3.842 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccncc2F)C2CC2)cc1 ZINC000448991014 1124628586 /nfs/dbraw/zinc/62/85/86/1124628586.db2.gz IMMYMZOPWUFMMO-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccncc2F)C2CC2)cc1 ZINC000448991014 1124628590 /nfs/dbraw/zinc/62/85/90/1124628590.db2.gz IMMYMZOPWUFMMO-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nc3ccccc3n2C)cs1 ZINC000449036178 1124637155 /nfs/dbraw/zinc/63/71/55/1124637155.db2.gz RQQRAXWJOCGEFZ-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nc3ccccc3n2C)cs1 ZINC000449036178 1124637160 /nfs/dbraw/zinc/63/71/60/1124637160.db2.gz RQQRAXWJOCGEFZ-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CCCC[C@@H]1c1nccs1 ZINC000528366735 1124648983 /nfs/dbraw/zinc/64/89/83/1124648983.db2.gz PLVZMFFEJAZSQR-GULOHRGCSA-N 1 2 256.802 3.813 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CCCC[C@@H]1c1nccs1 ZINC000528366735 1124648987 /nfs/dbraw/zinc/64/89/87/1124648987.db2.gz PLVZMFFEJAZSQR-GULOHRGCSA-N 1 2 256.802 3.813 20 0 CHADLO Cc1ccc2sc(C[N@H+](C)CCC(F)(F)F)nc2c1 ZINC000528367748 1124649006 /nfs/dbraw/zinc/64/90/06/1124649006.db2.gz YTPQEAMWMZVVTI-UHFFFAOYSA-N 1 2 288.338 3.989 20 0 CHADLO Cc1ccc2sc(C[N@@H+](C)CCC(F)(F)F)nc2c1 ZINC000528367748 1124649010 /nfs/dbraw/zinc/64/90/10/1124649010.db2.gz YTPQEAMWMZVVTI-UHFFFAOYSA-N 1 2 288.338 3.989 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]Cc1ncccc1Cl ZINC000528434462 1124665503 /nfs/dbraw/zinc/66/55/03/1124665503.db2.gz NWLLKIPYBLVSFC-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO CC[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@H]1CCCOC1 ZINC000872055002 1124667448 /nfs/dbraw/zinc/66/74/48/1124667448.db2.gz DMSYVAROMQYDFR-CRAIPNDOSA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@@H]1CCCOC1 ZINC000872055002 1124667451 /nfs/dbraw/zinc/66/74/51/1124667451.db2.gz DMSYVAROMQYDFR-CRAIPNDOSA-N 1 2 288.435 3.904 20 0 CHADLO COCC[C@@H]([NH2+][C@@H](C)c1cccc(O)c1F)c1ccco1 ZINC000872060577 1124670401 /nfs/dbraw/zinc/67/04/01/1124670401.db2.gz RJTFAFCAVJOEMT-WCQYABFASA-N 1 2 293.338 3.553 20 0 CHADLO Cc1ccc([C@@H]2[C@H](C)CCC[N@@H+]2Cc2cnsn2)cc1 ZINC001307489597 1124675286 /nfs/dbraw/zinc/67/52/86/1124675286.db2.gz KRLQFWDMEXVDGS-CJNGLKHVSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@@H]2[C@H](C)CCC[N@H+]2Cc2cnsn2)cc1 ZINC001307489597 1124675291 /nfs/dbraw/zinc/67/52/91/1124675291.db2.gz KRLQFWDMEXVDGS-CJNGLKHVSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ncc(Cl)s2)c(C)n1 ZINC000872114708 1124686894 /nfs/dbraw/zinc/68/68/94/1124686894.db2.gz AWQQELZKKUGKEA-SECBINFHSA-N 1 2 281.812 3.659 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccccc1N(C)C ZINC000872114774 1124687324 /nfs/dbraw/zinc/68/73/24/1124687324.db2.gz CDXXXKMZXBAJAO-SNVBAGLBSA-N 1 2 295.839 3.713 20 0 CHADLO COc1ccc2nc(C[N@@H+]3CCC[C@](C)(F)C3)sc2c1 ZINC000449352390 1124698513 /nfs/dbraw/zinc/69/85/13/1124698513.db2.gz UQVHBFXFMKPVHW-HNNXBMFYSA-N 1 2 294.395 3.629 20 0 CHADLO COc1ccc2nc(C[N@H+]3CCC[C@](C)(F)C3)sc2c1 ZINC000449352390 1124698518 /nfs/dbraw/zinc/69/85/18/1124698518.db2.gz UQVHBFXFMKPVHW-HNNXBMFYSA-N 1 2 294.395 3.629 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(OC(C)C)c1)C(F)F ZINC000449365216 1124700192 /nfs/dbraw/zinc/70/01/92/1124700192.db2.gz VLDWUSHYPAYHBE-ZDUSSCGKSA-N 1 2 257.324 3.607 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C)c(Br)s1)C(F)F ZINC000449358761 1124709353 /nfs/dbraw/zinc/70/93/53/1124709353.db2.gz GKJOCRPSFITHPX-QMMMGPOBSA-N 1 2 298.196 3.952 20 0 CHADLO CCCOc1cccc(C[NH2+][C@@H](CC)C(F)F)c1 ZINC000449359013 1124710154 /nfs/dbraw/zinc/71/01/54/1124710154.db2.gz HETAIIMABUURAD-ZDUSSCGKSA-N 1 2 257.324 3.609 20 0 CHADLO CCCOc1cc(C[NH2+][C@@H](CC)C(F)F)ccc1OC ZINC000449360181 1124711032 /nfs/dbraw/zinc/71/10/32/1124711032.db2.gz IZOBZKMTXZKTRQ-LBPRGKRZSA-N 1 2 287.350 3.617 20 0 CHADLO CCCOc1ccc(C[NH2+][C@@H](CC)C(F)F)cc1OC ZINC000449360187 1124711376 /nfs/dbraw/zinc/71/13/76/1124711376.db2.gz IZQYIJVVSFQLMU-LBPRGKRZSA-N 1 2 287.350 3.617 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+]1CC(C)(C)[C@@H]1c1nccn1C ZINC000449416632 1124723432 /nfs/dbraw/zinc/72/34/32/1124723432.db2.gz URQCNDDRBPCDGT-KSVUCXBSSA-N 1 2 295.430 3.907 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+]1CC(C)(C)[C@@H]1c1nccn1C ZINC000449416632 1124723442 /nfs/dbraw/zinc/72/34/42/1124723442.db2.gz URQCNDDRBPCDGT-KSVUCXBSSA-N 1 2 295.430 3.907 20 0 CHADLO CCOC1CC2(C[C@H]2Nc2cc[nH+]c3c(OC)cccc23)C1 ZINC000872775343 1124728403 /nfs/dbraw/zinc/72/84/03/1124728403.db2.gz CZYNSSTVBYCQNM-OKZIQPOMSA-N 1 2 298.386 3.613 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2noc3c2CCCC3)C2CCC2)nc1 ZINC000528648900 1124738753 /nfs/dbraw/zinc/73/87/53/1124738753.db2.gz KIHCHMZJFGCRMQ-SFHVURJKSA-N 1 2 297.402 3.579 20 0 CHADLO CC1(C)CCc2onc(C[NH2+]Cc3ccsc3)c2C1 ZINC000873175736 1124744973 /nfs/dbraw/zinc/74/49/73/1124744973.db2.gz PDCCEJBGHQDKDV-UHFFFAOYSA-N 1 2 276.405 3.541 20 0 CHADLO CC(C)n1ccnc1CNc1[nH]c2ccc(Cl)cc2[nH+]1 ZINC000873189088 1124749357 /nfs/dbraw/zinc/74/93/57/1124749357.db2.gz NUTZKFQCVJICIQ-UHFFFAOYSA-N 1 2 289.770 3.606 20 0 CHADLO CN(c1ccccc1)C1CC[NH+](C/C(Cl)=C/Cl)CC1 ZINC000124451034 1124754232 /nfs/dbraw/zinc/75/42/32/1124754232.db2.gz MTUHSMADUMGNDZ-QBFSEMIESA-N 1 2 299.245 3.906 20 0 CHADLO Cn1cc(Cl)c(C[NH2+]Cc2ccc(C3CCC3)cc2)n1 ZINC000449482220 1124762529 /nfs/dbraw/zinc/76/25/29/1124762529.db2.gz XXIWCEZYZJDEKN-UHFFFAOYSA-N 1 2 289.810 3.631 20 0 CHADLO Cc1cc(F)cc(N2CCC[C@@H](CC(F)(F)F)C2)[nH+]1 ZINC001120942294 1131286996 /nfs/dbraw/zinc/28/69/96/1131286996.db2.gz VFVGIFPSJZOXTK-JTQLQIEISA-N 1 2 276.277 3.698 20 0 CHADLO Cc1cccc(OC(=O)c2ccc(-n3cc[nH+]c3)cc2)c1C ZINC001122493279 1131300058 /nfs/dbraw/zinc/30/00/58/1131300058.db2.gz NTZJPHZWJOVUJH-UHFFFAOYSA-N 1 2 292.338 3.708 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cc2ccccc2o1 ZINC000449562080 1124803846 /nfs/dbraw/zinc/80/38/46/1124803846.db2.gz GPTZOKKTKISMFB-GFCCVEGCSA-N 1 2 269.348 3.500 20 0 CHADLO Cn1ccc(C[N@@H+]2CCCC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000449573610 1124808232 /nfs/dbraw/zinc/80/82/32/1124808232.db2.gz RJGISEQTPXFNNZ-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1ccc(C[N@H+]2CCCC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000449573610 1124808238 /nfs/dbraw/zinc/80/82/38/1124808238.db2.gz RJGISEQTPXFNNZ-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2sccc2Cl)o1 ZINC000449613592 1124816831 /nfs/dbraw/zinc/81/68/31/1124816831.db2.gz SMIITWHXIWQMGA-UHFFFAOYSA-N 1 2 270.785 3.632 20 0 CHADLO CCc1ccc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000449638683 1124834459 /nfs/dbraw/zinc/83/44/59/1124834459.db2.gz LQJKLQKYALSHAC-QGZVFWFLSA-N 1 2 282.387 3.964 20 0 CHADLO CCc1ccc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000449638683 1124834466 /nfs/dbraw/zinc/83/44/66/1124834466.db2.gz LQJKLQKYALSHAC-QGZVFWFLSA-N 1 2 282.387 3.964 20 0 CHADLO c1csc([C@@H]2CCC[N@H+]2Cc2nnc(C3CC3)s2)c1 ZINC000450217884 1124948426 /nfs/dbraw/zinc/94/84/26/1124948426.db2.gz VNFNUPHHJMLJMC-NSHDSACASA-N 1 2 291.445 3.814 20 0 CHADLO c1csc([C@@H]2CCC[N@@H+]2Cc2nnc(C3CC3)s2)c1 ZINC000450217884 1124948429 /nfs/dbraw/zinc/94/84/29/1124948429.db2.gz VNFNUPHHJMLJMC-NSHDSACASA-N 1 2 291.445 3.814 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cnc2c(F)cccc2c1 ZINC000876531514 1124959683 /nfs/dbraw/zinc/95/96/83/1124959683.db2.gz IEYNCJYGVFWNGS-CYBMUJFWSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cnc2c(F)cccc2c1 ZINC000876531514 1124959687 /nfs/dbraw/zinc/95/96/87/1124959687.db2.gz IEYNCJYGVFWNGS-CYBMUJFWSA-N 1 2 295.361 3.752 20 0 CHADLO CCCOc1ccc(C[N@H+](C)Cc2cc(C)on2)cc1C ZINC000876597248 1124964413 /nfs/dbraw/zinc/96/44/13/1124964413.db2.gz NESFYPSFHLVPIF-UHFFFAOYSA-N 1 2 288.391 3.712 20 0 CHADLO CCCOc1ccc(C[N@@H+](C)Cc2cc(C)on2)cc1C ZINC000876597248 1124964419 /nfs/dbraw/zinc/96/44/19/1124964419.db2.gz NESFYPSFHLVPIF-UHFFFAOYSA-N 1 2 288.391 3.712 20 0 CHADLO F[C@@H]1CCC2(C1)CC[NH+](Cc1ncc(Cl)s1)CC2 ZINC001137111623 1124972715 /nfs/dbraw/zinc/97/27/15/1124972715.db2.gz CWJQCZNYAHRDIY-SNVBAGLBSA-N 1 2 288.819 3.901 20 0 CHADLO Clc1ccc(C[N@H+]2CCCCC[C@@H]2c2ccco2)nn1 ZINC000876742380 1124975398 /nfs/dbraw/zinc/97/53/98/1124975398.db2.gz XMFYKBOEYHSENZ-CYBMUJFWSA-N 1 2 291.782 3.840 20 0 CHADLO Clc1ccc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)nn1 ZINC000876742380 1124975396 /nfs/dbraw/zinc/97/53/96/1124975396.db2.gz XMFYKBOEYHSENZ-CYBMUJFWSA-N 1 2 291.782 3.840 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+](C)Cc1nccs1 ZINC000876757842 1124975843 /nfs/dbraw/zinc/97/58/43/1124975843.db2.gz FMUPKECHIMOIFB-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+](C)Cc1nccs1 ZINC000876757842 1124975848 /nfs/dbraw/zinc/97/58/48/1124975848.db2.gz FMUPKECHIMOIFB-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CCO[P@@](C)(=O)CC[C@H](C)[NH2+]c1ccc(N(C)C)cc1 ZINC000876836852 1124983969 /nfs/dbraw/zinc/98/39/69/1124983969.db2.gz BYRFYYHEVUEZFU-RNODOKPDSA-N 1 2 298.367 3.888 20 0 CHADLO CCO[P@@](C)(=O)CC[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000876836852 1124983975 /nfs/dbraw/zinc/98/39/75/1124983975.db2.gz BYRFYYHEVUEZFU-RNODOKPDSA-N 1 2 298.367 3.888 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]c2ccc(N3CCSCC3)cc2)CS1 ZINC000876863415 1124985260 /nfs/dbraw/zinc/98/52/60/1124985260.db2.gz FFIFRZNANDQPSZ-TZMCWYRMSA-N 1 2 294.489 3.546 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]c2ccc(N3CCSCC3)cc2)CS1 ZINC000876863414 1124985405 /nfs/dbraw/zinc/98/54/05/1124985405.db2.gz FFIFRZNANDQPSZ-OCCSQVGLSA-N 1 2 294.489 3.546 20 0 CHADLO CCOc1ccc(NCc2c[nH+]cn2C(C)C)cc1F ZINC000126346696 1125010255 /nfs/dbraw/zinc/01/02/55/1125010255.db2.gz MHBLQUQIKOIHMM-UHFFFAOYSA-N 1 2 277.343 3.614 20 0 CHADLO Clc1csc(C[NH+]2CCC(c3ccncc3)CC2)n1 ZINC000877521697 1125038102 /nfs/dbraw/zinc/03/81/02/1125038102.db2.gz AAILTUDXFGEFJT-UHFFFAOYSA-N 1 2 293.823 3.571 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@@H](C)c2csnn2)c1C ZINC000450673854 1125083805 /nfs/dbraw/zinc/08/38/05/1125083805.db2.gz QWNLUPYYTDAAIL-RYUDHWBXSA-N 1 2 261.394 3.567 20 0 CHADLO Clc1ncsc1C[N@H+](Cc1ccccc1)C1CC1 ZINC000877917194 1125101321 /nfs/dbraw/zinc/10/13/21/1125101321.db2.gz URQURUXUBPTLES-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Clc1ncsc1C[N@@H+](Cc1ccccc1)C1CC1 ZINC000877917194 1125101324 /nfs/dbraw/zinc/10/13/24/1125101324.db2.gz URQURUXUBPTLES-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Cc1nc(C[NH+]2CCCCCC2)sc1Br ZINC000877942861 1125105742 /nfs/dbraw/zinc/10/57/42/1125105742.db2.gz RZDLJYCKIGDHTA-UHFFFAOYSA-N 1 2 289.242 3.590 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)[C@H](C)[NH+]1C2CCC1CC2 ZINC000877950709 1125105800 /nfs/dbraw/zinc/10/58/00/1125105800.db2.gz DOXAMVGTARLQBK-HIFPTAJRSA-N 1 2 292.810 3.602 20 0 CHADLO c1cc([C@@H]2CCC[N@H+]2Cc2nc3c(o2)CCCC3)cs1 ZINC000877961024 1125106713 /nfs/dbraw/zinc/10/67/13/1125106713.db2.gz HFHZYMHRQJDILU-AWEZNQCLSA-N 1 2 288.416 3.952 20 0 CHADLO c1cc([C@@H]2CCC[N@@H+]2Cc2nc3c(o2)CCCC3)cs1 ZINC000877961024 1125106716 /nfs/dbraw/zinc/10/67/16/1125106716.db2.gz HFHZYMHRQJDILU-AWEZNQCLSA-N 1 2 288.416 3.952 20 0 CHADLO CC[N@H+](Cc1scnc1Cl)Cc1ccc(OC)cc1 ZINC000877972077 1125108864 /nfs/dbraw/zinc/10/88/64/1125108864.db2.gz VSPXZULTJUMPLN-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC[N@@H+](Cc1scnc1Cl)Cc1ccc(OC)cc1 ZINC000877972077 1125108865 /nfs/dbraw/zinc/10/88/65/1125108865.db2.gz VSPXZULTJUMPLN-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(C)c(Cl)n2)on1 ZINC000877970939 1125109199 /nfs/dbraw/zinc/10/91/99/1125109199.db2.gz DVJYSIXVMSRZTO-CYBMUJFWSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(C)c(Cl)n2)on1 ZINC000877970939 1125109203 /nfs/dbraw/zinc/10/92/03/1125109203.db2.gz DVJYSIXVMSRZTO-CYBMUJFWSA-N 1 2 291.782 3.677 20 0 CHADLO c1cc2c(s1)CCC[N@@H+](Cc1nc3c(o1)CCCC3)C2 ZINC000878085258 1125118370 /nfs/dbraw/zinc/11/83/70/1125118370.db2.gz PUAWRFFNJURCHT-UHFFFAOYSA-N 1 2 288.416 3.563 20 0 CHADLO c1cc2c(s1)CCC[N@H+](Cc1nc3c(o1)CCCC3)C2 ZINC000878085258 1125118374 /nfs/dbraw/zinc/11/83/74/1125118374.db2.gz PUAWRFFNJURCHT-UHFFFAOYSA-N 1 2 288.416 3.563 20 0 CHADLO CCC(F)(F)C[N@@H+]1CCC[C@@H]1C[C@@H](O)c1cccs1 ZINC000878174923 1125126967 /nfs/dbraw/zinc/12/69/67/1125126967.db2.gz VKRZGAYSSSIFNL-VXGBXAGGSA-N 1 2 289.391 3.681 20 0 CHADLO CCC(F)(F)C[N@H+]1CCC[C@@H]1C[C@@H](O)c1cccs1 ZINC000878174923 1125126969 /nfs/dbraw/zinc/12/69/69/1125126969.db2.gz VKRZGAYSSSIFNL-VXGBXAGGSA-N 1 2 289.391 3.681 20 0 CHADLO CSc1ccccc1NC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001124260960 1131334842 /nfs/dbraw/zinc/33/48/42/1131334842.db2.gz DTNZXDPLAAQVHV-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)c1ccc(Cl)cc1 ZINC000023889501 1125158954 /nfs/dbraw/zinc/15/89/54/1125158954.db2.gz UEYYFDQXIRZHQV-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1nocc1C ZINC000878557459 1125161131 /nfs/dbraw/zinc/16/11/31/1125161131.db2.gz UWDDWRKADBXJCC-LLVKDONJSA-N 1 2 262.378 3.556 20 0 CHADLO CCc1cc(OCc2cncc(F)c2)c2ccccc2[nH+]1 ZINC000530526855 1125161700 /nfs/dbraw/zinc/16/17/00/1125161700.db2.gz CJAVFRROFGTIOL-UHFFFAOYSA-N 1 2 282.318 3.910 20 0 CHADLO C[C@H]1CC[C@H](CC(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000122012343 1125169323 /nfs/dbraw/zinc/16/93/23/1125169323.db2.gz SFKWMHQCZGHHRG-KBPBESRZSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1conc1C[NH2+][C@H](c1ccccc1F)C(C)C ZINC000878918635 1125186338 /nfs/dbraw/zinc/18/63/38/1125186338.db2.gz TZQJVSILRDWYKO-HNNXBMFYSA-N 1 2 262.328 3.609 20 0 CHADLO Cc1conc1C[N@@H+](Cc1ccccc1F)CC1CC1 ZINC000878951897 1125187800 /nfs/dbraw/zinc/18/78/00/1125187800.db2.gz RLEDKHAXZPETQU-UHFFFAOYSA-N 1 2 274.339 3.534 20 0 CHADLO Cc1conc1C[N@H+](Cc1ccccc1F)CC1CC1 ZINC000878951897 1125187804 /nfs/dbraw/zinc/18/78/04/1125187804.db2.gz RLEDKHAXZPETQU-UHFFFAOYSA-N 1 2 274.339 3.534 20 0 CHADLO Clc1ccc(Cl)c(SCCn2cc[nH+]c2)c1 ZINC000122505708 1125192127 /nfs/dbraw/zinc/19/21/27/1125192127.db2.gz VUMXTMMCOFHOHQ-UHFFFAOYSA-N 1 2 273.188 3.982 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1cc(C(F)(F)F)co1 ZINC000879052976 1125193882 /nfs/dbraw/zinc/19/38/82/1125193882.db2.gz LYFADQKPOFEEEZ-QMMMGPOBSA-N 1 2 283.240 3.918 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1cc(C(F)(F)F)co1 ZINC000879052976 1125193885 /nfs/dbraw/zinc/19/38/85/1125193885.db2.gz LYFADQKPOFEEEZ-QMMMGPOBSA-N 1 2 283.240 3.918 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1cc(F)ccc1F ZINC000531472434 1125223622 /nfs/dbraw/zinc/22/36/22/1125223622.db2.gz CXAWHSFSRKTRCJ-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1cc(F)ccc1F ZINC000531472434 1125223630 /nfs/dbraw/zinc/22/36/30/1125223630.db2.gz CXAWHSFSRKTRCJ-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+](C)Cc1ccc2cc[nH]c2c1 ZINC000879725925 1125228799 /nfs/dbraw/zinc/22/87/99/1125228799.db2.gz DLMSTHKBAKNCST-LLVKDONJSA-N 1 2 296.374 3.621 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+](C)Cc1ccc2cc[nH]c2c1 ZINC000879725925 1125228803 /nfs/dbraw/zinc/22/88/03/1125228803.db2.gz DLMSTHKBAKNCST-LLVKDONJSA-N 1 2 296.374 3.621 20 0 CHADLO CC(C)(CC(=O)Nc1ccn2cc[nH+]c2c1)CC(F)(F)F ZINC000879838047 1125235151 /nfs/dbraw/zinc/23/51/51/1125235151.db2.gz NHVOMSUTCVMJMY-UHFFFAOYSA-N 1 2 299.296 3.642 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC[C@](C)(C(F)(F)F)C2)o1 ZINC000880002501 1125243652 /nfs/dbraw/zinc/24/36/52/1125243652.db2.gz ZEERATXBTOJBQC-LBPRGKRZSA-N 1 2 276.302 3.572 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC[C@](C)(C(F)(F)F)C2)o1 ZINC000880002501 1125243655 /nfs/dbraw/zinc/24/36/55/1125243655.db2.gz ZEERATXBTOJBQC-LBPRGKRZSA-N 1 2 276.302 3.572 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CC[C@@](C)(C(F)(F)F)C2)n1 ZINC000880001636 1125244044 /nfs/dbraw/zinc/24/40/44/1125244044.db2.gz XMSWCNLTLVOKDJ-JOYOIKCWSA-N 1 2 291.317 3.528 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CC[C@@](C)(C(F)(F)F)C2)n1 ZINC000880001636 1125244046 /nfs/dbraw/zinc/24/40/46/1125244046.db2.gz XMSWCNLTLVOKDJ-JOYOIKCWSA-N 1 2 291.317 3.528 20 0 CHADLO Cc1cccc2c(C[N@@H+]3CCC[C@H]3c3nccs3)[nH]nc21 ZINC001137149497 1125248184 /nfs/dbraw/zinc/24/81/84/1125248184.db2.gz WEVPEEIMTCEAQM-AWEZNQCLSA-N 1 2 298.415 3.665 20 0 CHADLO Cc1cccc2c(C[N@H+]3CCC[C@H]3c3nccs3)[nH]nc21 ZINC001137149497 1125248189 /nfs/dbraw/zinc/24/81/89/1125248189.db2.gz WEVPEEIMTCEAQM-AWEZNQCLSA-N 1 2 298.415 3.665 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2cc(Cl)nc(Cl)c2)C1 ZINC000880235051 1125257901 /nfs/dbraw/zinc/25/79/01/1125257901.db2.gz XQEOLKHROVMCFN-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2cc(Cl)nc(Cl)c2)C1 ZINC000880235051 1125257908 /nfs/dbraw/zinc/25/79/08/1125257908.db2.gz XQEOLKHROVMCFN-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO CN(C)c1cc(CSCCc2cccs2)cc[nH+]1 ZINC000128235149 1125253080 /nfs/dbraw/zinc/25/30/80/1125253080.db2.gz NLJGNCZAYOPGIT-UHFFFAOYSA-N 1 2 278.446 3.685 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(F)c(C(F)(F)F)c1 ZINC000090172683 1125267902 /nfs/dbraw/zinc/26/79/02/1125267902.db2.gz MKLCDRPHMDTYEI-UHFFFAOYSA-N 1 2 287.260 3.673 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1cncc(Cl)c1 ZINC000880375078 1125268656 /nfs/dbraw/zinc/26/86/56/1125268656.db2.gz AELJLEYRZNAWBX-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1cncc(Cl)c1 ZINC000880375078 1125268658 /nfs/dbraw/zinc/26/86/58/1125268658.db2.gz AELJLEYRZNAWBX-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO FCCCC[NH2+][C@H](c1cccc(Cl)c1)C(F)F ZINC000880534624 1125278004 /nfs/dbraw/zinc/27/80/04/1125278004.db2.gz SKUWLKYQESOHJV-LLVKDONJSA-N 1 2 265.706 3.986 20 0 CHADLO Cc1cccc(-c2n[nH]cc2CNc2cc[nH+]cc2F)c1 ZINC000192149170 1125331366 /nfs/dbraw/zinc/33/13/66/1125331366.db2.gz CZVIRCSLRDYAMO-UHFFFAOYSA-N 1 2 282.322 3.531 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)[C@@H](C)c2cccnc2)c1 ZINC000192135379 1125331528 /nfs/dbraw/zinc/33/15/28/1125331528.db2.gz HWOUOKRSRYCWFM-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)[C@@H](C)c2cccnc2)c1 ZINC000192135379 1125331530 /nfs/dbraw/zinc/33/15/30/1125331530.db2.gz HWOUOKRSRYCWFM-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO CCOc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1ncccn1 ZINC000192161009 1125332278 /nfs/dbraw/zinc/33/22/78/1125332278.db2.gz UMGAEFOZVYTUJP-MRXNPFEDSA-N 1 2 299.418 3.752 20 0 CHADLO O=c1cccc(Nc2cc[nH+]c3cc(Cl)ccc23)[nH]1 ZINC001212789204 1125349482 /nfs/dbraw/zinc/34/94/82/1125349482.db2.gz XFACIAZCHXMBPB-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO CCC[C@H](C)CC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882873537 1125359928 /nfs/dbraw/zinc/35/99/28/1125359928.db2.gz CPHJMQQDLPCODS-DOTOQJQBSA-N 1 2 299.418 3.567 20 0 CHADLO COc1c(C)c[nH+]c(CSc2cccc(O)c2)c1C ZINC000130971188 1125371291 /nfs/dbraw/zinc/37/12/91/1125371291.db2.gz ZYMHUPGXROVUAY-UHFFFAOYSA-N 1 2 275.373 3.705 20 0 CHADLO Cc1cc(CNc2[nH+]c3ccccc3n2C(C)C)no1 ZINC000883215340 1125378698 /nfs/dbraw/zinc/37/86/98/1125378698.db2.gz WPHQHMANTZTBQP-UHFFFAOYSA-N 1 2 270.336 3.526 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCn2ccnc21)c1sccc1Cl ZINC000883225812 1125379758 /nfs/dbraw/zinc/37/97/58/1125379758.db2.gz NJNPIAOOBRYSNX-WDEREUQCSA-N 1 2 281.812 3.784 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@@H+]1CCC[C@H]1c1ccccn1 ZINC000532422638 1125380035 /nfs/dbraw/zinc/38/00/35/1125380035.db2.gz HITQNVMONBSFLF-SFHVURJKSA-N 1 2 296.418 3.730 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@H+]1CCC[C@H]1c1ccccn1 ZINC000532422638 1125380039 /nfs/dbraw/zinc/38/00/39/1125380039.db2.gz HITQNVMONBSFLF-SFHVURJKSA-N 1 2 296.418 3.730 20 0 CHADLO CC(C)(C)OC1CC[NH+](C/C(Cl)=C/Cl)CC1 ZINC000131284839 1125382686 /nfs/dbraw/zinc/38/26/86/1125382686.db2.gz CILJSKLFKAAPAO-NTMALXAHSA-N 1 2 266.212 3.585 20 0 CHADLO COC(=O)[C@H](c1ccc(Cl)cc1)[N@@H+]1CC[C@@H](C)[C@@H](C)C1 ZINC001168201134 1125392815 /nfs/dbraw/zinc/39/28/15/1125392815.db2.gz IRMLUBLJWJWYEQ-XUJVJEKNSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1ccc(Cl)cc1)[N@H+]1CC[C@@H](C)[C@@H](C)C1 ZINC001168201134 1125392818 /nfs/dbraw/zinc/39/28/18/1125392818.db2.gz IRMLUBLJWJWYEQ-XUJVJEKNSA-N 1 2 295.810 3.532 20 0 CHADLO Cc1ccc(C[NH2+]C2(c3ncccn3)CCC2)cc1Cl ZINC000883306201 1125393002 /nfs/dbraw/zinc/39/30/02/1125393002.db2.gz PKNCOBWRCGUBBO-UHFFFAOYSA-N 1 2 287.794 3.607 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]C2(c3ncccn3)CCC2)c(C)c1 ZINC000883311659 1125393520 /nfs/dbraw/zinc/39/35/20/1125393520.db2.gz IGOOTHQGBCHABT-CQSZACIVSA-N 1 2 297.402 3.524 20 0 CHADLO c1cnc(C2([NH2+][C@H]3CCSc4ccccc43)CCC2)nc1 ZINC000883314214 1125394290 /nfs/dbraw/zinc/39/42/90/1125394290.db2.gz YLBDDCHANMCTMG-AWEZNQCLSA-N 1 2 297.427 3.683 20 0 CHADLO CCC[NH2+][C@H](c1ccc(Br)cc1)C(F)F ZINC000621749477 1129184965 /nfs/dbraw/zinc/18/49/65/1129184965.db2.gz ZJQZMTHUCZODTG-SNVBAGLBSA-N 1 2 278.140 3.755 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@H]1F)c1ccco1 ZINC000883376442 1125404261 /nfs/dbraw/zinc/40/42/61/1125404261.db2.gz LDVOXOADIHXIJN-PEYYIBSZSA-N 1 2 259.324 3.956 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@H]2c3ccccc3CC[C@@H]2F)c(C)n1 ZINC000883375921 1125404401 /nfs/dbraw/zinc/40/44/01/1125404401.db2.gz BYFVLOOPAJIOPL-IGNZVWTISA-N 1 2 299.393 3.770 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cnc(C2CC2)o1 ZINC000883377583 1125404953 /nfs/dbraw/zinc/40/49/53/1125404953.db2.gz LHXJCKWIZBGFKU-UHFFFAOYSA-N 1 2 289.404 3.812 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2c3ccccc3CC[C@H]2F)o1 ZINC000883384207 1125407502 /nfs/dbraw/zinc/40/75/02/1125407502.db2.gz NFZVPHNAWZFUFX-CVEARBPZSA-N 1 2 259.324 3.703 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1cccc2c1OCO2 ZINC000883383872 1125407565 /nfs/dbraw/zinc/40/75/65/1125407565.db2.gz ICUIWIQEZMMGPH-WBVHZDCISA-N 1 2 299.345 3.531 20 0 CHADLO CC1(C)Nc2ccccc2[C@H]1[NH2+]Cc1coc(C2CC2)n1 ZINC000883464278 1125411319 /nfs/dbraw/zinc/41/13/19/1125411319.db2.gz JGTOSTDNWSVWII-OAHLLOKOSA-N 1 2 283.375 3.587 20 0 CHADLO Cc1csc(Nc2cccc3[nH+]c(C)ccc23)c1C(N)=O ZINC001212794920 1125413552 /nfs/dbraw/zinc/41/35/52/1125413552.db2.gz DFTPCZFANOFVKZ-UHFFFAOYSA-N 1 2 297.383 3.756 20 0 CHADLO Cc1cc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)sc1C ZINC000029910328 1125417764 /nfs/dbraw/zinc/41/77/64/1125417764.db2.gz KOLFJDCRGIPZJW-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO Cc1ccc2c(Nc3cc(Cl)ncc3CO)cccc2[nH+]1 ZINC001212795801 1125417848 /nfs/dbraw/zinc/41/78/48/1125417848.db2.gz CANZOUTWBWPGHP-UHFFFAOYSA-N 1 2 299.761 3.828 20 0 CHADLO Cc1ccc2c(Nc3c(C)ncnc3C)cccc2[nH+]1 ZINC001212796024 1125418396 /nfs/dbraw/zinc/41/83/96/1125418396.db2.gz NXOYMSHBIUVIQX-UHFFFAOYSA-N 1 2 264.332 3.694 20 0 CHADLO Cc1ccc2c(Nc3cnccc3F)cccc2[nH+]1 ZINC001212796796 1125428579 /nfs/dbraw/zinc/42/85/79/1125428579.db2.gz DDSFRLYAXQFNJL-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1nc([C@H](C)Nc2cc(C(C)C)[nH+]c(C(C)C)n2)no1 ZINC000161991095 1125438049 /nfs/dbraw/zinc/43/80/49/1125438049.db2.gz JRPUURKHZVNOJY-JTQLQIEISA-N 1 2 289.383 3.588 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@@H](C)c2ccon2)cc1F ZINC000348256260 1125446408 /nfs/dbraw/zinc/44/64/08/1125446408.db2.gz WCEMDWZTGVRIPL-UWVGGRQHSA-N 1 2 280.368 3.947 20 0 CHADLO Cc1cc([NH2+][C@@H]2CCC23CCC3)ccc1N1CCOCC1 ZINC000282858833 1125449048 /nfs/dbraw/zinc/44/90/48/1125449048.db2.gz UMTRYSKIAGCKLE-QGZVFWFLSA-N 1 2 286.419 3.576 20 0 CHADLO CC(C)C[C@H](C(=O)NC(C)(C)c1ccccc1)n1cc[nH+]c1 ZINC000635430350 1129181802 /nfs/dbraw/zinc/18/18/02/1129181802.db2.gz JZZHHZYIVBUNIJ-MRXNPFEDSA-N 1 2 299.418 3.522 20 0 CHADLO Cc1cccn2cc(C[N@@H+](Cc3ccco3)C(C)C)nc12 ZINC000621730052 1129188124 /nfs/dbraw/zinc/18/81/24/1129188124.db2.gz DMTIROJODVADAL-UHFFFAOYSA-N 1 2 283.375 3.646 20 0 CHADLO Cc1cccn2cc(C[N@H+](Cc3ccco3)C(C)C)nc12 ZINC000621730052 1129188125 /nfs/dbraw/zinc/18/81/25/1129188125.db2.gz DMTIROJODVADAL-UHFFFAOYSA-N 1 2 283.375 3.646 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2csc(Cl)c2Cl)C[C@@H]1CO ZINC000621737375 1129188793 /nfs/dbraw/zinc/18/87/93/1129188793.db2.gz PMEJRNBIMMBLGV-RKDXNWHRSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2csc(Cl)c2Cl)C[C@@H]1CO ZINC000621737375 1129188794 /nfs/dbraw/zinc/18/87/94/1129188794.db2.gz PMEJRNBIMMBLGV-RKDXNWHRSA-N 1 2 294.247 3.505 20 0 CHADLO Cc1cccc(F)c1NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000635769620 1129190642 /nfs/dbraw/zinc/19/06/42/1129190642.db2.gz FAPGXPXFLISOLA-AWEZNQCLSA-N 1 2 289.354 3.557 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc([NH+](C)C)cc2)C12CCC2 ZINC000087709468 1129191392 /nfs/dbraw/zinc/19/13/92/1129191392.db2.gz ARNDIANCXOIFIY-HOTGVXAUSA-N 1 2 274.408 3.512 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H](C)C(F)(F)F)c(C)[nH+]1 ZINC000636581627 1129204985 /nfs/dbraw/zinc/20/49/85/1129204985.db2.gz HEFTWZBUULZGCQ-QMMMGPOBSA-N 1 2 274.286 3.534 20 0 CHADLO CC1(C)CC[N@H+](Cc2csc(Cl)n2)CCS1 ZINC000296059585 1129220512 /nfs/dbraw/zinc/22/05/12/1129220512.db2.gz RAOSOQHUQREBLP-UHFFFAOYSA-N 1 2 276.858 3.514 20 0 CHADLO CC1(C)CC[N@@H+](Cc2csc(Cl)n2)CCS1 ZINC000296059585 1129220516 /nfs/dbraw/zinc/22/05/16/1129220516.db2.gz RAOSOQHUQREBLP-UHFFFAOYSA-N 1 2 276.858 3.514 20 0 CHADLO COc1cc(F)cc(OC)c1C[N@H+](C)[C@@H](C)c1ccco1 ZINC000637901882 1129235830 /nfs/dbraw/zinc/23/58/30/1129235830.db2.gz ILZFPICCKJPLBL-NSHDSACASA-N 1 2 293.338 3.629 20 0 CHADLO COc1cc(F)cc(OC)c1C[N@@H+](C)[C@@H](C)c1ccco1 ZINC000637901882 1129235834 /nfs/dbraw/zinc/23/58/34/1129235834.db2.gz ILZFPICCKJPLBL-NSHDSACASA-N 1 2 293.338 3.629 20 0 CHADLO C[C@H](c1ccco1)[N@H+]([C@H](c1nccn1C)C1CC1)C1CC1 ZINC000637972742 1129239179 /nfs/dbraw/zinc/23/91/79/1129239179.db2.gz VDPQUSSIZKLDFP-WBMJQRKESA-N 1 2 285.391 3.690 20 0 CHADLO C[C@H](c1ccco1)[N@@H+]([C@H](c1nccn1C)C1CC1)C1CC1 ZINC000637972742 1129239181 /nfs/dbraw/zinc/23/91/81/1129239181.db2.gz VDPQUSSIZKLDFP-WBMJQRKESA-N 1 2 285.391 3.690 20 0 CHADLO CC(C)[N@H+]([C@H](c1nc[nH]n1)C1CCCCC1)C1CCC1 ZINC000638110366 1129244090 /nfs/dbraw/zinc/24/40/90/1129244090.db2.gz KZNIDSYZNJHDLD-HNNXBMFYSA-N 1 2 276.428 3.689 20 0 CHADLO CC(C)[N@@H+]([C@H](c1nc[nH]n1)C1CCCCC1)C1CCC1 ZINC000638110366 1129244095 /nfs/dbraw/zinc/24/40/95/1129244095.db2.gz KZNIDSYZNJHDLD-HNNXBMFYSA-N 1 2 276.428 3.689 20 0 CHADLO CCCn1c(C)nn(C[N@H+](CCC(C)C)C2CC2)c1=S ZINC000089649859 1129244527 /nfs/dbraw/zinc/24/45/27/1129244527.db2.gz UTVALUYHDACRLC-UHFFFAOYSA-N 1 2 296.484 3.601 20 0 CHADLO CCCn1c(C)nn(C[N@@H+](CCC(C)C)C2CC2)c1=S ZINC000089649859 1129244528 /nfs/dbraw/zinc/24/45/28/1129244528.db2.gz UTVALUYHDACRLC-UHFFFAOYSA-N 1 2 296.484 3.601 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCOC[C@H]2C)cc1)C(C)(C)C ZINC000246073616 1129247093 /nfs/dbraw/zinc/24/70/93/1129247093.db2.gz FOXQYZNBCKBKJC-ZIAGYGMSSA-N 1 2 276.424 3.758 20 0 CHADLO Clc1cncc(C[NH+]2C3CCC2CC3)c1Cl ZINC001238007814 1129247503 /nfs/dbraw/zinc/24/75/03/1129247503.db2.gz DOYBCTHNKLNDRD-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO Clc1ncc(COc2cccc(-n3cc[nH+]c3)c2)s1 ZINC000089738523 1129250566 /nfs/dbraw/zinc/25/05/66/1129250566.db2.gz OEBWLWAGNYIGBQ-UHFFFAOYSA-N 1 2 291.763 3.561 20 0 CHADLO C[C@@H]1CCCC[C@@H]1[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000638298293 1129252865 /nfs/dbraw/zinc/25/28/65/1129252865.db2.gz AFYAWLULKPPLQF-SNPRPXQTSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1nn(C)c(Cl)c1CSCc1ccc(C)[nH+]c1C ZINC000184822967 1129255196 /nfs/dbraw/zinc/25/51/96/1129255196.db2.gz HEYVTTCHSYVREO-UHFFFAOYSA-N 1 2 295.839 3.827 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC001124772827 1131361419 /nfs/dbraw/zinc/36/14/19/1131361419.db2.gz PGZKYIHDXLHUCR-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(F)c(F)c(F)c2)sn1 ZINC000638845443 1129272090 /nfs/dbraw/zinc/27/20/90/1129272090.db2.gz PSRNWDOYIJWWRF-UHFFFAOYSA-N 1 2 286.322 3.501 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(F)c(F)c(F)c2)sn1 ZINC000638845443 1129272093 /nfs/dbraw/zinc/27/20/93/1129272093.db2.gz PSRNWDOYIJWWRF-UHFFFAOYSA-N 1 2 286.322 3.501 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1ccoc1 ZINC000348063375 1129278575 /nfs/dbraw/zinc/27/85/75/1129278575.db2.gz RQZKNDDRNVJTTL-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1ccoc1 ZINC000348063375 1129278580 /nfs/dbraw/zinc/27/85/80/1129278580.db2.gz RQZKNDDRNVJTTL-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO C[C@@H](CCC1CC1)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000639052093 1129278714 /nfs/dbraw/zinc/27/87/14/1129278714.db2.gz BJCIEJUPCXFANA-WFASDCNBSA-N 1 2 276.428 3.594 20 0 CHADLO C[N@H+](Cc1ccns1)Cc1ccc(F)c(Cl)c1 ZINC000639120021 1129284362 /nfs/dbraw/zinc/28/43/62/1129284362.db2.gz KSPXVAGQQBZPPF-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1ccns1)Cc1ccc(F)c(Cl)c1 ZINC000639120021 1129284366 /nfs/dbraw/zinc/28/43/66/1129284366.db2.gz KSPXVAGQQBZPPF-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2ccns2)c(F)c1 ZINC000639239987 1129296526 /nfs/dbraw/zinc/29/65/26/1129296526.db2.gz PJZNJHXWGFZLBZ-AWEZNQCLSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2ccns2)c(F)c1 ZINC000639239987 1129296531 /nfs/dbraw/zinc/29/65/31/1129296531.db2.gz PJZNJHXWGFZLBZ-AWEZNQCLSA-N 1 2 280.343 3.758 20 0 CHADLO CC(C)([NH2+][C@H](c1ncn[nH]1)C1CCCCC1)C1CCC1 ZINC000639249150 1129297469 /nfs/dbraw/zinc/29/74/69/1129297469.db2.gz XXHNQKALSIUNTB-AWEZNQCLSA-N 1 2 276.428 3.594 20 0 CHADLO CCCC[N@H+](Cc1nonc1C)[C@H](C)c1ccc(C)o1 ZINC000734146081 1129297481 /nfs/dbraw/zinc/29/74/81/1129297481.db2.gz BSUDCUFHSFRBGZ-CYBMUJFWSA-N 1 2 277.368 3.643 20 0 CHADLO CCCC[N@@H+](Cc1nonc1C)[C@H](C)c1ccc(C)o1 ZINC000734146081 1129297486 /nfs/dbraw/zinc/29/74/86/1129297486.db2.gz BSUDCUFHSFRBGZ-CYBMUJFWSA-N 1 2 277.368 3.643 20 0 CHADLO Nc1c(Cl)cc(Cl)cc1C[NH+]1CC(CC(F)F)C1 ZINC000639278318 1129299326 /nfs/dbraw/zinc/29/93/26/1129299326.db2.gz GUBCLLRCWLDAEO-UHFFFAOYSA-N 1 2 295.160 3.663 20 0 CHADLO CC[C@](C)([NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)C1CC1 ZINC000639316351 1129302532 /nfs/dbraw/zinc/30/25/32/1129302532.db2.gz IDDYBEVRCHPRKF-ZBFHGGJFSA-N 1 2 276.428 3.594 20 0 CHADLO CC1=C(c2ccco2)C[N@H+](Cc2nc(C3CCC3)no2)CC1 ZINC000639628826 1129326824 /nfs/dbraw/zinc/32/68/24/1129326824.db2.gz MSNQGRCWDQXECS-UHFFFAOYSA-N 1 2 299.374 3.610 20 0 CHADLO CC1=C(c2ccco2)C[N@@H+](Cc2nc(C3CCC3)no2)CC1 ZINC000639628826 1129326829 /nfs/dbraw/zinc/32/68/29/1129326829.db2.gz MSNQGRCWDQXECS-UHFFFAOYSA-N 1 2 299.374 3.610 20 0 CHADLO CSc1cccc(C[N@@H+]2CCC[C@H]2c2ncccn2)c1 ZINC000639872879 1129336926 /nfs/dbraw/zinc/33/69/26/1129336926.db2.gz SBSZUHUTSZYGOK-HNNXBMFYSA-N 1 2 285.416 3.536 20 0 CHADLO CSc1cccc(C[N@H+]2CCC[C@H]2c2ncccn2)c1 ZINC000639872879 1129336930 /nfs/dbraw/zinc/33/69/30/1129336930.db2.gz SBSZUHUTSZYGOK-HNNXBMFYSA-N 1 2 285.416 3.536 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(CC2CC2)no1)c1cc(C)ccc1C ZINC000639893801 1129338570 /nfs/dbraw/zinc/33/85/70/1129338570.db2.gz KIXFFUDEMXBITC-INIZCTEOSA-N 1 2 299.418 3.880 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2ncc(-c3ccccc3)o2)s1 ZINC000639995123 1129344321 /nfs/dbraw/zinc/34/43/21/1129344321.db2.gz REMLYZXSXHGGAH-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2cc(C(C)(C)C)on2)s1 ZINC000639996928 1129344536 /nfs/dbraw/zinc/34/45/36/1129344536.db2.gz SRPNTDZWMQVYQN-SECBINFHSA-N 1 2 279.409 3.588 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC(c3ccccc3)C2)c(C)[nH+]1 ZINC000640141324 1129349905 /nfs/dbraw/zinc/34/99/05/1129349905.db2.gz LGAYXJDSGJIEDZ-UHFFFAOYSA-N 1 2 295.386 3.638 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCCc3cccnc32)cc1 ZINC000091660594 1129367691 /nfs/dbraw/zinc/36/76/91/1129367691.db2.gz UQEWQYRHUNPREU-INIZCTEOSA-N 1 2 267.376 3.637 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1cccn1CCC(C)C ZINC000091814684 1129370968 /nfs/dbraw/zinc/37/09/68/1129370968.db2.gz CQRWTDXGSJUHSX-UHFFFAOYSA-N 1 2 289.423 3.771 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1cccn1CCC(C)C ZINC000091814684 1129370974 /nfs/dbraw/zinc/37/09/74/1129370974.db2.gz CQRWTDXGSJUHSX-UHFFFAOYSA-N 1 2 289.423 3.771 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(CO)c(Cl)c1 ZINC001212889315 1129371162 /nfs/dbraw/zinc/37/11/62/1129371162.db2.gz SVGQOMJXNGLTFD-UHFFFAOYSA-N 1 2 262.740 3.533 20 0 CHADLO OCc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1Cl ZINC001212891854 1129374946 /nfs/dbraw/zinc/37/49/46/1129374946.db2.gz RTXBLEABQLIVHV-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO OCc1cc[nH+]c(N[C@H](c2ccc(Cl)cc2)C2CC2)c1 ZINC000641262628 1129378036 /nfs/dbraw/zinc/37/80/36/1129378036.db2.gz CKTKJTOWUXTKDQ-INIZCTEOSA-N 1 2 288.778 3.791 20 0 CHADLO CC(C)Oc1ccc([C@H](C)Nc2cc(CO)cc[nH+]2)cc1 ZINC000641296813 1129378486 /nfs/dbraw/zinc/37/84/86/1129378486.db2.gz CDOIFHXSKNVHIH-ZDUSSCGKSA-N 1 2 286.375 3.534 20 0 CHADLO CC(C)([NH2+]Cc1coc(C2CC2)n1)c1cccc(F)c1 ZINC000736648374 1129378545 /nfs/dbraw/zinc/37/85/45/1129378545.db2.gz XJJPJUIZMXAXAD-UHFFFAOYSA-N 1 2 274.339 3.716 20 0 CHADLO OCc1cc[nH+]c(N[C@@H](CC2CC2)c2ccccc2)c1 ZINC000641399431 1129380480 /nfs/dbraw/zinc/38/04/80/1129380480.db2.gz OQVAILXETCFPNB-INIZCTEOSA-N 1 2 268.360 3.527 20 0 CHADLO COCCCC[C@@H](Nc1cc[nH+]cc1F)c1ccccc1 ZINC000641545004 1129383687 /nfs/dbraw/zinc/38/36/87/1129383687.db2.gz IAQZNZWOVYEBAT-MRXNPFEDSA-N 1 2 288.366 3.613 20 0 CHADLO CCn1c(C2CC2)nn(C[N@@H+]2CCCCC[C@H]2C)c1=S ZINC000738225090 1129391162 /nfs/dbraw/zinc/39/11/62/1129391162.db2.gz RFOIELRAEKWRKE-GFCCVEGCSA-N 1 2 294.468 3.533 20 0 CHADLO CCn1c(C2CC2)nn(C[N@H+]2CCCCC[C@H]2C)c1=S ZINC000738225090 1129391166 /nfs/dbraw/zinc/39/11/66/1129391166.db2.gz RFOIELRAEKWRKE-GFCCVEGCSA-N 1 2 294.468 3.533 20 0 CHADLO Nc1cc(-c2ccc(F)c(F)c2F)[nH+]cc1C(F)(F)F ZINC001240988091 1129392557 /nfs/dbraw/zinc/39/25/57/1129392557.db2.gz PEFZFLDOQAXQNY-UHFFFAOYSA-N 1 2 292.182 3.767 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(CC(F)(F)F)cc1)C(F)F ZINC000641795394 1129393406 /nfs/dbraw/zinc/39/34/06/1129393406.db2.gz YHLLGQUWPWUUNS-QMMMGPOBSA-N 1 2 267.241 3.535 20 0 CHADLO COc1cccc2cc(C[NH2+]Cc3ccn(C(C)C)n3)oc21 ZINC000738439106 1129393744 /nfs/dbraw/zinc/39/37/44/1129393744.db2.gz KVLRYDGKYGANFU-UHFFFAOYSA-N 1 2 299.374 3.509 20 0 CHADLO Clc1cccc(C[N@@H+]2CCO[C@@H](c3ccccc3)C2)c1 ZINC000641959469 1129398397 /nfs/dbraw/zinc/39/83/97/1129398397.db2.gz JBEPDHPORSSVMF-QGZVFWFLSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1cccc(C[N@H+]2CCO[C@@H](c3ccccc3)C2)c1 ZINC000641959469 1129398400 /nfs/dbraw/zinc/39/84/00/1129398400.db2.gz JBEPDHPORSSVMF-QGZVFWFLSA-N 1 2 287.790 3.914 20 0 CHADLO Fc1cccc(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001239535576 1131371689 /nfs/dbraw/zinc/37/16/89/1131371689.db2.gz QICLJHXWEBYWHE-UHFFFAOYSA-N 1 2 252.292 3.738 20 0 CHADLO CC[C@H]1CCC[C@@H]1CNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001273370311 1129413906 /nfs/dbraw/zinc/41/39/06/1129413906.db2.gz RNCGOJCGQMIKCV-LSDHHAIUSA-N 1 2 274.408 3.563 20 0 CHADLO CC(C)=C[C@@H]1[C@@H](C(=O)NCc2cc(C)[nH+]c(C)c2)C1(C)C ZINC000643318604 1129437900 /nfs/dbraw/zinc/43/79/00/1129437900.db2.gz UGODCLWCJZCTJO-CVEARBPZSA-N 1 2 286.419 3.553 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]CCSc1ccc(Cl)cc1 ZINC000180009035 1129443651 /nfs/dbraw/zinc/44/36/51/1129443651.db2.gz RSTFVHQQSLHAAQ-SNVBAGLBSA-N 1 2 295.839 3.814 20 0 CHADLO C[C@@H]1CCCN(c2cc(-c3ccccc3)cc[nH+]2)C1 ZINC001155201221 1129449834 /nfs/dbraw/zinc/44/98/34/1129449834.db2.gz RYDHJUJIARKFQK-CQSZACIVSA-N 1 2 252.361 3.985 20 0 CHADLO COc1ccccc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001239543093 1131376451 /nfs/dbraw/zinc/37/64/51/1131376451.db2.gz PQZOABGMOHMHDE-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO CCCCOc1cccc(-c2c[nH+]c(N)c(C)c2)c1 ZINC001241292092 1129508746 /nfs/dbraw/zinc/50/87/46/1129508746.db2.gz HQIQHQBAHVDPSC-UHFFFAOYSA-N 1 2 256.349 3.818 20 0 CHADLO CC1(C)CC(CC(=O)OCc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000796273621 1129509321 /nfs/dbraw/zinc/50/93/21/1129509321.db2.gz QFUNEJPTPHDAPY-UHFFFAOYSA-N 1 2 298.386 3.742 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3ncc(C4CC4)o3)CCC2)c1 ZINC000646001930 1129516077 /nfs/dbraw/zinc/51/60/77/1129516077.db2.gz AIURSXABHBVQAU-UHFFFAOYSA-N 1 2 286.350 3.860 20 0 CHADLO Fc1ccc(Cl)cc1-c1cc(-n2cc[nH+]c2)ccn1 ZINC001241330330 1129516925 /nfs/dbraw/zinc/51/69/25/1129516925.db2.gz PXYFYYVIWRADTQ-UHFFFAOYSA-N 1 2 273.698 3.727 20 0 CHADLO Cc1ccc(-c2cc(N)c(C(F)(F)F)c[nH+]2)c(F)c1 ZINC001241352583 1129522678 /nfs/dbraw/zinc/52/26/78/1129522678.db2.gz XWTWWJWYRYFTGI-UHFFFAOYSA-N 1 2 270.229 3.797 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)no1 ZINC000646117398 1129524752 /nfs/dbraw/zinc/52/47/52/1129524752.db2.gz YICJSSAKGCDCQJ-KRWDZBQOSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)no1 ZINC000646117398 1129524754 /nfs/dbraw/zinc/52/47/54/1129524754.db2.gz YICJSSAKGCDCQJ-KRWDZBQOSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1ccc(C2([NH2+]Cc3nc(CC(C)C)no3)CCC2)cc1 ZINC000646131902 1129525699 /nfs/dbraw/zinc/52/56/99/1129525699.db2.gz MDYIZCSZNRILIT-UHFFFAOYSA-N 1 2 299.418 3.746 20 0 CHADLO CCc1nnc(C[NH2+][C@H](c2cccc(F)c2)C(C)(C)C)o1 ZINC000181055209 1129532698 /nfs/dbraw/zinc/53/26/98/1129532698.db2.gz SIPZVFRTYIOPCP-OAHLLOKOSA-N 1 2 291.370 3.648 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000303840283 1129576743 /nfs/dbraw/zinc/57/67/43/1129576743.db2.gz BMXTZBNQDRJSBZ-NWDGAFQWSA-N 1 2 283.799 3.675 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000303840283 1129576746 /nfs/dbraw/zinc/57/67/46/1129576746.db2.gz BMXTZBNQDRJSBZ-NWDGAFQWSA-N 1 2 283.799 3.675 20 0 CHADLO CCCCCC[N@@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000303894269 1129579361 /nfs/dbraw/zinc/57/93/61/1129579361.db2.gz SEOVKFGAYKBZIT-MRXNPFEDSA-N 1 2 283.362 3.918 20 0 CHADLO CCCCCC[N@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000303894269 1129579363 /nfs/dbraw/zinc/57/93/63/1129579363.db2.gz SEOVKFGAYKBZIT-MRXNPFEDSA-N 1 2 283.362 3.918 20 0 CHADLO CCCOC(=O)[C@H](C)[N@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000303932501 1129581882 /nfs/dbraw/zinc/58/18/82/1129581882.db2.gz QVOFFODHVKMSLP-OLZOCXBDSA-N 1 2 289.375 3.767 20 0 CHADLO CCCOC(=O)[C@H](C)[N@@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000303932501 1129581884 /nfs/dbraw/zinc/58/18/84/1129581884.db2.gz QVOFFODHVKMSLP-OLZOCXBDSA-N 1 2 289.375 3.767 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2ccc(Cl)cc2)co1 ZINC000647956378 1129589206 /nfs/dbraw/zinc/58/92/06/1129589206.db2.gz MMVICXFMKIEJSO-JTQLQIEISA-N 1 2 264.756 3.829 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2ccc(Cl)cc2)co1 ZINC000647956378 1129589207 /nfs/dbraw/zinc/58/92/07/1129589207.db2.gz MMVICXFMKIEJSO-JTQLQIEISA-N 1 2 264.756 3.829 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2coc(C)n2)o1 ZINC000647965641 1129589988 /nfs/dbraw/zinc/58/99/88/1129589988.db2.gz DPPREMBQJLYBLK-ABAIWWIYSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2coc(C)n2)o1 ZINC000647965641 1129589992 /nfs/dbraw/zinc/58/99/92/1129589992.db2.gz DPPREMBQJLYBLK-ABAIWWIYSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccco2)co1 ZINC000647965988 1129590201 /nfs/dbraw/zinc/59/02/01/1129590201.db2.gz ZUEJXUDGRLNSLI-SMDDNHRTSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccco2)co1 ZINC000647965988 1129590204 /nfs/dbraw/zinc/59/02/04/1129590204.db2.gz ZUEJXUDGRLNSLI-SMDDNHRTSA-N 1 2 260.337 3.549 20 0 CHADLO CCn1nc(C[NH+]2CC(C)(CC(F)F)C2)c2ccccc21 ZINC000648058988 1129593776 /nfs/dbraw/zinc/59/37/76/1129593776.db2.gz ODRPHEDRRACDNS-UHFFFAOYSA-N 1 2 293.361 3.533 20 0 CHADLO CC[N@H+](Cc1ncc(Cl)n1C)Cc1ccc(Cl)cc1 ZINC000746139197 1129627210 /nfs/dbraw/zinc/62/72/10/1129627210.db2.gz GPGWAOQEYYRHOG-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1ncc(Cl)n1C)Cc1ccc(Cl)cc1 ZINC000746139197 1129627212 /nfs/dbraw/zinc/62/72/12/1129627212.db2.gz GPGWAOQEYYRHOG-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO COc1nscc1C[N@@H+]1CCC[C@@H]1c1ccsc1 ZINC001459313018 1129629233 /nfs/dbraw/zinc/62/92/33/1129629233.db2.gz MDSVWUUUWYWXCR-GFCCVEGCSA-N 1 2 280.418 3.550 20 0 CHADLO COc1nscc1C[N@H+]1CCC[C@@H]1c1ccsc1 ZINC001459313018 1129629235 /nfs/dbraw/zinc/62/92/35/1129629235.db2.gz MDSVWUUUWYWXCR-GFCCVEGCSA-N 1 2 280.418 3.550 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2nnc(-c3ccco3)o2)cc1 ZINC001212968100 1129636782 /nfs/dbraw/zinc/63/67/82/1129636782.db2.gz JUASGHSUPUABLX-UHFFFAOYSA-N 1 2 298.346 3.919 20 0 CHADLO CCc1ccc([N@H+](CC)CCC[C@@H]2CCOC2)cc1 ZINC001459677136 1129641570 /nfs/dbraw/zinc/64/15/70/1129641570.db2.gz OHGYJSXGZIYYRF-MRXNPFEDSA-N 1 2 261.409 3.892 20 0 CHADLO CCc1ccc([N@@H+](CC)CCC[C@@H]2CCOC2)cc1 ZINC001459677136 1129641574 /nfs/dbraw/zinc/64/15/74/1129641574.db2.gz OHGYJSXGZIYYRF-MRXNPFEDSA-N 1 2 261.409 3.892 20 0 CHADLO CC[NH+](CC)c1ccc(N(c2ccc(N)cn2)C2CC2)cc1 ZINC001212969865 1129642507 /nfs/dbraw/zinc/64/25/07/1129642507.db2.gz FPAKWEDUBLRMSB-UHFFFAOYSA-N 1 2 296.418 3.811 20 0 CHADLO CSc1ccc(C[NH2+][C@@H]2CCCC2(F)F)s1 ZINC000381609289 1129644629 /nfs/dbraw/zinc/64/46/29/1129644629.db2.gz RMXIZNTWITXQRK-SECBINFHSA-N 1 2 263.378 3.747 20 0 CHADLO Cc1cc(C)c(NC(=O)c2coc(C3CCC3)n2)c(C)[nH+]1 ZINC000193935629 1129646596 /nfs/dbraw/zinc/64/65/96/1129646596.db2.gz IFQJYGXZZFDBHS-UHFFFAOYSA-N 1 2 285.347 3.515 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nc(C(C)C)no2)CCC1 ZINC000649645648 1129665814 /nfs/dbraw/zinc/66/58/14/1129665814.db2.gz BCFWLDYPYWXNPU-UHFFFAOYSA-N 1 2 285.391 3.670 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ncccn1)c1ccc(C)c(F)c1 ZINC001168793962 1129666513 /nfs/dbraw/zinc/66/65/13/1129666513.db2.gz WORWVFSRGLKZQU-DOMZBBRYSA-N 1 2 273.355 3.726 20 0 CHADLO Cc1ccc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)nc1 ZINC001168853776 1129676572 /nfs/dbraw/zinc/67/65/72/1129676572.db2.gz IIUKVZKBZVALMH-CQSZACIVSA-N 1 2 282.391 3.558 20 0 CHADLO CC(C)(C)OC(=O)Cc1ccc[nH+]c1NCCC1CCC1 ZINC001168888500 1129680071 /nfs/dbraw/zinc/68/00/71/1129680071.db2.gz DQVMXNHNJKLOAD-UHFFFAOYSA-N 1 2 290.407 3.568 20 0 CHADLO Cc1ccc([C@H](C)Nc2nc(C)[nH+]c3c2CCCC3)nc1 ZINC001168850973 1129680090 /nfs/dbraw/zinc/68/00/90/1129680090.db2.gz OJDJULKWLYHSIC-LBPRGKRZSA-N 1 2 282.391 3.540 20 0 CHADLO CC1=Cc2cccc(NCCc3cn4ccccc4[nH+]3)c2C1 ZINC001169005129 1129689046 /nfs/dbraw/zinc/68/90/46/1129689046.db2.gz XRJFSHXYRGHAEZ-UHFFFAOYSA-N 1 2 289.382 3.948 20 0 CHADLO Fc1cc2cc[nH]c2c(NCCc2cn3ccccc3[nH+]2)c1 ZINC001169005544 1129689070 /nfs/dbraw/zinc/68/90/70/1129689070.db2.gz SPYHVWJTDKCZKN-UHFFFAOYSA-N 1 2 294.333 3.609 20 0 CHADLO Cc1c2[nH]ccc2ccc1NCCc1cn2ccccc2[nH+]1 ZINC001169009194 1129689158 /nfs/dbraw/zinc/68/91/58/1129689158.db2.gz OTFAAHCWTURGAM-UHFFFAOYSA-N 1 2 290.370 3.779 20 0 CHADLO Cc1ccc(-c2noc([C@H](C)[NH2+]C/C=C\Cl)n2)cc1F ZINC001169057348 1129693363 /nfs/dbraw/zinc/69/33/63/1129693363.db2.gz YJLAVYQAKYHBGQ-NWPZZWLHSA-N 1 2 295.745 3.587 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc3c(c2)CCCC3)c1 ZINC000650244017 1129702427 /nfs/dbraw/zinc/70/24/27/1129702427.db2.gz ZKRGRHZXCOVEET-UHFFFAOYSA-N 1 2 282.387 3.719 20 0 CHADLO COCc1cc[nH+]c(NCc2cccn2CCC(C)C)c1 ZINC000650243999 1129702461 /nfs/dbraw/zinc/70/24/61/1129702461.db2.gz YVYHNUUKZNGYPP-UHFFFAOYSA-N 1 2 287.407 3.688 20 0 CHADLO COCc1cc[nH+]c(NCc2cc(C)cc(Cl)c2)c1 ZINC000650243822 1129702484 /nfs/dbraw/zinc/70/24/84/1129702484.db2.gz QPGOXESBBFZNCG-UHFFFAOYSA-N 1 2 276.767 3.802 20 0 CHADLO COCc1cc[nH+]c(NCc2cccc(C(F)(F)F)c2)c1 ZINC000650244467 1129702546 /nfs/dbraw/zinc/70/25/46/1129702546.db2.gz AGOYXQNKKZOUBG-UHFFFAOYSA-N 1 2 296.292 3.859 20 0 CHADLO Cc1cc(N[C@@H]2CCCC(F)(F)C2)[nH+]c2cc[nH]c21 ZINC001169217920 1129709166 /nfs/dbraw/zinc/70/91/66/1129709166.db2.gz HUENTUVGBKIZHO-SNVBAGLBSA-N 1 2 265.307 3.861 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccn3cc(N)[nH+]c3c2)c2ccccc21 ZINC001169372602 1129721895 /nfs/dbraw/zinc/72/18/95/1129721895.db2.gz GCLSUUGXPUQNTB-ABAIWWIYSA-N 1 2 278.359 3.577 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+](C)Cc1c(C)noc1C ZINC000796453801 1129729007 /nfs/dbraw/zinc/72/90/07/1129729007.db2.gz IODDQAGHRAIOCG-UHFFFAOYSA-N 1 2 294.782 3.585 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+](C)Cc1c(C)noc1C ZINC000796453801 1129729010 /nfs/dbraw/zinc/72/90/10/1129729010.db2.gz IODDQAGHRAIOCG-UHFFFAOYSA-N 1 2 294.782 3.585 20 0 CHADLO Cc1cc(N2CCCC2)ccc1[NH2+]CCC1CCOCC1 ZINC000651194951 1129731838 /nfs/dbraw/zinc/73/18/38/1129731838.db2.gz DAXIHLCICJMNNX-UHFFFAOYSA-N 1 2 288.435 3.824 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(N[C@@H](C)c2cnccn2)c1 ZINC001169535856 1129733406 /nfs/dbraw/zinc/73/34/06/1129733406.db2.gz BBNFDYBKVYDDBS-AWEZNQCLSA-N 1 2 284.407 3.804 20 0 CHADLO Oc1cc(Nc2cccc(-n3cc[nH+]c3)c2)ccc1Cl ZINC001212591573 1129737332 /nfs/dbraw/zinc/73/73/32/1129737332.db2.gz SYFOAMZQQPFECZ-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(Cl)c(O)c3)ccc21 ZINC001212593485 1129743936 /nfs/dbraw/zinc/74/39/36/1129743936.db2.gz UAEQHUDHQXKTSM-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2cc3cnccc3o2)n1 ZINC000651737967 1129746973 /nfs/dbraw/zinc/74/69/73/1129746973.db2.gz WYXRGOURCNDQRG-UHFFFAOYSA-N 1 2 287.388 3.698 20 0 CHADLO Cc1cc([NH2+][C@@H]2CCO[C@@H](c3ccccc3)C2)ccc1N ZINC001169756514 1129754221 /nfs/dbraw/zinc/75/42/21/1129754221.db2.gz DGOFVFOFPBWEAP-SJLPKXTDSA-N 1 2 282.387 3.909 20 0 CHADLO Fc1ccc2c(c1)CCN2Cc1ccc(-n2cc[nH+]c2)cc1 ZINC001169755702 1129754393 /nfs/dbraw/zinc/75/43/93/1129754393.db2.gz ZASDWFVSRDLEID-UHFFFAOYSA-N 1 2 293.345 3.574 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[NH+]1CCC(F)(F)CC1 ZINC000796541707 1129777326 /nfs/dbraw/zinc/77/73/26/1129777326.db2.gz XJQBCIVWCHIKCT-SECBINFHSA-N 1 2 261.262 3.757 20 0 CHADLO Cc1ccccc1-c1cnc(C[NH+]2CCC(F)(F)CC2)o1 ZINC000796552798 1129777968 /nfs/dbraw/zinc/77/79/68/1129777968.db2.gz UXYKQENWBAUDPA-UHFFFAOYSA-N 1 2 292.329 3.881 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](c3ccco3)C2)c(C)[nH+]1 ZINC000653179827 1129778395 /nfs/dbraw/zinc/77/83/95/1129778395.db2.gz LRVXSDZSQFMSOV-AWEZNQCLSA-N 1 2 299.374 3.621 20 0 CHADLO Clc1nc(C[N@@H+]2CCC3(CCCC3)C2)cs1 ZINC000380635356 1129832046 /nfs/dbraw/zinc/83/20/46/1129832046.db2.gz GXWIUAQKHXGUBM-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO c1cc2cc(NCc3scnc3C3CC3)[nH+]cc2[nH]1 ZINC000653500416 1129781822 /nfs/dbraw/zinc/78/18/22/1129781822.db2.gz SDGKPLSYRJKPLA-UHFFFAOYSA-N 1 2 270.361 3.509 20 0 CHADLO Clc1nc(C[N@H+]2CCC3(CCCC3)C2)cs1 ZINC000380635356 1129832048 /nfs/dbraw/zinc/83/20/48/1129832048.db2.gz GXWIUAQKHXGUBM-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO CC(C(=O)Nc1ccc(-n2cc[nH+]c2)c(C)c1)=C1CCC1 ZINC001136624950 1131397756 /nfs/dbraw/zinc/39/77/56/1131397756.db2.gz QYKLTPKNIWCGQE-UHFFFAOYSA-N 1 2 281.359 3.620 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc(F)c(F)c1 ZINC001239584858 1131398903 /nfs/dbraw/zinc/39/89/03/1131398903.db2.gz XEQWWRZQWZRMHN-UHFFFAOYSA-N 1 2 260.243 3.517 20 0 CHADLO C[C@@]1(Cc2ccccc2)CCC[N@@H+]1CC(F)(F)C(F)F ZINC000767936404 1129823244 /nfs/dbraw/zinc/82/32/44/1129823244.db2.gz SYASQUBXNZJKDA-AWEZNQCLSA-N 1 2 289.316 3.984 20 0 CHADLO C[C@@]1(Cc2ccccc2)CCC[N@H+]1CC(F)(F)C(F)F ZINC000767936404 1129823245 /nfs/dbraw/zinc/82/32/45/1129823245.db2.gz SYASQUBXNZJKDA-AWEZNQCLSA-N 1 2 289.316 3.984 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccccc2F)cnc1Cl ZINC000768174580 1129839617 /nfs/dbraw/zinc/83/96/17/1129839617.db2.gz XWUXTFBNMJSZMD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@H+](C)Cc1cccc(C)c1 ZINC000768252441 1129846192 /nfs/dbraw/zinc/84/61/92/1129846192.db2.gz LQSUAEQXHUNRCJ-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@@H+](C)Cc1cccc(C)c1 ZINC000768252441 1129846197 /nfs/dbraw/zinc/84/61/97/1129846197.db2.gz LQSUAEQXHUNRCJ-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO C[C@@H]1CCC[C@H]([NH2+]c2ccc(N3CCOCC3)cc2)C1 ZINC000020564028 1129848509 /nfs/dbraw/zinc/84/85/09/1129848509.db2.gz XXCNYCBXDZZMGT-ZBFHGGJFSA-N 1 2 274.408 3.514 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1CCC[C@@H](F)CC1 ZINC001139047955 1129855304 /nfs/dbraw/zinc/85/53/04/1129855304.db2.gz VJQKCKAJSZTREF-SNVBAGLBSA-N 1 2 277.717 3.942 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1CCC[C@@H](F)CC1 ZINC001139047955 1129855306 /nfs/dbraw/zinc/85/53/06/1129855306.db2.gz VJQKCKAJSZTREF-SNVBAGLBSA-N 1 2 277.717 3.942 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1c(F)cc(Cl)cc1F ZINC001139048496 1129855547 /nfs/dbraw/zinc/85/55/47/1129855547.db2.gz ZSAASKVHAIUVOJ-SECBINFHSA-N 1 2 259.727 3.993 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1c(F)cc(Cl)cc1F ZINC001139048496 1129855558 /nfs/dbraw/zinc/85/55/58/1129855558.db2.gz ZSAASKVHAIUVOJ-SECBINFHSA-N 1 2 259.727 3.993 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](c3ccc(F)cc3)C2)c(C)o1 ZINC000768424161 1129856477 /nfs/dbraw/zinc/85/64/77/1129856477.db2.gz DBEBSRBMPQXTTG-KRWDZBQOSA-N 1 2 289.350 3.609 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](c3ccc(F)cc3)C2)c(C)o1 ZINC000768424161 1129856480 /nfs/dbraw/zinc/85/64/80/1129856480.db2.gz DBEBSRBMPQXTTG-KRWDZBQOSA-N 1 2 289.350 3.609 20 0 CHADLO Cc1ccc([C@@H](O)CNc2[nH+]c3ccccc3cc2C)o1 ZINC000768539137 1129860934 /nfs/dbraw/zinc/86/09/34/1129860934.db2.gz UBSGOTQHUQFNGC-HNNXBMFYSA-N 1 2 282.343 3.590 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCC[C@@H](Nc2ccccc2)C1 ZINC000768556948 1129864238 /nfs/dbraw/zinc/86/42/38/1129864238.db2.gz QYWPKHLYSLUOAF-FWLQQBITSA-N 1 2 285.218 3.882 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCC[C@@H](Nc2ccccc2)C1 ZINC000768556948 1129864243 /nfs/dbraw/zinc/86/42/43/1129864243.db2.gz QYWPKHLYSLUOAF-FWLQQBITSA-N 1 2 285.218 3.882 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cccc(OC(C)C)c2)no1 ZINC000800311877 1129865502 /nfs/dbraw/zinc/86/55/02/1129865502.db2.gz SWEOWHOHUONNHB-CYBMUJFWSA-N 1 2 274.364 3.621 20 0 CHADLO C[C@@H](OC(=O)[C@@H]1CCC[N@@H+]1Cc1ccccc1)c1ccco1 ZINC000768815868 1129875812 /nfs/dbraw/zinc/87/58/12/1129875812.db2.gz LSHYIVVZXDJYRY-ZBFHGGJFSA-N 1 2 299.370 3.548 20 0 CHADLO C[C@@H](OC(=O)[C@@H]1CCC[N@H+]1Cc1ccccc1)c1ccco1 ZINC000768815868 1129875821 /nfs/dbraw/zinc/87/58/21/1129875821.db2.gz LSHYIVVZXDJYRY-ZBFHGGJFSA-N 1 2 299.370 3.548 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@H+]2Cc2cncc3ccccc32)n1 ZINC000769210883 1129896621 /nfs/dbraw/zinc/89/66/21/1129896621.db2.gz UMVDYMIVSLEDFT-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@@H+]2Cc2cncc3ccccc32)n1 ZINC000769210883 1129896626 /nfs/dbraw/zinc/89/66/26/1129896626.db2.gz UMVDYMIVSLEDFT-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2Cc3ccccc3[C@H](C)C2)s1 ZINC000801508870 1129922768 /nfs/dbraw/zinc/92/27/68/1129922768.db2.gz VNNCGIWTNGCTBJ-MNOVXSKESA-N 1 2 273.405 3.527 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2Cc3ccccc3[C@H](C)C2)s1 ZINC000801508870 1129922771 /nfs/dbraw/zinc/92/27/71/1129922771.db2.gz VNNCGIWTNGCTBJ-MNOVXSKESA-N 1 2 273.405 3.527 20 0 CHADLO CN(C)c1ccc([NH2+]C[C@H]2C[C@H]2c2ccccc2)cc1 ZINC000770409343 1129946609 /nfs/dbraw/zinc/94/66/09/1129946609.db2.gz ANPRFQMPKDQOHK-QAPCUYQASA-N 1 2 266.388 3.968 20 0 CHADLO C[NH+](C)c1ccc(NC[C@H]2C[C@H]2c2ccccc2)cc1 ZINC000770409343 1129946612 /nfs/dbraw/zinc/94/66/12/1129946612.db2.gz ANPRFQMPKDQOHK-QAPCUYQASA-N 1 2 266.388 3.968 20 0 CHADLO Clc1cc(NCc2ccoc2)ccc1-n1cc[nH+]c1 ZINC000770551084 1129949828 /nfs/dbraw/zinc/94/98/28/1129949828.db2.gz VQSNLWSKZCAALD-UHFFFAOYSA-N 1 2 273.723 3.731 20 0 CHADLO O=C(CCNc1[nH+]cccc1-n1cccc1)c1ccccc1 ZINC001170015555 1129951281 /nfs/dbraw/zinc/95/12/81/1129951281.db2.gz SOYQYYCBDHWRRS-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO CCCC(=CC(=O)N1CCC[C@H](n2cc[nH+]c2)C1)CCC ZINC000770736231 1129957624 /nfs/dbraw/zinc/95/76/24/1129957624.db2.gz HYQWYNCUOAZYIZ-INIZCTEOSA-N 1 2 289.423 3.573 20 0 CHADLO C[C@@H]1CCCC[C@H]1CC(=O)OCc1cccc2[nH+]ccn21 ZINC000770921261 1129963368 /nfs/dbraw/zinc/96/33/68/1129963368.db2.gz OFRFSDXSMJUMJO-KGLIPLIRSA-N 1 2 286.375 3.594 20 0 CHADLO O=C(CC1CCCCCC1)OCc1cccc2[nH+]ccn21 ZINC000770929497 1129963540 /nfs/dbraw/zinc/96/35/40/1129963540.db2.gz POPMRKHRQUUDTO-UHFFFAOYSA-N 1 2 286.375 3.738 20 0 CHADLO Clc1nc2ccccn2c1C[N@@H+]1CCc2ccccc2C1 ZINC000771928124 1129995182 /nfs/dbraw/zinc/99/51/82/1129995182.db2.gz PDHADVQOGWZVIL-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1nc2ccccn2c1C[N@H+]1CCc2ccccc2C1 ZINC000771928124 1129995183 /nfs/dbraw/zinc/99/51/83/1129995183.db2.gz PDHADVQOGWZVIL-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000771938477 1129997021 /nfs/dbraw/zinc/99/70/21/1129997021.db2.gz MGMCKNAKLXQICJ-HNNXBMFYSA-N 1 2 289.810 3.583 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@H+]1CC[C@H]1c1ccccc1 ZINC000771938477 1129997022 /nfs/dbraw/zinc/99/70/22/1129997022.db2.gz MGMCKNAKLXQICJ-HNNXBMFYSA-N 1 2 289.810 3.583 20 0 CHADLO COc1c(C)cnc(C[NH2+]Cc2cscc2Cl)c1C ZINC000771950489 1129997799 /nfs/dbraw/zinc/99/77/99/1129997799.db2.gz JULZLHKVMNSSPF-UHFFFAOYSA-N 1 2 296.823 3.712 20 0 CHADLO C[NH+](C)[C@H](C(=O)OC/C=C\c1ccccc1)c1ccccc1 ZINC000780090048 1129997835 /nfs/dbraw/zinc/99/78/35/1129997835.db2.gz RGCNHQGFIYADMR-DVESRUBUSA-N 1 2 295.382 3.546 20 0 CHADLO C[NH+](C)[C@@H](C(=O)OC/C=C/c1ccccc1)c1ccccc1 ZINC000780090056 1129997960 /nfs/dbraw/zinc/99/79/60/1129997960.db2.gz RGCNHQGFIYADMR-ZPHJMFTNSA-N 1 2 295.382 3.546 20 0 CHADLO CC(C)c1ccc(/C=C\CC(=O)OCCn2cc[nH+]c2)cc1 ZINC000772039578 1130001345 /nfs/dbraw/zinc/00/13/45/1130001345.db2.gz VRNBIKWRARSBRR-ARJAWSKDSA-N 1 2 298.386 3.653 20 0 CHADLO CC(=O)c1ccc(-c2cc(C)cn3cc[nH+]c23)cc1 ZINC001239631772 1130023419 /nfs/dbraw/zinc/02/34/19/1130023419.db2.gz DWSMBUANNCEFBG-UHFFFAOYSA-N 1 2 250.301 3.512 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(C(C)=O)cc2)c1 ZINC001239631155 1130023532 /nfs/dbraw/zinc/02/35/32/1130023532.db2.gz MCLQVOXYIKYNLL-UHFFFAOYSA-N 1 2 267.328 3.918 20 0 CHADLO CC(=O)c1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1 ZINC001239633786 1130026075 /nfs/dbraw/zinc/02/60/75/1130026075.db2.gz UVEYYDXNRHMPMB-UHFFFAOYSA-N 1 2 280.302 3.881 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1nc(C)c(C)s1 ZINC000902601510 1130030032 /nfs/dbraw/zinc/03/00/32/1130030032.db2.gz QERWNFFRURYURP-UHFFFAOYSA-N 1 2 286.404 3.742 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+]CC3(C)CC3)cc2)C[C@@H](C)O1 ZINC000785493405 1130037064 /nfs/dbraw/zinc/03/70/64/1130037064.db2.gz WVSYQAVQNATEOY-ZIAGYGMSSA-N 1 2 274.408 3.512 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc(OC(F)(F)F)nc1 ZINC001242779185 1130037357 /nfs/dbraw/zinc/03/73/57/1130037357.db2.gz XRLSAVFREAAWJE-UHFFFAOYSA-N 1 2 293.248 3.603 20 0 CHADLO COc1ccc(C(C)C)cc1-c1cc[nH+]c2c1CCN2 ZINC001239663173 1130039018 /nfs/dbraw/zinc/03/90/18/1130039018.db2.gz KPQZGYVWSQPSTE-UHFFFAOYSA-N 1 2 268.360 3.849 20 0 CHADLO COc1ccc2c(c1)nccc2-c1ccc2[nH+]ccn2c1C ZINC001239687680 1130056206 /nfs/dbraw/zinc/05/62/06/1130056206.db2.gz YRVUJHPNFOZQJX-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)c1cn(C)nn1)c1ccccc1Cl ZINC000902806891 1130060968 /nfs/dbraw/zinc/06/09/68/1130060968.db2.gz QBSFWSCGGWAEBU-IAQYHMDHSA-N 1 2 292.814 3.516 20 0 CHADLO COC[C@H]([NH2+]C/C(Cl)=C\Cl)c1ccc(Cl)cc1 ZINC000785953605 1130070288 /nfs/dbraw/zinc/07/02/88/1130070288.db2.gz RFIXXHHGJPWWLX-BCMYLCSRSA-N 1 2 294.609 3.936 20 0 CHADLO COc1ccc(F)cc1-c1cc(F)c(C[NH+](C)C)c(F)c1 ZINC001239722824 1130074595 /nfs/dbraw/zinc/07/45/95/1130074595.db2.gz CKKDPXJOODIMNR-UHFFFAOYSA-N 1 2 295.304 3.841 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cc(F)ccc2OC)cc1 ZINC001239722944 1130074864 /nfs/dbraw/zinc/07/48/64/1130074864.db2.gz NALBJOXZGDLUMG-UHFFFAOYSA-N 1 2 273.307 3.863 20 0 CHADLO COc1cccc(-c2ccccc2Cn2cc[nH+]c2)c1F ZINC001239749775 1130089335 /nfs/dbraw/zinc/08/93/35/1130089335.db2.gz IAYDRGOUQRHFNQ-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO [NH3+]CCc1ccccc1-c1cc(Cl)c(O)c(Cl)c1 ZINC001242853640 1130093365 /nfs/dbraw/zinc/09/33/65/1130093365.db2.gz BGHBEPWTNRVYSK-UHFFFAOYSA-N 1 2 282.170 3.867 20 0 CHADLO COc1ccc(C)cc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001239768547 1130099851 /nfs/dbraw/zinc/09/98/51/1130099851.db2.gz VHELQUXDJXKOTM-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO COc1ccc(C)cc1-c1cc(C)cn2cc[nH+]c12 ZINC001239769792 1130100732 /nfs/dbraw/zinc/10/07/32/1130100732.db2.gz SLSPQJVEGCPGDD-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(OC)nc2CC)c1 ZINC001239777534 1130103337 /nfs/dbraw/zinc/10/33/37/1130103337.db2.gz JSMWUGMBQXMZKO-UHFFFAOYSA-N 1 2 284.359 3.681 20 0 CHADLO C[NH+](C)CCOc1ccccc1-c1cccc(S)c1 ZINC001242866868 1130109784 /nfs/dbraw/zinc/10/97/84/1130109784.db2.gz FSSQTUMMSBQGKZ-UHFFFAOYSA-N 1 2 273.401 3.583 20 0 CHADLO COc1cccc2c(NCc3cccc(F)n3)c(C)c[nH+]c12 ZINC000786795262 1130117193 /nfs/dbraw/zinc/11/71/93/1130117193.db2.gz IOCAACYFUNDFQD-UHFFFAOYSA-N 1 2 297.333 3.698 20 0 CHADLO Fc1cc(F)c(C[NH2+][C@@H](c2ccccn2)C2CC2)c(F)c1 ZINC000903177919 1130124620 /nfs/dbraw/zinc/12/46/20/1130124620.db2.gz RPKDIPTWABZFPY-MRXNPFEDSA-N 1 2 292.304 3.740 20 0 CHADLO Fc1cnc(Cl)c(C[NH2+][C@@H](c2ccccn2)C2CC2)c1 ZINC000903178319 1130124685 /nfs/dbraw/zinc/12/46/85/1130124685.db2.gz WKJFKDAQQDCRRE-CQSZACIVSA-N 1 2 291.757 3.510 20 0 CHADLO CCCCCC[C@H](C)NC(=O)C[N@H+](C)[C@H](C)c1ccco1 ZINC000746654325 1130135017 /nfs/dbraw/zinc/13/50/17/1130135017.db2.gz ZAQZUOLZOUTDPI-LSDHHAIUSA-N 1 2 294.439 3.748 20 0 CHADLO CCCCCC[C@H](C)NC(=O)C[N@@H+](C)[C@H](C)c1ccco1 ZINC000746654325 1130135020 /nfs/dbraw/zinc/13/50/20/1130135020.db2.gz ZAQZUOLZOUTDPI-LSDHHAIUSA-N 1 2 294.439 3.748 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC[C@H](C(F)(F)F)C2(C)C)o1 ZINC000787322509 1130146777 /nfs/dbraw/zinc/14/67/77/1130146777.db2.gz QUZPDEQGZPSFND-NSHDSACASA-N 1 2 290.329 3.961 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC[C@H](C(F)(F)F)C2(C)C)o1 ZINC000787322509 1130146779 /nfs/dbraw/zinc/14/67/79/1130146779.db2.gz QUZPDEQGZPSFND-NSHDSACASA-N 1 2 290.329 3.961 20 0 CHADLO Cc1cnc(C)c(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001239906515 1130150415 /nfs/dbraw/zinc/15/04/15/1130150415.db2.gz ANNOASFOPIBNJS-UHFFFAOYSA-N 1 2 263.344 3.766 20 0 CHADLO CC1(C)Cc2occc2[C@@H]([NH2+][C@@H](c2ncccn2)C2CC2)C1 ZINC000903324265 1130155166 /nfs/dbraw/zinc/15/51/66/1130155166.db2.gz CYYIUPDFWXHPNY-GOEBONIOSA-N 1 2 297.402 3.824 20 0 CHADLO CC1(C)Cc2occc2[C@H]([NH2+][C@H](c2ncccn2)C2CC2)C1 ZINC000903324269 1130155174 /nfs/dbraw/zinc/15/51/74/1130155174.db2.gz CYYIUPDFWXHPNY-ZBFHGGJFSA-N 1 2 297.402 3.824 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@H](c1ncccc1C)C(C)(C)C ZINC000903328179 1130156182 /nfs/dbraw/zinc/15/61/82/1130156182.db2.gz GVMWBOBXZSOJPM-IAQYHMDHSA-N 1 2 288.395 3.519 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1ccc(F)c(F)c1 ZINC000903333663 1130159636 /nfs/dbraw/zinc/15/96/36/1130159636.db2.gz SGDIUHWWOJKFFQ-MEBBXXQBSA-N 1 2 289.329 3.557 20 0 CHADLO C[C@H]([NH2+][C@H](c1ncccn1)C1CC1)c1ccccc1Cl ZINC000903333700 1130159663 /nfs/dbraw/zinc/15/96/63/1130159663.db2.gz SYCWOZGCIRGDTH-NHYWBVRUSA-N 1 2 287.794 3.932 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1ccc(F)c(F)c1 ZINC000903333664 1130159826 /nfs/dbraw/zinc/15/98/26/1130159826.db2.gz SGDIUHWWOJKFFQ-ZUZCIYMTSA-N 1 2 289.329 3.557 20 0 CHADLO c1nnc([C@H]([NH2+]C[C@@H]2CCC=CCCC2)c2ccccc2)[nH]1 ZINC000903346418 1130163858 /nfs/dbraw/zinc/16/38/58/1130163858.db2.gz SSAGVIXQFCHBCC-NVXWUHKLSA-N 1 2 296.418 3.620 20 0 CHADLO COCc1cnc2n1CC[N@H+]([C@@H](C)CCCC(C)C)[C@H]2C ZINC001170079596 1130166232 /nfs/dbraw/zinc/16/62/32/1130166232.db2.gz DMYWBGAGYYBTDE-GJZGRUSLSA-N 1 2 293.455 3.621 20 0 CHADLO COCc1cnc2n1CC[N@@H+]([C@@H](C)CCCC(C)C)[C@H]2C ZINC001170079596 1130166236 /nfs/dbraw/zinc/16/62/36/1130166236.db2.gz DMYWBGAGYYBTDE-GJZGRUSLSA-N 1 2 293.455 3.621 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(F)cc2OC)c1 ZINC001239998639 1130186470 /nfs/dbraw/zinc/18/64/70/1130186470.db2.gz GJXLFSJJUJDALC-UHFFFAOYSA-N 1 2 273.307 3.863 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cccc(C(=O)NC)c2)c1 ZINC001240016221 1130193787 /nfs/dbraw/zinc/19/37/87/1130193787.db2.gz KOZHRMKNBQPQDA-UHFFFAOYSA-N 1 2 296.414 3.868 20 0 CHADLO Nc1cc(-c2ccc(C(=O)Nc3ccccc3)cc2)cc[nH+]1 ZINC001240047992 1130204357 /nfs/dbraw/zinc/20/43/57/1130204357.db2.gz ZOVBXPZWSWHAGV-UHFFFAOYSA-N 1 2 289.338 3.583 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCc3ccc(Cl)nc32)cc1 ZINC000788717063 1130204513 /nfs/dbraw/zinc/20/45/13/1130204513.db2.gz CHLLGTYRYSVELC-CQSZACIVSA-N 1 2 287.794 3.900 20 0 CHADLO CC[C@H](C)CCC(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000803878717 1130205551 /nfs/dbraw/zinc/20/55/51/1130205551.db2.gz XFDYCWQEFJEQEM-AWEZNQCLSA-N 1 2 286.375 3.742 20 0 CHADLO COc1ccc(F)c(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001240119772 1130216510 /nfs/dbraw/zinc/21/65/10/1130216510.db2.gz ULIKAUURSIGFQF-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO Cc1noc(C)c1C[NH2+]Cc1coc(-c2ccc(C)cc2)n1 ZINC000748439256 1130232323 /nfs/dbraw/zinc/23/23/23/1130232323.db2.gz NSGZGBDLQGVCJL-UHFFFAOYSA-N 1 2 297.358 3.545 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1c2cc[nH]c2ccc1F ZINC001240213171 1130232497 /nfs/dbraw/zinc/23/24/97/1130232497.db2.gz GBMBPQAKQSHUAK-UHFFFAOYSA-N 1 2 269.323 3.743 20 0 CHADLO Cc1cccc2ccc(-c3ccc4[nH+]ccn4c3)nc12 ZINC001240214189 1130233320 /nfs/dbraw/zinc/23/33/20/1130233320.db2.gz GJLJVQHBRKZNEJ-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)nc(C(F)(F)F)c1 ZINC001240214995 1130233617 /nfs/dbraw/zinc/23/36/17/1130233617.db2.gz TUXKZNTYCOTXAE-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Cc1cccc2c1ccnc2-c1ccc2[nH+]ccn2c1 ZINC001240213906 1130233991 /nfs/dbraw/zinc/23/39/91/1130233991.db2.gz IEBXVAQRSZALHS-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COc1ccc2c(ccnc2-c2ccc3[nH+]ccn3c2)c1 ZINC001240214219 1130234048 /nfs/dbraw/zinc/23/40/48/1130234048.db2.gz LOHIPWBWHNLQCC-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CSc1nc2ccsc2c(-c2ccc3[nH+]ccn3c2)n1 ZINC001240214207 1130234210 /nfs/dbraw/zinc/23/42/10/1130234210.db2.gz LFTXYKMNORPQTI-UHFFFAOYSA-N 1 2 298.396 3.728 20 0 CHADLO C/C=C/C=C/C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000748517084 1130234985 /nfs/dbraw/zinc/23/49/85/1130234985.db2.gz RZXJTVMHJSJGKC-MQQKCMAXSA-N 1 2 287.750 3.597 20 0 CHADLO Cc1cccc(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000748494023 1130235116 /nfs/dbraw/zinc/23/51/16/1130235116.db2.gz GDTWCUNMBXKSHS-UHFFFAOYSA-N 1 2 292.338 3.538 20 0 CHADLO CSCc1cccc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218279 1130236048 /nfs/dbraw/zinc/23/60/48/1130236048.db2.gz JGJQEFQYYSFYID-UHFFFAOYSA-N 1 2 254.358 3.864 20 0 CHADLO COc1cnc2cc(-c3ccc4[nH+]ccn4c3)ccc2c1 ZINC001240218892 1130236846 /nfs/dbraw/zinc/23/68/46/1130236846.db2.gz ODJHHJCLAZLKJF-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO c1cn2cc(-c3cccc(N4CCCC4)c3)ccc2[nH+]1 ZINC001240219114 1130237193 /nfs/dbraw/zinc/23/71/93/1130237193.db2.gz ADXPLSZRSPDVAL-UHFFFAOYSA-N 1 2 263.344 3.602 20 0 CHADLO CCOc1cc(F)cc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218518 1130237803 /nfs/dbraw/zinc/23/78/03/1130237803.db2.gz PMQJFVSEQMEUOJ-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO CSc1ccc(-c2ccc3[nH+]ccn3c2)cc1F ZINC001240218764 1130237880 /nfs/dbraw/zinc/23/78/80/1130237880.db2.gz XUHWNUVCSBGZCJ-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO CC(C)(C)C1CCC(OC(=O)CCCn2cc[nH+]c2)CC1 ZINC000748600814 1130238179 /nfs/dbraw/zinc/23/81/79/1130238179.db2.gz CYGQUQSSCMAGFT-UHFFFAOYSA-N 1 2 292.423 3.811 20 0 CHADLO Cc1c(-c2ccc3[nH+]ccn3c2)ccc2cccnc21 ZINC001240219674 1130238448 /nfs/dbraw/zinc/23/84/48/1130238448.db2.gz RCKNUVMYNJAZGY-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCCOc1cc(F)ccc1-c1ccc2[nH+]ccn2c1 ZINC001240221978 1130239731 /nfs/dbraw/zinc/23/97/31/1130239731.db2.gz RRJAFKPMWCXOOJ-UHFFFAOYSA-N 1 2 270.307 3.929 20 0 CHADLO CC(C)Oc1cccc(-c2ccc3[nH+]ccn3c2)c1F ZINC001240221650 1130240060 /nfs/dbraw/zinc/24/00/60/1130240060.db2.gz OLFZUDDLFFDGMT-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO F[C@@H]1CCCC[N@@H+](Cc2sccc2Br)C1 ZINC001139309306 1130252548 /nfs/dbraw/zinc/25/25/48/1130252548.db2.gz OSRNAZNQKZRNEZ-SECBINFHSA-N 1 2 292.217 3.835 20 0 CHADLO c1cc(-c2c[nH+]c3c(c2)CCCN3)cc(N2CCCCC2)c1 ZINC001240253478 1130249789 /nfs/dbraw/zinc/24/97/89/1130249789.db2.gz ROOICWFBIHHLCI-UHFFFAOYSA-N 1 2 293.414 3.740 20 0 CHADLO CC/C(C)=C(/C)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000780217483 1130250499 /nfs/dbraw/zinc/25/04/99/1130250499.db2.gz YURRUSBAGKVOEF-YPKPFQOOSA-N 1 2 284.359 3.662 20 0 CHADLO F[C@@H]1CCCC[N@H+](Cc2sccc2Br)C1 ZINC001139309306 1130252545 /nfs/dbraw/zinc/25/25/45/1130252545.db2.gz OSRNAZNQKZRNEZ-SECBINFHSA-N 1 2 292.217 3.835 20 0 CHADLO Cc1csc(C[N@@H+]2CCO[C@H](C(C)C)C2)c1Cl ZINC001137292873 1130306787 /nfs/dbraw/zinc/30/67/87/1130306787.db2.gz UGNACSADTSISMH-NSHDSACASA-N 1 2 273.829 3.567 20 0 CHADLO Cc1csc(C[N@H+]2CCO[C@H](C(C)C)C2)c1Cl ZINC001137292873 1130306794 /nfs/dbraw/zinc/30/67/94/1130306794.db2.gz UGNACSADTSISMH-NSHDSACASA-N 1 2 273.829 3.567 20 0 CHADLO Cn1cnc2ccc(-c3cc[nH+]c(N4CCCCC4)c3)cc21 ZINC001240319108 1130265274 /nfs/dbraw/zinc/26/52/74/1130265274.db2.gz QYANRFTUWOSVQH-UHFFFAOYSA-N 1 2 292.386 3.626 20 0 CHADLO Cc1c(-c2ccnc(C(F)(F)F)c2)ccc2[nH+]ccn21 ZINC001240340829 1130270402 /nfs/dbraw/zinc/27/04/02/1130270402.db2.gz GTBSOVRVQRPLQM-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO C[C@@H](c1ccc2ccccc2c1)[N@@H+]1CCc2cn[nH]c2C1 ZINC000676792693 1130272003 /nfs/dbraw/zinc/27/20/03/1130272003.db2.gz KLYVQWAVJGNYSN-ZDUSSCGKSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@@H](c1ccc2ccccc2c1)[N@H+]1CCc2cn[nH]c2C1 ZINC000676792693 1130272008 /nfs/dbraw/zinc/27/20/08/1130272008.db2.gz KLYVQWAVJGNYSN-ZDUSSCGKSA-N 1 2 277.371 3.682 20 0 CHADLO Cc1cn2c(cccc2-c2ccc3ncsc3c2)[nH+]1 ZINC001240344224 1130272198 /nfs/dbraw/zinc/27/21/98/1130272198.db2.gz GQUMHNVSSKCBTH-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc2ncsc2c1 ZINC001240345189 1130272690 /nfs/dbraw/zinc/27/26/90/1130272690.db2.gz RRNCJNPNJNPVKH-UHFFFAOYSA-N 1 2 281.340 3.848 20 0 CHADLO COc1ncc(C[N@H+](C)Cc2ccccc2F)cc1Cl ZINC000676880253 1130274045 /nfs/dbraw/zinc/27/40/45/1130274045.db2.gz GPOYYOWFMFLMTD-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ncc(C[N@@H+](C)Cc2ccccc2F)cc1Cl ZINC000676880253 1130274049 /nfs/dbraw/zinc/27/40/49/1130274049.db2.gz GPOYYOWFMFLMTD-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO C[C@@H](c1cc(Cl)ccc1Cl)[N@H+](C)Cc1cn[nH]c1 ZINC000676894824 1130275083 /nfs/dbraw/zinc/27/50/83/1130275083.db2.gz XPCWAZGQALXIQN-VIFPVBQESA-N 1 2 284.190 3.910 20 0 CHADLO C[C@@H](c1cc(Cl)ccc1Cl)[N@@H+](C)Cc1cn[nH]c1 ZINC000676894824 1130275086 /nfs/dbraw/zinc/27/50/86/1130275086.db2.gz XPCWAZGQALXIQN-VIFPVBQESA-N 1 2 284.190 3.910 20 0 CHADLO Cc1cn2cc(-c3ccc(-c4cnn(C)c4)cc3)ccc2[nH+]1 ZINC001240365829 1130279782 /nfs/dbraw/zinc/27/97/82/1130279782.db2.gz XCUWIYKUZJXEFD-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1cccc2ccsc21 ZINC000676997691 1130281945 /nfs/dbraw/zinc/28/19/45/1130281945.db2.gz FTZKSPQQWBVHJM-UHFFFAOYSA-N 1 2 285.372 3.517 20 0 CHADLO Fc1ccc(-c2cnc3[nH]ccc3c2)cc1-n1cc[nH+]c1 ZINC001240394797 1130290466 /nfs/dbraw/zinc/29/04/66/1130290466.db2.gz IEZUHBSOLHGHHG-UHFFFAOYSA-N 1 2 278.290 3.506 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2cccc(C(C)(C)O)c2)c1 ZINC001240409548 1130295124 /nfs/dbraw/zinc/29/51/24/1130295124.db2.gz UEEGXXKMWUIACC-UHFFFAOYSA-N 1 2 292.382 3.982 20 0 CHADLO Cc1c(-c2cccc(C(C)(C)O)c2)ccc2[nH+]ccn21 ZINC001240410283 1130295737 /nfs/dbraw/zinc/29/57/37/1130295737.db2.gz NLWYSXGMVKIQIU-UHFFFAOYSA-N 1 2 266.344 3.537 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cnn(CCC(C)C)c1 ZINC001240420297 1130301424 /nfs/dbraw/zinc/30/14/24/1130301424.db2.gz NPOSMTQOQNSTMY-UHFFFAOYSA-N 1 2 268.364 3.552 20 0 CHADLO Cc1cc(-c2c[nH+]c(N3CCOCC3)cc2C)c(C)s1 ZINC001240430264 1130307278 /nfs/dbraw/zinc/30/72/78/1130307278.db2.gz MATHWUFVKBNQLB-UHFFFAOYSA-N 1 2 288.416 3.572 20 0 CHADLO CC(C)(C)OC(=O)c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240440451 1130314079 /nfs/dbraw/zinc/31/40/79/1130314079.db2.gz ZUPDRFPFNNMEQH-UHFFFAOYSA-N 1 2 294.354 3.957 20 0 CHADLO Cc1nn(C)c2ccc(-c3ccc(-c4[nH]cc[nH+]4)cc3)cc12 ZINC001240459692 1130319477 /nfs/dbraw/zinc/31/94/77/1130319477.db2.gz VHQQBHJXBPYEBS-UHFFFAOYSA-N 1 2 288.354 3.939 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)nc2ccccc12 ZINC001240496021 1130328182 /nfs/dbraw/zinc/32/81/82/1130328182.db2.gz CTMAISOMTHAMGC-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCc1cc2c(ncnc2-c2ccn3cc[nH+]c3c2)s1 ZINC001240496008 1130328602 /nfs/dbraw/zinc/32/86/02/1130328602.db2.gz CGWMFUAVBJGNAA-UHFFFAOYSA-N 1 2 280.356 3.568 20 0 CHADLO Fc1ccc2cnc(-c3ccn4cc[nH+]c4c3)cc2c1 ZINC001240497775 1130329066 /nfs/dbraw/zinc/32/90/66/1130329066.db2.gz ZHKVYEWLWLXEGC-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ccc(C(F)(F)F)nc1-c1ccn2cc[nH+]c2c1 ZINC001240497180 1130329148 /nfs/dbraw/zinc/32/91/48/1130329148.db2.gz LRYNPOYDZJPAKC-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO c1cn2ccc(-c3nccc(Oc4ccccc4)n3)cc2[nH+]1 ZINC001240496958 1130329808 /nfs/dbraw/zinc/32/98/08/1130329808.db2.gz UNBXWFDFSDKPEZ-UHFFFAOYSA-N 1 2 288.310 3.584 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1[nH+]c3ccccc3n1C)CC2 ZINC000677807112 1130332204 /nfs/dbraw/zinc/33/22/04/1130332204.db2.gz FYVNILHMBCREMT-HNNXBMFYSA-N 1 2 277.371 3.981 20 0 CHADLO Fc1cnc(-c2ccn3cc[nH+]c3c2)nc1-c1ccsc1 ZINC001240504096 1130333424 /nfs/dbraw/zinc/33/34/24/1130333424.db2.gz YFZRSBPEMWBOJY-UHFFFAOYSA-N 1 2 296.330 3.659 20 0 CHADLO c1cn2ccc(-c3ccc4[nH]c(C5CC5)cc4n3)cc2[nH+]1 ZINC001240503821 1130333504 /nfs/dbraw/zinc/33/35/04/1130333504.db2.gz GLHPGDLGDVQONM-UHFFFAOYSA-N 1 2 274.327 3.755 20 0 CHADLO Fc1ccc(-c2ccn3cc[nH+]c3c2)c(OC(F)F)c1 ZINC001240508815 1130334238 /nfs/dbraw/zinc/33/42/38/1130334238.db2.gz KOYLRPOINBQZMV-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO CC1(C)Oc2ccc(-c3ccn4cc[nH+]c4c3)cc2O1 ZINC001240511181 1130335645 /nfs/dbraw/zinc/33/56/45/1130335645.db2.gz ATDRYQQLJHMMKV-UHFFFAOYSA-N 1 2 266.300 3.509 20 0 CHADLO CC(C)C(=O)Nc1cccc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240510061 1130335687 /nfs/dbraw/zinc/33/56/87/1130335687.db2.gz AIEMPJDFNOBOAE-UHFFFAOYSA-N 1 2 279.343 3.596 20 0 CHADLO CSc1ncc2cc(-c3ccn4cc[nH+]c4c3)ccc2n1 ZINC001240510123 1130336093 /nfs/dbraw/zinc/33/60/93/1130336093.db2.gz CKHXELCRRMLPIV-UHFFFAOYSA-N 1 2 292.367 3.666 20 0 CHADLO CC(C)Oc1c(F)cccc1-c1ccn2cc[nH+]c2c1 ZINC001240513352 1130337192 /nfs/dbraw/zinc/33/71/92/1130337192.db2.gz NLTPHCLQKFQXEH-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO CCN(C)c1ccc(CNc2ccc([NH+](C)C)cc2C)cn1 ZINC000790951259 1130339961 /nfs/dbraw/zinc/33/99/61/1130339961.db2.gz HOXHOPDIIPKJED-UHFFFAOYSA-N 1 2 298.434 3.524 20 0 CHADLO Cc1c(-c2ccncc2)cncc1-c1ccn2cc[nH+]c2c1 ZINC001240518290 1130341357 /nfs/dbraw/zinc/34/13/57/1130341357.db2.gz NHPBOTYFLPUSAE-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO C[C@@H]([NH2+][C@H]1CSCc2ccccc21)c1cscn1 ZINC000678115175 1130349821 /nfs/dbraw/zinc/34/98/21/1130349821.db2.gz VSPOMAIRWWWAMN-YGRLFVJLSA-N 1 2 276.430 3.782 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)[C@@H](C)C(C)C ZINC000791383537 1130365886 /nfs/dbraw/zinc/36/58/86/1130365886.db2.gz XDKRGGUBPIFFBR-AWEZNQCLSA-N 1 2 286.375 3.516 20 0 CHADLO COc1ccc[nH+]c1NCc1cccc(OCC(C)C)c1 ZINC000678197457 1130370211 /nfs/dbraw/zinc/37/02/11/1130370211.db2.gz GGXVOHPKBKXFFV-UHFFFAOYSA-N 1 2 286.375 3.737 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@@H+]2Cc2cccc(C)c2C)no1 ZINC000678202321 1130371314 /nfs/dbraw/zinc/37/13/14/1130371314.db2.gz OEVKRSWXIUZINN-INIZCTEOSA-N 1 2 299.418 3.976 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@H+]2Cc2cccc(C)c2C)no1 ZINC000678202321 1130371320 /nfs/dbraw/zinc/37/13/20/1130371320.db2.gz OEVKRSWXIUZINN-INIZCTEOSA-N 1 2 299.418 3.976 20 0 CHADLO CC1(C)CCC(C)(C)N(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000827060747 1130374316 /nfs/dbraw/zinc/37/43/16/1130374316.db2.gz FVTWLISMQSREDU-UHFFFAOYSA-N 1 2 285.391 3.604 20 0 CHADLO C[N@H+](CC(F)F)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000678233426 1130374523 /nfs/dbraw/zinc/37/45/23/1130374523.db2.gz DSCBHCXTCXURQB-ZIAGYGMSSA-N 1 2 253.336 3.910 20 0 CHADLO C[N@@H+](CC(F)F)[C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000678233426 1130374527 /nfs/dbraw/zinc/37/45/27/1130374527.db2.gz DSCBHCXTCXURQB-ZIAGYGMSSA-N 1 2 253.336 3.910 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1cn2cccc(F)c2n1 ZINC000678241886 1130378618 /nfs/dbraw/zinc/37/86/18/1130378618.db2.gz PJGAMJCNZVADRP-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1cn2cccc(F)c2n1 ZINC000678241886 1130378622 /nfs/dbraw/zinc/37/86/22/1130378622.db2.gz PJGAMJCNZVADRP-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(O)cc1C ZINC001240644617 1130397222 /nfs/dbraw/zinc/39/72/22/1130397222.db2.gz BZSWLWQCOMXZGM-UHFFFAOYSA-N 1 2 280.327 3.562 20 0 CHADLO CCn1cc(-c2cc([NH+](CC)CC)ccc2C)cn1 ZINC001240654217 1130402652 /nfs/dbraw/zinc/40/26/52/1130402652.db2.gz VVFOUXYSTBPRTE-UHFFFAOYSA-N 1 2 257.381 3.725 20 0 CHADLO CCCCC[C@@H](C(=O)OCc1cc[nH+]c(N)c1)C(C)C ZINC000792012544 1130425500 /nfs/dbraw/zinc/42/55/00/1130425500.db2.gz TYCWUAJGFGZMAL-CQSZACIVSA-N 1 2 278.396 3.560 20 0 CHADLO Cc1cc(NC(=O)Nc2ccc(C3CC3)cc2)c(C)c[nH+]1 ZINC000678541979 1130426219 /nfs/dbraw/zinc/42/62/19/1130426219.db2.gz LQUZIPYQPRMHKH-UHFFFAOYSA-N 1 2 281.359 3.642 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cccc2[nH]ccc21 ZINC001240712607 1130432778 /nfs/dbraw/zinc/43/27/78/1130432778.db2.gz KFGAYFZUEPNNMU-UHFFFAOYSA-N 1 2 263.300 3.720 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1c[nH]c2ccc(F)cc12 ZINC001137341449 1130434765 /nfs/dbraw/zinc/43/47/65/1130434765.db2.gz NCVKLWDJIPNICM-LBPRGKRZSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1c[nH]c2ccc(F)cc12 ZINC001137341449 1130434768 /nfs/dbraw/zinc/43/47/68/1130434768.db2.gz NCVKLWDJIPNICM-LBPRGKRZSA-N 1 2 283.350 3.685 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1c(F)c(F)cc(F)c1F ZINC000678595447 1130435901 /nfs/dbraw/zinc/43/59/01/1130435901.db2.gz ORYOWBPGEBHMMW-SECBINFHSA-N 1 2 298.283 3.797 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1CC(C)(C)O1 ZINC001157663897 1130445172 /nfs/dbraw/zinc/44/51/72/1130445172.db2.gz ZENZIENNUJNBMH-ZDUSSCGKSA-N 1 2 256.349 3.523 20 0 CHADLO CCc1c(C)cc(-c2cc[nH+]c(N3CCOCC3)c2)cc1C ZINC001240740258 1130449893 /nfs/dbraw/zinc/44/98/93/1130449893.db2.gz XNQVPAMHYCAERV-UHFFFAOYSA-N 1 2 296.414 3.764 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(-c2ccc(F)cc2)o1)c1nccn1C ZINC000678765960 1130450402 /nfs/dbraw/zinc/45/04/02/1130450402.db2.gz BIUANCRMJQQIBI-GFCCVEGCSA-N 1 2 299.349 3.670 20 0 CHADLO CC[C@]([NH2+]C[C@@H](C)CC(C)C)(C(=O)OC)c1ccccc1 ZINC001170195249 1130461911 /nfs/dbraw/zinc/46/19/11/1130461911.db2.gz SGXXZOBGPYBVNL-MAUKXSAKSA-N 1 2 291.435 3.737 20 0 CHADLO FC(F)c1ccc(-c2cc[nH+]c(N3CCOCC3)c2)cc1 ZINC001240767680 1130462627 /nfs/dbraw/zinc/46/26/27/1130462627.db2.gz BHGWFJSAXAWZEI-UHFFFAOYSA-N 1 2 290.313 3.523 20 0 CHADLO CCc1nn(C)cc1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000678837604 1130464500 /nfs/dbraw/zinc/46/45/00/1130464500.db2.gz AYMSGWYZUXCSRO-INIZCTEOSA-N 1 2 289.810 3.583 20 0 CHADLO CCc1nn(C)cc1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000678837604 1130464504 /nfs/dbraw/zinc/46/45/04/1130464504.db2.gz AYMSGWYZUXCSRO-INIZCTEOSA-N 1 2 289.810 3.583 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2csnn2)C2CCCC2)cc1 ZINC000678920541 1130470939 /nfs/dbraw/zinc/47/09/39/1130470939.db2.gz XEOVWFFTVSAENJ-OAHLLOKOSA-N 1 2 291.395 3.698 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccc(Cl)cn2)c(C)s1 ZINC000678927627 1130471822 /nfs/dbraw/zinc/47/18/22/1130471822.db2.gz RWGBXIJIOFXWII-MRVPVSSYSA-N 1 2 281.812 3.659 20 0 CHADLO COc1ccc[nH+]c1NCc1c(C)oc2ccccc21 ZINC000678940499 1130476415 /nfs/dbraw/zinc/47/64/15/1130476415.db2.gz CPLZYGSLJLYOIX-UHFFFAOYSA-N 1 2 268.316 3.757 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1nc2c(s1)CCCC2 ZINC000678977200 1130479869 /nfs/dbraw/zinc/47/98/69/1130479869.db2.gz OYZYYLIUXUIRHD-UHFFFAOYSA-N 1 2 292.370 3.796 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1nc2c(s1)CCCC2 ZINC000678977200 1130479872 /nfs/dbraw/zinc/47/98/72/1130479872.db2.gz OYZYYLIUXUIRHD-UHFFFAOYSA-N 1 2 292.370 3.796 20 0 CHADLO COc1ccccc1[C@H]([NH2+]Cc1cocn1)C1CCCC1 ZINC000679050062 1130487061 /nfs/dbraw/zinc/48/70/61/1130487061.db2.gz WKMMLDWAUJVQAQ-QGZVFWFLSA-N 1 2 286.375 3.704 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1ccc(SCC(F)F)cc1 ZINC000679047448 1130487140 /nfs/dbraw/zinc/48/71/40/1130487140.db2.gz GRKIMYPIANEQBU-JTQLQIEISA-N 1 2 298.358 3.883 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1cocn1)c1cc2ccccc2o1 ZINC000679050050 1130487658 /nfs/dbraw/zinc/48/76/58/1130487658.db2.gz WDWJCTQUTRRVEG-MRXNPFEDSA-N 1 2 270.332 3.908 20 0 CHADLO COc1ccc2c(c1)C[C@@H]([NH2+]c1ccc(N)c(C)c1)CC2 ZINC001170415123 1130493060 /nfs/dbraw/zinc/49/30/60/1130493060.db2.gz XFPJMPYYMGOAAJ-INIZCTEOSA-N 1 2 282.387 3.555 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+](CC(F)F)CC2)cc1 ZINC000679224472 1130503312 /nfs/dbraw/zinc/50/33/12/1130503312.db2.gz IYNXPUSJJXWARS-LLVKDONJSA-N 1 2 257.299 3.660 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+](CC(F)F)CC2)cc1 ZINC000679224472 1130503320 /nfs/dbraw/zinc/50/33/20/1130503320.db2.gz IYNXPUSJJXWARS-LLVKDONJSA-N 1 2 257.299 3.660 20 0 CHADLO C[N@H+](C/C=C/Cl)[C@]1(c2ccccc2)CCCCC1=O ZINC000792881432 1130504313 /nfs/dbraw/zinc/50/43/13/1130504313.db2.gz ZYVSNTLBIWBZBE-MZTACXPWSA-N 1 2 277.795 3.709 20 0 CHADLO C[N@@H+](C/C=C/Cl)[C@]1(c2ccccc2)CCCCC1=O ZINC000792881432 1130504317 /nfs/dbraw/zinc/50/43/17/1130504317.db2.gz ZYVSNTLBIWBZBE-MZTACXPWSA-N 1 2 277.795 3.709 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccccc2Br)C[C@@H]1F ZINC000679224890 1130505576 /nfs/dbraw/zinc/50/55/76/1130505576.db2.gz UXXVGJXCXQBJBR-GWCFXTLKSA-N 1 2 286.188 3.629 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccccc2Br)C[C@@H]1F ZINC000679224890 1130505580 /nfs/dbraw/zinc/50/55/80/1130505580.db2.gz UXXVGJXCXQBJBR-GWCFXTLKSA-N 1 2 286.188 3.629 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1cccc2cccnc21 ZINC001240895364 1130507101 /nfs/dbraw/zinc/50/71/01/1130507101.db2.gz WVKLYEUWNAJSIP-UHFFFAOYSA-N 1 2 263.344 3.671 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](c1cccs1)C1CC1 ZINC000679330669 1130516317 /nfs/dbraw/zinc/51/63/17/1130516317.db2.gz PPQANIZHVCWIPH-OTYXRUKQSA-N 1 2 261.394 3.582 20 0 CHADLO CC[C@@H]([NH2+]Cc1csc(N(C)C)n1)c1ccccc1F ZINC000679344953 1130518093 /nfs/dbraw/zinc/51/80/93/1130518093.db2.gz IYUFKLGVLLVYFX-CQSZACIVSA-N 1 2 293.411 3.589 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](c1ccccc1C)C1CC1 ZINC000679342259 1130518100 /nfs/dbraw/zinc/51/81/00/1130518100.db2.gz NLFRLMUKKWGPAN-SJCJKPOMSA-N 1 2 269.392 3.828 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(OC(F)F)c(F)c2)C[C@@H]1F ZINC000679358562 1130520104 /nfs/dbraw/zinc/52/01/04/1130520104.db2.gz KTDYTQYIRCFVRU-CABZTGNLSA-N 1 2 291.288 3.607 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(OC(F)F)c(F)c2)C[C@@H]1F ZINC000679358562 1130520109 /nfs/dbraw/zinc/52/01/09/1130520109.db2.gz KTDYTQYIRCFVRU-CABZTGNLSA-N 1 2 291.288 3.607 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](CC1CC1)c1ccccc1 ZINC000679359199 1130520441 /nfs/dbraw/zinc/52/04/41/1130520441.db2.gz CHFWUUZYFBZVDL-SJKOYZFVSA-N 1 2 269.392 3.910 20 0 CHADLO Oc1ccc(C[NH2+][C@@H](c2nccs2)c2ccccc2)cc1 ZINC000679395711 1130527358 /nfs/dbraw/zinc/52/73/58/1130527358.db2.gz WKUOCWFAOHKNBV-MRXNPFEDSA-N 1 2 296.395 3.728 20 0 CHADLO CC(C)OC(=O)c1cccc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240947432 1130528891 /nfs/dbraw/zinc/52/88/91/1130528891.db2.gz FZDHNJFGRVWTQU-UHFFFAOYSA-N 1 2 280.327 3.567 20 0 CHADLO Cc1c[nH]c2ncc(-c3cc[nH+]c(N4CCCCC4)c3)cc12 ZINC001240956641 1130534728 /nfs/dbraw/zinc/53/47/28/1130534728.db2.gz GAUGXZMAHHWPAI-UHFFFAOYSA-N 1 2 292.386 3.924 20 0 CHADLO Cc1c[nH]c2ncc(-c3cc[nH+]c(N4CCCC4)c3)cc12 ZINC001240957032 1130535548 /nfs/dbraw/zinc/53/55/48/1130535548.db2.gz OQYJFIDMISLGKX-UHFFFAOYSA-N 1 2 278.359 3.534 20 0 CHADLO COc1cc(F)c(-c2cc(C)c3[nH+]ccn3c2)cc1F ZINC001240958366 1130535726 /nfs/dbraw/zinc/53/57/26/1130535726.db2.gz PTYKQCFEVKIXEL-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000679540915 1130537176 /nfs/dbraw/zinc/53/71/76/1130537176.db2.gz IHDRUDVQDNJWJQ-GXSJLCMTSA-N 1 2 288.313 3.715 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1ncc(C2CC2)o1 ZINC000679540915 1130537181 /nfs/dbraw/zinc/53/71/81/1130537181.db2.gz IHDRUDVQDNJWJQ-GXSJLCMTSA-N 1 2 288.313 3.715 20 0 CHADLO Cc1c[nH]c2ncc(-c3ccc(-c4c[nH+]cn4C)cc3)cc12 ZINC001240963563 1130540210 /nfs/dbraw/zinc/54/02/10/1130540210.db2.gz TVZVPINYMNNCAD-UHFFFAOYSA-N 1 2 288.354 3.939 20 0 CHADLO c1cnc2c(c1)C[N@@H+](Cc1cccc(-c3ccncc3)c1)C2 ZINC001137387418 1130556715 /nfs/dbraw/zinc/55/67/15/1130556715.db2.gz LAJPXTJZKKEMJA-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1cnc2c(c1)C[N@H+](Cc1cccc(-c3ccncc3)c1)C2 ZINC001137387418 1130556718 /nfs/dbraw/zinc/55/67/18/1130556718.db2.gz LAJPXTJZKKEMJA-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO Cc1ccncc1[C@@H](C)Nc1nc(C)[nH+]c2c1CCCC2 ZINC000679839372 1130558223 /nfs/dbraw/zinc/55/82/23/1130558223.db2.gz SCGHHYFZSKSEIE-GFCCVEGCSA-N 1 2 282.391 3.540 20 0 CHADLO CCc1cc(N2CC(C)(C)[C@H]2c2ccccc2)nc(C)[nH+]1 ZINC000679837436 1130558286 /nfs/dbraw/zinc/55/82/86/1130558286.db2.gz QITWPABXNMDFPP-QGZVFWFLSA-N 1 2 281.403 3.935 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CC[C@@H](C)[C@@H](C)C3)cc2[nH+]1 ZINC001137393365 1130562295 /nfs/dbraw/zinc/56/22/95/1130562295.db2.gz ZPCKRKPTYDHUAQ-NTZNESFSSA-N 1 2 285.391 3.882 20 0 CHADLO Cc1oc(-c2ccsc2)nc1C[NH2+][C@@H](C)c1ccccn1 ZINC000679937208 1130563778 /nfs/dbraw/zinc/56/37/78/1130563778.db2.gz QEUASCVJAGVEBS-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H](CCC(C)(C)C)[NH2+]c1ccc(N(C)CCO)cc1 ZINC000793544573 1130567237 /nfs/dbraw/zinc/56/72/37/1130567237.db2.gz QRWMHKOAPBYZEP-AWEZNQCLSA-N 1 2 278.440 3.742 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc3[nH+]ccn3c2)c(Cl)c1C ZINC000679988425 1130568633 /nfs/dbraw/zinc/56/86/33/1130568633.db2.gz LVYFBEMTPLYCDU-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO NC(=[NH+]OCC[C@@H]1CCCCO1)c1cccc2ccccc21 ZINC000758762494 1130624214 /nfs/dbraw/zinc/62/42/14/1130624214.db2.gz RKLUKNIKTXQKSK-HNNXBMFYSA-N 1 2 298.386 3.646 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1nc(Cl)cs1 ZINC000759184093 1130642133 /nfs/dbraw/zinc/64/21/33/1130642133.db2.gz HEYPDHPVEOFTSQ-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO CCC[N@H+](CCF)Cc1ccccc1Br ZINC000759330523 1130652280 /nfs/dbraw/zinc/65/22/80/1130652280.db2.gz MWOHPQBORIOAAL-UHFFFAOYSA-N 1 2 274.177 3.631 20 0 CHADLO CCC[N@@H+](CCF)Cc1ccccc1Br ZINC000759330523 1130652281 /nfs/dbraw/zinc/65/22/81/1130652281.db2.gz MWOHPQBORIOAAL-UHFFFAOYSA-N 1 2 274.177 3.631 20 0 CHADLO CCCC[C@H](C)N(C)c1[nH+]cnc2c1cnn2C(C)(C)C ZINC000811867406 1130652601 /nfs/dbraw/zinc/65/26/01/1130652601.db2.gz NFVQJTLNTDTPPB-LBPRGKRZSA-N 1 2 289.427 3.596 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H]2Cc3ccncc3[C@H]2C)cc1 ZINC001234589480 1130659842 /nfs/dbraw/zinc/65/98/42/1130659842.db2.gz JDJANEAKELRXCH-PXAZEXFGSA-N 1 2 296.370 3.551 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(Cl)ccc1Cl)c1cccc(O)c1 ZINC000759675277 1130669736 /nfs/dbraw/zinc/66/97/36/1130669736.db2.gz PRKHGWNUISWVJO-SECBINFHSA-N 1 2 297.185 3.945 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@@H]3CC34CCC4)c2)[nH+]c1C ZINC000759738573 1130672867 /nfs/dbraw/zinc/67/28/67/1130672867.db2.gz SYINSGOATHDSSV-HNNXBMFYSA-N 1 2 295.386 3.822 20 0 CHADLO CC(C)CC[C@H](C)N1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001256375743 1130673631 /nfs/dbraw/zinc/67/36/31/1130673631.db2.gz XOGHJZRQFWPDSS-GJZGRUSLSA-N 1 2 288.426 3.617 20 0 CHADLO CC(C)CC[C@H](C)[N@@H+]1CC[C@H](N2CCCC2)C(F)(F)C1 ZINC001256375743 1130673637 /nfs/dbraw/zinc/67/36/37/1130673637.db2.gz XOGHJZRQFWPDSS-GJZGRUSLSA-N 1 2 288.426 3.617 20 0 CHADLO CC(C)CC[C@H](C)[N@H+]1CC[C@H](N2CCCC2)C(F)(F)C1 ZINC001256375743 1130673639 /nfs/dbraw/zinc/67/36/39/1130673639.db2.gz XOGHJZRQFWPDSS-GJZGRUSLSA-N 1 2 288.426 3.617 20 0 CHADLO CC(C)CC[C@H](C)[N@@H+]1CC[C@@H](N2CCCC2)C(F)(F)C1 ZINC001256375745 1130674046 /nfs/dbraw/zinc/67/40/46/1130674046.db2.gz XOGHJZRQFWPDSS-LSDHHAIUSA-N 1 2 288.426 3.617 20 0 CHADLO CC(C)CC[C@H](C)N1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001256375745 1130674043 /nfs/dbraw/zinc/67/40/43/1130674043.db2.gz XOGHJZRQFWPDSS-LSDHHAIUSA-N 1 2 288.426 3.617 20 0 CHADLO CC(C)CC[C@H](C)[N@H+]1CC[C@@H](N2CCCC2)C(F)(F)C1 ZINC001256375745 1130674048 /nfs/dbraw/zinc/67/40/48/1130674048.db2.gz XOGHJZRQFWPDSS-LSDHHAIUSA-N 1 2 288.426 3.617 20 0 CHADLO COc1cccc2c(NC[C@@H]3CC3(Cl)Cl)cc[nH+]c12 ZINC000780520461 1130682594 /nfs/dbraw/zinc/68/25/94/1130682594.db2.gz YFOUZRKBPZRPNW-VIFPVBQESA-N 1 2 297.185 3.849 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OC[C@@H]1C[C@H]1c1ccccc1 ZINC000812306335 1130682923 /nfs/dbraw/zinc/68/29/23/1130682923.db2.gz YWBMDGMRIRTLCX-RDJZCZTQSA-N 1 2 298.361 3.670 20 0 CHADLO Cc1cc(F)c(C[NH+]2CC(C)(C)OC(C)(C)C2)c(F)c1 ZINC001235199738 1130722203 /nfs/dbraw/zinc/72/22/03/1130722203.db2.gz VUQYWGHHGXAEKT-UHFFFAOYSA-N 1 2 283.362 3.663 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC001235203320 1130722722 /nfs/dbraw/zinc/72/27/22/1130722722.db2.gz VVULBSDICGYUAX-SECBINFHSA-N 1 2 279.252 3.657 20 0 CHADLO FC(F)(F)c1ccc(Cl)nc1C[N@@H+]1CCCC12CC2 ZINC001235227613 1130724897 /nfs/dbraw/zinc/72/48/97/1130724897.db2.gz ITUAHYMSQOUQBC-UHFFFAOYSA-N 1 2 290.716 3.882 20 0 CHADLO FC(F)(F)c1ccc(Cl)nc1C[N@H+]1CCCC12CC2 ZINC001235227613 1130724900 /nfs/dbraw/zinc/72/49/00/1130724900.db2.gz ITUAHYMSQOUQBC-UHFFFAOYSA-N 1 2 290.716 3.882 20 0 CHADLO Fc1cccc2cc(C[N@@H+]3CCOc4ccccc4C3)[nH]c21 ZINC001235246982 1130728033 /nfs/dbraw/zinc/72/80/33/1130728033.db2.gz ONJWBTDLMQWFLS-UHFFFAOYSA-N 1 2 296.345 3.702 20 0 CHADLO Fc1cccc2cc(C[N@H+]3CCOc4ccccc4C3)[nH]c21 ZINC001235246982 1130728036 /nfs/dbraw/zinc/72/80/36/1130728036.db2.gz ONJWBTDLMQWFLS-UHFFFAOYSA-N 1 2 296.345 3.702 20 0 CHADLO CCc1cccc(C[N@@H+](CC)Cc2cccc(F)c2F)n1 ZINC001235261871 1130729398 /nfs/dbraw/zinc/72/93/98/1130729398.db2.gz BWUVLTXVYSNPSW-UHFFFAOYSA-N 1 2 290.357 3.944 20 0 CHADLO CCc1cccc(C[N@H+](CC)Cc2cccc(F)c2F)n1 ZINC001235261871 1130729402 /nfs/dbraw/zinc/72/94/02/1130729402.db2.gz BWUVLTXVYSNPSW-UHFFFAOYSA-N 1 2 290.357 3.944 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1cncc(F)c1Cl ZINC001235493485 1130748919 /nfs/dbraw/zinc/74/89/19/1130748919.db2.gz BTLZRTVZYWLPEQ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1cncc(F)c1Cl ZINC001235493485 1130748922 /nfs/dbraw/zinc/74/89/22/1130748922.db2.gz BTLZRTVZYWLPEQ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+](C)CC(=O)c2ccccc2)c1 ZINC001235537838 1130754143 /nfs/dbraw/zinc/75/41/43/1130754143.db2.gz LRANRAUHBJJHTO-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+](C)CC(=O)c2ccccc2)c1 ZINC001235537838 1130754146 /nfs/dbraw/zinc/75/41/46/1130754146.db2.gz LRANRAUHBJJHTO-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)c1 ZINC001235534930 1130754718 /nfs/dbraw/zinc/75/47/18/1130754718.db2.gz LMNAKLFATWJJDS-HOTGVXAUSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)c1 ZINC001235534930 1130754722 /nfs/dbraw/zinc/75/47/22/1130754722.db2.gz LMNAKLFATWJJDS-HOTGVXAUSA-N 1 2 291.822 3.982 20 0 CHADLO CCc1oc2ccccc2c1C(=O)Nc1c(C)c[nH+]cc1C ZINC001133682102 1130756192 /nfs/dbraw/zinc/75/61/92/1130756192.db2.gz KDPUENHAEKLTFE-UHFFFAOYSA-N 1 2 294.354 3.681 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2cc(Cl)c(Cl)c(Cl)c2)C1 ZINC001235590673 1130756846 /nfs/dbraw/zinc/75/68/46/1130756846.db2.gz KETZPZGXUHAQGS-SECBINFHSA-N 1 2 294.609 3.868 20 0 CHADLO c1ccc(-c2ccncc2)c(C[N@@H+]2Cc3cccnc3C2)c1 ZINC001235612766 1130756655 /nfs/dbraw/zinc/75/66/55/1130756655.db2.gz QATZSXBMWOVFJI-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(-c2ccncc2)c(C[N@H+]2Cc3cccnc3C2)c1 ZINC001235612766 1130756662 /nfs/dbraw/zinc/75/66/62/1130756662.db2.gz QATZSXBMWOVFJI-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2cc(Cl)c(Cl)c(Cl)c2)C1 ZINC001235590673 1130756852 /nfs/dbraw/zinc/75/68/52/1130756852.db2.gz KETZPZGXUHAQGS-SECBINFHSA-N 1 2 294.609 3.868 20 0 CHADLO CCC[N@@H+](CC)Cc1c(Br)ccnc1Cl ZINC001235872249 1130790360 /nfs/dbraw/zinc/79/03/60/1130790360.db2.gz OCJZZIYWPWFGEP-UHFFFAOYSA-N 1 2 291.620 3.729 20 0 CHADLO Cc1nc2cc(C[N@@H+]3CC[C@@](C)(F)[C@H](F)C3)ccc2s1 ZINC001235849704 1130787380 /nfs/dbraw/zinc/78/73/80/1130787380.db2.gz FAMCRXOYOPSDTP-HUUCEWRRSA-N 1 2 296.386 3.877 20 0 CHADLO Cc1nc2cc(C[N@H+]3CC[C@@](C)(F)[C@H](F)C3)ccc2s1 ZINC001235849704 1130787386 /nfs/dbraw/zinc/78/73/86/1130787386.db2.gz FAMCRXOYOPSDTP-HUUCEWRRSA-N 1 2 296.386 3.877 20 0 CHADLO CC[N@H+](Cc1c(Br)ccnc1Cl)C(C)C ZINC001235872598 1130789678 /nfs/dbraw/zinc/78/96/78/1130789678.db2.gz ZMTOYZFDMDDYFR-UHFFFAOYSA-N 1 2 291.620 3.728 20 0 CHADLO CC[N@@H+](Cc1c(Br)ccnc1Cl)C(C)C ZINC001235872598 1130789682 /nfs/dbraw/zinc/78/96/82/1130789682.db2.gz ZMTOYZFDMDDYFR-UHFFFAOYSA-N 1 2 291.620 3.728 20 0 CHADLO C[C@H]1[C@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@H](C)C3)cc2)C[C@@H]1C ZINC000813868139 1130790327 /nfs/dbraw/zinc/79/03/27/1130790327.db2.gz SCVFBYWJRLRHBP-BHDXSGHGSA-N 1 2 288.435 3.757 20 0 CHADLO CCC[N@H+](CC)Cc1c(Br)ccnc1Cl ZINC001235872249 1130790356 /nfs/dbraw/zinc/79/03/56/1130790356.db2.gz OCJZZIYWPWFGEP-UHFFFAOYSA-N 1 2 291.620 3.729 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OCCCc1ccsc1 ZINC000782945557 1130792119 /nfs/dbraw/zinc/79/21/19/1130792119.db2.gz ULKNVAUIQUSCHN-UHFFFAOYSA-N 1 2 274.389 3.536 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]O[C@H]1CCCc2ccccc21 ZINC000783161184 1130799214 /nfs/dbraw/zinc/79/92/14/1130799214.db2.gz XMDKRKDUYGJPEY-KRWDZBQOSA-N 1 2 298.361 3.944 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OCc1ccccc1Cl ZINC000783158132 1130799955 /nfs/dbraw/zinc/79/99/55/1130799955.db2.gz RDIJOUHOAFGNPK-UHFFFAOYSA-N 1 2 292.741 3.720 20 0 CHADLO Cc1cccc(C)c1C[NH2+][C@H](c1ncc[nH]1)c1ccccc1 ZINC000783252841 1130808411 /nfs/dbraw/zinc/80/84/11/1130808411.db2.gz DHNMQPMMCWTVSY-SFHVURJKSA-N 1 2 291.398 3.906 20 0 CHADLO COC[C@@H](Nc1ccc([NH+](C)C)c(C)c1)C1CCCC1 ZINC000783286497 1130811117 /nfs/dbraw/zinc/81/11/17/1130811117.db2.gz YPDWXJVWHCGTOH-MRXNPFEDSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1cn2c(cccc2-c2ccnn2Cc2ccccc2)[nH+]1 ZINC001236047280 1130836920 /nfs/dbraw/zinc/83/69/20/1130836920.db2.gz FKOAJTLDDYBWCG-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO FC(F)(F)c1nc(Cl)ccc1COc1cc[nH+]cc1 ZINC000814266223 1130854571 /nfs/dbraw/zinc/85/45/71/1130854571.db2.gz ZBIGKLUZRUUTBC-UHFFFAOYSA-N 1 2 288.656 3.728 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(Cl)cc1 ZINC001236095999 1130859651 /nfs/dbraw/zinc/85/96/51/1130859651.db2.gz UYBZSOYALKWPLU-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO COc1cc(-c2cn3cc[nH+]c3cc2C)cc(C)c1F ZINC001236189667 1130881490 /nfs/dbraw/zinc/88/14/90/1130881490.db2.gz DALRMVXHAFTLIC-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO COc1cc(C)cc(F)c1-c1cc(N2CCCC2)cc[nH+]1 ZINC001236212594 1130889670 /nfs/dbraw/zinc/88/96/70/1130889670.db2.gz YVBXARMTBMEKEV-UHFFFAOYSA-N 1 2 286.350 3.805 20 0 CHADLO COc1cc(C)cc(F)c1-c1cn2cc[nH+]c2cc1C ZINC001236221880 1130895225 /nfs/dbraw/zinc/89/52/25/1130895225.db2.gz KXXFYPXYGHMDAV-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO CCOC(=O)c1cc2c(ccc(-c3ccc(N)[nH+]c3)c2C)o1 ZINC001236317115 1130913102 /nfs/dbraw/zinc/91/31/02/1130913102.db2.gz QCAWCBYKZMUCAW-UHFFFAOYSA-N 1 2 296.326 3.562 20 0 CHADLO Nc1ccc(-c2ccc3cc(C(F)(F)F)cnc3c2)c[nH+]1 ZINC001236317681 1130913557 /nfs/dbraw/zinc/91/35/57/1130913557.db2.gz UMMWJFCCIDJDSY-UHFFFAOYSA-N 1 2 289.260 3.898 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2c(F)ccc(C)c2O)c1 ZINC001236404283 1130929531 /nfs/dbraw/zinc/92/95/31/1130929531.db2.gz DNOMAHKLAABELC-UHFFFAOYSA-N 1 2 273.307 3.869 20 0 CHADLO CC[C@H]1CCCC[C@H]1C(=O)OCc1cccc2[nH+]ccn21 ZINC000796784597 1130933294 /nfs/dbraw/zinc/93/32/94/1130933294.db2.gz LOISYUHYNVXDDV-DZGCQCFKSA-N 1 2 286.375 3.594 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(NC(C)C)cc1 ZINC001236489102 1130955581 /nfs/dbraw/zinc/95/55/81/1130955581.db2.gz NIQZJLOTKRCVFL-UHFFFAOYSA-N 1 2 281.359 3.830 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)ccc1C(=O)OC(C)(C)C ZINC001159282130 1130956923 /nfs/dbraw/zinc/95/69/23/1130956923.db2.gz OFPOMVWCMNWWFP-UHFFFAOYSA-N 1 2 299.374 3.671 20 0 CHADLO CCOc1cc(F)c(Nc2ccc(N)[nH+]c2)cc1Cl ZINC001159282092 1130957709 /nfs/dbraw/zinc/95/77/09/1130957709.db2.gz MRVHORSTDOXYIA-UHFFFAOYSA-N 1 2 281.718 3.599 20 0 CHADLO CCOc1ncc(Cl)cc1-c1ccc2[nH+]ccn2c1C ZINC001236499449 1130958032 /nfs/dbraw/zinc/95/80/32/1130958032.db2.gz RIHBJURAJRMROQ-UHFFFAOYSA-N 1 2 287.750 3.757 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@@H+]1Cc1csc(COc2ccccc2)n1 ZINC000815163948 1130963090 /nfs/dbraw/zinc/96/30/90/1130963090.db2.gz XUCFNVGARWNLEI-QWHCGFSZSA-N 1 2 288.416 3.562 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@H+]1Cc1csc(COc2ccccc2)n1 ZINC000815163948 1130963093 /nfs/dbraw/zinc/96/30/93/1130963093.db2.gz XUCFNVGARWNLEI-QWHCGFSZSA-N 1 2 288.416 3.562 20 0 CHADLO CC(C)Oc1cncc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001236523091 1130963396 /nfs/dbraw/zinc/96/33/96/1130963396.db2.gz MHHRMCBBFCOPDB-UHFFFAOYSA-N 1 2 297.333 3.861 20 0 CHADLO CCOc1ccc(C)c(F)c1-c1c[nH+]c(C)cc1OC ZINC001236538943 1130967977 /nfs/dbraw/zinc/96/79/77/1130967977.db2.gz IMWYPSCFKKKSEL-UHFFFAOYSA-N 1 2 275.323 3.912 20 0 CHADLO C[N@H+](Cc1ccc2c(c1)COC2)Cc1c(F)cccc1F ZINC000815170611 1130969567 /nfs/dbraw/zinc/96/95/67/1130969567.db2.gz YYWNEPQSJUOBAA-UHFFFAOYSA-N 1 2 289.325 3.627 20 0 CHADLO C[N@@H+](Cc1ccc2c(c1)COC2)Cc1c(F)cccc1F ZINC000815170611 1130969572 /nfs/dbraw/zinc/96/95/72/1130969572.db2.gz YYWNEPQSJUOBAA-UHFFFAOYSA-N 1 2 289.325 3.627 20 0 CHADLO COC(=[NH2+])c1cccc(-c2ccnc(OC(C)C)c2)c1 ZINC001236560024 1130975758 /nfs/dbraw/zinc/97/57/58/1130975758.db2.gz CQEBWBMCDRLYIA-UHFFFAOYSA-N 1 2 270.332 3.508 20 0 CHADLO Clc1nccnc1C[N@H+](Cc1ccccc1)C1CCC1 ZINC001236616990 1130984516 /nfs/dbraw/zinc/98/45/16/1130984516.db2.gz GXSCLZJVSNIQQE-UHFFFAOYSA-N 1 2 287.794 3.685 20 0 CHADLO Clc1nccnc1C[N@@H+](Cc1ccccc1)C1CCC1 ZINC001236616990 1130984522 /nfs/dbraw/zinc/98/45/22/1130984522.db2.gz GXSCLZJVSNIQQE-UHFFFAOYSA-N 1 2 287.794 3.685 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1nccnc1Cl ZINC001236616458 1130985694 /nfs/dbraw/zinc/98/56/94/1130985694.db2.gz AWSWIMWCKMPKOB-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1nccnc1Cl ZINC001236616458 1130985695 /nfs/dbraw/zinc/98/56/95/1130985695.db2.gz AWSWIMWCKMPKOB-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCc3ccsc3C2)n1 ZINC001236640274 1130986256 /nfs/dbraw/zinc/98/62/56/1130986256.db2.gz VOLLOGLVVKRPSO-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCc3ccsc3C2)n1 ZINC001236640274 1130986261 /nfs/dbraw/zinc/98/62/61/1130986261.db2.gz VOLLOGLVVKRPSO-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC[C@@H]2c2ccccn2)n1 ZINC001236639762 1130987786 /nfs/dbraw/zinc/98/77/86/1130987786.db2.gz SFXRAHCEQZLVEZ-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC[C@@H]2c2ccccn2)n1 ZINC001236639762 1130987788 /nfs/dbraw/zinc/98/77/88/1130987788.db2.gz SFXRAHCEQZLVEZ-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(Cl)c(F)c(Cl)c2)CCCO1 ZINC001236652495 1130988365 /nfs/dbraw/zinc/98/83/65/1130988365.db2.gz DLLWYJFUDLJTLM-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(Cl)c(F)c(Cl)c2)CCCO1 ZINC001236652495 1130988369 /nfs/dbraw/zinc/98/83/69/1130988369.db2.gz DLLWYJFUDLJTLM-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO c1cc2c(cccc2C[NH+]2CC(Oc3cccnc3)C2)s1 ZINC001236680023 1130992561 /nfs/dbraw/zinc/99/25/61/1130992561.db2.gz SUUGNASSBUVWMB-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(Cl)nc1N ZINC001159379204 1131001160 /nfs/dbraw/zinc/00/11/60/1131001160.db2.gz FQYCEOIDUCRDDT-UHFFFAOYSA-N 1 2 274.755 3.642 20 0 CHADLO C[C@@H]([NH2+]Cc1cnsn1)c1ccc(F)c2ccccc21 ZINC001170795409 1131012856 /nfs/dbraw/zinc/01/28/56/1131012856.db2.gz TYCISVMQHZNFRE-SNVBAGLBSA-N 1 2 287.363 3.681 20 0 CHADLO COc1cc(C)c([C@@H](C)[NH2+]CC(C)(F)F)cc1C ZINC000815644968 1131015840 /nfs/dbraw/zinc/01/58/40/1131015840.db2.gz RKZBJNNOEUYDSP-LLVKDONJSA-N 1 2 257.324 3.618 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@H]32)c1Cl ZINC001236849847 1131022085 /nfs/dbraw/zinc/02/20/85/1131022085.db2.gz BPLPCJPVZNHOHK-LSDHHAIUSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cccc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@H]32)c1Cl ZINC001236849847 1131022091 /nfs/dbraw/zinc/02/20/91/1131022091.db2.gz BPLPCJPVZNHOHK-LSDHHAIUSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1nc2ccc(-c3c[nH+]c(N)c(C)c3)cc2s1 ZINC001245538158 1131025697 /nfs/dbraw/zinc/02/56/97/1131025697.db2.gz XLYMYAXLEAKHMA-UHFFFAOYSA-N 1 2 255.346 3.557 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)c2occc21 ZINC001236890966 1131028726 /nfs/dbraw/zinc/02/87/26/1131028726.db2.gz ORTOZZNSIQKCQL-LBPRGKRZSA-N 1 2 287.256 3.751 20 0 CHADLO Fc1ccc(C[N@H+]2CCC(F)(F)[C@@H](F)C2)c2occc21 ZINC001236890966 1131028733 /nfs/dbraw/zinc/02/87/33/1131028733.db2.gz ORTOZZNSIQKCQL-LBPRGKRZSA-N 1 2 287.256 3.751 20 0 CHADLO C/C(=C/C(=O)Nc1ccn2cc[nH+]c2c1)c1cccc(F)c1 ZINC000798261336 1131032010 /nfs/dbraw/zinc/03/20/10/1131032010.db2.gz ANKXEAWRPYFSMW-XFXZXTDPSA-N 1 2 295.317 3.515 20 0 CHADLO C[N@H+](CCF)Cc1ccc(-c2ccc(Cl)cc2)nc1 ZINC001237015791 1131036354 /nfs/dbraw/zinc/03/63/54/1131036354.db2.gz JYEPTYWCHIDSEA-UHFFFAOYSA-N 1 2 278.758 3.803 20 0 CHADLO C[N@@H+](CCF)Cc1ccc(-c2ccc(Cl)cc2)nc1 ZINC001237015791 1131036360 /nfs/dbraw/zinc/03/63/60/1131036360.db2.gz JYEPTYWCHIDSEA-UHFFFAOYSA-N 1 2 278.758 3.803 20 0 CHADLO Oc1cc2ccccc2c(C[N@@H+]2CCC(F)(F)[C@H](F)C2)c1 ZINC001237066975 1131044759 /nfs/dbraw/zinc/04/47/59/1131044759.db2.gz YTPJKGXTBVPLEU-OAHLLOKOSA-N 1 2 295.304 3.725 20 0 CHADLO Oc1cc2ccccc2c(C[N@H+]2CCC(F)(F)[C@H](F)C2)c1 ZINC001237066975 1131044765 /nfs/dbraw/zinc/04/47/65/1131044765.db2.gz YTPJKGXTBVPLEU-OAHLLOKOSA-N 1 2 295.304 3.725 20 0 CHADLO COc1ccc2c(c1)n[nH]c2C[N@@H+]1CC[C@H]1c1ccccc1 ZINC001237388236 1131093742 /nfs/dbraw/zinc/09/37/42/1131093742.db2.gz HBEYFYIZTVGLCH-SFHVURJKSA-N 1 2 293.370 3.519 20 0 CHADLO COc1ccc2c(c1)n[nH]c2C[N@H+]1CC[C@H]1c1ccccc1 ZINC001237388236 1131093749 /nfs/dbraw/zinc/09/37/49/1131093749.db2.gz HBEYFYIZTVGLCH-SFHVURJKSA-N 1 2 293.370 3.519 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cc(Cl)nc(Cl)c1O ZINC001237524784 1131110730 /nfs/dbraw/zinc/11/07/30/1131110730.db2.gz HYSGIHWJTAYJNX-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(-c3ccccc3)n2)CCC1=O ZINC001237546532 1131113799 /nfs/dbraw/zinc/11/37/99/1131113799.db2.gz LUOSESNKSPBQLH-UHFFFAOYSA-N 1 2 294.398 3.550 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(-c3ccccc3)n2)CCC1=O ZINC001237546532 1131113802 /nfs/dbraw/zinc/11/38/02/1131113802.db2.gz LUOSESNKSPBQLH-UHFFFAOYSA-N 1 2 294.398 3.550 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2C[C@H](C)[C@H]2C)cc1Cl ZINC000816646871 1131119070 /nfs/dbraw/zinc/11/90/70/1131119070.db2.gz JYSUNIHFLQYORM-DTWKUNHWSA-N 1 2 274.191 3.842 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2C[C@H](C)[C@H]2C)cc1Cl ZINC000816646871 1131119072 /nfs/dbraw/zinc/11/90/72/1131119072.db2.gz JYSUNIHFLQYORM-DTWKUNHWSA-N 1 2 274.191 3.842 20 0 CHADLO CO[C@@H](C)C1C[NH+](Cc2c(Cl)cc(F)cc2Cl)C1 ZINC001237602938 1131119359 /nfs/dbraw/zinc/11/93/59/1131119359.db2.gz NOUUXRNUWCZCRX-QMMMGPOBSA-N 1 2 292.181 3.599 20 0 CHADLO C[C@H]1C[N@H+](Cc2c(Cl)cccc2OC(F)F)[C@H]1C ZINC000816646664 1131119565 /nfs/dbraw/zinc/11/95/65/1131119565.db2.gz HAEMFTOTZXPZFC-IUCAKERBSA-N 1 2 275.726 3.782 20 0 CHADLO C[C@H]1C[N@@H+](Cc2c(Cl)cccc2OC(F)F)[C@H]1C ZINC000816646664 1131119571 /nfs/dbraw/zinc/11/95/71/1131119571.db2.gz HAEMFTOTZXPZFC-IUCAKERBSA-N 1 2 275.726 3.782 20 0 CHADLO Cc1coc(C[N@@H+](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC001237649680 1131124094 /nfs/dbraw/zinc/12/40/94/1131124094.db2.gz QPOZOBJERBSBER-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1coc(C[N@H+](C)[C@@H](C)c2ccc(Cl)cc2)n1 ZINC001237649680 1131124097 /nfs/dbraw/zinc/12/40/97/1131124097.db2.gz QPOZOBJERBSBER-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1c(F)cc(C(F)(F)F)cc1F ZINC001237706299 1131131111 /nfs/dbraw/zinc/13/11/11/1131131111.db2.gz STSZTDQALCJNIP-SSDOTTSWSA-N 1 2 265.225 3.578 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1c(F)cc(C(F)(F)F)cc1F ZINC001237706299 1131131116 /nfs/dbraw/zinc/13/11/16/1131131116.db2.gz STSZTDQALCJNIP-SSDOTTSWSA-N 1 2 265.225 3.578 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccccn2)C2CCCCC2)nc1 ZINC001237809248 1131143416 /nfs/dbraw/zinc/14/34/16/1131143416.db2.gz YTNQGJDXUCHBGN-UHFFFAOYSA-N 1 2 296.418 3.515 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccccn2)C2CCCCC2)nc1 ZINC001237809248 1131143418 /nfs/dbraw/zinc/14/34/18/1131143418.db2.gz YTNQGJDXUCHBGN-UHFFFAOYSA-N 1 2 296.418 3.515 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001237903881 1131149625 /nfs/dbraw/zinc/14/96/25/1131149625.db2.gz RJKPDTMUVBQMHS-BXUZGUMPSA-N 1 2 269.335 3.547 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001237903881 1131149627 /nfs/dbraw/zinc/14/96/27/1131149627.db2.gz RJKPDTMUVBQMHS-BXUZGUMPSA-N 1 2 269.335 3.547 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@@H](CC(F)(F)F)C2)o1 ZINC000817281870 1131150802 /nfs/dbraw/zinc/15/08/02/1131150802.db2.gz RONSLTNOQLHSRE-NSHDSACASA-N 1 2 290.329 3.962 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@@H](CC(F)(F)F)C2)o1 ZINC000817281870 1131150805 /nfs/dbraw/zinc/15/08/05/1131150805.db2.gz RONSLTNOQLHSRE-NSHDSACASA-N 1 2 290.329 3.962 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](CC)c2ccc(F)cc2)n1 ZINC001116543042 1131154612 /nfs/dbraw/zinc/15/46/12/1131154612.db2.gz ZEXYDPWAJOUQDU-AWEZNQCLSA-N 1 2 291.370 3.792 20 0 CHADLO CCC[N@@H+](Cc1cc(F)c(O)c(Cl)c1)CC1CC1 ZINC001237991152 1131157533 /nfs/dbraw/zinc/15/75/33/1131157533.db2.gz WJCNXACHBQXYKD-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO CCC[N@H+](Cc1cc(F)c(O)c(Cl)c1)CC1CC1 ZINC001237991152 1131157535 /nfs/dbraw/zinc/15/75/35/1131157535.db2.gz WJCNXACHBQXYKD-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)[C@H]1C ZINC001237990846 1131158530 /nfs/dbraw/zinc/15/85/30/1131158530.db2.gz ZKVBAUBUJMRKJI-UWVGGRQHSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)[C@H]1C ZINC001237990846 1131158532 /nfs/dbraw/zinc/15/85/32/1131158532.db2.gz ZKVBAUBUJMRKJI-UWVGGRQHSA-N 1 2 271.763 3.805 20 0 CHADLO CC1(C)CC[NH+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237987607 1131158790 /nfs/dbraw/zinc/15/87/90/1131158790.db2.gz BFBPXLTWABTVMG-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237984329 1131158823 /nfs/dbraw/zinc/15/88/23/1131158823.db2.gz NRWULHGTFZRIAV-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)c(Cl)c1)C[C@@H]1CC1(C)C ZINC001237996925 1131159895 /nfs/dbraw/zinc/15/98/95/1131159895.db2.gz KDGKFRIOMHYIME-JTQLQIEISA-N 1 2 271.763 3.663 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)c(Cl)c1)C[C@@H]1CC1(C)C ZINC001237996925 1131159898 /nfs/dbraw/zinc/15/98/98/1131159898.db2.gz KDGKFRIOMHYIME-JTQLQIEISA-N 1 2 271.763 3.663 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@@H+]1CC2CCC1CC2 ZINC001238001276 1131160019 /nfs/dbraw/zinc/16/00/19/1131160019.db2.gz UZWALAMIRVTUMR-UHFFFAOYSA-N 1 2 275.783 3.591 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@H+]1CC2CCC1CC2 ZINC001238001276 1131160020 /nfs/dbraw/zinc/16/00/20/1131160020.db2.gz UZWALAMIRVTUMR-UHFFFAOYSA-N 1 2 275.783 3.591 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@@H+]1CCC[C@@H]2CCC[C@H]21 ZINC001238000620 1131160179 /nfs/dbraw/zinc/16/01/79/1131160179.db2.gz LYQVHLVINCUJDI-MEDUHNTESA-N 1 2 289.810 3.981 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@H+]1CCC[C@@H]2CCC[C@H]21 ZINC001238000620 1131160185 /nfs/dbraw/zinc/16/01/85/1131160185.db2.gz LYQVHLVINCUJDI-MEDUHNTESA-N 1 2 289.810 3.981 20 0 CHADLO CCCCC[N@H+](C)Cc1scnc1Br ZINC001238030460 1131163836 /nfs/dbraw/zinc/16/38/36/1131163836.db2.gz QIFWKZYYVODYSY-UHFFFAOYSA-N 1 2 277.231 3.528 20 0 CHADLO CCCCC[N@@H+](C)Cc1scnc1Br ZINC001238030460 1131163839 /nfs/dbraw/zinc/16/38/39/1131163839.db2.gz QIFWKZYYVODYSY-UHFFFAOYSA-N 1 2 277.231 3.528 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)O[C@@H](C)C2)cc(C)c1Cl ZINC001238088791 1131169903 /nfs/dbraw/zinc/16/99/03/1131169903.db2.gz KXQMLQQONGOWLZ-BETUJISGSA-N 1 2 267.800 3.566 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)O[C@@H](C)C2)cc(C)c1Cl ZINC001238088791 1131169906 /nfs/dbraw/zinc/16/99/06/1131169906.db2.gz KXQMLQQONGOWLZ-BETUJISGSA-N 1 2 267.800 3.566 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(Cl)c(O)cc2F)[C@@H]1C ZINC001238153143 1131176014 /nfs/dbraw/zinc/17/60/14/1131176014.db2.gz XNJJMIGRZYSQGL-NXEZZACHSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(Cl)c(O)cc2F)[C@@H]1C ZINC001238153143 1131176017 /nfs/dbraw/zinc/17/60/17/1131176017.db2.gz XNJJMIGRZYSQGL-NXEZZACHSA-N 1 2 271.763 3.805 20 0 CHADLO C[N@H+](CCc1ccc(F)cc1)Cc1c(F)ccnc1Cl ZINC001238231293 1131185772 /nfs/dbraw/zinc/18/57/72/1131185772.db2.gz UGKZJQXMSIBBHM-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1ccc(F)cc1)Cc1c(F)ccnc1Cl ZINC001238231293 1131185776 /nfs/dbraw/zinc/18/57/76/1131185776.db2.gz UGKZJQXMSIBBHM-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1ccc(F)c(C(F)(F)F)c1F ZINC001238249292 1131185963 /nfs/dbraw/zinc/18/59/63/1131185963.db2.gz XXXDOKSKPXYOHI-MRVPVSSYSA-N 1 2 279.252 3.968 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1ccc(F)c(C(F)(F)F)c1F ZINC001238249292 1131185964 /nfs/dbraw/zinc/18/59/64/1131185964.db2.gz XXXDOKSKPXYOHI-MRVPVSSYSA-N 1 2 279.252 3.968 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[N@@H+]1CC[C@]12CCOC2 ZINC001238266946 1131188831 /nfs/dbraw/zinc/18/88/31/1131188831.db2.gz KLFHTNCJIWYNPM-CQSZACIVSA-N 1 2 286.202 3.667 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[N@H+]1CC[C@]12CCOC2 ZINC001238266946 1131188834 /nfs/dbraw/zinc/18/88/34/1131188834.db2.gz KLFHTNCJIWYNPM-CQSZACIVSA-N 1 2 286.202 3.667 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cnc(-c3ccccc3)s2)no1 ZINC001117670580 1131191390 /nfs/dbraw/zinc/19/13/90/1131191390.db2.gz DMIWRSYUPFFDPC-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@@H]2c2ccccc2)c(Cl)cn1 ZINC001238353089 1131192561 /nfs/dbraw/zinc/19/25/61/1131192561.db2.gz CKMQEWNCSVUINK-OAHLLOKOSA-N 1 2 288.778 3.691 20 0 CHADLO COc1cc(C[N@H+]2CC[C@@H]2c2ccccc2)c(Cl)cn1 ZINC001238353089 1131192565 /nfs/dbraw/zinc/19/25/65/1131192565.db2.gz CKMQEWNCSVUINK-OAHLLOKOSA-N 1 2 288.778 3.691 20 0 CHADLO CCOc1c(Br)cc(C)cc1C[N@@H+]1CC[C@H]1C ZINC001238393832 1131196206 /nfs/dbraw/zinc/19/62/06/1131196206.db2.gz LNVQSCHSJVPIQP-LLVKDONJSA-N 1 2 298.224 3.750 20 0 CHADLO CCOc1c(Br)cc(C)cc1C[N@H+]1CC[C@H]1C ZINC001238393832 1131196210 /nfs/dbraw/zinc/19/62/10/1131196210.db2.gz LNVQSCHSJVPIQP-LLVKDONJSA-N 1 2 298.224 3.750 20 0 CHADLO CC(C)Oc1cccc(C[N@H+](C)Cc2cccnc2)c1F ZINC001238423184 1131196930 /nfs/dbraw/zinc/19/69/30/1131196930.db2.gz YDJFUMHFLYNBGN-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+](C)Cc2cccnc2)c1F ZINC001238423184 1131196934 /nfs/dbraw/zinc/19/69/34/1131196934.db2.gz YDJFUMHFLYNBGN-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H]2c2ccccc2)ncc1Cl ZINC001238424500 1131198964 /nfs/dbraw/zinc/19/89/64/1131198964.db2.gz YYEABVOZEBYOPZ-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H]2c2ccccc2)ncc1Cl ZINC001238424500 1131198967 /nfs/dbraw/zinc/19/89/67/1131198967.db2.gz YYEABVOZEBYOPZ-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1ncoc1C(C)C ZINC001117868205 1131204562 /nfs/dbraw/zinc/20/45/62/1131204562.db2.gz LSGIRFXOUYJYOT-CYBMUJFWSA-N 1 2 288.391 3.966 20 0 CHADLO CCOc1ccc(NCc2c[nH+]cn2C(C)C)c(F)c1 ZINC000818216335 1131205173 /nfs/dbraw/zinc/20/51/73/1131205173.db2.gz MDQSNQSZIAATBP-UHFFFAOYSA-N 1 2 277.343 3.614 20 0 CHADLO COc1c(C[N@@H+]2CCc3ccccc3C2)ccc(F)c1F ZINC001238476563 1131205402 /nfs/dbraw/zinc/20/54/02/1131205402.db2.gz UXOYUMQADXWGOO-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1c(C[N@H+]2CCc3ccccc3C2)ccc(F)c1F ZINC001238476563 1131205407 /nfs/dbraw/zinc/20/54/07/1131205407.db2.gz UXOYUMQADXWGOO-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(NCc2c[nH+]cn2C(C)C)c2ncccc12 ZINC000818203646 1131206055 /nfs/dbraw/zinc/20/60/55/1131206055.db2.gz JIWOSKQYGINQDR-UHFFFAOYSA-N 1 2 296.374 3.633 20 0 CHADLO CCCCOc1ncc(C[N@@H+]2CCC[C@@H](F)C2)cc1C ZINC001238489368 1131208909 /nfs/dbraw/zinc/20/89/09/1131208909.db2.gz PHEAANRADFEKTO-OAHLLOKOSA-N 1 2 280.387 3.503 20 0 CHADLO CCCCOc1ncc(C[N@H+]2CCC[C@@H](F)C2)cc1C ZINC001238489368 1131208913 /nfs/dbraw/zinc/20/89/13/1131208913.db2.gz PHEAANRADFEKTO-OAHLLOKOSA-N 1 2 280.387 3.503 20 0 CHADLO Fc1cc(OCc2ccccc2)c(F)cc1C[NH+]1CCC1 ZINC001238510758 1131210360 /nfs/dbraw/zinc/21/03/60/1131210360.db2.gz LGZBMBKVIYLJNH-UHFFFAOYSA-N 1 2 289.325 3.750 20 0 CHADLO Cc1c(Cl)ccc(C[N@H+](C)Cc2ccncc2)c1F ZINC001238513348 1131212516 /nfs/dbraw/zinc/21/25/16/1131212516.db2.gz SFHMYWOIDNDEAI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1c(Cl)ccc(C[N@@H+](C)Cc2ccncc2)c1F ZINC001238513348 1131212521 /nfs/dbraw/zinc/21/25/21/1131212521.db2.gz SFHMYWOIDNDEAI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@@H+]2CCC23COC3)ccc1Oc1ccccc1 ZINC001238524100 1131214096 /nfs/dbraw/zinc/21/40/96/1131214096.db2.gz OEVLQDYIISHKFO-UHFFFAOYSA-N 1 2 295.382 3.762 20 0 CHADLO Cc1cc(C[N@H+]2CCC23COC3)ccc1Oc1ccccc1 ZINC001238524100 1131214098 /nfs/dbraw/zinc/21/40/98/1131214098.db2.gz OEVLQDYIISHKFO-UHFFFAOYSA-N 1 2 295.382 3.762 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)Cc2cnc3ccccn23)c1 ZINC001238560748 1131216989 /nfs/dbraw/zinc/21/69/89/1131216989.db2.gz PBTGTMROGPHBSQ-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)Cc2cnc3ccccn23)c1 ZINC001238560748 1131216993 /nfs/dbraw/zinc/21/69/93/1131216993.db2.gz PBTGTMROGPHBSQ-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO CC(C)[N@H+](Cc1ccc(F)cc1)Cc1cc(F)ncc1F ZINC000823399741 1131424945 /nfs/dbraw/zinc/42/49/45/1131424945.db2.gz PTXVKAILYAALHZ-UHFFFAOYSA-N 1 2 294.320 3.910 20 0 CHADLO CC(C)[N@@H+](Cc1ccc(F)cc1)Cc1cc(F)ncc1F ZINC000823399741 1131424948 /nfs/dbraw/zinc/42/49/48/1131424948.db2.gz PTXVKAILYAALHZ-UHFFFAOYSA-N 1 2 294.320 3.910 20 0 CHADLO C[N@H+](Cc1cccc(F)c1)Cc1cc(F)cc(F)c1F ZINC001137868410 1131425933 /nfs/dbraw/zinc/42/59/33/1131425933.db2.gz JTJCTUJTOKREJT-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1)Cc1cc(F)cc(F)c1F ZINC001137868410 1131425935 /nfs/dbraw/zinc/42/59/35/1131425935.db2.gz JTJCTUJTOKREJT-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1ccnc(Cl)c1F ZINC000823442551 1131427348 /nfs/dbraw/zinc/42/73/48/1131427348.db2.gz IBEJWMNPWJTNAE-SNVBAGLBSA-N 1 2 294.757 3.773 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1ccnc(Cl)c1F ZINC000823442551 1131427351 /nfs/dbraw/zinc/42/73/51/1131427351.db2.gz IBEJWMNPWJTNAE-SNVBAGLBSA-N 1 2 294.757 3.773 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(F)ccc(Cl)c2F)[C@@H](C)C1 ZINC001137885441 1131429066 /nfs/dbraw/zinc/42/90/66/1131429066.db2.gz QOMXDWHQBXDYCK-UWVGGRQHSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(F)ccc(Cl)c2F)[C@@H](C)C1 ZINC001137885441 1131429068 /nfs/dbraw/zinc/42/90/68/1131429068.db2.gz QOMXDWHQBXDYCK-UWVGGRQHSA-N 1 2 289.753 3.618 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)ccc(F)c2F)CCC1(F)F ZINC001137927092 1131437026 /nfs/dbraw/zinc/43/70/26/1131437026.db2.gz NNPMJSAKQCPJPY-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)ccc(F)c2F)CCC1(F)F ZINC001137927092 1131437029 /nfs/dbraw/zinc/43/70/29/1131437029.db2.gz NNPMJSAKQCPJPY-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2ccnc(Cl)c2F)o1 ZINC000823671187 1131437245 /nfs/dbraw/zinc/43/72/45/1131437245.db2.gz JUZNNBPSGQOMJL-SKDRFNHKSA-N 1 2 294.757 3.880 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2ccnc(Cl)c2F)o1 ZINC000823671184 1131437297 /nfs/dbraw/zinc/43/72/97/1131437297.db2.gz JUZNNBPSGQOMJL-CABZTGNLSA-N 1 2 294.757 3.880 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccnc(Cl)c1F)c1nc(C)cs1 ZINC000823831139 1131442663 /nfs/dbraw/zinc/44/26/63/1131442663.db2.gz QWMJEKCXKQABLL-SNVBAGLBSA-N 1 2 299.802 3.880 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccnc(Cl)c1F)c1nccs1 ZINC000823904388 1131445942 /nfs/dbraw/zinc/44/59/42/1131445942.db2.gz CUVWXPDYHKAJEQ-CYBMUJFWSA-N 1 2 299.802 3.746 20 0 CHADLO Fc1c(Cl)ccc(C(F)(F)F)c1C[N@@H+]1C[C@@H]2C[C@@H]2C1 ZINC001137981248 1131446322 /nfs/dbraw/zinc/44/63/22/1131446322.db2.gz MEKZTKUUCYUXCC-OCAPTIKFSA-N 1 2 293.691 3.950 20 0 CHADLO Fc1c(Cl)ccc(C(F)(F)F)c1C[N@H+]1C[C@@H]2C[C@@H]2C1 ZINC001137981248 1131446323 /nfs/dbraw/zinc/44/63/23/1131446323.db2.gz MEKZTKUUCYUXCC-OCAPTIKFSA-N 1 2 293.691 3.950 20 0 CHADLO CCCO[C@H]1CC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138004164 1131448795 /nfs/dbraw/zinc/44/87/95/1131448795.db2.gz ZQTPCTQDBMEHFW-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@H]1CC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138004164 1131448797 /nfs/dbraw/zinc/44/87/97/1131448797.db2.gz ZQTPCTQDBMEHFW-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CC(C)[C@@H]1[N@H+](Cc2ccnc(Cl)c2F)CC12CCC2 ZINC000824422590 1131468117 /nfs/dbraw/zinc/46/81/17/1131468117.db2.gz CIVHVGQURLFNEP-ZDUSSCGKSA-N 1 2 282.790 3.885 20 0 CHADLO CC(C)[C@@H]1[N@@H+](Cc2ccnc(Cl)c2F)CC12CCC2 ZINC000824422590 1131468121 /nfs/dbraw/zinc/46/81/21/1131468121.db2.gz CIVHVGQURLFNEP-ZDUSSCGKSA-N 1 2 282.790 3.885 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc(N3CCCCC3)o2)C[C@@H]1F ZINC001138172071 1131474798 /nfs/dbraw/zinc/47/47/98/1131474798.db2.gz KNLJWGBIWCUKML-HOCLYGCPSA-N 1 2 298.377 3.542 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc(N3CCCCC3)o2)C[C@@H]1F ZINC001138172071 1131474801 /nfs/dbraw/zinc/47/48/01/1131474801.db2.gz KNLJWGBIWCUKML-HOCLYGCPSA-N 1 2 298.377 3.542 20 0 CHADLO Cc1ccc(NC(=O)Nc2cccc3[nH+]ccn32)cc1C ZINC001202816350 1131478783 /nfs/dbraw/zinc/47/87/83/1131478783.db2.gz RPQZJNZNTXCNAN-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO CC1(C)CC[NH+](Cc2c(F)c(F)cc(F)c2F)CC1 ZINC001138234692 1131480094 /nfs/dbraw/zinc/48/00/94/1131480094.db2.gz WADNLPKAIOOVDQ-UHFFFAOYSA-N 1 2 275.289 3.865 20 0 CHADLO CCCC[C@@](C)(F)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000824919998 1131489837 /nfs/dbraw/zinc/48/98/37/1131489837.db2.gz DDONVSCJCXHZTC-MRXNPFEDSA-N 1 2 289.354 3.934 20 0 CHADLO CCC[N@H+](Cc1nc(C(F)(F)F)c[nH]1)Cc1ccccc1 ZINC001137015247 1131505256 /nfs/dbraw/zinc/50/52/56/1131505256.db2.gz KGRHIBZYFJGRIU-UHFFFAOYSA-N 1 2 297.324 3.841 20 0 CHADLO CCC[N@@H+](Cc1nc(C(F)(F)F)c[nH]1)Cc1ccccc1 ZINC001137015247 1131505259 /nfs/dbraw/zinc/50/52/59/1131505259.db2.gz KGRHIBZYFJGRIU-UHFFFAOYSA-N 1 2 297.324 3.841 20 0 CHADLO Cc1c2ccccc2sc1C[N@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001138462488 1131509736 /nfs/dbraw/zinc/50/97/36/1131509736.db2.gz NFPSIJNILKMNNA-TXEJJXNPSA-N 1 2 267.344 3.702 20 0 CHADLO Cc1c2ccccc2sc1C[N@@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001138462488 1131509740 /nfs/dbraw/zinc/50/97/40/1131509740.db2.gz NFPSIJNILKMNNA-TXEJJXNPSA-N 1 2 267.344 3.702 20 0 CHADLO Cc1ccc2cc(C[N@@H+]3CCC[C@@H]3c3ncc[nH]3)[nH]c2c1 ZINC000825515185 1131514376 /nfs/dbraw/zinc/51/43/76/1131514376.db2.gz YNZSRAMZDKWZGR-MRXNPFEDSA-N 1 2 280.375 3.537 20 0 CHADLO Cc1ccc2cc(C[N@H+]3CCC[C@@H]3c3ncc[nH]3)[nH]c2c1 ZINC000825515185 1131514379 /nfs/dbraw/zinc/51/43/79/1131514379.db2.gz YNZSRAMZDKWZGR-MRXNPFEDSA-N 1 2 280.375 3.537 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c(F)c1Cl ZINC001143627096 1131519249 /nfs/dbraw/zinc/51/92/49/1131519249.db2.gz AGUWXSBXBJNBPR-QXEWZRGKSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c(F)c1Cl ZINC001143627096 1131519251 /nfs/dbraw/zinc/51/92/51/1131519251.db2.gz AGUWXSBXBJNBPR-QXEWZRGKSA-N 1 2 275.701 3.551 20 0 CHADLO COc1ccc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)cc1C(F)(F)F ZINC001143636216 1131520602 /nfs/dbraw/zinc/52/06/02/1131520602.db2.gz CHIYAVJCRKZMAG-SKDRFNHKSA-N 1 2 291.288 3.504 20 0 CHADLO COc1ccc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)cc1C(F)(F)F ZINC001143636216 1131520604 /nfs/dbraw/zinc/52/06/04/1131520604.db2.gz CHIYAVJCRKZMAG-SKDRFNHKSA-N 1 2 291.288 3.504 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2C[C@@H](C)OC[C@@H]2C)c1 ZINC001143683452 1131524237 /nfs/dbraw/zinc/52/42/37/1131524237.db2.gz IANTWQIEVPDORJ-NWDGAFQWSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2C[C@@H](C)OC[C@@H]2C)c1 ZINC001143683452 1131524240 /nfs/dbraw/zinc/52/42/40/1131524240.db2.gz IANTWQIEVPDORJ-NWDGAFQWSA-N 1 2 287.325 3.623 20 0 CHADLO c1coc(C[N@H+](Cc2cnn(-c3ccccc3)c2)C2CC2)c1 ZINC001138603207 1131525692 /nfs/dbraw/zinc/52/56/92/1131525692.db2.gz JDAKARWWOJJBFQ-UHFFFAOYSA-N 1 2 293.370 3.630 20 0 CHADLO c1coc(C[N@@H+](Cc2cnn(-c3ccccc3)c2)C2CC2)c1 ZINC001138603207 1131525697 /nfs/dbraw/zinc/52/56/97/1131525697.db2.gz JDAKARWWOJJBFQ-UHFFFAOYSA-N 1 2 293.370 3.630 20 0 CHADLO CC[C@H](C)[N@@H+](C)Cc1c(F)ccc(F)c1Br ZINC001143812625 1131536571 /nfs/dbraw/zinc/53/65/71/1131536571.db2.gz CNWNWJNWRLCMMA-QMMMGPOBSA-N 1 2 292.167 3.958 20 0 CHADLO CCC[N@H+](C)Cc1c(F)ccc(F)c1Br ZINC001143811476 1131536125 /nfs/dbraw/zinc/53/61/25/1131536125.db2.gz JCNHLURUAYBLEO-UHFFFAOYSA-N 1 2 278.140 3.569 20 0 CHADLO CCC[N@@H+](C)Cc1c(F)ccc(F)c1Br ZINC001143811476 1131536128 /nfs/dbraw/zinc/53/61/28/1131536128.db2.gz JCNHLURUAYBLEO-UHFFFAOYSA-N 1 2 278.140 3.569 20 0 CHADLO CC[C@H](C)[N@H+](C)Cc1c(F)ccc(F)c1Br ZINC001143812625 1131536568 /nfs/dbraw/zinc/53/65/68/1131536568.db2.gz CNWNWJNWRLCMMA-QMMMGPOBSA-N 1 2 292.167 3.958 20 0 CHADLO C[N@H+](Cc1c(Cl)[nH]c2ccccc21)Cc1ccccn1 ZINC001138684971 1131537186 /nfs/dbraw/zinc/53/71/86/1131537186.db2.gz VSDYOLYEZSFIAX-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO C[N@@H+](Cc1c(Cl)[nH]c2ccccc21)Cc1ccccn1 ZINC001138684971 1131537189 /nfs/dbraw/zinc/53/71/89/1131537189.db2.gz VSDYOLYEZSFIAX-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+](C)Cc1cncs1 ZINC001138722071 1131541437 /nfs/dbraw/zinc/54/14/37/1131541437.db2.gz OHHKKYRVKHVWKX-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+](C)Cc1cncs1 ZINC001138722071 1131541439 /nfs/dbraw/zinc/54/14/39/1131541439.db2.gz OHHKKYRVKHVWKX-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc(OC(=O)C[C@@H](C)n2cc[nH+]c2)ccc1C(C)C ZINC000844271271 1131544825 /nfs/dbraw/zinc/54/48/25/1131544825.db2.gz FUVXFQNRZFWRRQ-CQSZACIVSA-N 1 2 286.375 3.872 20 0 CHADLO COc1ccc(Cl)c(C[NH+]2CCC(C)(F)CC2)c1F ZINC001143886062 1131548229 /nfs/dbraw/zinc/54/82/29/1131548229.db2.gz HIJGDGSTXZYNFG-UHFFFAOYSA-N 1 2 289.753 3.812 20 0 CHADLO Cc1c(C[N@@H+]2C[C@@H](C)O[C@@H](C)C2)cccc1C(F)(F)F ZINC001143917724 1131553435 /nfs/dbraw/zinc/55/34/35/1131553435.db2.gz NLTKIWMQGKAVIM-PHIMTYICSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1c(C[N@H+]2C[C@@H](C)O[C@@H](C)C2)cccc1C(F)(F)F ZINC001143917724 1131553441 /nfs/dbraw/zinc/55/34/41/1131553441.db2.gz NLTKIWMQGKAVIM-PHIMTYICSA-N 1 2 287.325 3.623 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H](C(F)F)C2)cs1 ZINC001138886821 1131557501 /nfs/dbraw/zinc/55/75/01/1131557501.db2.gz GRMYFBBDGNEFFM-JTQLQIEISA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H](C(F)F)C2)cs1 ZINC001138886821 1131557504 /nfs/dbraw/zinc/55/75/04/1131557504.db2.gz GRMYFBBDGNEFFM-JTQLQIEISA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](F)C(F)(F)CC2)cs1 ZINC001138885075 1131558086 /nfs/dbraw/zinc/55/80/86/1131558086.db2.gz QKZPNSZRDISXQP-LLVKDONJSA-N 1 2 292.370 3.836 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](F)C(F)(F)CC2)cs1 ZINC001138885075 1131558092 /nfs/dbraw/zinc/55/80/92/1131558092.db2.gz QKZPNSZRDISXQP-LLVKDONJSA-N 1 2 292.370 3.836 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c1F ZINC001143961508 1131559707 /nfs/dbraw/zinc/55/97/07/1131559707.db2.gz PTHFXZMFDAMNNE-PELKAZGASA-N 1 2 257.711 3.603 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCC[C@@H]3C[C@@H]32)c1F ZINC001143961508 1131559710 /nfs/dbraw/zinc/55/97/10/1131559710.db2.gz PTHFXZMFDAMNNE-PELKAZGASA-N 1 2 257.711 3.603 20 0 CHADLO COc1ccc(F)c(C[N@H+](C)Cc2cccc(C)c2)c1F ZINC001143969545 1131561248 /nfs/dbraw/zinc/56/12/48/1131561248.db2.gz DIPVAYMEKQWEIE-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(F)c(C[N@@H+](C)Cc2cccc(C)c2)c1F ZINC001143969545 1131561253 /nfs/dbraw/zinc/56/12/53/1131561253.db2.gz DIPVAYMEKQWEIE-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CCCCCC(C)(C)CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000844423211 1131561402 /nfs/dbraw/zinc/56/14/02/1131561402.db2.gz XFGJIFLSVFFINQ-CQSZACIVSA-N 1 2 279.428 3.557 20 0 CHADLO FC1(F)[C@H]2C[N@H+](Cc3nc(C4CCCCC4)cs3)C[C@H]21 ZINC000844465358 1131569022 /nfs/dbraw/zinc/56/90/22/1131569022.db2.gz YXKMUFSXWHDGQR-TXEJJXNPSA-N 1 2 298.402 3.888 20 0 CHADLO FC1(F)[C@H]2C[N@@H+](Cc3nc(C4CCCCC4)cs3)C[C@H]21 ZINC000844465358 1131569025 /nfs/dbraw/zinc/56/90/25/1131569025.db2.gz YXKMUFSXWHDGQR-TXEJJXNPSA-N 1 2 298.402 3.888 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139051493 1131575820 /nfs/dbraw/zinc/57/58/20/1131575820.db2.gz QOMXZTFVBRTZSG-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139051493 1131575824 /nfs/dbraw/zinc/57/58/24/1131575824.db2.gz QOMXZTFVBRTZSG-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1C[C@@H]2C[C@H]1C[C@@H]2F ZINC001139053460 1131577474 /nfs/dbraw/zinc/57/74/74/1131577474.db2.gz HNHUYLPFUHNZNR-ARENWVFISA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1C[C@@H]2C[C@H]1C[C@@H]2F ZINC001139053460 1131577475 /nfs/dbraw/zinc/57/74/75/1131577475.db2.gz HNHUYLPFUHNZNR-ARENWVFISA-N 1 2 275.701 3.551 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc3ccccc3o1)C2 ZINC001139065866 1131578149 /nfs/dbraw/zinc/57/81/49/1131578149.db2.gz LMNZYGFHEMUFHS-UHFFFAOYSA-N 1 2 279.339 3.957 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc3ccccc3o1)C2 ZINC001139065866 1131578153 /nfs/dbraw/zinc/57/81/53/1131578153.db2.gz LMNZYGFHEMUFHS-UHFFFAOYSA-N 1 2 279.339 3.957 20 0 CHADLO Cn1ccc2c1cccc2C[N@@H+]1CCCCC(F)(F)C1 ZINC001139157310 1131587529 /nfs/dbraw/zinc/58/75/29/1131587529.db2.gz OMCSWINYJQRLRT-UHFFFAOYSA-N 1 2 278.346 3.800 20 0 CHADLO Cn1ccc2c1cccc2C[N@H+]1CCCCC(F)(F)C1 ZINC001139157310 1131587534 /nfs/dbraw/zinc/58/75/34/1131587534.db2.gz OMCSWINYJQRLRT-UHFFFAOYSA-N 1 2 278.346 3.800 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCCC23CC3)cc1Cl ZINC001144120246 1131588130 /nfs/dbraw/zinc/58/81/30/1131588130.db2.gz FGRJEMOWMXOFMT-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCCC23CC3)cc1Cl ZINC001144120246 1131588135 /nfs/dbraw/zinc/58/81/35/1131588135.db2.gz FGRJEMOWMXOFMT-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO CN(c1ccc(Nc2[nH+]cccc2N)cn1)C1CCCCC1 ZINC001212613432 1131592968 /nfs/dbraw/zinc/59/29/68/1131592968.db2.gz TZPCKIGKZUSNIP-UHFFFAOYSA-N 1 2 297.406 3.571 20 0 CHADLO c1ccc2c(c1)C[NH+](Cc1ccc(N3CCCCC3)nc1)C2 ZINC001139339900 1131602328 /nfs/dbraw/zinc/60/23/28/1131602328.db2.gz HOTRSJUQZCQGJO-UHFFFAOYSA-N 1 2 293.414 3.588 20 0 CHADLO C[C@@H](Cc1nc(C2CCCCCCC2)no1)n1cc[nH+]c1 ZINC000844863197 1131603617 /nfs/dbraw/zinc/60/36/17/1131603617.db2.gz QAALLGLSRRVXDR-ZDUSSCGKSA-N 1 2 288.395 3.898 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cc(Cl)cnc2Cl)CC1 ZINC001139354465 1131603926 /nfs/dbraw/zinc/60/39/26/1131603926.db2.gz VFDXOWHDPQPVPS-LLVKDONJSA-N 1 2 277.170 3.712 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cc(Cl)cnc2Cl)CC1 ZINC001139354465 1131603929 /nfs/dbraw/zinc/60/39/29/1131603929.db2.gz VFDXOWHDPQPVPS-LLVKDONJSA-N 1 2 277.170 3.712 20 0 CHADLO Clc1ccnc(C[N@@H+]2CCSc3ccccc3C2)c1 ZINC001139565210 1131616843 /nfs/dbraw/zinc/61/68/43/1131616843.db2.gz PPUURUBJOYTWLM-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1ccnc(C[N@H+]2CCSc3ccccc3C2)c1 ZINC001139565210 1131616845 /nfs/dbraw/zinc/61/68/45/1131616845.db2.gz PPUURUBJOYTWLM-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](C)Cc2ccc(F)cc2)c1 ZINC001139590068 1131618891 /nfs/dbraw/zinc/61/88/91/1131618891.db2.gz WEAWZFGGPSEYEF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](C)Cc2ccc(F)cc2)c1 ZINC001139590068 1131618893 /nfs/dbraw/zinc/61/88/93/1131618893.db2.gz WEAWZFGGPSEYEF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COc1ccc(C[N@@H+]2CCn3cccc3C2)cc1C(C)C ZINC001139617964 1131622603 /nfs/dbraw/zinc/62/26/03/1131622603.db2.gz GDRPOWHLVUBGNG-UHFFFAOYSA-N 1 2 284.403 3.636 20 0 CHADLO COc1ccc(C[N@H+]2CCn3cccc3C2)cc1C(C)C ZINC001139617964 1131622605 /nfs/dbraw/zinc/62/26/05/1131622605.db2.gz GDRPOWHLVUBGNG-UHFFFAOYSA-N 1 2 284.403 3.636 20 0 CHADLO CCCc1ccc(COC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000845281946 1131624413 /nfs/dbraw/zinc/62/44/13/1131624413.db2.gz ONEMSRPIFLBVKO-AWEZNQCLSA-N 1 2 286.375 3.530 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc(-c2cccnc2)cc1 ZINC001139644399 1131625677 /nfs/dbraw/zinc/62/56/77/1131625677.db2.gz GAOPVKCCEPOOAW-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc(-c2cccnc2)cc1 ZINC001139644399 1131625680 /nfs/dbraw/zinc/62/56/80/1131625680.db2.gz GAOPVKCCEPOOAW-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO CCCCCC[C@H](C)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845448019 1131635049 /nfs/dbraw/zinc/63/50/49/1131635049.db2.gz AHZDOTKQKRDJNS-KGLIPLIRSA-N 1 2 266.385 3.736 20 0 CHADLO Cc1cnc(C[N@@H+]2CCSc3ccccc3C2)s1 ZINC001139812588 1131639362 /nfs/dbraw/zinc/63/93/62/1131639362.db2.gz GGXDBZHZTQMJGA-UHFFFAOYSA-N 1 2 276.430 3.559 20 0 CHADLO Cc1cnc(C[N@H+]2CCSc3ccccc3C2)s1 ZINC001139812588 1131639363 /nfs/dbraw/zinc/63/93/63/1131639363.db2.gz GGXDBZHZTQMJGA-UHFFFAOYSA-N 1 2 276.430 3.559 20 0 CHADLO C[C@H](CC(=O)O[C@@H](C)CC1CCCCC1)n1cc[nH+]c1 ZINC000845540321 1131640796 /nfs/dbraw/zinc/64/07/96/1131640796.db2.gz HSYJHHSNQJJWDP-KGLIPLIRSA-N 1 2 278.396 3.736 20 0 CHADLO CCc1ccc(/C=C/COC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000845544970 1131643493 /nfs/dbraw/zinc/64/34/93/1131643493.db2.gz SUSAHYCYXCLOCY-RGDDUWESSA-N 1 2 298.386 3.653 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cc(C(F)(F)F)ccc1C ZINC001139886708 1131646452 /nfs/dbraw/zinc/64/64/52/1131646452.db2.gz JPHGMHKWDZMXEU-OAHLLOKOSA-N 1 2 299.336 3.957 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cc(C(F)(F)F)ccc1C ZINC001139886708 1131646454 /nfs/dbraw/zinc/64/64/54/1131646454.db2.gz JPHGMHKWDZMXEU-OAHLLOKOSA-N 1 2 299.336 3.957 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)c(C)o1)c1ccc(F)cc1 ZINC000231641596 1131661046 /nfs/dbraw/zinc/66/10/46/1131661046.db2.gz BDAUSLIKZYCLJX-AWEZNQCLSA-N 1 2 262.328 3.671 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccc(O)cc1Cl)C2 ZINC001140081334 1131661826 /nfs/dbraw/zinc/66/18/26/1131661826.db2.gz MPXOOYKUYRAYDC-UHFFFAOYSA-N 1 2 273.763 3.870 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccc(O)cc1Cl)C2 ZINC001140081334 1131661829 /nfs/dbraw/zinc/66/18/29/1131661829.db2.gz MPXOOYKUYRAYDC-UHFFFAOYSA-N 1 2 273.763 3.870 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]ccn32)cc1OC(C)C ZINC001203011883 1131677561 /nfs/dbraw/zinc/67/75/61/1131677561.db2.gz AMJFBENYRZHRHA-UHFFFAOYSA-N 1 2 297.358 3.874 20 0 CHADLO CCOCOc1ccc(CNc2cc(C)c[nH+]c2C)cc1 ZINC000846032405 1131681037 /nfs/dbraw/zinc/68/10/37/1131681037.db2.gz SYAHWFSJRAPEKC-UHFFFAOYSA-N 1 2 286.375 3.683 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cccc3cc[nH]c32)CC1(F)F ZINC001144709790 1131691258 /nfs/dbraw/zinc/69/12/58/1131691258.db2.gz HCIRFBXQKIAWQN-CYBMUJFWSA-N 1 2 282.309 3.737 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cccc3cc[nH]c32)CC1(F)F ZINC001144709790 1131691261 /nfs/dbraw/zinc/69/12/61/1131691261.db2.gz HCIRFBXQKIAWQN-CYBMUJFWSA-N 1 2 282.309 3.737 20 0 CHADLO CCCO[C@H]1CC[N@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144727446 1131692128 /nfs/dbraw/zinc/69/21/28/1131692128.db2.gz WPNPVSRTDAZGQC-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@H]1CC[N@@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144727446 1131692129 /nfs/dbraw/zinc/69/21/29/1131692129.db2.gz WPNPVSRTDAZGQC-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CC[C@H](Nc1ccc([NH+](C)C)cc1)c1ccncc1 ZINC000834741725 1131700209 /nfs/dbraw/zinc/70/02/09/1131700209.db2.gz RKBHWAJNHSZRDQ-INIZCTEOSA-N 1 2 255.365 3.711 20 0 CHADLO COc1c(F)ccc(F)c1C[N@H+](C)Cc1cccs1 ZINC001144754620 1131702937 /nfs/dbraw/zinc/70/29/37/1131702937.db2.gz JHGJSBCPQPSBEE-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1c(F)ccc(F)c1C[N@@H+](C)Cc1cccs1 ZINC001144754620 1131702940 /nfs/dbraw/zinc/70/29/40/1131702940.db2.gz JHGJSBCPQPSBEE-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO CC(C)n1ccn(C[N@@H+]2CCc3ccccc3C2)c1=S ZINC000834855729 1131712600 /nfs/dbraw/zinc/71/26/00/1131712600.db2.gz FWVASDHXRRZVPC-UHFFFAOYSA-N 1 2 287.432 3.616 20 0 CHADLO CC(C)n1ccn(C[N@H+]2CCc3ccccc3C2)c1=S ZINC000834855729 1131712610 /nfs/dbraw/zinc/71/26/10/1131712610.db2.gz FWVASDHXRRZVPC-UHFFFAOYSA-N 1 2 287.432 3.616 20 0 CHADLO Fc1cccc(-c2ncc(C[N@H+]3CCC[C@@H](F)C3)s2)c1 ZINC001140418194 1131721612 /nfs/dbraw/zinc/72/16/12/1131721612.db2.gz MOBCHEAEQZSCJI-CYBMUJFWSA-N 1 2 294.370 3.883 20 0 CHADLO Fc1cccc(-c2ncc(C[N@@H+]3CCC[C@@H](F)C3)s2)c1 ZINC001140418194 1131721613 /nfs/dbraw/zinc/72/16/13/1131721613.db2.gz MOBCHEAEQZSCJI-CYBMUJFWSA-N 1 2 294.370 3.883 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CC(C)(C)Cc3occc32)co1 ZINC000834898641 1131723883 /nfs/dbraw/zinc/72/38/83/1131723883.db2.gz ANHBWXMOPCUOKO-ZDUSSCGKSA-N 1 2 274.364 3.633 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(SC)cc2)co1 ZINC000834899978 1131725655 /nfs/dbraw/zinc/72/56/55/1131725655.db2.gz LSVOTIQDCJAACM-LLVKDONJSA-N 1 2 276.405 3.810 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cnc(Cl)c(F)c1 ZINC000846783050 1131734540 /nfs/dbraw/zinc/73/45/40/1131734540.db2.gz QXXODEREJZKVKY-VIFPVBQESA-N 1 2 268.719 3.660 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cnc(Cl)c(F)c1 ZINC000846783050 1131734542 /nfs/dbraw/zinc/73/45/42/1131734542.db2.gz QXXODEREJZKVKY-VIFPVBQESA-N 1 2 268.719 3.660 20 0 CHADLO C[N@@H+](Cc1c[nH]c2cccc(Cl)c12)Cc1nccs1 ZINC001140446671 1131739579 /nfs/dbraw/zinc/73/95/79/1131739579.db2.gz KUWBJJBNBXTRQF-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@H+](Cc1c[nH]c2cccc(Cl)c12)Cc1nccs1 ZINC001140446671 1131739584 /nfs/dbraw/zinc/73/95/84/1131739584.db2.gz KUWBJJBNBXTRQF-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO CCOc1cc(C)c(Nc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC001203045029 1131782137 /nfs/dbraw/zinc/78/21/37/1131782137.db2.gz GNFIEPGRJTYEIS-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO Fc1cccc(C[NH+]2CC3(C2)C[C@H](F)CS3)c1Cl ZINC001140567781 1131797218 /nfs/dbraw/zinc/79/72/18/1131797218.db2.gz IJWMTDILFFNXHH-JTQLQIEISA-N 1 2 289.778 3.509 20 0 CHADLO COc1cc2c(cc1OC1CCC(C)CC1)C=[NH+]CC2 ZINC001228087094 1131806854 /nfs/dbraw/zinc/80/68/54/1131806854.db2.gz CYPSDTASZJBQOI-UHFFFAOYSA-N 1 2 273.376 3.628 20 0 CHADLO CCc1cc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)cs1 ZINC001147702868 1131833383 /nfs/dbraw/zinc/83/33/83/1131833383.db2.gz YIDMDHOVNWOTDP-UHFFFAOYSA-N 1 2 297.383 3.749 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2cccc3ccncc32)C1 ZINC001140640510 1131841882 /nfs/dbraw/zinc/84/18/82/1131841882.db2.gz OCCGRYRHMYRMJP-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2cccc3ccncc32)C1 ZINC001140640510 1131841886 /nfs/dbraw/zinc/84/18/86/1131841886.db2.gz OCCGRYRHMYRMJP-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](C)c3ccncc3F)cc2[nH+]1 ZINC001228329757 1131857992 /nfs/dbraw/zinc/85/79/92/1131857992.db2.gz QBGRGDNPOZWKKW-SECBINFHSA-N 1 2 271.295 3.545 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](C)COCc3ccccc3)cc2[nH+]1 ZINC001228327132 1131858617 /nfs/dbraw/zinc/85/86/17/1131858617.db2.gz OEMMXIWXCKLKAB-ZDUSSCGKSA-N 1 2 296.370 3.855 20 0 CHADLO CC(=O)[C@@H](Oc1ccc2[nH]c(C)[nH+]c2c1)c1ccccc1 ZINC001228329601 1131859953 /nfs/dbraw/zinc/85/99/53/1131859953.db2.gz OVJGQBYVPLFFON-QGZVFWFLSA-N 1 2 280.327 3.580 20 0 CHADLO CCCO[C@H]1CC[N@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701287 1131883340 /nfs/dbraw/zinc/88/33/40/1131883340.db2.gz HKDOFVJFVCMJJS-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@H]1CC[N@@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140701287 1131883347 /nfs/dbraw/zinc/88/33/47/1131883347.db2.gz HKDOFVJFVCMJJS-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO C[N@@H+](Cc1cc(Cl)ccc1N)Cc1ccc(F)cc1F ZINC001140718325 1131894091 /nfs/dbraw/zinc/89/40/91/1131894091.db2.gz VQLSIVCPYVOQEQ-UHFFFAOYSA-N 1 2 296.748 3.832 20 0 CHADLO C[N@H+](Cc1cc(Cl)ccc1N)Cc1ccc(F)cc1F ZINC001140718325 1131894099 /nfs/dbraw/zinc/89/40/99/1131894099.db2.gz VQLSIVCPYVOQEQ-UHFFFAOYSA-N 1 2 296.748 3.832 20 0 CHADLO COc1cccc(Cl)c1C[N@H+](C)C1CC(F)(F)C1 ZINC001140725966 1131897648 /nfs/dbraw/zinc/89/76/48/1131897648.db2.gz YDPSESYHZPFBOA-UHFFFAOYSA-N 1 2 275.726 3.578 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+](C)C1CC(F)(F)C1 ZINC001140725966 1131897654 /nfs/dbraw/zinc/89/76/54/1131897654.db2.gz YDPSESYHZPFBOA-UHFFFAOYSA-N 1 2 275.726 3.578 20 0 CHADLO c1oc2ccccc2c1C[NH+]1CC(OCc2ccccc2)C1 ZINC001140785344 1131917523 /nfs/dbraw/zinc/91/75/23/1131917523.db2.gz APZFYXHNEPUDIV-UHFFFAOYSA-N 1 2 293.366 3.834 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cncs2)c(Cl)c1 ZINC001140800847 1131925291 /nfs/dbraw/zinc/92/52/91/1131925291.db2.gz XBQCVSVBDZHIEV-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cncs2)c(Cl)c1 ZINC001140800847 1131925296 /nfs/dbraw/zinc/92/52/96/1131925296.db2.gz XBQCVSVBDZHIEV-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2ccc(C)cc2)c(F)c1 ZINC001140826694 1131938985 /nfs/dbraw/zinc/93/89/85/1131938985.db2.gz NRVKFGIZUPMPLC-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2ccc(C)cc2)c(F)c1 ZINC001140826694 1131938989 /nfs/dbraw/zinc/93/89/89/1131938989.db2.gz NRVKFGIZUPMPLC-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CC[N@H+](Cc1ccccc1F)Cc1c(N)cccc1F ZINC001140877985 1131956113 /nfs/dbraw/zinc/95/61/13/1131956113.db2.gz YUIZFDCTWFCDTB-UHFFFAOYSA-N 1 2 276.330 3.569 20 0 CHADLO CC[N@@H+](Cc1ccccc1F)Cc1c(N)cccc1F ZINC001140877985 1131956121 /nfs/dbraw/zinc/95/61/21/1131956121.db2.gz YUIZFDCTWFCDTB-UHFFFAOYSA-N 1 2 276.330 3.569 20 0 CHADLO Fc1cc(C[NH+]2CC3(CCC3)C2)cc(F)c1C(F)(F)F ZINC001140878513 1131957199 /nfs/dbraw/zinc/95/71/99/1131957199.db2.gz ANJKEUWQOVIORV-UHFFFAOYSA-N 1 2 291.263 3.970 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2ccccc2F)[nH]n1 ZINC001203075393 1131959135 /nfs/dbraw/zinc/95/91/35/1131959135.db2.gz HKWNJQJMCPZTJI-MRXNPFEDSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2ccccc2F)[nH]n1 ZINC001203075393 1131959137 /nfs/dbraw/zinc/95/91/37/1131959137.db2.gz HKWNJQJMCPZTJI-MRXNPFEDSA-N 1 2 273.355 3.584 20 0 CHADLO CC[N@H+](Cc1ccc(F)cc1)Cc1ccc(O)c(F)c1F ZINC001140893523 1131959213 /nfs/dbraw/zinc/95/92/13/1131959213.db2.gz QWMIKQBFKOJHBP-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cc1)Cc1ccc(O)c(F)c1F ZINC001140893523 1131959222 /nfs/dbraw/zinc/95/92/22/1131959222.db2.gz QWMIKQBFKOJHBP-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CCc1cccc(COC(=O)c2ccn3c(C)c[nH+]c3c2)c1 ZINC001277337646 1131970676 /nfs/dbraw/zinc/97/06/76/1131970676.db2.gz QMQFZVBSQVAJJS-UHFFFAOYSA-N 1 2 294.354 3.562 20 0 CHADLO COc1cc(F)cc(CNc2cc(C)c3[nH]ccc3[nH+]2)c1 ZINC001171415079 1131979269 /nfs/dbraw/zinc/97/92/69/1131979269.db2.gz BUODCJHNRZJBTP-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO CC[N@H+](Cc1ncco1)Cc1ccc(C(F)(F)F)cc1 ZINC001141010112 1131986206 /nfs/dbraw/zinc/98/62/06/1131986206.db2.gz DYGDJZVKUAQMPE-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO CC[N@@H+](Cc1ncco1)Cc1ccc(C(F)(F)F)cc1 ZINC001141010112 1131986209 /nfs/dbraw/zinc/98/62/09/1131986209.db2.gz DYGDJZVKUAQMPE-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)cc1Cl)c1cn(C)nn1 ZINC000715866623 1131995006 /nfs/dbraw/zinc/99/50/06/1131995006.db2.gz DOLJIUBWWRBRSF-IUCAKERBSA-N 1 2 299.205 3.534 20 0 CHADLO CCCCc1ncc(C[N@H+](C)Cc2ccc(C)cc2)[nH]1 ZINC001141070527 1132002412 /nfs/dbraw/zinc/00/24/12/1132002412.db2.gz YCVVTFDRLJBTSZ-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1ncc(C[N@@H+](C)Cc2ccc(C)cc2)[nH]1 ZINC001141070527 1132002417 /nfs/dbraw/zinc/00/24/17/1132002417.db2.gz YCVVTFDRLJBTSZ-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1nc(C[N@H+](C)Cc2ccc(C)cc2)c[nH]1 ZINC001141070527 1132002422 /nfs/dbraw/zinc/00/24/22/1132002422.db2.gz YCVVTFDRLJBTSZ-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1nc(C[N@@H+](C)Cc2ccc(C)cc2)c[nH]1 ZINC001141070527 1132002428 /nfs/dbraw/zinc/00/24/28/1132002428.db2.gz YCVVTFDRLJBTSZ-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1[nH]cc(CN(C)Cc2ccc(F)cc2F)[nH+]1 ZINC001141071453 1132003752 /nfs/dbraw/zinc/00/37/52/1132003752.db2.gz POTGVZBBQYYXNM-UHFFFAOYSA-N 1 2 293.361 3.663 20 0 CHADLO CCCCc1[nH]c(CN(C)Cc2ccc(F)cc2F)c[nH+]1 ZINC001141071453 1132003758 /nfs/dbraw/zinc/00/37/58/1132003758.db2.gz POTGVZBBQYYXNM-UHFFFAOYSA-N 1 2 293.361 3.663 20 0 CHADLO CC(C)C1CC[NH+](Cc2ncc(Cl)nc2Cl)CC1 ZINC001141138195 1132027137 /nfs/dbraw/zinc/02/71/37/1132027137.db2.gz DTDYNAPGAQTSFL-UHFFFAOYSA-N 1 2 288.222 3.651 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H](F)CC2CCCCC2)c[nH+]1 ZINC000837104812 1132037341 /nfs/dbraw/zinc/03/73/41/1132037341.db2.gz LIEHRCKROXQENB-MRXNPFEDSA-N 1 2 292.398 3.623 20 0 CHADLO c1cc(-c2cc[nH+]c(NCCOC3CCCCC3)c2)ccn1 ZINC001171473694 1132043092 /nfs/dbraw/zinc/04/30/92/1132043092.db2.gz MHINTRVOEXUSAU-UHFFFAOYSA-N 1 2 297.402 3.905 20 0 CHADLO CC1(C)C[NH+](Cc2csc(-c3ccccc3)n2)C1 ZINC001141209846 1132043535 /nfs/dbraw/zinc/04/35/35/1132043535.db2.gz JRAFCTWYRBIJHE-UHFFFAOYSA-N 1 2 258.390 3.652 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1ccc(Cl)cc1C ZINC001141233938 1132054262 /nfs/dbraw/zinc/05/42/62/1132054262.db2.gz XBCDJDMGWLUUAC-UHFFFAOYSA-N 1 2 297.826 3.812 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc(Cl)cc1C ZINC001141233938 1132054269 /nfs/dbraw/zinc/05/42/69/1132054269.db2.gz XBCDJDMGWLUUAC-UHFFFAOYSA-N 1 2 297.826 3.812 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](C(C)C)C2)ccc1Cl ZINC001141236464 1132054860 /nfs/dbraw/zinc/05/48/60/1132054860.db2.gz RUHPWKUFKNUUGV-OAHLLOKOSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](C(C)C)C2)ccc1Cl ZINC001141236464 1132054865 /nfs/dbraw/zinc/05/48/65/1132054865.db2.gz RUHPWKUFKNUUGV-OAHLLOKOSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCc2occc2C1 ZINC001141233947 1132055080 /nfs/dbraw/zinc/05/50/80/1132055080.db2.gz XXIFKQUCFQCDAS-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCc2occc2C1 ZINC001141233947 1132055088 /nfs/dbraw/zinc/05/50/88/1132055088.db2.gz XXIFKQUCFQCDAS-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1cccc2c1CC[C@H]([N@@H+]1CC[C@H](CF)C(F)(F)C1)C2 ZINC001171564818 1132057152 /nfs/dbraw/zinc/05/71/52/1132057152.db2.gz GSFJEJJJHBMPID-CABCVRRESA-N 1 2 297.364 3.779 20 0 CHADLO Cc1cccc2c1CC[C@H]([N@H+]1CC[C@H](CF)C(F)(F)C1)C2 ZINC001171564818 1132057159 /nfs/dbraw/zinc/05/71/59/1132057159.db2.gz GSFJEJJJHBMPID-CABCVRRESA-N 1 2 297.364 3.779 20 0 CHADLO CCOC(=O)[C@H]([NH2+][C@@H]1C=CCCC1)C1CCCCCC1 ZINC001171612811 1132064953 /nfs/dbraw/zinc/06/49/53/1132064953.db2.gz GEXWDGYIARNCKS-HZPDHXFCSA-N 1 2 279.424 3.587 20 0 CHADLO C[C@@]1(C2CC2)C[N@H+](Cc2cccc(C(F)(F)F)c2)CCO1 ZINC001171921101 1132107687 /nfs/dbraw/zinc/10/76/87/1132107687.db2.gz SGSAMQARVJCRCC-HNNXBMFYSA-N 1 2 299.336 3.706 20 0 CHADLO C[C@@]1(C2CC2)C[N@@H+](Cc2cccc(C(F)(F)F)c2)CCO1 ZINC001171921101 1132107691 /nfs/dbraw/zinc/10/76/91/1132107691.db2.gz SGSAMQARVJCRCC-HNNXBMFYSA-N 1 2 299.336 3.706 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccccc1OCCOC ZINC001212633861 1132118207 /nfs/dbraw/zinc/11/82/07/1132118207.db2.gz IBUHTUDFQBKYGG-UHFFFAOYSA-N 1 2 286.375 3.721 20 0 CHADLO COCCOc1ccccc1Nc1cc[nH+]c(SC)c1 ZINC001212638311 1132119512 /nfs/dbraw/zinc/11/95/12/1132119512.db2.gz KBLRFAADTQOOCZ-UHFFFAOYSA-N 1 2 290.388 3.572 20 0 CHADLO F[C@@H]1CCCC[C@@H]1Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229954690 1132136674 /nfs/dbraw/zinc/13/66/74/1132136674.db2.gz URGCWVDDFGYUPY-CABCVRRESA-N 1 2 260.312 3.532 20 0 CHADLO C[C@H](COc1ccc(-n2cc[nH+]c2)cc1)Oc1ccccc1 ZINC001229954346 1132136876 /nfs/dbraw/zinc/13/68/76/1132136876.db2.gz NZZKHDIEILNGHX-OAHLLOKOSA-N 1 2 294.354 3.719 20 0 CHADLO C[C@H]1CCC[C@H](Oc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001229955013 1132137203 /nfs/dbraw/zinc/13/72/03/1132137203.db2.gz XIVRQISVLASPOK-BBRMVZONSA-N 1 2 256.349 3.830 20 0 CHADLO C[C@@H](Oc1ccc(-n2cc[nH+]c2)cc1)c1cccnc1F ZINC001229954640 1132137332 /nfs/dbraw/zinc/13/73/32/1132137332.db2.gz SIGNBKSUHKHQCL-GFCCVEGCSA-N 1 2 283.306 3.546 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@H]2c2ccccc2)c(Cl)n1 ZINC000838862596 1132153108 /nfs/dbraw/zinc/15/31/08/1132153108.db2.gz DWHZRCMNASSTQU-OAHLLOKOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@H]2c2ccccc2)c(Cl)n1 ZINC000838862596 1132153110 /nfs/dbraw/zinc/15/31/10/1132153110.db2.gz DWHZRCMNASSTQU-OAHLLOKOSA-N 1 2 272.779 3.990 20 0 CHADLO c1ncc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)[nH]1 ZINC000839125630 1132182674 /nfs/dbraw/zinc/18/26/74/1132182674.db2.gz DMXOQVCBIAJOHV-MRXNPFEDSA-N 1 2 267.376 3.527 20 0 CHADLO c1ncc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)[nH]1 ZINC000839125630 1132182676 /nfs/dbraw/zinc/18/26/76/1132182676.db2.gz DMXOQVCBIAJOHV-MRXNPFEDSA-N 1 2 267.376 3.527 20 0 CHADLO CC[C@@H]1CCCC[C@H]1Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920541 1132227528 /nfs/dbraw/zinc/22/75/28/1132227528.db2.gz OTLSZWXVVMAJEG-MLGOLLRUSA-N 1 2 273.376 3.715 20 0 CHADLO Oc1cc2c(cc1O[C@@H]1CCc3cc(F)ccc31)CC[NH+]=C2 ZINC001230921037 1132227781 /nfs/dbraw/zinc/22/77/81/1132227781.db2.gz CDDIFQKLIWRMIV-QGZVFWFLSA-N 1 2 297.329 3.573 20 0 CHADLO COc1cc(/C=C/c2ccccc2)cc(OC2C[NH+](C)C2)c1 ZINC001230922519 1132229145 /nfs/dbraw/zinc/22/91/45/1132229145.db2.gz GUUQGAJCGYYJNV-CMDGGOBGSA-N 1 2 295.382 3.558 20 0 CHADLO CC[N@H+](Cc1occc1C)Cc1ccc(C)nc1Cl ZINC000839664011 1132229307 /nfs/dbraw/zinc/22/93/07/1132229307.db2.gz WPXIMTVFCWZDET-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO CC[N@@H+](Cc1occc1C)Cc1ccc(C)nc1Cl ZINC000839664011 1132229314 /nfs/dbraw/zinc/22/93/14/1132229314.db2.gz WPXIMTVFCWZDET-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO CC[C@H]1CC[C@H](Oc2cc3c(cc2O)C=[NH+]CC3)CC1 ZINC001230921627 1132229568 /nfs/dbraw/zinc/22/95/68/1132229568.db2.gz PTUWBIRCXUQYPM-NNUKFRKNSA-N 1 2 273.376 3.715 20 0 CHADLO CC(C)C[C@H](C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231078799 1132253154 /nfs/dbraw/zinc/25/31/54/1132253154.db2.gz FAYPPDXJGDAOJN-ZDUSSCGKSA-N 1 2 258.365 3.901 20 0 CHADLO C[C@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1cccnc1F ZINC001231084171 1132254992 /nfs/dbraw/zinc/25/49/92/1132254992.db2.gz ZDGXJYUEDLPINX-LBPRGKRZSA-N 1 2 297.333 3.761 20 0 CHADLO C[C@@H]1c2cnccc2C[C@@H]1Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231085877 1132255363 /nfs/dbraw/zinc/25/53/63/1132255363.db2.gz ZFXSUFDDRPUMDE-PXAZEXFGSA-N 1 2 291.354 3.579 20 0 CHADLO Fc1ccc2occ(C[N@@H+]3CCC[C@H]3c3ncccn3)c2c1 ZINC001141858117 1132257919 /nfs/dbraw/zinc/25/79/19/1132257919.db2.gz AAXZJULZLJPZPE-HNNXBMFYSA-N 1 2 297.333 3.699 20 0 CHADLO Fc1ccc2occ(C[N@H+]3CCC[C@H]3c3ncccn3)c2c1 ZINC001141858117 1132257924 /nfs/dbraw/zinc/25/79/24/1132257924.db2.gz AAXZJULZLJPZPE-HNNXBMFYSA-N 1 2 297.333 3.699 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CC[C@@H]3CCC[C@H]3C2)cs1 ZINC001141872020 1132259467 /nfs/dbraw/zinc/25/94/67/1132259467.db2.gz NQDMJLZWQQRKJX-UWVGGRQHSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CC[C@@H]3CCC[C@H]3C2)cs1 ZINC001141872020 1132259471 /nfs/dbraw/zinc/25/94/71/1132259471.db2.gz NQDMJLZWQQRKJX-UWVGGRQHSA-N 1 2 290.354 3.784 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)[C@H]1C[C@H]1C ZINC000840742187 1132281631 /nfs/dbraw/zinc/28/16/31/1132281631.db2.gz ILFPDQXUEZPHMJ-KNCCTNLNSA-N 1 2 288.435 3.758 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCOC[C@@H]2c2cccnc2)cc1C ZINC001231485877 1132300908 /nfs/dbraw/zinc/30/09/08/1132300908.db2.gz OFIPTDPFQUHMJA-LJQANCHMSA-N 1 2 296.414 3.580 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCOC[C@@H]2c2cccnc2)cc1C ZINC001231485877 1132300912 /nfs/dbraw/zinc/30/09/12/1132300912.db2.gz OFIPTDPFQUHMJA-LJQANCHMSA-N 1 2 296.414 3.580 20 0 CHADLO Cc1c(C)c(C)c(C[N@H+]2C[C@@H](F)[C@@H](F)C2)c(C)c1C ZINC001231492914 1132303536 /nfs/dbraw/zinc/30/35/36/1132303536.db2.gz QCBCUOAAPBGAAQ-IYBDPMFKSA-N 1 2 267.363 3.721 20 0 CHADLO Cc1c(C)c(C)c(C[N@@H+]2C[C@@H](F)[C@@H](F)C2)c(C)c1C ZINC001231492914 1132303543 /nfs/dbraw/zinc/30/35/43/1132303543.db2.gz QCBCUOAAPBGAAQ-IYBDPMFKSA-N 1 2 267.363 3.721 20 0 CHADLO CCc1ccc(C[N@@H+]2Cc3cccc(F)c3C2)s1 ZINC001231502532 1132307846 /nfs/dbraw/zinc/30/78/46/1132307846.db2.gz LRHLOONUFXJNGU-UHFFFAOYSA-N 1 2 261.365 3.965 20 0 CHADLO CCc1ccc(C[N@H+]2Cc3cccc(F)c3C2)s1 ZINC001231502532 1132307851 /nfs/dbraw/zinc/30/78/51/1132307851.db2.gz LRHLOONUFXJNGU-UHFFFAOYSA-N 1 2 261.365 3.965 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccc(OC(C)C)nc1)C2 ZINC001231532304 1132312690 /nfs/dbraw/zinc/31/26/90/1132312690.db2.gz STHWTBXGQYLZLO-UHFFFAOYSA-N 1 2 282.387 3.693 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccc(OC(C)C)nc1)C2 ZINC001231532304 1132312694 /nfs/dbraw/zinc/31/26/94/1132312694.db2.gz STHWTBXGQYLZLO-UHFFFAOYSA-N 1 2 282.387 3.693 20 0 CHADLO CC(C)(C)[C@@H]1CCC[C@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001142064844 1132314719 /nfs/dbraw/zinc/31/47/19/1132314719.db2.gz BCKXRINUJBUNAW-TZMCWYRMSA-N 1 2 285.391 3.508 20 0 CHADLO CCCCCCO[C@H](C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000841023343 1132319378 /nfs/dbraw/zinc/31/93/78/1132319378.db2.gz BNWJXADVQLDYOK-OAHLLOKOSA-N 1 2 292.423 3.931 20 0 CHADLO CC[N@H+](C)Cc1c(F)ccc(Br)c1Cl ZINC001142078710 1132320259 /nfs/dbraw/zinc/32/02/59/1132320259.db2.gz OLYGBZSNFZGSJP-UHFFFAOYSA-N 1 2 280.568 3.693 20 0 CHADLO CC[N@@H+](C)Cc1c(F)ccc(Br)c1Cl ZINC001142078710 1132320265 /nfs/dbraw/zinc/32/02/65/1132320265.db2.gz OLYGBZSNFZGSJP-UHFFFAOYSA-N 1 2 280.568 3.693 20 0 CHADLO COc1cc(C)c(C[N@@H+]2CCC(=O)[C@@H](C)C2)cc1C(C)C ZINC001231625347 1132329123 /nfs/dbraw/zinc/32/91/23/1132329123.db2.gz UEWYWICRWNRBGF-AWEZNQCLSA-N 1 2 289.419 3.538 20 0 CHADLO COc1cc(C)c(C[N@H+]2CCC(=O)[C@@H](C)C2)cc1C(C)C ZINC001231625347 1132329128 /nfs/dbraw/zinc/32/91/28/1132329128.db2.gz UEWYWICRWNRBGF-AWEZNQCLSA-N 1 2 289.419 3.538 20 0 CHADLO CC(C)[C@@H]1C[N@@H+]([C@@H](C)Cc2c(F)cccc2Cl)CCO1 ZINC001172863160 1132346363 /nfs/dbraw/zinc/34/63/63/1132346363.db2.gz USQUQLQHIMPFPV-LRDDRELGSA-N 1 2 299.817 3.767 20 0 CHADLO CC(C)[C@@H]1C[N@H+]([C@@H](C)Cc2c(F)cccc2Cl)CCO1 ZINC001172863160 1132346372 /nfs/dbraw/zinc/34/63/72/1132346372.db2.gz USQUQLQHIMPFPV-LRDDRELGSA-N 1 2 299.817 3.767 20 0 CHADLO Oc1cc(C[N@H+]2CCC[C@@H]2c2ccncc2)ccc1Cl ZINC001231734448 1132352392 /nfs/dbraw/zinc/35/23/92/1132352392.db2.gz ZQEXDXSPKLJABI-OAHLLOKOSA-N 1 2 288.778 3.778 20 0 CHADLO Clc1cncc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212693455 1132363431 /nfs/dbraw/zinc/36/34/31/1132363431.db2.gz XQCQMWOTRBVRRN-UHFFFAOYSA-N 1 2 284.750 3.723 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c(Cl)c1 ZINC001142296265 1132364008 /nfs/dbraw/zinc/36/40/08/1132364008.db2.gz BKTAJYHWAKOIBB-OTYXRUKQSA-N 1 2 286.202 3.986 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2CCC[C@@H]3C[C@@H]32)c(Cl)c1 ZINC001142296265 1132364017 /nfs/dbraw/zinc/36/40/17/1132364017.db2.gz BKTAJYHWAKOIBB-OTYXRUKQSA-N 1 2 286.202 3.986 20 0 CHADLO CN(C(=O)c1cccc2[nH+]ccn21)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000841452997 1132365174 /nfs/dbraw/zinc/36/51/74/1132365174.db2.gz MOTGJYRBIJBKHE-KBPBESRZSA-N 1 2 299.418 3.621 20 0 CHADLO CC(C)(C)Oc1ccc(C[N@@H+]2CCn3cccc3C2)cc1 ZINC001231806788 1132367890 /nfs/dbraw/zinc/36/78/90/1132367890.db2.gz SAGAXGRZXFWZFY-UHFFFAOYSA-N 1 2 284.403 3.681 20 0 CHADLO CC(C)(C)Oc1ccc(C[N@H+]2CCn3cccc3C2)cc1 ZINC001231806788 1132367897 /nfs/dbraw/zinc/36/78/97/1132367897.db2.gz SAGAXGRZXFWZFY-UHFFFAOYSA-N 1 2 284.403 3.681 20 0 CHADLO c1cn(Cc2ccc(Nc3cccc(C4CC4)n3)cc2)c[nH+]1 ZINC001212695072 1132373091 /nfs/dbraw/zinc/37/30/91/1132373091.db2.gz MGRPQITWDLVJNS-UHFFFAOYSA-N 1 2 290.370 3.947 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc4cnoc4c3)cc2)c[nH+]1 ZINC001212698075 1132377888 /nfs/dbraw/zinc/37/78/88/1132377888.db2.gz KEKISERJTIDKFT-UHFFFAOYSA-N 1 2 290.326 3.816 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2ccc(OC3CCCCC3)nc2)C1 ZINC001231872261 1132383363 /nfs/dbraw/zinc/38/33/63/1132383363.db2.gz CCQVJGDTSFYUMK-HNNXBMFYSA-N 1 2 292.398 3.727 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2ccc(OC3CCCCC3)nc2)C1 ZINC001231872261 1132383370 /nfs/dbraw/zinc/38/33/70/1132383370.db2.gz CCQVJGDTSFYUMK-HNNXBMFYSA-N 1 2 292.398 3.727 20 0 CHADLO C[C@H](Cc1ccc(OC(F)(F)F)cc1)[NH2+][C@H]1CC1(F)F ZINC001173074168 1132388146 /nfs/dbraw/zinc/38/81/46/1132388146.db2.gz SOEZQNIKIJRSLB-KCJUWKMLSA-N 1 2 295.251 3.513 20 0 CHADLO C[C@@H](Cc1ccc(OC(F)(F)F)cc1)[NH2+][C@H]1CC1(F)F ZINC001173074169 1132388488 /nfs/dbraw/zinc/38/84/88/1132388488.db2.gz SOEZQNIKIJRSLB-KWQFWETISA-N 1 2 295.251 3.513 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(OC(C)C)c(C)c1 ZINC000841855485 1132400249 /nfs/dbraw/zinc/40/02/49/1132400249.db2.gz HNQIZDFIMIKWBO-UHFFFAOYSA-N 1 2 273.380 3.611 20 0 CHADLO COc1cccc2[nH]cc(C[N@@H+]3CCn4cccc4[C@H]3C)c21 ZINC001232036620 1132412956 /nfs/dbraw/zinc/41/29/56/1132412956.db2.gz LYAUXZVJDZJDMX-CYBMUJFWSA-N 1 2 295.386 3.555 20 0 CHADLO COc1cccc2[nH]cc(C[N@H+]3CCn4cccc4[C@H]3C)c21 ZINC001232036620 1132412961 /nfs/dbraw/zinc/41/29/61/1132412961.db2.gz LYAUXZVJDZJDMX-CYBMUJFWSA-N 1 2 295.386 3.555 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2nc(Cl)ccc2F)c1 ZINC001232157768 1132430076 /nfs/dbraw/zinc/43/00/76/1132430076.db2.gz BMVXJBFOOABYNX-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2nc(Cl)ccc2F)c1 ZINC001232157768 1132430077 /nfs/dbraw/zinc/43/00/77/1132430077.db2.gz BMVXJBFOOABYNX-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)ccn1 ZINC001232214515 1132436896 /nfs/dbraw/zinc/43/68/96/1132436896.db2.gz SFDMRHQTLGUQJJ-XJKSGUPXSA-N 1 2 282.378 3.651 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)ccn1 ZINC001232214515 1132436899 /nfs/dbraw/zinc/43/68/99/1132436899.db2.gz SFDMRHQTLGUQJJ-XJKSGUPXSA-N 1 2 282.378 3.651 20 0 CHADLO C[N@H+](Cc1cnsc1)Cc1cccc(Br)c1 ZINC001232226787 1132438305 /nfs/dbraw/zinc/43/83/05/1132438305.db2.gz XLFFLUAVUXVYLB-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO C[N@@H+](Cc1cnsc1)Cc1cccc(Br)c1 ZINC001232226787 1132438313 /nfs/dbraw/zinc/43/83/13/1132438313.db2.gz XLFFLUAVUXVYLB-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO Cn1ncc(C[NH2+]C2(c3ccccc3Cl)CC2)c1Cl ZINC000842733211 1132454769 /nfs/dbraw/zinc/45/47/69/1132454769.db2.gz YQXBHOVIVPFNFM-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO CC1=C(C)C[C@H](C(=O)OCc2cccc3[nH+]ccn32)CC1 ZINC000842738907 1132456322 /nfs/dbraw/zinc/45/63/22/1132456322.db2.gz AZJFUNDMYIHFAA-CQSZACIVSA-N 1 2 284.359 3.514 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCC[C@](C)(F)C2)cc1F ZINC001232419178 1132467025 /nfs/dbraw/zinc/46/70/25/1132467025.db2.gz CXFORSNSTAQOGH-AWEZNQCLSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCC[C@](C)(F)C2)cc1F ZINC001232419178 1132467026 /nfs/dbraw/zinc/46/70/26/1132467026.db2.gz CXFORSNSTAQOGH-AWEZNQCLSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1[nH]c(CN(C)Cc2ccc(Cl)cc2Cl)c[nH+]1 ZINC001232458346 1132473828 /nfs/dbraw/zinc/47/38/28/1132473828.db2.gz FMGXWDKVVVOBIW-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1[nH]cc(CN(C)Cc2ccc(Cl)cc2Cl)[nH+]1 ZINC001232458346 1132473831 /nfs/dbraw/zinc/47/38/31/1132473831.db2.gz FMGXWDKVVVOBIW-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCN(C)C[C@@H]1c1ccccc1 ZINC001232482446 1132477035 /nfs/dbraw/zinc/47/70/35/1132477035.db2.gz SJYAXNKPOZEYJK-LJQANCHMSA-N 1 2 298.405 3.623 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCN(C)C[C@@H]1c1ccccc1 ZINC001232482446 1132477038 /nfs/dbraw/zinc/47/70/38/1132477038.db2.gz SJYAXNKPOZEYJK-LJQANCHMSA-N 1 2 298.405 3.623 20 0 CHADLO COc1cc(C)c(C[N@@H+]2Cc3cnc(C)cc3C2)c(C)c1 ZINC001142941593 1132488041 /nfs/dbraw/zinc/48/80/41/1132488041.db2.gz HZDNHMJFMWVTIN-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO COc1cc(C)c(C[N@H+]2Cc3cnc(C)cc3C2)c(C)c1 ZINC001142941593 1132488044 /nfs/dbraw/zinc/48/80/44/1132488044.db2.gz HZDNHMJFMWVTIN-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO Cc1cn2c(cccc2Nc2cccnc2C2CC2)[nH+]1 ZINC001212735276 1132489873 /nfs/dbraw/zinc/48/98/73/1132489873.db2.gz OBALRGRQGLVIIG-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO c1cc2ccc(C[NH+]3CC(Oc4cccnc4)C3)cc2s1 ZINC001232612714 1132493295 /nfs/dbraw/zinc/49/32/95/1132493295.db2.gz MYKJGQWWWOAZCM-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO COc1cccc(C)c1C[N@H+](C)Cc1ccc(F)cc1F ZINC001232623528 1132497525 /nfs/dbraw/zinc/49/75/25/1132497525.db2.gz RABLLOBMKLYPNM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cccc(C)c1C[N@@H+](C)Cc1ccc(F)cc1F ZINC001232623528 1132497528 /nfs/dbraw/zinc/49/75/28/1132497528.db2.gz RABLLOBMKLYPNM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cc(C)cc(OC)c1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001143132441 1132505644 /nfs/dbraw/zinc/50/56/44/1132505644.db2.gz CMCAIOZUUNAXEZ-UHFFFAOYSA-N 1 2 297.398 3.836 20 0 CHADLO COc1cc(C)cc(OC)c1C[N@H+]1Cc2cccc(C)c2C1 ZINC001143132441 1132505647 /nfs/dbraw/zinc/50/56/47/1132505647.db2.gz CMCAIOZUUNAXEZ-UHFFFAOYSA-N 1 2 297.398 3.836 20 0 CHADLO COc1cc(C)cc(C[N@@H+]2CCOC[C@@H]2c2ccccc2)c1 ZINC001143150903 1132508557 /nfs/dbraw/zinc/50/85/57/1132508557.db2.gz YMARGWLMGPKWRS-LJQANCHMSA-N 1 2 297.398 3.577 20 0 CHADLO COc1cc(C)cc(C[N@H+]2CCOC[C@@H]2c2ccccc2)c1 ZINC001143150903 1132508563 /nfs/dbraw/zinc/50/85/63/1132508563.db2.gz YMARGWLMGPKWRS-LJQANCHMSA-N 1 2 297.398 3.577 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccnc2C)c(F)cc1F ZINC001232784766 1132520825 /nfs/dbraw/zinc/52/08/25/1132520825.db2.gz RKYKCGKESXPQQN-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccnc2C)c(F)cc1F ZINC001232784766 1132520832 /nfs/dbraw/zinc/52/08/32/1132520832.db2.gz RKYKCGKESXPQQN-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO c1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1 ZINC001173791478 1132527573 /nfs/dbraw/zinc/52/75/73/1132527573.db2.gz TYYVWKMHZOYYBI-UHFFFAOYSA-N 1 2 253.349 3.816 20 0 CHADLO Brc1coc(C[N@H+](Cc2ccco2)C2CC2)c1 ZINC001232855761 1132530944 /nfs/dbraw/zinc/53/09/44/1132530944.db2.gz IUPQACKXDUJJHZ-UHFFFAOYSA-N 1 2 296.164 3.800 20 0 CHADLO Brc1coc(C[N@@H+](Cc2ccco2)C2CC2)c1 ZINC001232855761 1132530952 /nfs/dbraw/zinc/53/09/52/1132530952.db2.gz IUPQACKXDUJJHZ-UHFFFAOYSA-N 1 2 296.164 3.800 20 0 CHADLO Fc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC001173798379 1132531963 /nfs/dbraw/zinc/53/19/63/1132531963.db2.gz SVIATXMTDCUAMW-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO Cc1ccc(Nc2ccccc2C[NH+]2CCOCC2)cc1 ZINC001173800340 1132533007 /nfs/dbraw/zinc/53/30/07/1132533007.db2.gz BSHYCPBRNQDMBS-UHFFFAOYSA-N 1 2 282.387 3.571 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1 ZINC001173805398 1132539322 /nfs/dbraw/zinc/53/93/22/1132539322.db2.gz PGNWLYWCJWBTBA-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Cc1cccc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001173807335 1132541911 /nfs/dbraw/zinc/54/19/11/1132541911.db2.gz FNMSOSLBKKVKLE-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CCCC[C@@H]3C)cc2[nH+]1 ZINC001150106309 1132552176 /nfs/dbraw/zinc/55/21/76/1132552176.db2.gz KKTDLURRCQLVAK-GXFFZTMASA-N 1 2 271.364 3.636 20 0 CHADLO Cc1cc(C)c(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)cn1 ZINC001233008849 1132562286 /nfs/dbraw/zinc/56/22/86/1132562286.db2.gz IBZKVNKEOGHEEK-QFBILLFUSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)cn1 ZINC001233008849 1132562288 /nfs/dbraw/zinc/56/22/88/1132562288.db2.gz IBZKVNKEOGHEEK-QFBILLFUSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(F)c(F)c2)[nH+]1 ZINC001173865186 1132566898 /nfs/dbraw/zinc/56/68/98/1132566898.db2.gz KKGPZHRUXZKLJH-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CSc1cc(Nc2ccc(F)c(F)c2)cc[nH+]1 ZINC001173866280 1132568775 /nfs/dbraw/zinc/56/87/75/1132568775.db2.gz CBEOFEXEKJLRAB-UHFFFAOYSA-N 1 2 252.289 3.825 20 0 CHADLO CC[C@@H]1CCC[N@@H+]1Cc1nc(C)sc1Br ZINC001233153063 1132575408 /nfs/dbraw/zinc/57/54/08/1132575408.db2.gz APXQPGJGACWFAD-SECBINFHSA-N 1 2 289.242 3.588 20 0 CHADLO CC[C@@H]1CCC[N@H+]1Cc1nc(C)sc1Br ZINC001233153063 1132575414 /nfs/dbraw/zinc/57/54/14/1132575414.db2.gz APXQPGJGACWFAD-SECBINFHSA-N 1 2 289.242 3.588 20 0 CHADLO Cc1csc(C[N@@H+]2CCC[C@H]2c2ccccc2F)n1 ZINC001204377888 1132577800 /nfs/dbraw/zinc/57/78/00/1132577800.db2.gz HOIWMDBWWNCBQC-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1csc(C[N@H+]2CCC[C@H]2c2ccccc2F)n1 ZINC001204377888 1132577806 /nfs/dbraw/zinc/57/78/06/1132577806.db2.gz HOIWMDBWWNCBQC-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1ccc(Nc2ccc(-c3ccncn3)cc2)c(C)[nH+]1 ZINC001203375803 1132581262 /nfs/dbraw/zinc/58/12/62/1132581262.db2.gz BYQFAPXIGMHTIS-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233212371 1132582478 /nfs/dbraw/zinc/58/24/78/1132582478.db2.gz UUMAFRRLABZXLC-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233212371 1132582485 /nfs/dbraw/zinc/58/24/85/1132582485.db2.gz UUMAFRRLABZXLC-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3ccccc3s2)cc1O ZINC001233230127 1132584256 /nfs/dbraw/zinc/58/42/56/1132584256.db2.gz XYYKHIYSRDLFEO-UHFFFAOYSA-N 1 2 298.411 3.942 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3ccccc3s2)cc1O ZINC001233230127 1132584261 /nfs/dbraw/zinc/58/42/61/1132584261.db2.gz XYYKHIYSRDLFEO-UHFFFAOYSA-N 1 2 298.411 3.942 20 0 CHADLO Fc1cccc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001173833405 1132587485 /nfs/dbraw/zinc/58/74/85/1132587485.db2.gz VXRJLEPEALXBJC-UHFFFAOYSA-N 1 2 257.312 3.565 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2cc(Cl)ccc2C)n1 ZINC001233250725 1132588746 /nfs/dbraw/zinc/58/87/46/1132588746.db2.gz WZYMQEVWAIVYEL-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2cc(Cl)ccc2C)n1 ZINC001233250725 1132588752 /nfs/dbraw/zinc/58/87/52/1132588752.db2.gz WZYMQEVWAIVYEL-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO CCOc1cc(Nc2ccccc2OC)cc(C)[nH+]1 ZINC001173838397 1132592146 /nfs/dbraw/zinc/59/21/46/1132592146.db2.gz IOBWFIWXRZNRBK-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)o2)cn1 ZINC001233316786 1132599200 /nfs/dbraw/zinc/59/92/00/1132599200.db2.gz CEEYMLBDYNUKIT-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)o2)cn1 ZINC001233316786 1132599206 /nfs/dbraw/zinc/59/92/06/1132599206.db2.gz CEEYMLBDYNUKIT-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO CCOc1cc(Nc2cccc(C(C)=O)c2)cc(C)[nH+]1 ZINC001173900767 1132604677 /nfs/dbraw/zinc/60/46/77/1132604677.db2.gz MWADMZVCRZXZKW-UHFFFAOYSA-N 1 2 270.332 3.735 20 0 CHADLO COc1cc(F)c(C[N@@H+]2CC[C@@H]2c2ccccc2)cc1F ZINC001233344016 1132605659 /nfs/dbraw/zinc/60/56/59/1132605659.db2.gz KGEPFGVUDXVKOZ-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cc(F)c(C[N@H+]2CC[C@@H]2c2ccccc2)cc1F ZINC001233344016 1132605664 /nfs/dbraw/zinc/60/56/64/1132605664.db2.gz KGEPFGVUDXVKOZ-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(OC)c(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001173918845 1132613480 /nfs/dbraw/zinc/61/34/80/1132613480.db2.gz KICZDPMLPJOGQP-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(OC)cccc1OC ZINC001173923346 1132617516 /nfs/dbraw/zinc/61/75/16/1132617516.db2.gz LWRDEFWNUBVKEC-UHFFFAOYSA-N 1 2 272.348 3.713 20 0 CHADLO Cc1cnc(CCNc2cc(-c3ccccc3)cc[nH+]2)nc1 ZINC001154861874 1132627796 /nfs/dbraw/zinc/62/77/96/1132627796.db2.gz WXZNLXZJQDIBOR-UHFFFAOYSA-N 1 2 290.370 3.502 20 0 CHADLO Fc1ccc(COc2ccc[nH+]c2NC2CC(F)C2)cc1 ZINC001154844247 1132628226 /nfs/dbraw/zinc/62/82/26/1132628226.db2.gz XQONFMTWZUKJMR-UHFFFAOYSA-N 1 2 290.313 3.712 20 0 CHADLO COc1ccc(F)cc1Nc1cc[nH+]c(SC)c1 ZINC001173956232 1132630188 /nfs/dbraw/zinc/63/01/88/1132630188.db2.gz BSZXFPASLAFRNV-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO COC(=O)CCCCCCNc1cc2ccccc2c[nH+]1 ZINC001155003958 1132635720 /nfs/dbraw/zinc/63/57/20/1132635720.db2.gz FGQQIWXSZFVCFN-UHFFFAOYSA-N 1 2 286.375 3.770 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1cc3ccccc3c[nH+]1)C(=O)N2 ZINC001155109068 1132641644 /nfs/dbraw/zinc/64/16/44/1132641644.db2.gz YPUHRJVTPKHBMK-KRWDZBQOSA-N 1 2 289.338 3.649 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2C(=O)[C@@H]2CCCC2(C)C)[nH+]c1C ZINC001155174765 1132644977 /nfs/dbraw/zinc/64/49/77/1132644977.db2.gz NSBKEOSPEVWMTF-UONOGXRCSA-N 1 2 289.423 3.516 20 0 CHADLO COc1c[nH+]c(NCc2cn(C)c3ccccc23)c(C)c1 ZINC001155287281 1132652608 /nfs/dbraw/zinc/65/26/08/1132652608.db2.gz ZKIHMKCQIXANTD-UHFFFAOYSA-N 1 2 281.359 3.502 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cccc(F)c1F ZINC001173947348 1132653933 /nfs/dbraw/zinc/65/39/33/1132653933.db2.gz MOOLWZCATLUXIS-UHFFFAOYSA-N 1 2 259.259 3.595 20 0 CHADLO CC(C)(C)OC(=O)CCNc1cc(-c2ccccc2)cc[nH+]1 ZINC001155679780 1132663974 /nfs/dbraw/zinc/66/39/74/1132663974.db2.gz XIGDNJAQNPWGKP-UHFFFAOYSA-N 1 2 298.386 3.892 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc(C(=O)N(C)C)cc1 ZINC001174005136 1132675625 /nfs/dbraw/zinc/67/56/25/1132675625.db2.gz GBJOXBKEGMODMA-UHFFFAOYSA-N 1 2 297.402 3.959 20 0 CHADLO c1cc(Nc2ccc([NH+]3CCCC3)cc2)cc2c1OCC2 ZINC001174010986 1132677455 /nfs/dbraw/zinc/67/74/55/1132677455.db2.gz XRSDTDAIXQHFCE-UHFFFAOYSA-N 1 2 280.371 3.965 20 0 CHADLO CCOc1ccc[nH+]c1NCCCOc1ccccc1C ZINC001156038288 1132679455 /nfs/dbraw/zinc/67/94/55/1132679455.db2.gz JUUSJAJJOJFQBR-UHFFFAOYSA-N 1 2 286.375 3.670 20 0 CHADLO C=Cc1ccc(NC[C@@H](C)Oc2ccc(OC)cc2)[nH+]c1 ZINC001156080678 1132680948 /nfs/dbraw/zinc/68/09/48/1132680948.db2.gz OYFVXPNAPZKWKV-CYBMUJFWSA-N 1 2 284.359 3.613 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@H](c1cccnc1)C(F)(F)F ZINC001156159243 1132684651 /nfs/dbraw/zinc/68/46/51/1132684651.db2.gz PUYUXRRQHGGSOP-GFCCVEGCSA-N 1 2 297.280 3.509 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H](c1cccnc1)C(F)(F)F ZINC001156159244 1132684684 /nfs/dbraw/zinc/68/46/84/1132684684.db2.gz PUYUXRRQHGGSOP-LBPRGKRZSA-N 1 2 297.280 3.509 20 0 CHADLO CC(=O)Nc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001173977390 1132685432 /nfs/dbraw/zinc/68/54/32/1132685432.db2.gz QKJIMTLKMUEYLS-UHFFFAOYSA-N 1 2 292.342 3.574 20 0 CHADLO NC(=O)c1cccc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001174059903 1132689492 /nfs/dbraw/zinc/68/94/92/1132689492.db2.gz IOAMAHZQIXZGPG-UHFFFAOYSA-N 1 2 295.386 3.519 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1F ZINC001174019382 1132692355 /nfs/dbraw/zinc/69/23/55/1132692355.db2.gz GLIVZZLFFNORMA-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO COCc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001174093454 1132710546 /nfs/dbraw/zinc/71/05/46/1132710546.db2.gz GEXKWPZWVMNUNK-UHFFFAOYSA-N 1 2 279.343 3.762 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccccc2COC)c1 ZINC001174105578 1132721837 /nfs/dbraw/zinc/72/18/37/1132721837.db2.gz LCVPYNFFFJFXNJ-UHFFFAOYSA-N 1 2 256.349 3.924 20 0 CHADLO CCN(Cc1cccc(F)c1F)c1[nH+]cc(C)cc1OC ZINC001157366609 1132728713 /nfs/dbraw/zinc/72/87/13/1132728713.db2.gz OXOLTZHWUWHHSG-UHFFFAOYSA-N 1 2 292.329 3.703 20 0 CHADLO Cc1cccc(C2(Nc3cc(C(C)C)[nH+]c(C)n3)COC2)c1 ZINC001157674974 1132737511 /nfs/dbraw/zinc/73/75/11/1132737511.db2.gz UOMFXUBNPPBUTD-UHFFFAOYSA-N 1 2 297.402 3.554 20 0 CHADLO Cc1nc(N[C@H](c2ccccn2)C(F)(F)F)c(C)c(C)[nH+]1 ZINC001157686950 1132739256 /nfs/dbraw/zinc/73/92/56/1132739256.db2.gz QOBMRZHMDLLMKO-GFCCVEGCSA-N 1 2 296.296 3.512 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc2cnccc21 ZINC001174135405 1132742039 /nfs/dbraw/zinc/74/20/39/1132742039.db2.gz AMBJIXLUKDNEQT-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO CCOc1cc(Nc2ccc(OC)cc2F)cc(C)[nH+]1 ZINC001174143146 1132746205 /nfs/dbraw/zinc/74/62/05/1132746205.db2.gz AVFQEDNJRPXAKI-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H]2CCNc3ccccc32)c1 ZINC001157840794 1132747637 /nfs/dbraw/zinc/74/76/37/1132747637.db2.gz XDDHMRUFFZJDQT-OAHLLOKOSA-N 1 2 285.416 3.913 20 0 CHADLO COc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1Cl ZINC001174151772 1132749810 /nfs/dbraw/zinc/74/98/10/1132749810.db2.gz IIWKYLPRMVONLW-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO C[C@H](CCCC(C)(C)O)Nc1cc2ccccc2c[nH+]1 ZINC001157886863 1132751956 /nfs/dbraw/zinc/75/19/56/1132751956.db2.gz DXOZLIWWKCXSTO-CYBMUJFWSA-N 1 2 272.392 3.976 20 0 CHADLO CCc1cccc(Nc2cccc(C(=O)N3CCCC3)c2)[nH+]1 ZINC001174168882 1132753762 /nfs/dbraw/zinc/75/37/62/1132753762.db2.gz DGLAYTGFJNAOAK-UHFFFAOYSA-N 1 2 295.386 3.624 20 0 CHADLO Cc1ccc(C(C)(C)Nc2ccc(Cl)c(N)[nH+]2)cc1 ZINC001157980037 1132758949 /nfs/dbraw/zinc/75/89/49/1132758949.db2.gz MROTXRQBIDYWTK-UHFFFAOYSA-N 1 2 275.783 3.973 20 0 CHADLO c1c(CCNc2cc3ccccc3c[nH+]2)nc2ccccn12 ZINC001158142936 1132764656 /nfs/dbraw/zinc/76/46/56/1132764656.db2.gz ZECQAUGVZNNEFM-UHFFFAOYSA-N 1 2 288.354 3.537 20 0 CHADLO Fc1cncc(Nc2cc[nH+]c3ccc(Cl)cc23)c1 ZINC001174289664 1132773087 /nfs/dbraw/zinc/77/30/87/1132773087.db2.gz OZNGFAJPMBIPSH-UHFFFAOYSA-N 1 2 273.698 3.588 20 0 CHADLO Cc1nc(N[C@@H]2C[C@@H](C)c3ccccc32)c2c([nH+]1)CCC2 ZINC001158472871 1132778823 /nfs/dbraw/zinc/77/88/23/1132778823.db2.gz NRJJSSZGAPHJFN-PIGZYNQJSA-N 1 2 279.387 3.934 20 0 CHADLO Cc1cc(NC[C@@H](C)c2ccc(O)cc2)nc(C(C)C)[nH+]1 ZINC001158607697 1132784709 /nfs/dbraw/zinc/78/47/09/1132784709.db2.gz PEEQRDYFMLNXKV-GFCCVEGCSA-N 1 2 285.391 3.830 20 0 CHADLO COc1cc(Nc2c(C)cc[nH+]c2C(C)C)ccn1 ZINC001174305924 1132787370 /nfs/dbraw/zinc/78/73/70/1132787370.db2.gz NFHGSUJGHZCLSJ-UHFFFAOYSA-N 1 2 257.337 3.661 20 0 CHADLO O[C@@H]1c2ccccc2CC[C@@H]1Nc1cc2ccccc2c[nH+]1 ZINC001158676393 1132789102 /nfs/dbraw/zinc/78/91/02/1132789102.db2.gz VDYYTXPOMAVSSF-PKOBYXMFSA-N 1 2 290.366 3.695 20 0 CHADLO C=Cc1ccc(NCCOc2ccccc2OCC)[nH+]c1 ZINC001158823846 1132795349 /nfs/dbraw/zinc/79/53/49/1132795349.db2.gz LNCNEFCENRGGAH-UHFFFAOYSA-N 1 2 284.359 3.614 20 0 CHADLO COc1cc(C)c[nH+]c1N(C)[C@H]1CCc2ccccc21 ZINC001158920485 1132798679 /nfs/dbraw/zinc/79/86/79/1132798679.db2.gz PGEZRTVSKRNDAE-HNNXBMFYSA-N 1 2 268.360 3.522 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3ncn(C)c3c2)cc1 ZINC001174350734 1132808001 /nfs/dbraw/zinc/80/80/01/1132808001.db2.gz SYWHVLDOJJUQAG-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3ncn(C)c3c2)cc1 ZINC001174350734 1132808011 /nfs/dbraw/zinc/80/80/11/1132808011.db2.gz SYWHVLDOJJUQAG-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2c(c1)CCCN2 ZINC001159203905 1132815709 /nfs/dbraw/zinc/81/57/09/1132815709.db2.gz KXLGDJVFVFVPJU-UHFFFAOYSA-N 1 2 269.348 3.582 20 0 CHADLO CCc1cccc(Nc2ccc3ncsc3c2)[nH+]1 ZINC001174364686 1132820693 /nfs/dbraw/zinc/82/06/93/1132820693.db2.gz CEQFIPGGWSRYRA-UHFFFAOYSA-N 1 2 255.346 3.997 20 0 CHADLO CCOc1ccc(Nc2ccc(N)[nH+]c2)cc1C(F)(F)F ZINC001159280972 1132822903 /nfs/dbraw/zinc/82/29/03/1132822903.db2.gz KOOGJEDCDLGZJC-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO Nc1ccc(Nc2cc(F)ccc2C(F)(F)F)c[nH+]1 ZINC001159280909 1132823021 /nfs/dbraw/zinc/82/30/21/1132823021.db2.gz GWFCNISVYAWBII-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO Cc1cc(OC(C)C)ccc1Nc1ccc(N)[nH+]c1 ZINC001159281815 1132823555 /nfs/dbraw/zinc/82/35/55/1132823555.db2.gz CASSOYDZFXRXOQ-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc2ncsc2c1 ZINC001174368134 1132823931 /nfs/dbraw/zinc/82/39/31/1132823931.db2.gz IXUPUZQBSKAFNB-UHFFFAOYSA-N 1 2 280.356 3.526 20 0 CHADLO Clc1ccc2c(Nc3ccc4ncnn4c3)cc[nH+]c2c1 ZINC001174371841 1132824804 /nfs/dbraw/zinc/82/48/04/1132824804.db2.gz JSURBGBFZGLQGD-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C(C)C)on1 ZINC001174381077 1132828267 /nfs/dbraw/zinc/82/82/67/1132828267.db2.gz TVNHUVPVKPUBQD-UHFFFAOYSA-N 1 2 256.309 3.657 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cncnc3Cl)ccc21 ZINC001174380809 1132829694 /nfs/dbraw/zinc/82/96/94/1132829694.db2.gz KVISTKWPCKEZAR-UHFFFAOYSA-N 1 2 287.754 3.804 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccccc3CO)ccc21 ZINC001174381717 1132829761 /nfs/dbraw/zinc/82/97/61/1132829761.db2.gz PZOLHTFMBMREOC-UHFFFAOYSA-N 1 2 281.359 3.853 20 0 CHADLO CSc1ncc(Nc2ccc3c(c2)[nH+]cn3C(C)C)cn1 ZINC001174382269 1132830042 /nfs/dbraw/zinc/83/00/42/1132830042.db2.gz HLJBJWUNFVEDRE-UHFFFAOYSA-N 1 2 299.403 3.873 20 0 CHADLO CN(C)c1ccc(Nc2ccc3occc3c2)c[nH+]1 ZINC001174416578 1132832768 /nfs/dbraw/zinc/83/27/68/1132832768.db2.gz MEAOZPDGXAOFEC-UHFFFAOYSA-N 1 2 253.305 3.637 20 0 CHADLO FC1(F)Oc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2O1 ZINC001174411088 1132849181 /nfs/dbraw/zinc/84/91/81/1132849181.db2.gz ITHAQISAYZCHCW-UHFFFAOYSA-N 1 2 289.241 3.580 20 0 CHADLO CCCOC(=O)c1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1 ZINC001174412601 1132851473 /nfs/dbraw/zinc/85/14/73/1132851473.db2.gz AZDBNYQPPLKZBW-UHFFFAOYSA-N 1 2 295.342 3.825 20 0 CHADLO Cc1cc2nsnc2cc1Nc1cc[nH+]c2[nH]ccc21 ZINC001174415389 1132854910 /nfs/dbraw/zinc/85/49/10/1132854910.db2.gz LDIVPASFUBYUBS-UHFFFAOYSA-N 1 2 281.344 3.571 20 0 CHADLO COc1cc(Nc2ccc3occc3c2)cc(C)[nH+]1 ZINC001174416250 1132855146 /nfs/dbraw/zinc/85/51/46/1132855146.db2.gz IJMCQCAHKLLZRA-UHFFFAOYSA-N 1 2 254.289 3.888 20 0 CHADLO O[C@@H]1CCCc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc21 ZINC001174415736 1132855420 /nfs/dbraw/zinc/85/54/20/1132855420.db2.gz TWRMVTLPUVBDID-MRXNPFEDSA-N 1 2 279.343 3.628 20 0 CHADLO Cc1ccc(Nc2cccc(N3CCCC3)c2)[nH+]c1 ZINC001174485679 1132858233 /nfs/dbraw/zinc/85/82/33/1132858233.db2.gz PVIFZQBLAVWZDT-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO CCOc1ncccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001174456694 1132867780 /nfs/dbraw/zinc/86/77/80/1132867780.db2.gz AKGNUIUCYHZOAY-UHFFFAOYSA-N 1 2 288.738 3.525 20 0 CHADLO c1cn2ccc(Nc3ccc(N4CCCC4)cc3)cc2[nH+]1 ZINC001174527053 1132888433 /nfs/dbraw/zinc/88/84/33/1132888433.db2.gz LVRJPQRDXZMFQH-UHFFFAOYSA-N 1 2 278.359 3.678 20 0 CHADLO Cc1cccc2c(C)cc(N[C@@H]3CCOC[C@H]3C)[nH+]c12 ZINC001159709444 1132897804 /nfs/dbraw/zinc/89/78/04/1132897804.db2.gz SCLMLZDGUUTZBZ-UKRRQHHQSA-N 1 2 270.376 3.689 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(-c3cc[nH]n3)c2)c[nH+]1 ZINC001174506245 1132904651 /nfs/dbraw/zinc/90/46/51/1132904651.db2.gz BTMBWFAGPHRONV-UHFFFAOYSA-N 1 2 293.374 3.671 20 0 CHADLO CCOc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)ccn1 ZINC001174523460 1132912960 /nfs/dbraw/zinc/91/29/60/1132912960.db2.gz OQCTYUISVUYYHH-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO CCc1ccc(N)c(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174524372 1132912970 /nfs/dbraw/zinc/91/29/70/1132912970.db2.gz IOPYEXRDVNXUGO-UHFFFAOYSA-N 1 2 296.418 3.960 20 0 CHADLO Cc1cc(C[C@H](C)Nc2ccc([NH+]3CCCC3)cc2)on1 ZINC001174524659 1132913550 /nfs/dbraw/zinc/91/35/50/1132913550.db2.gz NKEVJZDPNVUGJG-ZDUSSCGKSA-N 1 2 285.391 3.626 20 0 CHADLO Cc1cc(O)ccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174525146 1132914010 /nfs/dbraw/zinc/91/40/10/1132914010.db2.gz YIRRMNFAIIDNAU-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cccc(C(F)F)c1 ZINC001174618934 1132928716 /nfs/dbraw/zinc/92/87/16/1132928716.db2.gz LNTUNRUGCYBNBS-UHFFFAOYSA-N 1 2 250.248 3.777 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1cccc(C(F)F)c1 ZINC001174620903 1132928590 /nfs/dbraw/zinc/92/85/90/1132928590.db2.gz HBUBYWXHQXDEMU-UHFFFAOYSA-N 1 2 263.291 3.829 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(C(F)F)c1 ZINC001174618949 1132929117 /nfs/dbraw/zinc/92/91/17/1132929117.db2.gz FZAYQTXBGZGPKW-UHFFFAOYSA-N 1 2 250.248 3.771 20 0 CHADLO Oc1ccc(F)cc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001174627368 1132932860 /nfs/dbraw/zinc/93/28/60/1132932860.db2.gz PRHXCBHACUHEJZ-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3sc(N)nc3c2)c1 ZINC001160006267 1132934259 /nfs/dbraw/zinc/93/42/59/1132934259.db2.gz PLUYETXNCMLWFM-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO Cc1nc(N[C@H](CC(C)C)c2ccccn2)c2c([nH+]1)CCC2 ZINC001160485850 1132972292 /nfs/dbraw/zinc/97/22/92/1132972292.db2.gz IFAHNERPPRDVBH-QGZVFWFLSA-N 1 2 296.418 3.868 20 0 CHADLO CCOc1ccc[nH+]c1NCc1cc(C)c(OC)cc1C ZINC001160776313 1132981081 /nfs/dbraw/zinc/98/10/81/1132981081.db2.gz RJEVXEVNIUCGGO-UHFFFAOYSA-N 1 2 286.375 3.718 20 0 CHADLO C=Cc1ccc(NCCc2c[nH]c3ccccc23)[nH+]c1 ZINC001160779839 1132982319 /nfs/dbraw/zinc/98/23/19/1132982319.db2.gz FJQUPOBDVDXVJK-UHFFFAOYSA-N 1 2 263.344 3.861 20 0 CHADLO Cc1cc(Cl)ncc1Nc1cccc2[nH+]c[nH]c21 ZINC001213027293 1132986391 /nfs/dbraw/zinc/98/63/91/1132986391.db2.gz SDMKLBZIHLEGAP-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO Cc1nc(Cl)ccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213027100 1132986470 /nfs/dbraw/zinc/98/64/70/1132986470.db2.gz IZURFAHASYSMSW-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO Cc1cc(NCCCc2cccc(N)c2)nc(C(C)C)[nH+]1 ZINC001161013165 1132989884 /nfs/dbraw/zinc/98/98/84/1132989884.db2.gz VWYVCTXAYIAPFG-UHFFFAOYSA-N 1 2 284.407 3.535 20 0 CHADLO FC(F)(F)Oc1ccncc1Nc1cccc2[nH+]c[nH]c21 ZINC001213029034 1132992299 /nfs/dbraw/zinc/99/22/99/1132992299.db2.gz FMMFSYBJLDRBLH-UHFFFAOYSA-N 1 2 294.236 3.600 20 0 CHADLO O=c1cccc2[nH]cc(Nc3cccc4[nH+]c[nH]c43)cc1-2 ZINC001213029520 1132993418 /nfs/dbraw/zinc/99/34/18/1132993418.db2.gz OHPWBDILZBXBRN-UHFFFAOYSA-N 1 2 276.299 3.560 20 0 CHADLO COc1cccc(Nc2cccc3[nH+]c[nH]c32)c1Cl ZINC001213031584 1132996322 /nfs/dbraw/zinc/99/63/22/1132996322.db2.gz HQSRPOASMQMALX-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO COc1cc(Nc2cccc3[nH+]c[nH]c32)ccc1C ZINC001213031543 1132996495 /nfs/dbraw/zinc/99/64/95/1132996495.db2.gz FIXRFKYOGPROOU-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO c1[nH]c2c(cccc2Nc2ccc3ccncc3c2)[nH+]1 ZINC001213032170 1132998685 /nfs/dbraw/zinc/99/86/85/1132998685.db2.gz WIOLLOQDMTWRQT-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO Cc1nn(C)c2ccc(Nc3cccc4[nH+]c[nH]c43)cc12 ZINC001213032372 1132998786 /nfs/dbraw/zinc/99/87/86/1132998786.db2.gz BSBUTFDWVFNGCP-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Cc1ccc(Nc2cccc3[nH+]c[nH]c32)c(Cl)c1O ZINC001213032388 1133000236 /nfs/dbraw/zinc/00/02/36/1133000236.db2.gz CQQYNNFPBXXRTG-UHFFFAOYSA-N 1 2 273.723 3.974 20 0 CHADLO COCc1cc[nH+]c(NC(C)(C)Cc2ccccc2C)c1 ZINC001161451514 1133002904 /nfs/dbraw/zinc/00/29/04/1133002904.db2.gz POMHZPQJOJDIPR-UHFFFAOYSA-N 1 2 284.403 3.970 20 0 CHADLO Cc1cc(NCc2c[nH+]cn2CC2CCC2)ncc1Cl ZINC001161530980 1133004706 /nfs/dbraw/zinc/00/47/06/1133004706.db2.gz BUTFXZLMTAXZHA-UHFFFAOYSA-N 1 2 290.798 3.652 20 0 CHADLO CCC1(Nc2ccc3cc(OC)c(OC)cc3[nH+]2)CCC1 ZINC001161562541 1133005899 /nfs/dbraw/zinc/00/58/99/1133005899.db2.gz INUQVKCLOYSXLX-UHFFFAOYSA-N 1 2 286.375 3.997 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(-c2ccccc2)nn1 ZINC001161563338 1133006272 /nfs/dbraw/zinc/00/62/72/1133006272.db2.gz ZQGMSGRGINDJCW-UHFFFAOYSA-N 1 2 293.374 3.533 20 0 CHADLO C[C@H](CCc1ccccc1)Nc1ccc(N(C)C)c[nH+]1 ZINC001161616044 1133009654 /nfs/dbraw/zinc/00/96/54/1133009654.db2.gz MDAIIFLOQDCDEZ-CQSZACIVSA-N 1 2 269.392 3.581 20 0 CHADLO Cc1nc(N[C@@H]2CCO[C@H](C)C2)cc(C2CCCCC2)[nH+]1 ZINC001161692954 1133017568 /nfs/dbraw/zinc/01/75/68/1133017568.db2.gz OPERTTYMNYYNHQ-IUODEOHRSA-N 1 2 289.423 3.812 20 0 CHADLO O=c1ccc2cccc(Nc3cccc4[nH+]c[nH]c43)c2[nH]1 ZINC001213034731 1133017692 /nfs/dbraw/zinc/01/76/92/1133017692.db2.gz ZEFSZGVPYRXIOF-UHFFFAOYSA-N 1 2 276.299 3.560 20 0 CHADLO Cc1nc(N[C@H]2CCO[C@H](C)C2)cc(C2CCCCC2)[nH+]1 ZINC001161692953 1133017758 /nfs/dbraw/zinc/01/77/58/1133017758.db2.gz OPERTTYMNYYNHQ-DOMZBBRYSA-N 1 2 289.423 3.812 20 0 CHADLO COc1ccc2ccc(N[C@@H]3CC=CCC3)[nH+]c2c1 ZINC001161752926 1133023795 /nfs/dbraw/zinc/02/37/95/1133023795.db2.gz WPHMIDJRGZIVJO-CYBMUJFWSA-N 1 2 254.333 3.764 20 0 CHADLO COc1ccc2cc(NC3Cc4ccccc4C3)[nH+]cc2c1 ZINC001161756160 1133024705 /nfs/dbraw/zinc/02/47/05/1133024705.db2.gz CZQBFKXLRWMBTQ-UHFFFAOYSA-N 1 2 290.366 3.823 20 0 CHADLO Cc1ccc(CNc2ccc(F)c(-n3cc[nH+]c3)c2)o1 ZINC001161771296 1133027795 /nfs/dbraw/zinc/02/77/95/1133027795.db2.gz TUMACLPAICLRMD-UHFFFAOYSA-N 1 2 271.295 3.525 20 0 CHADLO CC(C)OCCNc1ccc2c(c1)[nH+]cn2-c1ccccc1 ZINC001161788500 1133029185 /nfs/dbraw/zinc/02/91/85/1133029185.db2.gz AQQQYQCTRPUYBN-UHFFFAOYSA-N 1 2 295.386 3.862 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](c2cncc(F)c2)C2CC2)c1 ZINC001161818629 1133030880 /nfs/dbraw/zinc/03/08/80/1133030880.db2.gz OPELIMPBIZUTNX-OAHLLOKOSA-N 1 2 289.379 3.901 20 0 CHADLO COCc1cc[nH+]c(NCC2(C)CCC(F)(F)CC2)c1 ZINC001162028233 1133048943 /nfs/dbraw/zinc/04/89/43/1133048943.db2.gz KTSPDEBIULRZTD-UHFFFAOYSA-N 1 2 284.350 3.856 20 0 CHADLO Cc1ncc(CNc2cc3ccc(C)cc3c[nH+]2)s1 ZINC001162154417 1133054783 /nfs/dbraw/zinc/05/47/83/1133054783.db2.gz BSDLQNXJZVHXHY-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1ccc2c(ccn2C)c1 ZINC001162173510 1133057033 /nfs/dbraw/zinc/05/70/33/1133057033.db2.gz BGVLMJGWZVZNSK-UHFFFAOYSA-N 1 2 295.386 3.973 20 0 CHADLO Cc1oncc1CNc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001162270168 1133066061 /nfs/dbraw/zinc/06/60/61/1133066061.db2.gz YOYHJQYVXJGNDR-UHFFFAOYSA-N 1 2 297.280 3.584 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1C[C@@]12CCCOC2 ZINC001162371455 1133072979 /nfs/dbraw/zinc/07/29/79/1133072979.db2.gz SAWVLYSYWYQWEF-NVXWUHKLSA-N 1 2 268.360 3.524 20 0 CHADLO c1ccc(-c2cc[nH+]c(N[C@@H]3C[C@@]34CCCOC4)c2)cc1 ZINC001162371072 1133073275 /nfs/dbraw/zinc/07/32/75/1133073275.db2.gz OVZZJIOZJGSKOP-SJLPKXTDSA-N 1 2 280.371 3.730 20 0 CHADLO COc1ccc[nH+]c1NC[C@@H]1CCCc2ccccc21 ZINC001162551374 1133090942 /nfs/dbraw/zinc/09/09/42/1133090942.db2.gz HYNQVZPLJGHBID-AWEZNQCLSA-N 1 2 268.360 3.622 20 0 CHADLO CN1CCOc2cc(Nc3cccc(C4CCC4)[nH+]3)ccc21 ZINC001213042245 1133094941 /nfs/dbraw/zinc/09/49/41/1133094941.db2.gz HVQCBQNZKZBQIQ-UHFFFAOYSA-N 1 2 295.386 3.921 20 0 CHADLO COc1c[nH+]c(N[C@@H](C)c2cccc(N(C)C)c2)c(C)c1 ZINC001162630921 1133096261 /nfs/dbraw/zinc/09/62/61/1133096261.db2.gz VLEBXMUJZVCZTM-ZDUSSCGKSA-N 1 2 285.391 3.638 20 0 CHADLO CCc1cc(N[C@@H]2CCOC3(CCCC3)C2)nc(CC)[nH+]1 ZINC001162818199 1133114758 /nfs/dbraw/zinc/11/47/58/1133114758.db2.gz CXJMRYPBYKTNAQ-CQSZACIVSA-N 1 2 289.423 3.505 20 0 CHADLO Cc1nc(N2CCCC23CCCCC3)c2c([nH+]1)CCCC2 ZINC001162839134 1133116157 /nfs/dbraw/zinc/11/61/57/1133116157.db2.gz VRLKBLUOOXKYHB-UHFFFAOYSA-N 1 2 285.435 3.967 20 0 CHADLO COC(=O)c1ccc(C2(Nc3c[nH+]c(C)c(C)c3)CC2)cc1 ZINC001162860349 1133117487 /nfs/dbraw/zinc/11/74/87/1133117487.db2.gz AJGVEGJGAZCUQC-UHFFFAOYSA-N 1 2 296.370 3.586 20 0 CHADLO Nc1cc(Cl)[nH+]c(N[C@@H]2CCc3c2c(F)ccc3F)c1 ZINC001162931196 1133123007 /nfs/dbraw/zinc/12/30/07/1133123007.db2.gz CPFSPOIATRGQEU-LLVKDONJSA-N 1 2 295.720 3.695 20 0 CHADLO Nc1[nH+]c(N[C@H]2CCc3c2c(F)ccc3F)ccc1Cl ZINC001162937344 1133123963 /nfs/dbraw/zinc/12/39/63/1133123963.db2.gz AJJQDWCRCNMUSF-NSHDSACASA-N 1 2 295.720 3.695 20 0 CHADLO CCc1cc(NC[C@@H]2CCc3ccccc3C2)nc(CC)[nH+]1 ZINC001162943323 1133123971 /nfs/dbraw/zinc/12/39/71/1133123971.db2.gz LYTICMVMJLBWNF-CQSZACIVSA-N 1 2 295.430 3.818 20 0 CHADLO CCc1cc(N2Cc3ccccc3C[C@H]2CC)nc(CC)[nH+]1 ZINC001162999757 1133125807 /nfs/dbraw/zinc/12/58/07/1133125807.db2.gz BCNAHVHABXEJOT-QGZVFWFLSA-N 1 2 295.430 3.943 20 0 CHADLO Fc1ccc2nc(NCc3ccc4[nH+]ccn4c3)ccc2c1 ZINC001163136802 1133130519 /nfs/dbraw/zinc/13/05/19/1133130519.db2.gz PRCFEGDLTPUISN-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO COc1c[nH+]c(NC(C)(C)c2cc(Cl)ccn2)c(C)c1 ZINC001163143683 1133131722 /nfs/dbraw/zinc/13/17/22/1133131722.db2.gz CMIXEMLOIXAZME-UHFFFAOYSA-N 1 2 291.782 3.794 20 0 CHADLO CCc1cc(N[C@H](C)c2ccsc2)nc(CC)[nH+]1 ZINC001163160362 1133132394 /nfs/dbraw/zinc/13/23/94/1133132394.db2.gz RWAZJOJEMOFLKU-SNVBAGLBSA-N 1 2 261.394 3.836 20 0 CHADLO Cc1cc(N[C@H]2CCc3c2cccc3O)nc(C(C)(C)C)[nH+]1 ZINC001163194898 1133136095 /nfs/dbraw/zinc/13/60/95/1133136095.db2.gz JGNSFFGWMUUHGG-AWEZNQCLSA-N 1 2 297.402 3.888 20 0 CHADLO C[C@H](Nc1[nH+]ccc2cc(Cl)ccc21)[C@@H]1CCCO1 ZINC001163479216 1133152061 /nfs/dbraw/zinc/15/20/61/1133152061.db2.gz PMZCORALUDHZJC-HZMBPMFUSA-N 1 2 276.767 3.868 20 0 CHADLO Cc1cc(C)c(CNc2nc(-c3ccccc3)ns2)c[nH+]1 ZINC001163501565 1133154000 /nfs/dbraw/zinc/15/40/00/1133154000.db2.gz VNEDVKSNFFPJAS-UHFFFAOYSA-N 1 2 296.399 3.829 20 0 CHADLO CCOC(=O)c1c(C)cc(N2[C@@H](C)CCC[C@@H]2C)[nH+]c1C ZINC001163546527 1133157835 /nfs/dbraw/zinc/15/78/35/1133157835.db2.gz PVBJYJSDTVWFBR-STQMWFEESA-N 1 2 290.407 3.642 20 0 CHADLO Cc1cc(N(C)CC(F)(F)F)[nH+]c2c(C)cccc12 ZINC001163706240 1133166711 /nfs/dbraw/zinc/16/67/11/1133166711.db2.gz IMWMJHKPEMVHJB-UHFFFAOYSA-N 1 2 268.282 3.850 20 0 CHADLO CC(C)(C)c1ccc(N2CCC(=O)[C@@H]3CCCC[C@@H]32)[nH+]c1 ZINC001163796328 1133175438 /nfs/dbraw/zinc/17/54/38/1133175438.db2.gz XZODKFMRXMKRJO-CABCVRRESA-N 1 2 286.419 3.717 20 0 CHADLO FC1(C2CC2)CN(c2[nH+]ccc3cc(Cl)ccc32)C1 ZINC001163968118 1133189432 /nfs/dbraw/zinc/18/94/32/1133189432.db2.gz MSCYHKZAWCBIQM-UHFFFAOYSA-N 1 2 276.742 3.827 20 0 CHADLO F[C@@H]1CCCN(c2[nH+]ccc3ccccc32)CC1(F)F ZINC001164056673 1133194912 /nfs/dbraw/zinc/19/49/12/1133194912.db2.gz PTIUQCJMOBVZIP-CYBMUJFWSA-N 1 2 280.293 3.808 20 0 CHADLO Cc1c[nH+]cc(NCc2cnc3ccccc3c2)c1C ZINC001164204330 1133207088 /nfs/dbraw/zinc/20/70/88/1133207088.db2.gz TXMVIRUVBFHLHK-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO C[C@]1(F)CCN(c2[nH+]ccc3ccccc32)C[C@@H]1F ZINC001164325285 1133212477 /nfs/dbraw/zinc/21/24/77/1133212477.db2.gz BQIWJJUHTSVDLK-ZFWWWQNUSA-N 1 2 262.303 3.511 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC[C@](C)(F)[C@H](F)C2)[nH+]1 ZINC001164333422 1133213927 /nfs/dbraw/zinc/21/39/27/1133213927.db2.gz MLIXSVHYYAPGSK-YPMHNXCESA-N 1 2 274.742 3.628 20 0 CHADLO Cc1cc(N2CC[C@@](C)(F)[C@@H](F)C2)[nH+]c2ccccc12 ZINC001164332770 1133214041 /nfs/dbraw/zinc/21/40/41/1133214041.db2.gz IUICONWPZUECDT-GOEBONIOSA-N 1 2 276.330 3.820 20 0 CHADLO CC(C)(C)c1ccc(N2CC[C@](C)(F)[C@@H](F)C2)[nH+]c1 ZINC001164340841 1133214572 /nfs/dbraw/zinc/21/45/72/1133214572.db2.gz SQMOWIONGUHVDW-WFASDCNBSA-N 1 2 268.351 3.656 20 0 CHADLO Cc1cccc2c(C)cc(N3CCC(=O)[C@@H](C)CC3)[nH+]c12 ZINC001164364206 1133215533 /nfs/dbraw/zinc/21/55/33/1133215533.db2.gz CFYLEDPGIYTGSV-LBPRGKRZSA-N 1 2 282.387 3.657 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CC(F)(F)C[C@H]1CF ZINC001164525380 1133222743 /nfs/dbraw/zinc/22/27/43/1133222743.db2.gz DGCHWHCCGCRNJO-NSHDSACASA-N 1 2 280.293 3.727 20 0 CHADLO CC(C)(C)c1ccc(N2CC(F)(F)C[C@@]2(C)CF)[nH+]c1 ZINC001164568181 1133223550 /nfs/dbraw/zinc/22/35/50/1133223550.db2.gz QRJWKWBCCGPKHB-AWEZNQCLSA-N 1 2 286.341 3.953 20 0 CHADLO CCCc1cc(N2Cc3ccccc3[C@@H](C)C2)nc(C)[nH+]1 ZINC001165217686 1133231611 /nfs/dbraw/zinc/23/16/11/1133231611.db2.gz URSMPCRXIASNRO-ZDUSSCGKSA-N 1 2 281.403 3.861 20 0 CHADLO FC(F)(F)c1c[nH]c2[nH+]ccc(NC[C@@H]3CCCCO3)c12 ZINC001165239153 1133233010 /nfs/dbraw/zinc/23/30/10/1133233010.db2.gz BEQWKZIZIKSFMA-VIFPVBQESA-N 1 2 299.296 3.563 20 0 CHADLO CSc1cc[nH+]c(N2CCC3(CC(F)C3)CC2)c1 ZINC001165309407 1133239436 /nfs/dbraw/zinc/23/94/36/1133239436.db2.gz CNGYUYYKXYICHJ-UHFFFAOYSA-N 1 2 266.385 3.522 20 0 CHADLO Cc1c[nH+]c(N2CCC3(CCCC3)CC2)nc1NC1CC1 ZINC001165323280 1133240159 /nfs/dbraw/zinc/24/01/59/1133240159.db2.gz YNUOIQZKMYPCCI-UHFFFAOYSA-N 1 2 286.423 3.520 20 0 CHADLO Cc1cccc2c(C)cc(N3CC[C@H]4CCCO[C@H]4C3)[nH+]c12 ZINC001165331651 1133240531 /nfs/dbraw/zinc/24/05/31/1133240531.db2.gz JSMUWRCRJZIBRZ-WBVHZDCISA-N 1 2 296.414 3.857 20 0 CHADLO CSc1cc[nH+]c(N2CCC3(CCCC3)CC2)c1 ZINC001165323771 1133240720 /nfs/dbraw/zinc/24/07/20/1133240720.db2.gz YCTSAQMCJBKKMS-UHFFFAOYSA-N 1 2 262.422 3.964 20 0 CHADLO Cc1ccc(CN(C)c2cc(C)[nH+]c(C(C)C)n2)cc1 ZINC001166538571 1133269685 /nfs/dbraw/zinc/26/96/85/1133269685.db2.gz ANWRKBGKKGIJRH-UHFFFAOYSA-N 1 2 269.392 3.853 20 0 CHADLO Cc1cc(N(C)CCCc2ccccc2)nc(C2CC2)[nH+]1 ZINC001166556510 1133270574 /nfs/dbraw/zinc/27/05/74/1133270574.db2.gz LUCQNXZQGRIKEW-UHFFFAOYSA-N 1 2 281.403 3.731 20 0 CHADLO CN(c1cc(N)cc(Cl)[nH+]1)C12CC3CC(CC(C3)C1)C2 ZINC001166594719 1133273563 /nfs/dbraw/zinc/27/35/63/1133273563.db2.gz FHNMVKINEBIZPC-UHFFFAOYSA-N 1 2 291.826 3.722 20 0 CHADLO CC(C)(C)c1cn(Cc2ccc3c(c2)CCC3)c[nH+]1 ZINC001166619799 1133278065 /nfs/dbraw/zinc/27/80/65/1133278065.db2.gz ZNKIEGBRRCUHJX-UHFFFAOYSA-N 1 2 254.377 3.718 20 0 CHADLO CC(C)(C)c1cn(C[C@H]2CCCC23CCOCC3)c[nH+]1 ZINC001166624665 1133279092 /nfs/dbraw/zinc/27/90/92/1133279092.db2.gz AJPWTGSBXYAURG-CQSZACIVSA-N 1 2 276.424 3.778 20 0 CHADLO CN(CCc1ccncc1)c1cc(-c2ccccc2)cc[nH+]1 ZINC001166625793 1133279608 /nfs/dbraw/zinc/27/96/08/1133279608.db2.gz LGVCHMFEQVZRBW-UHFFFAOYSA-N 1 2 289.382 3.823 20 0 CHADLO c1ccc([C@@H]2CN(c3cc4ccccc4c[nH+]3)CCO2)cc1 ZINC001166680156 1133281024 /nfs/dbraw/zinc/28/10/24/1133281024.db2.gz CLPBCQOUKXOORZ-SFHVURJKSA-N 1 2 290.366 3.813 20 0 CHADLO c1ccc([C@@H]2CN(c3cccc(C4CC4)[nH+]3)CCO2)cc1 ZINC001166681493 1133281599 /nfs/dbraw/zinc/28/15/99/1133281599.db2.gz PWLSNDOSVMMTBJ-KRWDZBQOSA-N 1 2 280.371 3.537 20 0 CHADLO CCc1[nH]c(CN(C)Cc2cccc(Cl)c2)c(C)[nH+]1 ZINC001203241718 1133307432 /nfs/dbraw/zinc/30/74/32/1133307432.db2.gz VTDRYKXXCNTNNO-UHFFFAOYSA-N 1 2 277.799 3.566 20 0 CHADLO Cc1cccc2c1CCC[C@H]2[NH2+]Cc1nn(C)cc1Cl ZINC001203234005 1133308118 /nfs/dbraw/zinc/30/81/18/1133308118.db2.gz BRGPZZPRUWDTTO-OAHLLOKOSA-N 1 2 289.810 3.549 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1ccco1 ZINC001203284696 1133309746 /nfs/dbraw/zinc/30/97/46/1133309746.db2.gz MBHKWBDUEPXLCB-MRXNPFEDSA-N 1 2 271.360 3.763 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ccco1 ZINC001203284696 1133309753 /nfs/dbraw/zinc/30/97/53/1133309753.db2.gz MBHKWBDUEPXLCB-MRXNPFEDSA-N 1 2 271.360 3.763 20 0 CHADLO Cc1ccc(Nc2c(Cl)cncc2Cl)c(C)[nH+]1 ZINC001203363177 1133318409 /nfs/dbraw/zinc/31/84/09/1133318409.db2.gz NALKOVJUXDWJGO-UHFFFAOYSA-N 1 2 268.147 3.566 20 0 CHADLO Cc1ccc(Nc2cncc(N3CCCCC3)c2)c(C)[nH+]1 ZINC001203368894 1133318927 /nfs/dbraw/zinc/31/89/27/1133318927.db2.gz GOLJTQRBLTUHFG-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Cc1ccc(Nc2ccc(O)cc2Br)c(C)[nH+]1 ZINC001203376085 1133319975 /nfs/dbraw/zinc/31/99/75/1133319975.db2.gz JTNJSPHSPXYERC-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO C[N@@H+](Cc1c(F)c(F)c(F)c(F)c1F)C[C@H]1CC1(C)C ZINC001203370968 1133319998 /nfs/dbraw/zinc/31/99/98/1133319998.db2.gz FEFGSAMMUNEFKW-SSDOTTSWSA-N 1 2 293.279 3.860 20 0 CHADLO C[N@H+](Cc1c(F)c(F)c(F)c(F)c1F)C[C@H]1CC1(C)C ZINC001203370968 1133320003 /nfs/dbraw/zinc/32/00/03/1133320003.db2.gz FEFGSAMMUNEFKW-SSDOTTSWSA-N 1 2 293.279 3.860 20 0 CHADLO Cc1ccc(Nc2ccnn2-c2ccccc2)c(C)[nH+]1 ZINC001203369915 1133320523 /nfs/dbraw/zinc/32/05/23/1133320523.db2.gz FGCXEYNIFDMKCX-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1c[nH+]c(Nc2cn(C)c3ccccc23)c(C)c1 ZINC001203419229 1133324777 /nfs/dbraw/zinc/32/47/77/1133324777.db2.gz JPSMJZLHXOMENA-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO CNc1ccc(Nc2cc(C)c3ccccc3n2)c[nH+]1 ZINC001203447949 1133329255 /nfs/dbraw/zinc/32/92/55/1133329255.db2.gz RKPIAEAJQLFYNN-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO CCOc1ccc(OCC)c(Nc2ccc(NC)[nH+]c2)c1 ZINC001203455396 1133329490 /nfs/dbraw/zinc/32/94/90/1133329490.db2.gz SKEHSAYRHGGFCN-UHFFFAOYSA-N 1 2 287.363 3.664 20 0 CHADLO CNc1ccc(Nc2cnc3c(ccc(F)c3F)c2)c[nH+]1 ZINC001203452925 1133329517 /nfs/dbraw/zinc/32/95/17/1133329517.db2.gz TUPKBMRUGJSJRV-UHFFFAOYSA-N 1 2 286.285 3.693 20 0 CHADLO CNc1ccc(Nc2cccc(Cl)c2OC)c[nH+]1 ZINC001203455825 1133330010 /nfs/dbraw/zinc/33/00/10/1133330010.db2.gz WBYJEVJNOLZKAM-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO CCOc1ccccc1C[N@@H+]1CCCC(F)(F)[C@H](F)C1 ZINC001203535428 1133336979 /nfs/dbraw/zinc/33/69/79/1133336979.db2.gz UKYKSWOHLFUIMT-CQSZACIVSA-N 1 2 287.325 3.655 20 0 CHADLO CCOc1ccccc1C[N@H+]1CCCC(F)(F)[C@H](F)C1 ZINC001203535428 1133336986 /nfs/dbraw/zinc/33/69/86/1133336986.db2.gz UKYKSWOHLFUIMT-CQSZACIVSA-N 1 2 287.325 3.655 20 0 CHADLO CCc1nc(OC)ccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001203590106 1133342955 /nfs/dbraw/zinc/34/29/55/1133342955.db2.gz QUSYQCRZBVBZBB-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(C(C)(C)C)ns2)c1 ZINC001203654958 1133348865 /nfs/dbraw/zinc/34/88/65/1133348865.db2.gz VAUNAKSYAMDDLR-UHFFFAOYSA-N 1 2 262.382 3.591 20 0 CHADLO Cc1cc(Nc2cc(C)c[nH+]c2C)n(CC(F)(F)F)n1 ZINC001203655991 1133348923 /nfs/dbraw/zinc/34/89/23/1133348923.db2.gz GHXFYJOGIJRQIE-UHFFFAOYSA-N 1 2 284.285 3.509 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(Cl)nc2Cl)c1 ZINC001203656251 1133349239 /nfs/dbraw/zinc/34/92/39/1133349239.db2.gz NXSBLTKFFADRPD-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnn2Cc2cccc(F)c2)c1 ZINC001203656381 1133349410 /nfs/dbraw/zinc/34/94/10/1133349410.db2.gz PLMTVKULKRRAFY-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO COC(=O)c1c(C)scc1Nc1cc(C)c[nH+]c1C ZINC001203658149 1133349697 /nfs/dbraw/zinc/34/96/97/1133349697.db2.gz XVVOTOOABSDGIA-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnccc2OC(F)(F)F)c1 ZINC001203657449 1133350121 /nfs/dbraw/zinc/35/01/21/1133350121.db2.gz MTANZGJBYOYSBO-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO CCOc1cccc(F)c1Nc1cc(C)c[nH+]c1C ZINC001203666733 1133351793 /nfs/dbraw/zinc/35/17/93/1133351793.db2.gz DNPARMXLEMMVLZ-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCCOc1ccc(Nc2c[nH+]ccc2OC)c(F)c1F ZINC001203690890 1133353588 /nfs/dbraw/zinc/35/35/88/1133353588.db2.gz OCWLQRWNSBWMKY-UHFFFAOYSA-N 1 2 294.301 3.901 20 0 CHADLO Oc1cccnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203713106 1133356888 /nfs/dbraw/zinc/35/68/88/1133356888.db2.gz UXSLDDFOHMVLRV-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO C[C@H]([NH2+]Cc1cc2cnccc2o1)c1c(F)cccc1F ZINC001203750479 1133365705 /nfs/dbraw/zinc/36/57/05/1133365705.db2.gz KPYZOEBZGOEBEH-JTQLQIEISA-N 1 2 288.297 3.957 20 0 CHADLO COc1cc(C)c(C[N@@H+]2Cc3ccc(F)cc3C2)cc1C ZINC001203761617 1133366788 /nfs/dbraw/zinc/36/67/88/1133366788.db2.gz XIHHOAPJHYSIRR-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1cc(C)c(C[N@H+]2Cc3ccc(F)cc3C2)cc1C ZINC001203761617 1133366795 /nfs/dbraw/zinc/36/67/95/1133366795.db2.gz XIHHOAPJHYSIRR-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO F[C@H]1CCCC[N@H+](Cc2ccc(Br)cc2)C1 ZINC001203908984 1133383762 /nfs/dbraw/zinc/38/37/62/1133383762.db2.gz GYXPHAQDZYTFMP-ZDUSSCGKSA-N 1 2 286.188 3.773 20 0 CHADLO F[C@H]1CCCC[N@@H+](Cc2ccc(Br)cc2)C1 ZINC001203908984 1133383768 /nfs/dbraw/zinc/38/37/68/1133383768.db2.gz GYXPHAQDZYTFMP-ZDUSSCGKSA-N 1 2 286.188 3.773 20 0 CHADLO CC(C)(C)OC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC001203915747 1133385404 /nfs/dbraw/zinc/38/54/04/1133385404.db2.gz CTCMRSHNKDLJHL-OAHLLOKOSA-N 1 2 293.382 3.522 20 0 CHADLO CC(C)(C)OC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC001203915747 1133385408 /nfs/dbraw/zinc/38/54/08/1133385408.db2.gz CTCMRSHNKDLJHL-OAHLLOKOSA-N 1 2 293.382 3.522 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccccc2)Cc2ccncc2)on1 ZINC001204081942 1133406519 /nfs/dbraw/zinc/40/65/19/1133406519.db2.gz VCIYEQDSCLHMAE-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccccc2)Cc2ccncc2)on1 ZINC001204081942 1133406523 /nfs/dbraw/zinc/40/65/23/1133406523.db2.gz VCIYEQDSCLHMAE-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO CC(C)c1nc(C[N@H+](C)Cc2ccc(O)cc2)cs1 ZINC001204095872 1133407740 /nfs/dbraw/zinc/40/77/40/1133407740.db2.gz CUNXIBAXIZSYFJ-UHFFFAOYSA-N 1 2 276.405 3.604 20 0 CHADLO CC(C)c1nc(C[N@@H+](C)Cc2ccc(O)cc2)cs1 ZINC001204095872 1133407743 /nfs/dbraw/zinc/40/77/43/1133407743.db2.gz CUNXIBAXIZSYFJ-UHFFFAOYSA-N 1 2 276.405 3.604 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(Cl)ccc2OC)o1 ZINC001204180612 1133416337 /nfs/dbraw/zinc/41/63/37/1133416337.db2.gz PYWRXIAQKDKLKF-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(Cl)ccc2OC)o1 ZINC001204180612 1133416338 /nfs/dbraw/zinc/41/63/38/1133416338.db2.gz PYWRXIAQKDKLKF-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2CCCCC(C)C)no1 ZINC001204229345 1133422939 /nfs/dbraw/zinc/42/29/39/1133422939.db2.gz MEPHMBQVQTUEQU-AWEZNQCLSA-N 1 2 265.401 3.731 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2CCCCC(C)C)no1 ZINC001204229345 1133422942 /nfs/dbraw/zinc/42/29/42/1133422942.db2.gz MEPHMBQVQTUEQU-AWEZNQCLSA-N 1 2 265.401 3.731 20 0 CHADLO F[C@@H]1CCCC[N@H+](Cc2ccccc2OC(F)(F)F)C1 ZINC001204423557 1133445445 /nfs/dbraw/zinc/44/54/45/1133445445.db2.gz IAORRLMDNPOEOQ-GFCCVEGCSA-N 1 2 291.288 3.909 20 0 CHADLO F[C@@H]1CCCC[N@@H+](Cc2ccccc2OC(F)(F)F)C1 ZINC001204423557 1133445450 /nfs/dbraw/zinc/44/54/50/1133445450.db2.gz IAORRLMDNPOEOQ-GFCCVEGCSA-N 1 2 291.288 3.909 20 0 CHADLO C[N@H+](Cc1ccccn1)Cc1ccc(C(F)(F)F)cc1F ZINC001204464083 1133451436 /nfs/dbraw/zinc/45/14/36/1133451436.db2.gz ZPPJTKRNUBTSFE-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccccn1)Cc1ccc(C(F)(F)F)cc1F ZINC001204464083 1133451437 /nfs/dbraw/zinc/45/14/37/1133451437.db2.gz ZPPJTKRNUBTSFE-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1cccc(F)c1C)CC2 ZINC001204511712 1133456652 /nfs/dbraw/zinc/45/66/52/1133456652.db2.gz XAGNOALXOCEPNZ-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1cccc(F)c1C)CC2 ZINC001204511712 1133456654 /nfs/dbraw/zinc/45/66/54/1133456654.db2.gz XAGNOALXOCEPNZ-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cc(F)c(F)c(F)c1)C2 ZINC001204532542 1133458794 /nfs/dbraw/zinc/45/87/94/1133458794.db2.gz YUQVQVRYOKFGGE-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cc(F)c(F)c(F)c1)C2 ZINC001204532542 1133458799 /nfs/dbraw/zinc/45/87/99/1133458799.db2.gz YUQVQVRYOKFGGE-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Fc1cc(C[N@H+](Cc2ccco2)C2CC2)cc(F)c1F ZINC001204530989 1133458980 /nfs/dbraw/zinc/45/89/80/1133458980.db2.gz LFXDMWXTZWEVGK-UHFFFAOYSA-N 1 2 281.277 3.862 20 0 CHADLO Fc1cc(C[N@@H+](Cc2ccco2)C2CC2)cc(F)c1F ZINC001204530989 1133458983 /nfs/dbraw/zinc/45/89/83/1133458983.db2.gz LFXDMWXTZWEVGK-UHFFFAOYSA-N 1 2 281.277 3.862 20 0 CHADLO COCOc1cc(C)c(-c2cc(C)cn3cc[nH+]c23)c(C)c1 ZINC001204599777 1133465265 /nfs/dbraw/zinc/46/52/65/1133465265.db2.gz YYOKALQRBODKOW-UHFFFAOYSA-N 1 2 296.370 3.909 20 0 CHADLO CCN(Cc1[nH]c[nH+]c1C)Cc1c(F)cccc1Cl ZINC001204591555 1133465899 /nfs/dbraw/zinc/46/58/99/1133465899.db2.gz GAHLVTYPGGBTMR-UHFFFAOYSA-N 1 2 281.762 3.533 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cc2c(cccc2O)s1 ZINC001204850260 1133492789 /nfs/dbraw/zinc/49/27/89/1133492789.db2.gz APBWYPASFXHVOK-UHFFFAOYSA-N 1 2 290.413 3.695 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cc2c(cccc2O)s1 ZINC001204850260 1133492793 /nfs/dbraw/zinc/49/27/93/1133492793.db2.gz APBWYPASFXHVOK-UHFFFAOYSA-N 1 2 290.413 3.695 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H](F)C2)ccc1C(F)(F)F ZINC001204879509 1133494965 /nfs/dbraw/zinc/49/49/65/1133494965.db2.gz IBIMMCFDZOKRJN-SNVBAGLBSA-N 1 2 279.252 3.778 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H](F)C2)ccc1C(F)(F)F ZINC001204879509 1133494968 /nfs/dbraw/zinc/49/49/68/1133494968.db2.gz IBIMMCFDZOKRJN-SNVBAGLBSA-N 1 2 279.252 3.778 20 0 CHADLO CCc1ccc[nH+]c1Nc1cnc2[nH]c(C)cc2c1 ZINC001204904437 1133498617 /nfs/dbraw/zinc/49/86/17/1133498617.db2.gz WPAORSMKBFAPJX-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO c1cn2ccc(Nc3nccc4ccccc43)cc2[nH+]1 ZINC001204943953 1133504760 /nfs/dbraw/zinc/50/47/60/1133504760.db2.gz PTAVINLJELYTFB-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO CCCCOc1cc(Nc2ccn3cc[nH+]c3c2)ccn1 ZINC001204966413 1133509140 /nfs/dbraw/zinc/50/91/40/1133509140.db2.gz RDAQDEFSPAEDSE-UHFFFAOYSA-N 1 2 282.347 3.652 20 0 CHADLO CCOc1ccc(OCC)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204972108 1133510574 /nfs/dbraw/zinc/51/05/74/1133510574.db2.gz XVLOGTOCTLXABJ-UHFFFAOYSA-N 1 2 297.358 3.875 20 0 CHADLO Cc1nc2cc(Nc3ccn4cc[nH+]c4c3)ccc2o1 ZINC001204978255 1133511470 /nfs/dbraw/zinc/51/14/70/1133511470.db2.gz RMBOHEMDRIORRC-UHFFFAOYSA-N 1 2 264.288 3.528 20 0 CHADLO Nc1ccc(Nc2ccn3cc[nH+]c3c2)c(C(F)(F)F)c1 ZINC001204978314 1133511858 /nfs/dbraw/zinc/51/18/58/1133511858.db2.gz SBQKTKSFBDLRIX-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO Nc1cc(Cl)c(Cl)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204982709 1133512840 /nfs/dbraw/zinc/51/28/40/1133512840.db2.gz GIRFTJZZEFVRFP-UHFFFAOYSA-N 1 2 293.157 3.967 20 0 CHADLO Oc1cc(C(F)(F)F)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001204983315 1133512891 /nfs/dbraw/zinc/51/28/91/1133512891.db2.gz RIWDRBFRLBAKOO-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO Nc1cc(Cl)cc(Cl)c1Nc1ccn2cc[nH+]c2c1 ZINC001204991404 1133514047 /nfs/dbraw/zinc/51/40/47/1133514047.db2.gz PWIINGYMMZOVJJ-UHFFFAOYSA-N 1 2 293.157 3.967 20 0 CHADLO CC(=O)n1ccc2cc(Nc3ccn4cc[nH+]c4c3)ccc21 ZINC001204997371 1133515061 /nfs/dbraw/zinc/51/50/61/1133515061.db2.gz JCXQSEQQQXPQFL-UHFFFAOYSA-N 1 2 290.326 3.693 20 0 CHADLO CCC(=O)c1cccc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204996971 1133515199 /nfs/dbraw/zinc/51/51/99/1133515199.db2.gz DMKSDFPPBXLSIT-UHFFFAOYSA-N 1 2 265.316 3.671 20 0 CHADLO Fc1cnc2cccc(Nc3ccn4cc[nH+]c4c3)c2c1 ZINC001204997327 1133515223 /nfs/dbraw/zinc/51/52/23/1133515223.db2.gz ICFLXOMLJBHAHC-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO O=C(c1ccc(Nc2ccn3cc[nH+]c3c2)cc1)C1CC1 ZINC001205005318 1133515978 /nfs/dbraw/zinc/51/59/78/1133515978.db2.gz DOMMZJHJFGLHAP-UHFFFAOYSA-N 1 2 277.327 3.671 20 0 CHADLO CCCC[C@H]([NH2+]C[C@@H](C)c1cccc(Cl)c1)C(=O)OC ZINC001205291964 1133556185 /nfs/dbraw/zinc/55/61/85/1133556185.db2.gz SYODMTBMRFTIDX-DOMZBBRYSA-N 1 2 297.826 3.765 20 0 CHADLO Clc1ccsc1C[N@@H+]1CCC[C@@H]1c1cnccn1 ZINC001205339996 1133564696 /nfs/dbraw/zinc/56/46/96/1133564696.db2.gz WNLDRDGNBOWJSF-GFCCVEGCSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccsc1C[N@H+]1CCC[C@@H]1c1cnccn1 ZINC001205339996 1133564700 /nfs/dbraw/zinc/56/47/00/1133564700.db2.gz WNLDRDGNBOWJSF-GFCCVEGCSA-N 1 2 279.796 3.529 20 0 CHADLO CCn1cc(C[N@@H+]2CCC[C@@H]2c2cc(F)ccc2F)cn1 ZINC001205538698 1133585852 /nfs/dbraw/zinc/58/58/52/1133585852.db2.gz QFKSDZHKQUHFDG-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cc(C[N@H+]2CCC[C@@H]2c2cc(F)ccc2F)cn1 ZINC001205538698 1133585857 /nfs/dbraw/zinc/58/58/57/1133585857.db2.gz QFKSDZHKQUHFDG-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CN(C)c1cc(-c2ccc3cc(O)ccc3c2)cc[nH+]1 ZINC001205772041 1133611104 /nfs/dbraw/zinc/61/11/04/1133611104.db2.gz KGSSTNOKQDOSOT-UHFFFAOYSA-N 1 2 264.328 3.673 20 0 CHADLO Fc1cc(-c2ccn3cc[nH+]c3c2)cc(N2CCCC2)c1 ZINC001206114546 1133659051 /nfs/dbraw/zinc/65/90/51/1133659051.db2.gz ZSFYKJOLLZGBTR-UHFFFAOYSA-N 1 2 281.334 3.741 20 0 CHADLO CCC1(C)C[NH+](Cc2cc(F)ccc2OC(F)F)C1 ZINC001206194508 1133668950 /nfs/dbraw/zinc/66/89/50/1133668950.db2.gz HDYFLJJDTFYVHO-UHFFFAOYSA-N 1 2 273.298 3.659 20 0 CHADLO Oc1ccccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001206193849 1133669404 /nfs/dbraw/zinc/66/94/04/1133669404.db2.gz VCAOPFMDUGPFHQ-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO CC(C)CCCCNc1ccc(N2CCCC2)c[nH+]1 ZINC001206377502 1133696453 /nfs/dbraw/zinc/69/64/53/1133696453.db2.gz XNWSMVTWQKNBFN-UHFFFAOYSA-N 1 2 261.413 3.920 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2cc3n[nH]cc3c(F)c2)cc1 ZINC001206594727 1133724633 /nfs/dbraw/zinc/72/46/33/1133724633.db2.gz ULIGBSIODAVWKW-UHFFFAOYSA-N 1 2 292.317 3.770 20 0 CHADLO c1[nH+]cc(N[C@@H]2CC[C@H]3COCC[C@@H]3C2)c2c1CCCC2 ZINC001206637243 1133730615 /nfs/dbraw/zinc/73/06/15/1133730615.db2.gz XTLYSGWLAOVKEK-VNQPRFMTSA-N 1 2 286.419 3.578 20 0 CHADLO c1cn2cc(-c3cccc(OCC4CC4)c3)ccc2[nH+]1 ZINC001206763800 1133744256 /nfs/dbraw/zinc/74/42/56/1133744256.db2.gz NLVXDEISBCUMOU-UHFFFAOYSA-N 1 2 264.328 3.790 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2ncccc2F)c1 ZINC001207033085 1133761256 /nfs/dbraw/zinc/76/12/56/1133761256.db2.gz PIWFSGYDKDLKAO-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2ncccc2F)c1 ZINC001207033085 1133761260 /nfs/dbraw/zinc/76/12/60/1133761260.db2.gz PIWFSGYDKDLKAO-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Cc1ccc([C@H]2CCCN2Cc2[nH+]cc3ccccn32)cc1 ZINC001207052017 1133763286 /nfs/dbraw/zinc/76/32/86/1133763286.db2.gz WBDWASOGDZQVCV-GOSISDBHSA-N 1 2 291.398 3.980 20 0 CHADLO c1nc(C[N@H+]2CC=C(c3ccccc3)CC2)n2ccccc12 ZINC001207056993 1133763699 /nfs/dbraw/zinc/76/36/99/1133763699.db2.gz JGBCKDGNWBISGF-UHFFFAOYSA-N 1 2 289.382 3.624 20 0 CHADLO c1nc(C[N@@H+]2CC=C(c3ccccc3)CC2)n2ccccc12 ZINC001207056993 1133763702 /nfs/dbraw/zinc/76/37/02/1133763702.db2.gz JGBCKDGNWBISGF-UHFFFAOYSA-N 1 2 289.382 3.624 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ncc(F)cc2F)cc1 ZINC001207099244 1133766982 /nfs/dbraw/zinc/76/69/82/1133766982.db2.gz OAPITBUWAMDGGP-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ncc(F)cc2F)cc1 ZINC001207099244 1133766986 /nfs/dbraw/zinc/76/69/86/1133766986.db2.gz OAPITBUWAMDGGP-UHFFFAOYSA-N 1 2 276.330 3.554 20 0 CHADLO Fc1cnc(C[N@@H+]2CCC[C@@H]2c2cccs2)c(F)c1 ZINC001207097967 1133767298 /nfs/dbraw/zinc/76/72/98/1133767298.db2.gz DKWAVOAIJYLGAK-CYBMUJFWSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cnc(C[N@H+]2CCC[C@@H]2c2cccs2)c(F)c1 ZINC001207097967 1133767301 /nfs/dbraw/zinc/76/73/01/1133767301.db2.gz DKWAVOAIJYLGAK-CYBMUJFWSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cnc(C[NH+]2CCC(c3cccs3)CC2)c(F)c1 ZINC001207098065 1133767788 /nfs/dbraw/zinc/76/77/88/1133767788.db2.gz FRPPXXXGMXVOPN-UHFFFAOYSA-N 1 2 294.370 3.801 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cccc(C(F)F)c1 ZINC001207132223 1133770456 /nfs/dbraw/zinc/77/04/56/1133770456.db2.gz URYQDHCSCWZQAR-CQSZACIVSA-N 1 2 267.319 3.568 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cccc(C(F)F)c1 ZINC001207132223 1133770459 /nfs/dbraw/zinc/77/04/59/1133770459.db2.gz URYQDHCSCWZQAR-CQSZACIVSA-N 1 2 267.319 3.568 20 0 CHADLO C[N@H+](CC(F)F)C[C@H]1C[C@H]1c1ccc(Cl)cc1 ZINC001207181847 1133775881 /nfs/dbraw/zinc/77/58/81/1133775881.db2.gz AXPDKWLBVPNJTL-PWSUYJOCSA-N 1 2 259.727 3.640 20 0 CHADLO C[N@@H+](CC(F)F)C[C@H]1C[C@H]1c1ccc(Cl)cc1 ZINC001207181847 1133775885 /nfs/dbraw/zinc/77/58/85/1133775885.db2.gz AXPDKWLBVPNJTL-PWSUYJOCSA-N 1 2 259.727 3.640 20 0 CHADLO C[C@H]1CC[N@H+](CC2(c3ccccc3)CC2)CC1(F)F ZINC001207834401 1133851757 /nfs/dbraw/zinc/85/17/57/1133851757.db2.gz UDWANNPBPCJFCG-ZDUSSCGKSA-N 1 2 265.347 3.695 20 0 CHADLO C[C@H]1CC[N@@H+](CC2(c3ccccc3)CC2)CC1(F)F ZINC001207834401 1133851763 /nfs/dbraw/zinc/85/17/63/1133851763.db2.gz UDWANNPBPCJFCG-ZDUSSCGKSA-N 1 2 265.347 3.695 20 0 CHADLO Cc1cc(CNC(=O)Nc2ccc3occc3c2)cc(C)[nH+]1 ZINC001208356034 1133913557 /nfs/dbraw/zinc/91/35/57/1133913557.db2.gz VIWNSQCZFJTTFP-UHFFFAOYSA-N 1 2 295.342 3.766 20 0 CHADLO F[C@@H]1C[NH+](CCCc2ccc(Cl)c(Cl)c2)C[C@H]1F ZINC001208833060 1133948864 /nfs/dbraw/zinc/94/88/64/1133948864.db2.gz JZWBUCHGLKBQOZ-CHWSQXEVSA-N 1 2 294.172 3.918 20 0 CHADLO F[C@H]1CCC[N@H+](CCc2ccccc2C(F)(F)F)C1 ZINC001209284541 1134001606 /nfs/dbraw/zinc/00/16/06/1134001606.db2.gz VLCWQKJSWUKFDY-LBPRGKRZSA-N 1 2 275.289 3.682 20 0 CHADLO F[C@H]1CCC[N@@H+](CCc2ccccc2C(F)(F)F)C1 ZINC001209284541 1134001609 /nfs/dbraw/zinc/00/16/09/1134001609.db2.gz VLCWQKJSWUKFDY-LBPRGKRZSA-N 1 2 275.289 3.682 20 0 CHADLO Cc1cccc2c(C[N@H+](C)Cc3cscn3)c[nH]c21 ZINC001209487680 1134028500 /nfs/dbraw/zinc/02/85/00/1134028500.db2.gz NSBNAFNHBAASFN-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1cccc2c(C[N@@H+](C)Cc3cscn3)c[nH]c21 ZINC001209487680 1134028506 /nfs/dbraw/zinc/02/85/06/1134028506.db2.gz NSBNAFNHBAASFN-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc2[nH]cc(C[N@H+](C)Cc3cscn3)c2c1 ZINC001209494189 1134029318 /nfs/dbraw/zinc/02/93/18/1134029318.db2.gz NROFRGKUQKVBTK-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc2[nH]cc(C[N@@H+](C)Cc3cscn3)c2c1 ZINC001209494189 1134029323 /nfs/dbraw/zinc/02/93/23/1134029323.db2.gz NROFRGKUQKVBTK-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1cc(Cl)ncc1C[N@H+](C)Cc1ccc(F)cc1F ZINC001209633654 1134044760 /nfs/dbraw/zinc/04/47/60/1134044760.db2.gz KGNFMYZUOJUYHP-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cc(Cl)ncc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC001209633654 1134044764 /nfs/dbraw/zinc/04/47/64/1134044764.db2.gz KGNFMYZUOJUYHP-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO COc1ccc(C)c(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001209840738 1134076993 /nfs/dbraw/zinc/07/69/93/1134076993.db2.gz QTLUGJQBKBDDCN-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO c1coc(-c2cc(Nc3ccc4[nH]c[nH+]c4c3)on2)c1 ZINC001209843559 1134078696 /nfs/dbraw/zinc/07/86/96/1134078696.db2.gz FHGGVDSQWSHJDU-UHFFFAOYSA-N 1 2 266.260 3.555 20 0 CHADLO CC(C)c1c(Cl)ncnc1Nc1ccc2[nH]c[nH+]c2c1 ZINC001209848688 1134083972 /nfs/dbraw/zinc/08/39/72/1134083972.db2.gz OKXLXYRUILHYTQ-UHFFFAOYSA-N 1 2 287.754 3.873 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc(F)c(CO)c3)ccc12 ZINC001209880839 1134094085 /nfs/dbraw/zinc/09/40/85/1134094085.db2.gz NBSUCBXTCSKKCE-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2N)c2ccccc12 ZINC001209924298 1134103527 /nfs/dbraw/zinc/10/35/27/1134103527.db2.gz OKTTXUWLAHQYIW-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO COc1cc(Nc2cc(C(F)(F)F)ccc2O)cc(C)[nH+]1 ZINC001209980281 1134115819 /nfs/dbraw/zinc/11/58/19/1134115819.db2.gz MAANCQHLJVJJGS-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO CCOc1cc(Nc2ccccc2N(C)C)cc(C)[nH+]1 ZINC001209989106 1134117881 /nfs/dbraw/zinc/11/78/81/1134117881.db2.gz JNIIYCHGNRMKST-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)OCCCO3)c[nH+]1 ZINC001209998837 1134120362 /nfs/dbraw/zinc/12/03/62/1134120362.db2.gz FRWIKPVLVJOCKB-UHFFFAOYSA-N 1 2 270.332 3.603 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccccc1OC(C)C ZINC001210022823 1134125392 /nfs/dbraw/zinc/12/53/92/1134125392.db2.gz LJZIVMUTIQKRJP-UHFFFAOYSA-N 1 2 281.359 3.703 20 0 CHADLO Cc1cc(Nc2ccc(O)c(F)c2)ccc1[NH+](C)C ZINC001210040740 1134131199 /nfs/dbraw/zinc/13/11/99/1134131199.db2.gz AWWSFKICAJNDRJ-UHFFFAOYSA-N 1 2 260.312 3.649 20 0 CHADLO Cc1cc(Nc2ccc3oc(=O)ccc3c2)ccc1[NH+](C)C ZINC001210043179 1134131853 /nfs/dbraw/zinc/13/18/53/1134131853.db2.gz AKYLGJLVDYDGLS-UHFFFAOYSA-N 1 2 294.354 3.911 20 0 CHADLO COc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1OC ZINC001210043014 1134132460 /nfs/dbraw/zinc/13/24/60/1134132460.db2.gz IUUUHFDQUHBMHU-UHFFFAOYSA-N 1 2 286.375 3.822 20 0 CHADLO Nc1nc2ccccc2nc1Nc1ccc2c[nH+]ccc2c1 ZINC001210046715 1134134155 /nfs/dbraw/zinc/13/41/55/1134134155.db2.gz OJUCQXBKMCQJMF-UHFFFAOYSA-N 1 2 287.326 3.504 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cc(C(C)=O)ccc1OC ZINC001210076282 1134141544 /nfs/dbraw/zinc/14/15/44/1134141544.db2.gz KCATWUYFNAPICK-UHFFFAOYSA-N 1 2 284.359 3.907 20 0 CHADLO CSc1cc(Nc2cc3c[nH]nc3cc2C)cc[nH+]1 ZINC001210103181 1134146428 /nfs/dbraw/zinc/14/64/28/1134146428.db2.gz VSWXVYSRHNPNNX-UHFFFAOYSA-N 1 2 270.361 3.732 20 0 CHADLO Cc1ccc(Nc2cccc3cc[nH+]cc32)c(F)n1 ZINC001210223760 1134171258 /nfs/dbraw/zinc/17/12/58/1134171258.db2.gz FOJWMHOQMRBQAE-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO CCn1cc(Nc2cccc3cc[nH+]cc32)c(C)n1 ZINC001210223664 1134171707 /nfs/dbraw/zinc/17/17/07/1134171707.db2.gz CHFLJMPVLHGZGH-UHFFFAOYSA-N 1 2 252.321 3.503 20 0 CHADLO Cc1ncc(Nc2cccc3cc[nH+]cc32)c(Cl)n1 ZINC001210224276 1134171857 /nfs/dbraw/zinc/17/18/57/1134171857.db2.gz VZJSOHBEANXAPU-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO Fc1cc(Nc2cccc3cc[nH+]cc32)c(F)cn1 ZINC001210225863 1134172244 /nfs/dbraw/zinc/17/22/44/1134172244.db2.gz MKWKITQMFALGMC-UHFFFAOYSA-N 1 2 257.243 3.652 20 0 CHADLO Fc1cc(Nc2cccc3cc[nH+]cc32)cnc1F ZINC001210225541 1134172641 /nfs/dbraw/zinc/17/26/41/1134172641.db2.gz IZSAHWAFLGBUHG-UHFFFAOYSA-N 1 2 257.243 3.652 20 0 CHADLO Cc1cc(C)n2nc(Nc3cccc4cc[nH+]cc43)cc2n1 ZINC001210224598 1134172912 /nfs/dbraw/zinc/17/29/12/1134172912.db2.gz SHGFASRVWNOVBE-UHFFFAOYSA-N 1 2 289.342 3.638 20 0 CHADLO CC(C)c1nccnc1Nc1cccc2cc[nH+]cc21 ZINC001210226145 1134173026 /nfs/dbraw/zinc/17/30/26/1134173026.db2.gz RYBVKVRVUFRZGR-UHFFFAOYSA-N 1 2 264.332 3.892 20 0 CHADLO COC(=O)c1ccc(Nc2cccc3cc[nH+]cc32)c(F)c1 ZINC001210231339 1134173679 /nfs/dbraw/zinc/17/36/79/1134173679.db2.gz PRWIWYHFMGFVPZ-UHFFFAOYSA-N 1 2 296.301 3.904 20 0 CHADLO Oc1ccc(F)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210232077 1134173781 /nfs/dbraw/zinc/17/37/81/1134173781.db2.gz YSULTLHHJHXCAC-UHFFFAOYSA-N 1 2 254.264 3.823 20 0 CHADLO CC(=O)Nc1ccc(O)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210232175 1134173840 /nfs/dbraw/zinc/17/38/40/1134173840.db2.gz IATQQKIFXBJJPV-UHFFFAOYSA-N 1 2 293.326 3.642 20 0 CHADLO Nc1cccc2c1CCCN2c1cccc2cc[nH+]cc21 ZINC001210230959 1134173953 /nfs/dbraw/zinc/17/39/53/1134173953.db2.gz LEFKTFNGULJCHV-UHFFFAOYSA-N 1 2 275.355 3.901 20 0 CHADLO CCOc1ccc(N)cc1Nc1cccc2cc[nH+]cc21 ZINC001210231484 1134174088 /nfs/dbraw/zinc/17/40/88/1134174088.db2.gz SOQLRIBWZCXTFN-UHFFFAOYSA-N 1 2 279.343 3.959 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Cl)c(F)c2F)cc1N ZINC001210290204 1134186844 /nfs/dbraw/zinc/18/68/44/1134186844.db2.gz GIBNMBWGFDZBSO-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO Cc1cc(Nc2cccc(Cn3cc[nH+]c3)c2)c(C)o1 ZINC001210281754 1134184788 /nfs/dbraw/zinc/18/47/88/1134184788.db2.gz UVZOJJAHIIWNMX-UHFFFAOYSA-N 1 2 267.332 3.885 20 0 CHADLO Cc1ccc2sc(Nc3c[nH+]c(C)c(N)c3)nc2c1 ZINC001210286122 1134185631 /nfs/dbraw/zinc/18/56/31/1134185631.db2.gz VZKFFIQAQBPLNJ-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO Cc1[nH+]cc(Nc2c(O)ccc3ccccc32)cc1N ZINC001210290814 1134186052 /nfs/dbraw/zinc/18/60/52/1134186052.db2.gz PLRLUUSRFAMIFL-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO Cc1ccc(Br)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210290304 1134186102 /nfs/dbraw/zinc/18/61/02/1134186102.db2.gz JRXCYSVIIGTDIN-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(OCC3CC3)cc2)cc1N ZINC001210289363 1134186328 /nfs/dbraw/zinc/18/63/28/1134186328.db2.gz IFYODWNWFLTHSB-UHFFFAOYSA-N 1 2 269.348 3.505 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3c4c(cccc42)CC3)cc1N ZINC001210289547 1134186559 /nfs/dbraw/zinc/18/65/59/1134186559.db2.gz QNIQELXOMDYQOR-UHFFFAOYSA-N 1 2 275.355 3.968 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(OC(F)(F)F)cc2)cc1N ZINC001210288536 1134186581 /nfs/dbraw/zinc/18/65/81/1134186581.db2.gz RUDSANLSHVTTCF-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)c(F)cc2Cl)cc1N ZINC001210290261 1134186607 /nfs/dbraw/zinc/18/66/07/1134186607.db2.gz HCQFEUUIERMVMX-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO Cc1cc(C)n(-c2ccc(Nc3c[nH+]c(C)c(N)c3)cc2)n1 ZINC001210289604 1134187093 /nfs/dbraw/zinc/18/70/93/1134187093.db2.gz UGIJOZGDNJFKAZ-UHFFFAOYSA-N 1 2 293.374 3.518 20 0 CHADLO Cc1ccc2c(Nc3cnc(C)c(N)c3)cccc2[nH+]1 ZINC001210289456 1134187138 /nfs/dbraw/zinc/18/71/38/1134187138.db2.gz MHGHCGVZMFYXOC-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO COc1cccc2c(Nc3c[nH+]c(C)c(N)c3)cccc12 ZINC001210292871 1134187521 /nfs/dbraw/zinc/18/75/21/1134187521.db2.gz AKGYSYWJPHTPHQ-UHFFFAOYSA-N 1 2 279.343 3.878 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(OC(C)C)c(F)c2)cc1N ZINC001210291718 1134187850 /nfs/dbraw/zinc/18/78/50/1134187850.db2.gz ROXZHBLUSYWBPO-UHFFFAOYSA-N 1 2 275.327 3.642 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)c(Cl)cc2F)cc1N ZINC001210291421 1134188117 /nfs/dbraw/zinc/18/81/17/1134188117.db2.gz MADGCZCHRKWVJR-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(OC(F)F)cc1 ZINC001210323818 1134193288 /nfs/dbraw/zinc/19/32/88/1134193288.db2.gz DYKSMFGWEWQTPT-UHFFFAOYSA-N 1 2 250.248 3.735 20 0 CHADLO CC(C)n1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)n1 ZINC001210341347 1134195758 /nfs/dbraw/zinc/19/57/58/1134195758.db2.gz FDDYAUXHFPTEBA-UHFFFAOYSA-N 1 2 275.743 3.509 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnn(C(F)(F)F)c3)ccc12 ZINC001210365248 1134199078 /nfs/dbraw/zinc/19/90/78/1134199078.db2.gz UKSJSBFJZXRWCB-UHFFFAOYSA-N 1 2 292.264 3.960 20 0 CHADLO COc1c(F)cccc1Nc1cccc2[nH+]c(C)cn21 ZINC001210399583 1134208471 /nfs/dbraw/zinc/20/84/71/1134208471.db2.gz UQDWCANPNBMEHA-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(-c2nccn2C)cc1 ZINC001210430356 1134213717 /nfs/dbraw/zinc/21/37/17/1134213717.db2.gz KVGKIPUMCLKREQ-UHFFFAOYSA-N 1 2 264.332 3.534 20 0 CHADLO C[C@@H](O)c1cccc(Nc2cc(OC(F)(F)F)cc[nH+]2)c1 ZINC001210508891 1134235485 /nfs/dbraw/zinc/23/54/85/1134235485.db2.gz QFAZIDOXYDECKF-SECBINFHSA-N 1 2 298.264 3.777 20 0 CHADLO c1nc2cc(Nc3ccc[nH+]c3N3CCCCC3)ccc2o1 ZINC001210570283 1134251773 /nfs/dbraw/zinc/25/17/73/1134251773.db2.gz GBPHJNNMIJMSAI-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cncc(N3CCCC3)c2)cc1 ZINC001210613966 1134260433 /nfs/dbraw/zinc/26/04/33/1134260433.db2.gz FSBSBIVPQHVTQY-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cncc(N3CCCC3)c2)cc1 ZINC001210613966 1134260436 /nfs/dbraw/zinc/26/04/36/1134260436.db2.gz FSBSBIVPQHVTQY-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CC(C)(O)c1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001210618333 1134262238 /nfs/dbraw/zinc/26/22/38/1134262238.db2.gz WHPZHDZQSZLPCW-UHFFFAOYSA-N 1 2 268.360 3.930 20 0 CHADLO Cc1cc[nH+]c(Nc2cc(O)cc(C(F)(F)F)c2)c1 ZINC001210823324 1134306664 /nfs/dbraw/zinc/30/66/64/1134306664.db2.gz WIRNBRUOMPUNCV-UHFFFAOYSA-N 1 2 268.238 3.858 20 0 CHADLO c1cn2ccc(Nc3ccccc3OC3CC3)cc2[nH+]1 ZINC001210839553 1134308814 /nfs/dbraw/zinc/30/88/14/1134308814.db2.gz MVVHTNBGEZXXCP-UHFFFAOYSA-N 1 2 265.316 3.619 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(F)c(Cl)c(F)c1 ZINC001210844798 1134311039 /nfs/dbraw/zinc/31/10/39/1134311039.db2.gz DULVRIQGRKQJEA-UHFFFAOYSA-N 1 2 293.704 3.848 20 0 CHADLO Oc1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1Cl ZINC001210855426 1134314052 /nfs/dbraw/zinc/31/40/52/1134314052.db2.gz MSFQTKKRMYECBP-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO c1[nH]c2ncccc2c1Nc1ccc[nH+]c1N1CCCCC1 ZINC001210939269 1134331545 /nfs/dbraw/zinc/33/15/45/1134331545.db2.gz TUVWIJMVPVNAIZ-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO Clc1cc(Nc2c[nH]c3ncccc23)cn2cc[nH+]c12 ZINC001210936509 1134331743 /nfs/dbraw/zinc/33/17/43/1134331743.db2.gz JHODLTXZHJFYGM-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2c[nH]c3ncccc23)cc1 ZINC001210946017 1134335088 /nfs/dbraw/zinc/33/50/88/1134335088.db2.gz FXBVAIFVPLOXDT-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2c[nH]c3ncccc23)cc1 ZINC001210946017 1134335095 /nfs/dbraw/zinc/33/50/95/1134335095.db2.gz FXBVAIFVPLOXDT-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cccnc2C(=O)OC(C)(C)C)c1 ZINC001210954477 1134339277 /nfs/dbraw/zinc/33/92/77/1134339277.db2.gz HFENFTGZPJKQAJ-UHFFFAOYSA-N 1 2 299.374 3.792 20 0 CHADLO CC(=O)N1CC2(CC2)c2ccc(Nc3ccc(C)c[nH+]3)cc21 ZINC001211012017 1134348504 /nfs/dbraw/zinc/34/85/04/1134348504.db2.gz PLXOOTHABKEQGP-UHFFFAOYSA-N 1 2 293.370 3.532 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)C(C)(C)NC(=O)N3)[nH+]c1 ZINC001211012907 1134348667 /nfs/dbraw/zinc/34/86/67/1134348667.db2.gz NVFBRKNCRQKFGS-UHFFFAOYSA-N 1 2 282.347 3.504 20 0 CHADLO COc1cc(C)ccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001211113876 1134372028 /nfs/dbraw/zinc/37/20/28/1134372028.db2.gz MKVZMFSHSZKMMW-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1cccc(-c2cccnc2)c1 ZINC001211143353 1134380479 /nfs/dbraw/zinc/38/04/79/1134380479.db2.gz ULNFBYNGNQAETM-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO Cc1c(CO)cccc1Nc1cc(OC(F)(F)F)cc[nH+]1 ZINC001211165757 1134382473 /nfs/dbraw/zinc/38/24/73/1134382473.db2.gz GCVSTGAZUBLKCU-UHFFFAOYSA-N 1 2 298.264 3.525 20 0 CHADLO COc1cc[nH+]c(Nc2cc(C)ccc2OC(C)C)c1 ZINC001211279885 1134401749 /nfs/dbraw/zinc/40/17/49/1134401749.db2.gz OUKAXAMEDMQMSK-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cnc4occc4c3)cc2)[nH]1 ZINC001213087871 1134424694 /nfs/dbraw/zinc/42/46/94/1134424694.db2.gz FGIALELANZBRPQ-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213087955 1134424699 /nfs/dbraw/zinc/42/46/99/1134424699.db2.gz IRBYOBNBYZZUFW-UHFFFAOYSA-N 1 2 288.354 3.911 20 0 CHADLO Cc1nc(Cl)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)nc1C ZINC001213087493 1134424736 /nfs/dbraw/zinc/42/47/36/1134424736.db2.gz PWVLMUVEXDHUHA-UHFFFAOYSA-N 1 2 299.765 3.881 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4cnoc4c3)cc2)[nH]1 ZINC001213090034 1134425405 /nfs/dbraw/zinc/42/54/05/1134425405.db2.gz QQBRNYGIDBEIMB-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO O[C@H]1CCc2ccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cc21 ZINC001213091779 1134425585 /nfs/dbraw/zinc/42/55/85/1134425585.db2.gz LBDHSPQUHWEGJB-KRWDZBQOSA-N 1 2 291.354 3.800 20 0 CHADLO COc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(OC)c1 ZINC001213089746 1134426090 /nfs/dbraw/zinc/42/60/90/1134426090.db2.gz BWJWHYJVMDJCCU-UHFFFAOYSA-N 1 2 295.342 3.838 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ncc(F)cc1F ZINC001213491225 1134453742 /nfs/dbraw/zinc/45/37/42/1134453742.db2.gz COXSVIDGISEPBR-UHFFFAOYSA-N 1 2 261.275 3.684 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C2CC2)cnc1C ZINC001213491280 1134454123 /nfs/dbraw/zinc/45/41/23/1134454123.db2.gz FYAQEZYKMHHHJT-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ncc(Cl)cc1N ZINC001213494844 1134454339 /nfs/dbraw/zinc/45/43/39/1134454339.db2.gz JEUCQHHXDSUPJU-UHFFFAOYSA-N 1 2 274.755 3.642 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ncc(O)cc1Cl ZINC001213497093 1134454366 /nfs/dbraw/zinc/45/43/66/1134454366.db2.gz IJWYTJNNTJUJSJ-UHFFFAOYSA-N 1 2 275.739 3.765 20 0 CHADLO COc1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1O ZINC001213497442 1134455175 /nfs/dbraw/zinc/45/51/75/1134455175.db2.gz PBWJOHXXPSYLQT-UHFFFAOYSA-N 1 2 270.332 3.725 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1N(C)c1ccccc1N ZINC001213499049 1134455199 /nfs/dbraw/zinc/45/51/99/1134455199.db2.gz AGLJRFOXLSGGPT-UHFFFAOYSA-N 1 2 253.349 3.618 20 0 CHADLO COC(=O)c1cc(O)ccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213499442 1134455713 /nfs/dbraw/zinc/45/57/13/1134455713.db2.gz KEOPCTOXSAPVOJ-UHFFFAOYSA-N 1 2 298.342 3.503 20 0 CHADLO COC(=O)c1ccc(O)cc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213503443 1134456278 /nfs/dbraw/zinc/45/62/78/1134456278.db2.gz GONGULRSLFRLFU-UHFFFAOYSA-N 1 2 298.342 3.503 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1-n1ccc2c1cc(F)cc2N ZINC001213501169 1134456312 /nfs/dbraw/zinc/45/63/12/1134456312.db2.gz KTUVHPDBIIFPGD-UHFFFAOYSA-N 1 2 281.334 3.933 20 0 CHADLO Cc1ccc(N)cc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213501412 1134456904 /nfs/dbraw/zinc/45/69/04/1134456904.db2.gz XGDBFTYTBQGXJI-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO COc1cc2c(ccn2-c2cc(C3CC3)c[nH+]c2C)c(N)c1 ZINC001213503699 1134457014 /nfs/dbraw/zinc/45/70/14/1134457014.db2.gz SWFANIVSGAVLMN-UHFFFAOYSA-N 1 2 293.370 3.802 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccccc1OC1COC1 ZINC001213500902 1134457039 /nfs/dbraw/zinc/45/70/39/1134457039.db2.gz BUTMMNTUBWYTAZ-UHFFFAOYSA-N 1 2 296.370 3.789 20 0 CHADLO Cc1cc(C)c(Nc2cc(Cl)c3nccn3c2)c[nH+]1 ZINC001213508080 1134457366 /nfs/dbraw/zinc/45/73/66/1134457366.db2.gz KTRMWSVXIQKCCI-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)cc2C)n(-c2ccccc2)n1 ZINC001213503822 1134457736 /nfs/dbraw/zinc/45/77/36/1134457736.db2.gz ZVIPIJXAWCOVEB-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO COc1cccc(-n2nccc2Nc2c[nH+]c(C)cc2C)c1 ZINC001213511010 1134457935 /nfs/dbraw/zinc/45/79/35/1134457935.db2.gz RCZNSBHCLSMAHP-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)[C@@H](O)CC2 ZINC001213503753 1134457944 /nfs/dbraw/zinc/45/79/44/1134457944.db2.gz WMQIWTUUHOVADR-SFHVURJKSA-N 1 2 280.371 3.991 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)nc(F)c2Cl)c[nH+]1 ZINC001213508688 1134458176 /nfs/dbraw/zinc/45/81/76/1134458176.db2.gz ZNEROWYOROLVOI-UHFFFAOYSA-N 1 2 269.682 3.769 20 0 CHADLO Cc1cc(C)c(Nc2cc(Br)ncc2F)c[nH+]1 ZINC001213510925 1134458226 /nfs/dbraw/zinc/45/82/26/1134458226.db2.gz MNPLGZLTSHEFAD-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO CO[C@@H]1CCOc2c(Nc3c[nH+]c(C)cc3C)cccc21 ZINC001213520384 1134458967 /nfs/dbraw/zinc/45/89/67/1134458967.db2.gz AOXIFJMSRXBCMA-MRXNPFEDSA-N 1 2 284.359 3.912 20 0 CHADLO COc1ccc(Nc2c[nH+]c(C)cc2C)c(C(C)=O)c1 ZINC001213521037 1134458988 /nfs/dbraw/zinc/45/89/88/1134458988.db2.gz PMMVNBSHNJCPIZ-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COC(=O)c1c(C)ccc(Nc2c[nH+]c(C)cc2C)c1F ZINC001213520803 1134459485 /nfs/dbraw/zinc/45/94/85/1134459485.db2.gz IOQSCTZZRARDCA-UHFFFAOYSA-N 1 2 288.322 3.676 20 0 CHADLO Cc1c[nH+]cc(Nc2c(C)nccc2Br)c1C ZINC001213525395 1134460658 /nfs/dbraw/zinc/46/06/58/1134460658.db2.gz UJNHGAKNJNWVJW-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COC(=O)c1ccc(C)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213530934 1134461368 /nfs/dbraw/zinc/46/13/68/1134461368.db2.gz QHIKNIRHGVPVPY-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO COC(=O)c1cc(F)c(F)cc1Nc1c[nH+]cc(C)c1C ZINC001213531083 1134461696 /nfs/dbraw/zinc/46/16/96/1134461696.db2.gz VBVRKVFDEVZSRS-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3nc(C4CCC4)nn3c2)c1C ZINC001213529073 1134461806 /nfs/dbraw/zinc/46/18/06/1134461806.db2.gz VIALVNIKYAJINZ-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)NC(=O)C3(C)C)c1C ZINC001213532471 1134461834 /nfs/dbraw/zinc/46/18/34/1134461834.db2.gz KAQKTIYFCNGHBW-UHFFFAOYSA-N 1 2 281.359 3.672 20 0 CHADLO CC(=O)Nc1cc(Nc2c[nH+]cc(C)c2C)ccc1C ZINC001213530458 1134461895 /nfs/dbraw/zinc/46/18/95/1134461895.db2.gz BUSPFBMAZRAVBJ-UHFFFAOYSA-N 1 2 269.348 3.709 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(-c3cnn(C)c3)cc2)c1C ZINC001213528913 1134461933 /nfs/dbraw/zinc/46/19/33/1134461933.db2.gz RJOLBFQNYFAGRQ-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO COc1cc(Nc2c[nH+]cc(C)c2C)cc(F)c1F ZINC001213537250 1134463112 /nfs/dbraw/zinc/46/31/12/1134463112.db2.gz YDAJRNAURBUBCP-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO COc1cccc(C2CC2)c1Nc1[nH+]cc(C)cc1N ZINC001213813863 1134487301 /nfs/dbraw/zinc/48/73/01/1134487301.db2.gz XHSMOTODRKFSRK-UHFFFAOYSA-N 1 2 269.348 3.602 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cnccc1C1CC1 ZINC001213866859 1134492905 /nfs/dbraw/zinc/49/29/05/1134492905.db2.gz RULRHIKDUMDUNX-UHFFFAOYSA-N 1 2 253.349 3.968 20 0 CHADLO CCN(C)c1ccc(Nc2cnccc2C2CC2)c[nH+]1 ZINC001213873050 1134493943 /nfs/dbraw/zinc/49/39/43/1134493943.db2.gz PRKPBCIOOLHZHJ-UHFFFAOYSA-N 1 2 268.364 3.554 20 0 CHADLO Nc1ccc(F)cc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001214198117 1134520402 /nfs/dbraw/zinc/52/04/02/1134520402.db2.gz MMLMLPXWIKYHKM-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO COCOc1ccc(C)cc1Nc1cccc2[nH+]c(C)cn21 ZINC001214233954 1134524028 /nfs/dbraw/zinc/52/40/28/1134524028.db2.gz PVAGKNUCCVRJRB-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO COCOc1ccc(C)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001214243611 1134524697 /nfs/dbraw/zinc/52/46/97/1134524697.db2.gz DMRJVTRZDWTQPL-UHFFFAOYSA-N 1 2 297.358 3.608 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)c(O)c(Cl)c1 ZINC001214482678 1134542680 /nfs/dbraw/zinc/54/26/80/1134542680.db2.gz IGLRPOZSKBLHFQ-UHFFFAOYSA-N 1 2 282.702 3.722 20 0 CHADLO COc1c(C)ccc(F)c1Nc1cccc2[nH+]ccn21 ZINC001214528066 1134547036 /nfs/dbraw/zinc/54/70/36/1134547036.db2.gz VYOFJJRKLPRMIM-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1cc(CO)ccc1Nc1cc[nH+]c2ccc(Cl)cc12 ZINC001214657788 1134562417 /nfs/dbraw/zinc/56/24/17/1134562417.db2.gz UPHVCGPJWDBBDO-UHFFFAOYSA-N 1 2 298.773 3.854 20 0 CHADLO Cc1cc(CO)ccc1Nc1cccc(C2CC2)[nH+]1 ZINC001214662869 1134562941 /nfs/dbraw/zinc/56/29/41/1134562941.db2.gz HDFXWIKHVGYKSY-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO CC(=O)c1cc(Nc2ccc[nH+]c2N2CCCC2)cs1 ZINC001214773678 1134570295 /nfs/dbraw/zinc/57/02/95/1134570295.db2.gz MZSITPRBTQCNTC-UHFFFAOYSA-N 1 2 287.388 3.690 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc(C)c1OCOC ZINC001214842234 1134576062 /nfs/dbraw/zinc/57/60/62/1134576062.db2.gz FXOKFJFTZPJUQA-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(C)cc(CO)cc1C ZINC001214954498 1134586046 /nfs/dbraw/zinc/58/60/46/1134586046.db2.gz LQEDEFLPSAYUBL-UHFFFAOYSA-N 1 2 270.376 3.805 20 0 CHADLO COc1c(F)cc(Nc2[nH+]cccc2C)cc1Cl ZINC001214964328 1134588024 /nfs/dbraw/zinc/58/80/24/1134588024.db2.gz WZLZZWAGIZCHJO-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(F)c2n[nH]cc21 ZINC001215366558 1134619193 /nfs/dbraw/zinc/61/91/93/1134619193.db2.gz WLWFZAHIYUEOEE-UHFFFAOYSA-N 1 2 270.311 3.711 20 0 CHADLO Fc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c2c[nH]nc21 ZINC001215375400 1134620566 /nfs/dbraw/zinc/62/05/66/1134620566.db2.gz QRCSONCHGAPLHE-UHFFFAOYSA-N 1 2 293.305 3.631 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(F)c(OC(C)C)c1 ZINC001215499640 1134634675 /nfs/dbraw/zinc/63/46/75/1134634675.db2.gz KHRHXAFJBMTGRK-UHFFFAOYSA-N 1 2 299.349 3.842 20 0 CHADLO Cc1ccc(Nc2cc(C(F)(F)F)ccc2CO)c(C)[nH+]1 ZINC001215680470 1134659921 /nfs/dbraw/zinc/65/99/21/1134659921.db2.gz XHJNJTUZMCIIKS-UHFFFAOYSA-N 1 2 296.292 3.953 20 0 CHADLO COc1cc(Nc2cccn3cc(C)[nH+]c23)cc(C)c1F ZINC001215700159 1134661523 /nfs/dbraw/zinc/66/15/23/1134661523.db2.gz DAKSYLIDAJVZRL-UHFFFAOYSA-N 1 2 285.322 3.842 20 0 CHADLO COc1cc(C)cc(F)c1Nc1ccn2cc[nH+]c2c1 ZINC001215708145 1134664268 /nfs/dbraw/zinc/66/42/68/1134664268.db2.gz RXMIMPQJQNYFRO-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c2c[nH]nc21 ZINC001215756629 1134674585 /nfs/dbraw/zinc/67/45/85/1134674585.db2.gz FDBJVBRFDYHUBY-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO COc1cc(SC)c(Nc2[nH+]c(C)ccc2C)cn1 ZINC001215811172 1134693640 /nfs/dbraw/zinc/69/36/40/1134693640.db2.gz UBBNOIUBCLWAHA-UHFFFAOYSA-N 1 2 275.377 3.568 20 0 CHADLO CSc1ncc(Nc2ccn3cc[nH+]c3c2)cc1C ZINC001215828848 1134697374 /nfs/dbraw/zinc/69/73/74/1134697374.db2.gz WFPOWIFCAFTOEX-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO Clc1cc2n[nH]cc2c(Nc2cccc3[nH+]ccn32)c1 ZINC001215857152 1134704119 /nfs/dbraw/zinc/70/41/19/1134704119.db2.gz ATLPFUUHTHEVDG-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO CSc1cccc(Nc2ccn3cc[nH+]c3c2)c1F ZINC001215868483 1134707479 /nfs/dbraw/zinc/70/74/79/1134707479.db2.gz UNXMPMSIOUSADK-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CSc1ccc(Nc2cccc3[nH+]ccn32)c(C)n1 ZINC001215905747 1134714613 /nfs/dbraw/zinc/71/46/13/1134714613.db2.gz SYFIBSOYVNWAGQ-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(F)cc(F)c1Cl ZINC001215952382 1134729066 /nfs/dbraw/zinc/72/90/66/1134729066.db2.gz ODLZLHJLGUBJHJ-UHFFFAOYSA-N 1 2 293.704 3.848 20 0 CHADLO COc1cc(Nc2cc(C3CC3)cnc2F)cc(C)[nH+]1 ZINC001215956251 1134730117 /nfs/dbraw/zinc/73/01/17/1134730117.db2.gz RYEDCEQKGYEWLX-UHFFFAOYSA-N 1 2 273.311 3.554 20 0 CHADLO COCc1cc(OC)ccc1Nc1ccc(C)[nH+]c1C ZINC001215974819 1134738502 /nfs/dbraw/zinc/73/85/02/1134738502.db2.gz NYBVPMCODDWJND-UHFFFAOYSA-N 1 2 272.348 3.597 20 0 CHADLO CC(C)c1ccc(N)c(Nc2[nH+]cccc2N(C)C)c1 ZINC001216022357 1134752883 /nfs/dbraw/zinc/75/28/83/1134752883.db2.gz VDOHUBUVYAHNRH-UHFFFAOYSA-N 1 2 270.380 3.597 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(C)c(F)c(O)c2)[nH+]1 ZINC001216077443 1134767943 /nfs/dbraw/zinc/76/79/43/1134767943.db2.gz JIXKARGLRIPWGB-UHFFFAOYSA-N 1 2 271.295 3.539 20 0 CHADLO Cc1cc(Nc2cccc(-n3cc[nH+]c3)c2)cc(O)c1F ZINC001216078276 1134769008 /nfs/dbraw/zinc/76/90/08/1134769008.db2.gz FTNYPWMFLGUOHZ-UHFFFAOYSA-N 1 2 283.306 3.769 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2C2CC2)cc(O)c1F ZINC001216078392 1134769313 /nfs/dbraw/zinc/76/93/13/1134769313.db2.gz HLJCAXUEZUZMEB-UHFFFAOYSA-N 1 2 258.296 3.856 20 0 CHADLO Cc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc(O)c1F ZINC001216081020 1134770396 /nfs/dbraw/zinc/77/03/96/1134770396.db2.gz SFMDJPLAJFSTFK-UHFFFAOYSA-N 1 2 297.333 3.828 20 0 CHADLO Cc1cc(Nc2ccccc2-n2cc[nH+]c2)cc(C)c1CO ZINC001216119753 1134782972 /nfs/dbraw/zinc/78/29/72/1134782972.db2.gz BLOCAUKVJDHGBM-UHFFFAOYSA-N 1 2 293.370 3.725 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)cc(C)c1CO ZINC001216123442 1134785155 /nfs/dbraw/zinc/78/51/55/1134785155.db2.gz SFCSTIWZNSONKN-UHFFFAOYSA-N 1 2 297.402 3.535 20 0 CHADLO Cc1c(F)cncc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001216192222 1134804857 /nfs/dbraw/zinc/80/48/57/1134804857.db2.gz BBCLMSLGQSFRHY-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO CSc1ncc(C)cc1Nc1c[nH+]c(C)cc1C ZINC001216241228 1134821843 /nfs/dbraw/zinc/82/18/43/1134821843.db2.gz NYKDWUVJWZRXJS-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ccc(CO)cc1F ZINC001216272930 1134833037 /nfs/dbraw/zinc/83/30/37/1134833037.db2.gz SWLUHNYRWOXKRA-UHFFFAOYSA-N 1 2 288.366 3.964 20 0 CHADLO CN(C)c1ccc(Nc2cc3c(cccc3F)o2)c[nH+]1 ZINC001216288906 1134836110 /nfs/dbraw/zinc/83/61/10/1134836110.db2.gz AVUZFRJWBCWPEG-UHFFFAOYSA-N 1 2 271.295 3.777 20 0 CHADLO Fc1ccc(Nc2cccn3cc[nH+]c23)c2occc21 ZINC001216296047 1134839714 /nfs/dbraw/zinc/83/97/14/1134839714.db2.gz XDTRVHQAQRVAEN-UHFFFAOYSA-N 1 2 267.263 3.963 20 0 CHADLO Cc1c(O)cccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001216318889 1134851616 /nfs/dbraw/zinc/85/16/16/1134851616.db2.gz KUXQUMGYNXYUHH-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO Cc1c(CO)ccc(Nc2cccc3[nH+]ccn32)c1Cl ZINC001216325865 1134856053 /nfs/dbraw/zinc/85/60/53/1134856053.db2.gz QHDGHQFPPBBZIK-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(F)c(OC)c1OC ZINC001216391716 1134880437 /nfs/dbraw/zinc/88/04/37/1134880437.db2.gz DSGRDQIUBASTCW-UHFFFAOYSA-N 1 2 290.338 3.852 20 0 CHADLO CSc1cc(Nc2cc(Cl)ccc2O)cc[nH+]1 ZINC001216399259 1134882242 /nfs/dbraw/zinc/88/22/42/1134882242.db2.gz ZBBKXCXWJZEMKS-UHFFFAOYSA-N 1 2 266.753 3.906 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)cc(C(C)(C)O)c2)c[nH+]1 ZINC001216489368 1134905195 /nfs/dbraw/zinc/90/51/95/1134905195.db2.gz VCDIEHAGKXITAD-UHFFFAOYSA-N 1 2 274.339 3.809 20 0 CHADLO Nc1c(Cl)cccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001216526902 1134915824 /nfs/dbraw/zinc/91/58/24/1134915824.db2.gz XOKDMFNZTVYZML-UHFFFAOYSA-N 1 2 293.157 3.967 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3c(cnn3CC)c2)c[nH+]1 ZINC001216589824 1134926580 /nfs/dbraw/zinc/92/65/80/1134926580.db2.gz DHZSBJAVCPYSPG-UHFFFAOYSA-N 1 2 295.390 3.651 20 0 CHADLO CC(C)Oc1ncc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001216619335 1134932375 /nfs/dbraw/zinc/93/23/75/1134932375.db2.gz CALDAROFCNQVOS-UHFFFAOYSA-N 1 2 280.331 3.556 20 0 CHADLO Clc1cccc(Cl)c1C[NH2+]Cc1ccns1 ZINC000404354473 1134945413 /nfs/dbraw/zinc/94/54/13/1134945413.db2.gz LARIHUYTWRJDKH-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncccc2Br)c1 ZINC000404777144 1134949278 /nfs/dbraw/zinc/94/92/78/1134949278.db2.gz PBXGEWWLZCSGBM-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1cc(O[C@@H]2CC[NH2+]CC2(F)F)c(C(C)C)cc1N=O ZINC001218005411 1135040730 /nfs/dbraw/zinc/04/07/30/1135040730.db2.gz FPQRTWXDRVZCKP-CQSZACIVSA-N 1 2 298.333 3.892 20 0 CHADLO C[C@H](Oc1ccc[nH+]c1N)c1cccc2ccccc21 ZINC001218211982 1135069165 /nfs/dbraw/zinc/06/91/65/1135069165.db2.gz PHACNZQVJJWVAD-LBPRGKRZSA-N 1 2 264.328 3.957 20 0 CHADLO Cc1ccc2cc(O[C@@H]3C[NH2+]CC(F)(F)C3)ccc2c1 ZINC001218275221 1135077961 /nfs/dbraw/zinc/07/79/61/1135077961.db2.gz KRLVUMZCDJORDH-HNNXBMFYSA-N 1 2 277.314 3.524 20 0 CHADLO Cc1ccc2ccccc2c1O[C@@H]1C[NH2+]CC(F)(F)C1 ZINC001218275269 1135078100 /nfs/dbraw/zinc/07/81/00/1135078100.db2.gz VBEZAAISPISMRZ-ZDUSSCGKSA-N 1 2 277.314 3.524 20 0 CHADLO Nc1[nH+]cccc1O[C@H]1CCc2cc(C(F)(F)F)ccc21 ZINC001218461077 1135108990 /nfs/dbraw/zinc/10/89/90/1135108990.db2.gz YVYNNVXWUSSFCD-LBPRGKRZSA-N 1 2 294.276 3.749 20 0 CHADLO CNc1cc(-c2cc(OC(C)C)ccc2C)cc[nH+]1 ZINC001222154008 1135200590 /nfs/dbraw/zinc/20/05/90/1135200590.db2.gz ANENSOOPZCLSDP-UHFFFAOYSA-N 1 2 256.349 3.886 20 0 CHADLO FC(F)(F)Oc1cccc(-c2c[nH+]c3c(c2)CCCN3)c1 ZINC001222336660 1135228126 /nfs/dbraw/zinc/22/81/26/1135228126.db2.gz WZKZKXRWCVLCML-UHFFFAOYSA-N 1 2 294.276 3.648 20 0 CHADLO CC/C=C\CCOC(=O)[C@@H](C)OCc1cc(C)[nH+]c(C)c1 ZINC001223422819 1135343801 /nfs/dbraw/zinc/34/38/01/1135343801.db2.gz ZXKGFWGYUQRPKX-IDTUSYRASA-N 1 2 291.391 3.503 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](C)COc1ccccc1 ZINC001224960447 1135496993 /nfs/dbraw/zinc/49/69/93/1135496993.db2.gz CHQDHHRNXDYYGW-CQSZACIVSA-N 1 2 279.380 3.655 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H]1CCCc2cccnc21 ZINC001224963720 1135497156 /nfs/dbraw/zinc/49/71/56/1135497156.db2.gz PIFWGYSHCNIMEN-CQSZACIVSA-N 1 2 276.380 3.660 20 0 CHADLO C1=C[C@H](COCc2ccccc2)[C@@H](Oc2cc[nH+]cc2)C1 ZINC001225290832 1135536319 /nfs/dbraw/zinc/53/63/19/1135536319.db2.gz JOKKUMCSIZBODZ-AEFFLSMTSA-N 1 2 281.355 3.622 20 0 CHADLO C[C@H](Oc1cc[nH+]cc1)c1cc(-c2ccccc2)no1 ZINC001225296220 1135537589 /nfs/dbraw/zinc/53/75/89/1135537589.db2.gz RKOAWUUNHTYNBD-LBPRGKRZSA-N 1 2 266.300 3.877 20 0 CHADLO c1ccc(C[NH+]2CC(Oc3nsc4ccccc43)C2)cc1 ZINC001226434368 1135695704 /nfs/dbraw/zinc/69/57/04/1135695704.db2.gz PVTJHIWXRNMFCV-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO c1nc2ccc(OC3C[NH+](Cc4ccccc4)C3)cc2s1 ZINC001226637439 1135721420 /nfs/dbraw/zinc/72/14/20/1135721420.db2.gz MKGBKWDGJRFDTF-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO CCC(CC)Oc1n[nH]c2c1CC[N@H+](Cc1ccccc1)C2 ZINC001226704673 1135731405 /nfs/dbraw/zinc/73/14/05/1135731405.db2.gz NAJMRHWLLLXNBH-UHFFFAOYSA-N 1 2 299.418 3.535 20 0 CHADLO CCC(CC)Oc1n[nH]c2c1CC[N@@H+](Cc1ccccc1)C2 ZINC001226704673 1135731408 /nfs/dbraw/zinc/73/14/08/1135731408.db2.gz NAJMRHWLLLXNBH-UHFFFAOYSA-N 1 2 299.418 3.535 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H]1CCCc2cccnc21 ZINC001227259528 1135811174 /nfs/dbraw/zinc/81/11/74/1135811174.db2.gz JGTFVHWDVHELGX-CQSZACIVSA-N 1 2 254.333 3.550 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H]1CCOc2ccccc21 ZINC001227264344 1135812456 /nfs/dbraw/zinc/81/24/56/1135812456.db2.gz LRCNHOVYBBLEPI-OAHLLOKOSA-N 1 2 255.317 3.601 20 0 CHADLO CCc1c[nH]c(SCc2ccc(-n3cc[nH+]c3)cc2C)n1 ZINC000191566311 529501884 /nfs/dbraw/zinc/50/18/84/529501884.db2.gz SPAICZJPWOPYDT-UHFFFAOYSA-N 1 2 298.415 3.759 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2ccccc2OC)nc(C)[nH+]1 ZINC000302069854 529534286 /nfs/dbraw/zinc/53/42/86/529534286.db2.gz XVGBBVHQPXNAQT-INIZCTEOSA-N 1 2 297.402 3.698 20 0 CHADLO CCn1cccc1C[N@H+](C)Cc1c(F)cccc1Cl ZINC000353694722 529546889 /nfs/dbraw/zinc/54/68/89/529546889.db2.gz ZPHPJQVNOABKTL-UHFFFAOYSA-N 1 2 280.774 3.933 20 0 CHADLO CCn1cccc1C[N@@H+](C)Cc1c(F)cccc1Cl ZINC000353694722 529546893 /nfs/dbraw/zinc/54/68/93/529546893.db2.gz ZPHPJQVNOABKTL-UHFFFAOYSA-N 1 2 280.774 3.933 20 0 CHADLO CCc1ccc(C(=O)Nc2c(C)cc[nH+]c2C)cc1 ZINC000335415478 529609103 /nfs/dbraw/zinc/60/91/03/529609103.db2.gz WOMWIJLNKWKZFS-UHFFFAOYSA-N 1 2 254.333 3.513 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@H](C)c2cccs2)s1 ZINC000355904389 529645868 /nfs/dbraw/zinc/64/58/68/529645868.db2.gz DTRUDRGNUWQRGY-SNVBAGLBSA-N 1 2 266.435 3.960 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@H](C)c2cccs2)s1 ZINC000355904389 529645869 /nfs/dbraw/zinc/64/58/69/529645869.db2.gz DTRUDRGNUWQRGY-SNVBAGLBSA-N 1 2 266.435 3.960 20 0 CHADLO CCc1ccc(C[NH2+]Cc2coc(-c3ccccc3)n2)cn1 ZINC000352773317 529653382 /nfs/dbraw/zinc/65/33/82/529653382.db2.gz QQFJQSDWIMZMBU-UHFFFAOYSA-N 1 2 293.370 3.589 20 0 CHADLO CCc1ccc(CNc2ccc(-n3cc[nH+]c3)c(F)c2)cn1 ZINC000352791605 529658565 /nfs/dbraw/zinc/65/85/65/529658565.db2.gz QDDLXJBXQYEXPT-UHFFFAOYSA-N 1 2 296.349 3.581 20 0 CHADLO COc1cccc2c(N[C@H]3CC[C@@H](F)C3)cc[nH+]c12 ZINC000573401226 334935347 /nfs/dbraw/zinc/93/53/47/334935347.db2.gz KRVLUGFVESOGRM-MNOVXSKESA-N 1 2 260.312 3.546 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1nc2cccnc2s1 ZINC000352554534 529730372 /nfs/dbraw/zinc/73/03/72/529730372.db2.gz TWLOKMOMQRDZLS-UHFFFAOYSA-N 1 2 285.372 3.536 20 0 CHADLO CCc1nc(C[N@@H+]2CCCCC[C@H]2c2ccccc2)no1 ZINC000350618939 529735808 /nfs/dbraw/zinc/73/58/08/529735808.db2.gz GGXWSRJIZKQOAS-HNNXBMFYSA-N 1 2 285.391 3.749 20 0 CHADLO CCc1nc(C[N@H+]2CCCCC[C@H]2c2ccccc2)no1 ZINC000350618939 529735809 /nfs/dbraw/zinc/73/58/09/529735809.db2.gz GGXWSRJIZKQOAS-HNNXBMFYSA-N 1 2 285.391 3.749 20 0 CHADLO CCC[C@@H]1C[C@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000573772307 334964869 /nfs/dbraw/zinc/96/48/69/334964869.db2.gz UEJBVUBEOYTWHZ-IUODEOHRSA-N 1 2 294.358 3.826 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1ccc(C2CC2)cc1 ZINC000573823766 334969504 /nfs/dbraw/zinc/96/95/04/334969504.db2.gz YTHSNSVDHOKABD-LLVKDONJSA-N 1 2 283.375 3.675 20 0 CHADLO F[C@@]1(c2cccc(Cl)c2)CC[N@H+](CCOCC2CC2)C1 ZINC000574705983 335041933 /nfs/dbraw/zinc/04/19/33/335041933.db2.gz BGGISBMOBSUBRA-INIZCTEOSA-N 1 2 297.801 3.637 20 0 CHADLO F[C@@]1(c2cccc(Cl)c2)CC[N@@H+](CCOCC2CC2)C1 ZINC000574705983 335041934 /nfs/dbraw/zinc/04/19/34/335041934.db2.gz BGGISBMOBSUBRA-INIZCTEOSA-N 1 2 297.801 3.637 20 0 CHADLO CC[C@H](C)[C@@H](C)N(C(=O)c1ccn2c(C)c[nH+]c2c1)C1CC1 ZINC000574820673 335050422 /nfs/dbraw/zinc/05/04/22/335050422.db2.gz RCAZTRMRJMESQN-GXTWGEPZSA-N 1 2 299.418 3.682 20 0 CHADLO Cc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)s1 ZINC000036982081 260060373 /nfs/dbraw/zinc/06/03/73/260060373.db2.gz GAODMSVPJSNDSK-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO COc1ccc2nc(CNc3c[nH+]cc(C)c3)sc2c1 ZINC000352307975 533348731 /nfs/dbraw/zinc/34/87/31/533348731.db2.gz ARYAGJATOSLCQW-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO COc1ccc(C(C)(C)CNc2cc[nH+]c(C3CC3)n2)cc1 ZINC000301243253 533366443 /nfs/dbraw/zinc/36/64/43/533366443.db2.gz NBAMAKIMOIQDHS-UHFFFAOYSA-N 1 2 297.402 3.752 20 0 CHADLO C[C@@H]([NH2+]Cc1cccs1)c1noc(Cc2ccccc2)n1 ZINC000344611725 533463477 /nfs/dbraw/zinc/46/34/77/533463477.db2.gz MRPCUJGZXKKCLE-GFCCVEGCSA-N 1 2 299.399 3.573 20 0 CHADLO C[C@@H](CCC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1)c1ccccc1 ZINC000347938355 533514143 /nfs/dbraw/zinc/51/41/43/533514143.db2.gz PPYSOCHOFVWTIA-HOCLYGCPSA-N 1 2 297.402 3.657 20 0 CHADLO C[C@@H](CC[N@H+](CC(F)F)C1CC1)OCc1ccccc1 ZINC000354890724 533573001 /nfs/dbraw/zinc/57/30/01/533573001.db2.gz JUPMFAIFBVYHOA-ZDUSSCGKSA-N 1 2 283.362 3.711 20 0 CHADLO C[C@@H](CC[N@@H+](CC(F)F)C1CC1)OCc1ccccc1 ZINC000354890724 533573005 /nfs/dbraw/zinc/57/30/05/533573005.db2.gz JUPMFAIFBVYHOA-ZDUSSCGKSA-N 1 2 283.362 3.711 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2c(Cl)cccc2Cl)c2nccn21 ZINC000336227812 533648381 /nfs/dbraw/zinc/64/83/81/533648381.db2.gz BICITEYWOLQVMA-NOZJJQNGSA-N 1 2 296.201 3.986 20 0 CHADLO COCc1cccc(C[N@H+](CC(F)F)CC2CCC2)c1 ZINC000341751158 130020328 /nfs/dbraw/zinc/02/03/28/130020328.db2.gz PIPKXNIEDQJZFE-UHFFFAOYSA-N 1 2 283.362 3.700 20 0 CHADLO COCc1cccc(C[N@@H+](CC(F)F)CC2CCC2)c1 ZINC000341751158 130020331 /nfs/dbraw/zinc/02/03/31/130020331.db2.gz PIPKXNIEDQJZFE-UHFFFAOYSA-N 1 2 283.362 3.700 20 0 CHADLO Cc1noc(C[NH2+][C@@H](C)c2ccc(SC(C)C)cc2)n1 ZINC000341791760 130031454 /nfs/dbraw/zinc/03/14/54/130031454.db2.gz QVIHKEGXCXWABX-NSHDSACASA-N 1 2 291.420 3.729 20 0 CHADLO Clc1cccc2c(NCC3CCOCC3)cc[nH+]c12 ZINC000341840662 130086507 /nfs/dbraw/zinc/08/65/07/130086507.db2.gz DROAHUNFVZLGBH-UHFFFAOYSA-N 1 2 276.767 3.727 20 0 CHADLO FC(F)n1ccnc1C[NH2+]C1(c2ccc(Cl)cc2)CC1 ZINC000341872872 130099301 /nfs/dbraw/zinc/09/93/01/130099301.db2.gz FDRKVUGYBCWIRC-UHFFFAOYSA-N 1 2 297.736 3.711 20 0 CHADLO CC(C)n1ccnc1C[NH2+]C1(c2ccc(Cl)cc2)CC1 ZINC000341880554 130106651 /nfs/dbraw/zinc/10/66/51/130106651.db2.gz VXBCOUFHNRKYTK-UHFFFAOYSA-N 1 2 289.810 3.896 20 0 CHADLO Cn1c2ccccc2[nH+]c1CCSCCC1CC1 ZINC000341990538 130213159 /nfs/dbraw/zinc/21/31/59/130213159.db2.gz AMAMUNDNJNJBBW-UHFFFAOYSA-N 1 2 260.406 3.649 20 0 CHADLO Cc1ccc(CSCCOC2CCC2)c(C)[nH+]1 ZINC000341991261 130213817 /nfs/dbraw/zinc/21/38/17/130213817.db2.gz CHTLDJFLLCVEJP-UHFFFAOYSA-N 1 2 251.395 3.501 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CC3(C)CCCC3)cc2[nH+]1 ZINC000342079416 130242136 /nfs/dbraw/zinc/24/21/36/130242136.db2.gz DKSSHRWVIPOEBH-UHFFFAOYSA-N 1 2 271.364 3.780 20 0 CHADLO CO[C@@H](C)CCNc1cc[nH+]c2c(Cl)cccc12 ZINC000342113625 130270209 /nfs/dbraw/zinc/27/02/09/130270209.db2.gz LAFVWEIJMBDCLJ-JTQLQIEISA-N 1 2 264.756 3.725 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccc(C(F)(F)F)cn1)C2 ZINC000342148123 130340287 /nfs/dbraw/zinc/34/02/87/130340287.db2.gz ZBVHUILDIMKUPX-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccc(C(F)(F)F)cn1)C2 ZINC000342148123 130340290 /nfs/dbraw/zinc/34/02/90/130340290.db2.gz ZBVHUILDIMKUPX-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCCC[C@H]2CC(C)C)[nH+]1 ZINC000342214657 130388507 /nfs/dbraw/zinc/38/85/07/130388507.db2.gz NCYXHZRPKJRUKI-ZDUSSCGKSA-N 1 2 272.396 3.671 20 0 CHADLO Cc1cccn2cc(CNc3cccc(C)c3C)[nH+]c12 ZINC000008415234 170335749 /nfs/dbraw/zinc/33/57/49/170335749.db2.gz ZMVZTLRVEYMOCE-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO Cc1cc(NC(=O)c2csc(-c3ccccc3)n2)cc[nH+]1 ZINC000010165079 170399473 /nfs/dbraw/zinc/39/94/73/170399473.db2.gz OVGHSEOJMGYJFR-UHFFFAOYSA-N 1 2 295.367 3.766 20 0 CHADLO COc1ccc(C(=O)Nc2cc[nH+]c(C)c2)c2ccccc12 ZINC000010163426 170399661 /nfs/dbraw/zinc/39/96/61/170399661.db2.gz NIEWVPLCZMUMKQ-UHFFFAOYSA-N 1 2 292.338 3.804 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(F)c(F)c2)no1 ZINC000021719744 171319924 /nfs/dbraw/zinc/31/99/24/171319924.db2.gz STAKYLVSLQJJAK-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(F)c(F)c2)no1 ZINC000021719744 171319926 /nfs/dbraw/zinc/31/99/26/171319926.db2.gz STAKYLVSLQJJAK-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO c1coc(C[NH2+][C@@H](c2ccccc2)c2ccccn2)c1 ZINC000022147631 171357344 /nfs/dbraw/zinc/35/73/44/171357344.db2.gz WPHHOTULTMLHOD-KRWDZBQOSA-N 1 2 264.328 3.554 20 0 CHADLO COC(=O)c1occc1C[NH2+][C@@H](C)c1ccccc1Cl ZINC000035222975 172066611 /nfs/dbraw/zinc/06/66/11/172066611.db2.gz FWZVYSQZERBMMT-JTQLQIEISA-N 1 2 293.750 3.570 20 0 CHADLO Cc1noc(C[NH2+][C@H](CC(C)(C)C)c2ccccc2)n1 ZINC000038034032 174032357 /nfs/dbraw/zinc/03/23/57/174032357.db2.gz ZCOHYZQZSNUKTE-CQSZACIVSA-N 1 2 273.380 3.645 20 0 CHADLO Cc1csc(C[NH2+]Cc2ccc(F)cc2Cl)n1 ZINC000038091628 174105829 /nfs/dbraw/zinc/10/58/29/174105829.db2.gz XDGMGVFEOLCOHN-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO CCCNC(=O)Cn1c2ccccc2[nH+]c1C1CCCCC1 ZINC000040295785 174223497 /nfs/dbraw/zinc/22/34/97/174223497.db2.gz FYCFCVAVSHXLLN-UHFFFAOYSA-N 1 2 299.418 3.610 20 0 CHADLO Cc1cc[nH+]cc1NCc1cc(Br)cs1 ZINC000070958318 176017180 /nfs/dbraw/zinc/01/71/80/176017180.db2.gz SREPWOGWZKWHCZ-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO Clc1cccc(NC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000037202409 260074140 /nfs/dbraw/zinc/07/41/40/260074140.db2.gz BGWOMYKJKVRBHR-UHFFFAOYSA-N 1 2 287.794 3.816 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H]2CCCCC2(F)F)n1 ZINC000334972764 225380166 /nfs/dbraw/zinc/38/01/66/225380166.db2.gz GIIWVHXRQFTNOM-GFCCVEGCSA-N 1 2 293.317 3.657 20 0 CHADLO c1ccc(C[C@H]2CCCN(c3cc[nH+]c(C4CC4)n3)C2)cc1 ZINC000347123159 226033623 /nfs/dbraw/zinc/03/36/23/226033623.db2.gz DXMHXVQKSNICRH-MRXNPFEDSA-N 1 2 293.414 3.813 20 0 CHADLO Cc1ccc(N[C@@H]2c3cc(F)ccc3C[C@H]2C)c[nH+]1 ZINC000347155952 226062447 /nfs/dbraw/zinc/06/24/47/226062447.db2.gz RLUXBMOMDINMRU-HWPZZCPQSA-N 1 2 256.324 3.875 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2csc(-c3ccsc3)n2)C1 ZINC000347381127 226139542 /nfs/dbraw/zinc/13/95/42/226139542.db2.gz NODJHZIMNBJXDL-ZDUSSCGKSA-N 1 2 282.409 3.806 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2csc(-c3ccsc3)n2)C1 ZINC000347381127 226139546 /nfs/dbraw/zinc/13/95/46/226139546.db2.gz NODJHZIMNBJXDL-ZDUSSCGKSA-N 1 2 282.409 3.806 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCC[C@@H]2c2ccccc2)n1 ZINC000347679765 226220317 /nfs/dbraw/zinc/22/03/17/226220317.db2.gz KGPZURPZAPRSIL-CQSZACIVSA-N 1 2 277.318 3.615 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCC[C@@H]2c2ccccc2)n1 ZINC000347679765 226220321 /nfs/dbraw/zinc/22/03/21/226220321.db2.gz KGPZURPZAPRSIL-CQSZACIVSA-N 1 2 277.318 3.615 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1(c2ccc(F)cc2)CC1 ZINC000336442973 227018443 /nfs/dbraw/zinc/01/84/43/227018443.db2.gz QLKQETKVQLSWQC-UHFFFAOYSA-N 1 2 299.349 3.648 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCCCSC)c2c1 ZINC000354536394 227025720 /nfs/dbraw/zinc/02/57/20/227025720.db2.gz LXBRBCUJZRCTNH-UHFFFAOYSA-N 1 2 277.389 3.684 20 0 CHADLO Cc1cc(C)c(CNc2[nH+]ccc3ccc(F)cc32)c(=O)[nH]1 ZINC000354557310 227030323 /nfs/dbraw/zinc/03/03/23/227030323.db2.gz FTJXTPLONOEIKW-UHFFFAOYSA-N 1 2 297.333 3.703 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSc1ccccn1 ZINC000191536302 227035098 /nfs/dbraw/zinc/03/50/98/227035098.db2.gz PAZGLIDHMWGCRM-UHFFFAOYSA-N 1 2 281.384 3.868 20 0 CHADLO Clc1csc(C[N@@H+]2CCOC[C@H]2CC2CCC2)c1 ZINC000354732379 227085972 /nfs/dbraw/zinc/08/59/72/227085972.db2.gz MYNYBOVTGCNMBK-CYBMUJFWSA-N 1 2 285.840 3.793 20 0 CHADLO Clc1csc(C[N@H+]2CCOC[C@H]2CC2CCC2)c1 ZINC000354732379 227085975 /nfs/dbraw/zinc/08/59/75/227085975.db2.gz MYNYBOVTGCNMBK-CYBMUJFWSA-N 1 2 285.840 3.793 20 0 CHADLO COc1cc2cc[nH+]c(N3C[C@H](C)C[C@H]3C)c2cc1F ZINC000575210821 335081664 /nfs/dbraw/zinc/08/16/64/335081664.db2.gz VDXKYKIOMQXTEH-GHMZBOCLSA-N 1 2 274.339 3.617 20 0 CHADLO CC(C)c1nc(N[C@@H]2CCc3cc(F)ccc32)cc[nH+]1 ZINC000171862239 335083051 /nfs/dbraw/zinc/08/30/51/335083051.db2.gz HROPJAPJTNODFZ-CQSZACIVSA-N 1 2 271.339 3.839 20 0 CHADLO CCn1cncc1C[NH2+][C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000417909601 227149606 /nfs/dbraw/zinc/14/96/06/227149606.db2.gz KGCYIZMBTTYTEY-NSHDSACASA-N 1 2 292.452 3.508 20 0 CHADLO FC(F)(F)c1cscc1CNc1cccc[nH+]1 ZINC000352835255 227311352 /nfs/dbraw/zinc/31/13/52/227311352.db2.gz OGXNZXLKSVTYFT-UHFFFAOYSA-N 1 2 258.268 3.774 20 0 CHADLO CS[C@H]1CCC[C@H](Nc2nc(C)[nH+]c3c2CCCC3)C1 ZINC000302048525 227774013 /nfs/dbraw/zinc/77/40/13/227774013.db2.gz MHOVRXWLYGJILN-STQMWFEESA-N 1 2 291.464 3.750 20 0 CHADLO Cc1cc(N[C@H](C)CCC2CC2)nc(-c2cccnc2)[nH+]1 ZINC000302214603 227824419 /nfs/dbraw/zinc/82/44/19/227824419.db2.gz GRDYRWYQQSBFDP-GFCCVEGCSA-N 1 2 282.391 3.838 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2cc3cccc(F)c3o2)o1 ZINC000355751511 227981833 /nfs/dbraw/zinc/98/18/33/227981833.db2.gz XGYAFNIYFBFTEL-IUCAKERBSA-N 1 2 289.310 3.675 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1cc(F)cc(C(F)(F)F)c1 ZINC000353468781 228106220 /nfs/dbraw/zinc/10/62/20/228106220.db2.gz CITOXBWWLCBPNY-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1cc(F)cc(C(F)(F)F)c1 ZINC000353468781 228106223 /nfs/dbraw/zinc/10/62/23/228106223.db2.gz CITOXBWWLCBPNY-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO Cc1ccc(Cc2noc(/C=C3\CC[C@H](C)C3)n2)c[nH+]1 ZINC000356104504 228122304 /nfs/dbraw/zinc/12/23/04/228122304.db2.gz AQQYDCIDTWHCCR-GJSJWPQCSA-N 1 2 269.348 3.567 20 0 CHADLO C[C@H](c1ccccc1F)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000112964901 228038191 /nfs/dbraw/zinc/03/81/91/228038191.db2.gz DDZDHOZIEBLUHN-LLVKDONJSA-N 1 2 271.339 3.691 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2C/C=C\c2ccccc2)n1 ZINC000353548389 228118874 /nfs/dbraw/zinc/11/88/74/228118874.db2.gz GMHLMMOVYZRJIT-BJIFSAINSA-N 1 2 283.375 3.618 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2C/C=C\c2ccccc2)n1 ZINC000353548389 228118876 /nfs/dbraw/zinc/11/88/76/228118876.db2.gz GMHLMMOVYZRJIT-BJIFSAINSA-N 1 2 283.375 3.618 20 0 CHADLO Cn1cc[nH+]c1CSCc1ccc(C(F)(F)F)cc1 ZINC000355931237 228055458 /nfs/dbraw/zinc/05/54/58/228055458.db2.gz LIVRPRCOQUDZTJ-UHFFFAOYSA-N 1 2 286.322 3.872 20 0 CHADLO Cc1cc(NC(=O)C2=CCCC2)c[nH+]c1N1CCCC[C@H]1C ZINC000356028969 228093214 /nfs/dbraw/zinc/09/32/14/228093214.db2.gz MXHGWXQUXDLYOJ-CQSZACIVSA-N 1 2 299.418 3.818 20 0 CHADLO Cc1ccc(Cc2noc(C(C)(C)c3ccccc3)n2)c[nH+]1 ZINC000356122851 228130776 /nfs/dbraw/zinc/13/07/76/228130776.db2.gz HDKWUXKLLIUWMY-UHFFFAOYSA-N 1 2 293.370 3.690 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CC(C)(C)CCc2ccccc21 ZINC000353635886 228137089 /nfs/dbraw/zinc/13/70/89/228137089.db2.gz NQNVNRBHSZTSEN-QGZVFWFLSA-N 1 2 283.419 3.912 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccccc1)c1cncs1 ZINC000353651961 228139093 /nfs/dbraw/zinc/13/90/93/228139093.db2.gz VUYIRWTWHPUCBT-JTQLQIEISA-N 1 2 268.332 3.586 20 0 CHADLO Cc1ccnc([C@H](C)Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000353666114 228141520 /nfs/dbraw/zinc/14/15/20/228141520.db2.gz NENGRTUBHIBJSR-AWEZNQCLSA-N 1 2 278.359 3.749 20 0 CHADLO C[C@H]1CCC[C@@H](C(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000332209958 228151779 /nfs/dbraw/zinc/15/17/79/228151779.db2.gz XVDZMEGHAAFHFI-XJKSGUPXSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1Cc1nc2ccccc2n1C ZINC000353870489 228160976 /nfs/dbraw/zinc/16/09/76/228160976.db2.gz RQOAXNRLFMOYMH-CYBMUJFWSA-N 1 2 277.371 3.650 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1Cc1nc2ccccc2n1C ZINC000353870489 228160978 /nfs/dbraw/zinc/16/09/78/228160978.db2.gz RQOAXNRLFMOYMH-CYBMUJFWSA-N 1 2 277.371 3.650 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@H](C)C[C@@H](C)[C@@H]1C ZINC000351929762 228166662 /nfs/dbraw/zinc/16/66/62/228166662.db2.gz BFPLNOKECJPRRR-QKCSRTOESA-N 1 2 275.396 3.597 20 0 CHADLO C[C@H]1CC=CC[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000351950831 228168015 /nfs/dbraw/zinc/16/80/15/228168015.db2.gz HEOBNRDDTREYAU-SWLSCSKDSA-N 1 2 281.359 3.618 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H]1c2ccccc2C[C@H]1C ZINC000351944381 228168047 /nfs/dbraw/zinc/16/80/47/228168047.db2.gz SNSKCHHZEMULPX-SJKOYZFVSA-N 1 2 295.386 3.753 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@H]1CSc2ccccc21 ZINC000336085093 228186182 /nfs/dbraw/zinc/18/61/82/228186182.db2.gz TZTFXIKUYIZJRH-ZDUSSCGKSA-N 1 2 284.384 3.526 20 0 CHADLO C[C@H]1CC[C@H](C)[NH+]1Cc1ncc(C(F)(F)F)s1 ZINC000336155988 228199144 /nfs/dbraw/zinc/19/91/44/228199144.db2.gz XUHCBMJVUSXQJD-YUMQZZPRSA-N 1 2 264.316 3.535 20 0 CHADLO NC(=O)[C@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000336211388 228214555 /nfs/dbraw/zinc/21/45/55/228214555.db2.gz SAIJXWGSUSBFBC-ZETCQYMHSA-N 1 2 293.219 3.802 20 0 CHADLO NC(=O)[C@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000336211388 228214556 /nfs/dbraw/zinc/21/45/56/228214556.db2.gz SAIJXWGSUSBFBC-ZETCQYMHSA-N 1 2 293.219 3.802 20 0 CHADLO Fc1cncc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)c1 ZINC000336212053 228214584 /nfs/dbraw/zinc/21/45/84/228214584.db2.gz XKXNMTAPNJRZLC-OAHLLOKOSA-N 1 2 272.323 3.541 20 0 CHADLO Fc1cncc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)c1 ZINC000336212053 228214585 /nfs/dbraw/zinc/21/45/85/228214585.db2.gz XKXNMTAPNJRZLC-OAHLLOKOSA-N 1 2 272.323 3.541 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2c(Cl)cccc2Cl)c2nccn21 ZINC000336227815 228217890 /nfs/dbraw/zinc/21/78/90/228217890.db2.gz BICITEYWOLQVMA-ZANVPECISA-N 1 2 296.201 3.986 20 0 CHADLO C[C@H]([NH2+]Cc1csnn1)c1cccc2ccccc21 ZINC000036902065 260050026 /nfs/dbraw/zinc/05/00/26/260050026.db2.gz BBEMFYXUZUSBDX-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO c1cn(-c2cccc(NC[C@@H]3CC=CCC3)c2)c[nH+]1 ZINC000036939769 260054487 /nfs/dbraw/zinc/05/44/87/260054487.db2.gz KUBQVQVVVDMFJE-CQSZACIVSA-N 1 2 253.349 3.641 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1cc(F)c(F)cc1F ZINC000179709534 260064566 /nfs/dbraw/zinc/06/45/66/260064566.db2.gz ZPWRYWXMMSKTAU-INIZCTEOSA-N 1 2 294.320 3.780 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1cc(F)c(F)cc1F ZINC000179709534 260064567 /nfs/dbraw/zinc/06/45/67/260064567.db2.gz ZPWRYWXMMSKTAU-INIZCTEOSA-N 1 2 294.320 3.780 20 0 CHADLO Cc1ccc(NC2CCN(c3cccc[nH+]3)CC2)cc1C ZINC000037202230 260074034 /nfs/dbraw/zinc/07/40/34/260074034.db2.gz BOSAVBSDDGLEOA-UHFFFAOYSA-N 1 2 281.403 3.779 20 0 CHADLO Fc1ccc(C[NH2+]Cc2ccn(C3CCCC3)n2)cc1F ZINC000069993518 260151599 /nfs/dbraw/zinc/15/15/99/260151599.db2.gz JQBUCYPCAMLNDH-UHFFFAOYSA-N 1 2 291.345 3.566 20 0 CHADLO Cc1cccnc1C[NH2+]Cc1nc(-c2ccccc2)cs1 ZINC000071339953 260161700 /nfs/dbraw/zinc/16/17/00/260161700.db2.gz UOOCBGXKYNCCHQ-UHFFFAOYSA-N 1 2 295.411 3.803 20 0 CHADLO C[C@H]([NH2+]Cc1ccc2ccccc2n1)c1nccs1 ZINC000078004623 260167764 /nfs/dbraw/zinc/16/77/64/260167764.db2.gz DXRAASDTFPEXLO-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2nc3ccccc3s2)n1 ZINC000080311692 260178667 /nfs/dbraw/zinc/17/86/67/260178667.db2.gz QOIMATASUDFAAS-SNVBAGLBSA-N 1 2 289.429 3.912 20 0 CHADLO Cc1ccc2cccc(NCc3c[nH+]cn3C(C)C)c2n1 ZINC000090209187 260239281 /nfs/dbraw/zinc/23/92/81/260239281.db2.gz YTEQJPJBXWULBD-UHFFFAOYSA-N 1 2 280.375 3.933 20 0 CHADLO COc1cccc(CNc2cc(C(F)(F)F)cc[nH+]2)c1 ZINC000090802962 260262513 /nfs/dbraw/zinc/26/25/13/260262513.db2.gz BYZPZDBHVQOVLQ-UHFFFAOYSA-N 1 2 282.265 3.721 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1C[C@@H]1CCC2(CCCC2)O1 ZINC000517967415 260330641 /nfs/dbraw/zinc/33/06/41/260330641.db2.gz NHYKLRFGPDDZJH-QWHCGFSZSA-N 1 2 273.367 3.598 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1C[C@@H]1CCC2(CCCC2)O1 ZINC000517967415 260330644 /nfs/dbraw/zinc/33/06/44/260330644.db2.gz NHYKLRFGPDDZJH-QWHCGFSZSA-N 1 2 273.367 3.598 20 0 CHADLO Fc1ccccc1[C@@H]([NH2+]Cc1ccon1)C1CCCC1 ZINC000091366934 260474891 /nfs/dbraw/zinc/47/48/91/260474891.db2.gz NXQYVXAZRJLSOV-INIZCTEOSA-N 1 2 274.339 3.835 20 0 CHADLO CCc1nc(C[NH2+]C2(c3cccc(F)c3)CC2)cs1 ZINC000152868327 261070623 /nfs/dbraw/zinc/07/06/23/261070623.db2.gz UVZTXEBUAVDJIS-UHFFFAOYSA-N 1 2 276.380 3.624 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(F)cc2F)sc1C ZINC000153862222 261083861 /nfs/dbraw/zinc/08/38/61/261083861.db2.gz FIXPKBRSZXWWFD-SECBINFHSA-N 1 2 282.359 3.889 20 0 CHADLO CC(C)[N@H+](Cc1csnn1)Cc1cccc(Cl)c1 ZINC000154471623 261092343 /nfs/dbraw/zinc/09/23/43/261092343.db2.gz DOJCLPPXOKBLLK-UHFFFAOYSA-N 1 2 281.812 3.602 20 0 CHADLO CC(C)[N@@H+](Cc1csnn1)Cc1cccc(Cl)c1 ZINC000154471623 261092345 /nfs/dbraw/zinc/09/23/45/261092345.db2.gz DOJCLPPXOKBLLK-UHFFFAOYSA-N 1 2 281.812 3.602 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1ccccc1F)c1ccc(C)o1 ZINC000156360320 261121500 /nfs/dbraw/zinc/12/15/00/261121500.db2.gz IZFJNFKEOZZIAI-DOMZBBRYSA-N 1 2 277.339 3.765 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccc(C)o1 ZINC000156471189 261124015 /nfs/dbraw/zinc/12/40/15/261124015.db2.gz KRUMJZGIJAAINU-BXUZGUMPSA-N 1 2 295.329 3.905 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1ccc(C)o1)c1ccc(C)o1 ZINC000156516690 261124422 /nfs/dbraw/zinc/12/44/22/261124422.db2.gz RYVYEXJWSKPMQY-OLZOCXBDSA-N 1 2 263.337 3.528 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000448359722 261127211 /nfs/dbraw/zinc/12/72/11/261127211.db2.gz OQTZUDDUFZZVBE-GFCCVEGCSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000448359722 261127212 /nfs/dbraw/zinc/12/72/12/261127212.db2.gz OQTZUDDUFZZVBE-GFCCVEGCSA-N 1 2 296.480 3.688 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](C)c2cn(-c3ccccc3)nn2)o1 ZINC000158126788 261159090 /nfs/dbraw/zinc/15/90/90/261159090.db2.gz YMYKHBJOXGDUFK-UONOGXRCSA-N 1 2 296.374 3.581 20 0 CHADLO Cn1c[nH+]cc1CNc1cccc(C2SCCS2)c1 ZINC000158318732 261163593 /nfs/dbraw/zinc/16/35/93/261163593.db2.gz CGBKCHQISOHFMB-UHFFFAOYSA-N 1 2 291.445 3.511 20 0 CHADLO CC(C)C1CC[NH+](Cc2noc(-c3ccsc3)n2)CC1 ZINC000173861226 261173128 /nfs/dbraw/zinc/17/31/28/261173128.db2.gz YWFVTRQWYBGMLN-UHFFFAOYSA-N 1 2 291.420 3.666 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2ccccn2)cs1 ZINC000159106950 261178163 /nfs/dbraw/zinc/17/81/63/261178163.db2.gz LAHCWLGBZIUQTI-MNOVXSKESA-N 1 2 261.394 3.512 20 0 CHADLO Cc1nc(N[C@@H](C)c2cccc(OC(F)(F)F)c2)cc[nH+]1 ZINC000175730262 261242810 /nfs/dbraw/zinc/24/28/10/261242810.db2.gz NATDGSNAUWIDJZ-VIFPVBQESA-N 1 2 297.280 3.857 20 0 CHADLO Cc1ccc(NC(=O)c2cc(-c3cccs3)on2)c(C)[nH+]1 ZINC000176190734 261268234 /nfs/dbraw/zinc/26/82/34/261268234.db2.gz BOIQEAWBPMMAIZ-UHFFFAOYSA-N 1 2 299.355 3.667 20 0 CHADLO Cc1ccc(NC(=O)NCc2ccc(Cl)s2)c(C)[nH+]1 ZINC000176724001 261308717 /nfs/dbraw/zinc/30/87/17/261308717.db2.gz UXAYQDWKUQVROV-UHFFFAOYSA-N 1 2 295.795 3.735 20 0 CHADLO Clc1ccc([C@H]([NH2+]Cc2ccn[nH]2)C2CCC2)cc1 ZINC000105493734 261310897 /nfs/dbraw/zinc/31/08/97/261310897.db2.gz CYCIGNCFVVAFLE-OAHLLOKOSA-N 1 2 275.783 3.694 20 0 CHADLO CCS[C@@H](C)c1noc(C[NH+]2CCC(C)(CC)CC2)n1 ZINC000361564614 261338482 /nfs/dbraw/zinc/33/84/82/261338482.db2.gz JXGLPOCQLCJMTI-LBPRGKRZSA-N 1 2 297.468 3.896 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1cccc(O)c1 ZINC000105461026 261359797 /nfs/dbraw/zinc/35/97/97/261359797.db2.gz GCNADDRPKBFKQZ-LLVKDONJSA-N 1 2 290.432 3.997 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccco2)C2CCCC2)o1 ZINC000173544088 261536338 /nfs/dbraw/zinc/53/63/38/261536338.db2.gz QGLTVBYEKWFLFJ-UHFFFAOYSA-N 1 2 260.337 3.521 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccco2)C2CCCC2)o1 ZINC000173544088 261536339 /nfs/dbraw/zinc/53/63/39/261536339.db2.gz QGLTVBYEKWFLFJ-UHFFFAOYSA-N 1 2 260.337 3.521 20 0 CHADLO Cc1nc(COc2ccc([NH2+][C@H]3C[C@H]4CC[C@@H]3C4)cc2)no1 ZINC000179626554 261803444 /nfs/dbraw/zinc/80/34/44/261803444.db2.gz ZOEGDDZYHBXYCQ-ZENOOKHLSA-N 1 2 299.374 3.558 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2nc3ccccc3o2)o1 ZINC000180937041 261879034 /nfs/dbraw/zinc/87/90/34/261879034.db2.gz UTRIINQODHXGNV-GFCCVEGCSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2nc3ccccc3o2)o1 ZINC000180937041 261879037 /nfs/dbraw/zinc/87/90/37/261879037.db2.gz UTRIINQODHXGNV-GFCCVEGCSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H]1C[C@H]1c1cccc(Cl)c1 ZINC000107293815 261883770 /nfs/dbraw/zinc/88/37/70/261883770.db2.gz RYNYQATYRQFVIM-KBPBESRZSA-N 1 2 286.762 3.786 20 0 CHADLO CCCc1nc(C[NH2+]C(C)(C)c2ncc(C)s2)cs1 ZINC000183935112 262000285 /nfs/dbraw/zinc/00/02/85/262000285.db2.gz NVXPPDUIXKZNFB-UHFFFAOYSA-N 1 2 295.477 3.885 20 0 CHADLO C[C@H](CC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1)C(C)(C)C ZINC000108791084 262060097 /nfs/dbraw/zinc/06/00/97/262060097.db2.gz REHHLKUTXWWERW-OLZOCXBDSA-N 1 2 277.412 3.536 20 0 CHADLO C[C@H](CC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1)C(C)(C)C ZINC000108791079 262060217 /nfs/dbraw/zinc/06/02/17/262060217.db2.gz REHHLKUTXWWERW-CHWSQXEVSA-N 1 2 277.412 3.536 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C1(Cc2cccc(F)c2)CCC1 ZINC000186272921 262087364 /nfs/dbraw/zinc/08/73/64/262087364.db2.gz VCWVRYBLSMELJS-UHFFFAOYSA-N 1 2 298.361 3.881 20 0 CHADLO CCC[C@@H]1CCCCN1C(=O)Nc1ccc(C)[nH+]c1C ZINC000186532749 262097208 /nfs/dbraw/zinc/09/72/08/262097208.db2.gz XKMNAEVZLVZMEH-CQSZACIVSA-N 1 2 275.396 3.885 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@@H](CCO)c2ccco2)cs1 ZINC000186522736 262097564 /nfs/dbraw/zinc/09/75/64/262097564.db2.gz IEZCZXFWRDSTSN-RYUDHWBXSA-N 1 2 294.420 3.634 20 0 CHADLO O[C@@H](C[NH+]1Cc2ccccc2C1)c1ccc(F)cc1Cl ZINC000186995703 262119808 /nfs/dbraw/zinc/11/98/08/262119808.db2.gz NSSDQKZBIHVAFQ-INIZCTEOSA-N 1 2 291.753 3.528 20 0 CHADLO CCOC(=O)[C@@H]([NH2+]CCCc1ccccc1)c1ccccc1 ZINC000318930542 262124378 /nfs/dbraw/zinc/12/43/78/262124378.db2.gz YQEIWXPVCXCLBW-SFHVURJKSA-N 1 2 297.398 3.513 20 0 CHADLO c1ncc(CNc2ccc([N@@H+]3C[C@H]4CC[C@@H]3C4)cc2)s1 ZINC000192215662 262199811 /nfs/dbraw/zinc/19/98/11/262199811.db2.gz RKSREVVWWZBRMQ-SWLSCSKDSA-N 1 2 285.416 3.744 20 0 CHADLO c1ncc(CNc2ccc([N@H+]3C[C@H]4CC[C@@H]3C4)cc2)s1 ZINC000192215662 262199812 /nfs/dbraw/zinc/19/98/12/262199812.db2.gz RKSREVVWWZBRMQ-SWLSCSKDSA-N 1 2 285.416 3.744 20 0 CHADLO COc1ccc(Cl)cc1[C@H](C)[NH2+][C@H](C)c1csnn1 ZINC000414304573 262848587 /nfs/dbraw/zinc/84/85/87/262848587.db2.gz OXHYYAXHHUJGAR-DTWKUNHWSA-N 1 2 297.811 3.612 20 0 CHADLO Fc1cc(F)cc(CNc2ccc3c(c2)CCC[NH2+]3)c1 ZINC000414847840 263063951 /nfs/dbraw/zinc/06/39/51/263063951.db2.gz JZGBWYJVLMTELV-UHFFFAOYSA-N 1 2 274.314 3.935 20 0 CHADLO COc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)c(OC)c1 ZINC000414848214 263064451 /nfs/dbraw/zinc/06/44/51/263064451.db2.gz KKWHBOYRYSRDAV-UHFFFAOYSA-N 1 2 298.386 3.674 20 0 CHADLO CCCCN(C(=O)C=Cc1c[nH]c[nH+]1)[C@@H](C)c1ccccc1 ZINC000283544666 263083240 /nfs/dbraw/zinc/08/32/40/263083240.db2.gz SNIVWEITXWSLES-NKSUMMKUSA-N 1 2 297.402 3.813 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](C)c2ccc(OC(C)C)cc2)no1 ZINC000289307143 263108897 /nfs/dbraw/zinc/10/88/97/263108897.db2.gz DNYRMNRNWDPNLB-VXGBXAGGSA-N 1 2 289.379 3.577 20 0 CHADLO C[C@H]1CC[C@@H](c2ccccc2)[N@H+](Cc2csnn2)C1 ZINC000289749962 263111742 /nfs/dbraw/zinc/11/17/42/263111742.db2.gz QMEMXFCTSFTHDF-WFASDCNBSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@H]1CC[C@@H](c2ccccc2)[N@@H+](Cc2csnn2)C1 ZINC000289749962 263111743 /nfs/dbraw/zinc/11/17/43/263111743.db2.gz QMEMXFCTSFTHDF-WFASDCNBSA-N 1 2 273.405 3.511 20 0 CHADLO CC(C)n1ncnc1C[N@H+](C(C)C)[C@H](C)c1ccccc1 ZINC000292300590 263130781 /nfs/dbraw/zinc/13/07/81/263130781.db2.gz UVDFQEJAWGOBHJ-OAHLLOKOSA-N 1 2 286.423 3.831 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](C(C)C)[C@H](C)c1ccccc1 ZINC000292300590 263130782 /nfs/dbraw/zinc/13/07/82/263130782.db2.gz UVDFQEJAWGOBHJ-OAHLLOKOSA-N 1 2 286.423 3.831 20 0 CHADLO CCCC[C@H](CC)C[NH2+][C@H](c1cccs1)c1nnc[nH]1 ZINC000293870443 263151110 /nfs/dbraw/zinc/15/11/10/263151110.db2.gz UWLDWXHGHWBHPF-GXTWGEPZSA-N 1 2 292.452 3.762 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cnc2ccsc2c1)C(F)F ZINC000453233067 263205564 /nfs/dbraw/zinc/20/55/64/263205564.db2.gz GZNMIDVKIQGVDC-WCBMZHEXSA-N 1 2 270.348 3.991 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCc2sccc2[C@@H]1C ZINC000299549094 263246252 /nfs/dbraw/zinc/24/62/52/263246252.db2.gz BSPJZUJNYDHHPM-LBPRGKRZSA-N 1 2 275.421 3.645 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCc2sccc2[C@@H]1C ZINC000299549094 263246253 /nfs/dbraw/zinc/24/62/53/263246253.db2.gz BSPJZUJNYDHHPM-LBPRGKRZSA-N 1 2 275.421 3.645 20 0 CHADLO COc1cccnc1C[NH2+][C@H](C)c1csc(Cl)c1 ZINC000453363176 263253137 /nfs/dbraw/zinc/25/31/37/263253137.db2.gz MHXQTSWHSAFUQX-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO CCc1ncc(C[NH2+][C@H](CC)c2cc(F)ccc2F)o1 ZINC000453367317 263253914 /nfs/dbraw/zinc/25/39/14/263253914.db2.gz HJAFEOULQTWJSI-CQSZACIVSA-N 1 2 280.318 3.756 20 0 CHADLO CCc1nc(Nc2cnn([C@H](C)CC)c2C2CC2)cc(C)[nH+]1 ZINC000342729060 263481327 /nfs/dbraw/zinc/48/13/27/263481327.db2.gz PLMQMYKCQQFRDH-GFCCVEGCSA-N 1 2 299.422 3.558 20 0 CHADLO Cc1c[nH+]c(CN(C)[C@@H](C)c2cc(C)ccc2C)n1C ZINC000342797282 263487478 /nfs/dbraw/zinc/48/74/78/263487478.db2.gz OKZZKYQWMFMHSQ-HNNXBMFYSA-N 1 2 271.408 3.538 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)[C@@H](C)[C@H]2C)c(C)[nH+]1 ZINC000417148218 263783840 /nfs/dbraw/zinc/78/38/40/263783840.db2.gz GPNLGRMKLVBXTO-ZKYQVNSYSA-N 1 2 275.396 3.515 20 0 CHADLO CC(C)n1c[nH+]cc1CN1C[C@H](C)Cc2ccccc21 ZINC000425350419 264024548 /nfs/dbraw/zinc/02/45/48/264024548.db2.gz LNLUFLLHIAEKJB-CQSZACIVSA-N 1 2 269.392 3.663 20 0 CHADLO Cc1[nH+]cccc1NC1CCC(C(=O)OC(C)(C)C)CC1 ZINC000330891860 264076856 /nfs/dbraw/zinc/07/68/56/264076856.db2.gz PVBNJSBMDVLYBW-UHFFFAOYSA-N 1 2 290.407 3.702 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CC[C@@H](C)c2ccccc21 ZINC000425362494 264134782 /nfs/dbraw/zinc/13/47/82/264134782.db2.gz VHKNFEHIQUWOOM-CQSZACIVSA-N 1 2 269.392 3.978 20 0 CHADLO CO[C@@H]1CCC[C@H](CC(=O)Nc2c(C)cc(C)[nH+]c2C)C1 ZINC000331154610 264141097 /nfs/dbraw/zinc/14/10/97/264141097.db2.gz IQGHGEAGXWJYHM-LSDHHAIUSA-N 1 2 290.407 3.541 20 0 CHADLO Fc1cc(Cl)ccc1C[NH+]1CC(CC(F)F)C1 ZINC000425366238 264212112 /nfs/dbraw/zinc/21/21/12/264212112.db2.gz PAHUWIOZMLOYJM-UHFFFAOYSA-N 1 2 263.690 3.566 20 0 CHADLO FC(F)CC1C[NH+](Cc2ccc(Br)s2)C1 ZINC000425367776 264213496 /nfs/dbraw/zinc/21/34/96/264213496.db2.gz UMWXRSOLFPHLDL-UHFFFAOYSA-N 1 2 296.180 3.598 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCCCc2ccccc21 ZINC000425389137 264221620 /nfs/dbraw/zinc/22/16/20/264221620.db2.gz XEWCTMIZSXVNQJ-UHFFFAOYSA-N 1 2 269.392 3.807 20 0 CHADLO COc1cc(N[C@H](c2[nH]cc[nH+]2)c2ccccc2)ccc1F ZINC000188585502 264223600 /nfs/dbraw/zinc/22/36/00/264223600.db2.gz GHCPLRBMGGORRP-INIZCTEOSA-N 1 2 297.333 3.759 20 0 CHADLO C[C@@H](N[C@@H]1C[N@H+](C)Cc2ccccc21)c1ccccc1F ZINC000366806013 264235900 /nfs/dbraw/zinc/23/59/00/264235900.db2.gz SAJOHXSDVWPZQL-FZKQIMNGSA-N 1 2 284.378 3.663 20 0 CHADLO C[C@@H](N[C@@H]1C[N@@H+](C)Cc2ccccc21)c1ccccc1F ZINC000366806013 264235901 /nfs/dbraw/zinc/23/59/01/264235901.db2.gz SAJOHXSDVWPZQL-FZKQIMNGSA-N 1 2 284.378 3.663 20 0 CHADLO COC(C)(C)CNc1cc(C)[nH+]c2c(F)cccc12 ZINC000127803047 264263968 /nfs/dbraw/zinc/26/39/68/264263968.db2.gz XZYDBBIEVRGXPA-UHFFFAOYSA-N 1 2 262.328 3.519 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@@H+]1Cc1nc(C(F)F)no1 ZINC000425406942 264284849 /nfs/dbraw/zinc/28/48/49/264284849.db2.gz NZRFVXWWULSMJZ-LBPRGKRZSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@H+]1Cc1nc(C(F)F)no1 ZINC000425406942 264284852 /nfs/dbraw/zinc/28/48/52/264284852.db2.gz NZRFVXWWULSMJZ-LBPRGKRZSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1oncc1C[NH2+][C@H](C)c1nc(C(C)(C)C)cs1 ZINC000189450709 264298091 /nfs/dbraw/zinc/29/80/91/264298091.db2.gz GIKNFNRCWWSTLD-SECBINFHSA-N 1 2 279.409 3.588 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@H+](Cc2nc(C(F)F)no2)C1 ZINC000425461642 264333926 /nfs/dbraw/zinc/33/39/26/264333926.db2.gz VDSVODYXJPEXPY-ZYHUDNBSSA-N 1 2 293.317 3.590 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@@H+](Cc2nc(C(F)F)no2)C1 ZINC000425461642 264333929 /nfs/dbraw/zinc/33/39/29/264333929.db2.gz VDSVODYXJPEXPY-ZYHUDNBSSA-N 1 2 293.317 3.590 20 0 CHADLO c1ccc(C2([NH2+]Cc3noc(C4CC4)n3)CCCCC2)cc1 ZINC000130558773 264356087 /nfs/dbraw/zinc/35/60/87/264356087.db2.gz GLMZYAWDEMJRKW-UHFFFAOYSA-N 1 2 297.402 3.896 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2csc(C(C)(C)C)n2)[C@H]1C ZINC000118412745 264356887 /nfs/dbraw/zinc/35/68/87/264356887.db2.gz AXYIZWSCAXQJPZ-WDEREUQCSA-N 1 2 284.494 3.766 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2csc(C(C)(C)C)n2)[C@H]1C ZINC000118412745 264356889 /nfs/dbraw/zinc/35/68/89/264356889.db2.gz AXYIZWSCAXQJPZ-WDEREUQCSA-N 1 2 284.494 3.766 20 0 CHADLO Cn1cc[nH+]c1[C@@H](Nc1nc2ccccc2s1)C1CC1 ZINC000343286809 264359260 /nfs/dbraw/zinc/35/92/60/264359260.db2.gz NTSPAAWUTBNEKE-ZDUSSCGKSA-N 1 2 284.388 3.593 20 0 CHADLO CC[N@H+](Cc1cscn1)Cc1c[nH]c2ccccc12 ZINC000190481079 264363641 /nfs/dbraw/zinc/36/36/41/264363641.db2.gz LUPYESAAMMUEBB-UHFFFAOYSA-N 1 2 271.389 3.647 20 0 CHADLO CC[N@@H+](Cc1cscn1)Cc1c[nH]c2ccccc12 ZINC000190481079 264363643 /nfs/dbraw/zinc/36/36/43/264363643.db2.gz LUPYESAAMMUEBB-UHFFFAOYSA-N 1 2 271.389 3.647 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCCSC1 ZINC000133213619 264420818 /nfs/dbraw/zinc/42/08/18/264420818.db2.gz NMAHZEIVBJSNMG-ZDUSSCGKSA-N 1 2 258.390 3.851 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1ncn(C)n1)c1ccc(Cl)cc1 ZINC000391872945 265019841 /nfs/dbraw/zinc/01/98/41/265019841.db2.gz JIBDMPAJVJXAAG-RISCZKNCSA-N 1 2 292.814 3.661 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccn(C(F)F)n2)[C@H](c2ccco2)C1 ZINC000347707790 265136122 /nfs/dbraw/zinc/13/61/22/265136122.db2.gz RLCPDWRAESRDPC-YPMHNXCESA-N 1 2 295.333 3.844 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccn(C(F)F)n2)[C@H](c2ccco2)C1 ZINC000347707790 265136124 /nfs/dbraw/zinc/13/61/24/265136124.db2.gz RLCPDWRAESRDPC-YPMHNXCESA-N 1 2 295.333 3.844 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccn(C(F)F)n2)[C@@H](c2ccco2)C1 ZINC000347707781 265136762 /nfs/dbraw/zinc/13/67/62/265136762.db2.gz RLCPDWRAESRDPC-DGCLKSJQSA-N 1 2 295.333 3.844 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccn(C(F)F)n2)[C@@H](c2ccco2)C1 ZINC000347707781 265136766 /nfs/dbraw/zinc/13/67/66/265136766.db2.gz RLCPDWRAESRDPC-DGCLKSJQSA-N 1 2 295.333 3.844 20 0 CHADLO Cc1cc(NCc2ccc(Oc3ccccc3)cc2)nc[nH+]1 ZINC000518896815 265201800 /nfs/dbraw/zinc/20/18/00/265201800.db2.gz KANRWTFLCAAQOX-UHFFFAOYSA-N 1 2 291.354 3.611 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@H+](C)Cc1ccc(Cl)cc1 ZINC000096593445 265376682 /nfs/dbraw/zinc/37/66/82/265376682.db2.gz FGTGHLIWYXTTKO-CQSZACIVSA-N 1 2 283.799 3.504 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000096593445 265376685 /nfs/dbraw/zinc/37/66/85/265376685.db2.gz FGTGHLIWYXTTKO-CQSZACIVSA-N 1 2 283.799 3.504 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2cccc(N3CCCC3)c2)o1 ZINC000356312865 266093926 /nfs/dbraw/zinc/09/39/26/266093926.db2.gz QAVCHKCHDJJGSB-GJZGRUSLSA-N 1 2 299.418 3.995 20 0 CHADLO CC[N@H+](Cc1nc([C@@H](C)c2ccc(C)cc2)no1)C1CC1 ZINC000356498564 266126571 /nfs/dbraw/zinc/12/65/71/266126571.db2.gz LDYHHHIYWCDEJH-ZDUSSCGKSA-N 1 2 285.391 3.514 20 0 CHADLO CC[N@@H+](Cc1nc([C@@H](C)c2ccc(C)cc2)no1)C1CC1 ZINC000356498564 266126573 /nfs/dbraw/zinc/12/65/73/266126573.db2.gz LDYHHHIYWCDEJH-ZDUSSCGKSA-N 1 2 285.391 3.514 20 0 CHADLO Cc1cnc([C@@H](C)[NH+]2CCC(C(=O)c3ccccc3)CC2)o1 ZINC000356958208 266218872 /nfs/dbraw/zinc/21/88/72/266218872.db2.gz UPCCTNDMJGMRGX-CQSZACIVSA-N 1 2 298.386 3.639 20 0 CHADLO CCOc1ccc(C[N@H+](C)[C@H](C)c2ncc(C)o2)cc1 ZINC000356986906 266225815 /nfs/dbraw/zinc/22/58/15/266225815.db2.gz YFFIFZBAISPYOU-CYBMUJFWSA-N 1 2 274.364 3.575 20 0 CHADLO CCOc1ccc(C[N@@H+](C)[C@H](C)c2ncc(C)o2)cc1 ZINC000356986906 266225816 /nfs/dbraw/zinc/22/58/16/266225816.db2.gz YFFIFZBAISPYOU-CYBMUJFWSA-N 1 2 274.364 3.575 20 0 CHADLO CC(C)C[C@H]1CCC[N@H+](Cc2ncn(-c3ccccc3)n2)C1 ZINC000357000214 266229183 /nfs/dbraw/zinc/22/91/83/266229183.db2.gz LLVJIKVFEZVMLO-MRXNPFEDSA-N 1 2 298.434 3.525 20 0 CHADLO CC(C)C[C@H]1CCC[N@@H+](Cc2ncn(-c3ccccc3)n2)C1 ZINC000357000214 266229186 /nfs/dbraw/zinc/22/91/86/266229186.db2.gz LLVJIKVFEZVMLO-MRXNPFEDSA-N 1 2 298.434 3.525 20 0 CHADLO CC(C)[C@@H]1C[C@H](Nc2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000357204021 266265241 /nfs/dbraw/zinc/26/52/41/266265241.db2.gz OOWMWPIOLFLNCB-ZBFHGGJFSA-N 1 2 288.366 3.989 20 0 CHADLO Fc1cc(-c2ccncc2)ccc1C[N@H+]1CCC=C(F)C1 ZINC000357213205 266266687 /nfs/dbraw/zinc/26/66/87/266266687.db2.gz MELRGNWHRXSMMS-UHFFFAOYSA-N 1 2 286.325 3.947 20 0 CHADLO Fc1cc(-c2ccncc2)ccc1C[N@@H+]1CCC=C(F)C1 ZINC000357213205 266266690 /nfs/dbraw/zinc/26/66/90/266266690.db2.gz MELRGNWHRXSMMS-UHFFFAOYSA-N 1 2 286.325 3.947 20 0 CHADLO COCc1cc(N(C)CCC2CC2)c2cc(F)ccc2[nH+]1 ZINC000357484308 266306929 /nfs/dbraw/zinc/30/69/29/266306929.db2.gz QVLTXTMNHREYEV-UHFFFAOYSA-N 1 2 288.366 3.757 20 0 CHADLO CC(C)c1nc(NC2(Cc3ccccc3)CC2)cc[nH+]1 ZINC000357558353 266325360 /nfs/dbraw/zinc/32/53/60/266325360.db2.gz KZXKINIJJHUGKM-UHFFFAOYSA-N 1 2 267.376 3.787 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2ccc(F)cc2)nc(C)[nH+]1 ZINC000357685557 266346245 /nfs/dbraw/zinc/34/62/45/266346245.db2.gz BQSKSFUKDZOOQL-INIZCTEOSA-N 1 2 285.366 3.828 20 0 CHADLO CC[N@H+](Cc1nc([C@@H](C)c2ccccc2C)no1)C1CC1 ZINC000357692164 266347563 /nfs/dbraw/zinc/34/75/63/266347563.db2.gz AAPCAHBRANRKJC-ZDUSSCGKSA-N 1 2 285.391 3.514 20 0 CHADLO CC[N@@H+](Cc1nc([C@@H](C)c2ccccc2C)no1)C1CC1 ZINC000357692164 266347566 /nfs/dbraw/zinc/34/75/66/266347566.db2.gz AAPCAHBRANRKJC-ZDUSSCGKSA-N 1 2 285.391 3.514 20 0 CHADLO Cc1nc(C)c(CSc2[nH+]cc3ccccn32)s1 ZINC000358014013 266397514 /nfs/dbraw/zinc/39/75/14/266397514.db2.gz FNNCPVCMZHPKIK-UHFFFAOYSA-N 1 2 275.402 3.700 20 0 CHADLO O=C(CCCC1CCCC1)Nc1cccc2[nH+]ccn21 ZINC000359329908 266565057 /nfs/dbraw/zinc/56/50/57/266565057.db2.gz MQZOFWYRVPHANG-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO CCOc1ccc(CNc2ccc[nH+]c2C)cc1F ZINC000362629263 267026509 /nfs/dbraw/zinc/02/65/09/267026509.db2.gz ZIZFSTHFBJVPAC-UHFFFAOYSA-N 1 2 260.312 3.540 20 0 CHADLO COc1cc(COc2cc(C)[nH+]c3ccccc32)ccn1 ZINC000362752815 267042296 /nfs/dbraw/zinc/04/22/96/267042296.db2.gz OTXKBMBITTVSSW-UHFFFAOYSA-N 1 2 280.327 3.526 20 0 CHADLO Cc1cccc2[nH+]cc(CNc3ccc4scnc4c3)n21 ZINC000362995553 267071904 /nfs/dbraw/zinc/07/19/04/267071904.db2.gz DDNNJZORTURYEN-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO COc1ccc([C@@H]([NH2+][C@@H]2CCCc3[nH]ncc32)C(C)C)cc1 ZINC000367615246 267104569 /nfs/dbraw/zinc/10/45/69/267104569.db2.gz KVFCYRZTRNSLHA-AEFFLSMTSA-N 1 2 299.418 3.783 20 0 CHADLO Clc1cccc2c1CC[C@@H]2[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367742818 267114519 /nfs/dbraw/zinc/11/45/19/267114519.db2.gz WMODIVPQIBBNOZ-CABCVRRESA-N 1 2 287.794 3.718 20 0 CHADLO Cc1cc(NC(=O)C[C@H](C)c2cccc(F)c2)cc[nH+]1 ZINC000116199408 267127637 /nfs/dbraw/zinc/12/76/37/267127637.db2.gz DOHRSBHGPJWBCS-NSHDSACASA-N 1 2 272.323 3.661 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2cc(F)cc(F)c21)c1ccsc1 ZINC000368324076 267162383 /nfs/dbraw/zinc/16/23/83/267162383.db2.gz MKPKANOQYSFBAD-UFBFGSQYSA-N 1 2 281.327 3.811 20 0 CHADLO Fc1ccc(CN2CCC[C@H]2c2[nH]cc[nH+]2)cc1Cl ZINC000368628141 267192302 /nfs/dbraw/zinc/19/23/02/267192302.db2.gz ZNWBCWSHKHTQHE-ZDUSSCGKSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(Cl)cc1C ZINC000119158587 267304945 /nfs/dbraw/zinc/30/49/45/267304945.db2.gz PORKCRXCQZMILF-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)NC(=O)Nc1cc[nH+]c(C)c1 ZINC000119160299 267305446 /nfs/dbraw/zinc/30/54/46/267305446.db2.gz BSGBFVKBGPKNDS-CYBMUJFWSA-N 1 2 299.374 3.590 20 0 CHADLO Cc1oncc1C[N@@H+]1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000370453732 267319709 /nfs/dbraw/zinc/31/97/09/267319709.db2.gz VUMFJCGJQWOBJI-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1oncc1C[N@H+]1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000370453732 267319711 /nfs/dbraw/zinc/31/97/11/267319711.db2.gz VUMFJCGJQWOBJI-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO CCCCCC[C@H](C)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000371171041 267359590 /nfs/dbraw/zinc/35/95/90/267359590.db2.gz SHIQFGWQHGNBJC-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO CCCCCC[C@H](C)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000371171041 267359592 /nfs/dbraw/zinc/35/95/92/267359592.db2.gz SHIQFGWQHGNBJC-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO C[C@@H]([NH2+]Cc1ncoc1-c1ccccc1)c1cncc(F)c1 ZINC000371279405 267380708 /nfs/dbraw/zinc/38/07/08/267380708.db2.gz IEZXJJPAJNHHMY-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cnc3ccccc3c2)no1 ZINC000371397050 267399499 /nfs/dbraw/zinc/39/94/99/267399499.db2.gz XFELBHVASDEANQ-SFHVURJKSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cnc3ccccc3c2)no1 ZINC000371397050 267399502 /nfs/dbraw/zinc/39/95/02/267399502.db2.gz XFELBHVASDEANQ-SFHVURJKSA-N 1 2 293.370 3.868 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1c(F)cccc1F ZINC000120489782 267462794 /nfs/dbraw/zinc/46/27/94/267462794.db2.gz YZECPCMSBHFSHK-UHFFFAOYSA-N 1 2 253.317 3.658 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1c(F)cccc1F ZINC000120489782 267462796 /nfs/dbraw/zinc/46/27/96/267462796.db2.gz YZECPCMSBHFSHK-UHFFFAOYSA-N 1 2 253.317 3.658 20 0 CHADLO c1csc([C@H]2CCC[N@H+]2Cc2nc3ccccc3o2)n1 ZINC000377199056 268055715 /nfs/dbraw/zinc/05/57/15/268055715.db2.gz WHGHYHKYPZNCCL-GFCCVEGCSA-N 1 2 285.372 3.621 20 0 CHADLO c1csc([C@H]2CCC[N@@H+]2Cc2nc3ccccc3o2)n1 ZINC000377199056 268055717 /nfs/dbraw/zinc/05/57/17/268055717.db2.gz WHGHYHKYPZNCCL-GFCCVEGCSA-N 1 2 285.372 3.621 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1cccc(F)c1F ZINC000102345350 327664502 /nfs/dbraw/zinc/66/45/02/327664502.db2.gz OSVMSRYCYPKMRM-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@@H](C)C(C)(F)F)cc1 ZINC000389469095 328849714 /nfs/dbraw/zinc/84/97/14/328849714.db2.gz OAXQNYGSKNWVHV-MNOVXSKESA-N 1 2 257.324 3.780 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@H](C)C(C)(F)F)cc1 ZINC000389469104 328849781 /nfs/dbraw/zinc/84/97/81/328849781.db2.gz OAXQNYGSKNWVHV-WDEREUQCSA-N 1 2 257.324 3.780 20 0 CHADLO COC[C@H](C)CNc1cccc([NH+]2CCCCCC2)c1 ZINC000187598164 327717908 /nfs/dbraw/zinc/71/79/08/327717908.db2.gz JWTXMDAHMYZPGI-OAHLLOKOSA-N 1 2 276.424 3.761 20 0 CHADLO CC(C)c1noc([C@H](C)[NH2+][C@@H](c2ccccc2)C2CC2)n1 ZINC000179102270 327799461 /nfs/dbraw/zinc/79/94/61/327799461.db2.gz WGYDMVYXSABSRQ-WFASDCNBSA-N 1 2 285.391 3.995 20 0 CHADLO Cc1ccc(CNc2cc(F)c(F)c(F)c2)c(C)[nH+]1 ZINC000184469016 327828630 /nfs/dbraw/zinc/82/86/30/327828630.db2.gz BCQJFOHOPGUOMT-UHFFFAOYSA-N 1 2 266.266 3.728 20 0 CHADLO C[C@@H]1C[C@@H](Nc2cc[nH+]c3cc(F)c(Cl)cc23)CO1 ZINC000563053514 327850319 /nfs/dbraw/zinc/85/03/19/327850319.db2.gz XNSNJWJXJPLKCV-RKDXNWHRSA-N 1 2 280.730 3.617 20 0 CHADLO CC1=C(C)C[C@@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000563164480 327944328 /nfs/dbraw/zinc/94/43/28/327944328.db2.gz HJMHUXZGNFUNSN-HNNXBMFYSA-N 1 2 295.386 3.947 20 0 CHADLO CC[C@H](Cc1ccccc1)C(=O)Nc1ccc(C)[nH+]c1C ZINC000531511748 328014059 /nfs/dbraw/zinc/01/40/59/328014059.db2.gz LEORSZWLGTUDQR-MRXNPFEDSA-N 1 2 282.387 3.906 20 0 CHADLO C[C@@H]1CCN(c2cc[nH+]c(C3CC3)n2)[C@@H]2CCCC[C@@H]12 ZINC000531531453 328015075 /nfs/dbraw/zinc/01/50/75/328015075.db2.gz YBYURZZARCDAPZ-VHDGCEQUSA-N 1 2 271.408 3.759 20 0 CHADLO C[C@@H]1CCN(c2cc[nH+]c(C3CC3)n2)[C@H]2CCCC[C@@H]12 ZINC000531531452 328015106 /nfs/dbraw/zinc/01/51/06/328015106.db2.gz YBYURZZARCDAPZ-SNPRPXQTSA-N 1 2 271.408 3.759 20 0 CHADLO c1[nH+]c2ccccn2c1CSc1nncc2ccccc21 ZINC000531585799 328016672 /nfs/dbraw/zinc/01/66/72/328016672.db2.gz GEEPMZXYOAOHCF-UHFFFAOYSA-N 1 2 292.367 3.570 20 0 CHADLO Cc1cc(N[C@H](C)c2c(F)cccc2F)nc(C(C)C)[nH+]1 ZINC000531604924 328020643 /nfs/dbraw/zinc/02/06/43/328020643.db2.gz ZNLHQIOQVZWLTG-LLVKDONJSA-N 1 2 291.345 3.782 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nccn1C)c1ccccc1)c1ccsc1 ZINC000531687824 328020980 /nfs/dbraw/zinc/02/09/80/328020980.db2.gz SOBKPXMLHZPWPC-CJNGLKHVSA-N 1 2 297.427 3.922 20 0 CHADLO C[C@H]([NH2+][C@H](c1nccn1C)c1ccccc1)c1ccsc1 ZINC000531687823 328021010 /nfs/dbraw/zinc/02/10/10/328021010.db2.gz SOBKPXMLHZPWPC-BBRMVZONSA-N 1 2 297.427 3.922 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N(C)CCC1CCOCC1 ZINC000531765182 328031568 /nfs/dbraw/zinc/03/15/68/328031568.db2.gz HLPVBRYHFQAUIJ-UHFFFAOYSA-N 1 2 284.403 3.796 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1Cc1nc(C(C)(C)C)cs1 ZINC000531779616 328033385 /nfs/dbraw/zinc/03/33/85/328033385.db2.gz JDQKERPCDLSTHX-CYBMUJFWSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1Cc1nc(C(C)(C)C)cs1 ZINC000531779616 328033386 /nfs/dbraw/zinc/03/33/86/328033386.db2.gz JDQKERPCDLSTHX-CYBMUJFWSA-N 1 2 296.480 3.688 20 0 CHADLO Cc1ccc(NC(=O)NCc2ccc([C@@H]3C[C@H]3C)o2)c(C)[nH+]1 ZINC000531776851 328034132 /nfs/dbraw/zinc/03/41/32/328034132.db2.gz WVWQSIJOYCFSLA-QMTHXVAHSA-N 1 2 299.374 3.737 20 0 CHADLO Oc1ccc(C2CCN(c3[nH]c4ccccc4[nH+]3)CC2)cc1 ZINC000534244822 328041591 /nfs/dbraw/zinc/04/15/91/328041591.db2.gz PYHXOJCNCMGBQR-UHFFFAOYSA-N 1 2 293.370 3.653 20 0 CHADLO CCCC1(C(=O)Nc2ccc3c(c2)[nH+]c(C)n3C)CCC1 ZINC000531836523 328042382 /nfs/dbraw/zinc/04/23/82/328042382.db2.gz GEHRDKRAAWGXFN-UHFFFAOYSA-N 1 2 285.391 3.791 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CC[C@H]3CCCC[C@H]3C2)c(C)c[nH+]1 ZINC000534299307 328044046 /nfs/dbraw/zinc/04/40/46/328044046.db2.gz UXESTZNDKOBWSE-OWCLPIDISA-N 1 2 286.419 3.665 20 0 CHADLO CCCN(Cc1ccc(C)cc1)C(=O)Nc1cc[nH+]c(C)c1 ZINC000534325866 328045589 /nfs/dbraw/zinc/04/55/89/328045589.db2.gz WROWDTGSMONHJJ-UHFFFAOYSA-N 1 2 297.402 3.564 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccccc1)c1ccncc1)c1cscn1 ZINC000534329604 328046411 /nfs/dbraw/zinc/04/64/11/328046411.db2.gz OVJGCAXONVYJRA-DYVFJYSZSA-N 1 2 295.411 3.978 20 0 CHADLO Cc1ccc(NC(=O)N2CCC3(CCCC3)CC2)c(C)[nH+]1 ZINC000152168720 328076333 /nfs/dbraw/zinc/07/63/33/328076333.db2.gz WIMZARCERVCRIN-UHFFFAOYSA-N 1 2 287.407 3.887 20 0 CHADLO CCC[N@H+](CC(F)F)[C@H](CCOC)c1ccccc1 ZINC000411232280 328080384 /nfs/dbraw/zinc/08/03/84/328080384.db2.gz YJQWIGMGCNUODO-CQSZACIVSA-N 1 2 271.351 3.741 20 0 CHADLO CCC[N@@H+](CC(F)F)[C@H](CCOC)c1ccccc1 ZINC000411232280 328080386 /nfs/dbraw/zinc/08/03/86/328080386.db2.gz YJQWIGMGCNUODO-CQSZACIVSA-N 1 2 271.351 3.741 20 0 CHADLO CCCc1nc(C[NH+]2CCC(C)(CC(C)C)CC2)no1 ZINC000571200802 328092063 /nfs/dbraw/zinc/09/20/63/328092063.db2.gz BVSOXTHZYVNURK-UHFFFAOYSA-N 1 2 279.428 3.670 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+][C@@H]2CC23CC3)C(F)F)c1 ZINC000571298320 328100456 /nfs/dbraw/zinc/10/04/56/328100456.db2.gz VJJKOLOQRUERPG-KGLIPLIRSA-N 1 2 281.346 3.922 20 0 CHADLO Cc1cc(N[C@H](C)c2ccn(C)n2)c2cccc(F)c2[nH+]1 ZINC000413458649 328146249 /nfs/dbraw/zinc/14/62/49/328146249.db2.gz MHEPJLRASUTZRV-LLVKDONJSA-N 1 2 284.338 3.589 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nccs1)c1cnn(C2CCCC2)c1 ZINC000414220934 328153482 /nfs/dbraw/zinc/15/34/82/328153482.db2.gz JZQFZNVWUBKPMA-RYUDHWBXSA-N 1 2 290.436 3.867 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1cnn(C2CCCC2)c1 ZINC000414220932 328153557 /nfs/dbraw/zinc/15/35/57/328153557.db2.gz JZQFZNVWUBKPMA-NEPJUHHUSA-N 1 2 290.436 3.867 20 0 CHADLO CC(C)(C)CCCC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000264011520 328156857 /nfs/dbraw/zinc/15/68/57/328156857.db2.gz BCORISUBKRSIBL-ZDUSSCGKSA-N 1 2 277.412 3.680 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccon2)ccc1C(F)(F)F ZINC000414428735 328168758 /nfs/dbraw/zinc/16/87/58/328168758.db2.gz GZZVXRQRYRWCOE-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO COc1cc2ccccc2cc1C[NH2+][C@@H](C)c1csnn1 ZINC000414549864 328193630 /nfs/dbraw/zinc/19/36/30/328193630.db2.gz MMCSIVFNHVHNNJ-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO Cc1ccc(-c2ccc(C[NH2+][C@H](C)c3csnn3)o2)cc1 ZINC000414549883 328193655 /nfs/dbraw/zinc/19/36/55/328193655.db2.gz MPVWTFQSDSYKKI-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CC(C)n1cc[nH+]c1CN1Cc2cccc(Cl)c2C1 ZINC000287330184 328250926 /nfs/dbraw/zinc/25/09/26/328250926.db2.gz KMTFKUCJMBANJN-UHFFFAOYSA-N 1 2 275.783 3.633 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@H](C)c1nccs1 ZINC000287500381 328251452 /nfs/dbraw/zinc/25/14/52/328251452.db2.gz NRPSVZIGKMRHNW-SECBINFHSA-N 1 2 282.409 3.855 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CCc2c1cccc2F ZINC000287494851 328251528 /nfs/dbraw/zinc/25/15/28/328251528.db2.gz LBKSVYOIJBFNRR-OAHLLOKOSA-N 1 2 299.349 3.647 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1ccc(C)c2ncccc12 ZINC000296623021 328291367 /nfs/dbraw/zinc/29/13/67/328291367.db2.gz ZIZAWQXDCYHZQU-ZDUSSCGKSA-N 1 2 280.375 3.933 20 0 CHADLO Fc1cc(Cl)ccc1NCc1cn2ccccc2[nH+]1 ZINC000048694079 328321102 /nfs/dbraw/zinc/32/11/02/328321102.db2.gz QJDLHIBVAIPRIM-UHFFFAOYSA-N 1 2 275.714 3.739 20 0 CHADLO Cc1nc(N2CCCC[C@@H]2CCc2ccccc2)cc[nH+]1 ZINC000534826658 328364470 /nfs/dbraw/zinc/36/44/70/328364470.db2.gz SCXPDQORNVFSCJ-QGZVFWFLSA-N 1 2 281.403 3.777 20 0 CHADLO CC(C)c1cc(N(C)CCOCC2CC2)nc(C(C)C)[nH+]1 ZINC000534881292 328366638 /nfs/dbraw/zinc/36/66/38/328366638.db2.gz XJSUADGKQDRQPU-UHFFFAOYSA-N 1 2 291.439 3.586 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccc(C(C)(C)C)cc1)C1CC1 ZINC000049573422 328372091 /nfs/dbraw/zinc/37/20/91/328372091.db2.gz AWYJLOROMGXNLY-UHFFFAOYSA-N 1 2 289.419 3.512 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccc(C(C)(C)C)cc1)C1CC1 ZINC000049573422 328372092 /nfs/dbraw/zinc/37/20/92/328372092.db2.gz AWYJLOROMGXNLY-UHFFFAOYSA-N 1 2 289.419 3.512 20 0 CHADLO c1cn(-c2ccccc2OCc2csc(C3CC3)n2)c[nH+]1 ZINC000535359982 328442944 /nfs/dbraw/zinc/44/29/44/328442944.db2.gz JZIMBEFIQBFRNT-UHFFFAOYSA-N 1 2 297.383 3.785 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cncc(Cl)c2C)c(C)[nH+]1 ZINC000334555270 328554133 /nfs/dbraw/zinc/55/41/33/328554133.db2.gz UKAXTCIZRLODOK-UHFFFAOYSA-N 1 2 289.766 3.616 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)s1 ZINC000398250844 326876465 /nfs/dbraw/zinc/87/64/65/326876465.db2.gz WGRITYVRAUXPHO-DTWKUNHWSA-N 1 2 267.423 3.574 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398308469 326878307 /nfs/dbraw/zinc/87/83/07/326878307.db2.gz QPIDVUMPMZAZOO-VXGBXAGGSA-N 1 2 291.420 3.737 20 0 CHADLO CCCCOc1ccc(C[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398302681 326878330 /nfs/dbraw/zinc/87/83/30/326878330.db2.gz NROCXFOXULHPGH-LBPRGKRZSA-N 1 2 291.420 3.568 20 0 CHADLO CC(C)OC1CC[NH+](Cc2csc(C(C)(C)C)n2)CC1 ZINC000530686315 326886059 /nfs/dbraw/zinc/88/60/59/326886059.db2.gz XZLKVGPJZKXVBO-UHFFFAOYSA-N 1 2 296.480 3.830 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1cc(F)c(F)c(F)c1 ZINC000557262527 326999220 /nfs/dbraw/zinc/99/92/20/326999220.db2.gz MVDUQAMGPTWRTF-SFYZADRCSA-N 1 2 286.322 3.972 20 0 CHADLO Cc1ccc(/C=C\C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)o1 ZINC000255626145 326999904 /nfs/dbraw/zinc/99/99/04/326999904.db2.gz KBRQZOFFGJRPSZ-HJWRWDBZSA-N 1 2 293.326 3.630 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)C1CCCCC1)c1ccc(F)cc1 ZINC000557600822 327015984 /nfs/dbraw/zinc/01/59/84/327015984.db2.gz QWKRGOYRUSCAHJ-MLGOLLRUSA-N 1 2 293.382 3.598 20 0 CHADLO c1ccc(C2=CCCN(c3[nH]c4ccccc4[nH+]3)C2)cc1 ZINC000557692502 327021122 /nfs/dbraw/zinc/02/11/22/327021122.db2.gz YRYWSAPCGYSPMA-UHFFFAOYSA-N 1 2 275.355 3.857 20 0 CHADLO FC(F)(F)Cn1cc[nH+]c1/C=C\c1nc2ccccc2o1 ZINC000255885415 327024536 /nfs/dbraw/zinc/02/45/36/327024536.db2.gz PCNDXMRVPHWXJS-WAYWQWQTSA-N 1 2 293.248 3.757 20 0 CHADLO Cc1nc([C@](C)([NH2+]Cc2ccc(Cl)s2)C2CC2)no1 ZINC000584209751 327067298 /nfs/dbraw/zinc/06/72/98/327067298.db2.gz LOSUHYIGVPWWEK-CYBMUJFWSA-N 1 2 297.811 3.508 20 0 CHADLO CC[C@@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)C(C)C ZINC000558262657 327083759 /nfs/dbraw/zinc/08/37/59/327083759.db2.gz OLSQIMJMRQMUSV-CQSZACIVSA-N 1 2 261.344 3.858 20 0 CHADLO CC[C@H](NC(=O)c1ccc(C2CCCC2)cc1)c1[nH]cc[nH+]1 ZINC000558563792 327105479 /nfs/dbraw/zinc/10/54/79/327105479.db2.gz RZBJTZLIJUZWRQ-INIZCTEOSA-N 1 2 297.402 3.948 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CN(C)c1cccc(O)c1 ZINC000559144577 327143025 /nfs/dbraw/zinc/14/30/25/327143025.db2.gz PZSOGANQEJTDHS-UHFFFAOYSA-N 1 2 270.376 3.855 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3cc(Cl)ccc3C2)nc1 ZINC000559186511 327145217 /nfs/dbraw/zinc/14/52/17/327145217.db2.gz RBHOAMBEGSWLJZ-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3cc(Cl)ccc3C2)nc1 ZINC000559186511 327145219 /nfs/dbraw/zinc/14/52/19/327145219.db2.gz RBHOAMBEGSWLJZ-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]([C@@H](C)c2ccco2)C2CC2)cc1 ZINC000086235731 327156217 /nfs/dbraw/zinc/15/62/17/327156217.db2.gz XNKQAOXOTJNWAT-AWEZNQCLSA-N 1 2 298.386 3.752 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]([C@@H](C)c2ccco2)C2CC2)cc1 ZINC000086235731 327156219 /nfs/dbraw/zinc/15/62/19/327156219.db2.gz XNKQAOXOTJNWAT-AWEZNQCLSA-N 1 2 298.386 3.752 20 0 CHADLO CC1(C)C[N@H+](Cc2cccs2)C[C@@](C)(C(F)(F)F)O1 ZINC000559313148 327158472 /nfs/dbraw/zinc/15/84/72/327158472.db2.gz RLDNFPZORMUCQZ-LBPRGKRZSA-N 1 2 293.354 3.680 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccs2)C[C@@](C)(C(F)(F)F)O1 ZINC000559313148 327158473 /nfs/dbraw/zinc/15/84/73/327158473.db2.gz RLDNFPZORMUCQZ-LBPRGKRZSA-N 1 2 293.354 3.680 20 0 CHADLO C[C@H]1CSC(SCc2cn3cc(Cl)ccc3[nH+]2)=N1 ZINC000089522211 327171150 /nfs/dbraw/zinc/17/11/50/327171150.db2.gz ZHLCKODZGWANNK-QMMMGPOBSA-N 1 2 297.836 3.712 20 0 CHADLO CC[C@H](Cc1ccccc1)C(=O)Nc1c(C)cc[nH+]c1C ZINC000569478811 327183429 /nfs/dbraw/zinc/18/34/29/327183429.db2.gz KJZNVSAQZJALAT-MRXNPFEDSA-N 1 2 282.387 3.906 20 0 CHADLO OC[C@H](Nc1cc[nH+]c2c(Cl)cccc12)C1CCCC1 ZINC000569518765 327189003 /nfs/dbraw/zinc/18/90/03/327189003.db2.gz UGXBQOPYHBSIKU-HNNXBMFYSA-N 1 2 290.794 3.851 20 0 CHADLO CCCCOc1ccc(C[N@H+](C)Cc2cc(C)on2)cc1 ZINC000092254514 327191227 /nfs/dbraw/zinc/19/12/27/327191227.db2.gz PFCLXBYEECCJLZ-UHFFFAOYSA-N 1 2 288.391 3.794 20 0 CHADLO CCCCOc1ccc(C[N@@H+](C)Cc2cc(C)on2)cc1 ZINC000092254514 327191228 /nfs/dbraw/zinc/19/12/28/327191228.db2.gz PFCLXBYEECCJLZ-UHFFFAOYSA-N 1 2 288.391 3.794 20 0 CHADLO C/C=C\c1ccc(NCc2cccc3[nH+]ccn32)cc1 ZINC000569526235 327193200 /nfs/dbraw/zinc/19/32/00/327193200.db2.gz XESRRYXNGWTSTC-RQOWECAXSA-N 1 2 263.344 3.980 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2sccc2C)o1 ZINC000093459819 327198127 /nfs/dbraw/zinc/19/81/27/327198127.db2.gz QYVIPBCZTZTYHL-CYBMUJFWSA-N 1 2 277.389 3.531 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2sccc2C)o1 ZINC000093459819 327198128 /nfs/dbraw/zinc/19/81/28/327198128.db2.gz QYVIPBCZTZTYHL-CYBMUJFWSA-N 1 2 277.389 3.531 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cccc(C(F)(F)F)c1F ZINC000094351359 327249970 /nfs/dbraw/zinc/24/99/70/327249970.db2.gz CXDNDASWPSVMTE-UHFFFAOYSA-N 1 2 298.239 3.800 20 0 CHADLO CC[C@H]1CC[C@H]([NH2+][C@@H](c2cccs2)c2nnc[nH]2)CC1 ZINC000559862160 327265579 /nfs/dbraw/zinc/26/55/79/327265579.db2.gz ASAIXQADNIJBMC-OBJOEFQTSA-N 1 2 290.436 3.514 20 0 CHADLO CC(C)(C)[C@@H]1C[N@H+](Cc2ccc(Cl)s2)CCO1 ZINC000560022728 327278005 /nfs/dbraw/zinc/27/80/05/327278005.db2.gz GUDHYQSJMXHVFL-NSHDSACASA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)(C)[C@@H]1C[N@@H+](Cc2ccc(Cl)s2)CCO1 ZINC000560022728 327278006 /nfs/dbraw/zinc/27/80/06/327278006.db2.gz GUDHYQSJMXHVFL-NSHDSACASA-N 1 2 273.829 3.648 20 0 CHADLO C[N@H+](Cc1nnc(C2CC2)s1)[C@H]1CCCc2ccccc21 ZINC000560186370 327288950 /nfs/dbraw/zinc/28/89/50/327288950.db2.gz YUXGPYACSZUUBP-HNNXBMFYSA-N 1 2 299.443 3.925 20 0 CHADLO C[N@@H+](Cc1nnc(C2CC2)s1)[C@H]1CCCc2ccccc21 ZINC000560186370 327288951 /nfs/dbraw/zinc/28/89/51/327288951.db2.gz YUXGPYACSZUUBP-HNNXBMFYSA-N 1 2 299.443 3.925 20 0 CHADLO CC1=CC[C@@H](Nc2ccc(N3CCCC3)c[nH+]2)CC1 ZINC000560467511 327311699 /nfs/dbraw/zinc/31/16/99/327311699.db2.gz GWUMXJINEPMWEQ-CQSZACIVSA-N 1 2 257.381 3.593 20 0 CHADLO COc1ccc(N[C@H](c2[nH]cc[nH+]2)C2CCCCC2)cn1 ZINC000560543709 327321492 /nfs/dbraw/zinc/32/14/92/327321492.db2.gz BMNAJDXVZZHMLD-HNNXBMFYSA-N 1 2 286.379 3.547 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2c(s1)CCCC2)c1cscn1 ZINC000560897192 327350685 /nfs/dbraw/zinc/35/06/85/327350685.db2.gz BJAQEXFXQBMFGC-ZJUUUORDSA-N 1 2 293.461 3.890 20 0 CHADLO C[C@H](c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1)C1CCC1 ZINC000583431662 327361045 /nfs/dbraw/zinc/36/10/45/327361045.db2.gz UNIAOVUBDZRHND-LBPRGKRZSA-N 1 2 294.358 3.826 20 0 CHADLO CN(CC1(O)CCCC1)c1cc[nH+]c2c(Cl)cccc12 ZINC000561281977 327382254 /nfs/dbraw/zinc/38/22/54/327382254.db2.gz ZEYLCQIDPOKRFX-UHFFFAOYSA-N 1 2 290.794 3.630 20 0 CHADLO CCc1ccc(C[NH2+][C@H](C)c2c(F)cccc2OC)o1 ZINC000150848797 327389352 /nfs/dbraw/zinc/38/93/52/327389352.db2.gz GDKWOIIFNZATRA-LLVKDONJSA-N 1 2 277.339 3.841 20 0 CHADLO CC[C@H]([NH2+][C@H](c1ccc(Cl)cc1)[C@@H]1C[C@@H]1C)C(=O)OC ZINC000561371996 327389725 /nfs/dbraw/zinc/38/97/25/327389725.db2.gz YILVTFMCQTYURU-OADPDTJPSA-N 1 2 295.810 3.578 20 0 CHADLO CC(C)=CCSCCc1[nH+]c2ccccc2n1C ZINC000561381922 327390423 /nfs/dbraw/zinc/39/04/23/327390423.db2.gz KWLDMBMYEYRABV-UHFFFAOYSA-N 1 2 260.406 3.815 20 0 CHADLO Cc1cc(NC(=O)CCc2ccccc2Cl)cc[nH+]1 ZINC000072709984 327391226 /nfs/dbraw/zinc/39/12/26/327391226.db2.gz LCILPZOOFNCJMP-UHFFFAOYSA-N 1 2 274.751 3.615 20 0 CHADLO Cc1cccc(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)c1 ZINC000074588529 327394302 /nfs/dbraw/zinc/39/43/02/327394302.db2.gz AKVOUOOFUZXYLP-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO CC(C)OC(=O)[C@H](C)[NH2+]C1CC(c2ccc(Cl)cc2)C1 ZINC000449658985 327403453 /nfs/dbraw/zinc/40/34/53/327403453.db2.gz CJRKMCDPBJWBRM-ZOODHJKOSA-N 1 2 295.810 3.516 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H]1CCc2ccc(F)cc21 ZINC000562287492 327463687 /nfs/dbraw/zinc/46/36/87/327463687.db2.gz MYZVUNWEWYTDPT-HNNXBMFYSA-N 1 2 299.349 3.647 20 0 CHADLO C[C@H]([NH2+]Cc1c(Cl)cccc1Cl)c1ccnn1C ZINC000562495009 327485933 /nfs/dbraw/zinc/48/59/33/327485933.db2.gz CDDCQOAHUDEPFO-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO C[C@H]([NH2+][C@H](CC(C)(C)C)C(F)(F)F)[C@H]1CCCOC1 ZINC000507439215 332805374 /nfs/dbraw/zinc/80/53/74/332805374.db2.gz CGHCVJFYTCTYSE-SDDRHHMPSA-N 1 2 281.362 3.758 20 0 CHADLO CO[C@H](C)[C@@H](C)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000564562588 327526390 /nfs/dbraw/zinc/52/63/90/327526390.db2.gz JLSVSSWRDGIULH-NXEZZACHSA-N 1 2 264.756 3.724 20 0 CHADLO Cc1cc(OCCOC2CCC2)c2cccc(C)c2[nH+]1 ZINC000570073494 327567087 /nfs/dbraw/zinc/56/70/87/327567087.db2.gz HVHXWFFZYKHYJD-UHFFFAOYSA-N 1 2 271.360 3.800 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@]2(C)CCC[C@@H]2C)n1 ZINC000570655153 327608439 /nfs/dbraw/zinc/60/84/39/327608439.db2.gz SJFQVSIMHHXYQM-SUMWQHHRSA-N 1 2 285.391 3.636 20 0 CHADLO C[C@H]1Oc2cc(F)ccc2[C@@H]1[NH2+]Cc1cc(F)ccc1F ZINC000583587674 328624372 /nfs/dbraw/zinc/62/43/72/328624372.db2.gz WYIUMHKDSOKUTA-JDNHERCYSA-N 1 2 293.288 3.716 20 0 CHADLO COc1cc(C)[nH+]c(COc2ccc(Cl)cc2OC)c1 ZINC000398740334 328633150 /nfs/dbraw/zinc/63/31/50/328633150.db2.gz QDVKPRSRCMNSJL-UHFFFAOYSA-N 1 2 293.750 3.640 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cccc(C)c3C)cn2c1 ZINC000102677675 328710953 /nfs/dbraw/zinc/71/09/53/328710953.db2.gz SBNNHSXTAPRNMO-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO CC(C)N(Cc1c[nH+]c2ccccn12)c1ccc(F)cc1 ZINC000532675883 328804662 /nfs/dbraw/zinc/80/46/62/328804662.db2.gz GGBPFXHCLXGNSA-UHFFFAOYSA-N 1 2 283.350 3.888 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1csc(C)n1)c1c(C)noc1C ZINC000358380982 328883181 /nfs/dbraw/zinc/88/31/81/328883181.db2.gz XUNKWMDKNTZISB-QPUJVOFHSA-N 1 2 279.409 3.858 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1csc(C)n1)c1c(C)noc1C ZINC000358380981 328883186 /nfs/dbraw/zinc/88/31/86/328883186.db2.gz XUNKWMDKNTZISB-PRHODGIISA-N 1 2 279.409 3.858 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2CCCc3ccc(F)cc32)[nH+]1 ZINC000360106614 328904356 /nfs/dbraw/zinc/90/43/56/328904356.db2.gz CSOHDANYPMEQPC-HNNXBMFYSA-N 1 2 296.349 3.895 20 0 CHADLO CC(C)[C@@H](C)SCc1[nH+]ccn1CC(F)(F)F ZINC000361408348 328924099 /nfs/dbraw/zinc/92/40/99/328924099.db2.gz MTIADHOGAXKEAS-SECBINFHSA-N 1 2 266.332 3.723 20 0 CHADLO Cc1nc(N2CCC(=Cc3ccccc3F)CC2)cc[nH+]1 ZINC000362561752 328928935 /nfs/dbraw/zinc/92/89/35/328928935.db2.gz UUJCTWFTUYRQLY-UHFFFAOYSA-N 1 2 283.350 3.608 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)C=CC(C)(C)C)[nH+]1 ZINC000376789872 329008209 /nfs/dbraw/zinc/00/82/09/329008209.db2.gz OCCRVPQAIKZKOJ-MDZDMXLPSA-N 1 2 283.375 3.926 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000378520598 329053844 /nfs/dbraw/zinc/05/38/44/329053844.db2.gz SNSUELOOBOCKGY-KGLIPLIRSA-N 1 2 277.412 3.680 20 0 CHADLO C=Cn1cc(C[N@@H+](CC)Cc2ccccc2Cl)cn1 ZINC000193700187 329061307 /nfs/dbraw/zinc/06/13/07/329061307.db2.gz IERGVBJCSQSKIN-UHFFFAOYSA-N 1 2 275.783 3.659 20 0 CHADLO C=Cn1cc(C[N@H+](CC)Cc2ccccc2Cl)cn1 ZINC000193700187 329061308 /nfs/dbraw/zinc/06/13/08/329061308.db2.gz IERGVBJCSQSKIN-UHFFFAOYSA-N 1 2 275.783 3.659 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(C3CCCCC3)n2)CCCO1 ZINC000170766030 329068041 /nfs/dbraw/zinc/06/80/41/329068041.db2.gz YSACDFAZSQGKPE-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(C3CCCCC3)n2)CCCO1 ZINC000170766030 329068043 /nfs/dbraw/zinc/06/80/43/329068043.db2.gz YSACDFAZSQGKPE-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1csc(-c2ccccn2)n1 ZINC000171883388 329077887 /nfs/dbraw/zinc/07/78/87/329077887.db2.gz XGFKZVBBVIIVEA-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1csc(-c2ccccn2)n1 ZINC000171883388 329077888 /nfs/dbraw/zinc/07/78/88/329077888.db2.gz XGFKZVBBVIIVEA-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1cccn2c(CNc3cc(F)c(F)c(F)c3)c[nH+]c12 ZINC000508503041 332839864 /nfs/dbraw/zinc/83/98/64/332839864.db2.gz GEBPBSNKKXYPJM-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO CCc1ccc(NC(=O)N[C@H]2C[C@H](C)n3cc[nH+]c32)cc1C ZINC000582469568 329091643 /nfs/dbraw/zinc/09/16/43/329091643.db2.gz HRWLBRXALUPCEV-WFASDCNBSA-N 1 2 298.390 3.581 20 0 CHADLO Cc1ccc(Cc2noc(-c3cccc(C4CC4)c3)n2)c[nH+]1 ZINC000582555939 329111104 /nfs/dbraw/zinc/11/11/04/329111104.db2.gz HWZDXVRVIBEEOR-UHFFFAOYSA-N 1 2 291.354 3.908 20 0 CHADLO CCc1ccc([C@@H](COC)Nc2cc[nH+]c(C(C)C)n2)o1 ZINC000172768860 329138505 /nfs/dbraw/zinc/13/85/05/329138505.db2.gz URIDXGCTRUJGMZ-CYBMUJFWSA-N 1 2 289.379 3.555 20 0 CHADLO CCSCC[C@@H](C)Nc1cc[nH+]c(C(C)C)n1 ZINC000172812888 329139292 /nfs/dbraw/zinc/13/92/92/329139292.db2.gz AJRJQFYOOZBCII-LLVKDONJSA-N 1 2 253.415 3.544 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nnc(-c2ccccc2)o1)c1ccccn1 ZINC000172985431 329141570 /nfs/dbraw/zinc/14/15/70/329141570.db2.gz YZBFLAXBDSMKBM-OLZOCXBDSA-N 1 2 294.358 3.543 20 0 CHADLO c1cc(C[N@@H+]2Cc3ccccc3C[C@H]2c2ccccc2)no1 ZINC000174038992 329153681 /nfs/dbraw/zinc/15/36/81/329153681.db2.gz RVCCRTIZAVLRQE-IBGZPJMESA-N 1 2 290.366 3.974 20 0 CHADLO c1cc(C[N@H+]2Cc3ccccc3C[C@H]2c2ccccc2)no1 ZINC000174038992 329153682 /nfs/dbraw/zinc/15/36/82/329153682.db2.gz RVCCRTIZAVLRQE-IBGZPJMESA-N 1 2 290.366 3.974 20 0 CHADLO Cc1ccccc1[C@H](CC(F)(F)F)[NH2+]Cc1ccno1 ZINC000582764549 329156811 /nfs/dbraw/zinc/15/68/11/329156811.db2.gz YYOMUTFLYWERTJ-ZDUSSCGKSA-N 1 2 284.281 3.766 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+](C)Cc1ccco1 ZINC000175033338 329162338 /nfs/dbraw/zinc/16/23/38/329162338.db2.gz QIMQVDWVHAHZNQ-NSHDSACASA-N 1 2 262.353 3.758 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+](C)Cc1ccco1 ZINC000175033338 329162340 /nfs/dbraw/zinc/16/23/40/329162340.db2.gz QIMQVDWVHAHZNQ-NSHDSACASA-N 1 2 262.353 3.758 20 0 CHADLO Cc1[nH+]c2ccccn2c1CN1c2ccccc2C[C@H]1C ZINC000469104749 329164244 /nfs/dbraw/zinc/16/42/44/329164244.db2.gz VZMFEJCKFLAKJB-CYBMUJFWSA-N 1 2 277.371 3.594 20 0 CHADLO COc1ncccc1C[N@H+](C)[C@H](C)c1ccc(F)c(F)c1 ZINC000176454817 329175727 /nfs/dbraw/zinc/17/57/27/329175727.db2.gz IPLUUFUSNAAPHO-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1ncccc1C[N@@H+](C)[C@H](C)c1ccc(F)c(F)c1 ZINC000176454817 329175729 /nfs/dbraw/zinc/17/57/29/329175729.db2.gz IPLUUFUSNAAPHO-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO Fc1cccc(Cl)c1CN1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000177040720 329178611 /nfs/dbraw/zinc/17/86/11/329178611.db2.gz DMEGKZDRRXVHES-AWEZNQCLSA-N 1 2 293.773 3.929 20 0 CHADLO Clc1ccc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)s1 ZINC000177675360 329188957 /nfs/dbraw/zinc/18/89/57/329188957.db2.gz VQBRLWDUMIHFKM-GFCCVEGCSA-N 1 2 283.780 3.568 20 0 CHADLO Clc1ccc(C[N@H+]2CCO[C@@H](c3ccco3)C2)s1 ZINC000177675360 329188958 /nfs/dbraw/zinc/18/89/58/329188958.db2.gz VQBRLWDUMIHFKM-GFCCVEGCSA-N 1 2 283.780 3.568 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178355098 329199105 /nfs/dbraw/zinc/19/91/05/329199105.db2.gz RIDJNHMGIQXZAS-MRXNPFEDSA-N 1 2 299.418 3.557 20 0 CHADLO Cc1ccc(NC(=O)C2C[C@H](C)C[C@@H](C)C2)c(C)[nH+]1 ZINC000180420355 329216363 /nfs/dbraw/zinc/21/63/63/329216363.db2.gz YGYKWFHYUREKSD-GHMZBOCLSA-N 1 2 260.381 3.709 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nccs2)ccc1Cl ZINC000180436607 329217008 /nfs/dbraw/zinc/21/70/08/329217008.db2.gz WNWOXDNKXZTIQO-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nccs2)ccc1Cl ZINC000180436607 329217010 /nfs/dbraw/zinc/21/70/10/329217010.db2.gz WNWOXDNKXZTIQO-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1CC(C)C)c1ccccc1F ZINC000180628447 329221244 /nfs/dbraw/zinc/22/12/44/329221244.db2.gz NMDGKBGGSFGCTC-MRXNPFEDSA-N 1 2 289.398 3.919 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3nccn3CC)CC2)cc1 ZINC000180908061 329223953 /nfs/dbraw/zinc/22/39/53/329223953.db2.gz QBNOQFJKPMCDMY-UHFFFAOYSA-N 1 2 295.430 3.755 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3nccn3CC)CC2)cc1 ZINC000180908061 329223954 /nfs/dbraw/zinc/22/39/54/329223954.db2.gz QBNOQFJKPMCDMY-UHFFFAOYSA-N 1 2 295.430 3.755 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(-c3cccnc3)cc2)o1 ZINC000181323232 329231470 /nfs/dbraw/zinc/23/14/70/329231470.db2.gz PQCRMTCFOCMBDT-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2c(F)cccc2F)s1 ZINC000224187418 329240194 /nfs/dbraw/zinc/24/01/94/329240194.db2.gz ZJIZDPMBJTYGBU-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO CCc1cnc(C[NH2+][C@@H]2CSc3ccccc32)s1 ZINC000224317638 329243110 /nfs/dbraw/zinc/24/31/10/329243110.db2.gz WBBWBSVKLRWGAL-GFCCVEGCSA-N 1 2 276.430 3.642 20 0 CHADLO Cc1ccc(C(=O)CCCC(=O)Nc2cc[nH+]cc2C)cc1 ZINC000183732454 329250084 /nfs/dbraw/zinc/25/00/84/329250084.db2.gz VLKDFIVGSGOGAC-UHFFFAOYSA-N 1 2 296.370 3.690 20 0 CHADLO CC(=O)c1ccc(F)cc1OCc1ccc(C)[nH+]c1C ZINC000185366149 329271102 /nfs/dbraw/zinc/27/11/02/329271102.db2.gz WFGMDNLOPYDXEJ-UHFFFAOYSA-N 1 2 273.307 3.619 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2cc3ccccc3s2)n1 ZINC000582912706 329287499 /nfs/dbraw/zinc/28/74/99/329287499.db2.gz CXNGSZDYUVTCOL-JTQLQIEISA-N 1 2 287.388 3.786 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2cc3ccccc3s2)n1 ZINC000582912706 329287501 /nfs/dbraw/zinc/28/75/01/329287501.db2.gz CXNGSZDYUVTCOL-JTQLQIEISA-N 1 2 287.388 3.786 20 0 CHADLO CC(C)[C@H](N[C@H](C)c1cn2ccccc2[nH+]1)C(F)(F)F ZINC000582916259 329288753 /nfs/dbraw/zinc/28/87/53/329288753.db2.gz WHQXMGYULCSJQU-MFKMUULPSA-N 1 2 285.313 3.572 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cccc(COC)c1 ZINC000185741977 329330944 /nfs/dbraw/zinc/33/09/44/329330944.db2.gz FXVQVOUZKCVYBA-UHFFFAOYSA-N 1 2 295.386 3.815 20 0 CHADLO CSC1(CNc2[nH+]c3ccccc3cc2C)CC1 ZINC000227990101 329332377 /nfs/dbraw/zinc/33/23/77/329332377.db2.gz ITBPDLISUQSPAW-UHFFFAOYSA-N 1 2 258.390 3.851 20 0 CHADLO Cc1ccsc1CNc1[nH+]cccc1OC(F)F ZINC000185912478 329332676 /nfs/dbraw/zinc/33/26/76/329332676.db2.gz OBNDBTOMRYNFSL-UHFFFAOYSA-N 1 2 270.304 3.665 20 0 CHADLO COCCn1c2ccccc2[nH+]c1NCc1cccc(C)c1 ZINC000185845917 329333434 /nfs/dbraw/zinc/33/34/34/329333434.db2.gz JFGQIKHUOLCLFH-UHFFFAOYSA-N 1 2 295.386 3.603 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)c2cn(C)c3ccccc23)cc[nH+]1 ZINC000186025724 329336215 /nfs/dbraw/zinc/33/62/15/329336215.db2.gz GANVPHIUTFETNH-CYBMUJFWSA-N 1 2 293.370 3.624 20 0 CHADLO Clc1ncc(C[N@@H+]2Cc3ccccc3C3(CC3)C2)s1 ZINC000186179572 329338931 /nfs/dbraw/zinc/33/89/31/329338931.db2.gz WPLZLXUDXFDSNN-UHFFFAOYSA-N 1 2 290.819 3.844 20 0 CHADLO Clc1ncc(C[N@H+]2Cc3ccccc3C3(CC3)C2)s1 ZINC000186179572 329338933 /nfs/dbraw/zinc/33/89/33/329338933.db2.gz WPLZLXUDXFDSNN-UHFFFAOYSA-N 1 2 290.819 3.844 20 0 CHADLO C[N@H+](Cc1cc(O)cc(F)c1)Cc1cc(F)c(F)c(F)c1 ZINC000186395600 329343811 /nfs/dbraw/zinc/34/38/11/329343811.db2.gz IDMFLPRTJICCCV-UHFFFAOYSA-N 1 2 299.267 3.581 20 0 CHADLO C[N@@H+](Cc1cc(O)cc(F)c1)Cc1cc(F)c(F)c(F)c1 ZINC000186395600 329343812 /nfs/dbraw/zinc/34/38/12/329343812.db2.gz IDMFLPRTJICCCV-UHFFFAOYSA-N 1 2 299.267 3.581 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2cccc(Cl)c2)c(C)[nH+]1 ZINC000187069201 329351866 /nfs/dbraw/zinc/35/18/66/329351866.db2.gz JKEDMOAXTXKTBS-LJQANCHMSA-N 1 2 293.819 3.801 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+][C@H](C)c1cccc(C)c1C ZINC000508990523 332854271 /nfs/dbraw/zinc/85/42/71/332854271.db2.gz GOWUEVKJGYJYFW-ZBFHGGJFSA-N 1 2 277.408 3.542 20 0 CHADLO C[C@@H]1CCCC[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000187938225 329361487 /nfs/dbraw/zinc/36/14/87/329361487.db2.gz HHHWNSIIYGABKW-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO C[C@@H]1CCCC[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000187938225 329361489 /nfs/dbraw/zinc/36/14/89/329361489.db2.gz HHHWNSIIYGABKW-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO FC(F)C(F)(F)C[NH2+]Cc1ccc(C2CC2)cc1 ZINC000230672120 329368124 /nfs/dbraw/zinc/36/81/24/329368124.db2.gz ZYNDHCDQFCHCGK-UHFFFAOYSA-N 1 2 261.262 3.554 20 0 CHADLO Cc1cccc(C)c1CNc1ccc(N2CCCC2)c[nH+]1 ZINC000583221972 329378566 /nfs/dbraw/zinc/37/85/66/329378566.db2.gz QCLXPTFXYWWAIX-UHFFFAOYSA-N 1 2 281.403 3.911 20 0 CHADLO C[C@H](Nc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1)C(C)(C)C ZINC000530418851 329381419 /nfs/dbraw/zinc/38/14/19/329381419.db2.gz BDXMIGFVPJAAMQ-MCIONIFRSA-N 1 2 291.439 3.542 20 0 CHADLO CCN(C)c1ccc(CNc2cccc(SC)c2)c[nH+]1 ZINC000190812686 329402780 /nfs/dbraw/zinc/40/27/80/329402780.db2.gz NGBXZVSXXSBDKN-UHFFFAOYSA-N 1 2 287.432 3.872 20 0 CHADLO Fc1c[nH+]ccc1NCc1cccc(-c2cccnc2)c1 ZINC000192150874 329429731 /nfs/dbraw/zinc/42/97/31/329429731.db2.gz YDMRHDUBAALHFN-UHFFFAOYSA-N 1 2 279.318 3.895 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Br)s1)C(C)(F)F ZINC000389476721 329531294 /nfs/dbraw/zinc/53/12/94/329531294.db2.gz OUCSDRQEBJWQQR-LURJTMIESA-N 1 2 284.169 3.644 20 0 CHADLO Cc1c[nH+]c(C)nc1N[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000514679627 329540688 /nfs/dbraw/zinc/54/06/88/329540688.db2.gz OCSVSAJDRASDNM-CTYIDZIISA-N 1 2 271.339 3.591 20 0 CHADLO CC[C@H](Cc1ccccc1C)C(=O)N[C@@H](CC)c1[nH]cc[nH+]1 ZINC000424793519 329589645 /nfs/dbraw/zinc/58/96/45/329589645.db2.gz ZTCPOFFNSFQVKI-ZBFHGGJFSA-N 1 2 299.418 3.554 20 0 CHADLO CC[C@@H](C)C(=O)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000044567822 329592625 /nfs/dbraw/zinc/59/26/25/329592625.db2.gz PAIUIDAVEIWXJF-CYBMUJFWSA-N 1 2 297.402 3.871 20 0 CHADLO CCCC(CCC)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000127499552 329599963 /nfs/dbraw/zinc/59/99/63/329599963.db2.gz FZKJOAHDJXLQCG-HOCLYGCPSA-N 1 2 291.439 3.509 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(C)c1Br ZINC000131345584 329635028 /nfs/dbraw/zinc/63/50/28/329635028.db2.gz HVPZTDSEYSJPDR-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO O=C(N[C@@H]1CC=CCC1)c1ccccc1Oc1cc[nH+]cc1 ZINC000509426621 332869260 /nfs/dbraw/zinc/86/92/60/332869260.db2.gz TXLIZNMLBOEPME-CQSZACIVSA-N 1 2 294.354 3.712 20 0 CHADLO CCCc1noc(C[NH2+][C@H](C)c2ccc(C3CC3)cc2)n1 ZINC000419352660 329719294 /nfs/dbraw/zinc/71/92/94/329719294.db2.gz KGLQSJNLCSIXLW-GFCCVEGCSA-N 1 2 285.391 3.750 20 0 CHADLO CCc1nc(CSCc2ccc(C(C)C)[nH+]c2C)no1 ZINC000419404156 329723940 /nfs/dbraw/zinc/72/39/40/329723940.db2.gz SMGOMJCJLDPMRX-UHFFFAOYSA-N 1 2 291.420 3.892 20 0 CHADLO CCc1ccc(Cc2nc(Cc3ccc[nH+]c3C)no2)cc1 ZINC000420508909 329772363 /nfs/dbraw/zinc/77/23/63/329772363.db2.gz BKSIETPFEADQIL-UHFFFAOYSA-N 1 2 293.370 3.517 20 0 CHADLO CCC1(c2nc(Cc3ccc[nH+]c3C)no2)CCCCC1 ZINC000420511805 329772967 /nfs/dbraw/zinc/77/29/67/329772967.db2.gz PAFSJGNZICTENH-UHFFFAOYSA-N 1 2 285.391 3.976 20 0 CHADLO CCCC1(c2noc(Cc3cn4ccccc4[nH+]3)n2)CCC1 ZINC000420517288 329774244 /nfs/dbraw/zinc/77/42/44/329774244.db2.gz IPTHKSLLFRHZNA-UHFFFAOYSA-N 1 2 296.374 3.530 20 0 CHADLO CCCC1(c2noc(Cc3ccc[nH+]c3C)n2)CCC1 ZINC000420522534 329775061 /nfs/dbraw/zinc/77/50/61/329775061.db2.gz OXQRZCAKMKSHAN-UHFFFAOYSA-N 1 2 271.364 3.586 20 0 CHADLO CCc1ccc(NC(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421041641 329803271 /nfs/dbraw/zinc/80/32/71/329803271.db2.gz XNWBNBGOZOQYPL-UHFFFAOYSA-N 1 2 283.375 3.583 20 0 CHADLO CC(C)c1nc(N2C[C@@H](C)O[C@H](c3ccccc3)C2)cc[nH+]1 ZINC000246209382 329804100 /nfs/dbraw/zinc/80/41/00/329804100.db2.gz WNBBBQBIOXCMIU-ZBFHGGJFSA-N 1 2 297.402 3.566 20 0 CHADLO CCc1ccc(NC(=O)NCc2cc(C)[nH+]c(C)c2)cc1C ZINC000421088119 329804131 /nfs/dbraw/zinc/80/41/31/329804131.db2.gz RAQRVGBCXRCOPB-UHFFFAOYSA-N 1 2 297.402 3.891 20 0 CHADLO Cc1c(Cl)c(C[NH2+]Cc2c(C)cc(C)cc2C)nn1C ZINC000421323625 329812208 /nfs/dbraw/zinc/81/22/08/329812208.db2.gz IREQPFYNBJWDPU-UHFFFAOYSA-N 1 2 291.826 3.597 20 0 CHADLO CC[C@H]1C[NH+](Cc2cnc(C3CCC3)s2)C[C@H](CC)O1 ZINC000421388866 329819568 /nfs/dbraw/zinc/81/95/68/329819568.db2.gz KXZSYFDWFAQDEX-KBPBESRZSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@H]1C[NH+](Cc2cnc(C(C)C)s2)C[C@H](CC)O1 ZINC000421391825 329820518 /nfs/dbraw/zinc/82/05/18/329820518.db2.gz PRDDDVOAXKERNO-STQMWFEESA-N 1 2 282.453 3.656 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CC23CC3)c[nH+]c1N1CCCC[C@@H]1C ZINC000421507724 329840221 /nfs/dbraw/zinc/84/02/21/329840221.db2.gz LFDNOOQJASJJPA-DZGCQCFKSA-N 1 2 299.418 3.507 20 0 CHADLO Cc1cc(CNC(=O)C[C@@H](C)c2ccccc2C)cc(C)[nH+]1 ZINC000421580472 329843611 /nfs/dbraw/zinc/84/36/11/329843611.db2.gz WNTUOSMQLIURHH-CQSZACIVSA-N 1 2 296.414 3.817 20 0 CHADLO Cc1cc(CNC(=O)CC[C@@H](C)c2ccccc2)cc(C)[nH+]1 ZINC000421581833 329843817 /nfs/dbraw/zinc/84/38/17/329843817.db2.gz ZJLPVYZRQXRJSY-CQSZACIVSA-N 1 2 296.414 3.899 20 0 CHADLO Cc1cccn2cc(CSCc3ccco3)[nH+]c12 ZINC000073924348 329862671 /nfs/dbraw/zinc/86/26/71/329862671.db2.gz NGZMUYVFPRCTPJ-UHFFFAOYSA-N 1 2 258.346 3.669 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2C[C@@H]2c2ccccc2)c(C)[nH+]1 ZINC000571735673 329916862 /nfs/dbraw/zinc/91/68/62/329916862.db2.gz MSLVRCJIXPUSEG-CVEARBPZSA-N 1 2 295.386 3.684 20 0 CHADLO Cc1[nH]c(CNc2cc(C)cc(Br)c2)[nH+]c1C ZINC000516981215 329923899 /nfs/dbraw/zinc/92/38/99/329923899.db2.gz HGDKCPSBEJHOOK-UHFFFAOYSA-N 1 2 294.196 3.710 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C(C)C)n1)c1c(C)noc1C ZINC000161661134 329950595 /nfs/dbraw/zinc/95/05/95/329950595.db2.gz RVGFHJCUQFVTFJ-GFCCVEGCSA-N 1 2 274.368 3.768 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H](C)c2ccncc2Cl)n1 ZINC000421827896 330084104 /nfs/dbraw/zinc/08/41/04/330084104.db2.gz ZYOWTIMUFSULAI-UWVGGRQHSA-N 1 2 281.812 3.912 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccncc1Cl)c1nccs1 ZINC000421853462 330088578 /nfs/dbraw/zinc/08/85/78/330088578.db2.gz JITKRCINIPCPLP-IUCAKERBSA-N 1 2 267.785 3.603 20 0 CHADLO c1c[nH+]c2c(c1)CC[C@@H](Nc1cccc3c1OCCC3)CC2 ZINC000421832568 330091233 /nfs/dbraw/zinc/09/12/33/330091233.db2.gz HDXXVJMARGVCOT-MRXNPFEDSA-N 1 2 294.398 3.766 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)cs1)c1ccncc1Cl ZINC000421846964 330095322 /nfs/dbraw/zinc/09/53/22/330095322.db2.gz VLVKWGGNJHZKHL-SECBINFHSA-N 1 2 293.823 3.920 20 0 CHADLO Cc1cc(CNC(=O)c2cccn2C2CCCC2)cc(C)[nH+]1 ZINC000421923871 330109507 /nfs/dbraw/zinc/10/95/07/330109507.db2.gz SZYRAYBGPBDOCZ-UHFFFAOYSA-N 1 2 297.402 3.545 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)c2ccccc2F)c(C)[nH+]1 ZINC000171001066 330123161 /nfs/dbraw/zinc/12/31/61/330123161.db2.gz DKXYASPIWTUHJR-GFCCVEGCSA-N 1 2 286.350 3.888 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)C1CCCCCC1 ZINC000171029088 330129461 /nfs/dbraw/zinc/12/94/61/330129461.db2.gz VYUHLTWCXDVWEW-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000580449047 330137702 /nfs/dbraw/zinc/13/77/02/330137702.db2.gz DRWIZCKMUAZMNE-ZDUSSCGKSA-N 1 2 285.391 3.507 20 0 CHADLO CCc1nc(C[N@H+](C)C[C@@H]2CCC3(CCCC3)O2)cs1 ZINC000580454461 330137984 /nfs/dbraw/zinc/13/79/84/330137984.db2.gz KSJFEKFGRSSRHP-AWEZNQCLSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1nc(C[N@@H+](C)C[C@@H]2CCC3(CCCC3)O2)cs1 ZINC000580454461 330137986 /nfs/dbraw/zinc/13/79/86/330137986.db2.gz KSJFEKFGRSSRHP-AWEZNQCLSA-N 1 2 294.464 3.629 20 0 CHADLO Cc1c([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)cnn1C(C)C ZINC000423210352 330177620 /nfs/dbraw/zinc/17/76/20/330177620.db2.gz FUWUVQOPRFZROS-LBPRGKRZSA-N 1 2 284.407 3.914 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc2c(c1)OCCO2 ZINC000423209401 330177630 /nfs/dbraw/zinc/17/76/30/330177630.db2.gz FETVCAMLCDJJTH-GFCCVEGCSA-N 1 2 296.370 3.599 20 0 CHADLO CC(C)CCc1ccc(NC(=O)CCc2c[nH+]cn2C)cc1 ZINC000527530211 330258509 /nfs/dbraw/zinc/25/85/09/330258509.db2.gz ZLCICNLHUJLXCH-UHFFFAOYSA-N 1 2 299.418 3.580 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3ccc(Cl)cc3C2)ccn1 ZINC000527540082 330260805 /nfs/dbraw/zinc/26/08/05/330260805.db2.gz OGEGKTSCBILFFG-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1cc(C[N@H+]2Cc3ccc(Cl)cc3C2)ccn1 ZINC000527540082 330260806 /nfs/dbraw/zinc/26/08/06/330260806.db2.gz OGEGKTSCBILFFG-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO FC(F)(F)c1sccc1C[NH2+]Cc1nccs1 ZINC000527640648 330268321 /nfs/dbraw/zinc/26/83/21/330268321.db2.gz VKHLAOKJSOBFAU-UHFFFAOYSA-N 1 2 278.324 3.513 20 0 CHADLO CCC(F)(F)C(C)(C)CNc1ccc(N(C)C)[nH+]c1 ZINC000527674545 330270142 /nfs/dbraw/zinc/27/01/42/330270142.db2.gz KPRGFGDVOVBTKC-UHFFFAOYSA-N 1 2 271.355 3.631 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2ccncc2Cl)on1 ZINC000527665482 330274163 /nfs/dbraw/zinc/27/41/63/330274163.db2.gz IUKCCTOPGBTHKX-UHFFFAOYSA-N 1 2 293.798 3.916 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2nc3cc(C)ccc3s2)C[C@@H](C)O1 ZINC000528379483 330280783 /nfs/dbraw/zinc/28/07/83/330280783.db2.gz LSDXDAKZPOYHPH-CHWSQXEVSA-N 1 2 290.432 3.604 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2nc3cc(C)ccc3s2)C[C@@H](C)O1 ZINC000528379483 330280785 /nfs/dbraw/zinc/28/07/85/330280785.db2.gz LSDXDAKZPOYHPH-CHWSQXEVSA-N 1 2 290.432 3.604 20 0 CHADLO Cc1[nH]c(CNc2cccc3c2OC(C)(C)CC3)[nH+]c1C ZINC000527813184 330284790 /nfs/dbraw/zinc/28/47/90/330284790.db2.gz NNHHFWUTLRIANC-UHFFFAOYSA-N 1 2 285.391 3.742 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC(C2CCOCC2)CC1 ZINC000527939229 330293744 /nfs/dbraw/zinc/29/37/44/330293744.db2.gz MNWCMEPJGHSHNK-UHFFFAOYSA-N 1 2 296.414 3.878 20 0 CHADLO C[C@@H]1N(c2[nH+]ccc3ccccc32)CCC12CCOCC2 ZINC000527961735 330300858 /nfs/dbraw/zinc/30/08/58/330300858.db2.gz LKSSRDMXZJTGHD-AWEZNQCLSA-N 1 2 282.387 3.630 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncccc2F)c(F)c1 ZINC000528035703 330302625 /nfs/dbraw/zinc/30/26/25/330302625.db2.gz JKOACBVEYYZKMX-MNOVXSKESA-N 1 2 292.329 3.780 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ncccc1F)c1cccc(F)c1F ZINC000528035860 330302774 /nfs/dbraw/zinc/30/27/74/330302774.db2.gz LZBWLQVYBRCYIE-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccc1F)c1ccc(F)cc1F ZINC000528037015 330303059 /nfs/dbraw/zinc/30/30/59/330303059.db2.gz ZVHVFXJCRWQEGJ-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ncccc1F)c1ccc(F)cc1F ZINC000528037014 330303067 /nfs/dbraw/zinc/30/30/67/330303067.db2.gz ZVHVFXJCRWQEGJ-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccc1F)c1cc(F)cc(F)c1 ZINC000528037021 330303081 /nfs/dbraw/zinc/30/30/81/330303081.db2.gz ZXVVRTJMTMFSEZ-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO Cc1n[nH]cc1C1CCN(c2[nH+]ccc3ccccc32)CC1 ZINC000528008923 330303709 /nfs/dbraw/zinc/30/37/09/330303709.db2.gz LJSBIURBGVUVJD-UHFFFAOYSA-N 1 2 292.386 3.650 20 0 CHADLO CCOc1cc(NCc2c[nH+]cn2C(C)C)ccc1C ZINC000424157914 330305289 /nfs/dbraw/zinc/30/52/89/330305289.db2.gz RZPYTXAEDBSJHM-UHFFFAOYSA-N 1 2 273.380 3.783 20 0 CHADLO CCC[C@@H](CNc1cc(C(F)(F)F)cc[nH+]1)OCC ZINC000528083533 330305681 /nfs/dbraw/zinc/30/56/81/330305681.db2.gz LZBXGQWCCVLEFG-NSHDSACASA-N 1 2 276.302 3.718 20 0 CHADLO FC1(F)CC12C[C@H]1CC[C@@H](C2)N1c1[nH]c2ccccc2[nH+]1 ZINC000528102757 330308037 /nfs/dbraw/zinc/30/80/37/330308037.db2.gz RPAVUGRFLHSDSE-YWXMQNBFSA-N 1 2 289.329 3.720 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1ncccc1Cl ZINC000528350776 330321559 /nfs/dbraw/zinc/32/15/59/330321559.db2.gz AGCFNUIXRWSQQI-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1ncccc1Cl ZINC000528350776 330321560 /nfs/dbraw/zinc/32/15/60/330321560.db2.gz AGCFNUIXRWSQQI-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO Fc1ccc(NCc2cn3cc(Cl)ccc3[nH+]2)cc1F ZINC000105919711 330330781 /nfs/dbraw/zinc/33/07/81/330330781.db2.gz PKRBPTPOWIHGBT-UHFFFAOYSA-N 1 2 293.704 3.878 20 0 CHADLO Fc1ccccc1C1CC[NH+](Cc2nccs2)CC1 ZINC000533079593 330330916 /nfs/dbraw/zinc/33/09/16/330330916.db2.gz GFDQQGODSZVVCM-UHFFFAOYSA-N 1 2 276.380 3.662 20 0 CHADLO CCCCCOc1ccc(C[NH2+]Cc2cc(C)on2)cc1 ZINC000044692496 330332149 /nfs/dbraw/zinc/33/21/49/330332149.db2.gz PZWZENSGEWJLQZ-UHFFFAOYSA-N 1 2 288.391 3.842 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@]1(F)c1ccccc1)c1ccc(F)cn1 ZINC000424329876 330342000 /nfs/dbraw/zinc/34/20/00/330342000.db2.gz SUOXHCQFUISKOF-UVBJJODRSA-N 1 2 274.314 3.509 20 0 CHADLO Cc1ccc2c(CC(=O)Nc3cc[nH+]cc3C)coc2c1 ZINC000107286013 330348780 /nfs/dbraw/zinc/34/87/80/330348780.db2.gz WAFQZGBTXHGRGV-UHFFFAOYSA-N 1 2 280.327 3.626 20 0 CHADLO C[C@@H]([N@H+](C)Cc1noc(Cc2ccccc2)n1)C(C)(C)C ZINC000533249933 330355417 /nfs/dbraw/zinc/35/54/17/330355417.db2.gz IMFBFLJSKJSGKA-CYBMUJFWSA-N 1 2 287.407 3.527 20 0 CHADLO C[C@@H]([N@@H+](C)Cc1noc(Cc2ccccc2)n1)C(C)(C)C ZINC000533249933 330355418 /nfs/dbraw/zinc/35/54/18/330355418.db2.gz IMFBFLJSKJSGKA-CYBMUJFWSA-N 1 2 287.407 3.527 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1Cc1cnc2ccccn12 ZINC000533291932 330356285 /nfs/dbraw/zinc/35/62/85/330356285.db2.gz LVNBSNUGRKGIHN-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1Cc1cnc2ccccn12 ZINC000533291932 330356286 /nfs/dbraw/zinc/35/62/86/330356286.db2.gz LVNBSNUGRKGIHN-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO c1coc(C[NH2+]Cc2ncc(-c3ccccc3)s2)c1 ZINC000083239839 330356687 /nfs/dbraw/zinc/35/66/87/330356687.db2.gz IJUUGXCZXBQGQO-UHFFFAOYSA-N 1 2 270.357 3.693 20 0 CHADLO Cc1cc(NC(=O)/C=C/c2ccnc3ccccc23)cc[nH+]1 ZINC000117800980 330366133 /nfs/dbraw/zinc/36/61/33/330366133.db2.gz RLFMAGAOMXBLOW-VOTSOKGWSA-N 1 2 289.338 3.590 20 0 CHADLO CC[C@H](Oc1cccc(C)c1)C(=O)Nc1ccc(C)[nH+]c1C ZINC000119039289 330369396 /nfs/dbraw/zinc/36/93/96/330369396.db2.gz SDMQMPLXNRLXPJ-KRWDZBQOSA-N 1 2 298.386 3.803 20 0 CHADLO Cn1ccnc1C[N@H+](C)Cc1ccc(-c2ccccc2)cc1 ZINC000057620436 330377060 /nfs/dbraw/zinc/37/70/60/330377060.db2.gz LQVLDCNEXJQWSZ-UHFFFAOYSA-N 1 2 291.398 3.719 20 0 CHADLO Cn1ccnc1C[N@@H+](C)Cc1ccc(-c2ccccc2)cc1 ZINC000057620436 330377061 /nfs/dbraw/zinc/37/70/61/330377061.db2.gz LQVLDCNEXJQWSZ-UHFFFAOYSA-N 1 2 291.398 3.719 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1Cl)c1cnccn1 ZINC000090180421 330390897 /nfs/dbraw/zinc/39/08/97/330390897.db2.gz MJTALFRJLDCJNL-ZJUUUORDSA-N 1 2 279.746 3.681 20 0 CHADLO CC(C)Oc1ccccc1CSCCn1cc[nH+]c1 ZINC000090200613 330391198 /nfs/dbraw/zinc/39/11/98/330391198.db2.gz VKTLHZRIQNQHTI-UHFFFAOYSA-N 1 2 276.405 3.604 20 0 CHADLO Cc1ccc(OCCCSCCn2cc[nH+]c2)cc1C ZINC000090200202 330391237 /nfs/dbraw/zinc/39/12/37/330391237.db2.gz QKSRXZMTPRNSMT-UHFFFAOYSA-N 1 2 290.432 3.702 20 0 CHADLO CCSCc1cc[nH+]c(NCc2occc2C)c1 ZINC000090228357 330391641 /nfs/dbraw/zinc/39/16/41/330391641.db2.gz SJDVRFFZAKTSOM-UHFFFAOYSA-N 1 2 262.378 3.848 20 0 CHADLO CCCC[C@@H](CCC)NC(=O)Nc1cc[nH+]cc1C ZINC000120844178 330392931 /nfs/dbraw/zinc/39/29/31/330392931.db2.gz GZTZIKBDZHICJE-CYBMUJFWSA-N 1 2 263.385 3.870 20 0 CHADLO CCc1cnc(C[NH2+]C(C)(C)c2cccc(C)c2)o1 ZINC000537088879 330397745 /nfs/dbraw/zinc/39/77/45/330397745.db2.gz DDTAIPWWZBGBNV-UHFFFAOYSA-N 1 2 258.365 3.570 20 0 CHADLO Cc1cccc2c1CC[C@@H]2NC(=O)Nc1cc[nH+]cc1C ZINC000121278924 330399237 /nfs/dbraw/zinc/39/92/37/330399237.db2.gz XDNJSVDUGBCPLD-INIZCTEOSA-N 1 2 281.359 3.507 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(Cl)c(F)c1 ZINC000091495747 330401419 /nfs/dbraw/zinc/40/14/19/330401419.db2.gz URFHENKHIRPDDG-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO c1ccc(CSc2cccc(C[NH+]3CCOCC3)c2)cc1 ZINC000516303663 330491160 /nfs/dbraw/zinc/49/11/60/330491160.db2.gz IAZYKLYZWQSOIC-UHFFFAOYSA-N 1 2 299.439 3.811 20 0 CHADLO COC(=O)[C@H]([NH2+]CC(C)(C)C(C)(C)C)c1ccsc1 ZINC000431121854 330579438 /nfs/dbraw/zinc/57/94/38/330579438.db2.gz RUGUNFZJOGOZHX-GFCCVEGCSA-N 1 2 283.437 3.624 20 0 CHADLO COC(=O)[C@@H]([NH2+]CC(C)(C)C(C)(C)C)c1ccccc1 ZINC000431125086 330579506 /nfs/dbraw/zinc/57/95/06/330579506.db2.gz ITCLFMJYCFURFK-AWEZNQCLSA-N 1 2 277.408 3.563 20 0 CHADLO Fc1ccc(C[NH2+]Cc2nccs2)c(Cl)c1Cl ZINC000432238096 330595486 /nfs/dbraw/zinc/59/54/86/330595486.db2.gz NBFQHUILLSEJJC-UHFFFAOYSA-N 1 2 291.178 3.879 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@@H](C)C[C@@H]2c2ccco2)[nH+]1 ZINC000433766982 330630917 /nfs/dbraw/zinc/63/09/17/330630917.db2.gz STFXSKNZKPJVIZ-BXUZGUMPSA-N 1 2 296.374 3.837 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCC[C@@H](C(C)C)CC2)[nH+]1 ZINC000433799180 330631499 /nfs/dbraw/zinc/63/14/99/330631499.db2.gz PCAMFOPVOFDCSL-CYBMUJFWSA-N 1 2 272.396 3.529 20 0 CHADLO CCCC[C@H]([NH2+][C@@H]1C[C@H]1c1ccc(Cl)cc1)C(=O)OC ZINC000435672751 330659896 /nfs/dbraw/zinc/65/98/96/330659896.db2.gz KRVSIGQHNPXLOD-SOUVJXGZSA-N 1 2 295.810 3.517 20 0 CHADLO Cc1c[nH+]cc(NCc2cc3c(cccc3F)[nH]2)c1 ZINC000436020943 330667550 /nfs/dbraw/zinc/66/75/50/330667550.db2.gz RUNVBZPHSBOEDG-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO COc1cccc2c(N(C)Cc3cccs3)cc[nH+]c12 ZINC000436186154 330670369 /nfs/dbraw/zinc/67/03/69/330670369.db2.gz OTKHKIWWSMKBMC-UHFFFAOYSA-N 1 2 284.384 3.941 20 0 CHADLO Cc1ccc(-n2ccnc2SCc2c[nH+]cn2C)c(C)c1 ZINC000439539917 330740153 /nfs/dbraw/zinc/74/01/53/330740153.db2.gz HNIOEURYOPPIJL-UHFFFAOYSA-N 1 2 298.415 3.515 20 0 CHADLO Cn1c[nH+]cc1COc1ccc(OC2CCCCC2)cc1 ZINC000439556013 330740461 /nfs/dbraw/zinc/74/04/61/330740461.db2.gz ISUIHDFSFVOILX-UHFFFAOYSA-N 1 2 286.375 3.711 20 0 CHADLO c1ccc(C[C@H]2CCCN2c2cc[nH+]c3ccncc32)cc1 ZINC000444113176 330810203 /nfs/dbraw/zinc/81/02/03/330810203.db2.gz FDOXBSAZKPDLLS-MRXNPFEDSA-N 1 2 289.382 3.841 20 0 CHADLO Fc1cc(F)cc(CSc2[nH+]cc3ccccn32)c1 ZINC000445563698 330831577 /nfs/dbraw/zinc/83/15/77/330831577.db2.gz CMFIQQNMLNMMEH-UHFFFAOYSA-N 1 2 276.311 3.905 20 0 CHADLO CC[C@H]1CCCC[C@H]1NC(=O)Nc1ccc(C)[nH+]c1C ZINC000447176682 330862525 /nfs/dbraw/zinc/86/25/25/330862525.db2.gz RSBLNLWKYJKWEZ-DZGCQCFKSA-N 1 2 275.396 3.789 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(OCC(F)(F)F)cc1)C(F)F ZINC000449358386 330918383 /nfs/dbraw/zinc/91/83/83/330918383.db2.gz FVAIOELQFMMJCX-NSHDSACASA-N 1 2 297.267 3.761 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc(-c2ccco2)s1)C(F)F ZINC000449366329 330919130 /nfs/dbraw/zinc/91/91/30/330919130.db2.gz YTJRZXQAHDSGSO-VIFPVBQESA-N 1 2 272.320 3.536 20 0 CHADLO FC(F)Oc1ccc(Cl)cc1C[NH+]1CC2(CC2)C1 ZINC000449370003 330919405 /nfs/dbraw/zinc/91/94/05/330919405.db2.gz HEJZKTBKPQESIT-UHFFFAOYSA-N 1 2 273.710 3.537 20 0 CHADLO CCc1ccc(NCc2c[nH+]c3c(C)cccn23)cc1OC ZINC000449654019 330942114 /nfs/dbraw/zinc/94/21/14/330942114.db2.gz USBRYRGLLNLQEG-UHFFFAOYSA-N 1 2 295.386 3.826 20 0 CHADLO Cc1cc(NCC[C@H](C)CCO)c2cccc(F)c2[nH+]1 ZINC000450570744 330987276 /nfs/dbraw/zinc/98/72/76/330987276.db2.gz XLTMNWXZSGFITN-NSHDSACASA-N 1 2 276.355 3.503 20 0 CHADLO Cc1cc[nH+]c(NCC(C)(C)c2ccccn2)c1Cl ZINC000450612229 330989193 /nfs/dbraw/zinc/98/91/93/330989193.db2.gz JQEIETFWSNNECQ-UHFFFAOYSA-N 1 2 275.783 3.828 20 0 CHADLO Cc1cccc2nc(N[C@H]3C[C@@H](C)n4cc[nH+]c43)sc21 ZINC000450827169 331001459 /nfs/dbraw/zinc/00/14/59/331001459.db2.gz HIARBVDFSOQTOM-PWSUYJOCSA-N 1 2 284.388 3.919 20 0 CHADLO C[C@H]1C[C@@H](Nc2nc3ccccc3s2)c2[nH+]ccn21 ZINC000450835985 331001868 /nfs/dbraw/zinc/00/18/68/331001868.db2.gz YHFGZOKTEMIAAU-GXSJLCMTSA-N 1 2 270.361 3.611 20 0 CHADLO C[C@H]1C[C@H](Nc2nc3ccccc3s2)c2[nH+]ccn21 ZINC000450835988 331002019 /nfs/dbraw/zinc/00/20/19/331002019.db2.gz YHFGZOKTEMIAAU-ONGXEEELSA-N 1 2 270.361 3.611 20 0 CHADLO CC(C)c1nc(N2CC3(CCC3)[C@H]2c2ccco2)cc[nH+]1 ZINC000450860384 331003365 /nfs/dbraw/zinc/00/33/65/331003365.db2.gz NUISLGNBZNKMDM-OAHLLOKOSA-N 1 2 283.375 3.925 20 0 CHADLO Cc1cc(F)ccc1[C@@H]1CCCN1c1cccc[nH+]1 ZINC000450907865 331006364 /nfs/dbraw/zinc/00/63/64/331006364.db2.gz GLUCAWNIINKGSH-HNNXBMFYSA-N 1 2 256.324 3.871 20 0 CHADLO c1ccc(C[N@@H+]2CCCC[C@@H]2c2nc3ccccc3[nH]2)nc1 ZINC000451880343 331046056 /nfs/dbraw/zinc/04/60/56/331046056.db2.gz VRUNOWOCZQQWBV-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO c1ccc(C[N@H+]2CCCC[C@@H]2c2nc3ccccc3[nH]2)nc1 ZINC000451880343 331046057 /nfs/dbraw/zinc/04/60/57/331046057.db2.gz VRUNOWOCZQQWBV-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)N[C@H](c1ccco1)c1ccccc1 ZINC000453076478 331086878 /nfs/dbraw/zinc/08/68/78/331086878.db2.gz HVASLQBHFQUQCV-YOEHRIQHSA-N 1 2 295.386 3.936 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccncn1)c1nc(C(C)(C)C)cs1 ZINC000453091388 331088249 /nfs/dbraw/zinc/08/82/49/331088249.db2.gz CTCWMRTZQRKVFW-QWRGUYRKSA-N 1 2 290.436 3.642 20 0 CHADLO CC/C(C)=C\c1nc(Cn2c(CC)[nH+]c3ccccc32)no1 ZINC000453140824 331093579 /nfs/dbraw/zinc/09/35/79/331093579.db2.gz GRBPKGFAELEDHR-BENRWUELSA-N 1 2 296.374 3.843 20 0 CHADLO C[C@@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000453143283 331093801 /nfs/dbraw/zinc/09/38/01/331093801.db2.gz DRKXMDVZXROZLD-GPCCPHFNSA-N 1 2 277.318 3.518 20 0 CHADLO CCCn1ncc(NCc2[nH+]ccn2C(C)C)c1C1CC1 ZINC000453146853 331094172 /nfs/dbraw/zinc/09/41/72/331094172.db2.gz ITAJQRGXBXMVRC-UHFFFAOYSA-N 1 2 287.411 3.560 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1Cl)c1ccncn1 ZINC000453151769 331094664 /nfs/dbraw/zinc/09/46/64/331094664.db2.gz OAHJOUUNMKQEHX-ZJUUUORDSA-N 1 2 279.746 3.681 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1Cl)c1ccncn1 ZINC000453151766 331094689 /nfs/dbraw/zinc/09/46/89/331094689.db2.gz OAHJOUUNMKQEHX-NXEZZACHSA-N 1 2 279.746 3.681 20 0 CHADLO CCc1ccc(N[C@@H](C)c2[nH+]ccn2CC)cc1F ZINC000453171355 331096917 /nfs/dbraw/zinc/09/69/17/331096917.db2.gz IVZDALZRSNLVMY-NSHDSACASA-N 1 2 261.344 3.778 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)N[C@H](CC(C)(C)C)C(F)(F)F ZINC000453193126 331099679 /nfs/dbraw/zinc/09/96/79/331099679.db2.gz DRVVVDLZAMHVBN-GHMZBOCLSA-N 1 2 291.361 3.921 20 0 CHADLO CC[C@@H](C)n1ncc(N[C@H](C)c2[nH+]ccn2CC)c1C ZINC000453200252 331100639 /nfs/dbraw/zinc/10/06/39/331100639.db2.gz ALPOUOXZEDHRIA-VXGBXAGGSA-N 1 2 275.400 3.552 20 0 CHADLO CCCCn1ncc(N[C@H]2CCn3cc[nH+]c32)c1C(C)C ZINC000453208359 331101463 /nfs/dbraw/zinc/10/14/63/331101463.db2.gz KAKZJIMXGTUOGD-ZDUSSCGKSA-N 1 2 287.411 3.560 20 0 CHADLO CCC[C@@H](C)Cc1nc(CCc2[nH+]cccc2C)no1 ZINC000453218862 331102839 /nfs/dbraw/zinc/10/28/39/331102839.db2.gz HFHTWNLQYYYHPD-GFCCVEGCSA-N 1 2 273.380 3.537 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)CCCc1cccnc1)C(F)(F)F ZINC000453223097 331103340 /nfs/dbraw/zinc/10/33/40/331103340.db2.gz PEFDQOWNSZQUOR-JSGCOSHPSA-N 1 2 288.357 3.969 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccccc1OC(F)F)C(F)F ZINC000453232029 331104552 /nfs/dbraw/zinc/10/45/52/331104552.db2.gz GBBKMVUDLGMADA-WCBMZHEXSA-N 1 2 279.277 3.982 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(OC)c(OC)c1C)C(F)F ZINC000453248153 331107092 /nfs/dbraw/zinc/10/70/92/331107092.db2.gz OPZREYNQJZHLIU-CMPLNLGQSA-N 1 2 287.350 3.707 20 0 CHADLO CC[C@@H]([NH2+][C@H](CC)C(F)F)c1ccc(OC)cc1 ZINC000453250023 331107465 /nfs/dbraw/zinc/10/74/65/331107465.db2.gz RFYXZNMMNQPRMG-CHWSQXEVSA-N 1 2 257.324 3.780 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@@H](CC)C(F)F ZINC000453254116 331108038 /nfs/dbraw/zinc/10/80/38/331108038.db2.gz XSTGIAYELOWLCA-JQWIXIFHSA-N 1 2 257.324 3.780 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(F)cc1N(C)C ZINC000453281600 331110675 /nfs/dbraw/zinc/11/06/75/331110675.db2.gz HJSLVPKEEYKMTH-UWVGGRQHSA-N 1 2 274.330 3.586 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(-n2cccn2)cc1)C(C)(F)F ZINC000453299561 331112952 /nfs/dbraw/zinc/11/29/52/331112952.db2.gz USNJPJKCJGCFFD-NWDGAFQWSA-N 1 2 279.334 3.567 20 0 CHADLO COc1ccc2c(c1)[C@H]([NH2+][C@@H](C)C(C)(F)F)CCS2 ZINC000453301763 331113342 /nfs/dbraw/zinc/11/33/42/331113342.db2.gz YCNMNMTVMVGYFO-JOYOIKCWSA-N 1 2 287.375 3.865 20 0 CHADLO COc1ccc2c(c1)[C@@H]([NH2+][C@@H](C)C(C)(F)F)CCS2 ZINC000453301761 331113377 /nfs/dbraw/zinc/11/33/77/331113377.db2.gz YCNMNMTVMVGYFO-CABZTGNLSA-N 1 2 287.375 3.865 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nn(C)cc2Cl)cc(C)c1F ZINC000453313060 331115081 /nfs/dbraw/zinc/11/50/81/331115081.db2.gz DBBUNCNUTWECCB-NSHDSACASA-N 1 2 295.789 3.680 20 0 CHADLO C[C@@H]1C[C@H](N[C@H](c2ccccc2)C(F)(F)F)c2[nH+]ccn21 ZINC000453341896 331119177 /nfs/dbraw/zinc/11/91/77/331119177.db2.gz HKXNDLIFXRHIDK-KGYLQXTDSA-N 1 2 295.308 3.782 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H]1CCc2c1c(F)ccc2F ZINC000453341989 331119354 /nfs/dbraw/zinc/11/93/54/331119354.db2.gz HWVXJTHRFNCMER-YGRLFVJLSA-N 1 2 291.345 3.519 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2cc(Cl)ccc2OC)o1 ZINC000453371550 331124151 /nfs/dbraw/zinc/12/41/51/331124151.db2.gz NPGGJELTRINOSW-SNVBAGLBSA-N 1 2 294.782 3.750 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccccc2OCC2CC2)c1 ZINC000453571864 331134469 /nfs/dbraw/zinc/13/44/69/331134469.db2.gz GGPWZHXSIVHDTO-UHFFFAOYSA-N 1 2 298.386 3.800 20 0 CHADLO Cc1ccc([C@H](C)NC(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000454301845 331143778 /nfs/dbraw/zinc/14/37/78/331143778.db2.gz NMKAKCZYDUQOQU-HNNXBMFYSA-N 1 2 297.402 3.567 20 0 CHADLO C/C=C\c1ccc(NC(=O)N[C@@H](C)c2[nH+]ccn2CC)cc1 ZINC000455446589 331170142 /nfs/dbraw/zinc/17/01/42/331170142.db2.gz LQLWFNNTGWAQNJ-AGLBCWCQSA-N 1 2 298.390 3.819 20 0 CHADLO CCCN(CC(C)C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455477983 331170573 /nfs/dbraw/zinc/17/05/73/331170573.db2.gz MQCWKWHDXVLPOU-UHFFFAOYSA-N 1 2 277.412 3.907 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000455491154 331170885 /nfs/dbraw/zinc/17/08/85/331170885.db2.gz XTTZCACVQXCOBH-CYBMUJFWSA-N 1 2 297.402 3.932 20 0 CHADLO CCCN(CCC)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455487091 331170952 /nfs/dbraw/zinc/17/09/52/331170952.db2.gz SJVMLXDLDQFYCB-UHFFFAOYSA-N 1 2 263.385 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C)CC(C)(C)C)c(C)[nH+]1 ZINC000455533318 331172482 /nfs/dbraw/zinc/17/24/82/331172482.db2.gz UDKGJERCWQDRCM-GFCCVEGCSA-N 1 2 277.412 3.953 20 0 CHADLO C[C@H]([NH2+]Cc1c[nH]c(-c2ccccc2)n1)c1cccc(O)c1 ZINC000195454440 331173934 /nfs/dbraw/zinc/17/39/34/331173934.db2.gz GWBYNORQFFEULT-ZDUSSCGKSA-N 1 2 293.370 3.633 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000456354048 331190554 /nfs/dbraw/zinc/19/05/54/331190554.db2.gz OZZIZAKQLFVTJE-ZIAGYGMSSA-N 1 2 279.428 3.543 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000456366739 331191189 /nfs/dbraw/zinc/19/11/89/331191189.db2.gz GYKJYJHOMUGCCQ-MELADBBJSA-N 1 2 277.412 3.612 20 0 CHADLO C[C@H]1C[C@H](NC(=O)c2cc3ccccc3s2)c2[nH+]ccn21 ZINC000456385157 331191911 /nfs/dbraw/zinc/19/19/11/331191911.db2.gz OBLMYRHYBIPNTK-JQWIXIFHSA-N 1 2 297.383 3.534 20 0 CHADLO CC(C)CC1(C(=O)N[C@H]2C[C@H](C)n3cc[nH+]c32)CCCC1 ZINC000456396515 331192111 /nfs/dbraw/zinc/19/21/11/331192111.db2.gz BBNWNFHEBINPGL-KBPBESRZSA-N 1 2 289.423 3.612 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)CCCC2CCCCC2)c2[nH+]ccn21 ZINC000456397840 331192238 /nfs/dbraw/zinc/19/22/38/331192238.db2.gz TZMPHDHVFRUKGR-HIFRSBDPSA-N 1 2 289.423 3.756 20 0 CHADLO CC(C)CC(CC(C)C)C(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000456426069 331192541 /nfs/dbraw/zinc/19/25/41/331192541.db2.gz QKABAXKAWMNANE-DZGCQCFKSA-N 1 2 291.439 3.714 20 0 CHADLO CCC[C@H]1CCC[C@H]1C(=O)NCc1c[nH+]c(C)cc1C ZINC000456833026 331206834 /nfs/dbraw/zinc/20/68/34/331206834.db2.gz GCKCAIKCRXATRN-GOEBONIOSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@H](C)C2CCCCC2)c[nH+]1 ZINC000456843212 331207348 /nfs/dbraw/zinc/20/73/48/331207348.db2.gz HBAJOBBWUGPDCT-AWEZNQCLSA-N 1 2 288.435 3.921 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@@H]2C[C@H]2c2ccccc2)c[nH+]1 ZINC000456850276 331207446 /nfs/dbraw/zinc/20/74/46/331207446.db2.gz WGERNUPRGQYPLI-WMZOPIPTSA-N 1 2 294.398 3.508 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@H](C)c2ccccc2)c[nH+]1 ZINC000456864378 331207882 /nfs/dbraw/zinc/20/78/82/331207882.db2.gz SHXXHRBUZWZYFV-AWEZNQCLSA-N 1 2 282.387 3.508 20 0 CHADLO CCc1sc(C(=O)NCc2c[nH+]c(C)cc2C)cc1C ZINC000456870459 331208041 /nfs/dbraw/zinc/20/80/41/331208041.db2.gz ZJLKRNPDJHCSPO-UHFFFAOYSA-N 1 2 288.416 3.561 20 0 CHADLO Cc1cc(C)c(CNC(=O)C(C)(C)c2ccccc2C)c[nH+]1 ZINC000456870547 331208082 /nfs/dbraw/zinc/20/80/82/331208082.db2.gz ZOFVSZNVEJMDAN-UHFFFAOYSA-N 1 2 296.414 3.601 20 0 CHADLO CC[C@H](CC(F)F)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000457500886 331225011 /nfs/dbraw/zinc/22/50/11/331225011.db2.gz JELBBDNSNVDBIR-SNVBAGLBSA-N 1 2 293.317 3.697 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@]2(C)CC[C@H](C)C2(C)C)c[nH+]1 ZINC000457843920 331236337 /nfs/dbraw/zinc/23/63/37/331236337.db2.gz LLYOKPJWNQCZIO-UGSOOPFHSA-N 1 2 288.435 3.777 20 0 CHADLO CCCCN(CCCC)C(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21 ZINC000459073433 331269298 /nfs/dbraw/zinc/26/92/98/331269298.db2.gz BEKKCNUGXXGYRG-KBPBESRZSA-N 1 2 292.427 3.501 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1CC1CCC(F)(F)CC1 ZINC000459426852 331280850 /nfs/dbraw/zinc/28/08/50/331280850.db2.gz FJZIIGBZLYVKDA-NSHDSACASA-N 1 2 267.310 3.932 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1CC1CCC(F)(F)CC1 ZINC000459426852 331280851 /nfs/dbraw/zinc/28/08/51/331280851.db2.gz FJZIIGBZLYVKDA-NSHDSACASA-N 1 2 267.310 3.932 20 0 CHADLO FC(F)(F)c1cnc(C[NH+]2CC(C3CCCC3)C2)s1 ZINC000459622468 331290884 /nfs/dbraw/zinc/29/08/84/331290884.db2.gz YGWRSKDWAVQFAN-UHFFFAOYSA-N 1 2 290.354 3.784 20 0 CHADLO COCCC1(C)C[NH+](Cc2cc(Cl)cc(Cl)c2)C1 ZINC000459634211 331291433 /nfs/dbraw/zinc/29/14/33/331291433.db2.gz QYDWQOUSBKMGRI-UHFFFAOYSA-N 1 2 288.218 3.852 20 0 CHADLO COCCC1(C)C[NH+](Cc2c(F)cc(C)cc2Cl)C1 ZINC000459634425 331291440 /nfs/dbraw/zinc/29/14/40/331291440.db2.gz MZBIEQQOXQBSPQ-UHFFFAOYSA-N 1 2 285.790 3.646 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2ccccc2F)C1 ZINC000459641749 331291764 /nfs/dbraw/zinc/29/17/64/331291764.db2.gz RZFKVJIQDGYEOI-UHFFFAOYSA-N 1 2 261.262 3.600 20 0 CHADLO Cc1ncc(C[NH2+]Cc2csc(-c3ccc(C)cc3)n2)o1 ZINC000459676300 331293737 /nfs/dbraw/zinc/29/37/37/331293737.db2.gz NOQXLNGLKDFDDN-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CCc3cccc(Cl)c3C2)cn1 ZINC000459716028 331295534 /nfs/dbraw/zinc/29/55/34/331295534.db2.gz VQSWQYDCESJVHD-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1cc(C[N@H+]2CCc3cccc(Cl)c3C2)cn1 ZINC000459716028 331295535 /nfs/dbraw/zinc/29/55/35/331295535.db2.gz VQSWQYDCESJVHD-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO COc1cccc([C@H](C)[N@@H+]2Cc3cccc(F)c3C2)c1 ZINC000459732664 331296693 /nfs/dbraw/zinc/29/66/93/331296693.db2.gz MLDVMRXSPFYGKJ-LBPRGKRZSA-N 1 2 271.335 3.911 20 0 CHADLO COc1cccc([C@H](C)[N@H+]2Cc3cccc(F)c3C2)c1 ZINC000459732664 331296694 /nfs/dbraw/zinc/29/66/94/331296694.db2.gz MLDVMRXSPFYGKJ-LBPRGKRZSA-N 1 2 271.335 3.911 20 0 CHADLO C[C@@H]([NH2+]Cc1c(Cl)oc2ccccc21)c1ccn(C)n1 ZINC000459739166 331297205 /nfs/dbraw/zinc/29/72/05/331297205.db2.gz DEIAMZQWQMJAPP-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO Cc1cc(C)c(NC(=O)CC2CC(C)(C)C2)c(C)[nH+]1 ZINC000459931220 331303606 /nfs/dbraw/zinc/30/36/06/331303606.db2.gz OABSUMBFFTXFMF-UHFFFAOYSA-N 1 2 260.381 3.772 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1cncs1 ZINC000165742644 331306347 /nfs/dbraw/zinc/30/63/47/331306347.db2.gz KXIJUVUWCXDMGZ-GFCCVEGCSA-N 1 2 288.420 3.702 20 0 CHADLO Cc1cc(N2CC[C@@H](C)C3(CCCCC3)C2)nc[nH+]1 ZINC000462700167 331325364 /nfs/dbraw/zinc/32/53/64/331325364.db2.gz LSICPMHBCPWKKL-CYBMUJFWSA-N 1 2 259.397 3.582 20 0 CHADLO COc1c(C)c[nH+]c(CS[C@@H](C)C(C)C)c1C ZINC000463234925 331343304 /nfs/dbraw/zinc/34/33/04/331343304.db2.gz KNJPNEIFIXHIQX-LBPRGKRZSA-N 1 2 253.411 3.985 20 0 CHADLO c1c2ccccc2n2c1C[N@H+]([C@H]1C=CCCC1)CC2 ZINC000463426838 331347470 /nfs/dbraw/zinc/34/74/70/331347470.db2.gz RKOLUTBQLMMDRX-HNNXBMFYSA-N 1 2 252.361 3.566 20 0 CHADLO c1c2ccccc2n2c1C[N@@H+]([C@H]1C=CCCC1)CC2 ZINC000463426838 331347471 /nfs/dbraw/zinc/34/74/71/331347471.db2.gz RKOLUTBQLMMDRX-HNNXBMFYSA-N 1 2 252.361 3.566 20 0 CHADLO Cn1c2cccc(Cl)c2[nH+]c1NCc1ccc(Cl)o1 ZINC000464351190 331359868 /nfs/dbraw/zinc/35/98/68/331359868.db2.gz JPXPFDCPSUXFRH-UHFFFAOYSA-N 1 2 296.157 3.507 20 0 CHADLO CC(C)[N@@H+]1CCc2c(Br)ccc(Cl)c2C1 ZINC000464576787 331363063 /nfs/dbraw/zinc/36/30/63/331363063.db2.gz MLHITTFUNUGEQK-UHFFFAOYSA-N 1 2 288.616 3.869 20 0 CHADLO CC(C)[N@H+]1CCc2c(Br)ccc(Cl)c2C1 ZINC000464576787 331363064 /nfs/dbraw/zinc/36/30/64/331363064.db2.gz MLHITTFUNUGEQK-UHFFFAOYSA-N 1 2 288.616 3.869 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H]1CCC[C@@H]([C@H]2CCOC2)C1)C(F)(F)F ZINC000467356898 331416060 /nfs/dbraw/zinc/41/60/60/331416060.db2.gz YPBZNVLGOUAOLB-RQJABVFESA-N 1 2 293.373 3.758 20 0 CHADLO C[C@H]([NH2+]C[C@@H](C)c1cccc(Cl)c1)C(=O)OC(C)(C)C ZINC000470355493 331480253 /nfs/dbraw/zinc/48/02/53/331480253.db2.gz WMMLIDLUSJZFJO-NEPJUHHUSA-N 1 2 297.826 3.763 20 0 CHADLO OCc1cccc(NCc2ccc(-c3ccccc3)s2)[nH+]1 ZINC000472583090 331539103 /nfs/dbraw/zinc/53/91/03/331539103.db2.gz ZAABXRQKXSMROE-UHFFFAOYSA-N 1 2 296.395 3.915 20 0 CHADLO CC(C)[C@H](NC(=O)CCCC1CCCCC1)c1[nH]cc[nH+]1 ZINC000473306931 331550426 /nfs/dbraw/zinc/55/04/26/331550426.db2.gz YXEHZFRRLMIBNE-INIZCTEOSA-N 1 2 291.439 3.974 20 0 CHADLO Cc1ccc(CCCN(CC(C)C)CC(F)(F)F)c[nH+]1 ZINC000474154272 331573871 /nfs/dbraw/zinc/57/38/71/331573871.db2.gz YEICYRYGAPJWJX-UHFFFAOYSA-N 1 2 288.357 3.843 20 0 CHADLO COC(=O)[C@H]([NH2+]C[C@H]1C(C)=CCC[C@H]1C)c1cccs1 ZINC000475142317 331616231 /nfs/dbraw/zinc/61/62/31/331616231.db2.gz WXKCVBIYIFSFNN-VNHYZAJKSA-N 1 2 293.432 3.544 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3ccn(C4CCCC4)n3)CC2)cc1 ZINC000477656149 331688280 /nfs/dbraw/zinc/68/82/80/331688280.db2.gz YRNVZXVVBXEMSA-UHFFFAOYSA-N 1 2 299.393 3.916 20 0 CHADLO Cc1cc[nH+]cc1NCc1cccc(C(=O)OC(C)(C)C)c1 ZINC000478356575 331704441 /nfs/dbraw/zinc/70/44/41/331704441.db2.gz OLXOOPPEYBVUBL-UHFFFAOYSA-N 1 2 298.386 3.957 20 0 CHADLO CC[C@H](Nc1cccc(-c2[nH+]ccn2C)c1)C(C)C ZINC000483245588 331864935 /nfs/dbraw/zinc/86/49/35/331864935.db2.gz ILHXROAPSUZHRY-HNNXBMFYSA-N 1 2 257.381 3.934 20 0 CHADLO CC[C@@H](C[NH2+]CC(F)(F)C(F)F)c1ccccc1 ZINC000483422356 331873968 /nfs/dbraw/zinc/87/39/68/331873968.db2.gz WRTILLODTSVIIZ-JTQLQIEISA-N 1 2 263.278 3.670 20 0 CHADLO CC[C@@H]1CN(Cc2cn3ccccc3[nH+]2)c2ccccc2O1 ZINC000484742650 331904847 /nfs/dbraw/zinc/90/48/47/331904847.db2.gz ZDUOMXMCDDRDLR-OAHLLOKOSA-N 1 2 293.370 3.512 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@@H]1CCC[C@H](C)[C@@H]1C ZINC000485500725 331915735 /nfs/dbraw/zinc/91/57/35/331915735.db2.gz REDRDOAXZZBONM-WHOFXGATSA-N 1 2 289.423 3.892 20 0 CHADLO FC(F)n1ccc(C[NH+]2CCC(c3ccsc3)CC2)n1 ZINC000486916763 331948941 /nfs/dbraw/zinc/94/89/41/331948941.db2.gz VAKMSOZAGOGIDZ-UHFFFAOYSA-N 1 2 297.374 3.719 20 0 CHADLO FC1(F)CCC[C@H](Nc2ccc3ccccc3[nH+]2)C1 ZINC000486910981 331949296 /nfs/dbraw/zinc/94/92/96/331949296.db2.gz MLWREXHEGVSDRV-LBPRGKRZSA-N 1 2 262.303 3.647 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@@H](C)[C@H]1CC1(C)C ZINC000486960366 331952340 /nfs/dbraw/zinc/95/23/40/331952340.db2.gz UMHVFAUMHORVSE-GOEBONIOSA-N 1 2 288.435 3.678 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cc2ccc(F)cc2[nH]1 ZINC000488348204 332001092 /nfs/dbraw/zinc/00/10/92/332001092.db2.gz CIWSSBOPIWQRGK-LBPRGKRZSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cc2ccc(F)cc2[nH]1 ZINC000488348204 332001095 /nfs/dbraw/zinc/00/10/95/332001095.db2.gz CIWSSBOPIWQRGK-LBPRGKRZSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@H]1COC(C)(C)C[N@@H+]1Cc1cnc(C2CCCC2)s1 ZINC000488359953 332001846 /nfs/dbraw/zinc/00/18/46/332001846.db2.gz BUSJEPRVMKHSOT-LBPRGKRZSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@H]1COC(C)(C)C[N@H+]1Cc1cnc(C2CCCC2)s1 ZINC000488359953 332001847 /nfs/dbraw/zinc/00/18/47/332001847.db2.gz BUSJEPRVMKHSOT-LBPRGKRZSA-N 1 2 294.464 3.800 20 0 CHADLO CC(C)[C@H]1C[C@H](Nc2ccc(N3CCCC3)c[nH+]2)CS1 ZINC000488437806 332008346 /nfs/dbraw/zinc/00/83/46/332008346.db2.gz ZWEYDWIAOVPMKK-DZGCQCFKSA-N 1 2 291.464 3.624 20 0 CHADLO Cc1cccc(CN(C)c2cc[nH+]c3ccncc32)c1C ZINC000488481534 332013017 /nfs/dbraw/zinc/01/30/17/332013017.db2.gz QELDKROHQQCUEG-UHFFFAOYSA-N 1 2 277.371 3.883 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ccc(Br)cc2)CCO1 ZINC000488519369 332015182 /nfs/dbraw/zinc/01/51/82/332015182.db2.gz ISKCZAZIOHZCNM-BXUZGUMPSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ccc(Br)cc2)CCO1 ZINC000488519369 332015184 /nfs/dbraw/zinc/01/51/84/332015184.db2.gz ISKCZAZIOHZCNM-BXUZGUMPSA-N 1 2 298.224 3.621 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@H]2CC(=O)Nc1cc[nH+]cc1C ZINC000488866916 332030320 /nfs/dbraw/zinc/03/03/20/332030320.db2.gz GRENTSWOIAJBAD-HIFRSBDPSA-N 1 2 294.398 3.740 20 0 CHADLO Cc1cc(NC[C@H]2CCCC[C@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000489226835 332043859 /nfs/dbraw/zinc/04/38/59/332043859.db2.gz SGYCAPCCHBQEJV-CZUORRHYSA-N 1 2 296.418 3.507 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+][C@@H](c1ccccc1)C(C)(C)C ZINC000490004177 332076303 /nfs/dbraw/zinc/07/63/03/332076303.db2.gz MDVHJKJQEUHRLQ-HNNXBMFYSA-N 1 2 277.408 3.705 20 0 CHADLO C[C@H]1CCN(c2[nH+]ccc3ccc(F)cc32)CC1(F)F ZINC000491599754 332242303 /nfs/dbraw/zinc/24/23/03/332242303.db2.gz VASKUAJIKWRCDB-JTQLQIEISA-N 1 2 280.293 3.855 20 0 CHADLO CCC[C@H](C)c1cc(NC(=O)Nc2cc[nH+]c(C)c2)n[nH]1 ZINC000192288377 335102285 /nfs/dbraw/zinc/10/22/85/335102285.db2.gz DOUOIXFQFBDWHW-JTQLQIEISA-N 1 2 287.367 3.661 20 0 CHADLO CCc1cnc(C[NH2+]C(C)(C)c2ccccc2F)s1 ZINC000491999276 332378638 /nfs/dbraw/zinc/37/86/38/332378638.db2.gz LLPXABCXXADEKR-UHFFFAOYSA-N 1 2 278.396 3.870 20 0 CHADLO Cc1ccc(NC(=O)/C=C/c2ccc3[nH]ccc3c2)c(C)[nH+]1 ZINC000492257370 332389329 /nfs/dbraw/zinc/38/93/29/332389329.db2.gz DZUWJCQYPFQFAI-VMPITWQZSA-N 1 2 291.354 3.832 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1ccccc1C(C)(C)C ZINC000492280457 332390498 /nfs/dbraw/zinc/39/04/98/332390498.db2.gz XCBLOAZGMKMPDO-KHPPLWFESA-N 1 2 297.402 3.852 20 0 CHADLO Fc1cncc(C[NH2+][C@H](c2ccco2)c2ccccc2)c1 ZINC000494548922 332477917 /nfs/dbraw/zinc/47/79/17/332477917.db2.gz PEMMHOCYVYMYMD-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO CCC[C@@H](C)N(C)c1cc(CC)[nH+]c(-c2ccncc2)n1 ZINC000498817318 332573664 /nfs/dbraw/zinc/57/36/64/332573664.db2.gz KZYZOTQYQUXXHF-CYBMUJFWSA-N 1 2 284.407 3.726 20 0 CHADLO CC[C@@H]1C[C@@]1([NH2+]Cc1ncc(C)o1)c1cccc(C)c1 ZINC000502483836 332663079 /nfs/dbraw/zinc/66/30/79/332663079.db2.gz VVJNPOXIMVHNEX-PBHICJAKSA-N 1 2 270.376 3.706 20 0 CHADLO CCCc1nc(C[NH2+][C@]2(c3cccc(C)c3)C[C@@H]2CC)no1 ZINC000502582540 332666467 /nfs/dbraw/zinc/66/64/67/332666467.db2.gz RLACGCYHRXOKOV-KBXCAEBGSA-N 1 2 299.418 3.746 20 0 CHADLO CCCC[C@@H](C)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000503165209 332683065 /nfs/dbraw/zinc/68/30/65/332683065.db2.gz KEVDTECHENGYAM-CYBMUJFWSA-N 1 2 285.391 3.852 20 0 CHADLO CCCC[C@H](C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000503463502 332690055 /nfs/dbraw/zinc/69/00/55/332690055.db2.gz IDQZVWCXNTZOOS-LBPRGKRZSA-N 1 2 271.364 3.842 20 0 CHADLO C/C=C\C[C@H]([NH2+]C/C(C)=C\c1ccccc1)C(=O)OCC ZINC000503571521 332692889 /nfs/dbraw/zinc/69/28/89/332692889.db2.gz PPJJAWJYWRYUEB-WPJDWSSDSA-N 1 2 287.403 3.577 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@@H]1CC2CCC1CC2 ZINC000568982153 334849474 /nfs/dbraw/zinc/84/94/74/334849474.db2.gz JBEOOROCNPENBT-NRXISQOPSA-N 1 2 287.407 3.646 20 0 CHADLO C/C(=C/c1ccc(Cl)cc1)C[NH2+]Cc1cn[nH]c1C ZINC000513501225 333023172 /nfs/dbraw/zinc/02/31/72/333023172.db2.gz RNOFIJKOLZRAOL-XFFZJAGNSA-N 1 2 275.783 3.565 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCC(C)(C)c1ccccc1 ZINC000513780042 333038836 /nfs/dbraw/zinc/03/88/36/333038836.db2.gz PHCDXDNWUSJEGT-UHFFFAOYSA-N 1 2 296.414 3.762 20 0 CHADLO Cc1cscc1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000176464288 333042258 /nfs/dbraw/zinc/04/22/58/333042258.db2.gz PAMZXJAJDUSTHD-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cncc(Br)c1)C(F)F ZINC000575815191 335118551 /nfs/dbraw/zinc/11/85/51/335118551.db2.gz DDLWKBZFNMJQAY-GMSGAONNSA-N 1 2 293.155 3.538 20 0 CHADLO CCC[N@H+](CCO[C@H]1CCCC[C@H]1C)CC(F)F ZINC000514007256 333059518 /nfs/dbraw/zinc/05/95/18/333059518.db2.gz DGKUVIYKARHGTQ-OLZOCXBDSA-N 1 2 263.372 3.559 20 0 CHADLO CCC[N@@H+](CCO[C@H]1CCCC[C@H]1C)CC(F)F ZINC000514007256 333059519 /nfs/dbraw/zinc/05/95/19/333059519.db2.gz DGKUVIYKARHGTQ-OLZOCXBDSA-N 1 2 263.372 3.559 20 0 CHADLO CCC[N@H+](CCO[C@@H]1CCCC[C@@H]1C)CC(F)F ZINC000514007257 333059777 /nfs/dbraw/zinc/05/97/77/333059777.db2.gz DGKUVIYKARHGTQ-QWHCGFSZSA-N 1 2 263.372 3.559 20 0 CHADLO CCC[N@@H+](CCO[C@@H]1CCCC[C@@H]1C)CC(F)F ZINC000514007257 333059779 /nfs/dbraw/zinc/05/97/79/333059779.db2.gz DGKUVIYKARHGTQ-QWHCGFSZSA-N 1 2 263.372 3.559 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@H+](C)Cc1cccnc1 ZINC000178079121 333067678 /nfs/dbraw/zinc/06/76/78/333067678.db2.gz RUWNKGZOKCXLAY-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@@H+](C)Cc1cccnc1 ZINC000178079121 333067679 /nfs/dbraw/zinc/06/76/79/333067679.db2.gz RUWNKGZOKCXLAY-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO CC1(C)CC[C@H]1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000311858153 333073427 /nfs/dbraw/zinc/07/34/27/333073427.db2.gz QFYVDQBVXSSUMW-CQSZACIVSA-N 1 2 259.397 3.672 20 0 CHADLO FC(F)(F)c1ccc(Cl)c(C[NH2+]Cc2ccno2)c1 ZINC000516971029 333088328 /nfs/dbraw/zinc/08/83/28/333088328.db2.gz ISIMBGWNRBRVCV-UHFFFAOYSA-N 1 2 290.672 3.637 20 0 CHADLO COc1cc[nH+]cc1COc1cc(C)cc(C)c1C ZINC000517052988 333091064 /nfs/dbraw/zinc/09/10/64/333091064.db2.gz VWHMXRTZELTPQE-UHFFFAOYSA-N 1 2 257.333 3.594 20 0 CHADLO COc1cc[nH+]cc1COc1cccc(C(C)C)c1 ZINC000575831120 335120593 /nfs/dbraw/zinc/12/05/93/335120593.db2.gz FWVCKSOANYXZQT-UHFFFAOYSA-N 1 2 257.333 3.793 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cnc3cccc(C)n23)c1 ZINC000517275280 333096819 /nfs/dbraw/zinc/09/68/19/333096819.db2.gz UXOVPUFMOHLGGZ-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cnc3cccc(C)n23)c1 ZINC000517275280 333096820 /nfs/dbraw/zinc/09/68/20/333096820.db2.gz UXOVPUFMOHLGGZ-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(C)c(Cl)c2)c1 ZINC000214115076 333116453 /nfs/dbraw/zinc/11/64/53/333116453.db2.gz OZDWFJZUYSTQIS-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2cncc(C)c2)c[nH+]1 ZINC000184451836 333128953 /nfs/dbraw/zinc/12/89/53/333128953.db2.gz ICGPYGYWYJCSHY-CQSZACIVSA-N 1 2 284.407 3.804 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1cc(O)cc(F)c1 ZINC000185555893 333143654 /nfs/dbraw/zinc/14/36/54/333143654.db2.gz BWDMCALADVMSQA-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO CC(C)(C)OCC[N@@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000185589578 333144385 /nfs/dbraw/zinc/14/43/85/333144385.db2.gz WZLNGYSUKHRYKU-HNNXBMFYSA-N 1 2 297.826 3.528 20 0 CHADLO CC(C)(C)OCC[N@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000185589578 333144386 /nfs/dbraw/zinc/14/43/86/333144386.db2.gz WZLNGYSUKHRYKU-HNNXBMFYSA-N 1 2 297.826 3.528 20 0 CHADLO Cc1cncc(C[NH2+][C@H](C)c2csc(C(C)C)n2)c1 ZINC000186435634 333160859 /nfs/dbraw/zinc/16/08/59/333160859.db2.gz JJUQMBNTBKSOQP-GFCCVEGCSA-N 1 2 275.421 3.821 20 0 CHADLO C[C@H]1CCC[C@@H]1CC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000186650997 333163965 /nfs/dbraw/zinc/16/39/65/333163965.db2.gz CBZULLABPHKXAL-UONOGXRCSA-N 1 2 297.402 3.852 20 0 CHADLO C[C@@H]1CCC[C@H]1CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000186646196 333164141 /nfs/dbraw/zinc/16/41/41/333164141.db2.gz ZGGWMYDAFNZELC-KGLIPLIRSA-N 1 2 283.375 3.637 20 0 CHADLO O=C(C[C@@H]1C=CCCC1)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000186985257 333167040 /nfs/dbraw/zinc/16/70/40/333167040.db2.gz CGTPFWPIBQNHSG-CYBMUJFWSA-N 1 2 299.349 3.696 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ncc(C)o1)c1ccc(F)cc1 ZINC000220926961 333170907 /nfs/dbraw/zinc/17/09/07/333170907.db2.gz KBPPSFAKPALQGF-FZMZJTMJSA-N 1 2 262.328 3.924 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cncc(F)c1)c1c(F)cccc1F ZINC000222226846 333177563 /nfs/dbraw/zinc/17/75/63/333177563.db2.gz QDMCHIDKJHYDQO-VHSXEESVSA-N 1 2 280.293 3.911 20 0 CHADLO c1ccc(CO[C@H]2CCC[C@@H]2Nc2cccc[nH+]2)cc1 ZINC000152575996 333183460 /nfs/dbraw/zinc/18/34/60/333183460.db2.gz HNJCEQUIIYNTJK-HOTGVXAUSA-N 1 2 268.360 3.631 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)CC2(C)CC2)[nH+]1 ZINC000521742675 333186510 /nfs/dbraw/zinc/18/65/10/333186510.db2.gz NOHFPZDSAVDXLV-UHFFFAOYSA-N 1 2 269.348 3.514 20 0 CHADLO CC[C@@H](C)[C@H](O)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000521924208 333223570 /nfs/dbraw/zinc/22/35/70/333223570.db2.gz JANMOVDWOXUYKF-QMTHXVAHSA-N 1 2 278.783 3.707 20 0 CHADLO Clc1cccc(Cl)c1CNc1cc[nH+]c(C2CC2)n1 ZINC000521956141 333226918 /nfs/dbraw/zinc/22/69/18/333226918.db2.gz JCTQSAQTMNSWTI-UHFFFAOYSA-N 1 2 294.185 3.695 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC(C(C)(C)C)CC3)ccn12 ZINC000522012288 333230228 /nfs/dbraw/zinc/23/02/28/333230228.db2.gz HZLPEXMYDZBSQR-UHFFFAOYSA-N 1 2 299.418 3.541 20 0 CHADLO COc1ncccc1C[N@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000193458016 333250416 /nfs/dbraw/zinc/25/04/16/333250416.db2.gz XSMUEKGBHXJMNV-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ncccc1C[N@@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000193458016 333250417 /nfs/dbraw/zinc/25/04/17/333250417.db2.gz XSMUEKGBHXJMNV-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(CNc2ccc3ccccc3[nH+]2)o1 ZINC000522284674 333279119 /nfs/dbraw/zinc/27/91/19/333279119.db2.gz LFKFJRIWPYRODL-SWLSCSKDSA-N 1 2 278.355 3.985 20 0 CHADLO Cc1cc(C)cc([C@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000522566578 333290397 /nfs/dbraw/zinc/29/03/97/333290397.db2.gz LMKBLLQFVKQRQR-AWEZNQCLSA-N 1 2 281.403 3.874 20 0 CHADLO Cn1c(CCSc2cccs2)[nH+]c2ccccc21 ZINC000522830126 333296253 /nfs/dbraw/zinc/29/62/53/333296253.db2.gz LHKDQIORPULXIY-UHFFFAOYSA-N 1 2 274.414 3.970 20 0 CHADLO CCC[C@H](C)CC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000523318065 333318943 /nfs/dbraw/zinc/31/89/43/333318943.db2.gz MEDHBLFEEJDRFQ-LBPRGKRZSA-N 1 2 271.364 3.842 20 0 CHADLO CCc1cnc(C[NH2+]Cc2c(Cl)cccc2OC)s1 ZINC000230707046 333321271 /nfs/dbraw/zinc/32/12/71/333321271.db2.gz MOWAKAQFHLTGFO-UHFFFAOYSA-N 1 2 296.823 3.657 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1Cc1ccccc1OC(F)F ZINC000523671338 333336788 /nfs/dbraw/zinc/33/67/88/333336788.db2.gz CHEMWIGGGFRKJG-CQSZACIVSA-N 1 2 299.361 3.535 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1Cc1ccccc1OC(F)F ZINC000523671338 333336790 /nfs/dbraw/zinc/33/67/90/333336790.db2.gz CHEMWIGGGFRKJG-CQSZACIVSA-N 1 2 299.361 3.535 20 0 CHADLO COC(=O)[C@H]([NH2+]CC[C@H]1CCC[C@@H](C)C1)c1ccccc1 ZINC000524015725 333351344 /nfs/dbraw/zinc/35/13/44/333351344.db2.gz OJRXVYDZVQVITJ-BFYDXBDKSA-N 1 2 289.419 3.707 20 0 CHADLO COC(=O)[C@H]([NH2+]CC[C@@H]1CCCC[C@@H]1C)c1ccccc1 ZINC000524012872 333351357 /nfs/dbraw/zinc/35/13/57/333351357.db2.gz OMCSCSZIVBUTLD-YQQAZPJKSA-N 1 2 289.419 3.707 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@H](C)CC(F)(F)F ZINC000234918408 333363129 /nfs/dbraw/zinc/36/31/29/333363129.db2.gz MYMRRKIVFQHYCC-SECBINFHSA-N 1 2 284.281 3.996 20 0 CHADLO COC(=O)[C@@H]([NH2+]CC[C@H](C)c1ccccc1)c1ccccc1 ZINC000237091933 333377576 /nfs/dbraw/zinc/37/75/76/333377576.db2.gz WNTHJMQJUZNOBO-YJBOKZPZSA-N 1 2 297.398 3.684 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)c1cccc(F)c1F ZINC000315766519 333383680 /nfs/dbraw/zinc/38/36/80/333383680.db2.gz UOUFXDBIJKDELI-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)c3cc(F)ccc3C)ccc2n1C ZINC000525286165 333407393 /nfs/dbraw/zinc/40/73/93/333407393.db2.gz JPBVFQMOTMTSLW-UHFFFAOYSA-N 1 2 297.333 3.582 20 0 CHADLO C/C(Cl)=C\C[NH2+][C@H](C)c1nc(C2CCCCC2)no1 ZINC000528454593 333439013 /nfs/dbraw/zinc/43/90/13/333439013.db2.gz XHHHLVOXMNFSJM-RJCSOLBVSA-N 1 2 283.803 3.911 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2nc3ccccc3o2)C2CCC2)nc1 ZINC000528646760 333450531 /nfs/dbraw/zinc/45/05/31/333450531.db2.gz ASASROWPKHGPHX-SFHVURJKSA-N 1 2 293.370 3.854 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2nc3ccccc3o2)C2CCC2)nc1 ZINC000528646756 333450565 /nfs/dbraw/zinc/45/05/65/333450565.db2.gz ASASROWPKHGPHX-GOSISDBHSA-N 1 2 293.370 3.854 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@H](C)c3ccco3)ccc2n1C ZINC000528699444 333452892 /nfs/dbraw/zinc/45/28/92/333452892.db2.gz FFGLRRZUDZCIOQ-NSHDSACASA-N 1 2 297.358 3.607 20 0 CHADLO Cc1cccc([C@H]([NH2+]Cc2noc(C3CC3)n2)C(C)C)c1 ZINC000528770527 333456837 /nfs/dbraw/zinc/45/68/37/333456837.db2.gz XPUVFCILWBBXOB-MRXNPFEDSA-N 1 2 285.391 3.742 20 0 CHADLO Fc1cccc(NCc2cn3cc(Cl)ccc3[nH+]2)c1F ZINC000065207172 333590119 /nfs/dbraw/zinc/59/01/19/333590119.db2.gz ZQUAOZILMGSCEN-UHFFFAOYSA-N 1 2 293.704 3.878 20 0 CHADLO CCC[C@@H]([NH2+][C@H](CC)c1cccs1)C(=O)OCC ZINC000127322939 333626545 /nfs/dbraw/zinc/62/65/45/333626545.db2.gz DAAPEWQQGVHMDK-VXGBXAGGSA-N 1 2 269.410 3.521 20 0 CHADLO COc1cc(C)cc(CNc2cc[nH+]c(C(C)C)n2)c1 ZINC000128100686 333642348 /nfs/dbraw/zinc/64/23/48/333642348.db2.gz KGMWLODARRJBRQ-UHFFFAOYSA-N 1 2 271.364 3.529 20 0 CHADLO COCc1cccc(N[C@@H](c2[nH]cc[nH+]2)c2ccccc2)c1 ZINC000128512731 333679998 /nfs/dbraw/zinc/67/99/98/333679998.db2.gz WZYYSLRUOMIWCN-QGZVFWFLSA-N 1 2 293.370 3.758 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCCc2cccs2)c1 ZINC000539888931 333700746 /nfs/dbraw/zinc/70/07/46/333700746.db2.gz MFIDVHYYQHJHDD-UHFFFAOYSA-N 1 2 286.322 3.629 20 0 CHADLO Cc1ncncc1[C@@H](C)[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000564225113 333702287 /nfs/dbraw/zinc/70/22/87/333702287.db2.gz CSMJDDSOVJJOIN-GHMZBOCLSA-N 1 2 293.773 3.989 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@H](C)c1ccccn1 ZINC000129223869 333753396 /nfs/dbraw/zinc/75/33/96/333753396.db2.gz UZPKOTLVOGGBFZ-NEPJUHHUSA-N 1 2 274.339 3.641 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000576138076 335159648 /nfs/dbraw/zinc/15/96/48/335159648.db2.gz ZCWMQVDMQZCIND-XJKSGUPXSA-N 1 2 275.392 3.541 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000576138076 335159650 /nfs/dbraw/zinc/15/96/50/335159650.db2.gz ZCWMQVDMQZCIND-XJKSGUPXSA-N 1 2 275.392 3.541 20 0 CHADLO CC[C@H]1CN(c2[nH+]c3ccccc3cc2C)CCCO1 ZINC000542144378 333811684 /nfs/dbraw/zinc/81/16/84/333811684.db2.gz KBOHUOIJBCSXOC-HNNXBMFYSA-N 1 2 270.376 3.549 20 0 CHADLO CC[C@@H](C)[C@H](C)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000542798275 333841598 /nfs/dbraw/zinc/84/15/98/333841598.db2.gz WYCPTRPGXSVZSO-MNOVXSKESA-N 1 2 273.380 3.502 20 0 CHADLO CC[C@H]([NH2+]Cc1c(Cl)cccc1Cl)c1ccn(C)n1 ZINC000544574858 333936962 /nfs/dbraw/zinc/93/69/62/333936962.db2.gz KZNDWURTVJOJJH-ZDUSSCGKSA-N 1 2 298.217 3.968 20 0 CHADLO Cc1ccccc1CC(=O)Nc1c[nH+]ccc1OC(C)(C)C ZINC000131649627 333960473 /nfs/dbraw/zinc/96/04/73/333960473.db2.gz ZHMPDFLVZULWBF-UHFFFAOYSA-N 1 2 298.386 3.749 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1)c1nc(C(C)(C)C)no1 ZINC000073637182 333976163 /nfs/dbraw/zinc/97/61/63/333976163.db2.gz CADUDTOYIGOMPU-QWRGUYRKSA-N 1 2 291.370 3.918 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1)c1nc(C(C)(C)C)no1 ZINC000073637185 333976170 /nfs/dbraw/zinc/97/61/70/333976170.db2.gz CADUDTOYIGOMPU-GHMZBOCLSA-N 1 2 291.370 3.918 20 0 CHADLO Fc1cccc(C=C2CC[NH+](Cc3nccs3)CC2)c1 ZINC000545948212 334008385 /nfs/dbraw/zinc/00/83/85/334008385.db2.gz JCTAVFJORZMPQM-UHFFFAOYSA-N 1 2 288.391 3.962 20 0 CHADLO Cc1ccc(NC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000133117154 334017443 /nfs/dbraw/zinc/01/74/43/334017443.db2.gz HCMZPYFKHOSIKT-UHFFFAOYSA-N 1 2 292.342 3.825 20 0 CHADLO Cc1cc(NC(=O)c2cccc(C3CCC3)c2)c(C)c[nH+]1 ZINC000546612598 334040398 /nfs/dbraw/zinc/04/03/98/334040398.db2.gz QKUXQZYMLSLLSJ-UHFFFAOYSA-N 1 2 280.371 3.640 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1ccncc1Cl ZINC000075592410 334046401 /nfs/dbraw/zinc/04/64/01/334046401.db2.gz CIDJJPRVIUKWIQ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1ccncc1Cl ZINC000075592410 334046403 /nfs/dbraw/zinc/04/64/03/334046403.db2.gz CIDJJPRVIUKWIQ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1ccn(-c2ccc(F)cc2)n1 ZINC000075674439 334048514 /nfs/dbraw/zinc/04/85/14/334048514.db2.gz UFTGONOKXSPCJB-CYBMUJFWSA-N 1 2 299.349 3.797 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1ccn(-c2ccc(F)cc2)n1 ZINC000075674439 334048515 /nfs/dbraw/zinc/04/85/15/334048515.db2.gz UFTGONOKXSPCJB-CYBMUJFWSA-N 1 2 299.349 3.797 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@]1(C)CCO[C@H]1C1CC1 ZINC000547341040 334081415 /nfs/dbraw/zinc/08/14/15/334081415.db2.gz BEYGFXZCAUGNRZ-PKOBYXMFSA-N 1 2 296.414 3.582 20 0 CHADLO C[C@H]1CCC[N@H+](CC[C@H]2CCOC2)c2ccccc21 ZINC000564325206 334101668 /nfs/dbraw/zinc/10/16/68/334101668.db2.gz LAWAWQXFKXWUEW-GJZGRUSLSA-N 1 2 259.393 3.817 20 0 CHADLO C[C@H]1CCC[N@@H+](CC[C@H]2CCOC2)c2ccccc21 ZINC000564325206 334101670 /nfs/dbraw/zinc/10/16/70/334101670.db2.gz LAWAWQXFKXWUEW-GJZGRUSLSA-N 1 2 259.393 3.817 20 0 CHADLO CCc1cc(C)c(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)o1 ZINC000134849455 334105535 /nfs/dbraw/zinc/10/55/35/334105535.db2.gz FMOPHOVVGOTMDP-UHFFFAOYSA-N 1 2 295.342 3.588 20 0 CHADLO CCc1cnc(C[N@@H+](CC)[C@H](C)c2cccnc2)s1 ZINC000564326989 334106103 /nfs/dbraw/zinc/10/61/03/334106103.db2.gz ZBAHPHBFBJVCDQ-GFCCVEGCSA-N 1 2 275.421 3.684 20 0 CHADLO CCc1cnc(C[N@H+](CC)[C@H](C)c2cccnc2)s1 ZINC000564326989 334106104 /nfs/dbraw/zinc/10/61/04/334106104.db2.gz ZBAHPHBFBJVCDQ-GFCCVEGCSA-N 1 2 275.421 3.684 20 0 CHADLO Cc1sc2[nH]c([C@@H](C)[N@H+]3[C@H](C)C[C@@H]3C)nc(=O)c2c1C ZINC000547770375 334116239 /nfs/dbraw/zinc/11/62/39/334116239.db2.gz QVYJJYJEZAIANK-KHQFGBGNSA-N 1 2 291.420 3.557 20 0 CHADLO Cc1sc2[nH]c([C@@H](C)[N@@H+]3[C@H](C)C[C@@H]3C)nc(=O)c2c1C ZINC000547770375 334116241 /nfs/dbraw/zinc/11/62/41/334116241.db2.gz QVYJJYJEZAIANK-KHQFGBGNSA-N 1 2 291.420 3.557 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@H]3CCCC3(C)C)ccc2n1C ZINC000547797270 334119600 /nfs/dbraw/zinc/11/96/00/334119600.db2.gz OTPFWWMXFTWUJJ-CYBMUJFWSA-N 1 2 285.391 3.647 20 0 CHADLO CCCCn1c(SCCC)nnc1[C@H](CC)[NH+](C)C ZINC000078249480 334129171 /nfs/dbraw/zinc/12/91/71/334129171.db2.gz FARCPAMFWDOXGI-LBPRGKRZSA-N 1 2 284.473 3.593 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1ccc(Br)cc1F ZINC000548097925 334139816 /nfs/dbraw/zinc/13/98/16/334139816.db2.gz PRXIIZIAZTUZHV-RKDXNWHRSA-N 1 2 272.161 3.571 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc2sccc2s1 ZINC000576254669 335178345 /nfs/dbraw/zinc/17/83/45/335178345.db2.gz GDSUTALQDZKVFU-UHFFFAOYSA-N 1 2 288.397 3.594 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+](C)Cc2ccccc2C)n1 ZINC000078899807 334142557 /nfs/dbraw/zinc/14/25/57/334142557.db2.gz NIAMKKPHCJNELV-AWEZNQCLSA-N 1 2 287.407 3.914 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+](C)Cc2ccccc2C)n1 ZINC000078899807 334142558 /nfs/dbraw/zinc/14/25/58/334142558.db2.gz NIAMKKPHCJNELV-AWEZNQCLSA-N 1 2 287.407 3.914 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2cc(F)c(F)cc2F)n1 ZINC000136029658 334144594 /nfs/dbraw/zinc/14/45/94/334144594.db2.gz BKQYOZVILIIWJW-MRVPVSSYSA-N 1 2 286.322 3.720 20 0 CHADLO Cc1cccc2[nH+]c(CSc3ncccc3Cl)cn21 ZINC000079087312 334147609 /nfs/dbraw/zinc/14/76/09/334147609.db2.gz RHECZACTQZOGCQ-UHFFFAOYSA-N 1 2 289.791 3.983 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)cs1)c1cn2ccccc2n1 ZINC000548383683 334153376 /nfs/dbraw/zinc/15/33/76/334153376.db2.gz HFQHASURZPKEST-NSHDSACASA-N 1 2 298.415 3.519 20 0 CHADLO C[N@H+](Cc1cccc(O)c1)Cc1ccc(Cl)cc1F ZINC000548404121 334154531 /nfs/dbraw/zinc/15/45/31/334154531.db2.gz ZXHHKMSMHYPEOZ-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cccc(O)c1)Cc1ccc(Cl)cc1F ZINC000548404121 334154532 /nfs/dbraw/zinc/15/45/32/334154532.db2.gz ZXHHKMSMHYPEOZ-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@H](C)c2cccc(OC)c2)s1 ZINC000079496511 334155619 /nfs/dbraw/zinc/15/56/19/334155619.db2.gz BKTBVMGLPVLNDV-GFCCVEGCSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@H](C)c2cccc(OC)c2)s1 ZINC000079496511 334155620 /nfs/dbraw/zinc/15/56/20/334155620.db2.gz BKTBVMGLPVLNDV-GFCCVEGCSA-N 1 2 290.432 3.907 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)CCc2ccccc2F)cc[nH+]1 ZINC000080220992 334167714 /nfs/dbraw/zinc/16/77/14/334167714.db2.gz XWLGNOBRUSJHFO-GFCCVEGCSA-N 1 2 286.350 3.737 20 0 CHADLO Cc1cc2[nH+]cn(Cc3ccc(Cl)cn3)c2cc1C ZINC000548811526 334175084 /nfs/dbraw/zinc/17/50/84/334175084.db2.gz OEZPKMCJAOCTTJ-UHFFFAOYSA-N 1 2 271.751 3.750 20 0 CHADLO CC1(CNC(=O)c2ccccc2Oc2cc[nH+]cc2)CCC1 ZINC000548862441 334177113 /nfs/dbraw/zinc/17/71/13/334177113.db2.gz PAEYPYOLVOXMHG-UHFFFAOYSA-N 1 2 296.370 3.794 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2noc(C3CCCCC3)n2)[C@H]1C ZINC000246085223 334230167 /nfs/dbraw/zinc/23/01/67/334230167.db2.gz HFKLTBZDXHYCME-STQMWFEESA-N 1 2 277.412 3.738 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2noc(C3CCCCC3)n2)[C@H]1C ZINC000246085223 334230168 /nfs/dbraw/zinc/23/01/68/334230168.db2.gz HFKLTBZDXHYCME-STQMWFEESA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccc2c(c1)CCCC2 ZINC000551251551 334243016 /nfs/dbraw/zinc/24/30/16/334243016.db2.gz CTINRLHILVJIMM-LLVKDONJSA-N 1 2 253.336 3.871 20 0 CHADLO Fc1ccc(CC[N@H+]2CCC=C(F)C2)c(Cl)c1 ZINC000551328778 334247027 /nfs/dbraw/zinc/24/70/27/334247027.db2.gz IBWZPPMCEDATSO-UHFFFAOYSA-N 1 2 257.711 3.581 20 0 CHADLO Fc1ccc(CC[N@@H+]2CCC=C(F)C2)c(Cl)c1 ZINC000551328778 334247028 /nfs/dbraw/zinc/24/70/28/334247028.db2.gz IBWZPPMCEDATSO-UHFFFAOYSA-N 1 2 257.711 3.581 20 0 CHADLO CC(C)C(CNc1cc(CSCCO)cc[nH+]1)C(C)C ZINC000551592644 334255558 /nfs/dbraw/zinc/25/55/58/334255558.db2.gz NDCIJTPIQSYALS-UHFFFAOYSA-N 1 2 296.480 3.647 20 0 CHADLO Fc1ccc(F)c(C[N@H+](Cc2ccon2)C2CCCC2)c1 ZINC000083446748 334261793 /nfs/dbraw/zinc/26/17/93/334261793.db2.gz GDZLSWGCOALLTO-UHFFFAOYSA-N 1 2 292.329 3.898 20 0 CHADLO Fc1ccc(F)c(C[N@@H+](Cc2ccon2)C2CCCC2)c1 ZINC000083446748 334261795 /nfs/dbraw/zinc/26/17/95/334261795.db2.gz GDZLSWGCOALLTO-UHFFFAOYSA-N 1 2 292.329 3.898 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@H]1CC[C@@H]1C(C)C ZINC000552062225 334283685 /nfs/dbraw/zinc/28/36/85/334283685.db2.gz QDZYYBLPOITOPY-AEFFLSMTSA-N 1 2 288.435 3.678 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000249275185 334331010 /nfs/dbraw/zinc/33/10/10/334331010.db2.gz LRMRPWDDYCFTCE-BXUZGUMPSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000249275185 334331012 /nfs/dbraw/zinc/33/10/12/334331012.db2.gz LRMRPWDDYCFTCE-BXUZGUMPSA-N 1 2 253.773 3.512 20 0 CHADLO Cc1cc(C)cc([C@@H](C)Nc2cc(N3CCCC3)nc[nH+]2)c1 ZINC000553074695 334360091 /nfs/dbraw/zinc/36/00/91/334360091.db2.gz VWDVQDJWCSWNDJ-OAHLLOKOSA-N 1 2 296.418 3.867 20 0 CHADLO Cc1cc(C)cc([C@@H](C)Nc2cc(N3CCCC3)[nH+]cn2)c1 ZINC000553074695 334360092 /nfs/dbraw/zinc/36/00/92/334360092.db2.gz VWDVQDJWCSWNDJ-OAHLLOKOSA-N 1 2 296.418 3.867 20 0 CHADLO CC(C)[C@](C)(O)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000553388867 334381965 /nfs/dbraw/zinc/38/19/65/334381965.db2.gz JUYISSKUTAZIMB-OAHLLOKOSA-N 1 2 278.783 3.707 20 0 CHADLO Cc1cc[nH+]c(NC[C@H](CCO)CC(C)C)c1Cl ZINC000553488793 334387963 /nfs/dbraw/zinc/38/79/63/334387963.db2.gz CPQDTCJJPOOPLE-GFCCVEGCSA-N 1 2 270.804 3.500 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC=C(c2ccccc2)C1 ZINC000553593818 334393076 /nfs/dbraw/zinc/39/30/76/334393076.db2.gz DMIYUDLCJQIRMI-UHFFFAOYSA-N 1 2 289.382 3.867 20 0 CHADLO COc1ccccc1CSCc1c[nH+]c2ccccn12 ZINC000554184791 334415754 /nfs/dbraw/zinc/41/57/54/334415754.db2.gz SUBBYZLQDHAZOP-UHFFFAOYSA-N 1 2 284.384 3.776 20 0 CHADLO Cc1cc(NC(=O)Nc2csc3ccccc23)c(C)c[nH+]1 ZINC000554475750 334431606 /nfs/dbraw/zinc/43/16/06/334431606.db2.gz GYAAPRXZTZUNDX-UHFFFAOYSA-N 1 2 297.383 3.979 20 0 CHADLO CO[C@@H](C)[C@@H](C)Nc1cc(C)[nH+]c2c(F)cccc12 ZINC000565920878 334608885 /nfs/dbraw/zinc/60/88/85/334608885.db2.gz AHHUDBMBBGDGQP-MNOVXSKESA-N 1 2 262.328 3.518 20 0 CHADLO C[C@@H]1CSCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000566149430 334628601 /nfs/dbraw/zinc/62/86/01/334628601.db2.gz VKHQBWCQZFJSEK-NSHDSACASA-N 1 2 276.380 3.563 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1ccc(Cl)cn1 ZINC000566696104 334660740 /nfs/dbraw/zinc/66/07/40/334660740.db2.gz LSPVWCSKUOYEEW-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO CC[C@@H](COC)Nc1cccc([NH+]2CCCCCC2)c1 ZINC000154222851 334690943 /nfs/dbraw/zinc/69/09/43/334690943.db2.gz YISANSXHWWOLAD-HNNXBMFYSA-N 1 2 276.424 3.904 20 0 CHADLO CCCCn1cc[nH+]c1-c1cccc(N[C@H](C)COC)c1 ZINC000154311103 334692511 /nfs/dbraw/zinc/69/25/11/334692511.db2.gz NIOIUZKQGIMOBE-CQSZACIVSA-N 1 2 287.407 3.797 20 0 CHADLO OCC1(CNc2cc[nH+]c3c(Cl)cccc23)CCCC1 ZINC000567224372 334694879 /nfs/dbraw/zinc/69/48/79/334694879.db2.gz UYSNTOQRMGRYGX-UHFFFAOYSA-N 1 2 290.794 3.853 20 0 CHADLO CC(C)c1nc(N2CC(C3CCCCC3)C2)cc[nH+]1 ZINC000567277739 334700319 /nfs/dbraw/zinc/70/03/19/334700319.db2.gz ODDBTGJISKNAOJ-UHFFFAOYSA-N 1 2 259.397 3.617 20 0 CHADLO Cc1ccc([S@](=O)Cc2cn3c(cccc3C)[nH+]2)cc1C ZINC000155559125 334723652 /nfs/dbraw/zinc/72/36/52/334723652.db2.gz RVXYZDXYMLFVJJ-NRFANRHFSA-N 1 2 298.411 3.567 20 0 CHADLO Cn1c2ccccc2nc1SCc1c[nH+]c2ccccn12 ZINC000155845526 334730602 /nfs/dbraw/zinc/73/06/02/334730602.db2.gz OMSWCSKXOKYXSG-UHFFFAOYSA-N 1 2 294.383 3.513 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cc(F)ccc1F)c1ccco1 ZINC000156565418 334744309 /nfs/dbraw/zinc/74/43/09/334744309.db2.gz JJKTZNHGMLWDKK-YGRLFVJLSA-N 1 2 281.302 3.596 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(Cl)cc2)s1 ZINC000273165233 334761966 /nfs/dbraw/zinc/76/19/66/334761966.db2.gz BWOAZEIGFHNWBW-IUCAKERBSA-N 1 2 281.812 3.912 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H]2CCCC[C@H]2C2CC2)c[nH+]1 ZINC000567929832 334776527 /nfs/dbraw/zinc/77/65/27/334776527.db2.gz LLNFBIRIUGQTLI-DLBZAZTESA-N 1 2 286.419 3.531 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CC[C@@H](CC(C)(C)C)C3)ccn12 ZINC000568226471 334806267 /nfs/dbraw/zinc/80/62/67/334806267.db2.gz AOYNIBAFTJGWSQ-AWEZNQCLSA-N 1 2 299.418 3.541 20 0 CHADLO CCn1cc[nH+]c1/C=C/C(=O)Nc1ccc(C(C)C)cc1 ZINC000158621489 334808125 /nfs/dbraw/zinc/80/81/25/334808125.db2.gz QHGRHRQGDAGVAX-MDZDMXLPSA-N 1 2 283.375 3.678 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)c2ccc(C(C)C)s2)[nH+]1 ZINC000572729271 334874526 /nfs/dbraw/zinc/87/45/26/334874526.db2.gz WEHBRPSBOJVMIZ-UHFFFAOYSA-N 1 2 291.420 3.568 20 0 CHADLO CSc1ccc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cc1 ZINC000293866844 334892698 /nfs/dbraw/zinc/89/26/98/334892698.db2.gz DQNWHKAAVYXQBA-ZWNOBZJWSA-N 1 2 259.378 3.723 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CSc3ccccc32)cs1 ZINC000336887637 334915072 /nfs/dbraw/zinc/91/50/72/334915072.db2.gz IQAZSFYOVVKHHF-LBPRGKRZSA-N 1 2 276.430 3.642 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cccc(C3CC3)c2)o1 ZINC000576347332 335192741 /nfs/dbraw/zinc/19/27/41/335192741.db2.gz CDHBAIIHAWBRSD-GFCCVEGCSA-N 1 2 270.376 3.965 20 0 CHADLO C[C@H](CC(=O)NCC(C)(C)C1CCCCC1)n1cc[nH+]c1 ZINC000576825360 335252756 /nfs/dbraw/zinc/25/27/56/335252756.db2.gz ACDVSMQINFHTKC-CQSZACIVSA-N 1 2 291.439 3.557 20 0 CHADLO CCCc1noc(C[NH2+][C@H](CCC)c2ccccc2)n1 ZINC000192543301 335276158 /nfs/dbraw/zinc/27/61/58/335276158.db2.gz WMMYWUGJOWWQMO-CQSZACIVSA-N 1 2 273.380 3.653 20 0 CHADLO Oc1ccccc1SCCCc1[nH]c2ccccc2[nH+]1 ZINC000577133457 335306281 /nfs/dbraw/zinc/30/62/81/335306281.db2.gz PMGGSWOLIIRDIG-UHFFFAOYSA-N 1 2 284.384 3.993 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2nc3ccccc3[nH]2)C1 ZINC000577233528 335327995 /nfs/dbraw/zinc/32/79/95/335327995.db2.gz WLOOGMMBPYCQJS-GOSISDBHSA-N 1 2 295.361 3.634 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2nc3ccccc3[nH]2)C1 ZINC000577233528 335327996 /nfs/dbraw/zinc/32/79/96/335327996.db2.gz WLOOGMMBPYCQJS-GOSISDBHSA-N 1 2 295.361 3.634 20 0 CHADLO Cc1ccc(Cc2noc(/C=C/C3CCCC3)n2)c[nH+]1 ZINC000577609849 335377446 /nfs/dbraw/zinc/37/74/46/335377446.db2.gz PTNJDIFWMBFZEJ-CMDGGOBGSA-N 1 2 269.348 3.567 20 0 CHADLO CC(C)[C@@H](C)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000179132505 335474418 /nfs/dbraw/zinc/47/44/18/335474418.db2.gz HUDOXUVPBYEZTG-LLVKDONJSA-N 1 2 285.391 3.681 20 0 CHADLO CC[C@@H](CC(F)F)c1nc(Cc2ccc[nH+]c2C)no1 ZINC000351233586 335584912 /nfs/dbraw/zinc/58/49/12/335584912.db2.gz MAGCKWCKQUIZFM-JTQLQIEISA-N 1 2 281.306 3.513 20 0 CHADLO C[C@@H](Cc1nc(C2CCC(C)(C)CC2)no1)n1cc[nH+]c1 ZINC000351460281 335615496 /nfs/dbraw/zinc/61/54/96/335615496.db2.gz MCVNEVOISALXJD-LBPRGKRZSA-N 1 2 288.395 3.754 20 0 CHADLO C[C@@H]1C[C@H]1c1noc(C(C)(C)Cc2[nH]c3ccccc3[nH+]2)n1 ZINC000579057493 335704592 /nfs/dbraw/zinc/70/45/92/335704592.db2.gz XIHQDLMUVUCZIZ-GHMZBOCLSA-N 1 2 296.374 3.590 20 0 CHADLO Cc1ccc(N[C@@H](C)c2cc(F)c(F)c(F)c2)c[nH+]1 ZINC000182911216 335849956 /nfs/dbraw/zinc/84/99/56/335849956.db2.gz URNMLRBDMCKUBV-VIFPVBQESA-N 1 2 266.266 3.980 20 0 CHADLO CC[C@H](Nc1cccc([C@H](C)OC)c1)c1[nH+]ccn1C ZINC000183102761 335862944 /nfs/dbraw/zinc/86/29/44/335862944.db2.gz HJAPSUUCLRNAFN-WFASDCNBSA-N 1 2 273.380 3.691 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(C)cc2OC)cs1 ZINC000380928142 336039979 /nfs/dbraw/zinc/03/99/79/336039979.db2.gz NKNDNFSOVHJHNE-LBPRGKRZSA-N 1 2 290.432 3.873 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1noc(C)n1 ZINC000194985015 336041843 /nfs/dbraw/zinc/04/18/43/336041843.db2.gz GQGGQOAAPBMXGZ-ZDUSSCGKSA-N 1 2 279.771 3.615 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1noc(C)n1 ZINC000194985015 336041845 /nfs/dbraw/zinc/04/18/45/336041845.db2.gz GQGGQOAAPBMXGZ-ZDUSSCGKSA-N 1 2 279.771 3.615 20 0 CHADLO CCS(=O)(=O)Nc1ccc([NH2+]C[C@H](C)CC(C)C)cc1 ZINC000382976659 336100439 /nfs/dbraw/zinc/10/04/39/336100439.db2.gz RQQJPSAAWCENBE-CYBMUJFWSA-N 1 2 298.452 3.542 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CCCSC1 ZINC000384091750 336121752 /nfs/dbraw/zinc/12/17/52/336121752.db2.gz CCOJUJAOAIBRSI-CYBMUJFWSA-N 1 2 272.417 3.520 20 0 CHADLO CCCCn1ncc(N[C@@H](C)c2cn3ccccc3[nH+]2)c1C ZINC000581735961 336140420 /nfs/dbraw/zinc/14/04/20/336140420.db2.gz HRYMLCIJVOGQTI-ZDUSSCGKSA-N 1 2 297.406 3.812 20 0 CHADLO CC[C@H](NC(=O)c1ccc2sccc2c1)c1[nH]cc[nH+]1 ZINC000386528947 336176228 /nfs/dbraw/zinc/17/62/28/336176228.db2.gz GMRNOQGPGKQRIV-LBPRGKRZSA-N 1 2 285.372 3.506 20 0 CHADLO CCC[N@@H+](Cc1cccc(Br)c1)CC(F)F ZINC000386711055 336177216 /nfs/dbraw/zinc/17/72/16/336177216.db2.gz PUUMKZYCZBVAQZ-UHFFFAOYSA-N 1 2 292.167 3.926 20 0 CHADLO CCC[N@H+](Cc1cccc(Br)c1)CC(F)F ZINC000386711055 336177217 /nfs/dbraw/zinc/17/72/17/336177217.db2.gz PUUMKZYCZBVAQZ-UHFFFAOYSA-N 1 2 292.167 3.926 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]Cc2cccc(C3CC3)c2)no1 ZINC000581996695 336187106 /nfs/dbraw/zinc/18/71/06/336187106.db2.gz XJJZXYGMAADYPO-UHFFFAOYSA-N 1 2 285.391 3.534 20 0 CHADLO CCSc1cc(C[NH2+][C@@H](C)c2cscn2)ccn1 ZINC000275134594 521734066 /nfs/dbraw/zinc/73/40/66/521734066.db2.gz PCJWOWQWEIOSRQ-JTQLQIEISA-N 1 2 279.434 3.501 20 0 CHADLO C[C@H]1CC[C@]2(CC[N@H+](Cc3ncc(Cl)s3)C2)C1 ZINC000351980568 533772826 /nfs/dbraw/zinc/77/28/26/533772826.db2.gz FQBCNLROPVSOLJ-GWCFXTLKSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@H]1CC[C@]2(CC[N@@H+](Cc3ncc(Cl)s3)C2)C1 ZINC000351980568 533772833 /nfs/dbraw/zinc/77/28/33/533772833.db2.gz FQBCNLROPVSOLJ-GWCFXTLKSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@@H]1CCC[C@H]([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000330273546 533879206 /nfs/dbraw/zinc/87/92/06/533879206.db2.gz ZJKORKHKQDVETI-SNPRPXQTSA-N 1 2 276.428 3.594 20 0 CHADLO COc1ccc(F)c(C[N@@H+]2CCc3cc(F)ccc3C2)c1 ZINC000352828741 533903798 /nfs/dbraw/zinc/90/37/98/533903798.db2.gz ZNRGUMQDLXUVMK-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(F)c(C[N@H+]2CCc3cc(F)ccc3C2)c1 ZINC000352828741 533903808 /nfs/dbraw/zinc/90/38/08/533903808.db2.gz ZNRGUMQDLXUVMK-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1ccc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000336211709 533914222 /nfs/dbraw/zinc/91/42/22/533914222.db2.gz INJGZIASLZTMPB-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1ccc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000336211709 533914230 /nfs/dbraw/zinc/91/42/30/533914230.db2.gz INJGZIASLZTMPB-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CCc2cc(-c3ccccc3)oc2C1 ZINC000356109476 533993346 /nfs/dbraw/zinc/99/33/46/533993346.db2.gz KSMOHFURSZOIMO-UHFFFAOYSA-N 1 2 293.370 3.536 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCc2cc(-c3ccccc3)oc2C1 ZINC000356109476 533993351 /nfs/dbraw/zinc/99/33/51/533993351.db2.gz KSMOHFURSZOIMO-UHFFFAOYSA-N 1 2 293.370 3.536 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCc2cc(-c3ccccc3)oc2C1 ZINC000356109476 533993361 /nfs/dbraw/zinc/99/33/61/533993361.db2.gz KSMOHFURSZOIMO-UHFFFAOYSA-N 1 2 293.370 3.536 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCc2cc(-c3ccccc3)oc2C1 ZINC000356109476 533993369 /nfs/dbraw/zinc/99/33/69/533993369.db2.gz KSMOHFURSZOIMO-UHFFFAOYSA-N 1 2 293.370 3.536 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)Cc1ccc(CO)cc1 ZINC000351970371 534039907 /nfs/dbraw/zinc/03/99/07/534039907.db2.gz ASJYVZFQGKTTBF-LBPRGKRZSA-N 1 2 291.341 3.650 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)Cc1ccc(CO)cc1 ZINC000351970371 534039912 /nfs/dbraw/zinc/03/99/12/534039912.db2.gz ASJYVZFQGKTTBF-LBPRGKRZSA-N 1 2 291.341 3.650 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)C[C@H]2CC=CCC2)c(C)[nH+]1 ZINC000334718177 534073369 /nfs/dbraw/zinc/07/33/69/534073369.db2.gz QQAVENQTALJEPG-HNNXBMFYSA-N 1 2 287.407 3.827 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@H](C)c2cccnc2)c(C)[nH+]1 ZINC000336144946 534074344 /nfs/dbraw/zinc/07/43/44/534074344.db2.gz CFYRAEBBUHSTML-CQSZACIVSA-N 1 2 298.390 3.627 20 0 CHADLO CS[C@H]1CCC[C@@H](Nc2cc[nH+]c(C(C)(C)C)n2)C1 ZINC000301563683 534092196 /nfs/dbraw/zinc/09/21/96/534092196.db2.gz JBSQAYGNJKHTNE-NEPJUHHUSA-N 1 2 279.453 3.860 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2nccs2)s1 ZINC000356105181 534201988 /nfs/dbraw/zinc/20/19/88/534201988.db2.gz BULJAUVTWUGEOM-UHFFFAOYSA-N 1 2 270.448 3.559 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2nccs2)s1 ZINC000356105181 534201994 /nfs/dbraw/zinc/20/19/94/534201994.db2.gz BULJAUVTWUGEOM-UHFFFAOYSA-N 1 2 270.448 3.559 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccc1Cl)c1csnn1 ZINC000398254577 518178335 /nfs/dbraw/zinc/17/83/35/518178335.db2.gz YMIJGLZUQUGBQY-DTWKUNHWSA-N 1 2 267.785 3.603 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cccc4cccnc43)cn2c1 ZINC000341141359 518190106 /nfs/dbraw/zinc/19/01/06/518190106.db2.gz CVLMVIOKUNDCKS-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO CC(=O)c1c(C)cc(C)c(C[N@@H+]2CCC=C(F)C2)c1C ZINC000340754351 518232165 /nfs/dbraw/zinc/23/21/65/518232165.db2.gz OQHQNUZQLKYJKA-UHFFFAOYSA-N 1 2 275.367 3.874 20 0 CHADLO CC(=O)c1c(C)cc(C)c(C[N@H+]2CCC=C(F)C2)c1C ZINC000340754351 518232168 /nfs/dbraw/zinc/23/21/68/518232168.db2.gz OQHQNUZQLKYJKA-UHFFFAOYSA-N 1 2 275.367 3.874 20 0 CHADLO OC[C@@H](Nc1[nH+]ccc2ccc(F)cc21)c1ccccc1 ZINC000354558643 534379708 /nfs/dbraw/zinc/37/97/08/534379708.db2.gz JYZJNJXNWOLVQY-MRXNPFEDSA-N 1 2 282.318 3.519 20 0 CHADLO CC(C)(C)c1cc(C[NH2+]C2(c3cccc(F)c3)CC2)no1 ZINC000289476652 518998131 /nfs/dbraw/zinc/99/81/31/518998131.db2.gz HWPUPHGIQWYBSS-UHFFFAOYSA-N 1 2 288.366 3.890 20 0 CHADLO CC(C)(C)c1nc2ccc(NCc3[nH]cc[nH+]3)cc2s1 ZINC000130095434 519107820 /nfs/dbraw/zinc/10/78/20/519107820.db2.gz AGMNRSUXGBJHDO-UHFFFAOYSA-N 1 2 286.404 3.929 20 0 CHADLO CC(C)([NH2+]Cc1csc(Cl)n1)c1cccc(F)c1 ZINC000289525005 519324894 /nfs/dbraw/zinc/32/48/94/519324894.db2.gz GEOYJVVRMAXZSB-UHFFFAOYSA-N 1 2 284.787 3.961 20 0 CHADLO CC(C)[N@@H+](Cc1cc(-c2ccco2)on1)Cc1ccco1 ZINC000299388863 519653108 /nfs/dbraw/zinc/65/31/08/519653108.db2.gz QMUYOGLGOXUVCB-UHFFFAOYSA-N 1 2 286.331 3.938 20 0 CHADLO CC(C)[N@H+](Cc1cc(-c2ccco2)on1)Cc1ccco1 ZINC000299388863 519653111 /nfs/dbraw/zinc/65/31/11/519653111.db2.gz QMUYOGLGOXUVCB-UHFFFAOYSA-N 1 2 286.331 3.938 20 0 CHADLO CC(C)CCOC1CC[NH+](Cc2ncc(C3CC3)o2)CC1 ZINC000340659940 519664835 /nfs/dbraw/zinc/66/48/35/519664835.db2.gz ICQIGSANICYGSG-UHFFFAOYSA-N 1 2 292.423 3.579 20 0 CHADLO CC(C)[C@@H](NC(=O)C1CCCCCCC1)c1[nH]cc[nH+]1 ZINC000279126234 519796788 /nfs/dbraw/zinc/79/67/88/519796788.db2.gz ASJDVAWFSMBHLI-CQSZACIVSA-N 1 2 277.412 3.584 20 0 CHADLO CC(C)[C@H]1CCCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000265247963 519824679 /nfs/dbraw/zinc/82/46/79/519824679.db2.gz ADWMYUMTUHREKX-QGZVFWFLSA-N 1 2 297.402 3.523 20 0 CHADLO CC(C)c1cc(NCc2ccc(N(C)C(C)C)[nH+]c2)no1 ZINC000276551749 519833630 /nfs/dbraw/zinc/83/36/30/519833630.db2.gz KAYQRQXHHZMBOE-UHFFFAOYSA-N 1 2 288.395 3.650 20 0 CHADLO CC(C)c1ccc([C@@H]2C[C@H]2C(=O)Nc2ccc(N)[nH+]c2)cc1 ZINC000236806826 519839592 /nfs/dbraw/zinc/83/95/92/519839592.db2.gz JAGKULHDYDPXRE-JKSUJKDBSA-N 1 2 295.386 3.529 20 0 CHADLO CC(C)c1ccc([C@H]2C[C@@H]2C(=O)Nc2ccc(N)[nH+]c2)cc1 ZINC000236846267 519840448 /nfs/dbraw/zinc/84/04/48/519840448.db2.gz JAGKULHDYDPXRE-CVEARBPZSA-N 1 2 295.386 3.529 20 0 CHADLO CCCC(=O)Oc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000284409423 519881230 /nfs/dbraw/zinc/88/12/30/519881230.db2.gz XFEPGBWMEQNMAX-UHFFFAOYSA-N 1 2 284.359 3.592 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@H]2c2ccsc2)o1 ZINC000263804678 519886482 /nfs/dbraw/zinc/88/64/82/519886482.db2.gz VNOHNGDLCZEDDY-LBPRGKRZSA-N 1 2 277.393 3.592 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@H]2c2ccsc2)o1 ZINC000263804678 519886484 /nfs/dbraw/zinc/88/64/84/519886484.db2.gz VNOHNGDLCZEDDY-LBPRGKRZSA-N 1 2 277.393 3.592 20 0 CHADLO CC(C)c1noc(C[N@H+](Cc2cccs2)C(C)C)n1 ZINC000299150704 519903062 /nfs/dbraw/zinc/90/30/62/519903062.db2.gz FOARRHGSSUDCRJ-UHFFFAOYSA-N 1 2 279.409 3.665 20 0 CHADLO CC(C)c1noc(C[N@@H+](Cc2cccs2)C(C)C)n1 ZINC000299150704 519903063 /nfs/dbraw/zinc/90/30/63/519903063.db2.gz FOARRHGSSUDCRJ-UHFFFAOYSA-N 1 2 279.409 3.665 20 0 CHADLO CCCCC(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000366357654 519915644 /nfs/dbraw/zinc/91/56/44/519915644.db2.gz WSAKBJSWIBOXBA-UHFFFAOYSA-N 1 2 257.337 3.514 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000265227912 520000960 /nfs/dbraw/zinc/00/09/60/520000960.db2.gz AWDPPQONPAILQX-HNNXBMFYSA-N 1 2 275.421 3.863 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000265227912 520000972 /nfs/dbraw/zinc/00/09/72/520000972.db2.gz AWDPPQONPAILQX-HNNXBMFYSA-N 1 2 275.421 3.863 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1 ZINC000264551690 520025524 /nfs/dbraw/zinc/02/55/24/520025524.db2.gz RUWPBRGTCBUHHC-MRXNPFEDSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@@H]1c1ccc(F)cc1 ZINC000264551690 520025530 /nfs/dbraw/zinc/02/55/30/520025530.db2.gz RUWPBRGTCBUHHC-MRXNPFEDSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1cc[nH+]c1CN(C)c1ccc2cc[nH]c2c1 ZINC000280309324 520028122 /nfs/dbraw/zinc/02/81/22/520028122.db2.gz GBIHBWIGYXDVHL-UHFFFAOYSA-N 1 2 268.364 3.582 20 0 CHADLO CC(C)n1cc[nH+]c1CN[C@H](c1ccccc1)C(F)F ZINC000292727515 520030504 /nfs/dbraw/zinc/03/05/04/520030504.db2.gz MMKLIHNHVRRXHC-CQSZACIVSA-N 1 2 279.334 3.560 20 0 CHADLO CCOC[C@@H]1CCN(c2cc(C)[nH+]c3c(F)cccc23)C1 ZINC000128503432 520134230 /nfs/dbraw/zinc/13/42/30/520134230.db2.gz JPRHECBZPSLRKX-CYBMUJFWSA-N 1 2 288.366 3.545 20 0 CHADLO CCC[C@@H](C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1)C(C)C ZINC000127690731 520579885 /nfs/dbraw/zinc/57/98/85/520579885.db2.gz GDZVPKUBJUGIQA-KGLIPLIRSA-N 1 2 277.412 3.536 20 0 CHADLO CCCCC(CCC)CNC(=O)CC1CC2CCC(C1)[NH2+]2 ZINC000236791333 521040412 /nfs/dbraw/zinc/04/04/12/521040412.db2.gz YECWORNRMACMJJ-MGNMYUMUSA-N 1 2 294.483 3.630 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)C3CCC3)cc2[nH+]1 ZINC000049486880 521311368 /nfs/dbraw/zinc/31/13/68/521311368.db2.gz HWZXVWKLJSOQKP-UHFFFAOYSA-N 1 2 271.364 3.644 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)s1)Cc1ncc(C)o1 ZINC000075590905 521313166 /nfs/dbraw/zinc/31/31/66/521313166.db2.gz FLRJOEHCUUYGPV-UHFFFAOYSA-N 1 2 270.785 3.720 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)s1)Cc1ncc(C)o1 ZINC000075590905 521313171 /nfs/dbraw/zinc/31/31/71/521313171.db2.gz FLRJOEHCUUYGPV-UHFFFAOYSA-N 1 2 270.785 3.720 20 0 CHADLO CCCc1ccc([C@H](C)[NH2+]Cc2cn[nH]c2C)cc1 ZINC000038011141 521416147 /nfs/dbraw/zinc/41/61/47/521416147.db2.gz BCWXOZXCIXHBNJ-LBPRGKRZSA-N 1 2 257.381 3.521 20 0 CHADLO CC[N@H+](Cc1ncc(C2CC2)o1)Cc1cccc(F)c1 ZINC000340655329 521459422 /nfs/dbraw/zinc/45/94/22/521459422.db2.gz BHEFDXKNFFRQKE-UHFFFAOYSA-N 1 2 274.339 3.713 20 0 CHADLO CC[N@@H+](Cc1ncc(C2CC2)o1)Cc1cccc(F)c1 ZINC000340655329 521459429 /nfs/dbraw/zinc/45/94/29/521459429.db2.gz BHEFDXKNFFRQKE-UHFFFAOYSA-N 1 2 274.339 3.713 20 0 CHADLO CCCN(C)C(=O)c1ccc(C)c(Oc2cc[nH+]cc2)c1 ZINC000115047117 521472369 /nfs/dbraw/zinc/47/23/69/521472369.db2.gz GAWQZCOFZSYBIB-UHFFFAOYSA-N 1 2 284.359 3.664 20 0 CHADLO CCCc1nc(C[N@H+](C)[C@H](C)c2ccccn2)cs1 ZINC000128001460 521505477 /nfs/dbraw/zinc/50/54/77/521505477.db2.gz PLQZSZJPEXIEKR-GFCCVEGCSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1nc(C[N@@H+](C)[C@H](C)c2ccccn2)cs1 ZINC000128001460 521505487 /nfs/dbraw/zinc/50/54/87/521505487.db2.gz PLQZSZJPEXIEKR-GFCCVEGCSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1noc(C[N@H+](Cc2cccc(F)c2)C(C)C)n1 ZINC000299209658 521581448 /nfs/dbraw/zinc/58/14/48/521581448.db2.gz SSZXRXNDMYUYOD-UHFFFAOYSA-N 1 2 291.370 3.572 20 0 CHADLO CCCc1noc(C[N@@H+](Cc2cccc(F)c2)C(C)C)n1 ZINC000299209658 521581455 /nfs/dbraw/zinc/58/14/55/521581455.db2.gz SSZXRXNDMYUYOD-UHFFFAOYSA-N 1 2 291.370 3.572 20 0 CHADLO CCCc1noc(C[NH2+][C@H](c2ccccc2)C2CCC2)n1 ZINC000104697652 521586379 /nfs/dbraw/zinc/58/63/79/521586379.db2.gz WMIHTXVIJMAIIO-QGZVFWFLSA-N 1 2 285.391 3.653 20 0 CHADLO CCC[N@@H+](Cc1ccc2ncccc2c1)CC(F)F ZINC000126744676 521685452 /nfs/dbraw/zinc/68/54/52/521685452.db2.gz CIWZXBAFDQSCFA-UHFFFAOYSA-N 1 2 264.319 3.712 20 0 CHADLO CCC[N@H+](Cc1ccc2ncccc2c1)CC(F)F ZINC000126744676 521685453 /nfs/dbraw/zinc/68/54/53/521685453.db2.gz CIWZXBAFDQSCFA-UHFFFAOYSA-N 1 2 264.319 3.712 20 0 CHADLO CCSc1cc(C[NH2+][C@H](C)c2cscn2)ccn1 ZINC000275134596 521734397 /nfs/dbraw/zinc/73/43/97/521734397.db2.gz PCJWOWQWEIOSRQ-SNVBAGLBSA-N 1 2 279.434 3.501 20 0 CHADLO CC[C@@H](C)CC(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000279881852 521948098 /nfs/dbraw/zinc/94/80/98/521948098.db2.gz DNTKPIFKVYABHD-LLVKDONJSA-N 1 2 271.364 3.760 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(C)o2)[nH]1 ZINC000277072987 522054170 /nfs/dbraw/zinc/05/41/70/522054170.db2.gz FBOLZQCTBYNJMV-ZMLRMANQSA-N 1 2 276.384 3.631 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1C[C@@H]1c1ccc(F)cc1 ZINC000265254854 522079294 /nfs/dbraw/zinc/07/92/94/522079294.db2.gz UJVPZQOAVZBLCY-HUUCEWRRSA-N 1 2 284.334 3.525 20 0 CHADLO COc1cc(C)c([NH2+]C2CCSCC2)cc1C ZINC000278456746 522215367 /nfs/dbraw/zinc/21/53/67/522215367.db2.gz MBJXFYNVXPMVPO-UHFFFAOYSA-N 1 2 251.395 3.620 20 0 CHADLO CCc1nc(N(Cc2cccs2)C2CC2)cc(C)[nH+]1 ZINC000339974221 522236367 /nfs/dbraw/zinc/23/63/67/522236367.db2.gz SYHMMSICZJRFPK-UHFFFAOYSA-N 1 2 273.405 3.578 20 0 CHADLO COc1cc(C)cc(NC(=O)Nc2ccc(C)[nH+]c2C)c1C ZINC000278363225 522248096 /nfs/dbraw/zinc/24/80/96/522248096.db2.gz FKEURGXHLBWWCH-UHFFFAOYSA-N 1 2 299.374 3.968 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cscn2)ccc1SC ZINC000101547210 522354249 /nfs/dbraw/zinc/35/42/49/522354249.db2.gz RUKLSZULDQOJAC-UHFFFAOYSA-N 1 2 294.445 3.506 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cscn2)ccc1SC ZINC000101547210 522354260 /nfs/dbraw/zinc/35/42/60/522354260.db2.gz RUKLSZULDQOJAC-UHFFFAOYSA-N 1 2 294.445 3.506 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccccc1Cl)c1ccc(C)o1 ZINC000080237817 522364336 /nfs/dbraw/zinc/36/43/36/522364336.db2.gz USCQOOSHMPRIPV-CQSZACIVSA-N 1 2 279.767 3.719 20 0 CHADLO CC[C@H]([NH2+]Cc1ncnn1C(C)C)c1ccc(Cl)s1 ZINC000279714481 522674590 /nfs/dbraw/zinc/67/45/90/522674590.db2.gz KVKXGOQOAYDNGL-JTQLQIEISA-N 1 2 298.843 3.815 20 0 CHADLO CC[C@H](Nc1cc(C)[nH+]cn1)c1nc(-c2ccccc2)c[nH]1 ZINC000340768193 522765593 /nfs/dbraw/zinc/76/55/93/522765593.db2.gz BCBBMSQICLOQQC-AWEZNQCLSA-N 1 2 293.374 3.738 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cscn1)c1cccc(Cl)c1 ZINC000290236377 522766603 /nfs/dbraw/zinc/76/66/03/522766603.db2.gz IYKLLRYBSXNQTD-MFKMUULPSA-N 1 2 296.823 3.835 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CCC(C)(C)C3)cc2[nH+]1 ZINC000332211543 534664806 /nfs/dbraw/zinc/66/48/06/534664806.db2.gz GJSAMSXMFAFMGP-LLVKDONJSA-N 1 2 271.364 3.636 20 0 CHADLO CCc1noc(C)c1C[NH2+]Cc1csc(C(C)(C)C)n1 ZINC000293125593 522844569 /nfs/dbraw/zinc/84/45/69/522844569.db2.gz ZLPRILSVSXLGRK-UHFFFAOYSA-N 1 2 293.436 3.589 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(CSC)s1)c1nccs1 ZINC000339275401 522895457 /nfs/dbraw/zinc/89/54/57/522895457.db2.gz RFAPFCSHRZZMOV-SNVBAGLBSA-N 1 2 299.490 3.704 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cc(-c2ccccc2)no1 ZINC000293503183 522929832 /nfs/dbraw/zinc/92/98/32/522929832.db2.gz UOQWJAIDKCCDJT-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cc(-c2ccccc2)no1 ZINC000293503183 522929845 /nfs/dbraw/zinc/92/98/45/522929845.db2.gz UOQWJAIDKCCDJT-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO CCc1nocc1C[N@H+](C)[C@@H](C)c1nc2ccccc2o1 ZINC000293355321 522930827 /nfs/dbraw/zinc/93/08/27/522930827.db2.gz ICQSQLLPDANUSG-NSHDSACASA-N 1 2 285.347 3.571 20 0 CHADLO CCc1nocc1C[N@@H+](C)[C@@H](C)c1nc2ccccc2o1 ZINC000293355321 522930835 /nfs/dbraw/zinc/93/08/35/522930835.db2.gz ICQSQLLPDANUSG-NSHDSACASA-N 1 2 285.347 3.571 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278771701 522966699 /nfs/dbraw/zinc/96/66/99/522966699.db2.gz YRUSLVGEYNGPFI-OAHLLOKOSA-N 1 2 287.407 3.950 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278771701 522966708 /nfs/dbraw/zinc/96/67/08/522966708.db2.gz YRUSLVGEYNGPFI-OAHLLOKOSA-N 1 2 287.407 3.950 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1ncc(C)o1 ZINC000075586818 523008533 /nfs/dbraw/zinc/00/85/33/523008533.db2.gz OECQZKVLDIUDHT-UHFFFAOYSA-N 1 2 284.359 3.924 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1ncc(C)o1 ZINC000075586818 523008543 /nfs/dbraw/zinc/00/85/43/523008543.db2.gz OECQZKVLDIUDHT-UHFFFAOYSA-N 1 2 284.359 3.924 20 0 CHADLO C[N@H+](Cc1cc(C(C)(C)C)on1)Cc1cccc(F)c1 ZINC000278575525 523166841 /nfs/dbraw/zinc/16/68/41/523166841.db2.gz JQFSPCZKMLVUMO-UHFFFAOYSA-N 1 2 276.355 3.743 20 0 CHADLO C[N@@H+](Cc1cc(C(C)(C)C)on1)Cc1cccc(F)c1 ZINC000278575525 523166852 /nfs/dbraw/zinc/16/68/52/523166852.db2.gz JQFSPCZKMLVUMO-UHFFFAOYSA-N 1 2 276.355 3.743 20 0 CHADLO CC[C@@H]1CCCCN1c1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000112963398 523178457 /nfs/dbraw/zinc/17/84/57/523178457.db2.gz ZOANQMNTSOMURE-OAHLLOKOSA-N 1 2 282.391 3.616 20 0 CHADLO CC[C@H]1C[N@H+](Cc2csc(-c3ccoc3)n2)CCS1 ZINC000076073550 523295210 /nfs/dbraw/zinc/29/52/10/523295210.db2.gz ULTXMMFPIFQXNQ-ZDUSSCGKSA-N 1 2 294.445 3.731 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2csc(-c3ccoc3)n2)CCS1 ZINC000076073550 523295216 /nfs/dbraw/zinc/29/52/16/523295216.db2.gz ULTXMMFPIFQXNQ-ZDUSSCGKSA-N 1 2 294.445 3.731 20 0 CHADLO CO[C@@H](C)[C@H](C)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000278511726 523444356 /nfs/dbraw/zinc/44/43/56/523444356.db2.gz RLTWPDBOUBULOP-KBPBESRZSA-N 1 2 299.418 3.722 20 0 CHADLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)[C@H](C)CC(C)C ZINC000366666426 523554037 /nfs/dbraw/zinc/55/40/37/523554037.db2.gz PVZIFYMQFDMSQT-CABCVRRESA-N 1 2 291.439 3.639 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cnn(C2CCCC2)c1C ZINC000296505512 523555567 /nfs/dbraw/zinc/55/55/67/523555567.db2.gz QGBWJJDURZTTAP-LBPRGKRZSA-N 1 2 287.411 3.696 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1Cc1cccc(OC)c1 ZINC000281028707 523559445 /nfs/dbraw/zinc/55/94/45/523559445.db2.gz FUBMLFBYHAJDDW-QGZVFWFLSA-N 1 2 299.418 3.639 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1Cc1cccc(OC)c1 ZINC000281028707 523559454 /nfs/dbraw/zinc/55/94/54/523559454.db2.gz FUBMLFBYHAJDDW-QGZVFWFLSA-N 1 2 299.418 3.639 20 0 CHADLO CCc1cc(N[C@H](C)c2ccccc2Cl)nc[nH+]1 ZINC000049509535 523825088 /nfs/dbraw/zinc/82/50/88/523825088.db2.gz BGIXFNXCNFBWOA-SNVBAGLBSA-N 1 2 261.756 3.866 20 0 CHADLO CO[C@H](C)[C@@H](C)Nc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000367965446 523891754 /nfs/dbraw/zinc/89/17/54/523891754.db2.gz XJMLDOPVLOBLOE-ZIAGYGMSSA-N 1 2 299.418 3.722 20 0 CHADLO CCc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)o1 ZINC000155945491 523947756 /nfs/dbraw/zinc/94/77/56/523947756.db2.gz JGMMVSUNMWZQLU-UHFFFAOYSA-N 1 2 267.332 3.640 20 0 CHADLO CCc1ccc([C@@H](CC)[NH2+]Cc2cn[nH]c2C)cc1 ZINC000038011312 524028618 /nfs/dbraw/zinc/02/86/18/524028618.db2.gz UBSUCVVLYLNVSS-MRXNPFEDSA-N 1 2 257.381 3.521 20 0 CHADLO CCc1ccc([C@H]2CCC[N@@H+]2[C@@H](C)c2nc(CC)no2)o1 ZINC000265384641 524054875 /nfs/dbraw/zinc/05/48/75/524054875.db2.gz DKUGWKWVCOWFLQ-WCQYABFASA-N 1 2 289.379 3.686 20 0 CHADLO CCc1ccc([C@H]2CCC[N@H+]2[C@@H](C)c2nc(CC)no2)o1 ZINC000265384641 524054878 /nfs/dbraw/zinc/05/48/78/524054878.db2.gz DKUGWKWVCOWFLQ-WCQYABFASA-N 1 2 289.379 3.686 20 0 CHADLO COc1ccc(CNc2ccc(C)[nH+]c2)c(Cl)c1OC ZINC000128075528 524098460 /nfs/dbraw/zinc/09/84/60/524098460.db2.gz RUZLNNBQTJHUFU-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO COc1cccc2c(N[C@H]3CCOC4(CCC4)C3)cc[nH+]c12 ZINC000275855095 524202131 /nfs/dbraw/zinc/20/21/31/524202131.db2.gz SMOIRHUOHCRZGH-ZDUSSCGKSA-N 1 2 298.386 3.757 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+][C@@H](C)c1ncc(C)o1 ZINC000356307344 524330432 /nfs/dbraw/zinc/33/04/32/524330432.db2.gz LXJCFBXXCPMVPX-MNOVXSKESA-N 1 2 278.327 3.543 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+][C@H](C)c1nccs1 ZINC000086509369 524330913 /nfs/dbraw/zinc/33/09/13/524330913.db2.gz UPFBQBDAJQQHDQ-NXEZZACHSA-N 1 2 280.368 3.703 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2nnc[nH]2)C2CCCCC2)cc1 ZINC000293322047 524597540 /nfs/dbraw/zinc/59/75/40/524597540.db2.gz IQWMPKFTWVRFTI-MRXNPFEDSA-N 1 2 284.407 3.524 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(-c3ccco3)no2)[C@@H]1c1ccccc1 ZINC000081574803 524645703 /nfs/dbraw/zinc/64/57/03/524645703.db2.gz VWNFNMOTICCLRB-LRDDRELGSA-N 1 2 295.342 3.523 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(-c3ccco3)no2)[C@@H]1c1ccccc1 ZINC000081574803 524645718 /nfs/dbraw/zinc/64/57/18/524645718.db2.gz VWNFNMOTICCLRB-LRDDRELGSA-N 1 2 295.342 3.523 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2cc3ccccc3o2)no1 ZINC000044296539 524764836 /nfs/dbraw/zinc/76/48/36/524764836.db2.gz JXNLQHBSZYXDDI-NSHDSACASA-N 1 2 256.305 3.580 20 0 CHADLO COc1cc[nH+]cc1NC(=O)C[C@H]1CCCC(C)(C)C1 ZINC000339391873 524866135 /nfs/dbraw/zinc/86/61/35/524866135.db2.gz REQHIVDPZKPONK-GFCCVEGCSA-N 1 2 276.380 3.635 20 0 CHADLO COc1cncc(C[NH2+][C@H](C)c2c(F)cccc2Cl)c1 ZINC000289622388 524922899 /nfs/dbraw/zinc/92/28/99/524922899.db2.gz BETKNZGEPDOJBS-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO C[C@H]1C[C@H]1CNC(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000264772617 524956203 /nfs/dbraw/zinc/95/62/03/524956203.db2.gz KPZJGLNTQTWPQM-STQMWFEESA-N 1 2 297.358 3.651 20 0 CHADLO Cc1cc(N(C)Cc2cccnc2)c2cccc(F)c2[nH+]1 ZINC000119815026 525028295 /nfs/dbraw/zinc/02/82/95/525028295.db2.gz BFFDFKSXKDOSCW-UHFFFAOYSA-N 1 2 281.334 3.714 20 0 CHADLO Cc1cc(NCc2ccc(C(C)(C)C)cc2)nc[nH+]1 ZINC000301225088 525279740 /nfs/dbraw/zinc/27/97/40/525279740.db2.gz DBKIXQKLUNEDPQ-UHFFFAOYSA-N 1 2 255.365 3.695 20 0 CHADLO Cc1ccc(NCc2ccccc2OC(F)(F)F)c[nH+]1 ZINC000149818665 525285439 /nfs/dbraw/zinc/28/54/39/525285439.db2.gz GWHBWKRNGLHLCU-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO Cc1cc(N[C@@H](C)c2nc3ccccc3[nH]2)nc(C2CC2)[nH+]1 ZINC000265335073 525292071 /nfs/dbraw/zinc/29/20/71/525292071.db2.gz HHCVLRLGEZGXPD-NSHDSACASA-N 1 2 293.374 3.712 20 0 CHADLO Cc1cc(N[C@@H]2CCOC[C@@H]2C)c2cccc(F)c2[nH+]1 ZINC000277665537 525294537 /nfs/dbraw/zinc/29/45/37/525294537.db2.gz PHSKMIUFDYAPIO-IINYFYTJSA-N 1 2 274.339 3.519 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(C3CC3)cc2F)nn1C ZINC000340389034 525465058 /nfs/dbraw/zinc/46/50/58/525465058.db2.gz MACTWDYUUWJKAO-GFCCVEGCSA-N 1 2 287.382 3.596 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nnc(C(C)C)s2)oc1C ZINC000337422472 525469866 /nfs/dbraw/zinc/46/98/66/525469866.db2.gz GAMPHMJNSFQNMT-SNVBAGLBSA-N 1 2 279.409 3.722 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2CCCc3c(F)cc(F)cc32)no1 ZINC000297167879 525474263 /nfs/dbraw/zinc/47/42/63/525474263.db2.gz DGEZWADPNMBJIR-MEBBXXQBSA-N 1 2 292.329 3.989 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1cc(Cl)ccc1Cl ZINC000277020739 525482877 /nfs/dbraw/zinc/48/28/77/525482877.db2.gz MRXNWOMCDUSUJQ-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO Cc1cccnc1C(=O)OCc1ccc(C(C)C)[nH+]c1C ZINC000293185418 525490994 /nfs/dbraw/zinc/49/09/94/525490994.db2.gz WFIWXHVGZSHKFE-UHFFFAOYSA-N 1 2 284.359 3.574 20 0 CHADLO C[C@H]([NH2+]Cc1nccs1)c1ccccc1Br ZINC000041011750 525491971 /nfs/dbraw/zinc/49/19/71/525491971.db2.gz IJEWCPSGRXKZQF-VIFPVBQESA-N 1 2 297.221 3.756 20 0 CHADLO C[C@@H]1C[C@H]2CCCC[C@H]2N1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000280961495 525511405 /nfs/dbraw/zinc/51/14/05/525511405.db2.gz JSDMBOPOGXXDTP-UMVBOHGHSA-N 1 2 298.390 3.519 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](c2nc3ccccc3[nH]2)C(C)C)no1 ZINC000289600485 525529541 /nfs/dbraw/zinc/52/95/41/525529541.db2.gz FWIUZAAZDGUHIE-LRDDRELGSA-N 1 2 298.390 3.907 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(Cl)c1Cl)c1ncnn1C ZINC000276664659 525535296 /nfs/dbraw/zinc/53/52/96/525535296.db2.gz RBWMDABFGOHBTI-IUCAKERBSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1ccn(-c2ccc([NH2+]CCCC3CCOCC3)cc2)n1 ZINC000340623564 525559571 /nfs/dbraw/zinc/55/95/71/525559571.db2.gz CQZXUNZZMPAFCO-UHFFFAOYSA-N 1 2 299.418 3.799 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@H]2[NH2+]Cc1cscn1 ZINC000040504865 525627961 /nfs/dbraw/zinc/62/79/61/525627961.db2.gz PHLXHEDVURDVAZ-CYBMUJFWSA-N 1 2 276.405 3.848 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(Br)c(F)c1 ZINC000161314711 525763029 /nfs/dbraw/zinc/76/30/29/525763029.db2.gz BZZWGVQNRUUNKK-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cc1ccoc1C[N@@H+](C)[C@@H](C)c1nc2ccccc2o1 ZINC000292147837 525795972 /nfs/dbraw/zinc/79/59/72/525795972.db2.gz JWUSZYNTHCBZEJ-LBPRGKRZSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1ccoc1C[N@H+](C)[C@@H](C)c1nc2ccccc2o1 ZINC000292147837 525795978 /nfs/dbraw/zinc/79/59/78/525795978.db2.gz JWUSZYNTHCBZEJ-LBPRGKRZSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2ccon2)o1 ZINC000076120556 525854452 /nfs/dbraw/zinc/85/44/52/525854452.db2.gz WJHQMCWOMYSUJM-RISCZKNCSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2ccon2)o1 ZINC000076120556 525854461 /nfs/dbraw/zinc/85/44/61/525854461.db2.gz WJHQMCWOMYSUJM-RISCZKNCSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cn2cc(NC(=O)c3cc(C)c(C)s3)ccc2[nH+]1 ZINC000339277327 525951737 /nfs/dbraw/zinc/95/17/37/525951737.db2.gz YFSQALPLITYGOX-UHFFFAOYSA-N 1 2 285.372 3.573 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2nc3ccccc3s2)cn1 ZINC000336834841 526007024 /nfs/dbraw/zinc/00/70/24/526007024.db2.gz HRYOKGOJJDTDEP-LBPRGKRZSA-N 1 2 298.415 3.588 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2nc3ccccc3s2)cn1 ZINC000336834841 526007036 /nfs/dbraw/zinc/00/70/36/526007036.db2.gz HRYOKGOJJDTDEP-LBPRGKRZSA-N 1 2 298.415 3.588 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000290312006 526020490 /nfs/dbraw/zinc/02/04/90/526020490.db2.gz AQHRFSKWMYTEHV-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1c[nH]nc1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000290312006 526020499 /nfs/dbraw/zinc/02/04/99/526020499.db2.gz AQHRFSKWMYTEHV-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO C[C@H](c1cc(Cl)ccc1Cl)N(C)Cc1[nH+]ccn1C ZINC000102514799 526036118 /nfs/dbraw/zinc/03/61/18/526036118.db2.gz QWJAUEMXQFERER-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO Cc1cn[nH]c1CNc1ccc([NH+]2CCC(C)CC2)cc1 ZINC000289526003 526036183 /nfs/dbraw/zinc/03/61/83/526036183.db2.gz ODQOPSFHHGYFEH-UHFFFAOYSA-N 1 2 284.407 3.567 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@H+](C)Cc1ccon1 ZINC000265760467 526036260 /nfs/dbraw/zinc/03/62/60/526036260.db2.gz HBNYULILDLWTEQ-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@@H+](C)Cc1ccon1 ZINC000265760467 526036268 /nfs/dbraw/zinc/03/62/68/526036268.db2.gz HBNYULILDLWTEQ-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1cc[nH]n1 ZINC000265193289 526045291 /nfs/dbraw/zinc/04/52/91/526045291.db2.gz ISIJAAPHDAEXOF-SECBINFHSA-N 1 2 284.190 3.910 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1cc[nH]n1 ZINC000265193289 526045297 /nfs/dbraw/zinc/04/52/97/526045297.db2.gz ISIJAAPHDAEXOF-SECBINFHSA-N 1 2 284.190 3.910 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1nccn1C(F)F ZINC000078268861 526054405 /nfs/dbraw/zinc/05/44/05/526054405.db2.gz WUHFXNTZUBYBJR-JTQLQIEISA-N 1 2 283.297 3.610 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1nccn1C(F)F ZINC000078268861 526054413 /nfs/dbraw/zinc/05/44/13/526054413.db2.gz WUHFXNTZUBYBJR-JTQLQIEISA-N 1 2 283.297 3.610 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1cccc(F)c1F ZINC000127879723 526120818 /nfs/dbraw/zinc/12/08/18/526120818.db2.gz GAFYKYLKXLBDGQ-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1cccc(F)c1F ZINC000127879723 526120827 /nfs/dbraw/zinc/12/08/27/526120827.db2.gz GAFYKYLKXLBDGQ-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1nc(-c2ccco2)no1 ZINC000029967086 526145041 /nfs/dbraw/zinc/14/50/41/526145041.db2.gz IIRAIOJNVWSGNA-JTQLQIEISA-N 1 2 289.360 3.584 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1nc(-c2ccco2)no1 ZINC000029967086 526145051 /nfs/dbraw/zinc/14/50/51/526145051.db2.gz IIRAIOJNVWSGNA-JTQLQIEISA-N 1 2 289.360 3.584 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1nnc(C(C)C)[nH]1 ZINC000292836143 526186208 /nfs/dbraw/zinc/18/62/08/526186208.db2.gz MYAPNHSNLPTRQT-LLVKDONJSA-N 1 2 290.436 3.501 20 0 CHADLO Cc1ccc2cc(C[N@@H+]3CCC=C(F)C3)ccc2n1 ZINC000276272821 526230922 /nfs/dbraw/zinc/23/09/22/526230922.db2.gz RARDNOAVGFHIHY-UHFFFAOYSA-N 1 2 256.324 3.602 20 0 CHADLO Cc1ccc2cc(C[N@H+]3CCC=C(F)C3)ccc2n1 ZINC000276272821 526230927 /nfs/dbraw/zinc/23/09/27/526230927.db2.gz RARDNOAVGFHIHY-UHFFFAOYSA-N 1 2 256.324 3.602 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C[C@@H](C)c1ccc(F)cc1F ZINC000127698911 526238220 /nfs/dbraw/zinc/23/82/20/526238220.db2.gz IVLGGWINGVFLQC-SNVBAGLBSA-N 1 2 290.313 3.801 20 0 CHADLO Cc1ccc(C(C)C)cc1OCc1ccc[nH+]c1N ZINC000052755619 526249777 /nfs/dbraw/zinc/24/97/77/526249777.db2.gz JBMJAECDBQUQLI-UHFFFAOYSA-N 1 2 256.349 3.675 20 0 CHADLO C[C@H]1CCCC[C@@H]1CNc1ccc(Cn2cc[nH+]c2)cn1 ZINC000353145657 526255273 /nfs/dbraw/zinc/25/52/73/526255273.db2.gz WVYPEKSYGCBCMT-GOEBONIOSA-N 1 2 284.407 3.565 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1nc(-c2ccccc2)cs1 ZINC000292936024 526287359 /nfs/dbraw/zinc/28/73/59/526287359.db2.gz CWVPEEWVVPMZSD-ZDUSSCGKSA-N 1 2 276.380 3.744 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1nc(-c2ccccc2)cs1 ZINC000292936024 526287362 /nfs/dbraw/zinc/28/73/62/526287362.db2.gz CWVPEEWVVPMZSD-ZDUSSCGKSA-N 1 2 276.380 3.744 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H]2c2ccncc2)cc(F)c1F ZINC000289375463 526295218 /nfs/dbraw/zinc/29/52/18/526295218.db2.gz BHSPBGOBLRFZAE-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H]2c2ccncc2)cc(F)c1F ZINC000289375463 526295224 /nfs/dbraw/zinc/29/52/24/526295224.db2.gz BHSPBGOBLRFZAE-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO Cc1csc(C[N@H+](Cc2cccs2)C2CC2)n1 ZINC000081648544 526341011 /nfs/dbraw/zinc/34/10/11/526341011.db2.gz IDKPGSKIRVEYCU-UHFFFAOYSA-N 1 2 264.419 3.678 20 0 CHADLO Cc1csc(C[N@@H+](Cc2cccs2)C2CC2)n1 ZINC000081648544 526341017 /nfs/dbraw/zinc/34/10/17/526341017.db2.gz IDKPGSKIRVEYCU-UHFFFAOYSA-N 1 2 264.419 3.678 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ccn[nH]2)C2CCCC2)cc1 ZINC000066990761 526365568 /nfs/dbraw/zinc/36/55/68/526365568.db2.gz GLLSNISDUWGHBW-MRXNPFEDSA-N 1 2 273.355 3.570 20 0 CHADLO Fc1ccc2c(c1)CC[C@@H]2Nc1cc[nH+]c(C2CC2)n1 ZINC000110272660 526370745 /nfs/dbraw/zinc/37/07/45/526370745.db2.gz HHKUDZZOPOVYSI-AWEZNQCLSA-N 1 2 269.323 3.593 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1cscn1)CCS2 ZINC000040504314 526373500 /nfs/dbraw/zinc/37/35/00/526373500.db2.gz QVBSGVIAEOZJJJ-GFCCVEGCSA-N 1 2 280.393 3.609 20 0 CHADLO Fc1cccc(F)c1C[N@H+](Cc1ccno1)C1CCCC1 ZINC000264728656 526393916 /nfs/dbraw/zinc/39/39/16/526393916.db2.gz QUGWGXMHOHVLFM-UHFFFAOYSA-N 1 2 292.329 3.898 20 0 CHADLO Fc1cccc(F)c1C[N@@H+](Cc1ccno1)C1CCCC1 ZINC000264728656 526393921 /nfs/dbraw/zinc/39/39/21/526393921.db2.gz QUGWGXMHOHVLFM-UHFFFAOYSA-N 1 2 292.329 3.898 20 0 CHADLO Fc1cccc(OC(F)(F)F)c1CNc1cccc[nH+]1 ZINC000340689185 526396296 /nfs/dbraw/zinc/39/62/96/526396296.db2.gz ROZHLARJRZVQIC-UHFFFAOYSA-N 1 2 286.228 3.731 20 0 CHADLO O=C(CCCC(F)(F)F)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000355939167 526416470 /nfs/dbraw/zinc/41/64/70/526416470.db2.gz FPHDAGWVBMTALH-UHFFFAOYSA-N 1 2 297.280 3.543 20 0 CHADLO c1cc(C[N@@H+]2CCCC[C@@H]2c2cc3ccccc3[nH]2)no1 ZINC000077284119 526422289 /nfs/dbraw/zinc/42/22/89/526422289.db2.gz CLOXEOQTMUHBIE-QGZVFWFLSA-N 1 2 281.359 3.883 20 0 CHADLO c1cc(C[N@H+]2CCCC[C@@H]2c2cc3ccccc3[nH]2)no1 ZINC000077284119 526422292 /nfs/dbraw/zinc/42/22/92/526422292.db2.gz CLOXEOQTMUHBIE-QGZVFWFLSA-N 1 2 281.359 3.883 20 0 CHADLO c1cc(C[NH2+]Cc2ccc(C3CCCCC3)cc2)[nH]n1 ZINC000292807977 526427895 /nfs/dbraw/zinc/42/78/95/526427895.db2.gz WCMJDPKYVYEIJP-UHFFFAOYSA-N 1 2 269.392 3.747 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CCSc2cc(C)c(C)cc21 ZINC000275344367 526556272 /nfs/dbraw/zinc/55/62/72/526556272.db2.gz PKADSBRVPMDLQI-HNNXBMFYSA-N 1 2 287.432 3.662 20 0 CHADLO c1nnc([C@@H]([NH2+]CCC2=CCCCCC2)c2ccccc2)[nH]1 ZINC000290259822 526622531 /nfs/dbraw/zinc/62/25/31/526622531.db2.gz KOFZAQVWNLYNFX-KRWDZBQOSA-N 1 2 296.418 3.764 20 0 CHADLO c1sc(-c2ccccc2)nc1CNc1cccc[nH+]1 ZINC000120888310 526628712 /nfs/dbraw/zinc/62/87/12/526628712.db2.gz GJCIZXLXUOORAQ-UHFFFAOYSA-N 1 2 267.357 3.817 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@@H](C)[C@H](C)O ZINC000292542929 526668264 /nfs/dbraw/zinc/66/82/64/526668264.db2.gz BIYNLILOTVMNCV-RYUDHWBXSA-N 1 2 253.411 3.516 20 0 CHADLO Cc1nocc1C[NH2+][C@H](C)c1nc(-c2ccccc2)cs1 ZINC000293533457 526696649 /nfs/dbraw/zinc/69/66/49/526696649.db2.gz JOTAXDFYNHAECQ-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1nc(C2([NH2+]Cc3cc(C)ccc3C)CCCCC2)no1 ZINC000248059635 526768023 /nfs/dbraw/zinc/76/80/23/526768023.db2.gz VFWMMKSKLBHSHA-UHFFFAOYSA-N 1 2 299.418 3.944 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000289769031 526822568 /nfs/dbraw/zinc/82/25/68/526822568.db2.gz KGPGOGSEGZYYQF-UHFFFAOYSA-N 1 2 262.353 3.506 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000289769031 526822574 /nfs/dbraw/zinc/82/25/74/526822574.db2.gz KGPGOGSEGZYYQF-UHFFFAOYSA-N 1 2 262.353 3.506 20 0 CHADLO Cc1nc(C[N@H+](C)CCSC2CCCCC2)cs1 ZINC000337319870 526840026 /nfs/dbraw/zinc/84/00/26/526840026.db2.gz APTWZGJYBCCKGV-UHFFFAOYSA-N 1 2 284.494 3.949 20 0 CHADLO Cc1nc(C[N@@H+](C)CCSC2CCCCC2)cs1 ZINC000337319870 526840028 /nfs/dbraw/zinc/84/00/28/526840028.db2.gz APTWZGJYBCCKGV-UHFFFAOYSA-N 1 2 284.494 3.949 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(OC(F)F)cc2)cs1 ZINC000042977880 526841375 /nfs/dbraw/zinc/84/13/75/526841375.db2.gz AZSAZSJYVANWGX-UHFFFAOYSA-N 1 2 298.358 3.685 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(OC(F)F)cc2)cs1 ZINC000042977880 526841378 /nfs/dbraw/zinc/84/13/78/526841378.db2.gz AZSAZSJYVANWGX-UHFFFAOYSA-N 1 2 298.358 3.685 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CC3(CCC3(F)F)C2)cs1 ZINC000336017696 526861835 /nfs/dbraw/zinc/86/18/35/526861835.db2.gz QVRBXTNUFQQBSE-UHFFFAOYSA-N 1 2 286.391 3.672 20 0 CHADLO Cc1sccc1C[NH2+][C@@H](c1nc(C2CC2)no1)C(C)C ZINC000339256367 526917568 /nfs/dbraw/zinc/91/75/68/526917568.db2.gz NDYIQBSQEMDKKQ-CYBMUJFWSA-N 1 2 291.420 3.804 20 0 CHADLO Cc1nc([C@@H](C)[N@H+](C)CCc2cccc3ccccc32)no1 ZINC000278738926 527023769 /nfs/dbraw/zinc/02/37/69/527023769.db2.gz WHOTYSLOCMUDLN-CYBMUJFWSA-N 1 2 295.386 3.767 20 0 CHADLO Cc1nc([C@@H](C)[N@@H+](C)CCc2cccc3ccccc32)no1 ZINC000278738926 527023771 /nfs/dbraw/zinc/02/37/71/527023771.db2.gz WHOTYSLOCMUDLN-CYBMUJFWSA-N 1 2 295.386 3.767 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccc3c(n2)CCCC3)cs1 ZINC000278599688 527031842 /nfs/dbraw/zinc/03/18/42/527031842.db2.gz ZJMCDRFUPPKJEJ-LLVKDONJSA-N 1 2 287.432 3.576 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](c2ccc(F)cc2)C2CCC2)no1 ZINC000278743551 527034400 /nfs/dbraw/zinc/03/44/00/527034400.db2.gz WOWGDZWTGOJIRU-BMIGLBTASA-N 1 2 289.354 3.709 20 0 CHADLO Cc1nc([C@H](C)[N@H+](C)CCc2cccc3ccccc32)no1 ZINC000278738931 527058486 /nfs/dbraw/zinc/05/84/86/527058486.db2.gz WHOTYSLOCMUDLN-ZDUSSCGKSA-N 1 2 295.386 3.767 20 0 CHADLO Cc1nc([C@H](C)[N@@H+](C)CCc2cccc3ccccc32)no1 ZINC000278738931 527058494 /nfs/dbraw/zinc/05/84/94/527058494.db2.gz WHOTYSLOCMUDLN-ZDUSSCGKSA-N 1 2 295.386 3.767 20 0 CHADLO Cc1nc([C@H]2CCCN2c2cc[nH+]c3ccncc32)cs1 ZINC000274993504 527084017 /nfs/dbraw/zinc/08/40/17/527084017.db2.gz FEINEUXLMVVCTA-MRXNPFEDSA-N 1 2 296.399 3.736 20 0 CHADLO Cn1c2ccc(NC(=O)CCC3CCC3)cc2[nH+]c1C1CC1 ZINC000340667600 527103127 /nfs/dbraw/zinc/10/31/27/527103127.db2.gz RNTLYONMYDDDGR-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CC(C)(C)C3CC3)cc2[nH+]1 ZINC000338667895 527141323 /nfs/dbraw/zinc/14/13/23/527141323.db2.gz DKZIHFVYPWWOCE-UHFFFAOYSA-N 1 2 271.364 3.636 20 0 CHADLO Cc1[nH]c(C(=O)N[C@@H](C)c2cccc(Cl)c2Cl)c[nH+]1 ZINC000339228614 527238528 /nfs/dbraw/zinc/23/85/28/527238528.db2.gz IWYAEMWRTCPLSV-ZETCQYMHSA-N 1 2 298.173 3.516 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1cc(C(C)(C)C)on1 ZINC000366709592 527411101 /nfs/dbraw/zinc/41/11/01/527411101.db2.gz CRNVUBJZIPIORW-OAHLLOKOSA-N 1 2 287.407 3.648 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1cc(C(C)(C)C)on1 ZINC000366709592 527411109 /nfs/dbraw/zinc/41/11/09/527411109.db2.gz CRNVUBJZIPIORW-OAHLLOKOSA-N 1 2 287.407 3.648 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3CCCSC3)cc2)c1C ZINC000291367672 527462000 /nfs/dbraw/zinc/46/20/00/527462000.db2.gz YYYXZHXUQAFAEL-OAHLLOKOSA-N 1 2 287.432 3.797 20 0 CHADLO Cn1ncc(Cl)c1C[N@@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000290202381 527570979 /nfs/dbraw/zinc/57/09/79/527570979.db2.gz PRLFXKAKURKXAG-CYBMUJFWSA-N 1 2 295.839 3.718 20 0 CHADLO Cn1ncc(Cl)c1C[N@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000290202381 527570985 /nfs/dbraw/zinc/57/09/85/527570985.db2.gz PRLFXKAKURKXAG-CYBMUJFWSA-N 1 2 295.839 3.718 20 0 CHADLO FC(F)(F)C[C@@H]1CC[N@H+](Cc2cnc(Cl)s2)C1 ZINC000278875641 527672567 /nfs/dbraw/zinc/67/25/67/527672567.db2.gz SVLIOZBAUMDIBC-ZETCQYMHSA-N 1 2 284.734 3.571 20 0 CHADLO FC(F)(F)C[C@@H]1CC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC000278875641 527672571 /nfs/dbraw/zinc/67/25/71/527672571.db2.gz SVLIOZBAUMDIBC-ZETCQYMHSA-N 1 2 284.734 3.571 20 0 CHADLO CC(C)CCC1CC[NH+](Cc2ncnn2CC(C)C)CC1 ZINC000352546384 527700362 /nfs/dbraw/zinc/70/03/62/527700362.db2.gz UKBHNXZAILIUIF-UHFFFAOYSA-N 1 2 292.471 3.582 20 0 CHADLO CC(C)(C)C[C@H](CO)Nc1[nH+]ccc2ccc(F)cc21 ZINC000354879203 527701241 /nfs/dbraw/zinc/70/12/41/527701241.db2.gz NZDUWOWTQXHBIP-CYBMUJFWSA-N 1 2 276.355 3.583 20 0 CHADLO CC(C)c1ccc(C(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)cc1 ZINC000336443891 528064097 /nfs/dbraw/zinc/06/40/97/528064097.db2.gz IBVOFHINEQPHQM-HNNXBMFYSA-N 1 2 283.375 3.510 20 0 CHADLO CCC[N@@H+](Cc1ccc2occc2c1)CC(F)F ZINC000347849816 528138704 /nfs/dbraw/zinc/13/87/04/528138704.db2.gz NPMNZOPBSMVDHM-UHFFFAOYSA-N 1 2 253.292 3.910 20 0 CHADLO CCC[N@H+](Cc1ccc2occc2c1)CC(F)F ZINC000347849816 528138710 /nfs/dbraw/zinc/13/87/10/528138710.db2.gz NPMNZOPBSMVDHM-UHFFFAOYSA-N 1 2 253.292 3.910 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC(F)(F)[C@@H](C)C2)s1 ZINC000334838923 528214155 /nfs/dbraw/zinc/21/41/55/528214155.db2.gz AVRTZBSFHQNBFO-JTQLQIEISA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC(F)(F)[C@@H](C)C2)s1 ZINC000334838923 528214160 /nfs/dbraw/zinc/21/41/60/528214160.db2.gz AVRTZBSFHQNBFO-JTQLQIEISA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H]2COCc3ccccc32)s1 ZINC000418105793 528217226 /nfs/dbraw/zinc/21/72/26/528217226.db2.gz LWERVAVFPBQGQJ-AWEZNQCLSA-N 1 2 288.416 3.628 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCC[C@@H]2C(F)F)c1 ZINC000353169840 528222349 /nfs/dbraw/zinc/22/23/49/528222349.db2.gz JMJYFLNPNLRYER-CQSZACIVSA-N 1 2 269.335 3.703 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCC[C@@H]2C(F)F)c1 ZINC000353169840 528222355 /nfs/dbraw/zinc/22/23/55/528222355.db2.gz JMJYFLNPNLRYER-CQSZACIVSA-N 1 2 269.335 3.703 20 0 CHADLO CCOC(=O)c1coc(C[N@@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000353664489 528326115 /nfs/dbraw/zinc/32/61/15/528326115.db2.gz WYESEIILBGYQJC-GFCCVEGCSA-N 1 2 285.343 3.533 20 0 CHADLO CCOC(=O)c1coc(C[N@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000353664489 528326119 /nfs/dbraw/zinc/32/61/19/528326119.db2.gz WYESEIILBGYQJC-GFCCVEGCSA-N 1 2 285.343 3.533 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2cc3ccccc3o2)s1 ZINC000344642575 528442093 /nfs/dbraw/zinc/44/20/93/528442093.db2.gz RHVPAVXFLPPSPS-UHFFFAOYSA-N 1 2 287.388 3.698 20 0 CHADLO CCC(C)(C)[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000348359791 528540565 /nfs/dbraw/zinc/54/05/65/528540565.db2.gz CAKGKFKPBQNBQL-UHFFFAOYSA-N 1 2 265.401 3.738 20 0 CHADLO CCC(C)(C)[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000348359791 528540573 /nfs/dbraw/zinc/54/05/73/528540573.db2.gz CAKGKFKPBQNBQL-UHFFFAOYSA-N 1 2 265.401 3.738 20 0 CHADLO CC[N@H+](Cc1cncc(F)c1)Cc1ccccc1Cl ZINC000344681702 528872781 /nfs/dbraw/zinc/87/27/81/528872781.db2.gz CLDMARRPEDBJTK-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cncc(F)c1)Cc1ccccc1Cl ZINC000344681702 528872784 /nfs/dbraw/zinc/87/27/84/528872784.db2.gz CLDMARRPEDBJTK-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nnc(C(C)C)s1)c1ccccn1 ZINC000346975570 529015503 /nfs/dbraw/zinc/01/55/03/529015503.db2.gz MIFJOYBQCLZJQQ-GFCCVEGCSA-N 1 2 290.436 3.688 20 0 CHADLO CC1(C)CC[C@H](Nc2ccc(N3CCCC3)c[nH+]2)C1 ZINC000346664280 529049516 /nfs/dbraw/zinc/04/95/16/529049516.db2.gz ZKUVVAQOKFINBU-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)sc1C)c1nc(C)cs1 ZINC000347608914 529189976 /nfs/dbraw/zinc/18/99/76/529189976.db2.gz CRRGETUGOJNNLJ-LLVKDONJSA-N 1 2 281.450 3.766 20 0 CHADLO CC[C@H]1CCC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000353869181 529231129 /nfs/dbraw/zinc/23/11/29/529231129.db2.gz HLKGXBZGKBYALL-HOCLYGCPSA-N 1 2 297.402 3.571 20 0 CHADLO CC[C@@H](C)Cc1nc(CCn2c(C)[nH+]c3ccccc32)no1 ZINC000347076907 529337594 /nfs/dbraw/zinc/33/75/94/529337594.db2.gz WLYYQGNRCCONJR-GFCCVEGCSA-N 1 2 298.390 3.559 20 0 CHADLO CO[C@H]1CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000342070774 536712251 /nfs/dbraw/zinc/71/22/51/536712251.db2.gz FVMZNPHBVNRPLJ-NSHDSACASA-N 1 2 276.767 3.503 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCC23CCCC3)c1F ZINC001137926736 1131436244 /nfs/dbraw/zinc/43/62/44/1131436244.db2.gz AKQWGQYSUYLZLQ-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCC23CCCC3)c1F ZINC001137926736 1131436247 /nfs/dbraw/zinc/43/62/47/1131436247.db2.gz AKQWGQYSUYLZLQ-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)C1=CCCCCC1 ZINC000128272032 1125465723 /nfs/dbraw/zinc/46/57/23/1125465723.db2.gz QTAJDWLURZPNGI-UHFFFAOYSA-N 1 2 281.359 3.701 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1ccc(F)cc1F ZINC000128512676 1125466789 /nfs/dbraw/zinc/46/67/89/1125466789.db2.gz ASZJUHHRWSUHQB-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1ccc(F)cc1F ZINC000128512676 1125466791 /nfs/dbraw/zinc/46/67/91/1125466791.db2.gz ASZJUHHRWSUHQB-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2c(F)cc(F)cc2F)o1 ZINC000340501161 1118308700 /nfs/dbraw/zinc/30/87/00/1118308700.db2.gz XTBCWIOOGFWLMH-SECBINFHSA-N 1 2 269.266 3.856 20 0 CHADLO CCCc1nc(C[N@H+](C)Cc2ccccc2)cs1 ZINC000043562442 1118349805 /nfs/dbraw/zinc/34/98/05/1118349805.db2.gz XFBQTCPLEMVAQF-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO CCCc1nc(C[N@@H+](C)Cc2ccccc2)cs1 ZINC000043562442 1118349809 /nfs/dbraw/zinc/34/98/09/1118349809.db2.gz XFBQTCPLEMVAQF-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO COc1cc[nH+]c(Nc2cccc(SC)c2F)c1 ZINC001215867290 1118613987 /nfs/dbraw/zinc/61/39/87/1118613987.db2.gz YNQJBMICPLBLBX-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ccc(F)c(F)c2)C[C@@H](C)O1 ZINC000247419255 1118738582 /nfs/dbraw/zinc/73/85/82/1118738582.db2.gz DMBCVKQQVIJFPC-NQBHXWOUSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ccc(F)c(F)c2)C[C@@H](C)O1 ZINC000247419255 1118738586 /nfs/dbraw/zinc/73/85/86/1118738586.db2.gz DMBCVKQQVIJFPC-NQBHXWOUSA-N 1 2 269.335 3.525 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2cc(C)cc(C)c2)n1 ZINC000114752224 1125499026 /nfs/dbraw/zinc/49/90/26/1125499026.db2.gz YLEROTUOCHCPKZ-OLZOCXBDSA-N 1 2 273.380 3.661 20 0 CHADLO C[N@H+](Cc1cc(-c2ccc(F)cc2)no1)Cc1cccnc1 ZINC000348304506 1125507270 /nfs/dbraw/zinc/50/72/70/1125507270.db2.gz TVHQHLLJQDVAAT-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1cc(-c2ccc(F)cc2)no1)Cc1cccnc1 ZINC000348304506 1125507276 /nfs/dbraw/zinc/50/72/76/1125507276.db2.gz TVHQHLLJQDVAAT-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO CC[C@H]1CC[C@@H](C)[N@@H+]1Cc1ncsc1Br ZINC000660168921 1120410918 /nfs/dbraw/zinc/41/09/18/1120410918.db2.gz HETXAHRBMDJRJI-BDAKNGLRSA-N 1 2 289.242 3.669 20 0 CHADLO CC[C@H]1CC[C@@H](C)[N@H+]1Cc1ncsc1Br ZINC000660168921 1120410921 /nfs/dbraw/zinc/41/09/21/1120410921.db2.gz HETXAHRBMDJRJI-BDAKNGLRSA-N 1 2 289.242 3.669 20 0 CHADLO CC[C@@H](C)[N@H+](CC)Cc1noc(C2CCCCC2)n1 ZINC000661106283 1120716943 /nfs/dbraw/zinc/71/69/43/1120716943.db2.gz GYDAFLBDURGLAE-GFCCVEGCSA-N 1 2 265.401 3.738 20 0 CHADLO CC[C@@H](C)[N@@H+](CC)Cc1noc(C2CCCCC2)n1 ZINC000661106283 1120716947 /nfs/dbraw/zinc/71/69/47/1120716947.db2.gz GYDAFLBDURGLAE-GFCCVEGCSA-N 1 2 265.401 3.738 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1cccc2ccoc21 ZINC001117752041 1121017141 /nfs/dbraw/zinc/01/71/41/1121017141.db2.gz VEDYZVLBYZGMFI-LBPRGKRZSA-N 1 2 251.276 3.710 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)[N@H+](C)Cc1cn[nH]c1 ZINC000674752415 1121175113 /nfs/dbraw/zinc/17/51/13/1121175113.db2.gz QWEYXARKKSEOFE-VIFPVBQESA-N 1 2 284.190 3.910 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)[N@@H+](C)Cc1cn[nH]c1 ZINC000674752415 1121175116 /nfs/dbraw/zinc/17/51/16/1121175116.db2.gz QWEYXARKKSEOFE-VIFPVBQESA-N 1 2 284.190 3.910 20 0 CHADLO Fc1cccc(CCC[N@H+](CC(F)F)C2CCC2)c1 ZINC001176193258 1121569928 /nfs/dbraw/zinc/56/99/28/1121569928.db2.gz OPMIRWCHVDEYEY-UHFFFAOYSA-N 1 2 271.326 3.878 20 0 CHADLO Fc1cccc(CCC[N@@H+](CC(F)F)C2CCC2)c1 ZINC001176193258 1121569921 /nfs/dbraw/zinc/56/99/21/1121569921.db2.gz OPMIRWCHVDEYEY-UHFFFAOYSA-N 1 2 271.326 3.878 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1ccnc(Cl)c1 ZINC000711897822 1121585664 /nfs/dbraw/zinc/58/56/64/1121585664.db2.gz ZBLGWJUBAPQSPA-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1ccnc(Cl)c1 ZINC000711897822 1121585673 /nfs/dbraw/zinc/58/56/73/1121585673.db2.gz ZBLGWJUBAPQSPA-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO Clc1ccc2c(c1)[C@@H]([NH2+]Cc1ccon1)CCS2 ZINC000148613532 1121677655 /nfs/dbraw/zinc/67/76/55/1121677655.db2.gz ZLAZYCUJORPJOO-LBPRGKRZSA-N 1 2 280.780 3.655 20 0 CHADLO Cc1nn(C[N@@H+]2CCCC3(CCCCC3)C2)c(=S)s1 ZINC000732190273 1121907574 /nfs/dbraw/zinc/90/75/74/1121907574.db2.gz AVRFENAKWOOIHZ-UHFFFAOYSA-N 1 2 297.493 3.986 20 0 CHADLO Cc1nn(C[N@H+]2CCCC3(CCCCC3)C2)c(=S)s1 ZINC000732190273 1121907579 /nfs/dbraw/zinc/90/75/79/1121907579.db2.gz AVRFENAKWOOIHZ-UHFFFAOYSA-N 1 2 297.493 3.986 20 0 CHADLO CC[C@@H](CSCc1ccc(-n2cc[nH+]c2)cc1)OC ZINC000419465879 1121946144 /nfs/dbraw/zinc/94/61/44/1121946144.db2.gz PVJWCDGVHXSNSV-HNNXBMFYSA-N 1 2 276.405 3.531 20 0 CHADLO Cc1cc(NCC[C@@]2(C)CC2(F)F)nc(C2CC2)[nH+]1 ZINC000664399499 1122340047 /nfs/dbraw/zinc/34/00/47/1122340047.db2.gz QLXIUYYBLRGEKU-ZDUSSCGKSA-N 1 2 267.323 3.510 20 0 CHADLO CCOc1nccc(C[N@@H+](C)Cc2cccs2)c1Cl ZINC001250382156 1122540815 /nfs/dbraw/zinc/54/08/15/1122540815.db2.gz FTVUQEHROHBBHA-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO C[C@@H]1CCOCC[N@@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000775569427 1122673564 /nfs/dbraw/zinc/67/35/64/1122673564.db2.gz VTYVDINVGDNKRO-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1CCOCC[N@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000775569427 1122673566 /nfs/dbraw/zinc/67/35/66/1122673566.db2.gz VTYVDINVGDNKRO-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO O[C@@H](CNc1cccc[nH+]1)c1ccc(Cl)cc1Cl ZINC000301227972 1123882936 /nfs/dbraw/zinc/88/29/36/1123882936.db2.gz CVMSGDRBKNFPQW-LBPRGKRZSA-N 1 2 283.158 3.534 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(Br)cc1)C(F)F ZINC000449360436 1124709091 /nfs/dbraw/zinc/70/90/91/1124709091.db2.gz JFHLZFKSEXICNA-SNVBAGLBSA-N 1 2 278.140 3.582 20 0 CHADLO Cc1cc(NCc2ncc(Br)s2)c[nH+]c1C ZINC000883341732 1125398371 /nfs/dbraw/zinc/39/83/71/1125398371.db2.gz YQDCSIGHXXRVNL-UHFFFAOYSA-N 1 2 298.209 3.530 20 0 CHADLO COc1cc(C)c(C[N@@H+]2C[C@@H](F)C[C@H]2CF)c(C)c1C ZINC001138725833 1131542919 /nfs/dbraw/zinc/54/29/19/1131542919.db2.gz QNIXSIWBSSTBDB-KBPBESRZSA-N 1 2 283.362 3.502 20 0 CHADLO COc1cc(C)c(C[N@H+]2C[C@@H](F)C[C@H]2CF)c(C)c1C ZINC001138725833 1131542922 /nfs/dbraw/zinc/54/29/22/1131542922.db2.gz QNIXSIWBSSTBDB-KBPBESRZSA-N 1 2 283.362 3.502 20 0 CHADLO CC1(C)CC[N@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139353755 1131603993 /nfs/dbraw/zinc/60/39/93/1131603993.db2.gz ZUZLDMBMKIFZHE-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CC1(C)CC[N@@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139353755 1131603995 /nfs/dbraw/zinc/60/39/95/1131603995.db2.gz ZUZLDMBMKIFZHE-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO COCc1cc[nH+]c(NC2CCC(C(F)(F)F)CC2)c1 ZINC000846116679 1131688505 /nfs/dbraw/zinc/68/85/05/1131688505.db2.gz FSXZOQSJXNWASP-UHFFFAOYSA-N 1 2 288.313 3.761 20 0 CHADLO Fc1cc(C[N@@H+]2CC[C@]3(CC3(F)F)C2)c(F)cc1Cl ZINC001140701400 1131882840 /nfs/dbraw/zinc/88/28/40/1131882840.db2.gz LNZATLRIWGWLCI-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(C[N@H+]2CC[C@]3(CC3(F)F)C2)c(F)cc1Cl ZINC001140701400 1131882847 /nfs/dbraw/zinc/88/28/47/1131882847.db2.gz LNZATLRIWGWLCI-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(C[N@H+]2C[C@H]3[C@H](CC3(F)F)C2)c(F)cc1Cl ZINC001140699328 1131880803 /nfs/dbraw/zinc/88/08/03/1131880803.db2.gz LWWZXSFAVLHHBX-BDAKNGLRSA-N 1 2 293.691 3.705 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@H]3[C@H](CC3(F)F)C2)c(F)cc1Cl ZINC001140699328 1131880812 /nfs/dbraw/zinc/88/08/12/1131880812.db2.gz LWWZXSFAVLHHBX-BDAKNGLRSA-N 1 2 293.691 3.705 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnn(C)c2)c(Cl)c1Cl ZINC001231662305 1132340265 /nfs/dbraw/zinc/34/02/65/1132340265.db2.gz CKJNAFMEQLQFQV-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnn(C)c2)c(Cl)c1Cl ZINC001231662305 1132340273 /nfs/dbraw/zinc/34/02/73/1132340273.db2.gz CKJNAFMEQLQFQV-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO CC[N@H+](CC(=O)OC)Cc1sc2scc(C)c2c1C ZINC001231830643 1132377044 /nfs/dbraw/zinc/37/70/44/1132377044.db2.gz CRDQFFGWUFTIIQ-UHFFFAOYSA-N 1 2 297.445 3.575 20 0 CHADLO CC[N@@H+](CC(=O)OC)Cc1sc2scc(C)c2c1C ZINC001231830643 1132377053 /nfs/dbraw/zinc/37/70/53/1132377053.db2.gz CRDQFFGWUFTIIQ-UHFFFAOYSA-N 1 2 297.445 3.575 20 0 CHADLO C[N@@H+](Cc1cc(Br)co1)Cc1ccccc1F ZINC001232855118 1132531026 /nfs/dbraw/zinc/53/10/26/1132531026.db2.gz XOZDWXGFAXROMA-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001233350471 1132608056 /nfs/dbraw/zinc/60/80/56/1132608056.db2.gz IPOHMCGORQYUPX-PHIMTYICSA-N 1 2 285.840 3.671 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001233350471 1132608062 /nfs/dbraw/zinc/60/80/62/1132608062.db2.gz IPOHMCGORQYUPX-PHIMTYICSA-N 1 2 285.840 3.671 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001233351254 1132608312 /nfs/dbraw/zinc/60/83/12/1132608312.db2.gz LATISQBBLCJDBX-OUJBWJOFSA-N 1 2 285.815 3.852 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1C[C@@H]2C[C@@H]2[C@H](F)C1 ZINC001233351254 1132608316 /nfs/dbraw/zinc/60/83/16/1132608316.db2.gz LATISQBBLCJDBX-OUJBWJOFSA-N 1 2 285.815 3.852 20 0 CHADLO FC(F)(F)Oc1ccccc1C[NH+]1CC2(CCC2)C1 ZINC001204425837 1133446202 /nfs/dbraw/zinc/44/62/02/1133446202.db2.gz WHZUTRAZOJTZMO-UHFFFAOYSA-N 1 2 271.282 3.571 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC[C@@H](F)C(F)(F)C2)c1C ZINC001205067044 1133523155 /nfs/dbraw/zinc/52/31/55/1133523155.db2.gz KJHZLUGETIBMJP-CQSZACIVSA-N 1 2 271.326 3.873 20 0 CHADLO Cc1cccc(C[N@H+]2CCC[C@@H](F)C(F)(F)C2)c1C ZINC001205067044 1133523160 /nfs/dbraw/zinc/52/31/60/1133523160.db2.gz KJHZLUGETIBMJP-CQSZACIVSA-N 1 2 271.326 3.873 20 0 CHADLO C[C@H](C[N@@H+]1CC[C@@H](C)C(F)(F)C1)c1ccccc1 ZINC001207903140 1133858145 /nfs/dbraw/zinc/85/81/45/1133858145.db2.gz CKMNDIYBTZRBEU-CHWSQXEVSA-N 1 2 253.336 3.767 20 0 CHADLO C[C@H](C[N@H+]1CC[C@@H](C)C(F)(F)C1)c1ccccc1 ZINC001207903140 1133858154 /nfs/dbraw/zinc/85/81/54/1133858154.db2.gz CKMNDIYBTZRBEU-CHWSQXEVSA-N 1 2 253.336 3.767 20 0 CHADLO CCCC[C@H](OCCn1cc[nH+]c1)[C@H](C)CCC ZINC001222138824 1135198243 /nfs/dbraw/zinc/19/82/43/1135198243.db2.gz KXEASWSZQUILJF-CABCVRRESA-N 1 2 252.402 3.895 20 0 CHADLO Cc1nc(NC[C@H]2CCC[C@H]2C)c(Br)c(C)[nH+]1 ZINC000323132547 1135852651 /nfs/dbraw/zinc/85/26/51/1135852651.db2.gz YQIYNGTVIPBAAD-LDYMZIIASA-N 1 2 298.228 3.704 20 0 CHADLO Nc1cc(CSc2ccc(Br)cc2)cc[nH+]1 ZINC000052756316 1125519092 /nfs/dbraw/zinc/51/90/92/1125519092.db2.gz XYYRPMKMCDFITB-UHFFFAOYSA-N 1 2 295.205 3.719 20 0 CHADLO C[NH2+]c1ccc(NCc2cnc(C(C)C)s2)cc1 ZINC000863481358 1125521451 /nfs/dbraw/zinc/52/14/51/1125521451.db2.gz DXMZSJDANPQFCE-UHFFFAOYSA-N 1 2 261.394 3.920 20 0 CHADLO COCc1ccc(C[N@H+](Cc2ccccc2F)C2CC2)o1 ZINC000120559759 1125547138 /nfs/dbraw/zinc/54/71/38/1125547138.db2.gz XCBYEEXXSAOUEH-UHFFFAOYSA-N 1 2 289.350 3.730 20 0 CHADLO COCc1ccc(C[N@@H+](Cc2ccccc2F)C2CC2)o1 ZINC000120559759 1125547143 /nfs/dbraw/zinc/54/71/43/1125547143.db2.gz XCBYEEXXSAOUEH-UHFFFAOYSA-N 1 2 289.350 3.730 20 0 CHADLO Cc1coc(SCc2ccc(-n3cc[nH+]c3)cc2C)n1 ZINC000194585411 1125556558 /nfs/dbraw/zinc/55/65/58/1125556558.db2.gz HHYUGMLAWJGCBO-UHFFFAOYSA-N 1 2 285.372 3.769 20 0 CHADLO c1nc(C[NH2+]Cc2ccc(Oc3ccccc3)cc2)co1 ZINC000236572042 1125557584 /nfs/dbraw/zinc/55/75/84/1125557584.db2.gz WMWQIPLEJLVJQO-UHFFFAOYSA-N 1 2 280.327 3.757 20 0 CHADLO CC[NH+](CC)[C@H](C(=O)OC)c1cc(Cl)cc(Cl)c1 ZINC000532909324 1125589042 /nfs/dbraw/zinc/58/90/42/1125589042.db2.gz YCDFQWJUGKXMNP-LBPRGKRZSA-N 1 2 290.190 3.549 20 0 CHADLO Cc1nnc([C@@H]2Cc3ccccc3C[N@@H+]2[C@@H]2C=CCCC2)o1 ZINC000246210023 1125611596 /nfs/dbraw/zinc/61/15/96/1125611596.db2.gz BDSQAEZXKQESLV-SJORKVTESA-N 1 2 295.386 3.586 20 0 CHADLO Cc1nnc([C@@H]2Cc3ccccc3C[N@H+]2[C@@H]2C=CCCC2)o1 ZINC000246210023 1125611600 /nfs/dbraw/zinc/61/16/00/1125611600.db2.gz BDSQAEZXKQESLV-SJORKVTESA-N 1 2 295.386 3.586 20 0 CHADLO CC(C)CCOCC[N@@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000246300024 1125618419 /nfs/dbraw/zinc/61/84/19/1125618419.db2.gz BYFSQIRGTVZBHN-ZBFHGGJFSA-N 1 2 297.464 3.573 20 0 CHADLO CC(C)CCOCC[N@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000246300024 1125618424 /nfs/dbraw/zinc/61/84/24/1125618424.db2.gz BYFSQIRGTVZBHN-ZBFHGGJFSA-N 1 2 297.464 3.573 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(O)c(F)c1F ZINC001211997737 1125620150 /nfs/dbraw/zinc/62/01/50/1125620150.db2.gz JBXPEHMDBVZRJB-UHFFFAOYSA-N 1 2 264.275 3.680 20 0 CHADLO Cc1coc(C[NH2+]Cc2nc(C(C)(C)C)cs2)c1 ZINC000885970762 1125631067 /nfs/dbraw/zinc/63/10/67/1125631067.db2.gz KBOHFDVCNCLOKD-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@H]2c2nccn2CC)cn1 ZINC000348359395 1125634217 /nfs/dbraw/zinc/63/42/17/1125634217.db2.gz SWJINOAHZKNJDK-KRWDZBQOSA-N 1 2 298.434 3.588 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@H]2c2nccn2CC)cn1 ZINC000348359395 1125634220 /nfs/dbraw/zinc/63/42/20/1125634220.db2.gz SWJINOAHZKNJDK-KRWDZBQOSA-N 1 2 298.434 3.588 20 0 CHADLO CC[C@@H]1CCCC[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000073948845 1125639243 /nfs/dbraw/zinc/63/92/43/1125639243.db2.gz NETUGMARBNJGQH-RHSMWYFYSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCc3ccc(F)cc3)cc2[nH+]1 ZINC000074819709 1125642233 /nfs/dbraw/zinc/64/22/33/1125642233.db2.gz DULXNCDAFCJMDD-UHFFFAOYSA-N 1 2 297.333 3.582 20 0 CHADLO O=C(CCc1cccs1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000074830037 1125642568 /nfs/dbraw/zinc/64/25/68/1125642568.db2.gz BWFXVEGVCMDKRF-UHFFFAOYSA-N 1 2 297.383 3.710 20 0 CHADLO CC1(C)C[N@H+](CCSC(F)(F)F)[C@H]1c1ccncc1 ZINC000886288930 1125667659 /nfs/dbraw/zinc/66/76/59/1125667659.db2.gz KQBUGMIJRNFHPH-NSHDSACASA-N 1 2 290.354 3.718 20 0 CHADLO CC1(C)C[N@@H+](CCSC(F)(F)F)[C@H]1c1ccncc1 ZINC000886288930 1125667663 /nfs/dbraw/zinc/66/76/63/1125667663.db2.gz KQBUGMIJRNFHPH-NSHDSACASA-N 1 2 290.354 3.718 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCC[C@@H]1c1cccc(Cl)c1 ZINC000076292577 1125675518 /nfs/dbraw/zinc/67/55/18/1125675518.db2.gz OCPSENHFYPAREX-OAHLLOKOSA-N 1 2 289.810 3.894 20 0 CHADLO CCn1ccnc1C[N@H+]1CCC[C@@H]1c1cccc(Cl)c1 ZINC000076292577 1125675522 /nfs/dbraw/zinc/67/55/22/1125675522.db2.gz OCPSENHFYPAREX-OAHLLOKOSA-N 1 2 289.810 3.894 20 0 CHADLO CO[C@@H]1CCC[C@@H]([NH2+][C@@H](C(C)C)C(F)(F)F)CC1 ZINC000886597020 1125695499 /nfs/dbraw/zinc/69/54/99/1125695499.db2.gz UFJRPYLVSORXCT-UTUOFQBUSA-N 1 2 267.335 3.511 20 0 CHADLO C[NH2+]c1ccc(NCc2cnc([C@@H]3CCCO3)s2)cc1 ZINC000629388257 1125702763 /nfs/dbraw/zinc/70/27/63/1125702763.db2.gz LOPHXQWPENGFFS-AWEZNQCLSA-N 1 2 289.404 3.648 20 0 CHADLO COc1cccc(CNc2ccc3c(c2)CCC[NH2+]3)c1F ZINC000666790426 1125709147 /nfs/dbraw/zinc/70/91/47/1125709147.db2.gz QVTNGGDBHZRGNB-UHFFFAOYSA-N 1 2 286.350 3.805 20 0 CHADLO Clc1cc(Nc2cccn3cc[nH+]c23)cc(Cl)n1 ZINC001212090063 1125724442 /nfs/dbraw/zinc/72/44/42/1125724442.db2.gz VVKNXJVJFDGNQX-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO CC(C)([NH2+]Cc1cc(C2CC2)no1)c1ccc(F)cc1F ZINC000886896730 1125724855 /nfs/dbraw/zinc/72/48/55/1125724855.db2.gz SBNLSNRORKGHHH-UHFFFAOYSA-N 1 2 292.329 3.855 20 0 CHADLO CCN(C)c1ccc(Nc2cc(Cl)nc(Cl)c2)c[nH+]1 ZINC001212094462 1125729115 /nfs/dbraw/zinc/72/91/15/1125729115.db2.gz PCZXFODUWOVYML-UHFFFAOYSA-N 1 2 297.189 3.983 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cc(Cl)ccc1F ZINC000162169555 1125740833 /nfs/dbraw/zinc/74/08/33/1125740833.db2.gz VSAYRNLPFHMJAL-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cc(Cl)ccc1F ZINC000162169555 1125740840 /nfs/dbraw/zinc/74/08/40/1125740840.db2.gz VSAYRNLPFHMJAL-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1c(F)cccc1N1CCCC1 ZINC000162182612 1125742365 /nfs/dbraw/zinc/74/23/65/1125742365.db2.gz QZXXVZCKUTWMNN-UHFFFAOYSA-N 1 2 288.366 3.651 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1c(F)cccc1N1CCCC1 ZINC000162182612 1125742369 /nfs/dbraw/zinc/74/23/69/1125742369.db2.gz QZXXVZCKUTWMNN-UHFFFAOYSA-N 1 2 288.366 3.651 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccc1F)c1cscn1 ZINC000162306351 1125749332 /nfs/dbraw/zinc/74/93/32/1125749332.db2.gz NAFOGFDQFGSHJK-UWVGGRQHSA-N 1 2 250.342 3.694 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2cscn2)cc1F ZINC000162329312 1125750814 /nfs/dbraw/zinc/75/08/14/1125750814.db2.gz NVSYMMUKUWBPRH-ZJUUUORDSA-N 1 2 280.368 3.703 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cc3cscn3)CC2)c1 ZINC000092822186 1125762307 /nfs/dbraw/zinc/76/23/07/1125762307.db2.gz QMMLIOVOIUFHMQ-UHFFFAOYSA-N 1 2 270.401 3.741 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cc3cscn3)CC2)c1 ZINC000092822186 1125762313 /nfs/dbraw/zinc/76/23/13/1125762313.db2.gz QMMLIOVOIUFHMQ-UHFFFAOYSA-N 1 2 270.401 3.741 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3ccon3)CC2)cc1 ZINC000093262798 1125766161 /nfs/dbraw/zinc/76/61/61/1125766161.db2.gz YUMVSIFQVPMOJW-UHFFFAOYSA-N 1 2 268.360 3.526 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3ccon3)CC2)cc1 ZINC000093262798 1125766168 /nfs/dbraw/zinc/76/61/68/1125766168.db2.gz YUMVSIFQVPMOJW-UHFFFAOYSA-N 1 2 268.360 3.526 20 0 CHADLO CSc1cc(C)cc(C[N@@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC001238687816 1131232385 /nfs/dbraw/zinc/23/23/85/1131232385.db2.gz GQEAXLCLRQIPLY-MRXNPFEDSA-N 1 2 299.443 3.844 20 0 CHADLO CSc1cc(C)cc(C[N@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC001238687816 1131232387 /nfs/dbraw/zinc/23/23/87/1131232387.db2.gz GQEAXLCLRQIPLY-MRXNPFEDSA-N 1 2 299.443 3.844 20 0 CHADLO FC(F)C(F)(F)C[N@@H+]1CCC[C@H]1CCc1ccccc1 ZINC000736621777 1129378119 /nfs/dbraw/zinc/37/81/19/1129378119.db2.gz PVLQVBOKGMOLRV-ZDUSSCGKSA-N 1 2 289.316 3.984 20 0 CHADLO FC(F)C(F)(F)C[N@H+]1CCC[C@H]1CCc1ccccc1 ZINC000736621777 1129378121 /nfs/dbraw/zinc/37/81/21/1129378121.db2.gz PVLQVBOKGMOLRV-ZDUSSCGKSA-N 1 2 289.316 3.984 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2ccc(F)cc2Cl)C1 ZINC000648058535 1129595224 /nfs/dbraw/zinc/59/52/24/1129595224.db2.gz PHGHKNXUXHTJGV-UHFFFAOYSA-N 1 2 277.717 3.956 20 0 CHADLO CCOc1ccc(C)c(C[N@H+](C)CC(=O)c2ccccc2)c1 ZINC001238710849 1131235755 /nfs/dbraw/zinc/23/57/55/1131235755.db2.gz FLIHXOLOSNOXPJ-UHFFFAOYSA-N 1 2 297.398 3.708 20 0 CHADLO CCOc1ccc(C)c(C[N@@H+](C)CC(=O)c2ccccc2)c1 ZINC001238710849 1131235758 /nfs/dbraw/zinc/23/57/58/1131235758.db2.gz FLIHXOLOSNOXPJ-UHFFFAOYSA-N 1 2 297.398 3.708 20 0 CHADLO C[C@H]([NH2+]Cc1nc(Cl)ccc1Cl)c1ccccn1 ZINC000766893422 1129769202 /nfs/dbraw/zinc/76/92/02/1129769202.db2.gz MRMJVZJRWJBDPS-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO C[C@H]1CCC[C@@H](Nc2ccc(N3CCCC3)c[nH+]2)C1 ZINC000338143779 1126721013 /nfs/dbraw/zinc/72/10/13/1126721013.db2.gz POZONPQQTRFRAO-UONOGXRCSA-N 1 2 259.397 3.672 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[NH2+]CC(C)(C)C(F)(F)CC ZINC000600613331 1126722201 /nfs/dbraw/zinc/72/22/01/1126722201.db2.gz IJIPDVOIBBCWKQ-LBPRGKRZSA-N 1 2 293.398 3.625 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2cccc3[nH]ccc32)s1 ZINC000338350148 1126736794 /nfs/dbraw/zinc/73/67/94/1126736794.db2.gz RGTJOAMVWIQUBD-SNVBAGLBSA-N 1 2 286.404 3.521 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2cccc3[nH]ccc32)s1 ZINC000338350148 1126736798 /nfs/dbraw/zinc/73/67/98/1126736798.db2.gz RGTJOAMVWIQUBD-SNVBAGLBSA-N 1 2 286.404 3.521 20 0 CHADLO CCc1ccc(C[NH2+]C2(c3nccs3)CCCC2)o1 ZINC000150771452 1126746124 /nfs/dbraw/zinc/74/61/24/1126746124.db2.gz LIKJRSYNLWQKJX-UHFFFAOYSA-N 1 2 276.405 3.858 20 0 CHADLO CCc1ccc(C[NH2+]C(C)(C)c2ncc(C)s2)o1 ZINC000151021516 1126748823 /nfs/dbraw/zinc/74/88/23/1126748823.db2.gz MSHRQGCJGFRSLN-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO CCC(=O)c1ccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000348505200 1126751257 /nfs/dbraw/zinc/75/12/57/1126751257.db2.gz BFGNVXDEMGYEQU-UHFFFAOYSA-N 1 2 296.370 3.852 20 0 CHADLO CC1=C(C)C[N@H+](Cc2cc(F)c(F)cc2F)CC1 ZINC000482455026 1126757310 /nfs/dbraw/zinc/75/73/10/1126757310.db2.gz FQHCMGBDAGYJDD-UHFFFAOYSA-N 1 2 255.283 3.646 20 0 CHADLO CC1=C(C)C[N@@H+](Cc2cc(F)c(F)cc2F)CC1 ZINC000482455026 1126757314 /nfs/dbraw/zinc/75/73/14/1126757314.db2.gz FQHCMGBDAGYJDD-UHFFFAOYSA-N 1 2 255.283 3.646 20 0 CHADLO C[N@H+](Cc1nc2ccccc2[nH]1)Cc1ccc(Cl)cc1 ZINC000171040862 1126763767 /nfs/dbraw/zinc/76/37/67/1126763767.db2.gz IAECYHFCWSBKSC-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2[nH]1)Cc1ccc(Cl)cc1 ZINC000171040862 1126763769 /nfs/dbraw/zinc/76/37/69/1126763769.db2.gz IAECYHFCWSBKSC-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C)cs1)c1nc(C)cs1 ZINC000174503387 1126770601 /nfs/dbraw/zinc/77/06/01/1126770601.db2.gz GTOCMECGKPBNJR-CYBMUJFWSA-N 1 2 281.450 3.631 20 0 CHADLO CCCCCC[C@H](CC)C(=O)OCc1cc[nH+]c(N)c1 ZINC000791912743 1130421643 /nfs/dbraw/zinc/42/16/43/1130421643.db2.gz SDBAOCJSFMVMNH-AWEZNQCLSA-N 1 2 278.396 3.704 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2ccc(C)o2)on1 ZINC000174547599 1126771573 /nfs/dbraw/zinc/77/15/73/1126771573.db2.gz QPEWJELPGFTYQN-UHFFFAOYSA-N 1 2 262.353 3.769 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000162368714 1126772652 /nfs/dbraw/zinc/77/26/52/1126772652.db2.gz GXTUZXHQHMRSPJ-UWVGGRQHSA-N 1 2 280.368 3.703 20 0 CHADLO CCC1(c2ccccc2)C[NH+](Cc2nccn2C(C)C)C1 ZINC000668236194 1126782500 /nfs/dbraw/zinc/78/25/00/1126782500.db2.gz CISJSVLLMXOEIY-UHFFFAOYSA-N 1 2 283.419 3.628 20 0 CHADLO COc1ccc(C(F)(F)F)cc1/C(C)=C/C=C/[NH3+] ZINC001240825906 1130487683 /nfs/dbraw/zinc/48/76/83/1130487683.db2.gz INAMYKSKPNZSNF-DDEWIXKASA-N 1 2 257.255 3.590 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nccs1)c1cnn(CC2CCC2)c1 ZINC000903092536 1126793732 /nfs/dbraw/zinc/79/37/32/1126793732.db2.gz LGRUNLMIOXGOKV-RYUDHWBXSA-N 1 2 290.436 3.552 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)no1)c1cccc2ccccc21 ZINC000177298542 1126799533 /nfs/dbraw/zinc/79/95/33/1126799533.db2.gz PXRVJSOLDUCORR-LBPRGKRZSA-N 1 2 293.370 3.951 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)[nH]1 ZINC001137372331 1130523889 /nfs/dbraw/zinc/52/38/89/1130523889.db2.gz QRCCCFFTVZHYRX-DOMZBBRYSA-N 1 2 270.367 3.584 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)[nH]1 ZINC001137372331 1130523895 /nfs/dbraw/zinc/52/38/95/1130523895.db2.gz QRCCCFFTVZHYRX-DOMZBBRYSA-N 1 2 270.367 3.584 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccc([C@H]2C[C@@H]2C)o1)c1ccc(C)o1 ZINC000177565428 1126802508 /nfs/dbraw/zinc/80/25/08/1126802508.db2.gz BENCBTDJQKHMMO-TUKIKUTGSA-N 1 2 289.375 3.782 20 0 CHADLO COc1ccc(OC)c([C@H](C)[NH2+]C/C(Cl)=C/Cl)c1 ZINC000177692870 1126803720 /nfs/dbraw/zinc/80/37/20/1126803720.db2.gz BBHBDFXDJMJWJX-CBFJXKFUSA-N 1 2 290.190 3.673 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1nc3cc(F)ccc3o1)C2 ZINC000483994756 1126809141 /nfs/dbraw/zinc/80/91/41/1126809141.db2.gz OOKUDNZCNIMCKU-UHFFFAOYSA-N 1 2 296.345 3.834 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1nc3cc(F)ccc3o1)C2 ZINC000483994756 1126809143 /nfs/dbraw/zinc/80/91/43/1126809143.db2.gz OOKUDNZCNIMCKU-UHFFFAOYSA-N 1 2 296.345 3.834 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1ccc(Cl)nc1)c1ccc(C)o1 ZINC000179135459 1126823772 /nfs/dbraw/zinc/82/37/72/1126823772.db2.gz DVSNZGKAKYWBSR-AAEUAGOBSA-N 1 2 294.782 3.675 20 0 CHADLO CC[N@H+](Cc1cccs1)[C@H](C)c1nc(C(C)C)no1 ZINC000179177674 1126824065 /nfs/dbraw/zinc/82/40/65/1126824065.db2.gz IVXGRCPVJDYDOB-LLVKDONJSA-N 1 2 279.409 3.838 20 0 CHADLO CC[N@@H+](Cc1cccs1)[C@H](C)c1nc(C(C)C)no1 ZINC000179177674 1126824068 /nfs/dbraw/zinc/82/40/68/1126824068.db2.gz IVXGRCPVJDYDOB-LLVKDONJSA-N 1 2 279.409 3.838 20 0 CHADLO CCCc1noc(C[NH2+]C(C)(C)c2cccc(Cl)c2)n1 ZINC000179598248 1126830527 /nfs/dbraw/zinc/83/05/27/1126830527.db2.gz UWCZWOXUCWPZHD-UHFFFAOYSA-N 1 2 293.798 3.700 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+]Cc1ncc(C)o1 ZINC000179744722 1126833137 /nfs/dbraw/zinc/83/31/37/1126833137.db2.gz HXYSBMAYPXVBTB-AWEZNQCLSA-N 1 2 274.364 3.623 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)o1 ZINC000179774390 1126833631 /nfs/dbraw/zinc/83/36/31/1126833631.db2.gz ATSIGIMGBSYHDD-AWEZNQCLSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)o1 ZINC000179774390 1126833634 /nfs/dbraw/zinc/83/36/34/1126833634.db2.gz ATSIGIMGBSYHDD-AWEZNQCLSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc(C2CC3(C2)CCCCC3)n1 ZINC000904267982 1126840592 /nfs/dbraw/zinc/84/05/92/1126840592.db2.gz MDQCCGSGRLASOI-UHFFFAOYSA-N 1 2 298.390 3.850 20 0 CHADLO c1ccc2sc(C[N@H+](Cc3ccncc3)C3CC3)nc2c1 ZINC000181521796 1126856540 /nfs/dbraw/zinc/85/65/40/1126856540.db2.gz BOIZTYSXXCPDKX-UHFFFAOYSA-N 1 2 295.411 3.856 20 0 CHADLO c1ccc2sc(C[N@@H+](Cc3ccncc3)C3CC3)nc2c1 ZINC000181521796 1126856543 /nfs/dbraw/zinc/85/65/43/1126856543.db2.gz BOIZTYSXXCPDKX-UHFFFAOYSA-N 1 2 295.411 3.856 20 0 CHADLO CCS[C@@H](C)c1noc(C[NH2+][C@H](C)c2ccccc2)n1 ZINC000181347304 1126855079 /nfs/dbraw/zinc/85/50/79/1126855079.db2.gz SUPKAXJBUBLMFE-NEPJUHHUSA-N 1 2 291.420 3.735 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1ccon1)c1ccc(F)cc1F ZINC000181614016 1126858976 /nfs/dbraw/zinc/85/89/76/1126858976.db2.gz PKNMVFBZVZXFAA-AWEZNQCLSA-N 1 2 280.318 3.830 20 0 CHADLO COc1cccc(C[NH2+][C@@H](C)c2c(F)cccc2Cl)n1 ZINC000181731935 1126859922 /nfs/dbraw/zinc/85/99/22/1126859922.db2.gz XHVXUBNIDITJGB-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nnc(C(C)C)o1)c1ccccc1 ZINC000181779067 1126860572 /nfs/dbraw/zinc/86/05/72/1126860572.db2.gz WXYHZGYOVWOESW-CQSZACIVSA-N 1 2 273.380 3.824 20 0 CHADLO Cc1nc(-c2ccccc2)sc1[C@@H](C)[NH2+]Cc1cocn1 ZINC000182077622 1126865789 /nfs/dbraw/zinc/86/57/89/1126865789.db2.gz FLQPWHAZRSBLSK-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1cc(N[C@@H]2[C@H]3CCCO[C@H]3C2(C)C)ccc1[NH+](C)C ZINC000245557483 1129211539 /nfs/dbraw/zinc/21/15/39/1129211539.db2.gz FTDNFPOFGRZSAI-DJIMGWMZSA-N 1 2 288.435 3.677 20 0 CHADLO Cc1cc([NH2+][C@@H]2[C@H]3CCCO[C@H]3C2(C)C)ccc1N(C)C ZINC000245557483 1129211543 /nfs/dbraw/zinc/21/15/43/1129211543.db2.gz FTDNFPOFGRZSAI-DJIMGWMZSA-N 1 2 288.435 3.677 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2ncnn2CC(C)C)c1 ZINC000182683188 1126876606 /nfs/dbraw/zinc/87/66/06/1126876606.db2.gz SCWSLZKFLSWJEJ-KRWDZBQOSA-N 1 2 298.434 3.580 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2ncnn2CC(C)C)c1 ZINC000182683188 1126876610 /nfs/dbraw/zinc/87/66/10/1126876610.db2.gz SCWSLZKFLSWJEJ-KRWDZBQOSA-N 1 2 298.434 3.580 20 0 CHADLO CCCn1c(C)nnc1C[NH2+][C@@H](C)c1cc2ccccc2o1 ZINC000182627792 1126874684 /nfs/dbraw/zinc/87/46/84/1126874684.db2.gz LCBQRSUVTALRKQ-LBPRGKRZSA-N 1 2 298.390 3.594 20 0 CHADLO CC(C)c1cc(-c2cc[nH+]c(N(C)C)c2)ccc1N ZINC000904849856 1126879710 /nfs/dbraw/zinc/87/97/10/1126879710.db2.gz PBXSCEYFNJYMRH-UHFFFAOYSA-N 1 2 255.365 3.520 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](c2ccccc2C)C(C)C)no1 ZINC000183209630 1126884207 /nfs/dbraw/zinc/88/42/07/1126884207.db2.gz VUZMJNSJRJWLKM-QGZVFWFLSA-N 1 2 287.407 3.817 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2cccc(Cl)n2)C2CC2)n1 ZINC000183543855 1126888271 /nfs/dbraw/zinc/88/82/71/1126888271.db2.gz OBSJRPPLDBRHGW-ZDUSSCGKSA-N 1 2 293.823 3.741 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nnc(C(C)C)o2)c1C ZINC000183727707 1126890598 /nfs/dbraw/zinc/89/05/98/1126890598.db2.gz IRFDZFVPHDDJMU-CYBMUJFWSA-N 1 2 273.380 3.661 20 0 CHADLO CCOc1cc(CNc2c[nH+]cc3c2CCCC3)ccc1O ZINC000668439868 1126890848 /nfs/dbraw/zinc/89/08/48/1126890848.db2.gz FZWKYWKBWFFELQ-UHFFFAOYSA-N 1 2 298.386 3.677 20 0 CHADLO C[C@H](CC1CCOCC1)Nc1c[nH+]cc2c1CCCC2 ZINC000668441859 1126891720 /nfs/dbraw/zinc/89/17/20/1126891720.db2.gz WXBOOEYULICOER-CYBMUJFWSA-N 1 2 274.408 3.578 20 0 CHADLO CCN(Cc1cccs1)C(=O)c1c(C)cc(C)[nH+]c1C ZINC000905306015 1126898005 /nfs/dbraw/zinc/89/80/05/1126898005.db2.gz QQFAFZZWQZLCBN-UHFFFAOYSA-N 1 2 288.416 3.731 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C)c1 ZINC001235536152 1130755014 /nfs/dbraw/zinc/75/50/14/1130755014.db2.gz BHBRIGBZWVGPIL-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C)c1 ZINC001235536152 1130755017 /nfs/dbraw/zinc/75/50/17/1130755017.db2.gz BHBRIGBZWVGPIL-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@@H]2c2csc(C)n2)s1 ZINC000189812784 1126935142 /nfs/dbraw/zinc/93/51/42/1126935142.db2.gz DMGCERRYOIWADM-CYBMUJFWSA-N 1 2 279.434 3.554 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@@H]2c2csc(C)n2)s1 ZINC000189812784 1126935144 /nfs/dbraw/zinc/93/51/44/1126935144.db2.gz DMGCERRYOIWADM-CYBMUJFWSA-N 1 2 279.434 3.554 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2cn(C(C)(C)C)nn2)cc1 ZINC000191226762 1126949591 /nfs/dbraw/zinc/94/95/91/1126949591.db2.gz DNKARHCTNMQHJG-CQSZACIVSA-N 1 2 286.423 3.535 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2cn(C(C)(C)C)nn2)cc1 ZINC000191226762 1126949595 /nfs/dbraw/zinc/94/95/95/1126949595.db2.gz DNKARHCTNMQHJG-CQSZACIVSA-N 1 2 286.423 3.535 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CCc2cc(Cl)ccc2C1 ZINC000191611595 1126953647 /nfs/dbraw/zinc/95/36/47/1126953647.db2.gz LWCRYADIFKGXIY-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CCc2cc(Cl)ccc2C1 ZINC000191611595 1126953650 /nfs/dbraw/zinc/95/36/50/1126953650.db2.gz LWCRYADIFKGXIY-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO C[C@H]1C[NH+](Cc2csc(Cl)c2Cl)C[C@H](C)C1O ZINC000668554944 1126956393 /nfs/dbraw/zinc/95/63/93/1126956393.db2.gz UPYNWLRFIVOKJF-YUMQZZPRSA-N 1 2 294.247 3.504 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)C[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000906529601 1126957791 /nfs/dbraw/zinc/95/77/91/1126957791.db2.gz BWXLLFJXLTWVBJ-CQSZACIVSA-N 1 2 296.414 3.883 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H]2CSc3ccccc32)c(C)[nH+]1 ZINC000906730142 1126963649 /nfs/dbraw/zinc/96/36/49/1126963649.db2.gz AFAZISHDZAYCFO-CQSZACIVSA-N 1 2 298.411 3.584 20 0 CHADLO C=Cn1cc(C[N@@H+](C)[C@@H](C)c2nc3ccccc3s2)cn1 ZINC000193633885 1126970637 /nfs/dbraw/zinc/97/06/37/1126970637.db2.gz MCRBXWHXHOIXPK-LBPRGKRZSA-N 1 2 298.415 3.786 20 0 CHADLO C=Cn1cc(C[N@H+](C)[C@@H](C)c2nc3ccccc3s2)cn1 ZINC000193633885 1126970638 /nfs/dbraw/zinc/97/06/38/1126970638.db2.gz MCRBXWHXHOIXPK-LBPRGKRZSA-N 1 2 298.415 3.786 20 0 CHADLO C=Cn1cc(CNc2ccc([N@@H+]3C[C@H]4CC[C@@H]3C4)cc2)cn1 ZINC000194442184 1126975045 /nfs/dbraw/zinc/97/50/45/1126975045.db2.gz COZDEKHMFWJAMR-KBXCAEBGSA-N 1 2 294.402 3.584 20 0 CHADLO C=Cn1cc(CNc2ccc([N@H+]3C[C@H]4CC[C@@H]3C4)cc2)cn1 ZINC000194442184 1126975050 /nfs/dbraw/zinc/97/50/50/1126975050.db2.gz COZDEKHMFWJAMR-KBXCAEBGSA-N 1 2 294.402 3.584 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1cc(-c2ccco2)on1 ZINC000266507463 1126983213 /nfs/dbraw/zinc/98/32/13/1126983213.db2.gz CLPPSLOZYRCWJF-GFCCVEGCSA-N 1 2 283.331 3.523 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1cc(-c2ccco2)on1 ZINC000266507463 1126983215 /nfs/dbraw/zinc/98/32/15/1126983215.db2.gz CLPPSLOZYRCWJF-GFCCVEGCSA-N 1 2 283.331 3.523 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)C1CC2(C1)CCCCC2 ZINC000668637369 1126988572 /nfs/dbraw/zinc/98/85/72/1126988572.db2.gz MSSSFBNWQFFKTD-UHFFFAOYSA-N 1 2 283.375 3.633 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1cc(-c2ccco2)on1 ZINC000266717658 1126986551 /nfs/dbraw/zinc/98/65/51/1126986551.db2.gz LAKOWGGHTXBFPX-GFCCVEGCSA-N 1 2 283.331 3.523 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1cc(-c2ccco2)on1 ZINC000266717658 1126986555 /nfs/dbraw/zinc/98/65/55/1126986555.db2.gz LAKOWGGHTXBFPX-GFCCVEGCSA-N 1 2 283.331 3.523 20 0 CHADLO COc1ccc(F)cc1C[N@H+](C)Cc1ccc(Cl)nc1 ZINC000266933227 1126990521 /nfs/dbraw/zinc/99/05/21/1126990521.db2.gz PFFOMZGCWHNHTK-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(F)cc1C[N@@H+](C)Cc1ccc(Cl)nc1 ZINC000266933227 1126990523 /nfs/dbraw/zinc/99/05/23/1126990523.db2.gz PFFOMZGCWHNHTK-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C)[nH+]c(CS[C@@H](C)c2ncc(C)o2)c1 ZINC001319971243 1127001969 /nfs/dbraw/zinc/00/19/69/1127001969.db2.gz TWJBBNMUAHEJHB-NSHDSACASA-N 1 2 278.377 3.689 20 0 CHADLO Cc1ccccc1OCCC[N@H+](CC(F)F)C(C)C ZINC000486795628 1127002574 /nfs/dbraw/zinc/00/25/74/1127002574.db2.gz RDNUFFINHBCJDA-UHFFFAOYSA-N 1 2 271.351 3.739 20 0 CHADLO Cc1ccccc1OCCC[N@@H+](CC(F)F)C(C)C ZINC000486795628 1127002576 /nfs/dbraw/zinc/00/25/76/1127002576.db2.gz RDNUFFINHBCJDA-UHFFFAOYSA-N 1 2 271.351 3.739 20 0 CHADLO CCOc1c(Cl)cccc1C[NH2+]Cc1nc(C)cs1 ZINC000486950606 1127011407 /nfs/dbraw/zinc/01/14/07/1127011407.db2.gz MEGQXKYRKNSHEU-UHFFFAOYSA-N 1 2 296.823 3.793 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@H](C)[C@@H]1CC1(C)C ZINC000486960373 1127012662 /nfs/dbraw/zinc/01/26/62/1127012662.db2.gz UMHVFAUMHORVSE-ZBFHGGJFSA-N 1 2 288.435 3.678 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2cc(Cl)cs2)[nH]1 ZINC000487159763 1127020248 /nfs/dbraw/zinc/02/02/48/1127020248.db2.gz NQUAOQVUDDFALF-RKDXNWHRSA-N 1 2 298.843 3.884 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C)c(C)s1)c1nccs1 ZINC000268712471 1127021279 /nfs/dbraw/zinc/02/12/79/1127021279.db2.gz XZZNNJHCZIFHOQ-ZDUSSCGKSA-N 1 2 281.450 3.631 20 0 CHADLO C[C@H](Nc1ccccc1OCCn1cc[nH+]c1)[C@@H]1CC1(C)C ZINC000487280091 1127028453 /nfs/dbraw/zinc/02/84/53/1127028453.db2.gz QPSQCGXVUJOMPJ-GJZGRUSLSA-N 1 2 299.418 3.809 20 0 CHADLO C[C@@H]1SCC[N@H+](Cc2nccs2)[C@@H]1c1ccccc1 ZINC000269725554 1127045546 /nfs/dbraw/zinc/04/55/46/1127045546.db2.gz VXSXCBNJTWTWML-WFASDCNBSA-N 1 2 290.457 3.822 20 0 CHADLO C[C@@H]1SCC[N@@H+](Cc2nccs2)[C@@H]1c1ccccc1 ZINC000269725554 1127045549 /nfs/dbraw/zinc/04/55/49/1127045549.db2.gz VXSXCBNJTWTWML-WFASDCNBSA-N 1 2 290.457 3.822 20 0 CHADLO COc1ccc(C[N@H+]2CC=C(C(F)(F)F)CC2)cc1F ZINC000270232557 1127051867 /nfs/dbraw/zinc/05/18/67/1127051867.db2.gz GVNGJGJDQHRMFH-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO COc1ccc(C[N@@H+]2CC=C(C(F)(F)F)CC2)cc1F ZINC000270232557 1127051869 /nfs/dbraw/zinc/05/18/69/1127051869.db2.gz GVNGJGJDQHRMFH-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2C[C@H]3CC[C@@H]2C3)cnc1Cl ZINC001236621620 1130985123 /nfs/dbraw/zinc/98/51/23/1130985123.db2.gz ATIRPYSRYVZUKI-WCBMZHEXSA-N 1 2 290.716 3.738 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2C[C@H]3CC[C@@H]2C3)cnc1Cl ZINC001236621620 1130985126 /nfs/dbraw/zinc/98/51/26/1130985126.db2.gz ATIRPYSRYVZUKI-WCBMZHEXSA-N 1 2 290.716 3.738 20 0 CHADLO CCc1noc([C@@H](C)[N@H+](CC)Cc2ccc(Cl)cc2)n1 ZINC000270752519 1127061447 /nfs/dbraw/zinc/06/14/47/1127061447.db2.gz KDJXTFIDYBFXEM-LLVKDONJSA-N 1 2 293.798 3.869 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+](CC)Cc2ccc(Cl)cc2)n1 ZINC000270752519 1127061451 /nfs/dbraw/zinc/06/14/51/1127061451.db2.gz KDJXTFIDYBFXEM-LLVKDONJSA-N 1 2 293.798 3.869 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)[C@@H](C)c1nnc(C)o1 ZINC000270777070 1127062003 /nfs/dbraw/zinc/06/20/03/1127062003.db2.gz NPXBTJIHMHJWGW-JTQLQIEISA-N 1 2 279.771 3.615 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)[C@@H](C)c1nnc(C)o1 ZINC000270777070 1127062006 /nfs/dbraw/zinc/06/20/06/1127062006.db2.gz NPXBTJIHMHJWGW-JTQLQIEISA-N 1 2 279.771 3.615 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc([C@@H]3CCCO3)s2)o1 ZINC000271017732 1127064946 /nfs/dbraw/zinc/06/49/46/1127064946.db2.gz SETJBNIWLOLXLG-AWEZNQCLSA-N 1 2 292.404 3.528 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc([C@@H]3CCCO3)s2)o1 ZINC000271017732 1127064947 /nfs/dbraw/zinc/06/49/47/1127064947.db2.gz SETJBNIWLOLXLG-AWEZNQCLSA-N 1 2 292.404 3.528 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](CC)Cc2ccc(Cl)cc2)[nH]1 ZINC000659857907 1127071573 /nfs/dbraw/zinc/07/15/73/1127071573.db2.gz AKWUOGJPOIBLGO-LLVKDONJSA-N 1 2 292.814 3.604 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](CC)Cc2ccc(Cl)cc2)[nH]1 ZINC000659857907 1127071575 /nfs/dbraw/zinc/07/15/75/1127071575.db2.gz AKWUOGJPOIBLGO-LLVKDONJSA-N 1 2 292.814 3.604 20 0 CHADLO Cc1nnc(C[NH2+]C2(c3ccc(Cl)cc3)CCC2)s1 ZINC000271403949 1127078939 /nfs/dbraw/zinc/07/89/39/1127078939.db2.gz ISBQHBYMUIBRHG-UHFFFAOYSA-N 1 2 293.823 3.669 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@H]2C[C@@H]2c2ccccc2)c(C)[nH+]1 ZINC000911507626 1127082303 /nfs/dbraw/zinc/08/23/03/1127082303.db2.gz AXFXLTODWMAYKR-IAGOWNOFSA-N 1 2 294.398 3.540 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCc2cn[nH]c21)c1cc(F)ccc1F ZINC000271557587 1127092136 /nfs/dbraw/zinc/09/21/36/1127092136.db2.gz WFKTYFJAOOAHML-LSDHHAIUSA-N 1 2 291.345 3.806 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCc2c[nH]nc21)c1cc(F)ccc1F ZINC000271557587 1127092140 /nfs/dbraw/zinc/09/21/40/1127092140.db2.gz WFKTYFJAOOAHML-LSDHHAIUSA-N 1 2 291.345 3.806 20 0 CHADLO COCC[N@H+](Cc1ncc(C)s1)[C@@H](C)c1ccccc1 ZINC000271588062 1127094378 /nfs/dbraw/zinc/09/43/78/1127094378.db2.gz WHHWTFJQFGICQU-AWEZNQCLSA-N 1 2 290.432 3.661 20 0 CHADLO COCC[N@@H+](Cc1ncc(C)s1)[C@@H](C)c1ccccc1 ZINC000271588062 1127094381 /nfs/dbraw/zinc/09/43/81/1127094381.db2.gz WHHWTFJQFGICQU-AWEZNQCLSA-N 1 2 290.432 3.661 20 0 CHADLO COc1cccc([C@@H](C)[NH2+]Cc2ncc(C(C)(C)C)o2)c1 ZINC000271699840 1127097759 /nfs/dbraw/zinc/09/77/59/1127097759.db2.gz SIEZNZAHCFNHSA-GFCCVEGCSA-N 1 2 288.391 3.832 20 0 CHADLO CC(C)([NH2+]Cc1ncc(C2CC2)o1)c1ccc(F)c(F)c1 ZINC000271695692 1127096458 /nfs/dbraw/zinc/09/64/58/1127096458.db2.gz JTEZSNRLXFEHNO-UHFFFAOYSA-N 1 2 292.329 3.855 20 0 CHADLO CCc1nc(C)c([C@@H](C)[NH2+][C@@H](C)c2nnc(C)s2)s1 ZINC000274148344 1127110065 /nfs/dbraw/zinc/11/00/65/1127110065.db2.gz DYMKZUHEKHSMRX-APPZFPTMSA-N 1 2 296.465 3.586 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1cncc(F)c1 ZINC000274322247 1127112228 /nfs/dbraw/zinc/11/22/28/1127112228.db2.gz CYSLIKUSYCGZMN-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1cncc(F)c1 ZINC000274322247 1127112231 /nfs/dbraw/zinc/11/22/31/1127112231.db2.gz CYSLIKUSYCGZMN-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO COc1ccccc1[C@H](CNc1cccc[nH+]1)OC(C)C ZINC000274462309 1127120553 /nfs/dbraw/zinc/12/05/53/1127120553.db2.gz KCJXVHZHDCKEBE-INIZCTEOSA-N 1 2 286.375 3.668 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC=C(C(C)(C)C)CC2)s1 ZINC000283052335 1127121022 /nfs/dbraw/zinc/12/10/22/1127121022.db2.gz JAZXGYXDILLBMP-JTQLQIEISA-N 1 2 265.426 3.586 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC=C(C(C)(C)C)CC2)s1 ZINC000283052335 1127121025 /nfs/dbraw/zinc/12/10/25/1127121025.db2.gz JAZXGYXDILLBMP-JTQLQIEISA-N 1 2 265.426 3.586 20 0 CHADLO CCCC1(C(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)CC1 ZINC000348542692 1127122346 /nfs/dbraw/zinc/12/23/46/1127122346.db2.gz BISBWCWSUCSVFD-UHFFFAOYSA-N 1 2 287.338 3.530 20 0 CHADLO CC1(C)CCC(Nc2ccc(Cn3cc[nH+]c3)cn2)CC1 ZINC000348836562 1127130427 /nfs/dbraw/zinc/13/04/27/1127130427.db2.gz DGDNNRMSEMYRGZ-UHFFFAOYSA-N 1 2 284.407 3.707 20 0 CHADLO C[C@@H]1CC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C[C@H]1C ZINC000348837024 1127130582 /nfs/dbraw/zinc/13/05/82/1127130582.db2.gz ZKEYKBLDIZDHLC-FMKPAKJESA-N 1 2 284.407 3.563 20 0 CHADLO CCCC[C@@H](CC)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348832933 1127130780 /nfs/dbraw/zinc/13/07/80/1127130780.db2.gz KOEALAZKLXZXCI-OAHLLOKOSA-N 1 2 272.396 3.707 20 0 CHADLO C[C@@H](Nc1ccc(Cn2cc[nH+]c2)cn1)C1CCCCC1 ZINC000348846116 1127131726 /nfs/dbraw/zinc/13/17/26/1127131726.db2.gz BMEJMNRIDLTDJY-CQSZACIVSA-N 1 2 284.407 3.707 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@@H]2c2cccnc2)s1 ZINC000348905198 1127134674 /nfs/dbraw/zinc/13/46/74/1127134674.db2.gz QGNKTSJCSIMRLR-CQSZACIVSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@@H]2c2cccnc2)s1 ZINC000348905198 1127134676 /nfs/dbraw/zinc/13/46/76/1127134676.db2.gz QGNKTSJCSIMRLR-CQSZACIVSA-N 1 2 287.432 3.999 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@H+]1CC=CCC1 ZINC000679322252 1127134685 /nfs/dbraw/zinc/13/46/85/1127134685.db2.gz XQCSHVPSEAMSLK-LLVKDONJSA-N 1 2 277.318 3.754 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@@H+]1CC=CCC1 ZINC000679322252 1127134686 /nfs/dbraw/zinc/13/46/86/1127134686.db2.gz XQCSHVPSEAMSLK-LLVKDONJSA-N 1 2 277.318 3.754 20 0 CHADLO Cc1cn2cc(NC(=O)CCCC(C)(C)C)ccc2[nH+]1 ZINC000349105476 1127139690 /nfs/dbraw/zinc/13/96/90/1127139690.db2.gz HYUXAYKNFWERBY-UHFFFAOYSA-N 1 2 273.380 3.798 20 0 CHADLO CC(C)c1cnc(C[N@H+](C)Cc2ccc(O)cc2)s1 ZINC000349368051 1127149150 /nfs/dbraw/zinc/14/91/50/1127149150.db2.gz NJQGQZJRFCFZKZ-UHFFFAOYSA-N 1 2 276.405 3.604 20 0 CHADLO CC(C)c1cnc(C[N@@H+](C)Cc2ccc(O)cc2)s1 ZINC000349368051 1127149151 /nfs/dbraw/zinc/14/91/51/1127149151.db2.gz NJQGQZJRFCFZKZ-UHFFFAOYSA-N 1 2 276.405 3.604 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccccc2Cl)no1 ZINC000044590031 1127153975 /nfs/dbraw/zinc/15/39/75/1127153975.db2.gz MEQRUEOQLFHAJO-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccccc2Cl)no1 ZINC000044590031 1127153979 /nfs/dbraw/zinc/15/39/79/1127153979.db2.gz MEQRUEOQLFHAJO-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO C[N@H+](Cc1ccsc1Cl)Cc1ccc(O)cc1 ZINC000349404070 1127150685 /nfs/dbraw/zinc/15/06/85/1127150685.db2.gz DFBSNVNGKXNRCX-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO C[N@@H+](Cc1ccsc1Cl)Cc1ccc(O)cc1 ZINC000349404070 1127150686 /nfs/dbraw/zinc/15/06/86/1127150686.db2.gz DFBSNVNGKXNRCX-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[NH2+][C@H](C)c1ccon1 ZINC000349828586 1127163560 /nfs/dbraw/zinc/16/35/60/1127163560.db2.gz GLIAWFQUWABPER-ZJUUUORDSA-N 1 2 279.409 3.975 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[NH2+][C@@H](C)c1ccon1 ZINC000349828585 1127163893 /nfs/dbraw/zinc/16/38/93/1127163893.db2.gz GLIAWFQUWABPER-VHSXEESVSA-N 1 2 279.409 3.975 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCSc2ccc(F)cc21)c1ccon1 ZINC000349835850 1127164116 /nfs/dbraw/zinc/16/41/16/1127164116.db2.gz RCRYNKBSRRWSRO-NOZJJQNGSA-N 1 2 278.352 3.701 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1nccs1)C1CC1 ZINC000349946800 1127169091 /nfs/dbraw/zinc/16/90/91/1127169091.db2.gz VDUIOWJRWTYVSM-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1nccs1)C1CC1 ZINC000349946800 1127169092 /nfs/dbraw/zinc/16/90/92/1127169092.db2.gz VDUIOWJRWTYVSM-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2oc3ccccc3c2C)no1 ZINC000284059407 1127171429 /nfs/dbraw/zinc/17/14/29/1127171429.db2.gz KQSOYARQFWGOHN-GFCCVEGCSA-N 1 2 270.332 3.888 20 0 CHADLO Cc1c(Cl)cccc1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000350175660 1127175396 /nfs/dbraw/zinc/17/53/96/1127175396.db2.gz IGBHYCRMDVJSSF-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO C[C@@H](CC(=O)N(CC(C)(C)C)c1ccccc1)n1cc[nH+]c1 ZINC000572044806 1127190193 /nfs/dbraw/zinc/19/01/93/1127190193.db2.gz KZUGSYPCGFPRNS-HNNXBMFYSA-N 1 2 299.418 3.914 20 0 CHADLO CCCC[C@@H](C)[C@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000572080858 1127191435 /nfs/dbraw/zinc/19/14/35/1127191435.db2.gz OTLAXMPDGWZQBH-CABCVRRESA-N 1 2 286.423 3.953 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC[C@H](c3ccn[nH]3)C2)c1 ZINC000646063729 1127192930 /nfs/dbraw/zinc/19/29/30/1127192930.db2.gz ANQIEQYITOVYHL-AWEZNQCLSA-N 1 2 289.810 3.751 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC[C@H](c3ccn[nH]3)C2)c1 ZINC000646063729 1127192932 /nfs/dbraw/zinc/19/29/32/1127192932.db2.gz ANQIEQYITOVYHL-AWEZNQCLSA-N 1 2 289.810 3.751 20 0 CHADLO CCCCOc1cccc(Nc2[nH+]cnc3[nH]ccc32)c1 ZINC000588897977 1127203472 /nfs/dbraw/zinc/20/34/72/1127203472.db2.gz UVAKAZYWLIVLAK-UHFFFAOYSA-N 1 2 282.347 3.880 20 0 CHADLO Cc1sccc1C[N@@H+](CC(=O)OC(C)(C)C)C(C)C ZINC000351665741 1127201749 /nfs/dbraw/zinc/20/17/49/1127201749.db2.gz RMTAJZNSMMCJFI-UHFFFAOYSA-N 1 2 283.437 3.609 20 0 CHADLO Cc1sccc1C[N@H+](CC(=O)OC(C)(C)C)C(C)C ZINC000351665741 1127201754 /nfs/dbraw/zinc/20/17/54/1127201754.db2.gz RMTAJZNSMMCJFI-UHFFFAOYSA-N 1 2 283.437 3.609 20 0 CHADLO CCc1nocc1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000351664431 1127202500 /nfs/dbraw/zinc/20/25/00/1127202500.db2.gz JXHPWMCUVYIHQJ-OAHLLOKOSA-N 1 2 276.767 3.837 20 0 CHADLO CCc1nocc1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000351664431 1127202503 /nfs/dbraw/zinc/20/25/03/1127202503.db2.gz JXHPWMCUVYIHQJ-OAHLLOKOSA-N 1 2 276.767 3.837 20 0 CHADLO CC1(C)CCC[C@@H](CNC(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC001299417448 1127217877 /nfs/dbraw/zinc/21/78/77/1127217877.db2.gz VCEJCFNTLHTTDD-GFCCVEGCSA-N 1 2 285.391 3.509 20 0 CHADLO C[NH+](C)[C@@H](c1nc(C2CCCCC2)no1)c1ccccc1 ZINC000285200777 1127219478 /nfs/dbraw/zinc/21/94/78/1127219478.db2.gz DQKNNLGBZKLHPX-OAHLLOKOSA-N 1 2 285.391 3.768 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2ccc(C)cc2C)n[nH]1 ZINC001116437066 1131145118 /nfs/dbraw/zinc/14/51/18/1131145118.db2.gz FQFPEFKIMBZSPM-AWEZNQCLSA-N 1 2 257.381 3.528 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2ccc(C)cc2C)n[nH]1 ZINC001116437066 1131145123 /nfs/dbraw/zinc/14/51/23/1131145123.db2.gz FQFPEFKIMBZSPM-AWEZNQCLSA-N 1 2 257.381 3.528 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2c(F)cncc2F)cc1F ZINC000285370625 1127224186 /nfs/dbraw/zinc/22/41/86/1127224186.db2.gz BZHOQFPIAZQESW-SNVBAGLBSA-N 1 2 280.293 3.658 20 0 CHADLO Cc1ccc2c(c1)CC[C@@H]2[NH2+]Cc1n[nH]c2ccccc21 ZINC000589647312 1127233607 /nfs/dbraw/zinc/23/36/07/1127233607.db2.gz BEQXGGSEFCSQQT-INIZCTEOSA-N 1 2 277.371 3.648 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)cn1 ZINC000285677751 1127240676 /nfs/dbraw/zinc/24/06/76/1127240676.db2.gz NKWUQUNNSGTCOG-MNOVXSKESA-N 1 2 294.349 3.549 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@H](C)c2c(F)cncc2F)o1 ZINC000285780255 1127243216 /nfs/dbraw/zinc/24/32/16/1127243216.db2.gz UMJJULRUNQXUKM-NXEZZACHSA-N 1 2 280.318 3.927 20 0 CHADLO CSc1ccc(CNc2ccc(N(C)C)[nH+]c2)s1 ZINC000352836139 1127246144 /nfs/dbraw/zinc/24/61/44/1127246144.db2.gz JQUQAOUPHZRHHG-UHFFFAOYSA-N 1 2 279.434 3.543 20 0 CHADLO FCCCC[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000286019345 1127253683 /nfs/dbraw/zinc/25/36/83/1127253683.db2.gz PCGPYGKABPDYEK-CQSZACIVSA-N 1 2 289.753 3.602 20 0 CHADLO FCCCC[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000286019345 1127253684 /nfs/dbraw/zinc/25/36/84/1127253684.db2.gz PCGPYGKABPDYEK-CQSZACIVSA-N 1 2 289.753 3.602 20 0 CHADLO Cc1ccccc1CNc1ccc([NH+](C)C)cc1C ZINC000057667880 1127272119 /nfs/dbraw/zinc/27/21/19/1127272119.db2.gz RFDDGSZIJSMKEA-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO C[C@@H]1[C@H](C)OCC[N@@H+]1Cc1c(Cl)oc2ccccc21 ZINC000353916878 1127278225 /nfs/dbraw/zinc/27/82/25/1127278225.db2.gz RKEJAMNCEDBRFS-MNOVXSKESA-N 1 2 279.767 3.695 20 0 CHADLO C[C@@H]1[C@H](C)OCC[N@H+]1Cc1c(Cl)oc2ccccc21 ZINC000353916878 1127278229 /nfs/dbraw/zinc/27/82/29/1127278229.db2.gz RKEJAMNCEDBRFS-MNOVXSKESA-N 1 2 279.767 3.695 20 0 CHADLO COc1ccsc1[C@H](C)[NH2+][C@@H](C)c1c(F)cncc1F ZINC000353931058 1127279621 /nfs/dbraw/zinc/27/96/21/1127279621.db2.gz HSPVCSYHWNWVJH-IUCAKERBSA-N 1 2 298.358 3.842 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1ccc2c(c1)CCC=C2 ZINC000354106665 1127293476 /nfs/dbraw/zinc/29/34/76/1127293476.db2.gz GIRJBLLXKNWODA-UHFFFAOYSA-N 1 2 289.338 3.546 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1cc(C)ccn1 ZINC000287369152 1127302535 /nfs/dbraw/zinc/30/25/35/1127302535.db2.gz HRAWWRWAGKWOFJ-LBPRGKRZSA-N 1 2 290.794 3.903 20 0 CHADLO c1nc(C[N@@H+]2CCc3ccccc3[C@@H]2c2ccccc2)co1 ZINC000354436714 1127308027 /nfs/dbraw/zinc/30/80/27/1127308027.db2.gz PAQLXDPJQSOCJT-IBGZPJMESA-N 1 2 290.366 3.822 20 0 CHADLO c1nc(C[N@H+]2CCc3ccccc3[C@@H]2c2ccccc2)co1 ZINC000354436714 1127308030 /nfs/dbraw/zinc/30/80/30/1127308030.db2.gz PAQLXDPJQSOCJT-IBGZPJMESA-N 1 2 290.366 3.822 20 0 CHADLO CC(C)c1cc(N2CC3(CCC3(F)F)C2)nc(C(C)C)[nH+]1 ZINC000573413648 1127309478 /nfs/dbraw/zinc/30/94/78/1127309478.db2.gz ACXNTNQJXZOPEZ-UHFFFAOYSA-N 1 2 295.377 3.959 20 0 CHADLO Cc1cccc2c1CC[C@@H]2[NH2+]Cc1n[nH]c2ccccc21 ZINC000591725595 1127315751 /nfs/dbraw/zinc/31/57/51/1127315751.db2.gz PNAYZNVGLBFHGW-INIZCTEOSA-N 1 2 277.371 3.648 20 0 CHADLO CCCN(C(=O)CCc1[nH]cc[nH+]1)[C@H](CC)c1ccccc1 ZINC000287758237 1127313577 /nfs/dbraw/zinc/31/35/77/1127313577.db2.gz QMFKVQWVSIVDSQ-MRXNPFEDSA-N 1 2 299.418 3.732 20 0 CHADLO Cc1nnsc1[C@H](C)[NH2+][C@H](C)c1c(F)cccc1F ZINC000930192028 1127315142 /nfs/dbraw/zinc/31/51/42/1127315142.db2.gz GMWHSTGSDFSMKN-SFYZADRCSA-N 1 2 283.347 3.537 20 0 CHADLO Clc1csc(C[NH2+]Cc2noc3c2CCCC3)c1 ZINC000288567470 1127347700 /nfs/dbraw/zinc/34/77/00/1127347700.db2.gz ZINZMHOWRSVMBO-UHFFFAOYSA-N 1 2 282.796 3.558 20 0 CHADLO CC(C)n1cc(C[N@H+](C)Cc2ccc3ccccc3c2)nn1 ZINC000289024189 1127370902 /nfs/dbraw/zinc/37/09/02/1127370902.db2.gz GMAKHWFMXHEODQ-UHFFFAOYSA-N 1 2 294.402 3.644 20 0 CHADLO CC(C)n1cc(C[N@@H+](C)Cc2ccc3ccccc3c2)nn1 ZINC000289024189 1127370905 /nfs/dbraw/zinc/37/09/05/1127370905.db2.gz GMAKHWFMXHEODQ-UHFFFAOYSA-N 1 2 294.402 3.644 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CC1(C)CC1 ZINC000357898318 1127396195 /nfs/dbraw/zinc/39/61/95/1127396195.db2.gz LGBDLDNAXLVMTH-UHFFFAOYSA-N 1 2 269.348 3.514 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2cccs2)n1)c1cncs1 ZINC000358086077 1127401964 /nfs/dbraw/zinc/40/19/64/1127401964.db2.gz WEGFPWWUYZYXJW-SECBINFHSA-N 1 2 291.401 3.710 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccc(F)c(F)c2)cc1 ZINC000058946934 1127404647 /nfs/dbraw/zinc/40/46/47/1127404647.db2.gz MZTSSHFYIJEYIM-UHFFFAOYSA-N 1 2 262.303 3.643 20 0 CHADLO Cc1ccc(C2=CC[N@H+](Cn3cccnc3=S)CC2)cc1 ZINC000046476659 1127408109 /nfs/dbraw/zinc/40/81/09/1127408109.db2.gz ZCSXBSBNUOVLND-UHFFFAOYSA-N 1 2 297.427 3.668 20 0 CHADLO Cc1ccc(C2=CC[N@@H+](Cn3cccnc3=S)CC2)cc1 ZINC000046476659 1127408110 /nfs/dbraw/zinc/40/81/10/1127408110.db2.gz ZCSXBSBNUOVLND-UHFFFAOYSA-N 1 2 297.427 3.668 20 0 CHADLO Fc1ccccc1OCCSc1[nH+]cc2ccccn21 ZINC000255931862 1127415998 /nfs/dbraw/zinc/41/59/98/1127415998.db2.gz LCDWACZXILWRQQ-UHFFFAOYSA-N 1 2 288.347 3.645 20 0 CHADLO CCSCc1cc[nH+]c(NCC2(OC)CCCC2)c1 ZINC000358359455 1127417438 /nfs/dbraw/zinc/41/74/38/1127417438.db2.gz CCOSUWAZSSTFTN-UHFFFAOYSA-N 1 2 280.437 3.706 20 0 CHADLO COc1ccc(C(C)C)cc1CSCCn1cc[nH+]c1 ZINC000594563611 1127424800 /nfs/dbraw/zinc/42/48/00/1127424800.db2.gz ZWKRRDYNMXGKQI-UHFFFAOYSA-N 1 2 290.432 3.949 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nccs1)c1cc(F)ccc1F ZINC000358916315 1127439113 /nfs/dbraw/zinc/43/91/13/1127439113.db2.gz SAUHAEYQGRNKGU-AWEZNQCLSA-N 1 2 282.359 3.908 20 0 CHADLO CCN(CCc1cccs1)c1cc(C)[nH+]c(C2CC2)n1 ZINC000359023059 1127445854 /nfs/dbraw/zinc/44/58/54/1127445854.db2.gz ZFNHVPPDIKJOBD-UHFFFAOYSA-N 1 2 287.432 3.793 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@@H+](Cc1nccs1)[C@@H]2C ZINC000359278012 1127456011 /nfs/dbraw/zinc/45/60/11/1127456011.db2.gz AKVQXNMMWLOKCM-VXGBXAGGSA-N 1 2 288.416 3.660 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@H+](Cc1nccs1)[C@@H]2C ZINC000359278012 1127456012 /nfs/dbraw/zinc/45/60/12/1127456012.db2.gz AKVQXNMMWLOKCM-VXGBXAGGSA-N 1 2 288.416 3.660 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2CCCc2ccsc2)o1 ZINC000360965681 1127524517 /nfs/dbraw/zinc/52/45/17/1127524517.db2.gz IALWWGFWMMTXQI-HNNXBMFYSA-N 1 2 291.416 3.656 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2CCCc2ccsc2)o1 ZINC000360965681 1127524519 /nfs/dbraw/zinc/52/45/19/1127524519.db2.gz IALWWGFWMMTXQI-HNNXBMFYSA-N 1 2 291.416 3.656 20 0 CHADLO C[C@@H]1CCCC[N@H+](Cc2ncc(Br)s2)C1 ZINC000361055644 1127534139 /nfs/dbraw/zinc/53/41/39/1127534139.db2.gz UCNPEJAHKBDVBM-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO C[C@@H]1CCCC[N@@H+](Cc2ncc(Br)s2)C1 ZINC000361055644 1127534141 /nfs/dbraw/zinc/53/41/41/1127534141.db2.gz UCNPEJAHKBDVBM-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO C[C@H](C(=O)N(C)c1ccccc1)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000361073378 1127535838 /nfs/dbraw/zinc/53/58/38/1127535838.db2.gz KCYOSRDRYFCSDS-HUUCEWRRSA-N 1 2 294.398 3.615 20 0 CHADLO C[C@H](C(=O)N(C)c1ccccc1)[N@H+]1Cc2ccccc2[C@H]1C ZINC000361073378 1127535840 /nfs/dbraw/zinc/53/58/40/1127535840.db2.gz KCYOSRDRYFCSDS-HUUCEWRRSA-N 1 2 294.398 3.615 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1cncc(F)c1 ZINC000361119982 1127540241 /nfs/dbraw/zinc/54/02/41/1127540241.db2.gz ORNFWVWZECAKPE-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO CC[C@@]1(C)COCC[N@@H+]1Cc1cnc(C2CCCC2)s1 ZINC000361155817 1127543480 /nfs/dbraw/zinc/54/34/80/1127543480.db2.gz QLGXILHKOOURTL-INIZCTEOSA-N 1 2 294.464 3.802 20 0 CHADLO CC[C@@]1(C)COCC[N@H+]1Cc1cnc(C2CCCC2)s1 ZINC000361155817 1127543483 /nfs/dbraw/zinc/54/34/83/1127543483.db2.gz QLGXILHKOOURTL-INIZCTEOSA-N 1 2 294.464 3.802 20 0 CHADLO c1csc(-c2nc(C[N@H+]3[C@H]4C=CC[C@@H]3CC4)co2)c1 ZINC000361187442 1127545267 /nfs/dbraw/zinc/54/52/67/1127545267.db2.gz JVQIFSGYMZXSSX-QWHCGFSZSA-N 1 2 272.373 3.696 20 0 CHADLO c1csc(-c2nc(C[N@@H+]3[C@H]4C=CC[C@@H]3CC4)co2)c1 ZINC000361187442 1127545271 /nfs/dbraw/zinc/54/52/71/1127545271.db2.gz JVQIFSGYMZXSSX-QWHCGFSZSA-N 1 2 272.373 3.696 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC000361233014 1127549006 /nfs/dbraw/zinc/54/90/06/1127549006.db2.gz SAGDHQFUSUZNDP-LBPRGKRZSA-N 1 2 290.794 3.727 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1CCn2cccc2[C@@H]1C ZINC000361233014 1127549009 /nfs/dbraw/zinc/54/90/09/1127549009.db2.gz SAGDHQFUSUZNDP-LBPRGKRZSA-N 1 2 290.794 3.727 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@@H](C)c1nc(C)cs1 ZINC000361306739 1127555489 /nfs/dbraw/zinc/55/54/89/1127555489.db2.gz YBCYHVDJLSSOML-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO Cc1ccc2c(c1)C[N@H+]([C@@H](C)C(=O)Nc1ccccc1C)C2 ZINC000361604866 1127576303 /nfs/dbraw/zinc/57/63/03/1127576303.db2.gz WLPVYNOCFGIKMQ-HNNXBMFYSA-N 1 2 294.398 3.646 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+]([C@@H](C)C(=O)Nc1ccccc1C)C2 ZINC000361604866 1127576307 /nfs/dbraw/zinc/57/63/07/1127576307.db2.gz WLPVYNOCFGIKMQ-HNNXBMFYSA-N 1 2 294.398 3.646 20 0 CHADLO CCO[C@H](C)c1nc(C[N@@H+]2CCC(C)=C(C)C2)cs1 ZINC000361621898 1127577064 /nfs/dbraw/zinc/57/70/64/1127577064.db2.gz XLCRJIQXBXZTRF-CYBMUJFWSA-N 1 2 280.437 3.783 20 0 CHADLO CCO[C@H](C)c1nc(C[N@H+]2CCC(C)=C(C)C2)cs1 ZINC000361621898 1127577066 /nfs/dbraw/zinc/57/70/66/1127577066.db2.gz XLCRJIQXBXZTRF-CYBMUJFWSA-N 1 2 280.437 3.783 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cc(Cl)ccc3C2)cn1 ZINC000361730252 1127584567 /nfs/dbraw/zinc/58/45/67/1127584567.db2.gz XGENTZBBDPVFIY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cc(Cl)ccc3C2)cn1 ZINC000361730252 1127584568 /nfs/dbraw/zinc/58/45/68/1127584568.db2.gz XGENTZBBDPVFIY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC1(C[NH2+][C@@H](c2cccs2)c2nnc[nH]2)CCCCC1 ZINC000294687103 1127591456 /nfs/dbraw/zinc/59/14/56/1127591456.db2.gz IKRNSMJWPDLWOH-ZDUSSCGKSA-N 1 2 290.436 3.516 20 0 CHADLO CCSCC[C@@H](C)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000602556402 1127592643 /nfs/dbraw/zinc/59/26/43/1127592643.db2.gz FRBXDCZXYAIIOY-OCCSQVGLSA-N 1 2 296.484 3.547 20 0 CHADLO CC(C)[C@@H](c1ccccc1)N(C)C(=O)CCCn1cc[nH+]c1 ZINC000602694727 1127604876 /nfs/dbraw/zinc/60/48/76/1127604876.db2.gz VLWKVCPWTFFVGX-SFHVURJKSA-N 1 2 299.418 3.519 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@H+]1Cc1cnc(Br)s1 ZINC000294869469 1127605185 /nfs/dbraw/zinc/60/51/85/1127605185.db2.gz DPIWOGOSFYGCHW-DTORHVGOSA-N 1 2 289.242 3.669 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@@H+]1Cc1cnc(Br)s1 ZINC000294869469 1127605186 /nfs/dbraw/zinc/60/51/86/1127605186.db2.gz DPIWOGOSFYGCHW-DTORHVGOSA-N 1 2 289.242 3.669 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2cccc(C)c2F)n1 ZINC000294983566 1127612385 /nfs/dbraw/zinc/61/23/85/1127612385.db2.gz GZKSZLMYJGLLNX-UHFFFAOYSA-N 1 2 278.396 3.924 20 0 CHADLO CCS[C@H]1CCC[C@H](Nc2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000362128066 1127613688 /nfs/dbraw/zinc/61/36/88/1127613688.db2.gz COXGVTNNGVVWBU-KBPBESRZSA-N 1 2 291.464 3.561 20 0 CHADLO FC(F)Oc1cccc(Cl)c1C[NH2+]Cc1ccoc1 ZINC000602911171 1127619891 /nfs/dbraw/zinc/61/98/91/1127619891.db2.gz SKSIPGOENUEKRK-UHFFFAOYSA-N 1 2 287.693 3.824 20 0 CHADLO Cc1ccc(C[C@H]2CCC[N@H+](CC(F)F)C2)cc1 ZINC000603412418 1127646828 /nfs/dbraw/zinc/64/68/28/1127646828.db2.gz CLABSNQKZTXZNM-CQSZACIVSA-N 1 2 253.336 3.515 20 0 CHADLO Cc1ccc(C[C@H]2CCC[N@@H+](CC(F)F)C2)cc1 ZINC000603412418 1127646830 /nfs/dbraw/zinc/64/68/30/1127646830.db2.gz CLABSNQKZTXZNM-CQSZACIVSA-N 1 2 253.336 3.515 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)ncc1F)c1cc(F)ccc1F ZINC000295707930 1127651363 /nfs/dbraw/zinc/65/13/63/1127651363.db2.gz MVEQJLOXBYASFY-AWEZNQCLSA-N 1 2 298.283 3.879 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+]Cc2csc(C)c2)cs1 ZINC000295757685 1127651918 /nfs/dbraw/zinc/65/19/18/1127651918.db2.gz PZWUPIQWULYQMI-SNVBAGLBSA-N 1 2 282.434 3.510 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2csc(Cl)n2)cc1 ZINC000296371719 1127670082 /nfs/dbraw/zinc/67/00/82/1127670082.db2.gz SQZBAZZUWWBTLX-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO Cc1c2ccccc2oc1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000603785590 1127671796 /nfs/dbraw/zinc/67/17/96/1127671796.db2.gz FEWXSPTYVYORFX-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccon2)cc(C)c1OC(C)C ZINC001238752203 1131240187 /nfs/dbraw/zinc/24/01/87/1131240187.db2.gz VVQRALLRMFPGNO-UHFFFAOYSA-N 1 2 288.391 3.711 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccon2)cc(C)c1OC(C)C ZINC001238752203 1131240193 /nfs/dbraw/zinc/24/01/93/1131240193.db2.gz VVQRALLRMFPGNO-UHFFFAOYSA-N 1 2 288.391 3.711 20 0 CHADLO CC[C@@H](CC(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000603881846 1127679535 /nfs/dbraw/zinc/67/95/35/1127679535.db2.gz SQDYHARZUXPBSA-AWEZNQCLSA-N 1 2 293.370 3.857 20 0 CHADLO CCc1ccc([C@@H](C)C(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000603882749 1127679850 /nfs/dbraw/zinc/67/98/50/1127679850.db2.gz WYGUITSORQDKJQ-CYBMUJFWSA-N 1 2 293.370 3.639 20 0 CHADLO O=C(CCc1ccc(Cl)cc1)Nc1ccc2[nH+]ccn2c1 ZINC000603884221 1127680295 /nfs/dbraw/zinc/68/02/95/1127680295.db2.gz BWHMRAPNUWZISF-UHFFFAOYSA-N 1 2 299.761 3.559 20 0 CHADLO CC(=O)c1cccc(C[N@@H+](C)Cc2cc(Cl)cn2C)c1 ZINC000296888916 1127684986 /nfs/dbraw/zinc/68/49/86/1127684986.db2.gz XUEWDIRCKVUINU-UHFFFAOYSA-N 1 2 290.794 3.513 20 0 CHADLO CC(=O)c1cccc(C[N@H+](C)Cc2cc(Cl)cn2C)c1 ZINC000296888916 1127684988 /nfs/dbraw/zinc/68/49/88/1127684988.db2.gz XUEWDIRCKVUINU-UHFFFAOYSA-N 1 2 290.794 3.513 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000297412689 1127695194 /nfs/dbraw/zinc/69/51/94/1127695194.db2.gz UCTWYNLKOXZXFI-GWCFXTLKSA-N 1 2 291.395 3.540 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000297412700 1127695250 /nfs/dbraw/zinc/69/52/50/1127695250.db2.gz UCTWYNLKOXZXFI-ZWNOBZJWSA-N 1 2 291.395 3.540 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2cc(C3CC3)ccc2F)c2nccn21 ZINC000297390287 1127695326 /nfs/dbraw/zinc/69/53/26/1127695326.db2.gz RTJUMXKMBHPENL-BDJLRTHQSA-N 1 2 285.366 3.695 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1c(F)ccc(C2OCCO2)c1Cl ZINC000297515979 1127698689 /nfs/dbraw/zinc/69/86/89/1127698689.db2.gz WIKLBNOXBBLDOY-JTQLQIEISA-N 1 2 299.773 3.509 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1c(F)ccc(C2OCCO2)c1Cl ZINC000297515979 1127698690 /nfs/dbraw/zinc/69/86/90/1127698690.db2.gz WIKLBNOXBBLDOY-JTQLQIEISA-N 1 2 299.773 3.509 20 0 CHADLO COCc1cc(C[N@H+](C)Cc2ccc(C(C)C)cc2)no1 ZINC000297600386 1127700668 /nfs/dbraw/zinc/70/06/68/1127700668.db2.gz FUNUTSUXFJGNIE-UHFFFAOYSA-N 1 2 288.391 3.576 20 0 CHADLO COCc1cc(C[N@@H+](C)Cc2ccc(C(C)C)cc2)no1 ZINC000297600386 1127700670 /nfs/dbraw/zinc/70/06/70/1127700670.db2.gz FUNUTSUXFJGNIE-UHFFFAOYSA-N 1 2 288.391 3.576 20 0 CHADLO Cc1cc(C)c(CNC(=O)C/C=C\c2ccc(F)cc2)c[nH+]1 ZINC001275917481 1127704197 /nfs/dbraw/zinc/70/41/97/1127704197.db2.gz TVAPGNAKSDQKSP-ARJAWSKDSA-N 1 2 298.361 3.557 20 0 CHADLO CC[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000297785208 1127708360 /nfs/dbraw/zinc/70/83/60/1127708360.db2.gz PTSNSLDNUXJFPZ-WKPIXPDZSA-N 1 2 291.345 3.908 20 0 CHADLO CCCc1noc(C[NH2+][C@H](c2ccccc2)C(C)C)n1 ZINC000298079626 1127713928 /nfs/dbraw/zinc/71/39/28/1127713928.db2.gz VQYLBLPHIKYAMB-INIZCTEOSA-N 1 2 273.380 3.509 20 0 CHADLO Cc1cc(N[C@@H](c2ccccc2)C(C)C)nc(C2CC2)[nH+]1 ZINC000604756689 1127715960 /nfs/dbraw/zinc/71/59/60/1127715960.db2.gz SBUYJHXCMUZCIW-QGZVFWFLSA-N 1 2 281.403 3.894 20 0 CHADLO CCCC[C@H](CC)CC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000604976556 1127723279 /nfs/dbraw/zinc/72/32/79/1127723279.db2.gz GUHUQTYIMZXRLI-HOTGVXAUSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2cscn2)c(C)c1 ZINC000298868173 1127735751 /nfs/dbraw/zinc/73/57/51/1127735751.db2.gz WCNRBXWIFRWXDM-CYBMUJFWSA-N 1 2 260.406 3.953 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2cscn2)c(C)c1 ZINC000298868173 1127735755 /nfs/dbraw/zinc/73/57/55/1127735755.db2.gz WCNRBXWIFRWXDM-CYBMUJFWSA-N 1 2 260.406 3.953 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2nccn2C(F)F)c1 ZINC000298871506 1127735760 /nfs/dbraw/zinc/73/57/60/1127735760.db2.gz XNEJLYAHCOBFAN-AWEZNQCLSA-N 1 2 291.345 3.924 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2nccn2C(F)F)c1 ZINC000298871506 1127735764 /nfs/dbraw/zinc/73/57/64/1127735764.db2.gz XNEJLYAHCOBFAN-AWEZNQCLSA-N 1 2 291.345 3.924 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000369145203 1127759924 /nfs/dbraw/zinc/75/99/24/1127759924.db2.gz QMVKPGAMIGGZQK-OAHLLOKOSA-N 1 2 293.313 3.791 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000369145203 1127759928 /nfs/dbraw/zinc/75/99/28/1127759928.db2.gz QMVKPGAMIGGZQK-OAHLLOKOSA-N 1 2 293.313 3.791 20 0 CHADLO Cc1nnc(C[N@@H+]2C[C@H]3CCCC[C@@]32c2ccccc2)s1 ZINC000371449822 1127766383 /nfs/dbraw/zinc/76/63/83/1127766383.db2.gz IHMGYNJPMVPBLZ-NVXWUHKLSA-N 1 2 299.443 3.748 20 0 CHADLO Cc1nnc(C[N@H+]2C[C@H]3CCCC[C@@]32c2ccccc2)s1 ZINC000371449822 1127766385 /nfs/dbraw/zinc/76/63/85/1127766385.db2.gz IHMGYNJPMVPBLZ-NVXWUHKLSA-N 1 2 299.443 3.748 20 0 CHADLO CCC(CC)CN(CC)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606583303 1127767740 /nfs/dbraw/zinc/76/77/40/1127767740.db2.gz WRUZAPRTQHZRBG-UHFFFAOYSA-N 1 2 288.395 3.624 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606581180 1127768060 /nfs/dbraw/zinc/76/80/60/1127768060.db2.gz TWLFPMHWIBLUPP-ZDUSSCGKSA-N 1 2 288.395 3.671 20 0 CHADLO Cn1ccc([C@H](Nc2cccc[nH+]2)c2ccc(Cl)cc2)n1 ZINC000371841040 1127781492 /nfs/dbraw/zinc/78/14/92/1127781492.db2.gz OJEKWDKDOIWEPD-MRXNPFEDSA-N 1 2 298.777 3.670 20 0 CHADLO Fc1ccc(C[N@H+](CCC(F)(F)F)C2CC2)c(F)c1 ZINC000607150894 1127792696 /nfs/dbraw/zinc/79/26/96/1127792696.db2.gz KBHNVQJXXCHQQO-UHFFFAOYSA-N 1 2 279.252 3.882 20 0 CHADLO Fc1ccc(C[N@@H+](CCC(F)(F)F)C2CC2)c(F)c1 ZINC000607150894 1127792699 /nfs/dbraw/zinc/79/26/99/1127792699.db2.gz KBHNVQJXXCHQQO-UHFFFAOYSA-N 1 2 279.252 3.882 20 0 CHADLO CCCC[N@H+](Cc1ccon1)[C@H](C)c1ccc(C)o1 ZINC000607151363 1127793599 /nfs/dbraw/zinc/79/35/99/1127793599.db2.gz JXFMHXKXKKSQMB-CYBMUJFWSA-N 1 2 262.353 3.939 20 0 CHADLO CCCC[N@@H+](Cc1ccon1)[C@H](C)c1ccc(C)o1 ZINC000607151363 1127793603 /nfs/dbraw/zinc/79/36/03/1127793603.db2.gz JXFMHXKXKKSQMB-CYBMUJFWSA-N 1 2 262.353 3.939 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)c1ccccc1F ZINC000373705241 1127858536 /nfs/dbraw/zinc/85/85/36/1127858536.db2.gz JIFOGYIUAZZSMI-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CCc1ccc(C2=CC[N@@H+]([C@@H](C)c3nnc(C)[nH]3)CC2)cc1 ZINC000411449309 1127860409 /nfs/dbraw/zinc/86/04/09/1127860409.db2.gz SPJZVWTYIFOKQN-ZDUSSCGKSA-N 1 2 296.418 3.526 20 0 CHADLO CCc1ccc(C2=CC[N@H+]([C@@H](C)c3nnc(C)[nH]3)CC2)cc1 ZINC000411449309 1127860414 /nfs/dbraw/zinc/86/04/14/1127860414.db2.gz SPJZVWTYIFOKQN-ZDUSSCGKSA-N 1 2 296.418 3.526 20 0 CHADLO CCc1cnc(C[N@H+](Cc2ccco2)C2CCCC2)o1 ZINC000608492723 1127869447 /nfs/dbraw/zinc/86/94/47/1127869447.db2.gz KXZBXJQHJGTZES-UHFFFAOYSA-N 1 2 274.364 3.775 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2ccco2)C2CCCC2)o1 ZINC000608492723 1127869451 /nfs/dbraw/zinc/86/94/51/1127869451.db2.gz KXZBXJQHJGTZES-UHFFFAOYSA-N 1 2 274.364 3.775 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)cc2)[C@@H](c2ccccc2)CO1 ZINC000608511039 1127874488 /nfs/dbraw/zinc/87/44/88/1127874488.db2.gz RVWDLZJDKKMXPS-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)cc2)[C@@H](c2ccccc2)CO1 ZINC000608511039 1127874492 /nfs/dbraw/zinc/87/44/92/1127874492.db2.gz RVWDLZJDKKMXPS-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1ccccc1C)C2 ZINC000411928885 1127879886 /nfs/dbraw/zinc/87/98/86/1127879886.db2.gz WISHEWFQNGYPCV-UHFFFAOYSA-N 1 2 265.356 3.713 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1ccccc1C)C2 ZINC000411928885 1127879889 /nfs/dbraw/zinc/87/98/89/1127879889.db2.gz WISHEWFQNGYPCV-UHFFFAOYSA-N 1 2 265.356 3.713 20 0 CHADLO FC[C@H]1C[N@H+](Cc2ccc(Cl)cc2Cl)CCCO1 ZINC000411856890 1127877305 /nfs/dbraw/zinc/87/73/05/1127877305.db2.gz RVYHSVBNOXMLHP-LBPRGKRZSA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@H]1C[N@@H+](Cc2ccc(Cl)cc2Cl)CCCO1 ZINC000411856890 1127877309 /nfs/dbraw/zinc/87/73/09/1127877309.db2.gz RVYHSVBNOXMLHP-LBPRGKRZSA-N 1 2 292.181 3.554 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@H](C2CCC2)C1 ZINC000411880781 1127878549 /nfs/dbraw/zinc/87/85/49/1127878549.db2.gz WLHHJOZPNOSGJK-ZBEGNZNMSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@H](C2CCC2)C1 ZINC000411880781 1127878554 /nfs/dbraw/zinc/87/85/54/1127878554.db2.gz WLHHJOZPNOSGJK-ZBEGNZNMSA-N 1 2 281.346 3.527 20 0 CHADLO CC[N@H+](Cc1cn2c(cccc2C)n1)Cc1occc1C ZINC000412025981 1127890424 /nfs/dbraw/zinc/89/04/24/1127890424.db2.gz KEOKALWXLFBTNP-UHFFFAOYSA-N 1 2 283.375 3.566 20 0 CHADLO CC[N@@H+](Cc1cn2c(cccc2C)n1)Cc1occc1C ZINC000412025981 1127890427 /nfs/dbraw/zinc/89/04/27/1127890427.db2.gz KEOKALWXLFBTNP-UHFFFAOYSA-N 1 2 283.375 3.566 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CC(C)(C)C[C@H]2C)no1 ZINC000412045253 1127891401 /nfs/dbraw/zinc/89/14/01/1127891401.db2.gz PRMZQWCXYCCJKM-CQSZACIVSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CC(C)(C)C[C@H]2C)no1 ZINC000412045253 1127891405 /nfs/dbraw/zinc/89/14/05/1127891405.db2.gz PRMZQWCXYCCJKM-CQSZACIVSA-N 1 2 299.418 3.589 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000374855661 1127916714 /nfs/dbraw/zinc/91/67/14/1127916714.db2.gz IQASEYMYFVJLRA-INIZCTEOSA-N 1 2 285.391 3.534 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000374855661 1127916716 /nfs/dbraw/zinc/91/67/16/1127916716.db2.gz IQASEYMYFVJLRA-INIZCTEOSA-N 1 2 285.391 3.534 20 0 CHADLO CC[C@@H]([NH2+]Cc1csc(C)n1)c1ccccc1OC ZINC000035272833 1127924865 /nfs/dbraw/zinc/92/48/65/1127924865.db2.gz YEHOWBDVEQIECN-CQSZACIVSA-N 1 2 276.405 3.701 20 0 CHADLO FC(F)(F)c1cc(C[NH+]2CC3(CCC3)C2)cs1 ZINC001233174274 1127933442 /nfs/dbraw/zinc/93/34/42/1127933442.db2.gz HATWXMCCHBXDMR-UHFFFAOYSA-N 1 2 261.312 3.753 20 0 CHADLO CCCC[C@H](COC)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000539741329 1127938257 /nfs/dbraw/zinc/93/82/57/1127938257.db2.gz PPKFQEYISCJQBX-MRXNPFEDSA-N 1 2 291.439 3.689 20 0 CHADLO CCSc1cccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000375355047 1127942553 /nfs/dbraw/zinc/94/25/53/1127942553.db2.gz VQOCQHZMURHCTQ-HNNXBMFYSA-N 1 2 287.432 3.859 20 0 CHADLO CCSc1cccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000375355047 1127942555 /nfs/dbraw/zinc/94/25/55/1127942555.db2.gz VQOCQHZMURHCTQ-HNNXBMFYSA-N 1 2 287.432 3.859 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1cccc(Cl)c1Cl ZINC000539833278 1127945955 /nfs/dbraw/zinc/94/59/55/1127945955.db2.gz WAZRTXOSBBVHQG-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1cccc(Cl)c1Cl ZINC000539833278 1127945958 /nfs/dbraw/zinc/94/59/58/1127945958.db2.gz WAZRTXOSBBVHQG-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO CC1(C)C[C@@H](CNc2cc(C(F)(F)F)cc[nH+]2)CCO1 ZINC000413339387 1127948512 /nfs/dbraw/zinc/94/85/12/1127948512.db2.gz QEGOKIDOIJMDMM-JTQLQIEISA-N 1 2 288.313 3.718 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC[C@H]2c2ccccc2)ccc1F ZINC000539851963 1127948912 /nfs/dbraw/zinc/94/89/12/1127948912.db2.gz XRUPJWIPEWVEQQ-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cc(C[N@H+]2CCOC[C@H]2c2ccccc2)ccc1F ZINC000539851963 1127948914 /nfs/dbraw/zinc/94/89/14/1127948914.db2.gz XRUPJWIPEWVEQQ-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1nc(N[C@H](c2cccnc2)C2CC2)c2c([nH+]1)CCCC2 ZINC000543876465 1127951150 /nfs/dbraw/zinc/95/11/50/1127951150.db2.gz MFMWRCHRFQVAKZ-KRWDZBQOSA-N 1 2 294.402 3.622 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc2ccccc2c1)c1cscn1 ZINC000540172670 1127965690 /nfs/dbraw/zinc/96/56/90/1127965690.db2.gz RMUZUZOXBGIPRX-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO CCc1cc(=O)[nH]c(C[N@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000468266133 1128000476 /nfs/dbraw/zinc/00/04/76/1128000476.db2.gz JVXLAIJSPUYAEC-UHFFFAOYSA-N 1 2 299.418 3.500 20 0 CHADLO CCc1cc(=O)[nH]c(C[N@@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000468266133 1128000477 /nfs/dbraw/zinc/00/04/77/1128000477.db2.gz JVXLAIJSPUYAEC-UHFFFAOYSA-N 1 2 299.418 3.500 20 0 CHADLO C(=C\[C@H]1CCC[N@H+]1Cc1nnc(C2CC2)o1)\c1ccccc1 ZINC000540944876 1128002476 /nfs/dbraw/zinc/00/24/76/1128002476.db2.gz IKTGQLYBJFVVJP-JXMNSVBMSA-N 1 2 295.386 3.625 20 0 CHADLO C(=C\[C@H]1CCC[N@@H+]1Cc1nnc(C2CC2)o1)\c1ccccc1 ZINC000540944876 1128002481 /nfs/dbraw/zinc/00/24/81/1128002481.db2.gz IKTGQLYBJFVVJP-JXMNSVBMSA-N 1 2 295.386 3.625 20 0 CHADLO CC(C)C[N@@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000540996937 1128004043 /nfs/dbraw/zinc/00/40/43/1128004043.db2.gz XOHHUZXLLSQMHZ-AWEZNQCLSA-N 1 2 287.325 3.735 20 0 CHADLO CC(C)C[N@H+]1CCO[C@H](c2ccccc2C(F)(F)F)C1 ZINC000540996937 1128004047 /nfs/dbraw/zinc/00/40/47/1128004047.db2.gz XOHHUZXLLSQMHZ-AWEZNQCLSA-N 1 2 287.325 3.735 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@H+](C)Cc2nnc3n2CCC3)cc1 ZINC000541351736 1128018798 /nfs/dbraw/zinc/01/87/98/1128018798.db2.gz VLERAOYFPLDTPO-CQSZACIVSA-N 1 2 298.434 3.541 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@@H+](C)Cc2nnc3n2CCC3)cc1 ZINC000541351736 1128018803 /nfs/dbraw/zinc/01/88/03/1128018803.db2.gz VLERAOYFPLDTPO-CQSZACIVSA-N 1 2 298.434 3.541 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@H](C)C(C)(C)C)n3)[nH+]c12 ZINC000545677062 1128028325 /nfs/dbraw/zinc/02/83/25/1128028325.db2.gz AZTYUPPYLRAJGJ-LBPRGKRZSA-N 1 2 298.390 3.766 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(OC2CCCC2)c1)c1ccon1 ZINC000541779821 1128037427 /nfs/dbraw/zinc/03/74/27/1128037427.db2.gz KNMYELZGBJRQBW-ZDUSSCGKSA-N 1 2 286.375 3.847 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC000302746845 1128040708 /nfs/dbraw/zinc/04/07/08/1128040708.db2.gz GUFSAGRRXRVWSZ-LBPRGKRZSA-N 1 2 290.794 3.727 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CCn2cccc2[C@@H]1C ZINC000302746845 1128040713 /nfs/dbraw/zinc/04/07/13/1128040713.db2.gz GUFSAGRRXRVWSZ-LBPRGKRZSA-N 1 2 290.794 3.727 20 0 CHADLO FC(F)(F)c1ccccc1[C@@H]1C[N@H+](CCC2CC2)CCO1 ZINC000541955042 1128044803 /nfs/dbraw/zinc/04/48/03/1128044803.db2.gz UFGWDIGDWAYXBO-HNNXBMFYSA-N 1 2 299.336 3.879 20 0 CHADLO FC(F)(F)c1ccccc1[C@@H]1C[N@@H+](CCC2CC2)CCO1 ZINC000541955042 1128044806 /nfs/dbraw/zinc/04/48/06/1128044806.db2.gz UFGWDIGDWAYXBO-HNNXBMFYSA-N 1 2 299.336 3.879 20 0 CHADLO Cc1ccc(-c2nnc(C[NH2+][C@H](C)c3ccsc3)o2)cc1 ZINC000669937640 1128074771 /nfs/dbraw/zinc/07/47/71/1128074771.db2.gz NQILCNMHZDVFEP-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470908585 1128079239 /nfs/dbraw/zinc/07/92/39/1128079239.db2.gz JIOGHVXCUAGTET-OHUAYANFSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470908585 1128079244 /nfs/dbraw/zinc/07/92/44/1128079244.db2.gz JIOGHVXCUAGTET-OHUAYANFSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+]1CCOc2cccc(F)c2C1 ZINC000669941698 1128079960 /nfs/dbraw/zinc/07/99/60/1128079960.db2.gz ZDMCGZUKBFEUGV-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+]1CCOc2cccc(F)c2C1 ZINC000669941698 1128079964 /nfs/dbraw/zinc/07/99/64/1128079964.db2.gz ZDMCGZUKBFEUGV-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000470953370 1128082268 /nfs/dbraw/zinc/08/22/68/1128082268.db2.gz NYGOMALUOSCZMF-ZJUUUORDSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000470953370 1128082272 /nfs/dbraw/zinc/08/22/72/1128082272.db2.gz NYGOMALUOSCZMF-ZJUUUORDSA-N 1 2 290.329 3.745 20 0 CHADLO CC(C)C[C@@H](c1nc(CCC(C)(C)C)no1)n1cc[nH+]c1 ZINC000924062193 1128088639 /nfs/dbraw/zinc/08/86/39/1128088639.db2.gz NFQRTNOFIVLGRZ-ZDUSSCGKSA-N 1 2 290.411 3.880 20 0 CHADLO Cc1ccccc1[C@H](c1noc([C@@H](C)[NH+](C)C)n1)C(C)C ZINC000924067481 1128089737 /nfs/dbraw/zinc/08/97/37/1128089737.db2.gz AWCDAVLLNBPKCY-UKRRQHHQSA-N 1 2 287.407 3.789 20 0 CHADLO CN(C)c1ccnc(Cl)c1C[N@@H+]1CCCC[C@@](C)(F)C1 ZINC001234102909 1128091905 /nfs/dbraw/zinc/09/19/05/1128091905.db2.gz AKRXOUXIGBKOMF-OAHLLOKOSA-N 1 2 299.821 3.515 20 0 CHADLO CN(C)c1ccnc(Cl)c1C[N@H+]1CCCC[C@@](C)(F)C1 ZINC001234102909 1128091908 /nfs/dbraw/zinc/09/19/08/1128091908.db2.gz AKRXOUXIGBKOMF-OAHLLOKOSA-N 1 2 299.821 3.515 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1Cl)c1cn2c(n1)CCCC2 ZINC000924529980 1128101941 /nfs/dbraw/zinc/10/19/41/1128101941.db2.gz UWTZNDCDBCJRMR-LBPRGKRZSA-N 1 2 289.810 3.724 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1cnc(C)s1 ZINC000777505668 1128095797 /nfs/dbraw/zinc/09/57/97/1128095797.db2.gz VLFPRTWZOJMTEV-UHFFFAOYSA-N 1 2 286.404 3.823 20 0 CHADLO C[N@@H+](Cc1csc2ccccc12)Cc1nccs1 ZINC000175076728 1128111894 /nfs/dbraw/zinc/11/18/94/1128111894.db2.gz QADYTFQRJAYGRO-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[N@H+](Cc1csc2ccccc12)Cc1nccs1 ZINC000175076728 1128111895 /nfs/dbraw/zinc/11/18/95/1128111895.db2.gz QADYTFQRJAYGRO-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2ccns2)cs1 ZINC000925035047 1128119356 /nfs/dbraw/zinc/11/93/56/1128119356.db2.gz XEHPXOOVFAVSIC-RKDXNWHRSA-N 1 2 267.423 3.574 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CSc2ccccc21)c1cn2c(n1)CCCC2 ZINC000925093982 1128121798 /nfs/dbraw/zinc/12/17/98/1128121798.db2.gz UNLRTENJVGSFLG-IUODEOHRSA-N 1 2 299.443 3.717 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CC[C@@H]2COCC[C@H]2C1 ZINC000925722004 1128138355 /nfs/dbraw/zinc/13/83/55/1128138355.db2.gz SJEQMANZEGPYHG-ARFHVFGLSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@@H]1CC[C@@H]2COCC[C@H]2C1 ZINC000925722004 1128138356 /nfs/dbraw/zinc/13/83/56/1128138356.db2.gz SJEQMANZEGPYHG-ARFHVFGLSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1ccccc1[C@H](C(C)C)N(C)C(=O)/C=C\c1[nH]cc[nH+]1 ZINC000493381721 1128139691 /nfs/dbraw/zinc/13/96/91/1128139691.db2.gz HKMILHQCKXDCQS-LPADLIQXSA-N 1 2 297.402 3.587 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@@H](C)c1cccc(F)c1F ZINC000925670496 1128136520 /nfs/dbraw/zinc/13/65/20/1128136520.db2.gz LNUHMCDRMJBKFM-TVQRCGJNSA-N 1 2 292.329 3.989 20 0 CHADLO CCCCN(C(=O)/C=C/c1[nH]cc[nH+]1)[C@H](C)c1ccccc1 ZINC000493402445 1128140594 /nfs/dbraw/zinc/14/05/94/1128140594.db2.gz QBRPDBGYNKRYGN-AUECHBEKSA-N 1 2 297.402 3.813 20 0 CHADLO CC(C)(C)c1cn(C[C@@]2(C)CC2(Cl)Cl)c[nH+]1 ZINC001118928081 1131242284 /nfs/dbraw/zinc/24/22/84/1131242284.db2.gz VWOHCWQMDOYKGW-LLVKDONJSA-N 1 2 261.196 3.765 20 0 CHADLO CCSCc1cc[nH+]c(NC2CC3(C2)CO[C@H](C)C3)c1 ZINC000925945184 1128147268 /nfs/dbraw/zinc/14/72/68/1128147268.db2.gz ZUYUYEYNQAZQCK-XEBKBJJBSA-N 1 2 292.448 3.704 20 0 CHADLO Cc1ccccc1[C@H](NC(=O)/C=C/c1[nH]cc[nH+]1)C(C)(C)C ZINC000493789529 1128148672 /nfs/dbraw/zinc/14/86/72/1128148672.db2.gz NXIQSSMSGHBWFG-FVNWOWOISA-N 1 2 297.402 3.635 20 0 CHADLO CC[C@H](Nc1ccc([NH+](C)C)cc1)c1cccc(OC)n1 ZINC000926026564 1128150237 /nfs/dbraw/zinc/15/02/37/1128150237.db2.gz KNSAJGKYZOUBJG-HNNXBMFYSA-N 1 2 285.391 3.719 20 0 CHADLO C[C@@H](Nc1cnn([C@H](C)C2CC2)c1)c1cn2c([nH+]1)CCCC2 ZINC000926222974 1128162067 /nfs/dbraw/zinc/16/20/67/1128162067.db2.gz ZQJXLDLCKJSCKG-CHWSQXEVSA-N 1 2 299.422 3.560 20 0 CHADLO CCn1nc(C)c([C@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)n1 ZINC000926244487 1128163247 /nfs/dbraw/zinc/16/32/47/1128163247.db2.gz OVGQTBXKKIDVJJ-NEPJUHHUSA-N 1 2 298.390 3.764 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nc(CC(C)C)no1 ZINC000494241752 1128167241 /nfs/dbraw/zinc/16/72/41/1128167241.db2.gz NVELCOIYCLCJTM-PTNGSMBKSA-N 1 2 299.418 3.803 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nc(CC(C)C)no1 ZINC000494241752 1128167243 /nfs/dbraw/zinc/16/72/43/1128167243.db2.gz NVELCOIYCLCJTM-PTNGSMBKSA-N 1 2 299.418 3.803 20 0 CHADLO Cc1cscc1C[NH2+][C@@H](c1ncc[nH]1)c1ccccc1 ZINC000494463941 1128174414 /nfs/dbraw/zinc/17/44/14/1128174414.db2.gz IBWOSUVUVDNFNY-OAHLLOKOSA-N 1 2 283.400 3.659 20 0 CHADLO C[C@@H]1CC[N@H+](CCc2c(F)cccc2Cl)C[C@@H]1F ZINC001168465596 1128176420 /nfs/dbraw/zinc/17/64/20/1128176420.db2.gz DZFRPSWSGMIEFN-YGRLFVJLSA-N 1 2 273.754 3.702 20 0 CHADLO C[C@@H]1CC[N@@H+](CCc2c(F)cccc2Cl)C[C@@H]1F ZINC001168465596 1128176423 /nfs/dbraw/zinc/17/64/23/1128176423.db2.gz DZFRPSWSGMIEFN-YGRLFVJLSA-N 1 2 273.754 3.702 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1coc2ccccc21)c1csnn1 ZINC000926590683 1128182512 /nfs/dbraw/zinc/18/25/12/1128182512.db2.gz UMAIEKXFEHHNHZ-VHSXEESVSA-N 1 2 273.361 3.696 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H](OCC3CC3)C2)cs1 ZINC000494663215 1128184897 /nfs/dbraw/zinc/18/48/97/1128184897.db2.gz FBRFVNBSQWFBCZ-HNNXBMFYSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H](OCC3CC3)C2)cs1 ZINC000494663215 1128184898 /nfs/dbraw/zinc/18/48/98/1128184898.db2.gz FBRFVNBSQWFBCZ-HNNXBMFYSA-N 1 2 294.464 3.658 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nn(CC)nc1C)c1ccccc1F ZINC000926659886 1128186590 /nfs/dbraw/zinc/18/65/90/1128186590.db2.gz IWKUAXLJLQNXOB-IAQYHMDHSA-N 1 2 290.386 3.547 20 0 CHADLO C[C@H]([NH2+]Cc1cscc1Cl)c1cn2c(n1)CCCC2 ZINC000926868471 1128199210 /nfs/dbraw/zinc/19/92/10/1128199210.db2.gz OSLPJRYTZZKJCY-JTQLQIEISA-N 1 2 295.839 3.785 20 0 CHADLO CCC[C@@H](C)c1noc(-c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000761853877 1128248494 /nfs/dbraw/zinc/24/84/94/1128248494.db2.gz YLZZPQBOFRHBDG-GFCCVEGCSA-N 1 2 282.347 3.826 20 0 CHADLO Cl/C=C\C[NH+](C/C=C\Cl)CCOc1ccccc1 ZINC000914873676 1128258625 /nfs/dbraw/zinc/25/86/25/1128258625.db2.gz MHOZCSZEOPESOB-XEQVNJCQSA-N 1 2 286.202 3.872 20 0 CHADLO Cc1nnsc1[C@H](C)[N@H+](C)Cc1ccc(Cl)s1 ZINC000929133918 1128260299 /nfs/dbraw/zinc/26/02/99/1128260299.db2.gz QTJSOVDQMIEBIQ-QMMMGPOBSA-N 1 2 287.841 3.754 20 0 CHADLO Cc1nnsc1[C@H](C)[N@@H+](C)Cc1ccc(Cl)s1 ZINC000929133918 1128260300 /nfs/dbraw/zinc/26/03/00/1128260300.db2.gz QTJSOVDQMIEBIQ-QMMMGPOBSA-N 1 2 287.841 3.754 20 0 CHADLO CCc1ccc(N[C@H](c2ccccn2)C(F)(F)F)[nH+]c1 ZINC001168485631 1128264294 /nfs/dbraw/zinc/26/42/94/1128264294.db2.gz HMVPESAMBZHNJH-CYBMUJFWSA-N 1 2 281.281 3.755 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2C[C@H](C)[C@@H]2C)c1 ZINC000494819190 1128201561 /nfs/dbraw/zinc/20/15/61/1128201561.db2.gz ALDOYWDFEBWGCE-GMXVVIOVSA-N 1 2 250.411 3.791 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H]2CCC(F)(F)C2)cc1 ZINC001234590366 1128208652 /nfs/dbraw/zinc/20/86/52/1128208652.db2.gz QVTSVZIYPOWJDB-LBPRGKRZSA-N 1 2 269.291 3.615 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1c(F)cc(C)cc1F ZINC000927093836 1128216352 /nfs/dbraw/zinc/21/63/52/1128216352.db2.gz UEWVWBVAVRCGHK-VIFPVBQESA-N 1 2 280.318 3.729 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cnc(-c3ccco3)s2)n1 ZINC000494965408 1128219227 /nfs/dbraw/zinc/21/92/27/1128219227.db2.gz XFXHCKQGXCRBOT-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cnc(-c3ccco3)s2)n1 ZINC000494965408 1128219232 /nfs/dbraw/zinc/21/92/32/1128219232.db2.gz XFXHCKQGXCRBOT-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C2CC2)n(C)n1)c1ccccc1Cl ZINC000927113570 1128219389 /nfs/dbraw/zinc/21/93/89/1128219389.db2.gz JQETXDTXNCPQQS-NSHDSACASA-N 1 2 289.810 3.802 20 0 CHADLO CC1(C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CCCCC1 ZINC000494998074 1128222459 /nfs/dbraw/zinc/22/24/59/1128222459.db2.gz OVUVPQJGLRIILE-OAHLLOKOSA-N 1 2 290.455 3.986 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1)C1CCC1 ZINC000777658817 1128233978 /nfs/dbraw/zinc/23/39/78/1128233978.db2.gz HZHPTWVMWBKPJH-ZNMIVQPWSA-N 1 2 288.435 3.901 20 0 CHADLO CCc1ccc([C@@H]2COCC[N@@H+]2CCCC(C)(F)F)o1 ZINC000929676279 1128281694 /nfs/dbraw/zinc/28/16/94/1128281694.db2.gz PODHVCFSJZGWFR-ZDUSSCGKSA-N 1 2 287.350 3.651 20 0 CHADLO CCc1ccc([C@@H]2COCC[N@H+]2CCCC(C)(F)F)o1 ZINC000929676279 1128281697 /nfs/dbraw/zinc/28/16/97/1128281697.db2.gz PODHVCFSJZGWFR-ZDUSSCGKSA-N 1 2 287.350 3.651 20 0 CHADLO CCc1cnc(C[N@H+](C)C[C@@H]2CCCC3(CCC3)O2)s1 ZINC000929774099 1128285965 /nfs/dbraw/zinc/28/59/65/1128285965.db2.gz LZLMKALWHJYVIL-ZDUSSCGKSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1cnc(C[N@@H+](C)C[C@@H]2CCCC3(CCC3)O2)s1 ZINC000929774099 1128285967 /nfs/dbraw/zinc/28/59/67/1128285967.db2.gz LZLMKALWHJYVIL-ZDUSSCGKSA-N 1 2 294.464 3.629 20 0 CHADLO CC(C)[C@@H](C)[N@H+](C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000915209210 1128291805 /nfs/dbraw/zinc/29/18/05/1128291805.db2.gz KTXKYWQBNCSAIV-LLVKDONJSA-N 1 2 294.468 3.560 20 0 CHADLO CC(C)[C@@H](C)[N@@H+](C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000915209210 1128291807 /nfs/dbraw/zinc/29/18/07/1128291807.db2.gz KTXKYWQBNCSAIV-LLVKDONJSA-N 1 2 294.468 3.560 20 0 CHADLO Cc1ccc2oc(SCc3cc[nH+]c(N(C)C)c3)nc2c1 ZINC000762473572 1128302265 /nfs/dbraw/zinc/30/22/65/1128302265.db2.gz FSFPWUNTWHWGJL-UHFFFAOYSA-N 1 2 299.399 3.890 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cn1cccnc1=S ZINC000754858448 1128311684 /nfs/dbraw/zinc/31/16/84/1128311684.db2.gz SSTBQELWKFNSCJ-KAMYIIQDSA-N 1 2 285.416 3.605 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cn1cccnc1=S ZINC000754858448 1128311688 /nfs/dbraw/zinc/31/16/88/1128311688.db2.gz SSTBQELWKFNSCJ-KAMYIIQDSA-N 1 2 285.416 3.605 20 0 CHADLO C[C@@H](c1ncccn1)[N@H+]1CC=C(c2cccc(Cl)c2)CC1 ZINC000930285945 1128312914 /nfs/dbraw/zinc/31/29/14/1128312914.db2.gz ZQQJOBGEPIUBSE-ZDUSSCGKSA-N 1 2 299.805 3.980 20 0 CHADLO C[C@@H](c1ncccn1)[N@@H+]1CC=C(c2cccc(Cl)c2)CC1 ZINC000930285945 1128312918 /nfs/dbraw/zinc/31/29/18/1128312918.db2.gz ZQQJOBGEPIUBSE-ZDUSSCGKSA-N 1 2 299.805 3.980 20 0 CHADLO c1cc2c(cn1)C[N@H+](C[C@@H]1CCC3(CCCCCC3)O1)C2 ZINC000930462072 1128319864 /nfs/dbraw/zinc/31/98/64/1128319864.db2.gz FEYLDGDBJKZZFA-KRWDZBQOSA-N 1 2 286.419 3.669 20 0 CHADLO c1cc2c(cn1)C[N@@H+](C[C@@H]1CCC3(CCCCCC3)O1)C2 ZINC000930462072 1128319867 /nfs/dbraw/zinc/31/98/67/1128319867.db2.gz FEYLDGDBJKZZFA-KRWDZBQOSA-N 1 2 286.419 3.669 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1Cc2ccccc2O[C@H](C)C1 ZINC000930555269 1128325738 /nfs/dbraw/zinc/32/57/38/1128325738.db2.gz BGOVUFUAYHHUMO-OLZOCXBDSA-N 1 2 286.350 3.565 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1Cc2ccccc2O[C@H](C)C1 ZINC000930555269 1128325741 /nfs/dbraw/zinc/32/57/41/1128325741.db2.gz BGOVUFUAYHHUMO-OLZOCXBDSA-N 1 2 286.350 3.565 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](CCCC(C)(F)F)C2 ZINC000930718258 1128331981 /nfs/dbraw/zinc/33/19/81/1128331981.db2.gz VIIUAGLEPPYWEF-UHFFFAOYSA-N 1 2 267.319 3.640 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](CCCC(C)(F)F)C2 ZINC000930718258 1128331985 /nfs/dbraw/zinc/33/19/85/1128331985.db2.gz VIIUAGLEPPYWEF-UHFFFAOYSA-N 1 2 267.319 3.640 20 0 CHADLO C[C@@H](c1ncccn1)[N@@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000930823965 1128336496 /nfs/dbraw/zinc/33/64/96/1128336496.db2.gz ABSNUSJMNHNZSV-RDJZCZTQSA-N 1 2 291.398 3.931 20 0 CHADLO C[C@@H](c1ncccn1)[N@H+]1CC2(CC=CC2)[C@@H]1c1ccccc1 ZINC000930823965 1128336500 /nfs/dbraw/zinc/33/65/00/1128336500.db2.gz ABSNUSJMNHNZSV-RDJZCZTQSA-N 1 2 291.398 3.931 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nccc(C)c2Cl)cc1 ZINC001235578483 1128342317 /nfs/dbraw/zinc/34/23/17/1128342317.db2.gz BGGJSJHHRORPTH-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nccc(C)c2Cl)cc1 ZINC001235578483 1128342321 /nfs/dbraw/zinc/34/23/21/1128342321.db2.gz BGGJSJHHRORPTH-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccnc(C[NH+]2CCC(C(F)(F)F)CC2)c1Cl ZINC001235577731 1128342704 /nfs/dbraw/zinc/34/27/04/1128342704.db2.gz UFWURRGGCRFFSO-UHFFFAOYSA-N 1 2 292.732 3.818 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)C(F)=C1CCCC1 ZINC000763006268 1128343836 /nfs/dbraw/zinc/34/38/36/1128343836.db2.gz DSDIVVGKHQNAIW-UHFFFAOYSA-N 1 2 285.322 3.813 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](C)c2ccc(F)cc2C)n1 ZINC000932554297 1128413741 /nfs/dbraw/zinc/41/37/41/1128413741.db2.gz BMLFINMGEZRFSQ-GFCCVEGCSA-N 1 2 291.370 3.711 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]Cc1nc(C(C)C)ns1 ZINC000932561623 1128414467 /nfs/dbraw/zinc/41/44/67/1128414467.db2.gz QUQCAKLWIKQBNG-NSHDSACASA-N 1 2 293.411 3.960 20 0 CHADLO C[C@H]1C[N@H+](Cc2sc3ccccc3c2Cl)CCO1 ZINC000054172710 1128359259 /nfs/dbraw/zinc/35/92/59/1128359259.db2.gz JXTZDUMGCUWXIO-JTQLQIEISA-N 1 2 281.808 3.775 20 0 CHADLO C[C@H]1C[N@@H+](Cc2sc3ccccc3c2Cl)CCO1 ZINC000054172710 1128359264 /nfs/dbraw/zinc/35/92/64/1128359264.db2.gz JXTZDUMGCUWXIO-JTQLQIEISA-N 1 2 281.808 3.775 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)cc2C)o1 ZINC000932560556 1128414627 /nfs/dbraw/zinc/41/46/27/1128414627.db2.gz LXSLEMMYUCRWBX-VXGBXAGGSA-N 1 2 262.328 3.842 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@@H]2c2ccccc2C)no1 ZINC000054990291 1128365021 /nfs/dbraw/zinc/36/50/21/1128365021.db2.gz ZTMMYAHXLLXNKU-OAHLLOKOSA-N 1 2 285.391 3.668 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@@H]2c2ccccc2C)no1 ZINC000054990291 1128365025 /nfs/dbraw/zinc/36/50/25/1128365025.db2.gz ZTMMYAHXLLXNKU-OAHLLOKOSA-N 1 2 285.391 3.668 20 0 CHADLO NC(Cc1ccc(Cl)cc1)=[NH+]OC/C(Cl)=C\Cl ZINC000763188305 1128370236 /nfs/dbraw/zinc/37/02/36/1128370236.db2.gz OQMPUNBWIWFNQP-UXBLZVDNSA-N 1 2 293.581 3.700 20 0 CHADLO CN(C)c1ccc(NCc2ccc(F)c(Cl)c2F)[nH+]c1 ZINC001168505634 1128376076 /nfs/dbraw/zinc/37/60/76/1128376076.db2.gz PIOPBWNIMCXUGI-UHFFFAOYSA-N 1 2 297.736 3.691 20 0 CHADLO Clc1nc(C[N@@H+]2C[C@@H]3C[C@]3(c3ccccc3)C2)cs1 ZINC000931922842 1128387533 /nfs/dbraw/zinc/38/75/33/1128387533.db2.gz GYWALBREIACXMB-SWLSCSKDSA-N 1 2 290.819 3.570 20 0 CHADLO Clc1nc(C[N@H+]2C[C@@H]3C[C@]3(c3ccccc3)C2)cs1 ZINC000931922842 1128387536 /nfs/dbraw/zinc/38/75/36/1128387536.db2.gz GYWALBREIACXMB-SWLSCSKDSA-N 1 2 290.819 3.570 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncccc2Cl)CC2(CCCCC2)O1 ZINC000528539827 1128396658 /nfs/dbraw/zinc/39/66/58/1128396658.db2.gz OWXDNXXWXSTBTN-CYBMUJFWSA-N 1 2 294.826 3.659 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncccc2Cl)CC2(CCCCC2)O1 ZINC000528539827 1128396662 /nfs/dbraw/zinc/39/66/62/1128396662.db2.gz OWXDNXXWXSTBTN-CYBMUJFWSA-N 1 2 294.826 3.659 20 0 CHADLO Cc1conc1C[NH2+][C@H]1CC2(CCC2)Oc2ccccc21 ZINC000932213554 1128400773 /nfs/dbraw/zinc/40/07/73/1128400773.db2.gz WYBAGJDSKRCUNG-AWEZNQCLSA-N 1 2 284.359 3.519 20 0 CHADLO C[C@@H]([NH2+]Cc1c(Cl)cccc1Cl)c1ccnn1C ZINC000562495005 1128405242 /nfs/dbraw/zinc/40/52/42/1128405242.db2.gz CDDCQOAHUDEPFO-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO c1coc(-c2cc(C[NH2+][C@H](c3ccccn3)C3CC3)no2)c1 ZINC000932375261 1128406368 /nfs/dbraw/zinc/40/63/68/1128406368.db2.gz MUHOPZJZKBTVFV-KRWDZBQOSA-N 1 2 295.342 3.571 20 0 CHADLO COc1ccc([NH2+][C@@H](C)C2CCSCC2)c(OC)c1 ZINC000187424199 1128410884 /nfs/dbraw/zinc/41/08/84/1128410884.db2.gz DFVZWGUVGPPGKO-NSHDSACASA-N 1 2 281.421 3.647 20 0 CHADLO CCC[C@H](C)CC[C@H](C)[NH2+]CC(=O)OC(C)(C)C ZINC001257463142 1128443405 /nfs/dbraw/zinc/44/34/05/1128443405.db2.gz JMEAHUOVCJRXCR-STQMWFEESA-N 1 2 257.418 3.523 20 0 CHADLO CCn1c[nH+]c2c1CCN([C@@H](C)c1cccc(Cl)c1)C2 ZINC000933262182 1128432399 /nfs/dbraw/zinc/43/23/99/1128432399.db2.gz ZWNKWIOEQZAJBI-LBPRGKRZSA-N 1 2 289.810 3.676 20 0 CHADLO Cc1c[nH+]c(CCSCCC2CCOCC2)c(C)c1 ZINC000563147553 1128451807 /nfs/dbraw/zinc/45/18/07/1128451807.db2.gz QYBPZQWZTMPDFD-UHFFFAOYSA-N 1 2 279.449 3.791 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@H](c2ccncc2)C2CC2)co1 ZINC000934697760 1128467255 /nfs/dbraw/zinc/46/72/55/1128467255.db2.gz JXCDCSFDBCCORZ-HNNXBMFYSA-N 1 2 285.391 3.608 20 0 CHADLO Clc1ccccc1[C@@H]1C[N@@H+]([C@H]2C=CCCC2)CCO1 ZINC000066532619 1128474515 /nfs/dbraw/zinc/47/45/15/1128474515.db2.gz WBHBYOTTWSFBGE-BBRMVZONSA-N 1 2 277.795 3.822 20 0 CHADLO Clc1ccccc1[C@@H]1C[N@H+]([C@H]2C=CCCC2)CCO1 ZINC000066532619 1128474517 /nfs/dbraw/zinc/47/45/17/1128474517.db2.gz WBHBYOTTWSFBGE-BBRMVZONSA-N 1 2 277.795 3.822 20 0 CHADLO c1nnc([C@@H]([NH2+]C2CC3(C2)CCCCC3)c2ccccc2)[nH]1 ZINC000563700665 1128475269 /nfs/dbraw/zinc/47/52/69/1128475269.db2.gz NCMKCZAFLGIMFL-INIZCTEOSA-N 1 2 296.418 3.597 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1ncc(-c2ccccc2)o1 ZINC000127575509 1128480278 /nfs/dbraw/zinc/48/02/78/1128480278.db2.gz UXHCHLFHIRXXRX-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1ncc(-c2ccccc2)o1 ZINC000127575509 1128480281 /nfs/dbraw/zinc/48/02/81/1128480281.db2.gz UXHCHLFHIRXXRX-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000563894653 1128483819 /nfs/dbraw/zinc/48/38/19/1128483819.db2.gz MCFBJRQFFJNVHB-GFCCVEGCSA-N 1 2 285.391 3.525 20 0 CHADLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc(C(C)(C)C)cc1 ZINC000563894653 1128483820 /nfs/dbraw/zinc/48/38/20/1128483820.db2.gz MCFBJRQFFJNVHB-GFCCVEGCSA-N 1 2 285.391 3.525 20 0 CHADLO COCc1ccccc1/C=C(\C)CNc1[nH+]cccc1OC ZINC000563904451 1128484525 /nfs/dbraw/zinc/48/45/25/1128484525.db2.gz YCKDVHMRVOKPRZ-SDNWHVSQSA-N 1 2 298.386 3.752 20 0 CHADLO Cc1ncncc1[C@@H](C)[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000564225234 1128499702 /nfs/dbraw/zinc/49/97/02/1128499702.db2.gz CSMJDDSOVJJOIN-MNOVXSKESA-N 1 2 293.773 3.989 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](c3ccccc3)[C@@H](C)C2)no1 ZINC000129866876 1128558099 /nfs/dbraw/zinc/55/80/99/1128558099.db2.gz IPGXFYRFTGLEFU-HOCLYGCPSA-N 1 2 299.418 3.819 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](c3ccccc3)[C@@H](C)C2)no1 ZINC000129866876 1128558103 /nfs/dbraw/zinc/55/81/03/1128558103.db2.gz IPGXFYRFTGLEFU-HOCLYGCPSA-N 1 2 299.418 3.819 20 0 CHADLO O=C(C=C(C1CC1)C1CC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000917631554 1128514576 /nfs/dbraw/zinc/51/45/76/1128514576.db2.gz PTFWYFREANYVJN-UHFFFAOYSA-N 1 2 293.370 3.557 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc2c1OC(C)(C)C2)c1cscn1 ZINC000565244758 1128523458 /nfs/dbraw/zinc/52/34/58/1128523458.db2.gz IVLXIUJWLXMWMU-LLVKDONJSA-N 1 2 288.416 3.707 20 0 CHADLO CCCc1ccc(C[N@@H+]2Cc3cccnc3C2)cc1 ZINC000565360187 1128524436 /nfs/dbraw/zinc/52/44/36/1128524436.db2.gz SDOJKNJAKOQSFM-UHFFFAOYSA-N 1 2 252.361 3.550 20 0 CHADLO CCCc1ccc(C[N@H+]2Cc3cccnc3C2)cc1 ZINC000565360187 1128524438 /nfs/dbraw/zinc/52/44/38/1128524438.db2.gz SDOJKNJAKOQSFM-UHFFFAOYSA-N 1 2 252.361 3.550 20 0 CHADLO Clc1ccc(SCC[N@@H+]2Cc3cccnc3C2)cc1 ZINC000565771200 1128531746 /nfs/dbraw/zinc/53/17/46/1128531746.db2.gz DXZDZYIULHMQIM-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1ccc(SCC[N@H+]2Cc3cccnc3C2)cc1 ZINC000565771200 1128531749 /nfs/dbraw/zinc/53/17/49/1128531749.db2.gz DXZDZYIULHMQIM-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO c1ccc(Cc2nc(C[N@H+](C3CC3)C3CCCC3)no2)cc1 ZINC000069252069 1128536848 /nfs/dbraw/zinc/53/68/48/1128536848.db2.gz VKFSJHWDPAVCRO-UHFFFAOYSA-N 1 2 297.402 3.567 20 0 CHADLO c1ccc(Cc2nc(C[N@@H+](C3CC3)C3CCCC3)no2)cc1 ZINC000069252069 1128536849 /nfs/dbraw/zinc/53/68/49/1128536849.db2.gz VKFSJHWDPAVCRO-UHFFFAOYSA-N 1 2 297.402 3.567 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC=C(c3ccc(C)cc3)CC2)o1 ZINC000918186676 1128539256 /nfs/dbraw/zinc/53/92/56/1128539256.db2.gz REGYGZAQRKOXGN-CYBMUJFWSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(c3ccc(C)cc3)CC2)o1 ZINC000918186676 1128539259 /nfs/dbraw/zinc/53/92/59/1128539259.db2.gz REGYGZAQRKOXGN-CYBMUJFWSA-N 1 2 283.375 3.537 20 0 CHADLO CSc1ccc(CO[NH+]=C(N)Cc2ccccc2)cc1 ZINC000918183419 1128539641 /nfs/dbraw/zinc/53/96/41/1128539641.db2.gz BDBPLOLYBJWREU-UHFFFAOYSA-N 1 2 286.400 3.650 20 0 CHADLO C[C@H](CC(=O)N1c2ccccc2CCC1(C)C)n1cc[nH+]c1 ZINC000566305447 1128541766 /nfs/dbraw/zinc/54/17/66/1128541766.db2.gz WTGVSZQADWTSQN-CQSZACIVSA-N 1 2 297.402 3.592 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(OC)no1)c1cc(F)ccc1F ZINC000925452991 1128546465 /nfs/dbraw/zinc/54/64/65/1128546465.db2.gz KVGDHGFWIDXOQY-TVQRCGJNSA-N 1 2 296.317 3.763 20 0 CHADLO CC(C)[C@H]1[N@H+](Cc2ccc(Br)cn2)CC1(C)C ZINC000567252251 1128560780 /nfs/dbraw/zinc/56/07/80/1128560780.db2.gz KDCMWBCKFDVYCQ-CYBMUJFWSA-N 1 2 297.240 3.711 20 0 CHADLO CC(C)[C@H]1[N@@H+](Cc2ccc(Br)cn2)CC1(C)C ZINC000567252251 1128560781 /nfs/dbraw/zinc/56/07/81/1128560781.db2.gz KDCMWBCKFDVYCQ-CYBMUJFWSA-N 1 2 297.240 3.711 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(C)cc2OC(C)C)no1 ZINC000566768163 1128551129 /nfs/dbraw/zinc/55/11/29/1128551129.db2.gz WWEAKASYOMZMRR-AWEZNQCLSA-N 1 2 288.391 3.929 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cccc(C(F)(F)F)c2)C1 ZINC000566801861 1128551872 /nfs/dbraw/zinc/55/18/72/1128551872.db2.gz KKRCRXZBHRJDHF-GFCCVEGCSA-N 1 2 261.262 3.639 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cccc(C(F)(F)F)c2)C1 ZINC000566801861 1128551875 /nfs/dbraw/zinc/55/18/75/1128551875.db2.gz KKRCRXZBHRJDHF-GFCCVEGCSA-N 1 2 261.262 3.639 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1Cc1sccc1Cl ZINC000567411063 1128564146 /nfs/dbraw/zinc/56/41/46/1128564146.db2.gz VZLLYINXSOQGBZ-LLVKDONJSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1Cc1sccc1Cl ZINC000567411063 1128564147 /nfs/dbraw/zinc/56/41/47/1128564147.db2.gz VZLLYINXSOQGBZ-LLVKDONJSA-N 1 2 273.829 3.648 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2CF)sc1Br ZINC000568141128 1128578985 /nfs/dbraw/zinc/57/89/85/1128578985.db2.gz SEQUGRPHTPNMCP-VIFPVBQESA-N 1 2 292.217 3.753 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2CF)sc1Br ZINC000568141128 1128578987 /nfs/dbraw/zinc/57/89/87/1128578987.db2.gz SEQUGRPHTPNMCP-VIFPVBQESA-N 1 2 292.217 3.753 20 0 CHADLO Cc1cc(NC(=O)c2cc(F)c3ccccc3c2)c(C)c[nH+]1 ZINC000556677046 1128614318 /nfs/dbraw/zinc/61/43/18/1128614318.db2.gz NXJYUMWTQBBPTJ-UHFFFAOYSA-N 1 2 294.329 3.665 20 0 CHADLO CC(C)CC[C@@H](NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC000568199028 1128580522 /nfs/dbraw/zinc/58/05/22/1128580522.db2.gz OFFIWULMXUSXGZ-DZGCQCFKSA-N 1 2 293.455 3.555 20 0 CHADLO CC(C)CC[C@@H](NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)C(C)(C)C ZINC000568199028 1128580524 /nfs/dbraw/zinc/58/05/24/1128580524.db2.gz OFFIWULMXUSXGZ-DZGCQCFKSA-N 1 2 293.455 3.555 20 0 CHADLO CN(C)c1ccc(NCc2ccc(C(F)F)cc2)c[nH+]1 ZINC000131328788 1128614675 /nfs/dbraw/zinc/61/46/75/1128614675.db2.gz HVQIGQSMPZYIND-UHFFFAOYSA-N 1 2 277.318 3.697 20 0 CHADLO CC(C)c1ccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000556687914 1128615028 /nfs/dbraw/zinc/61/50/28/1128615028.db2.gz VKDFXMRAJALVOB-CYBMUJFWSA-N 1 2 271.364 3.596 20 0 CHADLO c1[nH+]c(Cc2ccccc2)cn1C[C@@H]1CCC2(CCC2)O1 ZINC000919157524 1128591942 /nfs/dbraw/zinc/59/19/42/1128591942.db2.gz WBTUZHDOKCYWPQ-KRWDZBQOSA-N 1 2 282.387 3.576 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1nc(C2CCCC2)no1 ZINC000130929840 1128602179 /nfs/dbraw/zinc/60/21/79/1128602179.db2.gz FSJYJYSLGKHINS-CYBMUJFWSA-N 1 2 285.391 3.920 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1nc(C2CCCC2)no1 ZINC000130929840 1128602180 /nfs/dbraw/zinc/60/21/80/1128602180.db2.gz FSJYJYSLGKHINS-CYBMUJFWSA-N 1 2 285.391 3.920 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000131137606 1128607354 /nfs/dbraw/zinc/60/73/54/1128607354.db2.gz VTVAELJYHVJTIB-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000131137606 1128607355 /nfs/dbraw/zinc/60/73/55/1128607355.db2.gz VTVAELJYHVJTIB-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO Cc1cccc([C@@H]2CCCC[N@@H+]2Cc2csnn2)c1C ZINC000131193332 1128608784 /nfs/dbraw/zinc/60/87/84/1128608784.db2.gz AQOIVLYYFAPSLG-INIZCTEOSA-N 1 2 287.432 3.882 20 0 CHADLO Cc1cccc([C@@H]2CCCC[N@H+]2Cc2csnn2)c1C ZINC000131193332 1128608786 /nfs/dbraw/zinc/60/87/86/1128608786.db2.gz AQOIVLYYFAPSLG-INIZCTEOSA-N 1 2 287.432 3.882 20 0 CHADLO CCCc1noc(C[N@H+](C2CC2)[C@H]2CCc3ccccc32)n1 ZINC000072580444 1128623423 /nfs/dbraw/zinc/62/34/23/1128623423.db2.gz NBARHMJFIQXLKJ-INIZCTEOSA-N 1 2 297.402 3.674 20 0 CHADLO CCCc1noc(C[N@@H+](C2CC2)[C@H]2CCc3ccccc32)n1 ZINC000072580444 1128623428 /nfs/dbraw/zinc/62/34/28/1128623428.db2.gz NBARHMJFIQXLKJ-INIZCTEOSA-N 1 2 297.402 3.674 20 0 CHADLO CCCn1cc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000131572824 1128628367 /nfs/dbraw/zinc/62/83/67/1128628367.db2.gz CLVURKFALAZCBT-LBPRGKRZSA-N 1 2 293.361 3.764 20 0 CHADLO CCCn1cc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000131572824 1128628369 /nfs/dbraw/zinc/62/83/69/1128628369.db2.gz CLVURKFALAZCBT-LBPRGKRZSA-N 1 2 293.361 3.764 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)on1 ZINC000572921930 1128649629 /nfs/dbraw/zinc/64/96/29/1128649629.db2.gz MDQQUTJGSJEJOV-SNVBAGLBSA-N 1 2 292.766 3.724 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)on1 ZINC000572921930 1128649631 /nfs/dbraw/zinc/64/96/31/1128649631.db2.gz MDQQUTJGSJEJOV-SNVBAGLBSA-N 1 2 292.766 3.724 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)cc1Cl ZINC000556967009 1128653298 /nfs/dbraw/zinc/65/32/98/1128653298.db2.gz BTSLEEXQJRZITB-SJORKVTESA-N 1 2 291.822 3.792 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)cc1Cl ZINC000556967009 1128653300 /nfs/dbraw/zinc/65/33/00/1128653300.db2.gz BTSLEEXQJRZITB-SJORKVTESA-N 1 2 291.822 3.792 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000574723598 1128663994 /nfs/dbraw/zinc/66/39/94/1128663994.db2.gz ZPJQNYYOBMQMPN-IPYPFGDCSA-N 1 2 295.386 3.760 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+][C@@H](C)c1ccn(C)n1 ZINC000576089285 1128668709 /nfs/dbraw/zinc/66/87/09/1128668709.db2.gz WVDKVTWHXZQODV-KBPBESRZSA-N 1 2 287.407 3.619 20 0 CHADLO C[C@@H]1Cc2ccccc2[C@@H]1[NH2+]Cc1n[nH]c2ccccc21 ZINC000600816190 1128683319 /nfs/dbraw/zinc/68/33/19/1128683319.db2.gz SRSFYIWABPVPMV-KZULUSFZSA-N 1 2 277.371 3.586 20 0 CHADLO CCc1ccc(C[S@@](=O)Cc2ccc(C)[nH+]c2C)cc1 ZINC000600909943 1128686694 /nfs/dbraw/zinc/68/66/94/1128686694.db2.gz QOCYXABGBUNRNZ-HXUWFJFHSA-N 1 2 287.428 3.710 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2ccc(C)c(F)c2)c(C)[nH+]1 ZINC000600909869 1128686921 /nfs/dbraw/zinc/68/69/21/1128686921.db2.gz ZBJNUEJZMDXUOM-HXUWFJFHSA-N 1 2 291.391 3.595 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)Cn1cc(Cl)cn1 ZINC000921185898 1128702372 /nfs/dbraw/zinc/70/23/72/1128702372.db2.gz BDFISMANFYWPFQ-UHFFFAOYSA-N 1 2 284.190 3.670 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)Cn1cc(Cl)cn1 ZINC000921185898 1128702374 /nfs/dbraw/zinc/70/23/74/1128702374.db2.gz BDFISMANFYWPFQ-UHFFFAOYSA-N 1 2 284.190 3.670 20 0 CHADLO Fc1cccc2c1C[N@H+](C[C@@H]1CCC3(CCCC3)O1)C2 ZINC000578161862 1128709822 /nfs/dbraw/zinc/70/98/22/1128709822.db2.gz KWHREMKQSBRMBK-AWEZNQCLSA-N 1 2 275.367 3.633 20 0 CHADLO Fc1cccc2c1C[N@@H+](C[C@@H]1CCC3(CCCC3)O1)C2 ZINC000578161862 1128709824 /nfs/dbraw/zinc/70/98/24/1128709824.db2.gz KWHREMKQSBRMBK-AWEZNQCLSA-N 1 2 275.367 3.633 20 0 CHADLO CC[C@@H](NCc1[nH]c(C)c(C)[nH+]1)c1cc(F)ccc1F ZINC000578767170 1128728188 /nfs/dbraw/zinc/72/81/88/1128728188.db2.gz PRRRYAOAQQLFDF-CQSZACIVSA-N 1 2 279.334 3.546 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)c(C)[nH]1)c1cc(F)ccc1F ZINC000578767170 1128728191 /nfs/dbraw/zinc/72/81/91/1128728191.db2.gz PRRRYAOAQQLFDF-CQSZACIVSA-N 1 2 279.334 3.546 20 0 CHADLO Cc1cccc(Nc2ccnc(C(F)(F)F)c2)[nH+]1 ZINC000039171749 1117751812 /nfs/dbraw/zinc/75/18/12/1117751812.db2.gz LWNGUYLRPPSAQT-UHFFFAOYSA-N 1 2 253.227 3.547 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+]Cc1cc(C(C)C)no1 ZINC000114510433 1117762846 /nfs/dbraw/zinc/76/28/46/1117762846.db2.gz HBXRGWMJCULXDM-UHFFFAOYSA-N 1 2 288.391 3.875 20 0 CHADLO CCn1nc(C[N@H+](C)Cc2ccoc2C)c2ccccc21 ZINC000274629809 1117776340 /nfs/dbraw/zinc/77/63/40/1117776340.db2.gz YTLBAPFLGVJUFP-UHFFFAOYSA-N 1 2 283.375 3.590 20 0 CHADLO CCn1nc(C[N@@H+](C)Cc2ccoc2C)c2ccccc21 ZINC000274629809 1117776343 /nfs/dbraw/zinc/77/63/43/1117776343.db2.gz YTLBAPFLGVJUFP-UHFFFAOYSA-N 1 2 283.375 3.590 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2cc(F)cc(F)c2)cs1 ZINC000339496253 1117809041 /nfs/dbraw/zinc/80/90/41/1117809041.db2.gz QGSJGJDLIBFFQH-MRVPVSSYSA-N 1 2 268.332 3.581 20 0 CHADLO CCc1noc(C[NH2+][C@@H](c2ccc(Cl)cc2)C2CC2)n1 ZINC000623048877 1117809165 /nfs/dbraw/zinc/80/91/65/1117809165.db2.gz DCCKDTABOQCNJD-OAHLLOKOSA-N 1 2 291.782 3.526 20 0 CHADLO CSc1ccc(Nc2[nH+]cccc2N(C)C)c(C)c1 ZINC001215475592 1117818522 /nfs/dbraw/zinc/81/85/22/1117818522.db2.gz ORIOGFJFHSBNFS-UHFFFAOYSA-N 1 2 273.405 3.922 20 0 CHADLO CC(C)C[C@H](C[N@@H+]1C[C@H](O)CC(F)(F)C1)c1ccccc1 ZINC000684405908 1117818842 /nfs/dbraw/zinc/81/88/42/1117818842.db2.gz QKXSEIVXPISVHN-HZPDHXFCSA-N 1 2 297.389 3.518 20 0 CHADLO CC(C)C[C@H](C[N@H+]1C[C@H](O)CC(F)(F)C1)c1ccccc1 ZINC000684405908 1117818847 /nfs/dbraw/zinc/81/88/47/1117818847.db2.gz QKXSEIVXPISVHN-HZPDHXFCSA-N 1 2 297.389 3.518 20 0 CHADLO CCc1noc(C[NH2+][C@@H](c2ccc(Cl)cc2)C(C)C)n1 ZINC000623167650 1117821163 /nfs/dbraw/zinc/82/11/63/1117821163.db2.gz ALRZOQNLYNEPPQ-OAHLLOKOSA-N 1 2 293.798 3.772 20 0 CHADLO Cc1nc(C[NH2+]Cc2csc(C(F)(F)F)c2)cs1 ZINC000623363956 1117842140 /nfs/dbraw/zinc/84/21/40/1117842140.db2.gz MWWRYJQSLZLAIE-UHFFFAOYSA-N 1 2 292.351 3.822 20 0 CHADLO COc1cccc(C[NH2+]C2(C(F)F)CCCCC2)c1 ZINC000510079127 1117844920 /nfs/dbraw/zinc/84/49/20/1117844920.db2.gz LESHDHBRWUCEKG-UHFFFAOYSA-N 1 2 269.335 3.753 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc(C(F)(F)F)s2)cs1 ZINC000683201167 1117866469 /nfs/dbraw/zinc/86/64/69/1117866469.db2.gz LXWLSAPEMVEOIQ-UHFFFAOYSA-N 1 2 292.351 3.822 20 0 CHADLO CC/C=C\CCCCC[N@@H+]1CCO[C@@H](C(F)(F)F)C1 ZINC001208194593 1117868073 /nfs/dbraw/zinc/86/80/73/1117868073.db2.gz QMFGIKUJBBJOGB-DHCBQETCSA-N 1 2 279.346 3.776 20 0 CHADLO CC/C=C\CCCCC[N@H+]1CCO[C@@H](C(F)(F)F)C1 ZINC001208194593 1117868078 /nfs/dbraw/zinc/86/80/78/1117868078.db2.gz QMFGIKUJBBJOGB-DHCBQETCSA-N 1 2 279.346 3.776 20 0 CHADLO Nc1[nH+]c(N2CC[C@H](C3CCCCC3)C2)ccc1Cl ZINC001167067267 1117868861 /nfs/dbraw/zinc/86/88/61/1117868861.db2.gz CLYDAWMMPYNSLL-LBPRGKRZSA-N 1 2 279.815 3.724 20 0 CHADLO Cc1cccn2c(CNc3ccc(C4CCC4)c[nH+]3)cnc12 ZINC000339565473 1117879619 /nfs/dbraw/zinc/87/96/19/1117879619.db2.gz RRKJTMDVKMEAIN-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+]Cc1ncc(C)s1 ZINC000579224676 1128741679 /nfs/dbraw/zinc/74/16/79/1128741679.db2.gz XNXAHJSPIGJIIY-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@@H](C)c1ccoc1)c1ccco1 ZINC000178357384 1117892084 /nfs/dbraw/zinc/89/20/84/1117892084.db2.gz RXDXJFKASGSFSE-DZGCQCFKSA-N 1 2 277.364 3.937 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@H](C)c1ccoc1)c1ccco1 ZINC000178357408 1117892130 /nfs/dbraw/zinc/89/21/30/1117892130.db2.gz RXDXJFKASGSFSE-UKRRQHHQSA-N 1 2 277.364 3.937 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(Cc2ccccc2)no1)c1ccccc1 ZINC000109079480 1117895165 /nfs/dbraw/zinc/89/51/65/1117895165.db2.gz CKJRIFQKMSOWSD-CQSZACIVSA-N 1 2 293.370 3.511 20 0 CHADLO c1ccc(C2([NH2+]Cc3nc(C4CCCC4)no3)CCC2)cc1 ZINC000178745817 1117895923 /nfs/dbraw/zinc/89/59/23/1117895923.db2.gz PGVOZYNRYBCCTQ-UHFFFAOYSA-N 1 2 297.402 3.896 20 0 CHADLO CCC(CC)n1nc(C)cc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000180693919 1117938644 /nfs/dbraw/zinc/93/86/44/1117938644.db2.gz UEMSMAZOVYXMRG-UHFFFAOYSA-N 1 2 286.379 3.508 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cn(C(C)C)nn1)C(C)(C)C2 ZINC000339633187 1117943190 /nfs/dbraw/zinc/94/31/90/1117943190.db2.gz DCVSTJKNRRBVFI-UHFFFAOYSA-N 1 2 298.434 3.504 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cn(C(C)C)nn1)C(C)(C)C2 ZINC000339633187 1117943195 /nfs/dbraw/zinc/94/31/95/1117943195.db2.gz DCVSTJKNRRBVFI-UHFFFAOYSA-N 1 2 298.434 3.504 20 0 CHADLO Cc1ccc(C[NH2+]C2(c3c(F)cccc3F)CCC2)nc1 ZINC000623844344 1117944750 /nfs/dbraw/zinc/94/47/50/1117944750.db2.gz RQUAHQLKFDWSQC-UHFFFAOYSA-N 1 2 288.341 3.837 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccc(F)c2)C2CCC2)oc1C ZINC000932406997 1117956086 /nfs/dbraw/zinc/95/60/86/1117956086.db2.gz NQJSNEONQOPRBL-UHFFFAOYSA-N 1 2 288.366 3.985 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccc(F)c2)C2CCC2)oc1C ZINC000932406997 1117956091 /nfs/dbraw/zinc/95/60/91/1117956091.db2.gz NQJSNEONQOPRBL-UHFFFAOYSA-N 1 2 288.366 3.985 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2CCOc3c(F)cccc32)n1 ZINC000623966913 1117962989 /nfs/dbraw/zinc/96/29/89/1117962989.db2.gz FZZGSVRWXGAAOT-GWCFXTLKSA-N 1 2 292.379 3.765 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H]2CCCc3scnc32)o1 ZINC000623988455 1117964368 /nfs/dbraw/zinc/96/43/68/1117964368.db2.gz KPZQGGQZTHGWFA-NSHDSACASA-N 1 2 291.420 3.559 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(F)c2)nc2ccccc12 ZINC000024368650 1117969958 /nfs/dbraw/zinc/96/99/58/1117969958.db2.gz ALMIMDNUEIOZDA-UHFFFAOYSA-N 1 2 295.361 3.709 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(F)c2)nc2ccccc12 ZINC000024368650 1117969960 /nfs/dbraw/zinc/96/99/60/1117969960.db2.gz ALMIMDNUEIOZDA-UHFFFAOYSA-N 1 2 295.361 3.709 20 0 CHADLO COc1ccc[nH+]c1NC[C@@H](OC)c1ccccc1Cl ZINC000624039537 1117973906 /nfs/dbraw/zinc/97/39/06/1117973906.db2.gz NREKCVGVUZKUHI-CQSZACIVSA-N 1 2 292.766 3.543 20 0 CHADLO Fc1cc(F)cc(CC[N@@H+]2CCCC(F)(F)[C@@H](F)C2)c1 ZINC001209127792 1118000609 /nfs/dbraw/zinc/00/06/09/1118000609.db2.gz GRFZGBGJJSAZRF-ZDUSSCGKSA-N 1 2 293.279 3.577 20 0 CHADLO Fc1cc(F)cc(CC[N@H+]2CCCC(F)(F)[C@@H](F)C2)c1 ZINC001209127792 1118000614 /nfs/dbraw/zinc/00/06/14/1118000614.db2.gz GRFZGBGJJSAZRF-ZDUSSCGKSA-N 1 2 293.279 3.577 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@]12C[C@@H]1CCC2 ZINC000579461259 1128749144 /nfs/dbraw/zinc/74/91/44/1128749144.db2.gz GHUXKBDGZDRUOP-GUYCJALGSA-N 1 2 281.359 3.514 20 0 CHADLO CC(C)c1nc(C[NH2+][C@H]2CCc3ccc(O)cc32)cs1 ZINC000705575010 1118008291 /nfs/dbraw/zinc/00/82/91/1118008291.db2.gz ZKEPWOJVIUQVTB-HNNXBMFYSA-N 1 2 288.416 3.749 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(CCC(C)C)no2)cc1 ZINC000182322883 1118019227 /nfs/dbraw/zinc/01/92/27/1118019227.db2.gz JKILVRVYYZLLRI-AWEZNQCLSA-N 1 2 287.407 3.817 20 0 CHADLO Cc1cc2c(cn1)CN(c1[nH+]ccc3c(C)cccc31)C2 ZINC001167109906 1118024724 /nfs/dbraw/zinc/02/47/24/1118024724.db2.gz MMOOWXCDBPQYJT-UHFFFAOYSA-N 1 2 275.355 3.767 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(Cl)nc(Cl)c2)CCS1 ZINC000083419266 1118027235 /nfs/dbraw/zinc/02/72/35/1118027235.db2.gz FKFAGWBRJKTZAE-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(Cl)nc(Cl)c2)CCS1 ZINC000083419266 1118027237 /nfs/dbraw/zinc/02/72/37/1118027237.db2.gz FKFAGWBRJKTZAE-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2csc(CC)n2)s1 ZINC000084024191 1118027838 /nfs/dbraw/zinc/02/78/38/1118027838.db2.gz BGRJZECQLRCTOZ-VIFPVBQESA-N 1 2 281.450 3.575 20 0 CHADLO CCc1ccc(C(=O)Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC000045859956 1118028966 /nfs/dbraw/zinc/02/89/66/1118028966.db2.gz RDOCESGDPMBIJL-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ncc(C(C)C)s2)CCS1 ZINC000183083144 1118038220 /nfs/dbraw/zinc/03/82/20/1118038220.db2.gz NZQWFJVVYPDPEB-NSHDSACASA-N 1 2 270.467 3.594 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ncc(C(C)C)s2)CCS1 ZINC000183083144 1118038225 /nfs/dbraw/zinc/03/82/25/1118038225.db2.gz NZQWFJVVYPDPEB-NSHDSACASA-N 1 2 270.467 3.594 20 0 CHADLO Fc1ccc2c(c1)[C@@H](Nc1ccc(N3CCCC3)[nH+]c1)CC2 ZINC000183148752 1118039453 /nfs/dbraw/zinc/03/94/53/1118039453.db2.gz UTORBXZMRXSVBO-KRWDZBQOSA-N 1 2 297.377 3.920 20 0 CHADLO Cc1cccc2ccc(N3CCC(n4cc[nH+]c4)CC3)nc12 ZINC001167126960 1118042183 /nfs/dbraw/zinc/04/21/83/1118042183.db2.gz YVYSNQHRIRLGAK-UHFFFAOYSA-N 1 2 292.386 3.581 20 0 CHADLO Cc1cncc(C[NH2+][C@@H](c2ccco2)c2ccccc2)c1 ZINC000183821114 1118049242 /nfs/dbraw/zinc/04/92/42/1118049242.db2.gz AYZQATIZGBCAJA-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@@H](C)c1cccnc1Cl)CO2 ZINC000090452509 1118062724 /nfs/dbraw/zinc/06/27/24/1118062724.db2.gz KIPMDVLWIBSOPV-SMDDNHRTSA-N 1 2 288.778 3.828 20 0 CHADLO Cc1cc(NC(=O)CCc2cccc3ccccc32)cc[nH+]1 ZINC001127730005 1118070211 /nfs/dbraw/zinc/07/02/11/1118070211.db2.gz IFCQRVZOKFIFHY-UHFFFAOYSA-N 1 2 290.366 3.536 20 0 CHADLO Cc1cc(NC(=O)c2cc(Cl)cc(Cl)c2F)cc[nH+]1 ZINC001127730391 1118070583 /nfs/dbraw/zinc/07/05/83/1118070583.db2.gz NZRNRYQGHQOSLS-UHFFFAOYSA-N 1 2 299.132 3.510 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2ccc(OC(F)F)cc2)c1 ZINC000404521687 1118073066 /nfs/dbraw/zinc/07/30/66/1118073066.db2.gz PYFLHWSXYROIMO-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@@H+]1Cc1cncs1 ZINC001209550598 1118079029 /nfs/dbraw/zinc/07/90/29/1118079029.db2.gz JWMRPXNPVZNWQK-ZDUSSCGKSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@H+]1Cc1cncs1 ZINC001209550598 1118079033 /nfs/dbraw/zinc/07/90/33/1118079033.db2.gz JWMRPXNPVZNWQK-ZDUSSCGKSA-N 1 2 280.343 3.758 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC000932556192 1118101690 /nfs/dbraw/zinc/10/16/90/1118101690.db2.gz LTGJUPRUABMISR-LLVKDONJSA-N 1 2 291.370 3.665 20 0 CHADLO C/C=C(/C=C\C(=O)Nc1cccc(-n2cc[nH+]c2)c1)CC ZINC000764900430 1118125130 /nfs/dbraw/zinc/12/51/30/1118125130.db2.gz ASQADVUAZSCEAX-COGSIAPQSA-N 1 2 281.359 3.723 20 0 CHADLO C[N@H+](CCC(C)(C)C1CC1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660768212 1118126177 /nfs/dbraw/zinc/12/61/77/1118126177.db2.gz QOYDJPHVTPHIAJ-MRXNPFEDSA-N 1 2 298.434 3.652 20 0 CHADLO C[N@@H+](CCC(C)(C)C1CC1)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660768212 1118126180 /nfs/dbraw/zinc/12/61/80/1118126180.db2.gz QOYDJPHVTPHIAJ-MRXNPFEDSA-N 1 2 298.434 3.652 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccncc2Cl)CC2(CCCCC2)O1 ZINC000653903274 1118127678 /nfs/dbraw/zinc/12/76/78/1118127678.db2.gz CNOBQUUDJVPFCA-CYBMUJFWSA-N 1 2 294.826 3.659 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccncc2Cl)CC2(CCCCC2)O1 ZINC000653903274 1118127680 /nfs/dbraw/zinc/12/76/80/1118127680.db2.gz CNOBQUUDJVPFCA-CYBMUJFWSA-N 1 2 294.826 3.659 20 0 CHADLO Cc1cccc(CO)c1Nc1ccc([NH+](C)C)c(C)c1 ZINC001210043828 1118142696 /nfs/dbraw/zinc/14/26/96/1118142696.db2.gz GXSIRIAVPZWIIW-UHFFFAOYSA-N 1 2 270.376 3.605 20 0 CHADLO Cc1cc(O)ccc1Nc1ccc([NH+](C)C)c(C)c1 ZINC001210043553 1118142953 /nfs/dbraw/zinc/14/29/53/1118142953.db2.gz OVSJHEYFXLAXGE-UHFFFAOYSA-N 1 2 256.349 3.819 20 0 CHADLO C/C=C(/C=C\C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)CC ZINC000765361368 1118146629 /nfs/dbraw/zinc/14/66/29/1118146629.db2.gz MWOYGRUOTGDKFN-FTJYNOGPSA-N 1 2 281.359 3.928 20 0 CHADLO CC(C)n1ccnc1C[N@@H+](Cc1cccc(O)c1)CC1CC1 ZINC000171991573 1118164315 /nfs/dbraw/zinc/16/43/15/1118164315.db2.gz KFVCZTYXNSEWTP-UHFFFAOYSA-N 1 2 299.418 3.582 20 0 CHADLO CC(C)n1ccnc1C[N@H+](Cc1cccc(O)c1)CC1CC1 ZINC000171991573 1118164316 /nfs/dbraw/zinc/16/43/16/1118164316.db2.gz KFVCZTYXNSEWTP-UHFFFAOYSA-N 1 2 299.418 3.582 20 0 CHADLO Cc1nc(C)c([C@H](C)[N@@H+](C)Cc2ccn(C(C)C)n2)s1 ZINC000172014817 1118168220 /nfs/dbraw/zinc/16/82/20/1118168220.db2.gz OAOVOZAUIKHOPD-LBPRGKRZSA-N 1 2 292.452 3.730 20 0 CHADLO Cc1nc(C)c([C@H](C)[N@H+](C)Cc2ccn(C(C)C)n2)s1 ZINC000172014817 1118168221 /nfs/dbraw/zinc/16/82/21/1118168221.db2.gz OAOVOZAUIKHOPD-LBPRGKRZSA-N 1 2 292.452 3.730 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2cnn(C(C)C)c2)c(C)s1 ZINC000676489943 1118173446 /nfs/dbraw/zinc/17/34/46/1118173446.db2.gz NAVOIBAPSYGUJH-WDEREUQCSA-N 1 2 292.452 3.949 20 0 CHADLO Clc1cccc(C[NH2+][C@H]2CCCn3ccnc32)c1Cl ZINC000655703201 1118188943 /nfs/dbraw/zinc/18/89/43/1118188943.db2.gz BQSQYDORIGRKRH-LBPRGKRZSA-N 1 2 296.201 3.815 20 0 CHADLO COc1cc(C)ccc1CNc1cc(C)c[nH+]c1C ZINC000650242628 1118189547 /nfs/dbraw/zinc/18/95/47/1118189547.db2.gz SIHJOZIHWOTYEY-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO C[C@H]1CC[C@H](Nc2ccc(-n3cc[nH+]c3)c(F)c2)CS1 ZINC000655750165 1118203583 /nfs/dbraw/zinc/20/35/83/1118203583.db2.gz MSPDAEOCWHHINF-AAEUAGOBSA-N 1 2 291.395 3.707 20 0 CHADLO Clc1ccccc1C1([NH2+][C@@H]2CCCn3ccnc32)CC1 ZINC000655779814 1118210237 /nfs/dbraw/zinc/21/02/37/1118210237.db2.gz RNMNZQLIKMFNOZ-CQSZACIVSA-N 1 2 287.794 3.650 20 0 CHADLO CNc1ccc(Nc2cc3oc(C)nc3cc2Cl)c[nH+]1 ZINC001203458269 1118220706 /nfs/dbraw/zinc/22/07/06/1118220706.db2.gz IGUOJTYCRQFOQD-UHFFFAOYSA-N 1 2 288.738 3.970 20 0 CHADLO COc1c(C)cccc1C[NH2+]Cc1csc(C2CC2)n1 ZINC000655832343 1118226408 /nfs/dbraw/zinc/22/64/08/1118226408.db2.gz SZZUCCKMDQSSTK-UHFFFAOYSA-N 1 2 288.416 3.627 20 0 CHADLO Cc1[nH+]cc(Nc2csc3ccccc23)cc1N ZINC001210287076 1118233302 /nfs/dbraw/zinc/23/33/02/1118233302.db2.gz KVWCUPYEAVDMRO-UHFFFAOYSA-N 1 2 255.346 3.931 20 0 CHADLO CCCOc1ccccc1Nc1ccc(NC)[nH+]c1 ZINC001203459035 1118233814 /nfs/dbraw/zinc/23/38/14/1118233814.db2.gz DCZLUFWNLXLGQY-UHFFFAOYSA-N 1 2 257.337 3.656 20 0 CHADLO Cc1cc([NH2+][C@@H](C2CCC2)[C@@H]2CCOC2)ccc1N(C)C ZINC000926052404 1118234806 /nfs/dbraw/zinc/23/48/06/1118234806.db2.gz FTIIOXOGDVMUQQ-QAPCUYQASA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@@H](C2CCC2)[C@@H]2CCOC2)ccc1[NH+](C)C ZINC000926052404 1118234809 /nfs/dbraw/zinc/23/48/09/1118234809.db2.gz FTIIOXOGDVMUQQ-QAPCUYQASA-N 1 2 288.435 3.678 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2OC(C)C)cc1N ZINC001210287958 1118235429 /nfs/dbraw/zinc/23/54/29/1118235429.db2.gz IZZLMTIUJNFGQT-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO CCC[N@H+](Cc1nc(CC(C)C)no1)Cc1ccccc1 ZINC000042668091 1118238021 /nfs/dbraw/zinc/23/80/21/1118238021.db2.gz SFPJLYLMPVHOBP-UHFFFAOYSA-N 1 2 287.407 3.680 20 0 CHADLO CCC[N@@H+](Cc1nc(CC(C)C)no1)Cc1ccccc1 ZINC000042668091 1118238028 /nfs/dbraw/zinc/23/80/28/1118238028.db2.gz SFPJLYLMPVHOBP-UHFFFAOYSA-N 1 2 287.407 3.680 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2ccc(Cl)cc2)no1 ZINC000044894433 1118251498 /nfs/dbraw/zinc/25/14/98/1118251498.db2.gz BSBPGMOOCVJJLR-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2ccc(Cl)cc2)no1 ZINC000044894433 1118251501 /nfs/dbraw/zinc/25/15/01/1118251501.db2.gz BSBPGMOOCVJJLR-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO c1n[nH]c([C@H]([NH2+]C[C@@H]2CCCC23CC3)C2CCCCC2)n1 ZINC000624316985 1118258331 /nfs/dbraw/zinc/25/83/31/1118258331.db2.gz VPLSAIBLNXWVGV-LSDHHAIUSA-N 1 2 288.439 3.596 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)cc1C ZINC000047533673 1118270048 /nfs/dbraw/zinc/27/00/48/1118270048.db2.gz KMDYTGBRKJWIAO-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1cc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)ccc1F ZINC000077165788 1118283161 /nfs/dbraw/zinc/28/31/61/1118283161.db2.gz CTVFGPLLKLJPEL-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CN(Cc1ccc(Br)s1)c1cccc[nH+]1 ZINC000060462639 1118299523 /nfs/dbraw/zinc/29/95/23/1118299523.db2.gz MGASPKXVWGZYBU-UHFFFAOYSA-N 1 2 283.194 3.542 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@@H](C)c1ccncc1F ZINC000340493623 1118306644 /nfs/dbraw/zinc/30/66/44/1118306644.db2.gz ZEEPTHHYCHNPPG-QWRGUYRKSA-N 1 2 292.329 3.780 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cccc3cccnc32)cs1 ZINC000063593961 1118318527 /nfs/dbraw/zinc/31/85/27/1118318527.db2.gz BZZKEJANTDVPBV-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cccc3cccnc32)cs1 ZINC000063593961 1118318531 /nfs/dbraw/zinc/31/85/31/1118318531.db2.gz BZZKEJANTDVPBV-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2)oc1C ZINC000064140208 1118323005 /nfs/dbraw/zinc/32/30/05/1118323005.db2.gz AIGJXVJPAZMZDG-OAHLLOKOSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2)oc1C ZINC000064140208 1118323009 /nfs/dbraw/zinc/32/30/09/1118323009.db2.gz AIGJXVJPAZMZDG-OAHLLOKOSA-N 1 2 274.339 3.768 20 0 CHADLO C[N@H+](CCOc1cccc2ccccc21)Cc1cscn1 ZINC000064954389 1118323312 /nfs/dbraw/zinc/32/33/12/1118323312.db2.gz SDPBJEOQUUKIOY-UHFFFAOYSA-N 1 2 298.411 3.807 20 0 CHADLO C[N@@H+](CCOc1cccc2ccccc21)Cc1cscn1 ZINC000064954389 1118323315 /nfs/dbraw/zinc/32/33/15/1118323315.db2.gz SDPBJEOQUUKIOY-UHFFFAOYSA-N 1 2 298.411 3.807 20 0 CHADLO CCCCc1nc(C[N@H+](C2CC2)C2CCC(C)CC2)no1 ZINC000064932122 1118330110 /nfs/dbraw/zinc/33/01/10/1118330110.db2.gz OYGAVIBIILINTQ-UHFFFAOYSA-N 1 2 291.439 3.955 20 0 CHADLO CCCCc1nc(C[N@@H+](C2CC2)C2CCC(C)CC2)no1 ZINC000064932122 1118330115 /nfs/dbraw/zinc/33/01/15/1118330115.db2.gz OYGAVIBIILINTQ-UHFFFAOYSA-N 1 2 291.439 3.955 20 0 CHADLO C[N@H+](Cc1ccc(F)nc1)Cc1ccccc1Cl ZINC000189283171 1128770548 /nfs/dbraw/zinc/77/05/48/1128770548.db2.gz VENHETXYFNEUKF-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccc(F)nc1)Cc1ccccc1Cl ZINC000189283171 1128770551 /nfs/dbraw/zinc/77/05/51/1128770551.db2.gz VENHETXYFNEUKF-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO CC(=O)c1cc(Nc2cc[nH+]c3ccc(Cl)cc23)ccn1 ZINC001210590108 1118339889 /nfs/dbraw/zinc/33/98/89/1118339889.db2.gz HAJUISQZHWAXRD-UHFFFAOYSA-N 1 2 297.745 3.651 20 0 CHADLO Cc1cc(N[C@@H]2CSC[C@H]2C)[nH+]c2ccccc12 ZINC000397785778 1118348290 /nfs/dbraw/zinc/34/82/90/1118348290.db2.gz FVMQQIGPHTUUKA-BXUZGUMPSA-N 1 2 258.390 3.707 20 0 CHADLO Cc1nc(C2([NH2+]Cc3ccc(C)cc3C)CCCCC2)no1 ZINC000067695226 1118354019 /nfs/dbraw/zinc/35/40/19/1118354019.db2.gz UBZUSYYKTNXDCV-UHFFFAOYSA-N 1 2 299.418 3.944 20 0 CHADLO Fc1ccc2oc(Nc3cccc4[nH+]ccn43)cc2c1 ZINC001210724787 1118368181 /nfs/dbraw/zinc/36/81/81/1118368181.db2.gz JSMZYZGYZUCOCO-UHFFFAOYSA-N 1 2 267.263 3.963 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+][C@H](C)c2c(F)cncc2F)s1 ZINC000671770909 1118370985 /nfs/dbraw/zinc/37/09/85/1118370985.db2.gz XTMAHUZYLALQIY-HTQZYQBOSA-N 1 2 283.347 3.537 20 0 CHADLO Cc1cn2cc(NC(=O)N[C@H](C)c3ccccc3)ccc2[nH+]1 ZINC000340596169 1118374789 /nfs/dbraw/zinc/37/47/89/1118374789.db2.gz ZVMYLPZERQGNST-CYBMUJFWSA-N 1 2 294.358 3.525 20 0 CHADLO CCC(C)(C)CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000073009434 1118378326 /nfs/dbraw/zinc/37/83/26/1118378326.db2.gz JVNOPYOIPKVKNK-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO C[N@@H+]1CCCc2cc(NC3CC(OC(C)(C)C)C3)ccc21 ZINC000779171685 1128773929 /nfs/dbraw/zinc/77/39/29/1128773929.db2.gz UXXKMWKWLQVAOP-UHFFFAOYSA-N 1 2 288.435 3.827 20 0 CHADLO C[N@H+]1CCCc2cc(NC3CC(OC(C)(C)C)C3)ccc21 ZINC000779171685 1128773934 /nfs/dbraw/zinc/77/39/34/1128773934.db2.gz UXXKMWKWLQVAOP-UHFFFAOYSA-N 1 2 288.435 3.827 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+](Cc2ccno2)C2CC2)o1 ZINC000073871813 1118384371 /nfs/dbraw/zinc/38/43/71/1118384371.db2.gz MMOZEZDGRHJHJD-ABAIWWIYSA-N 1 2 272.348 3.556 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+](Cc2ccno2)C2CC2)o1 ZINC000073871813 1118384373 /nfs/dbraw/zinc/38/43/73/1118384373.db2.gz MMOZEZDGRHJHJD-ABAIWWIYSA-N 1 2 272.348 3.556 20 0 CHADLO COc1cc(Nc2ccc(F)c3cnccc23)cc(C)[nH+]1 ZINC001210780985 1118384649 /nfs/dbraw/zinc/38/46/49/1118384649.db2.gz VAPHPBIXPNMZEZ-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[N@H+](Cc2ccno2)C2CC2)o1 ZINC000073871818 1118384704 /nfs/dbraw/zinc/38/47/04/1118384704.db2.gz MMOZEZDGRHJHJD-XHDPSFHLSA-N 1 2 272.348 3.556 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[N@@H+](Cc2ccno2)C2CC2)o1 ZINC000073871818 1118384708 /nfs/dbraw/zinc/38/47/08/1118384708.db2.gz MMOZEZDGRHJHJD-XHDPSFHLSA-N 1 2 272.348 3.556 20 0 CHADLO COc1cc(Nc2ccc3c(c2)[C@H](O)CCC3)cc(C)[nH+]1 ZINC001210781048 1118384999 /nfs/dbraw/zinc/38/49/99/1118384999.db2.gz VXWIPCGFIIWYRG-MRXNPFEDSA-N 1 2 284.359 3.512 20 0 CHADLO Oc1ccc(F)c(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001210798552 1118394426 /nfs/dbraw/zinc/39/44/26/1118394426.db2.gz GDMREJXLQINWDF-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Fc1cc(C[NH+]2CC(c3ccccc3)C2)cc(F)c1F ZINC001204529818 1118409268 /nfs/dbraw/zinc/40/92/68/1118409268.db2.gz QCSIKIBVQOQRPN-UHFFFAOYSA-N 1 2 277.289 3.703 20 0 CHADLO COc1c(C)c[nH+]c(CN2CCCc3ccc(C)cc32)c1C ZINC001167376790 1118416236 /nfs/dbraw/zinc/41/62/36/1118416236.db2.gz MLFGGNJEDDLJJI-UHFFFAOYSA-N 1 2 296.414 3.968 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncc(C)o2)cc1 ZINC000220900016 1118418954 /nfs/dbraw/zinc/41/89/54/1118418954.db2.gz LQQPYOZUXMJKQK-OLZOCXBDSA-N 1 2 274.364 3.794 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@H](C)c2ncc(C)o2)cc1 ZINC000220900206 1118419208 /nfs/dbraw/zinc/41/92/08/1118419208.db2.gz LQQPYOZUXMJKQK-QWHCGFSZSA-N 1 2 274.364 3.794 20 0 CHADLO C[C@H]1CSCC[N@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000276879722 1118430214 /nfs/dbraw/zinc/43/02/14/1118430214.db2.gz MJSQJNRYOMVLTL-SECBINFHSA-N 1 2 291.247 3.573 20 0 CHADLO C[C@H]1CSCC[N@@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000276879722 1118430215 /nfs/dbraw/zinc/43/02/15/1118430215.db2.gz MJSQJNRYOMVLTL-SECBINFHSA-N 1 2 291.247 3.573 20 0 CHADLO CCOc1ccc(F)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001211050685 1118446131 /nfs/dbraw/zinc/44/61/31/1118446131.db2.gz MKISXPCQAVHCRP-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1ccc2oc(NCCc3[nH+]cc(C)cc3C)nc2c1 ZINC000656211404 1118448303 /nfs/dbraw/zinc/44/83/03/1118448303.db2.gz YUPRUUXAACQJMO-UHFFFAOYSA-N 1 2 281.359 3.803 20 0 CHADLO C[C@@H](C1CC1)[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000782183338 1118449034 /nfs/dbraw/zinc/44/90/34/1118449034.db2.gz KFOJGURSUMPYLX-BONVTDFDSA-N 1 2 283.774 3.651 20 0 CHADLO C[C@@H](C1CC1)[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000782183338 1118449036 /nfs/dbraw/zinc/44/90/36/1118449036.db2.gz KFOJGURSUMPYLX-BONVTDFDSA-N 1 2 283.774 3.651 20 0 CHADLO CC(C)c1nsc(C[NH+]2CCC(C(C)C)CC2)n1 ZINC001167402558 1118457647 /nfs/dbraw/zinc/45/76/47/1118457647.db2.gz ZRJSNZQPDXQPCO-UHFFFAOYSA-N 1 2 267.442 3.530 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ccc(C)cc2Cl)C[C@H](C)O1 ZINC001167420379 1118481399 /nfs/dbraw/zinc/48/13/99/1118481399.db2.gz CIUKPAOQHRTXAN-JSGCOSHPSA-N 1 2 267.800 3.648 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ccc(C)cc2Cl)C[C@H](C)O1 ZINC001167420379 1118481402 /nfs/dbraw/zinc/48/14/02/1118481402.db2.gz CIUKPAOQHRTXAN-JSGCOSHPSA-N 1 2 267.800 3.648 20 0 CHADLO FC1(F)CC12C[NH+](Cc1cnc(-c3cccs3)s1)C2 ZINC000656265813 1118486723 /nfs/dbraw/zinc/48/67/23/1118486723.db2.gz JQEICBNPAABZBO-UHFFFAOYSA-N 1 2 298.383 3.713 20 0 CHADLO Cc1csc(CCNc2cc(C(F)(F)F)cc[nH+]2)n1 ZINC000078612411 1118498132 /nfs/dbraw/zinc/49/81/32/1118498132.db2.gz OGABLSHJXHCJHI-UHFFFAOYSA-N 1 2 287.310 3.520 20 0 CHADLO CC[N@H+](Cc1nc(C2CCC2)no1)Cc1ccc(C)cc1 ZINC000639463489 1118511883 /nfs/dbraw/zinc/51/18/83/1118511883.db2.gz SNNOWQFFZSXEOP-UHFFFAOYSA-N 1 2 285.391 3.668 20 0 CHADLO CC[N@@H+](Cc1nc(C2CCC2)no1)Cc1ccc(C)cc1 ZINC000639463489 1118511885 /nfs/dbraw/zinc/51/18/85/1118511885.db2.gz SNNOWQFFZSXEOP-UHFFFAOYSA-N 1 2 285.391 3.668 20 0 CHADLO CC(=O)N1CCCc2ccc(Nc3c[nH+]c(C)cc3C)cc21 ZINC001213518648 1118517988 /nfs/dbraw/zinc/51/79/88/1118517988.db2.gz ZPXFLSWGUDXAIM-UHFFFAOYSA-N 1 2 295.386 3.741 20 0 CHADLO CCCc1noc(C[N@H+](C)[C@@H]2CCCc3ccccc32)n1 ZINC000627655563 1118527376 /nfs/dbraw/zinc/52/73/76/1118527376.db2.gz WQGXPUKPQSWXCZ-OAHLLOKOSA-N 1 2 285.391 3.532 20 0 CHADLO CCCc1noc(C[N@@H+](C)[C@@H]2CCCc3ccccc32)n1 ZINC000627655563 1118527379 /nfs/dbraw/zinc/52/73/79/1118527379.db2.gz WQGXPUKPQSWXCZ-OAHLLOKOSA-N 1 2 285.391 3.532 20 0 CHADLO Cc1ccc(OCCC[N@@H+](C)Cc2cscn2)cc1C ZINC000063591787 1118533177 /nfs/dbraw/zinc/53/31/77/1118533177.db2.gz AFSIEWIGCXZQNS-UHFFFAOYSA-N 1 2 290.432 3.661 20 0 CHADLO Cc1ccc(OCCC[N@H+](C)Cc2cscn2)cc1C ZINC000063591787 1118533178 /nfs/dbraw/zinc/53/31/78/1118533178.db2.gz AFSIEWIGCXZQNS-UHFFFAOYSA-N 1 2 290.432 3.661 20 0 CHADLO CC(C)C[C@H]1CC[N@H+](Cc2csc(Cl)n2)C1 ZINC000307807980 1118534245 /nfs/dbraw/zinc/53/42/45/1118534245.db2.gz CCMSSHXJXBPMKG-SNVBAGLBSA-N 1 2 258.818 3.665 20 0 CHADLO CC(C)C[C@H]1CC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000307807980 1118534247 /nfs/dbraw/zinc/53/42/47/1118534247.db2.gz CCMSSHXJXBPMKG-SNVBAGLBSA-N 1 2 258.818 3.665 20 0 CHADLO COC(=O)c1ccc(Cl)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213528383 1118540512 /nfs/dbraw/zinc/54/05/12/1118540512.db2.gz CUZUGSVXADVAIE-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3nnc(C(C)C)n3c2)c1C ZINC001213528658 1118542322 /nfs/dbraw/zinc/54/23/22/1118542322.db2.gz KIEZUTBMKXJACW-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1c[nH+]cc(C)c1C ZINC001213529266 1118543745 /nfs/dbraw/zinc/54/37/45/1118543745.db2.gz ZWRJAKIBMZIHQB-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1c[nH+]cc(N(C)c2cc(Cl)ccc2N)c1C ZINC001213532505 1118550725 /nfs/dbraw/zinc/55/07/25/1118550725.db2.gz LUZJXXVPZRXVGW-UHFFFAOYSA-N 1 2 261.756 3.702 20 0 CHADLO CCc1sc(-c2nnc(C[NH+]3[C@@H](C)C[C@@H]3C)o2)cc1C ZINC000684209124 1118568765 /nfs/dbraw/zinc/56/87/65/1118568765.db2.gz YYKWCBQHBUSXAZ-QWRGUYRKSA-N 1 2 291.420 3.652 20 0 CHADLO CC[C@@H]1C[NH+](Cc2ccc(C#N)cc2Cl)C[C@@H](CC)O1 ZINC000398514837 1118570532 /nfs/dbraw/zinc/57/05/32/1118570532.db2.gz JWMIXDAEBROHCI-HUUCEWRRSA-N 1 2 292.810 3.601 20 0 CHADLO FC1(F)CC[N@H+](C[C@H]2C[C@@H]2c2ccc(Cl)cc2)C1 ZINC001207178062 1118586313 /nfs/dbraw/zinc/58/63/13/1118586313.db2.gz UADLGULYULZPJP-DGCLKSJQSA-N 1 2 271.738 3.785 20 0 CHADLO FC1(F)CC[N@@H+](C[C@H]2C[C@@H]2c2ccc(Cl)cc2)C1 ZINC001207178062 1118586314 /nfs/dbraw/zinc/58/63/14/1118586314.db2.gz UADLGULYULZPJP-DGCLKSJQSA-N 1 2 271.738 3.785 20 0 CHADLO c1cc(CNc2[nH+]c3ccccc3n2C2CCCCC2)n[nH]1 ZINC000124026732 1118586551 /nfs/dbraw/zinc/58/65/51/1118586551.db2.gz SHPNLHSVEAGDDR-UHFFFAOYSA-N 1 2 295.390 3.877 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(OC3CCCC3)c2)n1C ZINC000341154062 1118607265 /nfs/dbraw/zinc/60/72/65/1118607265.db2.gz TWRMEJHCFRYXAR-UHFFFAOYSA-N 1 2 285.391 3.662 20 0 CHADLO CC[C@@H]1CCCC[C@H]1c1noc(C[N@H+](CC)C2CC2)n1 ZINC000341168260 1118610080 /nfs/dbraw/zinc/61/00/80/1118610080.db2.gz FSQLDHRBTHLABM-TZMCWYRMSA-N 1 2 277.412 3.738 20 0 CHADLO CC[C@@H]1CCCC[C@H]1c1noc(C[N@@H+](CC)C2CC2)n1 ZINC000341168260 1118610082 /nfs/dbraw/zinc/61/00/82/1118610082.db2.gz FSQLDHRBTHLABM-TZMCWYRMSA-N 1 2 277.412 3.738 20 0 CHADLO CCc1nc(C[NH+]2CC(Cc3ccccc3C)C2)cs1 ZINC000656648839 1118619426 /nfs/dbraw/zinc/61/94/26/1118619426.db2.gz FDIFTMKIHIECRK-UHFFFAOYSA-N 1 2 286.444 3.688 20 0 CHADLO CSc1cc(F)cc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001215903678 1118621939 /nfs/dbraw/zinc/62/19/39/1118621939.db2.gz YCZKFEPXSCZSMF-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO Fc1ccc(C[NH+]2CC(CC(F)(F)F)C2)c(Cl)c1 ZINC000656686594 1118635680 /nfs/dbraw/zinc/63/56/80/1118635680.db2.gz PJVWFPIDQUEZOB-UHFFFAOYSA-N 1 2 281.680 3.863 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1nc(-c3ccccc3)cs1)C2 ZINC000656694164 1118638280 /nfs/dbraw/zinc/63/82/80/1118638280.db2.gz LFCMLKDCNZJLPG-UHFFFAOYSA-N 1 2 288.391 3.744 20 0 CHADLO CCCCN1CCc2ccc(NCc3[nH+]cc(C)n3C)cc21 ZINC000341256964 1118642660 /nfs/dbraw/zinc/64/26/60/1118642660.db2.gz IZRAJKOLWPUSRA-UHFFFAOYSA-N 1 2 298.434 3.503 20 0 CHADLO CCC[C@@H]([NH2+]Cc1ncc(C)n1C)c1ccc(F)cc1F ZINC000341255798 1118642981 /nfs/dbraw/zinc/64/29/81/1118642981.db2.gz CBXUUFKXSYCORW-OAHLLOKOSA-N 1 2 293.361 3.638 20 0 CHADLO CCC[C@@H](NCc1[nH+]cc(C)n1C)c1ccc(F)cc1F ZINC000341255798 1118642982 /nfs/dbraw/zinc/64/29/82/1118642982.db2.gz CBXUUFKXSYCORW-OAHLLOKOSA-N 1 2 293.361 3.638 20 0 CHADLO Cc1c[nH+]c(CNc2ccccc2Cc2ccccc2)n1C ZINC000341257471 1118643012 /nfs/dbraw/zinc/64/30/12/1118643012.db2.gz GZWOBUKYEIVWGP-UHFFFAOYSA-N 1 2 291.398 3.931 20 0 CHADLO CN(C)c1ccc([NH2+]C[C@@H]2CCN(c3ccccc3)C2)cc1 ZINC000076371452 1118645988 /nfs/dbraw/zinc/64/59/88/1118645988.db2.gz JZLURCKVLZFMIT-INIZCTEOSA-N 1 2 295.430 3.691 20 0 CHADLO c1c2cccnc2oc1CNc1c[nH+]cc2c1CCCC2 ZINC000656776151 1118655320 /nfs/dbraw/zinc/65/53/20/1118655320.db2.gz JRUNTDIWDBTERG-UHFFFAOYSA-N 1 2 279.343 3.714 20 0 CHADLO CC(C)(C)CCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000157856184 1118656983 /nfs/dbraw/zinc/65/69/83/1118656983.db2.gz MLKZPLNVPOWMSI-UHFFFAOYSA-N 1 2 271.364 3.842 20 0 CHADLO Cc1c[nH+]c(CNc2cc(-c3ccccc3)cnc2C)n1C ZINC000341381119 1118682012 /nfs/dbraw/zinc/68/20/12/1118682012.db2.gz CAEUKZWLDGYLLL-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO CC(C)([NH2+]Cc1ccc(C(F)(F)F)cc1)C(F)F ZINC000684413726 1118688171 /nfs/dbraw/zinc/68/81/71/1118688171.db2.gz YFHULJWZZRZSNU-UHFFFAOYSA-N 1 2 267.241 3.839 20 0 CHADLO CC(C)([NH2+]Cc1ccc(OCC(F)(F)F)cc1)C(F)F ZINC000684413838 1118688428 /nfs/dbraw/zinc/68/84/28/1118688428.db2.gz HCDRDLZESRUMBB-UHFFFAOYSA-N 1 2 297.267 3.761 20 0 CHADLO CCCn1c2ccccc2nc1C[NH+]1CCC(F)(F)CC1 ZINC000684428790 1118704675 /nfs/dbraw/zinc/70/46/75/1118704675.db2.gz LILDWKKCYAPKFH-UHFFFAOYSA-N 1 2 293.361 3.677 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(C2CC2)n1)c1ccc(OC)cc1 ZINC000341476764 1118714744 /nfs/dbraw/zinc/71/47/44/1118714744.db2.gz FUQDRWRALJQNBF-MRXNPFEDSA-N 1 2 297.402 3.656 20 0 CHADLO Cc1cc(N(C)[C@@H](C)c2cccs2)nc(C2CC2)[nH+]1 ZINC000341493631 1118716262 /nfs/dbraw/zinc/71/62/62/1118716262.db2.gz XOTHBQZCIFXWRG-NSHDSACASA-N 1 2 273.405 3.921 20 0 CHADLO C[C@H]1CCC[C@H](CCSCCn2cc[nH+]c2)C1 ZINC000341516090 1118716919 /nfs/dbraw/zinc/71/69/19/1118716919.db2.gz SYIBAKSSRVAZDN-UONOGXRCSA-N 1 2 252.427 3.833 20 0 CHADLO Cc1cc(N(C)[C@H]2CCC[C@H](C)C2)nc(C2CC2)[nH+]1 ZINC000341498009 1118717335 /nfs/dbraw/zinc/71/73/35/1118717335.db2.gz KIHYTEYJCVTWEM-FZMZJTMJSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1nn(C)c(C[N@@H+]2CCC[C@@H]2c2ccsc2)c1Cl ZINC000891617838 1118721694 /nfs/dbraw/zinc/72/16/94/1118721694.db2.gz KMLRLLNSNOMZNI-GFCCVEGCSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1nn(C)c(C[N@H+]2CCC[C@@H]2c2ccsc2)c1Cl ZINC000891617838 1118721697 /nfs/dbraw/zinc/72/16/97/1118721697.db2.gz KMLRLLNSNOMZNI-GFCCVEGCSA-N 1 2 295.839 3.781 20 0 CHADLO COc1ccc(F)c(F)c1C[N@H+](C)Cc1cccc(C)c1 ZINC001143403229 1118734989 /nfs/dbraw/zinc/73/49/89/1118734989.db2.gz AAXUTFNRROQPIO-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(F)c(F)c1C[N@@H+](C)Cc1cccc(C)c1 ZINC001143403229 1118734991 /nfs/dbraw/zinc/73/49/91/1118734991.db2.gz AAXUTFNRROQPIO-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccc(CC(C)C)nc1 ZINC000891700419 1118746091 /nfs/dbraw/zinc/74/60/91/1118746091.db2.gz UCPYQJGOVDFALW-UHFFFAOYSA-N 1 2 287.407 3.517 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccc(CC(C)C)nc1 ZINC000891700419 1118746093 /nfs/dbraw/zinc/74/60/93/1118746093.db2.gz UCPYQJGOVDFALW-UHFFFAOYSA-N 1 2 287.407 3.517 20 0 CHADLO Cc1cn2cc(NC(=O)c3cccc(C4CC4)c3)ccc2[nH+]1 ZINC001125544995 1118748300 /nfs/dbraw/zinc/74/83/00/1118748300.db2.gz APABZSPUKNZHEL-UHFFFAOYSA-N 1 2 291.354 3.772 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2CCCc3sccc32)[nH+]1 ZINC000341590576 1118749127 /nfs/dbraw/zinc/74/91/27/1118749127.db2.gz UVQFMOYSIHUWPL-GFCCVEGCSA-N 1 2 284.388 3.817 20 0 CHADLO Clc1cccc2c(NC[C@@H]3CCCOC3)cc[nH+]c12 ZINC000341600258 1118755083 /nfs/dbraw/zinc/75/50/83/1118755083.db2.gz UNRCTSZTVWUWQP-NSHDSACASA-N 1 2 276.767 3.727 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2csc(CC)n2)s1 ZINC000084024193 1118766611 /nfs/dbraw/zinc/76/66/11/1118766611.db2.gz BGRJZECQLRCTOZ-SECBINFHSA-N 1 2 281.450 3.575 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCc3cccc(Cl)c3C2)c1 ZINC000657080796 1118772023 /nfs/dbraw/zinc/77/20/23/1118772023.db2.gz UPBZUENUHBOWAW-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccnc(C[N@H+]2CCc3cccc(Cl)c3C2)c1 ZINC000657080796 1118772026 /nfs/dbraw/zinc/77/20/26/1118772026.db2.gz UPBZUENUHBOWAW-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC[C@H]3CCC[C@@H]32)cs1 ZINC000891803276 1118783447 /nfs/dbraw/zinc/78/34/47/1118783447.db2.gz HOZJOADGDWMMLJ-KOLCDFICSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC[C@H]3CCC[C@@H]32)cs1 ZINC000891803276 1118783449 /nfs/dbraw/zinc/78/34/49/1118783449.db2.gz HOZJOADGDWMMLJ-KOLCDFICSA-N 1 2 290.354 3.926 20 0 CHADLO C[C@H]([NH2+]Cc1ncccc1F)c1ccc(F)cc1Cl ZINC000090721322 1118814755 /nfs/dbraw/zinc/81/47/55/1118814755.db2.gz OXMNWYMGFKLTFE-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO CC[C@H](Nc1cc(C)[nH+]c(C2CC2)n1)c1cccs1 ZINC000426886338 1118878784 /nfs/dbraw/zinc/87/87/84/1118878784.db2.gz LCDWWIITIAMZRR-LBPRGKRZSA-N 1 2 273.405 3.709 20 0 CHADLO CCCC[C@H](CCC)NC(=O)Nc1cc(C)[nH+]cc1C ZINC000535774968 1118884926 /nfs/dbraw/zinc/88/49/26/1118884926.db2.gz KDPZVPFNZGUASW-AWEZNQCLSA-N 1 2 277.412 3.601 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CCCCC3)s2)[C@@H](C)CO1 ZINC000535783953 1118885561 /nfs/dbraw/zinc/88/55/61/1118885561.db2.gz XQXUKTYBSWAKEU-QWHCGFSZSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CCCCC3)s2)[C@@H](C)CO1 ZINC000535783953 1118885567 /nfs/dbraw/zinc/88/55/67/1118885567.db2.gz XQXUKTYBSWAKEU-QWHCGFSZSA-N 1 2 294.464 3.800 20 0 CHADLO Fc1c(Br)cccc1C[N@@H+]1CC[C@@H]1C1CC1 ZINC000449423258 1118885922 /nfs/dbraw/zinc/88/59/22/1118885922.db2.gz AELPXRVBBKNRQI-GFCCVEGCSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1c(Br)cccc1C[N@H+]1CC[C@@H]1C1CC1 ZINC000449423258 1118885926 /nfs/dbraw/zinc/88/59/26/1118885926.db2.gz AELPXRVBBKNRQI-GFCCVEGCSA-N 1 2 284.172 3.573 20 0 CHADLO CC[N@@H+]1CCN(CC[C@@H](C)CCC=C(C)C)C[C@@H]1C ZINC000684613314 1118903570 /nfs/dbraw/zinc/90/35/70/1118903570.db2.gz OCWLEZVFZWZLOY-IRXDYDNUSA-N 1 2 266.473 3.785 20 0 CHADLO CC[N@H+]1CCN(CC[C@@H](C)CCC=C(C)C)C[C@@H]1C ZINC000684613314 1118903575 /nfs/dbraw/zinc/90/35/75/1118903575.db2.gz OCWLEZVFZWZLOY-IRXDYDNUSA-N 1 2 266.473 3.785 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@](C)(F)C2)c(Br)c1 ZINC000535843162 1118905823 /nfs/dbraw/zinc/90/58/23/1118905823.db2.gz LYKPWRRZFVGNBO-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@](C)(F)C2)c(Br)c1 ZINC000535843162 1118905824 /nfs/dbraw/zinc/90/58/24/1118905824.db2.gz LYKPWRRZFVGNBO-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO C[C@H](c1c(F)cccc1F)[NH+]1CCC(F)(F)CC1 ZINC000684623797 1118910740 /nfs/dbraw/zinc/91/07/40/1118910740.db2.gz HTPRPIYTIKTJER-SECBINFHSA-N 1 2 261.262 3.757 20 0 CHADLO Cc1cc(N2CCC[C@H]2c2ccccn2)nc(C2CCC2)[nH+]1 ZINC000891973578 1118916192 /nfs/dbraw/zinc/91/61/92/1118916192.db2.gz DNOMPIGNRLEDQE-INIZCTEOSA-N 1 2 294.402 3.789 20 0 CHADLO C[C@@H]1CCCC[C@@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000036982131 1118923577 /nfs/dbraw/zinc/92/35/77/1118923577.db2.gz HZKNNHLEPBELDF-HIFRSBDPSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](C)[C@@H](C)c2cccc(O)c2)c1 ZINC000892010488 1118936951 /nfs/dbraw/zinc/93/69/51/1118936951.db2.gz VDARMPBJBNINHN-LBPRGKRZSA-N 1 2 290.794 3.942 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](C)[C@@H](C)c2cccc(O)c2)c1 ZINC000892010488 1118936955 /nfs/dbraw/zinc/93/69/55/1118936955.db2.gz VDARMPBJBNINHN-LBPRGKRZSA-N 1 2 290.794 3.942 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(C(C)(C)C)co2)cc1 ZINC000724399539 1118988183 /nfs/dbraw/zinc/98/81/83/1118988183.db2.gz MLXKPHVLRVEESW-UHFFFAOYSA-N 1 2 258.365 3.570 20 0 CHADLO C[N@H+](Cc1c(F)ccc(F)c1Cl)[C@H]1CCSC1 ZINC000628132183 1128815203 /nfs/dbraw/zinc/81/52/03/1128815203.db2.gz YTPLSBQORSBQLG-QMMMGPOBSA-N 1 2 277.767 3.556 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(F)c1Cl)[C@H]1CCSC1 ZINC000628132183 1128815206 /nfs/dbraw/zinc/81/52/06/1128815206.db2.gz YTPLSBQORSBQLG-QMMMGPOBSA-N 1 2 277.767 3.556 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1nccs1)C(C)(C)C ZINC000934293015 1119011507 /nfs/dbraw/zinc/01/15/07/1119011507.db2.gz TVVXQSYLULWPSQ-AWEZNQCLSA-N 1 2 275.421 3.724 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H](C)c2cccc(Cl)c2)[nH+]1 ZINC000348730061 1119015868 /nfs/dbraw/zinc/01/58/68/1119015868.db2.gz WZGPLIULZXHMKX-SECBINFHSA-N 1 2 286.766 3.515 20 0 CHADLO COc1cc(C)c(C)cc1Nc1cccn2cc[nH+]c12 ZINC001216373168 1119028800 /nfs/dbraw/zinc/02/88/00/1119028800.db2.gz ABNSIYKLKSOGDD-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO c1nc(C[N@@H+]2Cc3ccccc3C3(CCC3)C2)oc1C1CC1 ZINC000375685916 1119045456 /nfs/dbraw/zinc/04/54/56/1119045456.db2.gz WTDCYWUCRQCAMU-UHFFFAOYSA-N 1 2 294.398 3.990 20 0 CHADLO c1nc(C[N@H+]2Cc3ccccc3C3(CCC3)C2)oc1C1CC1 ZINC000375685916 1119045460 /nfs/dbraw/zinc/04/54/60/1119045460.db2.gz WTDCYWUCRQCAMU-UHFFFAOYSA-N 1 2 294.398 3.990 20 0 CHADLO Cn1c[nH+]cc1COc1ccc(Cl)cc1C(F)(F)F ZINC000342475507 1119045842 /nfs/dbraw/zinc/04/58/42/1119045842.db2.gz HLZBBSGGALWPNU-UHFFFAOYSA-N 1 2 290.672 3.671 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CCSc3ccc(Cl)cc32)no1 ZINC000044693045 1119058908 /nfs/dbraw/zinc/05/89/08/1119058908.db2.gz QSIFJDLDRYQIGF-CYBMUJFWSA-N 1 2 294.807 3.963 20 0 CHADLO FC(F)Oc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC000684839542 1119065082 /nfs/dbraw/zinc/06/50/82/1119065082.db2.gz WUMVPCZIWBOFMD-UHFFFAOYSA-N 1 2 289.285 3.776 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@@H+]1Cc1nc(C)c(C)[nH]1 ZINC000628163851 1128820126 /nfs/dbraw/zinc/82/01/26/1128820126.db2.gz VLUUUBKTJFJKLA-INIZCTEOSA-N 1 2 299.418 3.762 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@H+]1Cc1nc(C)c(C)[nH]1 ZINC000628163851 1128820132 /nfs/dbraw/zinc/82/01/32/1128820132.db2.gz VLUUUBKTJFJKLA-INIZCTEOSA-N 1 2 299.418 3.762 20 0 CHADLO COc1ccccc1[C@@H]1CCCCN1Cc1[nH]c(C)c(C)[nH+]1 ZINC000628163851 1128820138 /nfs/dbraw/zinc/82/01/38/1128820138.db2.gz VLUUUBKTJFJKLA-INIZCTEOSA-N 1 2 299.418 3.762 20 0 CHADLO Cc1ccc2cc(C[NH2+]C(C)(C)C(F)F)[nH]c2c1 ZINC000657453436 1119107562 /nfs/dbraw/zinc/10/75/62/1119107562.db2.gz YCVJSCGOQZDRKA-UHFFFAOYSA-N 1 2 252.308 3.610 20 0 CHADLO CC(C)([NH2+]Cc1ccccc1Cl)c1nccs1 ZINC000116380625 1119150050 /nfs/dbraw/zinc/15/00/50/1119150050.db2.gz MFHFAKZHEIXOPC-UHFFFAOYSA-N 1 2 266.797 3.821 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(C3CC3)cs2)cc1 ZINC000342763013 1119156521 /nfs/dbraw/zinc/15/65/21/1119156521.db2.gz BVKIYNXPEOKMMT-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(C3CC3)cs2)cc1 ZINC000342763013 1119156522 /nfs/dbraw/zinc/15/65/22/1119156522.db2.gz BVKIYNXPEOKMMT-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H]2CCc3ccc(Cl)cc32)no1 ZINC000342765077 1119158024 /nfs/dbraw/zinc/15/80/24/1119158024.db2.gz LMMMNYWBKCJJMP-OAHLLOKOSA-N 1 2 276.767 3.756 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H]2CCc3ccc(Cl)cc32)no1 ZINC000342765077 1119158025 /nfs/dbraw/zinc/15/80/25/1119158025.db2.gz LMMMNYWBKCJJMP-OAHLLOKOSA-N 1 2 276.767 3.756 20 0 CHADLO C[N@H+](Cc1nc(C2CC2)cs1)Cc1cccc(F)c1 ZINC000342766533 1119158531 /nfs/dbraw/zinc/15/85/31/1119158531.db2.gz VUGAOOXNVDFREL-UHFFFAOYSA-N 1 2 276.380 3.792 20 0 CHADLO C[N@@H+](Cc1nc(C2CC2)cs1)Cc1cccc(F)c1 ZINC000342766533 1119158533 /nfs/dbraw/zinc/15/85/33/1119158533.db2.gz VUGAOOXNVDFREL-UHFFFAOYSA-N 1 2 276.380 3.792 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc(C)c(C)c2)C2CC2)n1C ZINC000342776383 1119161754 /nfs/dbraw/zinc/16/17/54/1119161754.db2.gz GVKDUNDPHZSXNK-UHFFFAOYSA-N 1 2 283.419 3.510 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc(C)c(C)c2)C2CC2)n1C ZINC000342776383 1119161756 /nfs/dbraw/zinc/16/17/56/1119161756.db2.gz GVKDUNDPHZSXNK-UHFFFAOYSA-N 1 2 283.419 3.510 20 0 CHADLO Cc1c[nH+]c(CN(Cc2ccc(C)c(C)c2)C2CC2)n1C ZINC000342776383 1119161758 /nfs/dbraw/zinc/16/17/58/1119161758.db2.gz GVKDUNDPHZSXNK-UHFFFAOYSA-N 1 2 283.419 3.510 20 0 CHADLO Cc1c[nH+]c(CN(C)[C@H](C)c2ccccc2Cl)n1C ZINC000342774217 1119162037 /nfs/dbraw/zinc/16/20/37/1119162037.db2.gz CZVCSMPLDKYWTP-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccc(-c2nc(CNc3cccc[nH+]3)co2)cc1 ZINC000075065474 1119171452 /nfs/dbraw/zinc/17/14/52/1119171452.db2.gz XCIRNKREBBVGKT-UHFFFAOYSA-N 1 2 265.316 3.657 20 0 CHADLO Cc1c[nH+]c(CN2CCC[C@H]2c2ccc(Cl)cc2)n1C ZINC000342798392 1119174772 /nfs/dbraw/zinc/17/47/72/1119174772.db2.gz IXXHGOHRVJMYEL-HNNXBMFYSA-N 1 2 289.810 3.719 20 0 CHADLO CCc1cnc(C[N@@H+](CC)[C@H](C)c2ccc(F)cc2)o1 ZINC000075645827 1119178874 /nfs/dbraw/zinc/17/88/74/1119178874.db2.gz DRBIRHFPXAZFLI-GFCCVEGCSA-N 1 2 276.355 3.959 20 0 CHADLO CCc1cnc(C[N@H+](CC)[C@H](C)c2ccc(F)cc2)o1 ZINC000075645827 1119178876 /nfs/dbraw/zinc/17/88/76/1119178876.db2.gz DRBIRHFPXAZFLI-GFCCVEGCSA-N 1 2 276.355 3.959 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccco2)o1 ZINC000076184621 1119184078 /nfs/dbraw/zinc/18/40/78/1119184078.db2.gz WDFOOABTCRGQPE-GXTWGEPZSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccco2)o1 ZINC000076184621 1119184080 /nfs/dbraw/zinc/18/40/80/1119184080.db2.gz WDFOOABTCRGQPE-GXTWGEPZSA-N 1 2 274.364 3.803 20 0 CHADLO CC(C)(C)OC(=O)c1ccc(COc2cc[nH+]cc2)cc1 ZINC000431482803 1119185605 /nfs/dbraw/zinc/18/56/05/1119185605.db2.gz NNHKCHAGXKCYPJ-UHFFFAOYSA-N 1 2 285.343 3.616 20 0 CHADLO Brc1ccc(CNc2cccc[nH+]2)s1 ZINC000037998317 1119192462 /nfs/dbraw/zinc/19/24/62/1119192462.db2.gz HHRBADYGCOUNNU-UHFFFAOYSA-N 1 2 269.167 3.518 20 0 CHADLO Fc1ccccc1[C@@H](Cc1ccccc1)[NH2+]Cc1ncc[nH]1 ZINC000077454219 1119195178 /nfs/dbraw/zinc/19/51/78/1119195178.db2.gz BDIVIMBZOYGPOU-QGZVFWFLSA-N 1 2 295.361 3.622 20 0 CHADLO C[C@H]([NH2+]Cc1cccc2[nH]ccc21)c1nc(C(C)(C)C)no1 ZINC000237499160 1119206300 /nfs/dbraw/zinc/20/63/00/1119206300.db2.gz VBEJHZWMOQBBCD-NSHDSACASA-N 1 2 298.390 3.699 20 0 CHADLO Nc1cc(CNc2ccc(F)c3ccccc23)cc[nH+]1 ZINC001167617165 1119214360 /nfs/dbraw/zinc/21/43/60/1119214360.db2.gz IKMJHJHLGNIURA-UHFFFAOYSA-N 1 2 267.307 3.568 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2cnc(C3CC3)o2)C2CC2)n1 ZINC000628221446 1128830668 /nfs/dbraw/zinc/83/06/68/1128830668.db2.gz IPRYGAPLOMWYMY-CYBMUJFWSA-N 1 2 289.404 3.558 20 0 CHADLO CN(c1cc[nH+]c2c(Cl)cccc12)C1CCC(O)CC1 ZINC000342956978 1119262641 /nfs/dbraw/zinc/26/26/41/1119262641.db2.gz AXHIFUCQPYKCBP-UHFFFAOYSA-N 1 2 290.794 3.628 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@@H+]1CC[C@H](C(C)C)C1 ZINC001167656031 1119301585 /nfs/dbraw/zinc/30/15/85/1119301585.db2.gz FLCYRSQYYZIVDD-WFASDCNBSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@H+]1CC[C@H](C(C)C)C1 ZINC001167656031 1119301587 /nfs/dbraw/zinc/30/15/87/1119301587.db2.gz FLCYRSQYYZIVDD-WFASDCNBSA-N 1 2 295.810 3.532 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2coc3ccccc32)s1 ZINC000926461023 1119305691 /nfs/dbraw/zinc/30/56/91/1119305691.db2.gz ICJXOEOAKXGYQF-SNVBAGLBSA-N 1 2 287.388 3.698 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(-c2ccsc2)no1)c1ccccc1 ZINC000154280820 1119306626 /nfs/dbraw/zinc/30/66/26/1119306626.db2.gz FSQUUXIQPWGIOA-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccccc1)c1ccccn1)c1cncs1 ZINC000154615513 1119314996 /nfs/dbraw/zinc/31/49/96/1119314996.db2.gz JSSFWJCXRUFRNX-CXAGYDPISA-N 1 2 295.411 3.978 20 0 CHADLO CC(C)Oc1ccc(CCNc2cccc[nH+]2)cc1 ZINC000079883396 1119318904 /nfs/dbraw/zinc/31/89/04/1119318904.db2.gz GXRUCIBOULEGKW-UHFFFAOYSA-N 1 2 256.349 3.523 20 0 CHADLO CC[C@H](C)c1ccc(C(=O)NCCCCn2cc[nH+]c2)cc1 ZINC000755372356 1119328128 /nfs/dbraw/zinc/32/81/28/1119328128.db2.gz RUZRFGIWRYSPCG-HNNXBMFYSA-N 1 2 299.418 3.607 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cc3ccon3)CC2)c1C ZINC000934841758 1119329097 /nfs/dbraw/zinc/32/90/97/1119329097.db2.gz STXKXCBVYDNRES-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cc3ccon3)CC2)c1C ZINC000934841758 1119329101 /nfs/dbraw/zinc/32/91/01/1119329101.db2.gz STXKXCBVYDNRES-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO CCc1ncsc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213087820 1119342222 /nfs/dbraw/zinc/34/22/22/1119342222.db2.gz BOQJZOTXMZYIFO-UHFFFAOYSA-N 1 2 270.361 3.839 20 0 CHADLO COc1ccccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213087923 1119343016 /nfs/dbraw/zinc/34/30/16/1119343016.db2.gz HNKXHBLTZDFLFW-UHFFFAOYSA-N 1 2 265.316 3.829 20 0 CHADLO CCn1c2ccccc2nc1C[N@H+](CC)CCC(F)(F)F ZINC000092753860 1119347700 /nfs/dbraw/zinc/34/77/00/1119347700.db2.gz WDYNAQKSCIZSPB-UHFFFAOYSA-N 1 2 299.340 3.831 20 0 CHADLO CCn1c2ccccc2nc1C[N@@H+](CC)CCC(F)(F)F ZINC000092753860 1119347701 /nfs/dbraw/zinc/34/77/01/1119347701.db2.gz WDYNAQKSCIZSPB-UHFFFAOYSA-N 1 2 299.340 3.831 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(C)(F)F)cc1)c1ncco1 ZINC000926483991 1119347730 /nfs/dbraw/zinc/34/77/30/1119347730.db2.gz WOYFGCQFAKGMCU-SNVBAGLBSA-N 1 2 266.291 3.637 20 0 CHADLO Fc1ccccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088301 1119348303 /nfs/dbraw/zinc/34/83/03/1119348303.db2.gz YQQWMSFYIZCAJJ-UHFFFAOYSA-N 1 2 253.280 3.959 20 0 CHADLO COC[C@H]([NH2+]Cc1cc2cc(F)ccc2o1)c1ccco1 ZINC000080240282 1119350250 /nfs/dbraw/zinc/35/02/50/1119350250.db2.gz NCMWZEBMYXPMHE-AWEZNQCLSA-N 1 2 289.306 3.642 20 0 CHADLO CCOC(=O)c1ccccc1Nc1cccc2[nH+]c(C)cn21 ZINC001213170381 1119374081 /nfs/dbraw/zinc/37/40/81/1119374081.db2.gz BKJYVOCHUHERPS-UHFFFAOYSA-N 1 2 295.342 3.563 20 0 CHADLO Cc1ccccc1C(F)(F)CNCc1c[nH+]cn1C(C)C ZINC000657769899 1119376543 /nfs/dbraw/zinc/37/65/43/1119376543.db2.gz JQUAIEXFCRCZCQ-UHFFFAOYSA-N 1 2 293.361 3.654 20 0 CHADLO c1coc(CN(CCCn2cc[nH+]c2)c2ccccc2)c1 ZINC000343299130 1119379001 /nfs/dbraw/zinc/37/90/01/1119379001.db2.gz FWNJCCVETIUILW-UHFFFAOYSA-N 1 2 281.359 3.573 20 0 CHADLO Clc1cscc1C[NH2+]Cc1ccc(Cl)cn1 ZINC000309507714 1119402916 /nfs/dbraw/zinc/40/29/16/1119402916.db2.gz NXENHTQWEQBXMJ-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1ccc(-n2cccn2)cc1 ZINC000657792309 1119410929 /nfs/dbraw/zinc/41/09/29/1119410929.db2.gz BQGNUSYEOGNIFI-UHFFFAOYSA-N 1 2 293.361 3.643 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@]1(F)c1ccccc1)c1cc2n(n1)CCCC2 ZINC000647309682 1119413392 /nfs/dbraw/zinc/41/33/92/1119413392.db2.gz FQMXVZUUHOOGHM-DOPJRALCSA-N 1 2 299.393 3.507 20 0 CHADLO OCc1c(Cl)cccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213091063 1119424997 /nfs/dbraw/zinc/42/49/97/1119424997.db2.gz UTMJJUUIKVNDEP-UHFFFAOYSA-N 1 2 299.761 3.966 20 0 CHADLO CC[C@@H]([NH2+]C1(c2ccccc2Cl)CC1)c1ccn(C)n1 ZINC000647315557 1119439618 /nfs/dbraw/zinc/43/96/18/1119439618.db2.gz PTWFINIFFCBFHI-CQSZACIVSA-N 1 2 289.810 3.804 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3oc(-c4ccccc4)cc3C2)o1 ZINC000628323786 1128847286 /nfs/dbraw/zinc/84/72/86/1128847286.db2.gz OADVAAUCJAVALM-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3oc(-c4ccccc4)cc3C2)o1 ZINC000628323786 1128847288 /nfs/dbraw/zinc/84/72/88/1128847288.db2.gz OADVAAUCJAVALM-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)cc2F)[C@H]1[C@H]1CCCO1 ZINC000584022621 1119460147 /nfs/dbraw/zinc/46/01/47/1119460147.db2.gz YKVBDTAXEPBODK-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)cc2F)[C@H]1[C@H]1CCCO1 ZINC000584022621 1119460148 /nfs/dbraw/zinc/46/01/48/1119460148.db2.gz YKVBDTAXEPBODK-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO COC[C@H](C)CNc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000584093058 1119475640 /nfs/dbraw/zinc/47/56/40/1119475640.db2.gz XTFGKJIBKMYQPD-CQSZACIVSA-N 1 2 299.418 3.581 20 0 CHADLO FC1(c2ccc(Cl)cc2)CC[NH+](Cc2cocn2)CC1 ZINC000343656362 1119481231 /nfs/dbraw/zinc/48/12/31/1119481231.db2.gz BBGOREHZQVDGKL-UHFFFAOYSA-N 1 2 294.757 3.789 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](C)c1cc2ccccc2s1 ZINC000169183913 1119498598 /nfs/dbraw/zinc/49/85/98/1119498598.db2.gz LRFSQZDXMZUXMK-NSHDSACASA-N 1 2 271.389 3.784 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CCC[C@@H](C)[C@@H]2C)no1 ZINC000083211430 1119523993 /nfs/dbraw/zinc/52/39/93/1119523993.db2.gz TZEZYDIJVNOGFF-HIFRSBDPSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CCC[C@@H](C)[C@@H]2C)no1 ZINC000083211430 1119523996 /nfs/dbraw/zinc/52/39/96/1119523996.db2.gz TZEZYDIJVNOGFF-HIFRSBDPSA-N 1 2 299.418 3.589 20 0 CHADLO c1nc(C2CC2)oc1CNc1ccc(N2CCCCC2)c[nH+]1 ZINC000628207130 1119534651 /nfs/dbraw/zinc/53/46/51/1119534651.db2.gz INHZQSIBOGKFBE-UHFFFAOYSA-N 1 2 298.390 3.549 20 0 CHADLO Clc1ccc([C@@H]2OCC[C@H]2Nc2cccc[nH+]2)cc1 ZINC000089269225 1119538984 /nfs/dbraw/zinc/53/89/84/1119538984.db2.gz GUHIONLGSNVGGU-HIFRSBDPSA-N 1 2 274.751 3.677 20 0 CHADLO CC(C)c1cc(N(C)Cc2ccncc2)nc(C(C)C)[nH+]1 ZINC000090070010 1119543036 /nfs/dbraw/zinc/54/30/36/1119543036.db2.gz UZXUPRVGXTYNTD-UHFFFAOYSA-N 1 2 284.407 3.755 20 0 CHADLO Cc1c(C[N@H+](C)Cc2cc(Cl)ccc2Cl)cnn1C ZINC000584449934 1119558126 /nfs/dbraw/zinc/55/81/26/1119558126.db2.gz UPNAWMMCUHJAKL-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1c(C[N@@H+](C)Cc2cc(Cl)ccc2Cl)cnn1C ZINC000584449934 1119558128 /nfs/dbraw/zinc/55/81/28/1119558128.db2.gz UPNAWMMCUHJAKL-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO CCc1ncc(C[N@H+](C)Cc2cc(C)ccc2F)s1 ZINC000093324257 1119569097 /nfs/dbraw/zinc/56/90/97/1119569097.db2.gz AQZXEXCXDQJVFQ-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO CCc1ncc(C[N@@H+](C)Cc2cc(C)ccc2F)s1 ZINC000093324257 1119569101 /nfs/dbraw/zinc/56/91/01/1119569101.db2.gz AQZXEXCXDQJVFQ-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO Cc1ccoc1C[N@@H+]1C[C@@H](c2ccsc2)O[C@@H](C)C1 ZINC000093769019 1119571623 /nfs/dbraw/zinc/57/16/23/1119571623.db2.gz CLAXFMBQKARDLW-WFASDCNBSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1ccoc1C[N@H+]1C[C@@H](c2ccsc2)O[C@@H](C)C1 ZINC000093769019 1119571625 /nfs/dbraw/zinc/57/16/25/1119571625.db2.gz CLAXFMBQKARDLW-WFASDCNBSA-N 1 2 277.389 3.612 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ccccc2Br)CCO1 ZINC000138328043 1119578426 /nfs/dbraw/zinc/57/84/26/1119578426.db2.gz SXILNSJNCKNHGE-NWDGAFQWSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ccccc2Br)CCO1 ZINC000138328043 1119578429 /nfs/dbraw/zinc/57/84/29/1119578429.db2.gz SXILNSJNCKNHGE-NWDGAFQWSA-N 1 2 298.224 3.621 20 0 CHADLO FC1(F)CCC[N@H+](Cc2ccc(Br)o2)CC1 ZINC000628422283 1128857582 /nfs/dbraw/zinc/85/75/82/1128857582.db2.gz VULTZHIDUKUCFI-UHFFFAOYSA-N 1 2 294.139 3.663 20 0 CHADLO FC1(F)CCC[N@@H+](Cc2ccc(Br)o2)CC1 ZINC000628422283 1128857584 /nfs/dbraw/zinc/85/75/84/1128857584.db2.gz VULTZHIDUKUCFI-UHFFFAOYSA-N 1 2 294.139 3.663 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1ccccc1Cl ZINC000294230474 1119622092 /nfs/dbraw/zinc/62/20/92/1119622092.db2.gz VLLUPFXTVHJVDI-SUNKGSAMSA-N 1 2 275.783 3.893 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+][C@@H]2CCCn3nccc32)cs1 ZINC000657919474 1119641132 /nfs/dbraw/zinc/64/11/32/1119641132.db2.gz MOIBXHWBBVLVBN-VXGBXAGGSA-N 1 2 290.436 3.649 20 0 CHADLO CCOc1ccc([C@@H](C)Nc2[nH+]c(C)nc3[nH]ccc32)cc1 ZINC000080945726 1119645949 /nfs/dbraw/zinc/64/59/49/1119645949.db2.gz MDVPIIDACHRIAC-LLVKDONJSA-N 1 2 296.374 3.838 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(C(F)F)nc2)s1 ZINC000657975222 1119658434 /nfs/dbraw/zinc/65/84/34/1119658434.db2.gz XZGOHPXEBMWGJK-JGVFFNPUSA-N 1 2 298.362 3.591 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(C(F)F)nc2)s1 ZINC000657975217 1119658475 /nfs/dbraw/zinc/65/84/75/1119658475.db2.gz XZGOHPXEBMWGJK-HTQZYQBOSA-N 1 2 298.362 3.591 20 0 CHADLO C[C@@H](Cc1ccoc1)[NH2+]CC(F)(F)c1cccc(F)c1 ZINC000658115475 1119684928 /nfs/dbraw/zinc/68/49/28/1119684928.db2.gz CNWGOBLIPIHEIU-NSHDSACASA-N 1 2 283.293 3.731 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3nc(C)c(C)[nH]3)CC2)cc1 ZINC000628236208 1119685492 /nfs/dbraw/zinc/68/54/92/1119685492.db2.gz UJNWUKGDLBLMTB-UHFFFAOYSA-N 1 2 295.430 3.878 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3nc(C)c(C)[nH]3)CC2)cc1 ZINC000628236208 1119685494 /nfs/dbraw/zinc/68/54/94/1119685494.db2.gz UJNWUKGDLBLMTB-UHFFFAOYSA-N 1 2 295.430 3.878 20 0 CHADLO CCc1ccc(C2=CCN(Cc3[nH]c(C)c(C)[nH+]3)CC2)cc1 ZINC000628236208 1119685495 /nfs/dbraw/zinc/68/54/95/1119685495.db2.gz UJNWUKGDLBLMTB-UHFFFAOYSA-N 1 2 295.430 3.878 20 0 CHADLO Cc1cc(F)cc(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)c1 ZINC000635713600 1119693822 /nfs/dbraw/zinc/69/38/22/1119693822.db2.gz IADUPXYQNLAPLZ-HNNXBMFYSA-N 1 2 289.354 3.557 20 0 CHADLO CCn1cc(C[NH2+][C@H](C)c2cc3cc(C)c(C)cc3o2)nn1 ZINC000658177022 1119696873 /nfs/dbraw/zinc/69/68/73/1119696873.db2.gz RGHKMLFMPKAUPO-CYBMUJFWSA-N 1 2 298.390 3.512 20 0 CHADLO C[C@@H](c1nnc(-c2ccccc2)o1)[N@H+](C)CCC(F)(F)F ZINC000101731152 1119715068 /nfs/dbraw/zinc/71/50/68/1119715068.db2.gz YZZFUOCNXYOAAU-JTQLQIEISA-N 1 2 299.296 3.682 20 0 CHADLO C[C@@H](c1nnc(-c2ccccc2)o1)[N@@H+](C)CCC(F)(F)F ZINC000101731152 1119715072 /nfs/dbraw/zinc/71/50/72/1119715072.db2.gz YZZFUOCNXYOAAU-JTQLQIEISA-N 1 2 299.296 3.682 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csc(Cl)c1)c1nccn1C ZINC000658335795 1119726627 /nfs/dbraw/zinc/72/66/27/1119726627.db2.gz GRSCBXGJNMHGOG-DTWKUNHWSA-N 1 2 269.801 3.547 20 0 CHADLO C[C@H]([NH2+]C(c1ccccc1)c1ccccc1)c1nccn1C ZINC000172013486 1119746955 /nfs/dbraw/zinc/74/69/55/1119746955.db2.gz OPXZHROSVXSCLI-HNNXBMFYSA-N 1 2 291.398 3.860 20 0 CHADLO COC[C@H]([NH2+]Cc1sccc1Cl)c1ccc(C)o1 ZINC000193135961 1119747289 /nfs/dbraw/zinc/74/72/89/1119747289.db2.gz JDNDRHYIDUNSQF-NSHDSACASA-N 1 2 285.796 3.780 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nn(CC)nc1C)c1ccccc1F ZINC000926646155 1119766753 /nfs/dbraw/zinc/76/67/53/1119766753.db2.gz IWKUAXLJLQNXOB-NHYWBVRUSA-N 1 2 290.386 3.547 20 0 CHADLO CCc1ccc(C[N@H+](C)Cn2ccc(C(F)(F)F)n2)cc1 ZINC000065940740 1119791526 /nfs/dbraw/zinc/79/15/26/1119791526.db2.gz LYDJWCIPYWCWHX-UHFFFAOYSA-N 1 2 297.324 3.554 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cn2ccc(C(F)(F)F)n2)cc1 ZINC000065940740 1119791530 /nfs/dbraw/zinc/79/15/30/1119791530.db2.gz LYDJWCIPYWCWHX-UHFFFAOYSA-N 1 2 297.324 3.554 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@H](c1ccccc1F)C(C)C ZINC000926693705 1119819108 /nfs/dbraw/zinc/81/91/08/1119819108.db2.gz OIAAACFTEGYENB-YGRLFVJLSA-N 1 2 277.343 3.565 20 0 CHADLO Oc1cccc(C[NH+]2CCC(C(F)(F)F)CC2)c1Cl ZINC000294948217 1119822655 /nfs/dbraw/zinc/82/26/55/1119822655.db2.gz PXTSLDVVGWOWIJ-UHFFFAOYSA-N 1 2 293.716 3.820 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC[C@H](c3ccn[nH]3)C2)s1 ZINC000685834328 1119853516 /nfs/dbraw/zinc/85/35/16/1119853516.db2.gz MRZPYBWSIBUNQG-NSHDSACASA-N 1 2 293.461 3.573 20 0 CHADLO CSc1ccc(C[N@H+]2CCC[C@H](c3ccn[nH]3)C2)s1 ZINC000685834328 1119853520 /nfs/dbraw/zinc/85/35/20/1119853520.db2.gz MRZPYBWSIBUNQG-NSHDSACASA-N 1 2 293.461 3.573 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2[nH]ncc2C)o1 ZINC000685839567 1119859049 /nfs/dbraw/zinc/85/90/49/1119859049.db2.gz KPSTXDOMYJTLCD-BLLLJJGKSA-N 1 2 287.407 3.847 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2[nH]ncc2C)o1 ZINC000685839567 1119859052 /nfs/dbraw/zinc/85/90/52/1119859052.db2.gz KPSTXDOMYJTLCD-BLLLJJGKSA-N 1 2 287.407 3.847 20 0 CHADLO C[C@@H]([NH2+]Cc1cncn1C)c1cc(Cl)sc1Cl ZINC000289807046 1119875085 /nfs/dbraw/zinc/87/50/85/1119875085.db2.gz OGULQVJGNYQTPX-SSDOTTSWSA-N 1 2 290.219 3.639 20 0 CHADLO CC(C)(C)C(C)(C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000431793604 1119900553 /nfs/dbraw/zinc/90/05/53/1119900553.db2.gz CIGTXZMQEYWLDN-UHFFFAOYSA-N 1 2 285.391 3.883 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)C(C)(F)F)c(C)c1 ZINC000414152826 1119918704 /nfs/dbraw/zinc/91/87/04/1119918704.db2.gz XJKCVRWGTDGTBO-MNOVXSKESA-N 1 2 257.324 3.698 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000414154789 1119919515 /nfs/dbraw/zinc/91/95/15/1119919515.db2.gz LMBVKAGAYGSZET-UMVBOHGHSA-N 1 2 285.391 3.557 20 0 CHADLO CCn1ccc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)n1 ZINC000414216066 1119943407 /nfs/dbraw/zinc/94/34/07/1119943407.db2.gz ZHPYIEAPOYXWLY-SNVBAGLBSA-N 1 2 281.762 3.546 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@H](C)c1csnn1 ZINC000414309559 1119975122 /nfs/dbraw/zinc/97/51/22/1119975122.db2.gz VCLKBMZTXFEHBA-NXEZZACHSA-N 1 2 279.434 3.672 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2cc(C)cc(C)c2)no1 ZINC000613529988 1119975947 /nfs/dbraw/zinc/97/59/47/1119975947.db2.gz SJNKXJAOJOOTOU-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2cc(C)cc(C)c2)no1 ZINC000613529988 1119975950 /nfs/dbraw/zinc/97/59/50/1119975950.db2.gz SJNKXJAOJOOTOU-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO COc1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2C)c(C(F)(F)F)c1 ZINC001143472248 1119994588 /nfs/dbraw/zinc/99/45/88/1119994588.db2.gz BMSBCUFRHKUSAT-KOLCDFICSA-N 1 2 291.288 3.646 20 0 CHADLO COc1ccc(C[N@H+]2C[C@@H](F)C[C@H]2C)c(C(F)(F)F)c1 ZINC001143472248 1119994591 /nfs/dbraw/zinc/99/45/91/1119994591.db2.gz BMSBCUFRHKUSAT-KOLCDFICSA-N 1 2 291.288 3.646 20 0 CHADLO FC(F)[C@H](Cc1ccccc1)[NH2+][C@@H]1C[C@H]1c1ccco1 ZINC000414353556 1119994891 /nfs/dbraw/zinc/99/48/91/1119994891.db2.gz VYTQSYCPVWMCAQ-MCIONIFRSA-N 1 2 277.314 3.602 20 0 CHADLO FC(F)[C@@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000685919191 1119999182 /nfs/dbraw/zinc/99/91/82/1119999182.db2.gz BLQWHTRCOPXQEF-SECBINFHSA-N 1 2 282.721 3.980 20 0 CHADLO Cc1occc1C[N@@H+]1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000414370428 1120001845 /nfs/dbraw/zinc/00/18/45/1120001845.db2.gz LOYOZXXQEMTJOX-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1occc1C[N@H+]1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000414370428 1120001853 /nfs/dbraw/zinc/00/18/53/1120001853.db2.gz LOYOZXXQEMTJOX-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO CCc1cc(N[C@@H](CCCO)c2ccccc2)nc(CC)[nH+]1 ZINC000892653957 1120014379 /nfs/dbraw/zinc/01/43/79/1120014379.db2.gz GKQLKQLKPFIPPE-INIZCTEOSA-N 1 2 299.418 3.527 20 0 CHADLO Cc1occc1C[N@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000414394151 1120017264 /nfs/dbraw/zinc/01/72/64/1120017264.db2.gz FEFGUPWZEKZLGH-ABAIWWIYSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1occc1C[N@@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000414394151 1120017269 /nfs/dbraw/zinc/01/72/69/1120017269.db2.gz FEFGUPWZEKZLGH-ABAIWWIYSA-N 1 2 277.389 3.612 20 0 CHADLO CCCCOCC[NH2+][C@H](C)c1nc(C2CCCCC2)no1 ZINC000348548925 1120028380 /nfs/dbraw/zinc/02/83/80/1120028380.db2.gz HMCOVCMVJVQNAG-CYBMUJFWSA-N 1 2 295.427 3.585 20 0 CHADLO Cc1ccc(C)c(N(C)C(=O)Nc2ccn3cc[nH+]c3c2)c1 ZINC001670977150 1120034658 /nfs/dbraw/zinc/03/46/58/1120034658.db2.gz LHFKOZRLCSMHOD-UHFFFAOYSA-N 1 2 294.358 3.619 20 0 CHADLO Fc1cc(Cl)ccc1N1CC[C@H](Nc2cccc[nH+]2)C1 ZINC000624682753 1120049518 /nfs/dbraw/zinc/04/95/18/1120049518.db2.gz SAKJGKWPJMLXSK-LBPRGKRZSA-N 1 2 291.757 3.565 20 0 CHADLO CC[N@H+](CCC[C@@H]1CCOC1)c1ccc(C)cc1C ZINC001167709349 1120056415 /nfs/dbraw/zinc/05/64/15/1120056415.db2.gz WZJYVVBLJPFBEW-MRXNPFEDSA-N 1 2 261.409 3.946 20 0 CHADLO CC[N@@H+](CCC[C@@H]1CCOC1)c1ccc(C)cc1C ZINC001167709349 1120056422 /nfs/dbraw/zinc/05/64/22/1120056422.db2.gz WZJYVVBLJPFBEW-MRXNPFEDSA-N 1 2 261.409 3.946 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C3CC4(CC4)C3)cc2)[nH+]c1C ZINC000921656919 1120056967 /nfs/dbraw/zinc/05/69/67/1120056967.db2.gz YJZZDTHKWBQIBL-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1cc(NC(=O)COc2cc(C(C)C)ccc2C)cc[nH+]1 ZINC000017820709 1120060872 /nfs/dbraw/zinc/06/08/72/1120060872.db2.gz NAZXQHABEORDDX-UHFFFAOYSA-N 1 2 298.386 3.839 20 0 CHADLO Fc1ccc(F)c(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001239712042 1120075964 /nfs/dbraw/zinc/07/59/64/1120075964.db2.gz SSVVDDKOULJYAN-UHFFFAOYSA-N 1 2 274.245 3.957 20 0 CHADLO CC(C)n1cc2c(n1)[C@@H]([NH2+][C@H](C)c1ccco1)CCC2 ZINC000765819187 1120076228 /nfs/dbraw/zinc/07/62/28/1120076228.db2.gz QSZNITZQQHFMJJ-OCCSQVGLSA-N 1 2 273.380 3.785 20 0 CHADLO CC(C)n1cc2c(n1)[C@@H]([NH2+][C@@H](C)c1ccco1)CCC2 ZINC000765819184 1120076619 /nfs/dbraw/zinc/07/66/19/1120076619.db2.gz QSZNITZQQHFMJJ-JSGCOSHPSA-N 1 2 273.380 3.785 20 0 CHADLO CCC[NH+](CCC)Cn1nc(OC(C)C)sc1=S ZINC000098051328 1120098282 /nfs/dbraw/zinc/09/82/82/1120098282.db2.gz HHPMJKFROFUNAG-UHFFFAOYSA-N 1 2 289.470 3.541 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)co1 ZINC000659811317 1120115968 /nfs/dbraw/zinc/11/59/68/1120115968.db2.gz QDVXIDUUIMPHAJ-LLVKDONJSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)co1 ZINC000659811317 1120115972 /nfs/dbraw/zinc/11/59/72/1120115972.db2.gz QDVXIDUUIMPHAJ-LLVKDONJSA-N 1 2 270.332 3.922 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cnc2ccsc2c1)c1ccon1 ZINC000542698117 1120122050 /nfs/dbraw/zinc/12/20/50/1120122050.db2.gz DCEYLYRPTSSEDL-UWVGGRQHSA-N 1 2 273.361 3.696 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2ncco2)c(C)s1 ZINC000924602354 1120132126 /nfs/dbraw/zinc/13/21/26/1120132126.db2.gz LXMHZIYKNHJWIW-VHSXEESVSA-N 1 2 250.367 3.765 20 0 CHADLO Cc1nc(N[C@H]2c3cccc(F)c3CC[C@H]2C)cc[nH+]1 ZINC000431837513 1120132762 /nfs/dbraw/zinc/13/27/62/1120132762.db2.gz LZMFRSFYOZLYAD-QLJPJBMISA-N 1 2 271.339 3.660 20 0 CHADLO Cc1ccc(CSC[C@H]2CCO[C@H](C)C2)c(C)[nH+]1 ZINC000362461787 1120142320 /nfs/dbraw/zinc/14/23/20/1120142320.db2.gz YLEPTLZBKNMOOI-OCCSQVGLSA-N 1 2 265.422 3.747 20 0 CHADLO CC[N@H+](Cc1cc(C)nc(C)n1)Cc1ccccc1Cl ZINC000659836666 1120142685 /nfs/dbraw/zinc/14/26/85/1120142685.db2.gz UHJXGMIWIQVMOQ-UHFFFAOYSA-N 1 2 289.810 3.769 20 0 CHADLO CC[N@@H+](Cc1cc(C)nc(C)n1)Cc1ccccc1Cl ZINC000659836666 1120142686 /nfs/dbraw/zinc/14/26/86/1120142686.db2.gz UHJXGMIWIQVMOQ-UHFFFAOYSA-N 1 2 289.810 3.769 20 0 CHADLO CCc1nc(C[N@@H+]2CCc3c(C)cc(C)cc3C2)cs1 ZINC000362529960 1120150754 /nfs/dbraw/zinc/15/07/54/1120150754.db2.gz UMIIWFCGKFVNHV-UHFFFAOYSA-N 1 2 286.444 3.881 20 0 CHADLO CCc1nc(C[N@H+]2CCc3c(C)cc(C)cc3C2)cs1 ZINC000362529960 1120150755 /nfs/dbraw/zinc/15/07/55/1120150755.db2.gz UMIIWFCGKFVNHV-UHFFFAOYSA-N 1 2 286.444 3.881 20 0 CHADLO FC(F)(F)c1nc(C[NH2+]C2(c3ccccc3)CC2)cs1 ZINC000892883363 1120155589 /nfs/dbraw/zinc/15/55/89/1120155589.db2.gz BPLKJOYWRDHHDL-UHFFFAOYSA-N 1 2 298.333 3.941 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1noc2c1CCCC2 ZINC000108854444 1120172824 /nfs/dbraw/zinc/17/28/24/1120172824.db2.gz XCOLNPHEJVHDPL-NSHDSACASA-N 1 2 276.405 3.808 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1noc2c1CCCC2 ZINC000108854444 1120172827 /nfs/dbraw/zinc/17/28/27/1120172827.db2.gz XCOLNPHEJVHDPL-NSHDSACASA-N 1 2 276.405 3.808 20 0 CHADLO Cc1c2ccccc2oc1C[NH2+]Cc1nnc(C2CC2)s1 ZINC000892957706 1120180329 /nfs/dbraw/zinc/18/03/29/1120180329.db2.gz SWMFXVGOUBBZRB-UHFFFAOYSA-N 1 2 299.399 3.760 20 0 CHADLO CCOC(=O)c1c[nH+]c2c(C)cc(C)cc2c1N1CCCC1 ZINC000303866752 1120186863 /nfs/dbraw/zinc/18/68/63/1120186863.db2.gz NEYJLMCMZZFRJW-UHFFFAOYSA-N 1 2 298.386 3.629 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccoc2C)c1Cl ZINC000659853320 1120193008 /nfs/dbraw/zinc/19/30/08/1120193008.db2.gz QPSMZJGBRWGHHU-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccoc2C)c1Cl ZINC000659853320 1120193012 /nfs/dbraw/zinc/19/30/12/1120193012.db2.gz QPSMZJGBRWGHHU-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO CC[C@@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)c1ccc(Cl)cc1 ZINC000745080797 1120193919 /nfs/dbraw/zinc/19/39/19/1120193919.db2.gz UOTWZGZAFGVFCN-LSDHHAIUSA-N 1 2 295.810 3.819 20 0 CHADLO CC[C@@H](OC(=O)[C@@H]1CCCC[N@H+]1C)c1ccc(Cl)cc1 ZINC000745080797 1120193921 /nfs/dbraw/zinc/19/39/21/1120193921.db2.gz UOTWZGZAFGVFCN-LSDHHAIUSA-N 1 2 295.810 3.819 20 0 CHADLO CC[C@@H](OC(=O)[C@H]1CCCC[N@@H+]1C)c1ccc(Cl)cc1 ZINC000745080796 1120194171 /nfs/dbraw/zinc/19/41/71/1120194171.db2.gz UOTWZGZAFGVFCN-HUUCEWRRSA-N 1 2 295.810 3.819 20 0 CHADLO CC[C@@H](OC(=O)[C@H]1CCCC[N@H+]1C)c1ccc(Cl)cc1 ZINC000745080796 1120194173 /nfs/dbraw/zinc/19/41/73/1120194173.db2.gz UOTWZGZAFGVFCN-HUUCEWRRSA-N 1 2 295.810 3.819 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)o1 ZINC000893010115 1120197187 /nfs/dbraw/zinc/19/71/87/1120197187.db2.gz GCGFVQBIWKAIIG-SECBINFHSA-N 1 2 283.730 3.932 20 0 CHADLO Nc1ccc(Cl)cc1Nc1ccccc1-n1cc[nH+]c1 ZINC001212435624 1120198023 /nfs/dbraw/zinc/19/80/23/1120198023.db2.gz ISTZQUMFXGFFGS-UHFFFAOYSA-N 1 2 284.750 3.852 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1nsc2ccccc12 ZINC000659870108 1120223222 /nfs/dbraw/zinc/22/32/22/1120223222.db2.gz BJGOCALTMDDOTF-UHFFFAOYSA-N 1 2 272.373 3.911 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1nsc2ccccc12 ZINC000659870108 1120223225 /nfs/dbraw/zinc/22/32/25/1120223225.db2.gz BJGOCALTMDDOTF-UHFFFAOYSA-N 1 2 272.373 3.911 20 0 CHADLO Cl/C=C(\Cl)COc1cccc(-n2cc[nH+]c2)c1 ZINC000177948186 1120224525 /nfs/dbraw/zinc/22/45/25/1120224525.db2.gz SKVICVOAHSRVGR-YFHOEESVSA-N 1 2 269.131 3.570 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cc(C)cnc2Cl)s1 ZINC000921815078 1120225506 /nfs/dbraw/zinc/22/55/06/1120225506.db2.gz HPFIKRSDMHDZNQ-SNVBAGLBSA-N 1 2 281.812 3.659 20 0 CHADLO COc1ccc(-c2cccc3[nH+]c(C)cn32)cc1Cl ZINC001240030965 1120232993 /nfs/dbraw/zinc/23/29/93/1120232993.db2.gz FVCCLDJQDDLROD-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO C[C@H](Nc1c(Br)ccc2[nH+]ccn21)C(C)(C)C ZINC001168686356 1120247803 /nfs/dbraw/zinc/24/78/03/1120247803.db2.gz MNOCHHMJYASZHU-VIFPVBQESA-N 1 2 296.212 3.943 20 0 CHADLO Fc1cccc(Cl)c1CNc1cc2cc[nH]c2c[nH+]1 ZINC000672195528 1120251632 /nfs/dbraw/zinc/25/16/32/1120251632.db2.gz QWAZBVLXYVIFPS-UHFFFAOYSA-N 1 2 275.714 3.968 20 0 CHADLO CCOC(=O)CCc1ccc[nH+]c1N[C@H](C)C[C@H](C)CC ZINC001168691092 1120261731 /nfs/dbraw/zinc/26/17/31/1120261731.db2.gz STOKQQKKLIHJEY-ZIAGYGMSSA-N 1 2 292.423 3.814 20 0 CHADLO Cc1cc(NCc2nc(C(C)(C)C)co2)ccc1[NH+](C)C ZINC000921876328 1120262217 /nfs/dbraw/zinc/26/22/17/1120262217.db2.gz TZDLDYTYYXSUFK-UHFFFAOYSA-N 1 2 287.407 3.959 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1cc(C2(C)OCCO2)cc[nH+]1 ZINC001168691786 1120264287 /nfs/dbraw/zinc/26/42/87/1120264287.db2.gz DOIRAAYVUJCLCW-CHWSQXEVSA-N 1 2 278.396 3.538 20 0 CHADLO CC[C@]1(C)CN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000672261296 1120270053 /nfs/dbraw/zinc/27/00/53/1120270053.db2.gz INGGDOHHBJTTHA-MRXNPFEDSA-N 1 2 290.794 3.894 20 0 CHADLO C[C@H](CC(=O)N1CCC(C)(C)CC(C)(C)C1)n1cc[nH+]c1 ZINC000618281392 1128903678 /nfs/dbraw/zinc/90/36/78/1128903678.db2.gz CYUTYMWQFGAFJY-CQSZACIVSA-N 1 2 291.439 3.509 20 0 CHADLO FC(F)Oc1cccc(Cl)c1C[NH2+]Cc1ccco1 ZINC000112709339 1120294184 /nfs/dbraw/zinc/29/41/84/1120294184.db2.gz WOXOQZHHOLVECI-UHFFFAOYSA-N 1 2 287.693 3.824 20 0 CHADLO c1cn2cc(-c3ccc4csnc4c3)ccc2[nH+]1 ZINC001240218529 1120302905 /nfs/dbraw/zinc/30/29/05/1120302905.db2.gz QAJZCISAVIAATH-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO CCOc1ccc(-c2ccc3[nH+]ccn3c2)cc1F ZINC001240218843 1120303143 /nfs/dbraw/zinc/30/31/43/1120303143.db2.gz LYHLKIQFMZRBBU-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO CCOc1ccc(-c2ccc3[nH+]ccn3c2)c(F)c1 ZINC001240221607 1120304808 /nfs/dbraw/zinc/30/48/08/1120304808.db2.gz NXFGBSVJQYNETL-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO CCO[C@@H](COc1cc(C)[nH+]c2ccccc21)C1CC1 ZINC000629361840 1128907776 /nfs/dbraw/zinc/90/77/76/1128907776.db2.gz HGNGYPRVQPVYPT-KRWDZBQOSA-N 1 2 271.360 3.737 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cnc(F)c(C)c2)c1 ZINC000893243782 1120332536 /nfs/dbraw/zinc/33/25/36/1120332536.db2.gz IJCJBFJUHGOFJT-UHFFFAOYSA-N 1 2 291.395 3.789 20 0 CHADLO C[C@H](c1ccccc1)N1CC[C@H]([NH2+][C@H]2CCCC2(F)F)C1 ZINC000672622239 1120335916 /nfs/dbraw/zinc/33/59/16/1120335916.db2.gz DJTASVCARFRAOI-KBMXLJTQSA-N 1 2 294.389 3.599 20 0 CHADLO COCCOc1ccc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000672622108 1120335953 /nfs/dbraw/zinc/33/59/53/1120335953.db2.gz RWTMQCSPEBVXJH-DOMZBBRYSA-N 1 2 299.361 3.550 20 0 CHADLO CC(C)([NH2+]Cc1cscc1Cl)c1nccs1 ZINC000309675777 1120343497 /nfs/dbraw/zinc/34/34/97/1120343497.db2.gz LRDHVSZMYOYEOM-UHFFFAOYSA-N 1 2 272.826 3.883 20 0 CHADLO CNc1ccc([NH2+]C[C@H]2CCOc3ccccc32)cc1 ZINC000629389283 1128910202 /nfs/dbraw/zinc/91/02/02/1128910202.db2.gz URQPTWBIIYBHQH-CYBMUJFWSA-N 1 2 268.360 3.707 20 0 CHADLO CCN(CC)c1ncc(CNc2ccc([NH2+]C)cc2)s1 ZINC000629389330 1128910361 /nfs/dbraw/zinc/91/03/61/1128910361.db2.gz JOFRGWSNQRISSX-UHFFFAOYSA-N 1 2 290.436 3.643 20 0 CHADLO CC[C@](C)([NH2+]Cc1cnc2ccccc2n1)c1nccs1 ZINC000660079573 1120367323 /nfs/dbraw/zinc/36/73/23/1120367323.db2.gz LPMHREBKOGPWFB-INIZCTEOSA-N 1 2 298.415 3.501 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+][C@@H](C)c1c(F)cccc1F ZINC000078679184 1120398930 /nfs/dbraw/zinc/39/89/30/1120398930.db2.gz HQQGZLBXHAZJND-BDAKNGLRSA-N 1 2 280.318 3.981 20 0 CHADLO C[C@H](CCSc1ccccc1)N1C[C@@H](C)[N@@H+](C)[C@@H](C)C1 ZINC000584708769 1120417597 /nfs/dbraw/zinc/41/75/97/1120417597.db2.gz BKFOJDUPJURAIO-OAGGEKHMSA-N 1 2 292.492 3.582 20 0 CHADLO C[C@H](CCSc1ccccc1)N1C[C@@H](C)[N@H+](C)[C@@H](C)C1 ZINC000584708769 1120417600 /nfs/dbraw/zinc/41/76/00/1120417600.db2.gz BKFOJDUPJURAIO-OAGGEKHMSA-N 1 2 292.492 3.582 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccccc2OC(C)C)c(C)o1 ZINC000660183095 1120421382 /nfs/dbraw/zinc/42/13/82/1120421382.db2.gz ZCOQJQSHUDLRTI-LBPRGKRZSA-N 1 2 288.391 3.929 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]Cc1cc(C2CC2)no1 ZINC000660185613 1120423468 /nfs/dbraw/zinc/42/34/68/1120423468.db2.gz UBLVUHGNARPYDY-JTQLQIEISA-N 1 2 290.338 3.551 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000079288049 1120431929 /nfs/dbraw/zinc/43/19/29/1120431929.db2.gz KOEYLDDYXDUXOD-RYUDHWBXSA-N 1 2 285.391 3.669 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)C[N@@H+]1Cc1nnsc1Cl ZINC000115555394 1120441310 /nfs/dbraw/zinc/44/13/10/1120441310.db2.gz LTLQEBNMUJIVEX-ZYHUDNBSSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)C[N@H+]1Cc1nnsc1Cl ZINC000115555394 1120441313 /nfs/dbraw/zinc/44/13/13/1120441313.db2.gz LTLQEBNMUJIVEX-ZYHUDNBSSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1nc2ccccc2s1 ZINC000079601575 1120442537 /nfs/dbraw/zinc/44/25/37/1120442537.db2.gz LRFKMQCHZVTCOC-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1nc2ccccc2s1 ZINC000079601575 1120442538 /nfs/dbraw/zinc/44/25/38/1120442538.db2.gz LRFKMQCHZVTCOC-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(F)F)c1cc2ccncc2s1 ZINC000641792543 1120448815 /nfs/dbraw/zinc/44/88/15/1120448815.db2.gz WVBLMMBKMCKUHG-HTQZYQBOSA-N 1 2 256.321 3.601 20 0 CHADLO Fc1cccc(CC[N@@H+](CC(F)F)CC2CCC2)c1F ZINC000543194554 1120459122 /nfs/dbraw/zinc/45/91/22/1120459122.db2.gz VHEUISBXYMJJMB-UHFFFAOYSA-N 1 2 289.316 3.875 20 0 CHADLO Fc1cccc(CC[N@H+](CC(F)F)CC2CCC2)c1F ZINC000543194554 1120459124 /nfs/dbraw/zinc/45/91/24/1120459124.db2.gz VHEUISBXYMJJMB-UHFFFAOYSA-N 1 2 289.316 3.875 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2cc(C3CC3)no2)C2CC2)s1 ZINC000660254238 1120468975 /nfs/dbraw/zinc/46/89/75/1120468975.db2.gz QVYSGNIFDIIEAL-AWEZNQCLSA-N 1 2 289.404 3.558 20 0 CHADLO CCn1c[nH+]cc1CN(C)Cc1c(Cl)cccc1Cl ZINC000417727964 1120478190 /nfs/dbraw/zinc/47/81/90/1120478190.db2.gz OOMDISOVDKLHSY-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO C[C@H](OC(=O)[C@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000182001417 1128919261 /nfs/dbraw/zinc/91/92/61/1128919261.db2.gz BSICPMFNPOANGM-YOEHRIQHSA-N 1 2 283.371 3.594 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(-c2nc(C)co2)ccc1C ZINC000417847864 1120486293 /nfs/dbraw/zinc/48/62/93/1120486293.db2.gz ZSDZRBAUZDCCLW-UHFFFAOYSA-N 1 2 296.374 3.787 20 0 CHADLO Fc1ccccc1CCC[N@@H+]1CCCCC(F)(F)C1 ZINC001207490644 1120530610 /nfs/dbraw/zinc/53/06/10/1120530610.db2.gz WPAGAFDDBZNAKM-UHFFFAOYSA-N 1 2 271.326 3.880 20 0 CHADLO Fc1ccccc1CCC[N@H+]1CCCCC(F)(F)C1 ZINC001207490644 1120530614 /nfs/dbraw/zinc/53/06/14/1120530614.db2.gz WPAGAFDDBZNAKM-UHFFFAOYSA-N 1 2 271.326 3.880 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccccc1C1CC1 ZINC000397961103 1120537024 /nfs/dbraw/zinc/53/70/24/1120537024.db2.gz PJDHMERUPVLKOR-AWEZNQCLSA-N 1 2 251.320 3.841 20 0 CHADLO c1nc(C[NH2+]Cc2cccc(COc3ccccc3)c2)co1 ZINC000428852417 1120537729 /nfs/dbraw/zinc/53/77/29/1120537729.db2.gz VNAJEEYYKNZPPX-UHFFFAOYSA-N 1 2 294.354 3.543 20 0 CHADLO C[N@H+](Cc1ccn(C(F)F)n1)Cc1ccc(Cl)cc1 ZINC000347722923 1120547750 /nfs/dbraw/zinc/54/77/50/1120547750.db2.gz PCZDWQCUUKAIJK-UHFFFAOYSA-N 1 2 285.725 3.564 20 0 CHADLO C[N@@H+](Cc1ccn(C(F)F)n1)Cc1ccc(Cl)cc1 ZINC000347722923 1120547753 /nfs/dbraw/zinc/54/77/53/1120547753.db2.gz PCZDWQCUUKAIJK-UHFFFAOYSA-N 1 2 285.725 3.564 20 0 CHADLO c1coc([C@@H]([NH2+]Cc2ccccn2)c2ccccc2)c1 ZINC000019957790 1120551101 /nfs/dbraw/zinc/55/11/01/1120551101.db2.gz OSFLTHWDKRXXHC-KRWDZBQOSA-N 1 2 264.328 3.554 20 0 CHADLO CC[N@@H+]1CCC[C@@H]1C[NH2+][C@@H](C)c1ccc(SC)cc1 ZINC000019960910 1120553645 /nfs/dbraw/zinc/55/36/45/1120553645.db2.gz UEIBCRSWBMYJRH-DZGCQCFKSA-N 1 2 278.465 3.543 20 0 CHADLO CCCOc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000398016562 1120555492 /nfs/dbraw/zinc/55/54/92/1120555492.db2.gz XASZZNOVHDQSEB-AWEZNQCLSA-N 1 2 269.335 3.753 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)cs1)c1cc(F)ccc1OC ZINC000922621398 1120564107 /nfs/dbraw/zinc/56/41/07/1120564107.db2.gz LCVNFLFRKMKOHM-ZDUSSCGKSA-N 1 2 294.395 3.840 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1coc(C)n1 ZINC000660411827 1120587943 /nfs/dbraw/zinc/58/79/43/1120587943.db2.gz YQILJXRYWRAZKS-UKTHLTGXSA-N 1 2 256.349 3.518 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1coc(C)n1 ZINC000660411827 1120587947 /nfs/dbraw/zinc/58/79/47/1120587947.db2.gz YQILJXRYWRAZKS-UKTHLTGXSA-N 1 2 256.349 3.518 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ncc(C(C)(C)C)s2)c(C)c1 ZINC000922739939 1120590087 /nfs/dbraw/zinc/59/00/87/1120590087.db2.gz ARRYPBUERRQWBP-UHFFFAOYSA-N 1 2 289.448 3.742 20 0 CHADLO COc1cc[nH+]cc1NCCc1ccc2ccccc2c1 ZINC001168734257 1120593609 /nfs/dbraw/zinc/59/36/09/1120593609.db2.gz WKAURIWIQZMIOI-UHFFFAOYSA-N 1 2 278.355 3.898 20 0 CHADLO Clc1ccccc1-c1cc(-n2ccnc2)cc[nH+]1 ZINC001222428782 1120597128 /nfs/dbraw/zinc/59/71/28/1120597128.db2.gz YAWCLVOODVEERE-UHFFFAOYSA-N 1 2 255.708 3.588 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)CC(=O)OC(C)(C)C ZINC000779419643 1128926950 /nfs/dbraw/zinc/92/69/50/1128926950.db2.gz YOTQUUBAIPRVIY-ZDUSSCGKSA-N 1 2 292.423 3.593 20 0 CHADLO CCCCC[C@@](C)(CC)C(=O)N(C)CCCn1cc[nH+]c1 ZINC000780926767 1120600396 /nfs/dbraw/zinc/60/03/96/1120600396.db2.gz IJHHTJNYHNFTHO-QGZVFWFLSA-N 1 2 293.455 3.728 20 0 CHADLO Fc1ccc(C[NH2+][C@H]2CCCC2(F)F)c2ncccc12 ZINC000673617775 1120601247 /nfs/dbraw/zinc/60/12/47/1120601247.db2.gz IPKLRNXHMBNQKI-ZDUSSCGKSA-N 1 2 280.293 3.651 20 0 CHADLO COC1(CNc2ccc([NH+](C)C)cc2C)CCCCC1 ZINC000319563076 1120608373 /nfs/dbraw/zinc/60/83/73/1120608373.db2.gz FDNDDBQFBKDMNL-UHFFFAOYSA-N 1 2 276.424 3.822 20 0 CHADLO Clc1cccc2c1C[C@H]([NH+]1CC(Oc3ccccc3)C1)C2 ZINC001168736754 1120623229 /nfs/dbraw/zinc/62/32/29/1120623229.db2.gz ZZJLGICTXNVAAL-CQSZACIVSA-N 1 2 299.801 3.570 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2ccccc2Cl)nn1C ZINC000282408972 1120625670 /nfs/dbraw/zinc/62/56/70/1120625670.db2.gz YDOIYPWCSYKWRI-NWDGAFQWSA-N 1 2 277.799 3.794 20 0 CHADLO C(c1noc(C2CCC2)n1)[N@@H+](CC1CCCCC1)C1CC1 ZINC000625089133 1120631693 /nfs/dbraw/zinc/63/16/93/1120631693.db2.gz MISYUKFHZHQORS-UHFFFAOYSA-N 1 2 289.423 3.882 20 0 CHADLO C(c1noc(C2CCC2)n1)[N@H+](CC1CCCCC1)C1CC1 ZINC000625089133 1120631697 /nfs/dbraw/zinc/63/16/97/1120631697.db2.gz MISYUKFHZHQORS-UHFFFAOYSA-N 1 2 289.423 3.882 20 0 CHADLO C[C@@H](C[N@H+](C)Cc1noc(C2CCC2)n1)c1ccccc1 ZINC000625133720 1120637701 /nfs/dbraw/zinc/63/77/01/1120637701.db2.gz LHDUNNZNECBBGR-ZDUSSCGKSA-N 1 2 285.391 3.573 20 0 CHADLO C[C@@H](C[N@@H+](C)Cc1noc(C2CCC2)n1)c1ccccc1 ZINC000625133720 1120637703 /nfs/dbraw/zinc/63/77/03/1120637703.db2.gz LHDUNNZNECBBGR-ZDUSSCGKSA-N 1 2 285.391 3.573 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H](C)c2ccco2)c(C)[nH+]1 ZINC000636581812 1120646690 /nfs/dbraw/zinc/64/66/90/1120646690.db2.gz LUTGTXSQNLRQCO-SNVBAGLBSA-N 1 2 272.348 3.732 20 0 CHADLO CCC[C@]1(C)CCC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625207142 1120646696 /nfs/dbraw/zinc/64/66/96/1120646696.db2.gz XONYXOAFKUYKFX-MRXNPFEDSA-N 1 2 277.412 3.739 20 0 CHADLO CCC[C@]1(C)CCC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625207142 1120646698 /nfs/dbraw/zinc/64/66/98/1120646698.db2.gz XONYXOAFKUYKFX-MRXNPFEDSA-N 1 2 277.412 3.739 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H](C)c2ccco2)c(C)[nH+]1 ZINC000636581811 1120646741 /nfs/dbraw/zinc/64/67/41/1120646741.db2.gz LUTGTXSQNLRQCO-JTQLQIEISA-N 1 2 272.348 3.732 20 0 CHADLO CC(C)c1cccc(C[N@@H+]2CCOC[C@@H]2CC(F)F)c1 ZINC000660545374 1120654863 /nfs/dbraw/zinc/65/48/63/1120654863.db2.gz GPXCTRXPBCBBST-HNNXBMFYSA-N 1 2 283.362 3.666 20 0 CHADLO CC(C)c1cccc(C[N@H+]2CCOC[C@@H]2CC(F)F)c1 ZINC000660545374 1120654866 /nfs/dbraw/zinc/65/48/66/1120654866.db2.gz GPXCTRXPBCBBST-HNNXBMFYSA-N 1 2 283.362 3.666 20 0 CHADLO C[C@@H](c1cc(Cl)ccc1Cl)N1CCn2c[nH+]cc2C1 ZINC000660582287 1120664364 /nfs/dbraw/zinc/66/43/64/1120664364.db2.gz OPTBUUTYKULPRM-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2cc(O)ccc2Cl)s1 ZINC000625322282 1120667981 /nfs/dbraw/zinc/66/79/81/1120667981.db2.gz GMKQBFUGDGFLSD-UHFFFAOYSA-N 1 2 296.823 3.835 20 0 CHADLO CCCC[N@H+](CC)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000026636359 1120669796 /nfs/dbraw/zinc/66/97/96/1120669796.db2.gz JHFUUUHTOWIKMW-UHFFFAOYSA-N 1 2 290.436 3.687 20 0 CHADLO CCCC[N@@H+](CC)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000026636359 1120669798 /nfs/dbraw/zinc/66/97/98/1120669798.db2.gz JHFUUUHTOWIKMW-UHFFFAOYSA-N 1 2 290.436 3.687 20 0 CHADLO CCC[C@@H](C)CCC[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000625358734 1120671751 /nfs/dbraw/zinc/67/17/51/1120671751.db2.gz AZNVWDYKJHHBMV-GDBMZVCRSA-N 1 2 286.423 3.700 20 0 CHADLO CC(C)[N@H+](Cc1noc(C2CCC2)n1)C1CC(C)(C)C1 ZINC000625463255 1120686263 /nfs/dbraw/zinc/68/62/63/1120686263.db2.gz JKDPRBOLJGOHKS-UHFFFAOYSA-N 1 2 277.412 3.736 20 0 CHADLO CC(C)[N@@H+](Cc1noc(C2CCC2)n1)C1CC(C)(C)C1 ZINC000625463255 1120686265 /nfs/dbraw/zinc/68/62/65/1120686265.db2.gz JKDPRBOLJGOHKS-UHFFFAOYSA-N 1 2 277.412 3.736 20 0 CHADLO C[N@H+](CC1CCCCCC1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660764392 1120686972 /nfs/dbraw/zinc/68/69/72/1120686972.db2.gz OIYDKKMTSFLFMG-KRWDZBQOSA-N 1 2 298.434 3.796 20 0 CHADLO C[N@@H+](CC1CCCCCC1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660764392 1120686976 /nfs/dbraw/zinc/68/69/76/1120686976.db2.gz OIYDKKMTSFLFMG-KRWDZBQOSA-N 1 2 298.434 3.796 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(N(C)C)n1)c1ccccc1Cl ZINC000673894783 1120689528 /nfs/dbraw/zinc/68/95/28/1120689528.db2.gz NWHNNCPIJXRDIT-SNVBAGLBSA-N 1 2 295.839 3.713 20 0 CHADLO Cc1nc(C[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)co1 ZINC000660907743 1120699786 /nfs/dbraw/zinc/69/97/86/1120699786.db2.gz UKPJFZHCMZBQBT-QGZVFWFLSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1nc(C[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)co1 ZINC000660907743 1120699787 /nfs/dbraw/zinc/69/97/87/1120699787.db2.gz UKPJFZHCMZBQBT-QGZVFWFLSA-N 1 2 280.371 3.876 20 0 CHADLO Fc1cc(N[C@H]2CCCSCC2)ccc1-n1cc[nH+]c1 ZINC000657960575 1120702563 /nfs/dbraw/zinc/70/25/63/1120702563.db2.gz VQVNOEFKUVBPDS-LBPRGKRZSA-N 1 2 291.395 3.709 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)oc1C)c1ccccc1F ZINC000660924156 1120702920 /nfs/dbraw/zinc/70/29/20/1120702920.db2.gz UZZDZIVWAGHTAF-CQSZACIVSA-N 1 2 262.328 3.671 20 0 CHADLO CCc1ccc(CC(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000132898525 1120703666 /nfs/dbraw/zinc/70/36/66/1120703666.db2.gz BWEVNHPXSHBDDR-UHFFFAOYSA-N 1 2 282.387 3.750 20 0 CHADLO CC(C)c1nc(C[NH2+][C@H](C)c2cccnc2)c2ccccn21 ZINC000651670793 1120705309 /nfs/dbraw/zinc/70/53/09/1120705309.db2.gz WOPLWZUQLLZNAB-CQSZACIVSA-N 1 2 294.402 3.704 20 0 CHADLO c1coc(C2CC[NH+](Cc3csc(C4CC4)n3)CC2)c1 ZINC000661162085 1120730448 /nfs/dbraw/zinc/73/04/48/1120730448.db2.gz FZDZIPUSSMSFND-UHFFFAOYSA-N 1 2 288.416 3.993 20 0 CHADLO C[NH+](C)c1ccc(NCc2cnc3ccccc3c2)cc1 ZINC000313464765 1120732251 /nfs/dbraw/zinc/73/22/51/1120732251.db2.gz XAJNWGYRKNFQEU-UHFFFAOYSA-N 1 2 277.371 3.913 20 0 CHADLO CCCOc1ccc(Nc2[nH+]cccc2N(C)C)cc1F ZINC001211668206 1120763184 /nfs/dbraw/zinc/76/31/84/1120763184.db2.gz PQYCBYXTDTYEAS-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO C[C@@H](c1cnccn1)[N@@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661435461 1120766408 /nfs/dbraw/zinc/76/64/08/1120766408.db2.gz HMSQNEPHRLTFKH-BBRMVZONSA-N 1 2 283.375 3.748 20 0 CHADLO C[C@@H](c1cnccn1)[N@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661435461 1120766410 /nfs/dbraw/zinc/76/64/10/1120766410.db2.gz HMSQNEPHRLTFKH-BBRMVZONSA-N 1 2 283.375 3.748 20 0 CHADLO CC(C)=CCC[N@@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000661541526 1120777080 /nfs/dbraw/zinc/77/70/80/1120777080.db2.gz QPBFEBNUMYRSBY-GFCCVEGCSA-N 1 2 299.340 3.989 20 0 CHADLO CC(C)=CCC[N@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000661541526 1120777081 /nfs/dbraw/zinc/77/70/81/1120777081.db2.gz QPBFEBNUMYRSBY-GFCCVEGCSA-N 1 2 299.340 3.989 20 0 CHADLO CC(C)=CCC[N@@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000661541529 1120777331 /nfs/dbraw/zinc/77/73/31/1120777331.db2.gz QPBFEBNUMYRSBY-LBPRGKRZSA-N 1 2 299.340 3.989 20 0 CHADLO CC(C)=CCC[N@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000661541529 1120777333 /nfs/dbraw/zinc/77/73/33/1120777333.db2.gz QPBFEBNUMYRSBY-LBPRGKRZSA-N 1 2 299.340 3.989 20 0 CHADLO c1[nH+]c(SCCOc2ccccc2)n2ccccc12 ZINC000047822534 1120795401 /nfs/dbraw/zinc/79/54/01/1120795401.db2.gz HPRUSQJDQFGKMO-UHFFFAOYSA-N 1 2 270.357 3.505 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccccc2Cl)CCC1)c1nccn1C ZINC000652216325 1120799742 /nfs/dbraw/zinc/79/97/42/1120799742.db2.gz QGACNZACYRRFJP-GFCCVEGCSA-N 1 2 289.810 3.804 20 0 CHADLO Fc1ccc([C@H]2CC[N@H+](Cc3ncc(Cl)s3)C2)cc1 ZINC000473140478 1120801074 /nfs/dbraw/zinc/80/10/74/1120801074.db2.gz LMQIQHCGVDVBRR-NSHDSACASA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccc([C@H]2CC[N@@H+](Cc3ncc(Cl)s3)C2)cc1 ZINC000473140478 1120801076 /nfs/dbraw/zinc/80/10/76/1120801076.db2.gz LMQIQHCGVDVBRR-NSHDSACASA-N 1 2 296.798 3.925 20 0 CHADLO COc1c(C)c[nH+]c(CSC[C@H](OC)C2CCC2)c1C ZINC000596352942 1120804446 /nfs/dbraw/zinc/80/44/46/1120804446.db2.gz RUENTSQHWVQSMV-HNNXBMFYSA-N 1 2 295.448 3.755 20 0 CHADLO CCc1cccc(C[NH2+][C@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000652307252 1120816887 /nfs/dbraw/zinc/81/68/87/1120816887.db2.gz QJRWMWSBJFNITF-KRWDZBQOSA-N 1 2 298.434 3.778 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCc3ccc(Cl)cc32)c1 ZINC000652330270 1120828149 /nfs/dbraw/zinc/82/81/49/1120828149.db2.gz BCZURUAGWMOZET-OAHLLOKOSA-N 1 2 288.778 3.981 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccccc2F)c1 ZINC000652329834 1120828301 /nfs/dbraw/zinc/82/83/01/1120828301.db2.gz KSMQWGCNBYRCQE-LLVKDONJSA-N 1 2 260.312 3.540 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(F)c(Cl)c2Cl)on1 ZINC000432251643 1120844911 /nfs/dbraw/zinc/84/49/11/1120844911.db2.gz UHRZUMGENSRYPG-UHFFFAOYSA-N 1 2 289.137 3.719 20 0 CHADLO CCOc1cccc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)c1 ZINC000925741731 1120858303 /nfs/dbraw/zinc/85/83/03/1120858303.db2.gz ZUGDFTXXHNJRBF-ZDUSSCGKSA-N 1 2 285.391 3.791 20 0 CHADLO CC(C)OCc1cccc(-c2c[nH+]c3n2CCCC3)c1 ZINC000630051230 1128945379 /nfs/dbraw/zinc/94/53/79/1128945379.db2.gz OBGYDASCMVWIID-UHFFFAOYSA-N 1 2 270.376 3.811 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2C)[nH]c1C ZINC000628302432 1120884920 /nfs/dbraw/zinc/88/49/20/1120884920.db2.gz CARKNVYFSSSUSN-INIZCTEOSA-N 1 2 287.382 3.811 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2C)[nH]c1C ZINC000628302432 1120884922 /nfs/dbraw/zinc/88/49/22/1120884922.db2.gz CARKNVYFSSSUSN-INIZCTEOSA-N 1 2 287.382 3.811 20 0 CHADLO FC(F)(F)C[C@H]1C[N@H+](Cc2ccc(C3CC3)cc2)CCO1 ZINC000662224729 1120905860 /nfs/dbraw/zinc/90/58/60/1120905860.db2.gz ZJFRMBABNZZNIL-HNNXBMFYSA-N 1 2 299.336 3.717 20 0 CHADLO FC(F)(F)C[C@H]1C[N@@H+](Cc2ccc(C3CC3)cc2)CCO1 ZINC000662224729 1120905861 /nfs/dbraw/zinc/90/58/61/1120905861.db2.gz ZJFRMBABNZZNIL-HNNXBMFYSA-N 1 2 299.336 3.717 20 0 CHADLO C[N@H+](CC1=CCSC1)Cc1nc(C(C)(C)C)cs1 ZINC000662268895 1120914487 /nfs/dbraw/zinc/91/44/87/1120914487.db2.gz PGDSTEVWPRBERY-UHFFFAOYSA-N 1 2 282.478 3.546 20 0 CHADLO C[N@@H+](CC1=CCSC1)Cc1nc(C(C)(C)C)cs1 ZINC000662268895 1120914491 /nfs/dbraw/zinc/91/44/91/1120914491.db2.gz PGDSTEVWPRBERY-UHFFFAOYSA-N 1 2 282.478 3.546 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)cccc1C1CC1 ZINC001213447590 1120919161 /nfs/dbraw/zinc/91/91/61/1120919161.db2.gz FKYKSLBZYACIKQ-UHFFFAOYSA-N 1 2 258.296 3.850 20 0 CHADLO CCCn1c[nH+]cc1CNc1cccc(N2CCCCC2)c1 ZINC001648929797 1120920872 /nfs/dbraw/zinc/92/08/72/1120920872.db2.gz JGMLGXYJFUYKGZ-UHFFFAOYSA-N 1 2 298.434 3.896 20 0 CHADLO Fc1cccc([C@H]2COCC[N@@H+]2C[C@H]2CCC(F)(F)C2)c1 ZINC000661736041 1120940299 /nfs/dbraw/zinc/94/02/99/1120940299.db2.gz JOQSCGLGVRKOLA-SWLSCSKDSA-N 1 2 299.336 3.634 20 0 CHADLO Fc1cccc([C@H]2COCC[N@H+]2C[C@H]2CCC(F)(F)C2)c1 ZINC000661736041 1120940303 /nfs/dbraw/zinc/94/03/03/1120940303.db2.gz JOQSCGLGVRKOLA-SWLSCSKDSA-N 1 2 299.336 3.634 20 0 CHADLO Cc1ccc(Nc2ncc(Br)cc2F)c(C)[nH+]1 ZINC000235877534 1120949949 /nfs/dbraw/zinc/94/99/49/1120949949.db2.gz UKTSXBXNIWHPLX-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1cc(CCNc2cc[nH+]c3c(Cl)cccc23)on1 ZINC000343926724 1120970760 /nfs/dbraw/zinc/97/07/60/1120970760.db2.gz ZNWSBRNQRJJOKN-UHFFFAOYSA-N 1 2 287.750 3.839 20 0 CHADLO Fc1ccc2oc(Oc3cccc(-n4cc[nH+]c4)c3)nc2c1 ZINC000150847655 1120993392 /nfs/dbraw/zinc/99/33/92/1120993392.db2.gz GZVLNHOGEHGPCS-UHFFFAOYSA-N 1 2 295.273 3.945 20 0 CHADLO C[C@@H]([NH2+]Cc1ccno1)c1cc(F)c(Cl)cc1Cl ZINC000219364590 1120995489 /nfs/dbraw/zinc/99/54/89/1120995489.db2.gz FMTCRCFFINNWCH-SSDOTTSWSA-N 1 2 289.137 3.971 20 0 CHADLO Cc1cc(C(F)(F)F)cc(N2Cc3ccccc3C2)[nH+]1 ZINC000663568095 1121016445 /nfs/dbraw/zinc/01/64/45/1121016445.db2.gz PFUJPAQHUGXHKF-UHFFFAOYSA-N 1 2 278.277 3.929 20 0 CHADLO Cc1cn2cccc(Nc3cncc(C(F)(F)F)c3)c2[nH+]1 ZINC001174740355 1121019093 /nfs/dbraw/zinc/01/90/93/1121019093.db2.gz YBCOJWQBYHDPFL-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO CCc1c[nH]c2nc[nH+]c(SCCC(F)(F)F)c12 ZINC000893633229 1121043096 /nfs/dbraw/zinc/04/30/96/1121043096.db2.gz XULAMQYFZULCBR-UHFFFAOYSA-N 1 2 275.299 3.565 20 0 CHADLO COc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1C ZINC001174829590 1121048418 /nfs/dbraw/zinc/04/84/18/1121048418.db2.gz FVPWGSJUBVONMY-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO Cc1nc(N[C@@H]2CCC[C@@H]2c2ccccc2)cc[nH+]1 ZINC000343995265 1121048671 /nfs/dbraw/zinc/04/86/71/1121048671.db2.gz QZCMGPSDLCPTQF-HUUCEWRRSA-N 1 2 253.349 3.533 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(Oc2cccnc2)cc1 ZINC001174847495 1121065974 /nfs/dbraw/zinc/06/59/74/1121065974.db2.gz IZUSSRXIPZONNC-UHFFFAOYSA-N 1 2 278.315 3.595 20 0 CHADLO C[C@H]1C[N@H+](Cc2c(Cl)oc3ccccc32)[C@@H](C)CO1 ZINC000351053064 1121066209 /nfs/dbraw/zinc/06/62/09/1121066209.db2.gz PAARDJTZNYPSKU-QWRGUYRKSA-N 1 2 279.767 3.695 20 0 CHADLO C[C@H]1C[N@@H+](Cc2c(Cl)oc3ccccc32)[C@@H](C)CO1 ZINC000351053064 1121066218 /nfs/dbraw/zinc/06/62/18/1121066218.db2.gz PAARDJTZNYPSKU-QWRGUYRKSA-N 1 2 279.767 3.695 20 0 CHADLO Cc1cn(-c2ccc([NH+](C)C)cc2)c2cc(N)ccc12 ZINC001174878621 1121077572 /nfs/dbraw/zinc/07/75/72/1121077572.db2.gz HWDCQDRQUJEDPD-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C1CCCC1 ZINC000674079202 1121078375 /nfs/dbraw/zinc/07/83/75/1121078375.db2.gz MLGDBBHODUJHIG-CYBMUJFWSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1CCCC1 ZINC000674085312 1121080686 /nfs/dbraw/zinc/08/06/86/1121080686.db2.gz ACNWSTBZZUNKHQ-GFCCVEGCSA-N 1 2 283.375 3.842 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cccc3c2CCC3)CC1(F)F ZINC001249456557 1121084040 /nfs/dbraw/zinc/08/40/40/1121084040.db2.gz WQVUNNIKMGLBFJ-OAHLLOKOSA-N 1 2 283.337 3.745 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cccc3c2CCC3)CC1(F)F ZINC001249456557 1121084050 /nfs/dbraw/zinc/08/40/50/1121084050.db2.gz WQVUNNIKMGLBFJ-OAHLLOKOSA-N 1 2 283.337 3.745 20 0 CHADLO c1cn2ccc(Nc3cccc4ncccc43)cc2[nH+]1 ZINC001174908090 1121087873 /nfs/dbraw/zinc/08/78/73/1121087873.db2.gz KOMVUPUOKKIQQN-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1cc(C)c(C(=O)NCC2(C)CCC(C)CC2)c(C)[nH+]1 ZINC001128616262 1121089121 /nfs/dbraw/zinc/08/91/21/1121089121.db2.gz BGUZKCPONWWDTH-UHFFFAOYSA-N 1 2 288.435 3.953 20 0 CHADLO COCc1ccc(Nc2cccn3cc(C)[nH+]c23)cc1 ZINC001174917703 1121092949 /nfs/dbraw/zinc/09/29/49/1121092949.db2.gz HBUAZFDAKBFTTA-UHFFFAOYSA-N 1 2 267.332 3.533 20 0 CHADLO Cc1ccc(F)cc1C[NH2+]C1(C(F)F)CCCC1 ZINC000674135227 1121094447 /nfs/dbraw/zinc/09/44/47/1121094447.db2.gz IAWSDCBIJLQJSU-UHFFFAOYSA-N 1 2 257.299 3.802 20 0 CHADLO Cc1ccc(Nc2cccc(C3CCC3)[nH+]2)cc1N ZINC001174933836 1121102758 /nfs/dbraw/zinc/10/27/58/1121102758.db2.gz KWTYFXKDWNRNIE-UHFFFAOYSA-N 1 2 253.349 3.983 20 0 CHADLO CN(C)c1cccc(Nc2cccc(C3CC3)[nH+]2)c1 ZINC001174964436 1121114885 /nfs/dbraw/zinc/11/48/85/1121114885.db2.gz DYXAKQPRHCXDBF-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO C[C@@]1(CCc2ccccc2)CC[N@H+](CC(F)F)C1 ZINC000674376053 1121125915 /nfs/dbraw/zinc/12/59/15/1121125915.db2.gz WDGOJRXNBFXQAA-OAHLLOKOSA-N 1 2 253.336 3.596 20 0 CHADLO C[C@@]1(CCc2ccccc2)CC[N@@H+](CC(F)F)C1 ZINC000674376053 1121125917 /nfs/dbraw/zinc/12/59/17/1121125917.db2.gz WDGOJRXNBFXQAA-OAHLLOKOSA-N 1 2 253.336 3.596 20 0 CHADLO CCOc1cc(F)cc(Nc2[nH+]cc(C)cc2C)c1 ZINC001175004033 1121127918 /nfs/dbraw/zinc/12/79/18/1121127918.db2.gz RCJUUCPTOQKFDM-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCOc1cc(F)c(Nc2cc(OC)cc[nH+]2)cc1F ZINC001175057807 1121143996 /nfs/dbraw/zinc/14/39/96/1121143996.db2.gz SQDYOUNSGPPJIS-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CCOc1ccc(Nc2cccn3cc(C)[nH+]c23)cc1F ZINC001175066691 1121147277 /nfs/dbraw/zinc/14/72/77/1121147277.db2.gz UDYATNVKGJWIBE-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCOc1ccc(Nc2[nH+]cccc2CC)cc1F ZINC001175067835 1121147377 /nfs/dbraw/zinc/14/73/77/1121147377.db2.gz PNMLXRQTHXYDMI-UHFFFAOYSA-N 1 2 260.312 3.925 20 0 CHADLO COc1cc(C)c(Nc2[nH+]cccc2N(C)C)cc1C ZINC001175110432 1121168352 /nfs/dbraw/zinc/16/83/52/1121168352.db2.gz VASHQBKAZAPHFN-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO Cc1ccc(/C=C/CC(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000674685700 1121168420 /nfs/dbraw/zinc/16/84/20/1121168420.db2.gz ZZSXNQVIKMENSS-NSCUHMNNSA-N 1 2 291.354 3.685 20 0 CHADLO C[C@H]1CC(C[NH2+][C@H](c2nnc[nH]2)c2ccccc2)C[C@H](C)C1 ZINC000658126268 1121170114 /nfs/dbraw/zinc/17/01/14/1121170114.db2.gz FEQOEJJCCMWFFL-CPUCHLNUSA-N 1 2 298.434 3.556 20 0 CHADLO C[C@H]1C[C@H](C[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)C[C@@H](C)C1 ZINC000658126270 1121170324 /nfs/dbraw/zinc/17/03/24/1121170324.db2.gz FEQOEJJCCMWFFL-WBTNSWJXSA-N 1 2 298.434 3.556 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(-c2ccsc2)s1)c1ccon1 ZINC000351591685 1121180325 /nfs/dbraw/zinc/18/03/25/1121180325.db2.gz GFTAJNXJSLRIMV-VIFPVBQESA-N 1 2 291.401 3.710 20 0 CHADLO Clc1cnc(C[N@@H+]2C[C@@H]3C[C@]3(c3ccccc3)C2)s1 ZINC000931925181 1121187575 /nfs/dbraw/zinc/18/75/75/1121187575.db2.gz JSOHTAGLKXUTNF-SWLSCSKDSA-N 1 2 290.819 3.570 20 0 CHADLO Clc1cnc(C[N@H+]2C[C@@H]3C[C@]3(c3ccccc3)C2)s1 ZINC000931925181 1121187576 /nfs/dbraw/zinc/18/75/76/1121187576.db2.gz JSOHTAGLKXUTNF-SWLSCSKDSA-N 1 2 290.819 3.570 20 0 CHADLO CCOc1ccc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)nc1 ZINC000674866648 1121187955 /nfs/dbraw/zinc/18/79/55/1121187955.db2.gz FJJKCCOMQQYBHT-QGZVFWFLSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1ccc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)nc1 ZINC000674866648 1121187959 /nfs/dbraw/zinc/18/79/59/1121187959.db2.gz FJJKCCOMQQYBHT-QGZVFWFLSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1ccc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)nc1 ZINC000674866647 1121188980 /nfs/dbraw/zinc/18/89/80/1121188980.db2.gz FJJKCCOMQQYBHT-KRWDZBQOSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1ccc(C[N@H+]2CC[C@H]2c2cccc(F)c2)nc1 ZINC000674866647 1121188985 /nfs/dbraw/zinc/18/89/85/1121188985.db2.gz FJJKCCOMQQYBHT-KRWDZBQOSA-N 1 2 286.350 3.566 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cc(CO)ccc3F)ccc12 ZINC001175133541 1121196918 /nfs/dbraw/zinc/19/69/18/1121196918.db2.gz WYLGJVSMOXHITI-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2Cc3cccnc3C2)cc1 ZINC000670984340 1128969408 /nfs/dbraw/zinc/96/94/08/1128969408.db2.gz OINYWLSUKQRAMR-UHFFFAOYSA-N 1 2 266.388 3.895 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2Cc3cccnc3C2)cc1 ZINC000670984340 1128969412 /nfs/dbraw/zinc/96/94/12/1128969412.db2.gz OINYWLSUKQRAMR-UHFFFAOYSA-N 1 2 266.388 3.895 20 0 CHADLO Cc1c(Nc2ccc(N)[nH+]c2)cccc1C(F)(F)F ZINC000709748997 1121213735 /nfs/dbraw/zinc/21/37/35/1121213735.db2.gz VFDXMOXQVBGWHG-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO CC(=O)c1ccccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001175176750 1121217579 /nfs/dbraw/zinc/21/75/79/1121217579.db2.gz KGWVOLDFDRLNLJ-UHFFFAOYSA-N 1 2 277.327 3.819 20 0 CHADLO CCOc1ccc(CNc2ccc(C)[nH+]c2C)cc1OC ZINC000094664604 1121219438 /nfs/dbraw/zinc/21/94/38/1121219438.db2.gz RJYAHMSQPJDZPF-UHFFFAOYSA-N 1 2 286.375 3.718 20 0 CHADLO CCOc1ccc(OC)cc1Nc1cc[nH+]c(SC)c1 ZINC001175213030 1121225101 /nfs/dbraw/zinc/22/51/01/1121225101.db2.gz VJJQKWRPHOUWTC-UHFFFAOYSA-N 1 2 290.388 3.954 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CCCC2CCC2)C2CCCCC2)n1 ZINC000658223626 1121231561 /nfs/dbraw/zinc/23/15/61/1121231561.db2.gz PDHVRGWWWYIMBV-OAHLLOKOSA-N 1 2 276.428 3.596 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1F ZINC001175232584 1121234801 /nfs/dbraw/zinc/23/48/01/1121234801.db2.gz MBDQQYPJPXVKSI-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO Cc1cc(C[NH2+][C@H](CF)c2ccc(F)cc2)cs1 ZINC000631284041 1121239124 /nfs/dbraw/zinc/23/91/24/1121239124.db2.gz NOCBJKIODFFXQQ-CQSZACIVSA-N 1 2 267.344 3.996 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]c(C)cn32)c(F)c1 ZINC001175247490 1121244296 /nfs/dbraw/zinc/24/42/96/1121244296.db2.gz XXYYBNYFSLKGOG-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCOc1ccc(F)c(F)c1Nc1cccn2cc[nH+]c12 ZINC001175280331 1121251076 /nfs/dbraw/zinc/25/10/76/1121251076.db2.gz OZIZSAPSYZOHBM-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CSc1cccc(-n2c(C)[nH+]c3ccc(N)cc32)c1 ZINC001175287204 1121254364 /nfs/dbraw/zinc/25/43/64/1121254364.db2.gz JBUWXIODAPMMAV-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO CC(C)(C)[C@@H]1CCN(c2[nH+]ccc3c(N)cccc32)C1 ZINC000663796117 1121265000 /nfs/dbraw/zinc/26/50/00/1121265000.db2.gz ZWMSUSIAHCCCHR-GFCCVEGCSA-N 1 2 269.392 3.689 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2C2CC2)c[nH+]1 ZINC001175341742 1121272245 /nfs/dbraw/zinc/27/22/45/1121272245.db2.gz JJZNWNCPWSNXAD-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO Cc1c(Cl)cccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175342534 1121272727 /nfs/dbraw/zinc/27/27/27/1121272727.db2.gz SRESHKNQGPZMBK-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO CC(=O)n1ccc2cc(Nc3ccc(N(C)C)[nH+]c3)ccc21 ZINC001175345540 1121273666 /nfs/dbraw/zinc/27/36/66/1121273666.db2.gz DNPMJBWKZSEUQT-UHFFFAOYSA-N 1 2 294.358 3.506 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)n(C2CCC2)n1 ZINC001175347098 1121273940 /nfs/dbraw/zinc/27/39/40/1121273940.db2.gz BKQAZYUIWLTYAI-UHFFFAOYSA-N 1 2 297.406 3.655 20 0 CHADLO CN(C)c1ccc(Nc2ccc(OCC3CC3)cc2)c[nH+]1 ZINC001175344838 1121274067 /nfs/dbraw/zinc/27/40/67/1121274067.db2.gz JHRBIRMRWORHST-UHFFFAOYSA-N 1 2 283.375 3.680 20 0 CHADLO Cc1cccc(Nc2ccc(N(C)C)[nH+]c2)c1Cl ZINC001175344401 1121274238 /nfs/dbraw/zinc/27/42/38/1121274238.db2.gz SJIJSMMHABTIMU-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO CN(C)c1ccc(Nc2cccc3cc(F)cnc32)c[nH+]1 ZINC001175346274 1121274555 /nfs/dbraw/zinc/27/45/55/1121274555.db2.gz PWXZBCMAGMNFCB-UHFFFAOYSA-N 1 2 282.322 3.579 20 0 CHADLO CN(C)c1ccc(N(c2ccccc2N)C2CCCC2)c[nH+]1 ZINC001175344666 1121274614 /nfs/dbraw/zinc/27/46/14/1121274614.db2.gz VWBXGLYJYXTJCP-UHFFFAOYSA-N 1 2 296.418 3.811 20 0 CHADLO Cc1ncc(Cl)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175348767 1121275268 /nfs/dbraw/zinc/27/52/68/1121275268.db2.gz JOKVNSOKUMWKHB-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO Cc1ccc2[nH+]c(NCc3ccccc3F)[nH]c2c1 ZINC000041603219 1121275522 /nfs/dbraw/zinc/27/55/22/1121275522.db2.gz YIUGABCEADBEJE-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO Cc1nc(N[C@@H](C)c2cccc(N)c2)cc(C(C)C)[nH+]1 ZINC000709786225 1121281427 /nfs/dbraw/zinc/28/14/27/1121281427.db2.gz UYRBROZKYPZOMF-NSHDSACASA-N 1 2 270.380 3.664 20 0 CHADLO Cc1cccc2ccc(Nc3ccc(N(C)C)[nH+]c3)nc12 ZINC001175338097 1121289415 /nfs/dbraw/zinc/28/94/15/1121289415.db2.gz MYLMCMDWWINQFU-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO CN(C)c1ccc(Nc2cnc(Cl)cc2Cl)c[nH+]1 ZINC001175340110 1121290040 /nfs/dbraw/zinc/29/00/40/1121290040.db2.gz AILPEYNFLPNLQC-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO Oc1c(Cl)cccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175352872 1121293930 /nfs/dbraw/zinc/29/39/30/1121293930.db2.gz VGUXPMGOPTYAFW-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(-n3cccn3)cc2)[nH+]1 ZINC001175365406 1121299680 /nfs/dbraw/zinc/29/96/80/1121299680.db2.gz KBTYMTWBAYKMSD-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO CC(C)c1cc(N2C[C@H](C)[C@@H](C)C2)nc(C(C)C)[nH+]1 ZINC001162606201 1121305666 /nfs/dbraw/zinc/30/56/66/1121305666.db2.gz VEVCWYQEJDSEAA-STQMWFEESA-N 1 2 261.413 3.816 20 0 CHADLO Fc1cccc(Cl)c1C[C@@H]1CCC[N@@H+]1Cc1cocn1 ZINC000432741183 1121306072 /nfs/dbraw/zinc/30/60/72/1121306072.db2.gz JZOBHQAOVUNVDV-LBPRGKRZSA-N 1 2 294.757 3.674 20 0 CHADLO Fc1cccc(Cl)c1C[C@@H]1CCC[N@H+]1Cc1cocn1 ZINC000432741183 1121306078 /nfs/dbraw/zinc/30/60/78/1121306078.db2.gz JZOBHQAOVUNVDV-LBPRGKRZSA-N 1 2 294.757 3.674 20 0 CHADLO Cc1nc(N[C@@H]2C[C@H]2c2ccccc2)cc(C(C)C)[nH+]1 ZINC000709819268 1121306776 /nfs/dbraw/zinc/30/67/76/1121306776.db2.gz NEISAFWECSXIDF-GOEBONIOSA-N 1 2 267.376 3.876 20 0 CHADLO COc1ncc(Nc2c[nH+]c(CC(C)C)cc2C)s1 ZINC001175391361 1121310769 /nfs/dbraw/zinc/31/07/69/1121310769.db2.gz YGVPMQDJFDIYKC-UHFFFAOYSA-N 1 2 277.393 3.797 20 0 CHADLO COc1ncc(Nc2ccc3c(C)cc[nH+]c3c2)s1 ZINC001175393717 1121312577 /nfs/dbraw/zinc/31/25/77/1121312577.db2.gz CCRQTHHOSHYJGF-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO CCO[C@H]1C[C@H](Nc2cccc(-n3cc[nH+]c3)c2)C12CCC2 ZINC000124701328 1121317989 /nfs/dbraw/zinc/31/79/89/1121317989.db2.gz UPLJJQLOUFYTID-IRXDYDNUSA-N 1 2 297.402 3.632 20 0 CHADLO Cc1nc(C[N@@H+]2CCOc3ccccc3[C@@H]2C)sc1C ZINC000675645548 1121333512 /nfs/dbraw/zinc/33/35/12/1121333512.db2.gz KNBNPSDVDGJHDB-LBPRGKRZSA-N 1 2 288.416 3.716 20 0 CHADLO Cc1nc(C[N@H+]2CCOc3ccccc3[C@@H]2C)sc1C ZINC000675645548 1121333521 /nfs/dbraw/zinc/33/35/21/1121333521.db2.gz KNBNPSDVDGJHDB-LBPRGKRZSA-N 1 2 288.416 3.716 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3nccnc3c2)c1 ZINC001175488822 1121341398 /nfs/dbraw/zinc/34/13/98/1121341398.db2.gz HBIJUTCAHLNECT-UHFFFAOYSA-N 1 2 264.332 3.721 20 0 CHADLO FC(F)(F)c1nn(CC2=CCCCC2)c2cc[nH+]cc21 ZINC000418936174 1121342292 /nfs/dbraw/zinc/34/22/92/1121342292.db2.gz MZRJALSEJXCYQW-UHFFFAOYSA-N 1 2 281.281 3.951 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3nccnc3c2)cc1 ZINC001175495014 1121344019 /nfs/dbraw/zinc/34/40/19/1121344019.db2.gz CRCIQXPSGNZQPR-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3nccnc3c2)cc1 ZINC001175495014 1121344027 /nfs/dbraw/zinc/34/40/27/1121344027.db2.gz CRCIQXPSGNZQPR-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO CN(C)c1ccc(NCc2cc(Cl)ccc2F)c[nH+]1 ZINC000125358238 1121347729 /nfs/dbraw/zinc/34/77/29/1121347729.db2.gz JAABRDYLNHJMID-UHFFFAOYSA-N 1 2 279.746 3.552 20 0 CHADLO CC1(C[N@@H+]2CCC[C@@H]2c2nc(-c3ccccc3)n[nH]2)CCC1 ZINC000432784764 1121347895 /nfs/dbraw/zinc/34/78/95/1121347895.db2.gz PVOYERJDYVBUNW-OAHLLOKOSA-N 1 2 296.418 3.799 20 0 CHADLO CC1(C[N@H+]2CCC[C@@H]2c2nc(-c3ccccc3)n[nH]2)CCC1 ZINC000432784764 1121347900 /nfs/dbraw/zinc/34/79/00/1121347900.db2.gz PVOYERJDYVBUNW-OAHLLOKOSA-N 1 2 296.418 3.799 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+](C/C(Cl)=C\Cl)C2)c1 ZINC000763533835 1128979888 /nfs/dbraw/zinc/97/98/88/1128979888.db2.gz PYXOXJGKAJEOFU-WBOGTDJTSA-N 1 2 274.166 3.934 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+](C/C(Cl)=C\Cl)C2)c1 ZINC000763533835 1128979891 /nfs/dbraw/zinc/97/98/91/1128979891.db2.gz PYXOXJGKAJEOFU-WBOGTDJTSA-N 1 2 274.166 3.934 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+]C1(c2cccc(F)c2)CC1 ZINC000925878894 1121365091 /nfs/dbraw/zinc/36/50/91/1121365091.db2.gz NQHDGJLUEMVDKE-CQSZACIVSA-N 1 2 286.350 3.778 20 0 CHADLO CC[C@H]1CCC[C@@H](NC(=O)c2c(C)cc(C)[nH+]c2C)C1 ZINC001126345161 1121373449 /nfs/dbraw/zinc/37/34/49/1121373449.db2.gz CEWRFDANFGBKMB-LSDHHAIUSA-N 1 2 274.408 3.705 20 0 CHADLO Cn1cc2c(cccc2Nc2cc(Cl)c3[nH+]ccn3c2)n1 ZINC001175589464 1121375438 /nfs/dbraw/zinc/37/54/38/1121375438.db2.gz ICWISMLYIBVXNG-UHFFFAOYSA-N 1 2 297.749 3.618 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2cc(Cl)sc2Cl)[nH]n1 ZINC000675893298 1121378612 /nfs/dbraw/zinc/37/86/12/1121378612.db2.gz HSBJPTRFHCRTOU-ZETCQYMHSA-N 1 2 290.219 3.937 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@@H+]1Cc1nnc(C(C)(C)C)[nH]1 ZINC000432816137 1121379719 /nfs/dbraw/zinc/37/97/19/1121379719.db2.gz NHHBNSWHEWCYQR-HNNXBMFYSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@H+]1Cc1nnc(C(C)(C)C)[nH]1 ZINC000432816137 1121379726 /nfs/dbraw/zinc/37/97/26/1121379726.db2.gz NHHBNSWHEWCYQR-HNNXBMFYSA-N 1 2 298.434 3.748 20 0 CHADLO CCc1cccc(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001175553867 1121383517 /nfs/dbraw/zinc/38/35/17/1121383517.db2.gz YMVJYGMZLFYAIT-UHFFFAOYSA-N 1 2 251.333 3.879 20 0 CHADLO CCc1cccc(Nc2ccccc2C[NH+]2CCOCC2)c1 ZINC001175553791 1121384582 /nfs/dbraw/zinc/38/45/82/1121384582.db2.gz VEKGBTGQZJSCAJ-UHFFFAOYSA-N 1 2 296.414 3.825 20 0 CHADLO CCOc1ncc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001175560364 1121387224 /nfs/dbraw/zinc/38/72/24/1121387224.db2.gz ZUCXILVCRUOIMG-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ccc(CO)cc1 ZINC001175563893 1121388985 /nfs/dbraw/zinc/38/89/85/1121388985.db2.gz QARMHQYWISHDQN-UHFFFAOYSA-N 1 2 270.376 3.824 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nccnc1C(F)(F)F ZINC001213497510 1121391646 /nfs/dbraw/zinc/39/16/46/1121391646.db2.gz SDIPEPRYCCKHRY-UHFFFAOYSA-N 1 2 294.280 3.820 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CC[C@@H](CC(F)(F)F)C1 ZINC000663843496 1121391757 /nfs/dbraw/zinc/39/17/57/1121391757.db2.gz XNLDIPGRABOHHM-JTQLQIEISA-N 1 2 295.308 3.596 20 0 CHADLO CSc1ccc(Nc2cccn3cc(C)[nH+]c23)cn1 ZINC001175650975 1121408585 /nfs/dbraw/zinc/40/85/85/1121408585.db2.gz HUDANOMDNXKASC-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO C[N@H+](C/C(Cl)=C/Cl)[C@H]1CCCN(c2ccccc2)C1 ZINC000763560875 1128983869 /nfs/dbraw/zinc/98/38/69/1128983869.db2.gz PTAJNMYUZALOML-WVUXNXBLSA-N 1 2 299.245 3.906 20 0 CHADLO C[N@@H+](C/C(Cl)=C/Cl)[C@H]1CCCN(c2ccccc2)C1 ZINC000763560875 1128983871 /nfs/dbraw/zinc/98/38/71/1128983871.db2.gz PTAJNMYUZALOML-WVUXNXBLSA-N 1 2 299.245 3.906 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccc(C)cc1F)c1ccc(C)o1 ZINC000631082327 1128984027 /nfs/dbraw/zinc/98/40/27/1128984027.db2.gz HIWJTXHGBBDXRW-OAHLLOKOSA-N 1 2 277.339 3.513 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1cc(OC(F)(F)F)ccc1F ZINC001143537749 1121428077 /nfs/dbraw/zinc/42/80/77/1121428077.db2.gz AYGLGDLSMWEGRZ-SCZZXKLOSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1cc(OC(F)(F)F)ccc1F ZINC001143537749 1121428081 /nfs/dbraw/zinc/42/80/81/1121428081.db2.gz AYGLGDLSMWEGRZ-SCZZXKLOSA-N 1 2 295.251 3.657 20 0 CHADLO COc1ccc([C@@H]2CCC[N@@H+]2Cc2nc(C(C)C)c[nH]2)cc1 ZINC000893863773 1121433333 /nfs/dbraw/zinc/43/33/33/1121433333.db2.gz NVBLGZJRHRLDFZ-KRWDZBQOSA-N 1 2 299.418 3.879 20 0 CHADLO COc1ccc([C@@H]2CCC[N@H+]2Cc2nc(C(C)C)c[nH]2)cc1 ZINC000893863773 1121433337 /nfs/dbraw/zinc/43/33/37/1121433337.db2.gz NVBLGZJRHRLDFZ-KRWDZBQOSA-N 1 2 299.418 3.879 20 0 CHADLO Cc1cccc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1F ZINC001175712953 1121434506 /nfs/dbraw/zinc/43/45/06/1121434506.db2.gz OMMFPTWKFUFVFM-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO CCOc1ccccc1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175713156 1121434591 /nfs/dbraw/zinc/43/45/91/1121434591.db2.gz SWJXWUHSZDMWHI-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2nc(C(C)C)c[nH]2)cc1 ZINC000893920620 1121441290 /nfs/dbraw/zinc/44/12/90/1121441290.db2.gz VAEZYSKDKFGORO-ZDUSSCGKSA-N 1 2 287.407 3.735 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2nc(C(C)C)c[nH]2)cc1 ZINC000893920620 1121441293 /nfs/dbraw/zinc/44/12/93/1121441293.db2.gz VAEZYSKDKFGORO-ZDUSSCGKSA-N 1 2 287.407 3.735 20 0 CHADLO CSC1CC(Nc2ccc([NH+]3CCCC3)cc2)C1 ZINC000706885913 1121443591 /nfs/dbraw/zinc/44/35/91/1121443591.db2.gz DUDQZHWJXNCRCX-UHFFFAOYSA-N 1 2 262.422 3.593 20 0 CHADLO Cc1cc(N2CC[C@]3(C)[C@H](C2)C3(F)F)nc(C2CCC2)[nH+]1 ZINC000893944642 1121444157 /nfs/dbraw/zinc/44/41/57/1121444157.db2.gz PXVJNWSOCRDEKZ-SWLSCSKDSA-N 1 2 293.361 3.534 20 0 CHADLO CCc1cc(N2CC(C)(C)[C@H]2c2cccnc2)nc(CC)[nH+]1 ZINC000893947313 1121444574 /nfs/dbraw/zinc/44/45/74/1121444574.db2.gz HCKBQLVFLUKQLJ-QGZVFWFLSA-N 1 2 296.418 3.584 20 0 CHADLO CC(C)CCOC1CC[NH+](Cc2nc(C(C)C)c[nH]2)CC1 ZINC000893966042 1121447678 /nfs/dbraw/zinc/44/76/78/1121447678.db2.gz FHNALKLSZNRTCI-UHFFFAOYSA-N 1 2 293.455 3.560 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3ccncc3c2)c[nH+]1 ZINC001175705098 1121449027 /nfs/dbraw/zinc/44/90/27/1121449027.db2.gz RASQCDSWSKBIHE-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@@H+]1Cc1nc(C(C)C)c[nH]1 ZINC000893995715 1121451546 /nfs/dbraw/zinc/45/15/46/1121451546.db2.gz OAPYNAXRXOLGDY-INIZCTEOSA-N 1 2 299.418 3.879 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@H+]1Cc1nc(C(C)C)c[nH]1 ZINC000893995715 1121451549 /nfs/dbraw/zinc/45/15/49/1121451549.db2.gz OAPYNAXRXOLGDY-INIZCTEOSA-N 1 2 299.418 3.879 20 0 CHADLO c1cn(-c2cccc(Nc3cnn(C4CCC4)c3)c2)c[nH+]1 ZINC001175757834 1121454697 /nfs/dbraw/zinc/45/46/97/1121454697.db2.gz PFOIRXLZHPZFSM-UHFFFAOYSA-N 1 2 279.347 3.537 20 0 CHADLO C[C@H](CCC(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000676725276 1121460337 /nfs/dbraw/zinc/46/03/37/1121460337.db2.gz MMSADNCLXARCCO-CQSZACIVSA-N 1 2 293.370 3.857 20 0 CHADLO CC1(C)C[N@H+](Cc2nccs2)[C@H]1c1ccccc1 ZINC000676715962 1121460409 /nfs/dbraw/zinc/46/04/09/1121460409.db2.gz QXDISGZETPAPGM-AWEZNQCLSA-N 1 2 258.390 3.726 20 0 CHADLO CC1(C)C[N@@H+](Cc2nccs2)[C@H]1c1ccccc1 ZINC000676715962 1121460412 /nfs/dbraw/zinc/46/04/12/1121460412.db2.gz QXDISGZETPAPGM-AWEZNQCLSA-N 1 2 258.390 3.726 20 0 CHADLO COCC[N@H+](Cc1ccc(C)o1)Cc1ccc(SC)o1 ZINC000894066166 1121464518 /nfs/dbraw/zinc/46/45/18/1121464518.db2.gz AQHJDVLLAKVWFW-UHFFFAOYSA-N 1 2 295.404 3.552 20 0 CHADLO COCC[N@@H+](Cc1ccc(C)o1)Cc1ccc(SC)o1 ZINC000894066166 1121464520 /nfs/dbraw/zinc/46/45/20/1121464520.db2.gz AQHJDVLLAKVWFW-UHFFFAOYSA-N 1 2 295.404 3.552 20 0 CHADLO COCCOc1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001175784114 1121464680 /nfs/dbraw/zinc/46/46/80/1121464680.db2.gz AQTPLTJJKMXBDO-UHFFFAOYSA-N 1 2 284.359 3.728 20 0 CHADLO Cc1[nH]c(CNc2ccc(OC(C)C)cc2C)[nH+]c1C ZINC000709014488 1121467271 /nfs/dbraw/zinc/46/72/71/1121467271.db2.gz QBKNMIWLNHDWSJ-UHFFFAOYSA-N 1 2 273.380 3.734 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000894077953 1121468309 /nfs/dbraw/zinc/46/83/09/1121468309.db2.gz XGBCTAVILOKCNR-CQSZACIVSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1ncoc1C[N@H+]1CCCC[C@@H]1c1nc2ccccc2o1 ZINC000894077953 1121468312 /nfs/dbraw/zinc/46/83/12/1121468312.db2.gz XGBCTAVILOKCNR-CQSZACIVSA-N 1 2 297.358 3.851 20 0 CHADLO C/C=C/C[C@H]([NH2+][C@H](C)[C@@H](C)c1ccccc1)C(=O)OCC ZINC000432898344 1121471248 /nfs/dbraw/zinc/47/12/48/1121471248.db2.gz IGMVADAREPLGOF-NWSJSOTBSA-N 1 2 289.419 3.666 20 0 CHADLO CCCn1nc(C)cc1Nc1ccc([N@H+](C)CC)cc1 ZINC001175806824 1121474128 /nfs/dbraw/zinc/47/41/28/1121474128.db2.gz FKOCOXJVXWKDIM-UHFFFAOYSA-N 1 2 272.396 3.801 20 0 CHADLO CCCn1nc(C)cc1Nc1ccc([N@@H+](C)CC)cc1 ZINC001175806824 1121474133 /nfs/dbraw/zinc/47/41/33/1121474133.db2.gz FKOCOXJVXWKDIM-UHFFFAOYSA-N 1 2 272.396 3.801 20 0 CHADLO CCCn1cc(N[C@H](C)c2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC000182585042 1128986908 /nfs/dbraw/zinc/98/69/08/1128986908.db2.gz PGVLVPKYWAWGHV-CQSZACIVSA-N 1 2 295.390 3.652 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)cc1 ZINC000236925772 1121482648 /nfs/dbraw/zinc/48/26/48/1121482648.db2.gz CMIIWWYWFHMPBX-LBPRGKRZSA-N 1 2 287.407 3.780 20 0 CHADLO CCN(C)c1ccc(Nc2cccc3c2ccn3C)c[nH+]1 ZINC001175865523 1121484570 /nfs/dbraw/zinc/48/45/70/1121484570.db2.gz JXZJUWGTWURKSC-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO Cc1cc(Nc2cccc(Cn3cc[nH+]c3)c2)cc(C)c1N ZINC001175935654 1121487918 /nfs/dbraw/zinc/48/79/18/1121487918.db2.gz GIXCLUUVBZRMQA-UHFFFAOYSA-N 1 2 292.386 3.874 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cc(C3CC3)n(C)n2)c(Cl)c1 ZINC000922952488 1121494082 /nfs/dbraw/zinc/49/40/82/1121494082.db2.gz DLSSIXAZLDGPAT-UHFFFAOYSA-N 1 2 289.810 3.549 20 0 CHADLO CSc1cc(Nc2cc(C)c(N)c(C)c2)cc[nH+]1 ZINC001175932456 1121500503 /nfs/dbraw/zinc/50/05/03/1121500503.db2.gz MSFLIYLAPVTXDC-UHFFFAOYSA-N 1 2 259.378 3.746 20 0 CHADLO CCc1onc(C)c1C[NH2+]Cc1c(F)cccc1Cl ZINC000922988720 1121507461 /nfs/dbraw/zinc/50/74/61/1121507461.db2.gz UQPCFIMWYUAWSH-UHFFFAOYSA-N 1 2 282.746 3.628 20 0 CHADLO FC(F)Oc1ccccc1Nc1cccc2[nH+]ccn21 ZINC001176007469 1121523702 /nfs/dbraw/zinc/52/37/02/1121523702.db2.gz GZTFITBOCRFYEN-UHFFFAOYSA-N 1 2 275.258 3.679 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(-c2ccccn2)cc1 ZINC001176036560 1121527644 /nfs/dbraw/zinc/52/76/44/1121527644.db2.gz AFINQFWCUFDPDV-UHFFFAOYSA-N 1 2 277.327 3.901 20 0 CHADLO Oc1c(Cl)c(Cl)c(Cl)cc1Nc1[nH]cc[nH+]1 ZINC001176091008 1121536888 /nfs/dbraw/zinc/53/68/88/1121536888.db2.gz VCMKXXSJQVIQRN-UHFFFAOYSA-N 1 2 278.526 3.819 20 0 CHADLO C/C=C(\CC)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000188715706 1121546991 /nfs/dbraw/zinc/54/69/91/1121546991.db2.gz LDQMTSPUVZZWBU-QDEBKDIKSA-N 1 2 289.766 3.821 20 0 CHADLO CCN(C)c1ccc(Nc2cc(OC)c(F)cc2F)c[nH+]1 ZINC001176107881 1121552735 /nfs/dbraw/zinc/55/27/35/1121552735.db2.gz UZJFNYKFFCRLNN-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C)nc2c(F)cccc12 ZINC001176119332 1121556976 /nfs/dbraw/zinc/55/69/76/1121556976.db2.gz WHZHMKBNBIFLKU-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1OC(C)C ZINC001176121165 1121558115 /nfs/dbraw/zinc/55/81/15/1121558115.db2.gz RDDDSPRNXHKPNR-UHFFFAOYSA-N 1 2 258.321 3.621 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(F)(F)F)cc1OC ZINC001176123165 1121558687 /nfs/dbraw/zinc/55/86/87/1121558687.db2.gz OCUQWMAXWYVDLY-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO C[C@@H](F)CC[N@@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000509375627 1121559794 /nfs/dbraw/zinc/55/97/94/1121559794.db2.gz ZTMDDHWNOWJWKW-SNVBAGLBSA-N 1 2 280.774 3.928 20 0 CHADLO C[C@@H](F)CC[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000509375627 1121559800 /nfs/dbraw/zinc/55/98/00/1121559800.db2.gz ZTMDDHWNOWJWKW-SNVBAGLBSA-N 1 2 280.774 3.928 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1cc(F)ccc1F ZINC000625979739 1121565899 /nfs/dbraw/zinc/56/58/99/1121565899.db2.gz TVRFTJRSGJXSEE-VIFPVBQESA-N 1 2 261.262 3.584 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1cc(F)ccc1F ZINC000625979739 1121565904 /nfs/dbraw/zinc/56/59/04/1121565904.db2.gz TVRFTJRSGJXSEE-VIFPVBQESA-N 1 2 261.262 3.584 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(OCOC)c(F)c1 ZINC001176188649 1121567945 /nfs/dbraw/zinc/56/79/45/1121567945.db2.gz OYQSFHABCANGTN-UHFFFAOYSA-N 1 2 276.311 3.509 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCc2c(O)cccc2C1 ZINC000626026791 1121583587 /nfs/dbraw/zinc/58/35/87/1121583587.db2.gz ZABNDWLLDGQHEN-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCc2c(O)cccc2C1 ZINC000626026791 1121583594 /nfs/dbraw/zinc/58/35/94/1121583594.db2.gz ZABNDWLLDGQHEN-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cncc(C2CC2)c1 ZINC001176282439 1121586194 /nfs/dbraw/zinc/58/61/94/1121586194.db2.gz VREBDYCSPIWFKP-UHFFFAOYSA-N 1 2 253.349 3.968 20 0 CHADLO CCOc1cc(Nc2cncc(C3CC3)c2)cc(C)[nH+]1 ZINC001176282973 1121587655 /nfs/dbraw/zinc/58/76/55/1121587655.db2.gz DTYJPAQFTQZDOT-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO c1cn(Cc2cccc(Nc3cncc(C4CC4)c3)c2)c[nH+]1 ZINC001176285803 1121588184 /nfs/dbraw/zinc/58/81/84/1121588184.db2.gz OJPMGPYSOPUNPT-UHFFFAOYSA-N 1 2 290.370 3.947 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C1CC2(CC2)C1 ZINC000614281531 1121594891 /nfs/dbraw/zinc/59/48/91/1121594891.db2.gz LHBZZQMQSAKFTL-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Cc1cc(N(C)c2[nH+]cccc2N)cc(C)c1Cl ZINC001176337190 1121599331 /nfs/dbraw/zinc/59/93/31/1121599331.db2.gz VWVBWEVTIPQVKD-UHFFFAOYSA-N 1 2 261.756 3.702 20 0 CHADLO CC(C)(C)n1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC001212571276 1121609313 /nfs/dbraw/zinc/60/93/13/1121609313.db2.gz HKLUDONMXCQMGJ-UHFFFAOYSA-N 1 2 295.390 3.627 20 0 CHADLO CCCc1nc(C[N@H+](C)C2CCC(C(C)C)CC2)no1 ZINC000433182355 1121610661 /nfs/dbraw/zinc/61/06/61/1121610661.db2.gz NHGQZKHNFANSTA-UHFFFAOYSA-N 1 2 279.428 3.669 20 0 CHADLO CCCc1nc(C[N@@H+](C)C2CCC(C(C)C)CC2)no1 ZINC000433182355 1121610667 /nfs/dbraw/zinc/61/06/67/1121610667.db2.gz NHGQZKHNFANSTA-UHFFFAOYSA-N 1 2 279.428 3.669 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1csc(CO)c1 ZINC001176370033 1121616310 /nfs/dbraw/zinc/61/63/10/1121616310.db2.gz BYVMYFUKOJEHLO-UHFFFAOYSA-N 1 2 276.405 3.886 20 0 CHADLO COc1nscc1C[N@H+](C)[C@@H](C)c1cccc(C)c1 ZINC001176473856 1121634668 /nfs/dbraw/zinc/63/46/68/1121634668.db2.gz AHJSQAARDCAUHN-LBPRGKRZSA-N 1 2 276.405 3.653 20 0 CHADLO COc1nscc1C[N@@H+](C)[C@@H](C)c1cccc(C)c1 ZINC001176473856 1121634674 /nfs/dbraw/zinc/63/46/74/1121634674.db2.gz AHJSQAARDCAUHN-LBPRGKRZSA-N 1 2 276.405 3.653 20 0 CHADLO Cc1nc([C@@](C)([NH2+]Cc2c(C)cc(C)cc2C)C2CC2)no1 ZINC000433291792 1121638630 /nfs/dbraw/zinc/63/86/30/1121638630.db2.gz XDZMKFLSYTXOSG-SFHVURJKSA-N 1 2 299.418 3.718 20 0 CHADLO FC(F)(F)Oc1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001176641870 1121667652 /nfs/dbraw/zinc/66/76/52/1121667652.db2.gz OFSCZVWGDVKCTR-UHFFFAOYSA-N 1 2 293.248 3.977 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccccc2Cl)no1 ZINC000052211070 1121679245 /nfs/dbraw/zinc/67/92/45/1121679245.db2.gz NOZZUHKLMYZAKP-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccccc2Cl)no1 ZINC000052211070 1121679247 /nfs/dbraw/zinc/67/92/47/1121679247.db2.gz NOZZUHKLMYZAKP-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1ccc(Nc2cccnc2OC(C)C)c(C)[nH+]1 ZINC001176730162 1121683649 /nfs/dbraw/zinc/68/36/49/1121683649.db2.gz FJGRXCDAGVOYTQ-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccnc1OC(C)C ZINC001176731450 1121684593 /nfs/dbraw/zinc/68/45/93/1121684593.db2.gz SSBARNNHIHLWTE-UHFFFAOYSA-N 1 2 271.364 3.878 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCC2)c(Cl)n1 ZINC001176749490 1121686243 /nfs/dbraw/zinc/68/62/43/1121686243.db2.gz GHUHGBSDVUXXJR-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2cccc3c2CCC3)c1 ZINC001176749014 1121686258 /nfs/dbraw/zinc/68/62/58/1121686258.db2.gz OIFGLWKDFDXCFN-UHFFFAOYSA-N 1 2 279.387 3.914 20 0 CHADLO Fc1ccc2nc(Nc3ccc[nH+]c3N3CCCC3)oc2c1 ZINC001176742894 1121686764 /nfs/dbraw/zinc/68/67/64/1121686764.db2.gz BHTPUMVXFVQOLI-UHFFFAOYSA-N 1 2 298.321 3.706 20 0 CHADLO C[C@H]1CCC(F)(F)C[N@@H+]1CCCCC1CCOCC1 ZINC001176896451 1121702703 /nfs/dbraw/zinc/70/27/03/1121702703.db2.gz GGLADURRCPHBSL-ZDUSSCGKSA-N 1 2 275.383 3.703 20 0 CHADLO C[C@H]1CCC(F)(F)C[N@H+]1CCCCC1CCOCC1 ZINC001176896451 1121702706 /nfs/dbraw/zinc/70/27/06/1121702706.db2.gz GGLADURRCPHBSL-ZDUSSCGKSA-N 1 2 275.383 3.703 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C)C2CCCC2)c(C)[nH+]1 ZINC000408462318 1121714828 /nfs/dbraw/zinc/71/48/28/1121714828.db2.gz CZHQKWMAIFNJBY-GFCCVEGCSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OCc1ccc(Cl)s1 ZINC000727400392 1121717384 /nfs/dbraw/zinc/71/73/84/1121717384.db2.gz WMMSKSPBWOBKBC-UHFFFAOYSA-N 1 2 280.780 3.757 20 0 CHADLO Cc1[nH]c(CNc2ccc(-c3ccccc3)cn2)[nH+]c1C ZINC001177429433 1121730771 /nfs/dbraw/zinc/73/07/71/1121730771.db2.gz YFURXELZRWJUOM-UHFFFAOYSA-N 1 2 278.359 3.701 20 0 CHADLO CCc1cccc(F)c1CN[C@H]1C[N@H+](C)Cc2ccccc21 ZINC000527523768 1121733304 /nfs/dbraw/zinc/73/33/04/1121733304.db2.gz COUQCTGINQWIJE-IBGZPJMESA-N 1 2 298.405 3.664 20 0 CHADLO CCc1cccc(F)c1CN[C@H]1C[N@@H+](C)Cc2ccccc21 ZINC000527523768 1121733312 /nfs/dbraw/zinc/73/33/12/1121733312.db2.gz COUQCTGINQWIJE-IBGZPJMESA-N 1 2 298.405 3.664 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+][C@@H](C)c1nonc1C ZINC000924894784 1121755845 /nfs/dbraw/zinc/75/58/45/1121755845.db2.gz ARMHKSQUCADCGZ-SMDDNHRTSA-N 1 2 289.379 3.579 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+][C@H](C)c1ncco1 ZINC000924895141 1121758490 /nfs/dbraw/zinc/75/84/90/1121758490.db2.gz CGBRWLSHGYJWQO-TZMCWYRMSA-N 1 2 274.364 3.875 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+][C@@H](C)c1ncco1 ZINC000924895008 1121759465 /nfs/dbraw/zinc/75/94/65/1121759465.db2.gz CGBRWLSHGYJWQO-GXTWGEPZSA-N 1 2 274.364 3.875 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OC1CCCC1)c1ncc[nH]1 ZINC000285488150 1121761478 /nfs/dbraw/zinc/76/14/78/1121761478.db2.gz BYRZMQAYIXWARO-ZDUSSCGKSA-N 1 2 285.391 3.582 20 0 CHADLO COc1ccc[nH+]c1N[C@@H](C)CCc1ccccc1 ZINC000169141777 1121773002 /nfs/dbraw/zinc/77/30/02/1121773002.db2.gz TYKHANONCVDHLX-ZDUSSCGKSA-N 1 2 256.349 3.523 20 0 CHADLO CCC/C=C\C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000729339369 1121777791 /nfs/dbraw/zinc/77/77/91/1121777791.db2.gz XCFJCULTFQXGGF-POHAHGRESA-N 1 2 283.375 3.988 20 0 CHADLO CCCC=CC(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000729339369 1121777796 /nfs/dbraw/zinc/77/77/96/1121777796.db2.gz XCFJCULTFQXGGF-POHAHGRESA-N 1 2 283.375 3.988 20 0 CHADLO c1cn2ccc(Nc3cc(-c4ccccc4)no3)cc2[nH+]1 ZINC001204950974 1121778125 /nfs/dbraw/zinc/77/81/25/1121778125.db2.gz KKKYLRNJJXAQNF-UHFFFAOYSA-N 1 2 276.299 3.733 20 0 CHADLO CCOc1ccc(Cl)cc1CNc1c[nH+]ccc1OC ZINC001178259576 1121778350 /nfs/dbraw/zinc/77/83/50/1121778350.db2.gz USKCVVNMJIVXIE-UHFFFAOYSA-N 1 2 292.766 3.754 20 0 CHADLO Cc1c(F)cc(Br)c(C[NH+]2CCCC2)c1F ZINC001249865301 1121781972 /nfs/dbraw/zinc/78/19/72/1121781972.db2.gz XNZXJCPNMWFFGK-UHFFFAOYSA-N 1 2 290.151 3.632 20 0 CHADLO Cc1cc(C[NH2+]Cc2c(F)cc(F)c(F)c2F)cs1 ZINC001178640696 1121799179 /nfs/dbraw/zinc/79/91/79/1121799179.db2.gz ZEXNQWMYYSYYNQ-UHFFFAOYSA-N 1 2 289.297 3.903 20 0 CHADLO Cc1nc2[nH]ccc2c(NCCC2=CCCCC2)[nH+]1 ZINC000433784609 1121809005 /nfs/dbraw/zinc/80/90/05/1121809005.db2.gz WWXKPKUKSQDYML-UHFFFAOYSA-N 1 2 256.353 3.520 20 0 CHADLO Cc1ccc2c(c1)CC[C@@H]2Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000433785923 1121809395 /nfs/dbraw/zinc/80/93/95/1121809395.db2.gz UKJDPSZHISAWOP-HNNXBMFYSA-N 1 2 278.359 3.674 20 0 CHADLO CCOc1ccc(C[NH2+][C@H]2CCCC2(F)F)c(C)c1 ZINC001178859844 1121827058 /nfs/dbraw/zinc/82/70/58/1121827058.db2.gz KNVXFPFQYATQDJ-AWEZNQCLSA-N 1 2 269.335 3.671 20 0 CHADLO CC(C)[C@H](C)N(C(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000894231047 1121828823 /nfs/dbraw/zinc/82/88/23/1121828823.db2.gz VCEMRKIVADVSQG-CVEARBPZSA-N 1 2 299.418 3.912 20 0 CHADLO CC[C@H](N[C@@H](C)c1ccc(-n2cc[nH+]c2)cc1)C(F)(F)F ZINC000345159511 1121850415 /nfs/dbraw/zinc/85/04/15/1121850415.db2.gz HPGLMHMYEZKIAW-FZMZJTMJSA-N 1 2 297.324 3.864 20 0 CHADLO Cc1cc(CNc2ccc(C(F)(F)F)nc2)cc(C)[nH+]1 ZINC000894238077 1121853068 /nfs/dbraw/zinc/85/30/68/1121853068.db2.gz GIOYXDADIOHXIN-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO CC[NH+](CC)[C@@H](C(=O)Oc1ccccc1)c1ccccc1 ZINC000731471462 1121869109 /nfs/dbraw/zinc/86/91/09/1121869109.db2.gz WLWFQIDBDLMZLS-QGZVFWFLSA-N 1 2 283.371 3.675 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nc2c(s1)CCC2)c1ccco1 ZINC000345304249 1121871332 /nfs/dbraw/zinc/87/13/32/1121871332.db2.gz QYBKCQBYUHXXGD-NXEZZACHSA-N 1 2 262.378 3.637 20 0 CHADLO Cc1ccc2[nH+]c(NC/C=C\c3ccccc3)[nH]c2c1 ZINC001179438032 1121878174 /nfs/dbraw/zinc/87/81/74/1121878174.db2.gz OYZWWUHIZQTCEE-YVMONPNESA-N 1 2 263.344 3.997 20 0 CHADLO Cc1cc(NCc2ccc(F)c(F)c2F)c[nH+]c1C ZINC001179453921 1121879048 /nfs/dbraw/zinc/87/90/48/1121879048.db2.gz SSJNQEMKSKBHOC-UHFFFAOYSA-N 1 2 266.266 3.728 20 0 CHADLO C[C@]1(c2ccc(Cl)cc2)C[N@H+](Cc2ccoc2)CCO1 ZINC001204244335 1121880081 /nfs/dbraw/zinc/88/00/81/1121880081.db2.gz ZHXXTEYXYHQYPX-MRXNPFEDSA-N 1 2 291.778 3.681 20 0 CHADLO C[C@]1(c2ccc(Cl)cc2)C[N@@H+](Cc2ccoc2)CCO1 ZINC001204244335 1121880087 /nfs/dbraw/zinc/88/00/87/1121880087.db2.gz ZHXXTEYXYHQYPX-MRXNPFEDSA-N 1 2 291.778 3.681 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1ocnc1C ZINC001179484162 1121882745 /nfs/dbraw/zinc/88/27/45/1121882745.db2.gz DUDUXTKPOYXSKF-UHFFFAOYSA-N 1 2 290.754 3.618 20 0 CHADLO CC[C@H](C)[N@@H+]1CCc2cc(Br)cc(F)c2C1 ZINC000501009392 1121937787 /nfs/dbraw/zinc/93/77/87/1121937787.db2.gz ZVOJDCGWYKYFPY-VIFPVBQESA-N 1 2 286.188 3.745 20 0 CHADLO CC[C@H](C)[N@H+]1CCc2cc(Br)cc(F)c2C1 ZINC000501009392 1121937793 /nfs/dbraw/zinc/93/77/93/1121937793.db2.gz ZVOJDCGWYKYFPY-VIFPVBQESA-N 1 2 286.188 3.745 20 0 CHADLO CC(C)c1cnc(C[N@H+]2Cc3ccccc3[C@@H](C)C2)o1 ZINC000733303732 1121972054 /nfs/dbraw/zinc/97/20/54/1121972054.db2.gz DVZJJWSHJHRGTQ-ZDUSSCGKSA-N 1 2 270.376 3.917 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2Cc3ccccc3[C@@H](C)C2)o1 ZINC000733303732 1121972061 /nfs/dbraw/zinc/97/20/61/1121972061.db2.gz DVZJJWSHJHRGTQ-ZDUSSCGKSA-N 1 2 270.376 3.917 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[N@@H+]1CC[C@](C)(F)C1 ZINC000501398125 1121974975 /nfs/dbraw/zinc/97/49/75/1121974975.db2.gz ZFFSWGOLJYFYKJ-RNCFNFMXSA-N 1 2 259.727 3.974 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[N@H+]1CC[C@](C)(F)C1 ZINC000501398125 1121974980 /nfs/dbraw/zinc/97/49/80/1121974980.db2.gz ZFFSWGOLJYFYKJ-RNCFNFMXSA-N 1 2 259.727 3.974 20 0 CHADLO CCn1c2ccccc2nc1C[N@H+](C)Cc1cccc(F)c1 ZINC000153150072 1129023178 /nfs/dbraw/zinc/02/31/78/1129023178.db2.gz ICDJBMHBZDLMGR-UHFFFAOYSA-N 1 2 297.377 3.827 20 0 CHADLO CCn1c2ccccc2nc1C[N@@H+](C)Cc1cccc(F)c1 ZINC000153150072 1129023181 /nfs/dbraw/zinc/02/31/81/1129023181.db2.gz ICDJBMHBZDLMGR-UHFFFAOYSA-N 1 2 297.377 3.827 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ccccc2Cl)o1 ZINC001180530998 1121995018 /nfs/dbraw/zinc/99/50/18/1121995018.db2.gz AWXDQFMSVZBZHC-UHFFFAOYSA-N 1 2 279.767 3.964 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ccccc2Cl)o1 ZINC001180530998 1121995027 /nfs/dbraw/zinc/99/50/27/1121995027.db2.gz AWXDQFMSVZBZHC-UHFFFAOYSA-N 1 2 279.767 3.964 20 0 CHADLO CC(C)N1CC[NH+](Cc2ccc(C3CCCC3)cc2)CC1 ZINC001180546442 1121998341 /nfs/dbraw/zinc/99/83/41/1121998341.db2.gz KGDVWKJTZDGPMS-UHFFFAOYSA-N 1 2 286.463 3.870 20 0 CHADLO COC(=O)[C@H]1CCCCC[N@@H+]1Cc1ccc(Cl)c(C)c1 ZINC001180741365 1122011373 /nfs/dbraw/zinc/01/13/73/1122011373.db2.gz SEXBTYAAMIKMPY-OAHLLOKOSA-N 1 2 295.810 3.566 20 0 CHADLO COC(=O)[C@H]1CCCCC[N@H+]1Cc1ccc(Cl)c(C)c1 ZINC001180741365 1122011376 /nfs/dbraw/zinc/01/13/76/1122011376.db2.gz SEXBTYAAMIKMPY-OAHLLOKOSA-N 1 2 295.810 3.566 20 0 CHADLO Cc1[nH]c(CN(C)c2ccc(C(C)(C)C)cc2)[nH+]c1C ZINC001180724053 1122013796 /nfs/dbraw/zinc/01/37/96/1122013796.db2.gz TZBQSICUZKQPQQ-UHFFFAOYSA-N 1 2 271.408 3.960 20 0 CHADLO CC[C@H]1C[C@H](Nc2cc[nH+]c3c(OC)cccc23)CCO1 ZINC000420614486 1122027631 /nfs/dbraw/zinc/02/76/31/1122027631.db2.gz AMKYATSHBYKGDC-OLZOCXBDSA-N 1 2 286.375 3.613 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H](C)c2cccc3c2OCO3)n1 ZINC000924927580 1122037905 /nfs/dbraw/zinc/03/79/05/1122037905.db2.gz WWTMTUKSACHKJZ-MNOVXSKESA-N 1 2 290.388 3.592 20 0 CHADLO C[C@H]1C[C@H](CO)C[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000420935144 1122045571 /nfs/dbraw/zinc/04/55/71/1122045571.db2.gz HMLXPWJDWNLRHS-IUCAKERBSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@H]1C[C@H](CO)C[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000420935144 1122045581 /nfs/dbraw/zinc/04/55/81/1122045581.db2.gz HMLXPWJDWNLRHS-IUCAKERBSA-N 1 2 294.247 3.505 20 0 CHADLO CCc1cccc(C)c1NC(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421029335 1122060284 /nfs/dbraw/zinc/06/02/84/1122060284.db2.gz CIDOFITUBNOFJF-UHFFFAOYSA-N 1 2 297.402 3.891 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+][C@H](CF)c2ccc(F)cc2)s1 ZINC000631798394 1129029535 /nfs/dbraw/zinc/02/95/35/1129029535.db2.gz RUFHFTRGKNYBSQ-NOZJJQNGSA-N 1 2 282.359 3.952 20 0 CHADLO Cc1ccc(Nc2cnc(F)c(Br)c2)c(C)[nH+]1 ZINC001203368323 1122082252 /nfs/dbraw/zinc/08/22/52/1122082252.db2.gz YRIODDGIHWVYMU-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1cccn2c(CNc3cccc(N(C)C)c3C)c[nH+]c12 ZINC000421342393 1122096163 /nfs/dbraw/zinc/09/61/63/1122096163.db2.gz XQJINKZRUXZORM-UHFFFAOYSA-N 1 2 294.402 3.629 20 0 CHADLO CC/C=C/NC(=O)[C@@H](c1ccccc1Cl)[NH+](CC)CC ZINC001182222976 1122123212 /nfs/dbraw/zinc/12/32/12/1122123212.db2.gz HJHSAXSLXWAORP-CUXKMMBLSA-N 1 2 294.826 3.763 20 0 CHADLO Cc1cc(CNC(=O)c2cccc(C3CCC3)c2)cc(C)[nH+]1 ZINC000421581386 1122139804 /nfs/dbraw/zinc/13/98/04/1122139804.db2.gz YONHQCGUNUXRSJ-UHFFFAOYSA-N 1 2 294.398 3.896 20 0 CHADLO Cc1cc(C[NH2+]Cc2nnc(C3CC3)s2)ccc1Cl ZINC000414552791 1122154034 /nfs/dbraw/zinc/15/40/34/1122154034.db2.gz HNDAZOJWAPFYJF-UHFFFAOYSA-N 1 2 293.823 3.667 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@H+](Cc2scnc2Cl)C1 ZINC000878721344 1122160549 /nfs/dbraw/zinc/16/05/49/1122160549.db2.gz WBIOJMHXLQOGKH-QMMMGPOBSA-N 1 2 298.761 3.961 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@@H+](Cc2scnc2Cl)C1 ZINC000878721344 1122160551 /nfs/dbraw/zinc/16/05/51/1122160551.db2.gz WBIOJMHXLQOGKH-QMMMGPOBSA-N 1 2 298.761 3.961 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C2CC2)o1)c1ccc(OC)cc1 ZINC000271724616 1122172855 /nfs/dbraw/zinc/17/28/55/1122172855.db2.gz XLMVZZBLGNVOFQ-HNNXBMFYSA-N 1 2 286.375 3.802 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nc(C(C)C)no1)C1CC1 ZINC000271800782 1122176903 /nfs/dbraw/zinc/17/69/03/1122176903.db2.gz BTSJFZGDJIDSOV-MRXNPFEDSA-N 1 2 285.391 3.742 20 0 CHADLO CC[C@H](C)C[C@@H]([NH2+][C@H](C)CCc1ccsc1)C(=O)OC ZINC001182575315 1122177060 /nfs/dbraw/zinc/17/70/60/1122177060.db2.gz YXRYMUSXERFMII-GZBFAFLISA-N 1 2 297.464 3.637 20 0 CHADLO CC[C@H](C)C[C@H]([NH2+][C@@H](C)CCc1ccsc1)C(=O)OC ZINC001182575317 1122177326 /nfs/dbraw/zinc/17/73/26/1122177326.db2.gz YXRYMUSXERFMII-YDHLFZDLSA-N 1 2 297.464 3.637 20 0 CHADLO CN(C)c1cc(CSCc2ncc(Cl)s2)cc[nH+]1 ZINC000774538523 1122185823 /nfs/dbraw/zinc/18/58/23/1122185823.db2.gz BRWVLLGUNQONOZ-UHFFFAOYSA-N 1 2 299.852 3.691 20 0 CHADLO CCn1ccc(C[N@@H+]2CCc3ccc(C(C)C)cc3C2)n1 ZINC001182783219 1122189520 /nfs/dbraw/zinc/18/95/20/1122189520.db2.gz WEWHOJZLHLWLTE-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO CCn1ccc(C[N@H+]2CCc3ccc(C(C)C)cc3C2)n1 ZINC001182783219 1122189521 /nfs/dbraw/zinc/18/95/21/1122189521.db2.gz WEWHOJZLHLWLTE-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO CCC1(CC)[C@H](Nc2c[nH+]cc3c2CCCC3)C[C@H]1OC ZINC001182845097 1122190672 /nfs/dbraw/zinc/19/06/72/1122190672.db2.gz RLNYPLVEBQEQFF-IAGOWNOFSA-N 1 2 288.435 3.966 20 0 CHADLO CCc1nc(CSCc2cc(OC)cc(C)[nH+]2)cs1 ZINC000272115998 1122191556 /nfs/dbraw/zinc/19/15/56/1122191556.db2.gz ZUDYUZWTSWQVTM-UHFFFAOYSA-N 1 2 294.445 3.851 20 0 CHADLO Cc1ccncc1[C@H](C)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000272264514 1122196418 /nfs/dbraw/zinc/19/64/18/1122196418.db2.gz MKYFLERWRFSNIZ-AWEZNQCLSA-N 1 2 282.391 3.558 20 0 CHADLO Cc1nc([C@H](C)Nc2ccc([NH+](C)C)c(C)c2)cs1 ZINC000272335675 1122198752 /nfs/dbraw/zinc/19/87/52/1122198752.db2.gz DGUCVIOIBMFPFQ-NSHDSACASA-N 1 2 275.421 3.999 20 0 CHADLO Cc1ccccc1C(F)(F)C[NH2+]C1CC(OC(C)C)C1 ZINC001183075613 1122203551 /nfs/dbraw/zinc/20/35/51/1122203551.db2.gz WUDGFDLOIVYPGF-UHFFFAOYSA-N 1 2 283.362 3.632 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nnc(C(C)C)[nH]1)c1ccccc1F ZINC000272610220 1122207770 /nfs/dbraw/zinc/20/77/70/1122207770.db2.gz KFNPZBBASMGBJD-FZMZJTMJSA-N 1 2 290.386 3.869 20 0 CHADLO Fc1ccc([C@H]2C[C@@H](Nc3cccc[nH+]3)CCO2)cc1 ZINC000273282914 1122226571 /nfs/dbraw/zinc/22/65/71/1122226571.db2.gz JESUCBLTGOAKOC-LSDHHAIUSA-N 1 2 272.323 3.553 20 0 CHADLO Cc1cnc(CNc2[nH]c3ccc(Cl)cc3[nH+]2)s1 ZINC001203572321 1122230600 /nfs/dbraw/zinc/23/06/00/1122230600.db2.gz MKQOQYAHDHVEDI-UHFFFAOYSA-N 1 2 278.768 3.593 20 0 CHADLO Cc1cnc(CNc2[nH]c3cc(Cl)ccc3[nH+]2)s1 ZINC001203572321 1122230604 /nfs/dbraw/zinc/23/06/04/1122230604.db2.gz MKQOQYAHDHVEDI-UHFFFAOYSA-N 1 2 278.768 3.593 20 0 CHADLO c1cn(Cc2cccc(-c3noc(C4CCCC4)n3)c2)c[nH+]1 ZINC000273793238 1122249965 /nfs/dbraw/zinc/24/99/65/1122249965.db2.gz SYVJNWZMMZEJTN-UHFFFAOYSA-N 1 2 294.358 3.639 20 0 CHADLO CC[N@H+](Cc1nc(C2(c3ccccc3)CCC2)no1)C1CC1 ZINC000274007124 1122253494 /nfs/dbraw/zinc/25/34/94/1122253494.db2.gz NFCXSSXKOPRBLB-UHFFFAOYSA-N 1 2 297.402 3.524 20 0 CHADLO CC[N@@H+](Cc1nc(C2(c3ccccc3)CCC2)no1)C1CC1 ZINC000274007124 1122253498 /nfs/dbraw/zinc/25/34/98/1122253498.db2.gz NFCXSSXKOPRBLB-UHFFFAOYSA-N 1 2 297.402 3.524 20 0 CHADLO Cc1nc(C[NH2+][C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)oc1C ZINC000503376962 1122258953 /nfs/dbraw/zinc/25/89/53/1122258953.db2.gz LGHCDEZRENALOD-QFYYESIMSA-N 1 2 290.794 3.970 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC000774790509 1122299127 /nfs/dbraw/zinc/29/91/27/1122299127.db2.gz SVMISCIXWZADCU-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO CCOc1cc[nH+]cc1Nc1coc2ccccc12 ZINC001203146616 1122302202 /nfs/dbraw/zinc/30/22/02/1122302202.db2.gz MFDMGFYRLNGQEH-UHFFFAOYSA-N 1 2 254.289 3.970 20 0 CHADLO CC(=O)c1cc(C)ccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001185043402 1122312823 /nfs/dbraw/zinc/31/28/23/1122312823.db2.gz NMBVBZBUFYABJO-UHFFFAOYSA-N 1 2 295.386 3.936 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2cccc3c2CCCO3)c1 ZINC001185043374 1122312920 /nfs/dbraw/zinc/31/29/20/1122312920.db2.gz MPVRYNZJGHMUDS-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccccc1 ZINC000774846599 1122328822 /nfs/dbraw/zinc/32/88/22/1122328822.db2.gz INESMLFQIILYRT-ZDUSSCGKSA-N 1 2 273.380 3.608 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(Cl)n1)c1c(F)cccc1F ZINC000774866488 1122336476 /nfs/dbraw/zinc/33/64/76/1122336476.db2.gz WAOIBNIALPFZCP-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(Cl)c(F)c2OC)c1 ZINC001250189288 1122343271 /nfs/dbraw/zinc/34/32/71/1122343271.db2.gz UYKPLLLRZOANQR-UHFFFAOYSA-N 1 2 282.702 3.635 20 0 CHADLO Cc1cc(N2CCC3(CCCC3)C2)nc(C2CCC2)[nH+]1 ZINC001185496809 1122344432 /nfs/dbraw/zinc/34/44/32/1122344432.db2.gz CEEFXHXXLCSLNS-UHFFFAOYSA-N 1 2 271.408 3.823 20 0 CHADLO CCCC1(CNc2nc3ccccc3n3c[nH+]cc23)CC1 ZINC001185767483 1122359343 /nfs/dbraw/zinc/35/93/43/1122359343.db2.gz WGJYEBAYCJDYCF-UHFFFAOYSA-N 1 2 280.375 3.875 20 0 CHADLO Oc1ccc(F)c(Nc2cccn3cc[nH+]c23)c1Cl ZINC001250229048 1122375061 /nfs/dbraw/zinc/37/50/61/1122375061.db2.gz SZFIMDIAJFFFQM-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO CNc1cc(Cc2c(F)cccc2C(F)(F)F)cc[nH+]1 ZINC001250232605 1122378259 /nfs/dbraw/zinc/37/82/59/1122378259.db2.gz HGDISDUGRRVLPJ-UHFFFAOYSA-N 1 2 284.256 3.872 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1ccccc1Cl ZINC000058875915 1122391571 /nfs/dbraw/zinc/39/15/71/1122391571.db2.gz TYSLREKBEOYJPK-UHFFFAOYSA-N 1 2 275.779 3.981 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1ccccc1Cl ZINC000058875915 1122391576 /nfs/dbraw/zinc/39/15/76/1122391576.db2.gz TYSLREKBEOYJPK-UHFFFAOYSA-N 1 2 275.779 3.981 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3C[C@@H](C)[C@H]3C)cc2)c1C ZINC000430078778 1122399826 /nfs/dbraw/zinc/39/98/26/1122399826.db2.gz KVMALFMRBMHZTN-PSTGCABASA-N 1 2 269.392 3.946 20 0 CHADLO CCOC(=O)[C@@H](CC1CC1)[NH2+]CC[C@H](C)c1ccccc1 ZINC000775053726 1122409188 /nfs/dbraw/zinc/40/91/88/1122409188.db2.gz KCRMQMAOPMMDAO-WMLDXEAASA-N 1 2 289.419 3.502 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C2CC2)o1)c1ccccc1OC ZINC000775066596 1122415054 /nfs/dbraw/zinc/41/50/54/1122415054.db2.gz QTBHEQCVRQFVRO-AWEZNQCLSA-N 1 2 286.375 3.802 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(-c3ccccc3)nn2C)c1 ZINC001203655135 1122421734 /nfs/dbraw/zinc/42/17/34/1122421734.db2.gz WJKDJCWVBNNBES-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnn2Cc2ccccc2F)c1 ZINC001203654885 1122421827 /nfs/dbraw/zinc/42/18/27/1122421827.db2.gz QPRNYSPHBZBVTL-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnn2Cc2ccc(F)cc2)c1 ZINC001203654882 1122422221 /nfs/dbraw/zinc/42/22/21/1122422221.db2.gz QHNWMQVGATVCGF-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000505568454 1122423312 /nfs/dbraw/zinc/42/33/12/1122423312.db2.gz KBQLPAVLVJKSJQ-MRXNPFEDSA-N 1 2 259.299 3.912 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000505568454 1122423316 /nfs/dbraw/zinc/42/33/16/1122423316.db2.gz KBQLPAVLVJKSJQ-MRXNPFEDSA-N 1 2 259.299 3.912 20 0 CHADLO C[C@H]1CCCC[N@H+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000434981350 1122426947 /nfs/dbraw/zinc/42/69/47/1122426947.db2.gz FLSVSYFWTUJKKJ-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO C[C@H]1CCCC[N@@H+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000434981350 1122426952 /nfs/dbraw/zinc/42/69/52/1122426952.db2.gz FLSVSYFWTUJKKJ-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO Cc1ccsc1CN1CC[N@@H+](C)C[C@H]1c1ccccc1 ZINC000434991242 1122427750 /nfs/dbraw/zinc/42/77/50/1122427750.db2.gz LRWCQQFTDMNTLG-INIZCTEOSA-N 1 2 286.444 3.545 20 0 CHADLO Cc1ccsc1CN1CC[N@H+](C)C[C@H]1c1ccccc1 ZINC000434991242 1122427752 /nfs/dbraw/zinc/42/77/52/1122427752.db2.gz LRWCQQFTDMNTLG-INIZCTEOSA-N 1 2 286.444 3.545 20 0 CHADLO CC(C)c1cc(NCCc2ccns2)nc(C(C)C)[nH+]1 ZINC001187454405 1122428923 /nfs/dbraw/zinc/42/89/23/1122428923.db2.gz LEQTUJYVTULLGL-UHFFFAOYSA-N 1 2 290.436 3.835 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](C(C)C)C2CCC2)no1 ZINC000435048450 1122431144 /nfs/dbraw/zinc/43/11/44/1122431144.db2.gz ARQNPNJXQYSRAT-UHFFFAOYSA-N 1 2 299.418 3.732 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](C(C)C)C2CCC2)no1 ZINC000435048450 1122431146 /nfs/dbraw/zinc/43/11/46/1122431146.db2.gz ARQNPNJXQYSRAT-UHFFFAOYSA-N 1 2 299.418 3.732 20 0 CHADLO CCc1ccccc1NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC001187607319 1122433525 /nfs/dbraw/zinc/43/35/25/1122433525.db2.gz LZPCWYZKSRTODO-UHFFFAOYSA-N 1 2 280.331 3.541 20 0 CHADLO C[C@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)c1cccc(Cl)c1F ZINC000775133861 1122434601 /nfs/dbraw/zinc/43/46/01/1122434601.db2.gz NQZAVFNSTCKFGQ-GWCFXTLKSA-N 1 2 299.773 3.568 20 0 CHADLO C[C@H](OC(=O)[C@@H]1CCCC[N@H+]1C)c1cccc(Cl)c1F ZINC000775133861 1122434604 /nfs/dbraw/zinc/43/46/04/1122434604.db2.gz NQZAVFNSTCKFGQ-GWCFXTLKSA-N 1 2 299.773 3.568 20 0 CHADLO CC[C@H]1C[C@@H](C)C[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435131325 1122437207 /nfs/dbraw/zinc/43/72/07/1122437207.db2.gz YVIVWMRMXFCUPF-BDAKNGLRSA-N 1 2 278.343 3.782 20 0 CHADLO CC[C@H]1C[C@@H](C)C[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435131325 1122437211 /nfs/dbraw/zinc/43/72/11/1122437211.db2.gz YVIVWMRMXFCUPF-BDAKNGLRSA-N 1 2 278.343 3.782 20 0 CHADLO Cc1cc(N[C@@H]2C[C@H]2[C@@H]2C[C@H]2C)nc(C2CCC2)[nH+]1 ZINC001187654023 1122438338 /nfs/dbraw/zinc/43/83/38/1122438338.db2.gz AMWZNMSLXNZFGD-WBMYTEFPSA-N 1 2 257.381 3.509 20 0 CHADLO CC(C)(CNc1nc2ccccc2n2c[nH+]cc12)C1CC1 ZINC001187752573 1122442447 /nfs/dbraw/zinc/44/24/47/1122442447.db2.gz VSJKRBKMMXJNPB-UHFFFAOYSA-N 1 2 280.375 3.731 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3ccc4c(c3)CCC4)n2c1 ZINC000155974083 1122453173 /nfs/dbraw/zinc/45/31/73/1122453173.db2.gz CENHGIMYOWOXGU-UHFFFAOYSA-N 1 2 277.371 3.744 20 0 CHADLO FC(F)Oc1cccc(Cc2ccn3cc[nH+]c3c2)c1 ZINC001250269817 1122458270 /nfs/dbraw/zinc/45/82/70/1122458270.db2.gz SVHYVEOXQPEVTR-UHFFFAOYSA-N 1 2 274.270 3.527 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC(C)(C(C)C)CC3)ccn12 ZINC000515388210 1122494947 /nfs/dbraw/zinc/49/49/47/1122494947.db2.gz UNVHRMSHPZXAJQ-UHFFFAOYSA-N 1 2 299.418 3.541 20 0 CHADLO C[N@H+](CCc1ccccc1F)Cc1csc(Cl)n1 ZINC000827788640 1122502017 /nfs/dbraw/zinc/50/20/17/1122502017.db2.gz NUJRBHPSXURSOQ-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO C[N@@H+](CCc1ccccc1F)Cc1csc(Cl)n1 ZINC000827788640 1122502019 /nfs/dbraw/zinc/50/20/19/1122502019.db2.gz NUJRBHPSXURSOQ-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO Fc1ccc([C@H]2CSCC[N@@H+]2Cc2ccco2)cc1 ZINC001203285572 1122511603 /nfs/dbraw/zinc/51/16/03/1122511603.db2.gz OFRLZBGRPONPSF-OAHLLOKOSA-N 1 2 277.364 3.709 20 0 CHADLO Fc1ccc([C@H]2CSCC[N@H+]2Cc2ccco2)cc1 ZINC001203285572 1122511606 /nfs/dbraw/zinc/51/16/06/1122511606.db2.gz OFRLZBGRPONPSF-OAHLLOKOSA-N 1 2 277.364 3.709 20 0 CHADLO CCc1cccc(C)c1NC(=O)Nc1cc[nH+]c2c1CCC2 ZINC001189948352 1122520514 /nfs/dbraw/zinc/52/05/14/1122520514.db2.gz WSBOTCIWRMXBSS-UHFFFAOYSA-N 1 2 295.386 3.507 20 0 CHADLO CCCOC(=O)[C@H](C)[N@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC001190392956 1122534642 /nfs/dbraw/zinc/53/46/42/1122534642.db2.gz VYBZYKGJODDSGX-LBPRGKRZSA-N 1 2 295.810 3.646 20 0 CHADLO CCCOC(=O)[C@H](C)[N@@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC001190392956 1122534644 /nfs/dbraw/zinc/53/46/44/1122534644.db2.gz VYBZYKGJODDSGX-LBPRGKRZSA-N 1 2 295.810 3.646 20 0 CHADLO CCOc1nccc(C[N@H+](C)Cc2cccs2)c1Cl ZINC001250382156 1122540813 /nfs/dbraw/zinc/54/08/13/1122540813.db2.gz FTVUQEHROHBBHA-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC1(C)C[C@H](c2ccccc2)[N@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC000425543403 1122547622 /nfs/dbraw/zinc/54/76/22/1122547622.db2.gz PGBNQMWHVAGESE-OAHLLOKOSA-N 1 2 296.418 3.655 20 0 CHADLO CC1(C)C[C@H](c2ccccc2)[N@@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC000425543403 1122547627 /nfs/dbraw/zinc/54/76/27/1122547627.db2.gz PGBNQMWHVAGESE-OAHLLOKOSA-N 1 2 296.418 3.655 20 0 CHADLO CCC[C@@H]1CCC[N@@H+]([C@H](C(=O)OC)c2ccccc2F)C1 ZINC001167960823 1122551766 /nfs/dbraw/zinc/55/17/66/1122551766.db2.gz WPQVLMRBNTZDNL-CJNGLKHVSA-N 1 2 293.382 3.552 20 0 CHADLO CCC[C@@H]1CCC[N@H+]([C@H](C(=O)OC)c2ccccc2F)C1 ZINC001167960823 1122551771 /nfs/dbraw/zinc/55/17/71/1122551771.db2.gz WPQVLMRBNTZDNL-CJNGLKHVSA-N 1 2 293.382 3.552 20 0 CHADLO Cc1nonc1C[NH2+][C@H](c1cc2ccccc2o1)C(C)C ZINC000775420041 1122559244 /nfs/dbraw/zinc/55/92/44/1122559244.db2.gz DBLDDDJOKXJNTI-INIZCTEOSA-N 1 2 285.347 3.611 20 0 CHADLO C[N@H+](Cc1cc(O)c(Cl)c(F)c1F)C1CCCC1 ZINC001250408408 1122561130 /nfs/dbraw/zinc/56/11/30/1122561130.db2.gz GPOMERROHAJMLI-UHFFFAOYSA-N 1 2 275.726 3.698 20 0 CHADLO C[N@@H+](Cc1cc(O)c(Cl)c(F)c1F)C1CCCC1 ZINC001250408408 1122561133 /nfs/dbraw/zinc/56/11/33/1122561133.db2.gz GPOMERROHAJMLI-UHFFFAOYSA-N 1 2 275.726 3.698 20 0 CHADLO Cc1ncc2c(n1)CC[N@@H+]([C@H](C)c1cccc(Cl)c1)C2 ZINC000516156666 1122574081 /nfs/dbraw/zinc/57/40/81/1122574081.db2.gz BDZVKBWBVFAYHF-LLVKDONJSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1ncc2c(n1)CC[N@H+]([C@H](C)c1cccc(Cl)c1)C2 ZINC000516156666 1122574085 /nfs/dbraw/zinc/57/40/85/1122574085.db2.gz BDZVKBWBVFAYHF-LLVKDONJSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nnc(C(C)(C)C)o2)c1C ZINC000775438405 1122577143 /nfs/dbraw/zinc/57/71/43/1122577143.db2.gz WFVLUAYILJBJCL-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO CC[C@H]1C[N@@H+]([C@H](C)c2ccccc2Br)CCO1 ZINC000516297071 1122586055 /nfs/dbraw/zinc/58/60/55/1122586055.db2.gz SXILNSJNCKNHGE-NEPJUHHUSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@H]1C[N@H+]([C@H](C)c2ccccc2Br)CCO1 ZINC000516297071 1122586058 /nfs/dbraw/zinc/58/60/58/1122586058.db2.gz SXILNSJNCKNHGE-NEPJUHHUSA-N 1 2 298.224 3.621 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)NC1CCCCCC1 ZINC001191236958 1122586605 /nfs/dbraw/zinc/58/66/05/1122586605.db2.gz OCLJXPFVLLUWIY-UHFFFAOYSA-N 1 2 298.390 3.717 20 0 CHADLO CCCCCCNC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001191972803 1122625193 /nfs/dbraw/zinc/62/51/93/1122625193.db2.gz ORMRPGKKYOYWKG-UHFFFAOYSA-N 1 2 286.379 3.574 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](C)[C@H](C)C2(C)CC2)no1 ZINC000437527341 1122639153 /nfs/dbraw/zinc/63/91/53/1122639153.db2.gz KVESVOUPFBJIKM-CQSZACIVSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](C)[C@H](C)C2(C)CC2)no1 ZINC000437527341 1122639156 /nfs/dbraw/zinc/63/91/56/1122639156.db2.gz KVESVOUPFBJIKM-CQSZACIVSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(C)ccc2Br)c1 ZINC000712513504 1122639363 /nfs/dbraw/zinc/63/93/63/1122639363.db2.gz DXCQXWOBJYFBIY-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC[C@@H](c2ccccc2)C1)C(=O)OC(C)(C)C ZINC000437785352 1122655924 /nfs/dbraw/zinc/65/59/24/1122655924.db2.gz LMHTZSYUMDPSOA-BMFZPTHFSA-N 1 2 289.419 3.643 20 0 CHADLO C/C(=C/c1ccccc1)CN1CC[NH+](Cc2ccco2)CC1 ZINC000506151255 1122660640 /nfs/dbraw/zinc/66/06/40/1122660640.db2.gz OJJBCOMZTLMZHJ-VKAVYKQESA-N 1 2 296.414 3.501 20 0 CHADLO Cc1cn2cc(NC(=O)C3CCC(C)(C)CC3)ccc2[nH+]1 ZINC000425926183 1122661886 /nfs/dbraw/zinc/66/18/86/1122661886.db2.gz XFWDZZIRSXQBRE-UHFFFAOYSA-N 1 2 285.391 3.798 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCC[C@@H]3c3ncc[nH]3)cc2c1 ZINC000437973136 1122668913 /nfs/dbraw/zinc/66/89/13/1122668913.db2.gz AXMKGPSWFXJGFJ-CQSZACIVSA-N 1 2 285.322 3.632 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCC[C@@H]3c3ncc[nH]3)cc2c1 ZINC000437973136 1122668916 /nfs/dbraw/zinc/66/89/16/1122668916.db2.gz AXMKGPSWFXJGFJ-CQSZACIVSA-N 1 2 285.322 3.632 20 0 CHADLO CCCC[C@H](C)NC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001193019855 1122671144 /nfs/dbraw/zinc/67/11/44/1122671144.db2.gz XVAUFEFQMLCFID-ZDUSSCGKSA-N 1 2 286.379 3.573 20 0 CHADLO C[C@@H](Cc1ccccc1F)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000438086267 1122675177 /nfs/dbraw/zinc/67/51/77/1122675177.db2.gz HCDIAQKSXCSQBM-AWEZNQCLSA-N 1 2 299.393 3.864 20 0 CHADLO CC(C)[N@H+](CC(F)F)C[C@@H](C)c1ccc(F)cc1 ZINC000438122000 1122682533 /nfs/dbraw/zinc/68/25/33/1122682533.db2.gz QFJPIZNPULSPQP-LLVKDONJSA-N 1 2 259.315 3.905 20 0 CHADLO CC(C)[N@@H+](CC(F)F)C[C@@H](C)c1ccc(F)cc1 ZINC000438122000 1122682536 /nfs/dbraw/zinc/68/25/36/1122682536.db2.gz QFJPIZNPULSPQP-LLVKDONJSA-N 1 2 259.315 3.905 20 0 CHADLO Fc1cccc(F)c1NCc1c[nH+]cn1Cc1ccccc1 ZINC000438317139 1122691308 /nfs/dbraw/zinc/69/13/08/1122691308.db2.gz QUOOFFPSONECAS-UHFFFAOYSA-N 1 2 299.324 3.822 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)c1C ZINC000334408495 1122698025 /nfs/dbraw/zinc/69/80/25/1122698025.db2.gz LNSHBCKFZNVCTF-BBRMVZONSA-N 1 2 269.392 3.755 20 0 CHADLO c1nnc([C@H]([NH2+]C2(c3ccccc3)CC2)C2CCCCC2)[nH]1 ZINC000638529994 1122718490 /nfs/dbraw/zinc/71/84/90/1122718490.db2.gz VYPQCJBCXLWXSY-MRXNPFEDSA-N 1 2 296.418 3.705 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(-c3ccccc3Cl)o2)CCO1 ZINC000506325991 1122719339 /nfs/dbraw/zinc/71/93/39/1122719339.db2.gz OTJFZWNPMFLEFQ-LBPRGKRZSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(-c3ccccc3Cl)o2)CCO1 ZINC000506325991 1122719343 /nfs/dbraw/zinc/71/93/43/1122719343.db2.gz OTJFZWNPMFLEFQ-LBPRGKRZSA-N 1 2 291.778 3.821 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(CSC(F)F)o1 ZINC000294170681 1122722641 /nfs/dbraw/zinc/72/26/41/1122722641.db2.gz WKAVQHSBNZPISP-SECBINFHSA-N 1 2 279.327 3.669 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(CSC(F)F)o1 ZINC000294170681 1122722645 /nfs/dbraw/zinc/72/26/45/1122722645.db2.gz WKAVQHSBNZPISP-SECBINFHSA-N 1 2 279.327 3.669 20 0 CHADLO CSc1ccc(C[N@@H+]2CCO[C@H](c3ccco3)C2)s1 ZINC000438850001 1122734769 /nfs/dbraw/zinc/73/47/69/1122734769.db2.gz LFGYKDVIHRYMSQ-ZDUSSCGKSA-N 1 2 295.429 3.637 20 0 CHADLO CSc1ccc(C[N@H+]2CCO[C@H](c3ccco3)C2)s1 ZINC000438850001 1122734771 /nfs/dbraw/zinc/73/47/71/1122734771.db2.gz LFGYKDVIHRYMSQ-ZDUSSCGKSA-N 1 2 295.429 3.637 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](C)c2cc(F)ccc2OC)o1 ZINC000426325102 1122763634 /nfs/dbraw/zinc/76/36/34/1122763634.db2.gz TWRCSFPUJOJZQW-SNVBAGLBSA-N 1 2 292.354 3.544 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2cccc(C)c2C)s1 ZINC000298323235 1122814130 /nfs/dbraw/zinc/81/41/30/1122814130.db2.gz QWMBJBWMDSWQDX-GFCCVEGCSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2cccc(C)c2C)s1 ZINC000298323235 1122814134 /nfs/dbraw/zinc/81/41/34/1122814134.db2.gz QWMBJBWMDSWQDX-GFCCVEGCSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1ccc([C@H](NCC[N@H+]2CCC[C@H]2C)C2CCCC2)o1 ZINC000775634657 1122840045 /nfs/dbraw/zinc/84/00/45/1122840045.db2.gz YHTGIFMAPOYHIE-RDTXWAMCSA-N 1 2 290.451 3.893 20 0 CHADLO Cc1ccc([C@H](NCC[N@@H+]2CCC[C@H]2C)C2CCCC2)o1 ZINC000775634657 1122840048 /nfs/dbraw/zinc/84/00/48/1122840048.db2.gz YHTGIFMAPOYHIE-RDTXWAMCSA-N 1 2 290.451 3.893 20 0 CHADLO CCc1nnc(C[NH2+]Cc2cc(C(C)(C)C)oc2C)s1 ZINC000894554623 1122873951 /nfs/dbraw/zinc/87/39/51/1122873951.db2.gz KHHIYTQTGOMUPV-UHFFFAOYSA-N 1 2 293.436 3.589 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000440461780 1122880871 /nfs/dbraw/zinc/88/08/71/1122880871.db2.gz BIVQULHCGOJZQU-UHFFFAOYSA-N 1 2 295.839 3.947 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000440461780 1122880874 /nfs/dbraw/zinc/88/08/74/1122880874.db2.gz BIVQULHCGOJZQU-UHFFFAOYSA-N 1 2 295.839 3.947 20 0 CHADLO Cc1cc(N[C@@H](c2ccccc2)[C@H](C)O)nc(C2CCC2)[nH+]1 ZINC000894644453 1122884884 /nfs/dbraw/zinc/88/48/84/1122884884.db2.gz BYNMYEKRMWJCPS-SUMWQHHRSA-N 1 2 297.402 3.587 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2)c1C ZINC000894757560 1122902533 /nfs/dbraw/zinc/90/25/33/1122902533.db2.gz WRXRJKXOCXPKQY-SJCJKPOMSA-N 1 2 269.392 3.610 20 0 CHADLO Cc1[nH]nc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2)c1C ZINC000894757560 1122902534 /nfs/dbraw/zinc/90/25/34/1122902534.db2.gz WRXRJKXOCXPKQY-SJCJKPOMSA-N 1 2 269.392 3.610 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)[C@H](C)c2ccccc2F)cn1 ZINC000440477828 1122906951 /nfs/dbraw/zinc/90/69/51/1122906951.db2.gz ZOQOURLJEYQDJP-CYBMUJFWSA-N 1 2 287.382 3.932 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)[C@H](C)c2ccccc2F)cn1 ZINC000440477828 1122906955 /nfs/dbraw/zinc/90/69/55/1122906955.db2.gz ZOQOURLJEYQDJP-CYBMUJFWSA-N 1 2 287.382 3.932 20 0 CHADLO CCc1ccccc1C[N@@H+]1CCOC[C@H]1c1cccc(F)c1 ZINC001196141843 1122907073 /nfs/dbraw/zinc/90/70/73/1122907073.db2.gz FBLLFTDXPCGKFZ-IBGZPJMESA-N 1 2 299.389 3.962 20 0 CHADLO CCc1ccccc1C[N@H+]1CCOC[C@H]1c1cccc(F)c1 ZINC001196141843 1122907075 /nfs/dbraw/zinc/90/70/75/1122907075.db2.gz FBLLFTDXPCGKFZ-IBGZPJMESA-N 1 2 299.389 3.962 20 0 CHADLO Cc1cccc(NC(=S)Nc2ccc3[nH+]ccn3c2)c1C ZINC001196390901 1122920135 /nfs/dbraw/zinc/92/01/35/1122920135.db2.gz PSDPWFGHLZDGBL-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO CC(C)c1nnc(C[NH+]2CC(C3CCCCC3)C2)s1 ZINC000894826160 1122921106 /nfs/dbraw/zinc/92/11/06/1122921106.db2.gz UEHCTKGCOXULHM-UHFFFAOYSA-N 1 2 279.453 3.674 20 0 CHADLO FC(F)(F)[C@H]1CC=C(Nc2ccn3cc[nH+]c3c2)CC1 ZINC001196890827 1122937183 /nfs/dbraw/zinc/93/71/83/1122937183.db2.gz WESJPCWSLIKZKS-JTQLQIEISA-N 1 2 281.281 3.993 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(NC(=O)C(C)C)cc1 ZINC001197666758 1122963724 /nfs/dbraw/zinc/96/37/24/1122963724.db2.gz ZCHHALTUHWWAPV-UHFFFAOYSA-N 1 2 283.375 3.982 20 0 CHADLO Cc1ccc(C(=O)Nc2ccn3cc[nH+]c3c2)c(C)c1Cl ZINC001198212941 1122983982 /nfs/dbraw/zinc/98/39/82/1122983982.db2.gz AWKMVCRAOGYYLL-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO Cc1cc(C[N@H+]2CC=C(c3cccc(C)c3C)CC2)no1 ZINC001198573037 1122998887 /nfs/dbraw/zinc/99/88/87/1122998887.db2.gz LANMFVLZEBHDNX-UHFFFAOYSA-N 1 2 282.387 3.889 20 0 CHADLO Cc1cc(C[N@@H+]2CC=C(c3cccc(C)c3C)CC2)no1 ZINC001198573037 1122998890 /nfs/dbraw/zinc/99/88/90/1122998890.db2.gz LANMFVLZEBHDNX-UHFFFAOYSA-N 1 2 282.387 3.889 20 0 CHADLO CCNc1cc(CNc2ccc(C(F)(F)F)cn2)cc[nH+]1 ZINC000894874578 1123005074 /nfs/dbraw/zinc/00/50/74/1123005074.db2.gz ZZSXJCLFAZPSLJ-UHFFFAOYSA-N 1 2 296.296 3.539 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@H+]1C/C(Cl)=C/Cl ZINC000794433586 1123007827 /nfs/dbraw/zinc/00/78/27/1123007827.db2.gz BODZZLCKRHIIGB-WSQKDGNHSA-N 1 2 279.598 3.837 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@@H+]1C/C(Cl)=C/Cl ZINC000794433586 1123007831 /nfs/dbraw/zinc/00/78/31/1123007831.db2.gz BODZZLCKRHIIGB-WSQKDGNHSA-N 1 2 279.598 3.837 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@@H+](C/C(Cl)=C/Cl)C2 ZINC001198852328 1123012141 /nfs/dbraw/zinc/01/21/41/1123012141.db2.gz PVBCIVJBTTXAGM-QPEQYQDCSA-N 1 2 270.203 3.981 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@H+](C/C(Cl)=C/Cl)C2 ZINC001198852328 1123012146 /nfs/dbraw/zinc/01/21/46/1123012146.db2.gz PVBCIVJBTTXAGM-QPEQYQDCSA-N 1 2 270.203 3.981 20 0 CHADLO Cc1conc1C[N@@H+]1CCc2cc(C(C)C)ccc2C1 ZINC001198844653 1123012464 /nfs/dbraw/zinc/01/24/64/1123012464.db2.gz POIMHQGWRDEUKO-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1conc1C[N@H+]1CCc2cc(C(C)C)ccc2C1 ZINC001198844653 1123012466 /nfs/dbraw/zinc/01/24/66/1123012466.db2.gz POIMHQGWRDEUKO-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO CC(C)[C@@H]1CCCCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001152612525 1123031041 /nfs/dbraw/zinc/03/10/41/1123031041.db2.gz PWHBVXBVJQISMM-INIZCTEOSA-N 1 2 285.391 3.604 20 0 CHADLO Cc1cccc(NCc2cc(-n3cc[nH+]c3)cs2)c1 ZINC001199482260 1123031491 /nfs/dbraw/zinc/03/14/91/1123031491.db2.gz CNNNISLXLLFXQG-UHFFFAOYSA-N 1 2 269.373 3.854 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccn(-c3ccccc3)n2)c(F)c1 ZINC001199813282 1123042138 /nfs/dbraw/zinc/04/21/38/1123042138.db2.gz RJWPUHHCVHMDSJ-UHFFFAOYSA-N 1 2 295.361 3.610 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@@H](C)c2ccc(F)cc2Cl)on1 ZINC000925094568 1123046703 /nfs/dbraw/zinc/04/67/03/1123046703.db2.gz PACVZVFKVCJEKJ-IUCAKERBSA-N 1 2 298.745 3.888 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000049000378 1123050795 /nfs/dbraw/zinc/05/07/95/1123050795.db2.gz LWASKMBJNYOVQI-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000049000378 1123050800 /nfs/dbraw/zinc/05/08/00/1123050800.db2.gz LWASKMBJNYOVQI-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO CCCn1c[nH+]cc1CNc1cccc(-c2nc(C)co2)c1 ZINC001201219542 1123079629 /nfs/dbraw/zinc/07/96/29/1123079629.db2.gz KQNGIMXXMWAIFI-UHFFFAOYSA-N 1 2 296.374 3.869 20 0 CHADLO Nc1ccc(Nc2cc(C(F)(F)F)c(F)cc2F)c[nH+]1 ZINC001201285865 1123080900 /nfs/dbraw/zinc/08/09/00/1123080900.db2.gz RCCOBKLNWMIUHE-UHFFFAOYSA-N 1 2 289.207 3.704 20 0 CHADLO Nc1ccc(-c2ccc(Nc3ccc(N)[nH+]c3)cc2)cc1 ZINC001201287139 1123081770 /nfs/dbraw/zinc/08/17/70/1123081770.db2.gz VOLAESQIKHCSQH-UHFFFAOYSA-N 1 2 276.343 3.657 20 0 CHADLO Cc1cc(F)c(Nc2ccc(N)[nH+]c2)cc1Cl ZINC001201292012 1123083573 /nfs/dbraw/zinc/08/35/73/1123083573.db2.gz UDTXERJYRBMHPA-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Nc1ccc(Nc2ccc(Oc3ccc(F)cc3)nc2)c[nH+]1 ZINC001201278752 1123085682 /nfs/dbraw/zinc/08/56/82/1123085682.db2.gz NAXGZINHDUYNPD-UHFFFAOYSA-N 1 2 296.305 3.734 20 0 CHADLO CCCc1ccc(CNc2ccc(N(C)C)[nH+]c2)cc1 ZINC001201365565 1123087599 /nfs/dbraw/zinc/08/75/99/1123087599.db2.gz RSRPMUYVLPWCHP-UHFFFAOYSA-N 1 2 269.392 3.712 20 0 CHADLO COC(=O)C[N@H+]([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000112555870 1123092786 /nfs/dbraw/zinc/09/27/86/1123092786.db2.gz LTXDLZDHIDXGNG-ZDUSSCGKSA-N 1 2 283.371 3.538 20 0 CHADLO COC(=O)C[N@@H+]([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000112555870 1123092789 /nfs/dbraw/zinc/09/27/89/1123092789.db2.gz LTXDLZDHIDXGNG-ZDUSSCGKSA-N 1 2 283.371 3.538 20 0 CHADLO CC1(C)CC(c2noc(Cn3cc[nH+]c3)n2)CC(C)(C)C1 ZINC000664977743 1123099203 /nfs/dbraw/zinc/09/92/03/1123099203.db2.gz MQNXMJQFVDGAKI-UHFFFAOYSA-N 1 2 288.395 3.634 20 0 CHADLO FC1(F)C[N@H+](C[C@@H]2CC=CCC2)CC[C@@H]1N1CCCCC1 ZINC001201426635 1123099869 /nfs/dbraw/zinc/09/98/69/1123099869.db2.gz PEMQQOLQXDQOJM-CVEARBPZSA-N 1 2 298.421 3.538 20 0 CHADLO FC1(F)C[N@@H+](C[C@@H]2CC=CCC2)CC[C@@H]1N1CCCCC1 ZINC001201426635 1123099874 /nfs/dbraw/zinc/09/98/74/1123099874.db2.gz PEMQQOLQXDQOJM-CVEARBPZSA-N 1 2 298.421 3.538 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CCC[C@@H](CC(F)(F)F)C2)n1 ZINC000895034362 1123103087 /nfs/dbraw/zinc/10/30/87/1123103087.db2.gz PVDIZFBSZSZVSE-NSHDSACASA-N 1 2 289.345 3.698 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CCC[C@@H](CC(F)(F)F)C2)n1 ZINC000895034362 1123103091 /nfs/dbraw/zinc/10/30/91/1123103091.db2.gz PVDIZFBSZSZVSE-NSHDSACASA-N 1 2 289.345 3.698 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnc(Cl)nc2)cc1 ZINC001201487372 1123107849 /nfs/dbraw/zinc/10/78/49/1123107849.db2.gz GQEIOEGNFQVJDD-UHFFFAOYSA-N 1 2 262.744 3.694 20 0 CHADLO CSc1ncc(Nc2ccc([NH2+]C(C)C)cc2)cn1 ZINC001201490198 1123108327 /nfs/dbraw/zinc/10/83/27/1123108327.db2.gz CWPQWGKRTRHCQX-UHFFFAOYSA-N 1 2 274.393 3.762 20 0 CHADLO COc1cncc(Nc2ccc([NH2+]C(C)C)cc2)c1C ZINC001201489062 1123108756 /nfs/dbraw/zinc/10/87/56/1123108756.db2.gz ZOVDGMHPCHPBCH-UHFFFAOYSA-N 1 2 271.364 3.963 20 0 CHADLO CSc1cc(Nc2cncc(OC(C)C)c2)cc[nH+]1 ZINC001201540852 1123116249 /nfs/dbraw/zinc/11/62/49/1123116249.db2.gz FADQBKSQYQEKGH-UHFFFAOYSA-N 1 2 275.377 3.729 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(C(C)(C)C)cc2)nc1 ZINC000536318254 1123125718 /nfs/dbraw/zinc/12/57/18/1123125718.db2.gz BMYXUCGPDILIMK-UHFFFAOYSA-N 1 2 283.419 3.715 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)nc1 ZINC000536318254 1123125721 /nfs/dbraw/zinc/12/57/21/1123125721.db2.gz BMYXUCGPDILIMK-UHFFFAOYSA-N 1 2 283.419 3.715 20 0 CHADLO CSc1cc(Nc2ccc(C3CC3)nc2)cc[nH+]1 ZINC001201712547 1123138492 /nfs/dbraw/zinc/13/84/92/1123138492.db2.gz CAVGNAUKSFGJQJ-UHFFFAOYSA-N 1 2 257.362 3.820 20 0 CHADLO CC[C@@H](C)Nc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001168000198 1123146527 /nfs/dbraw/zinc/14/65/27/1123146527.db2.gz RPLYBRUCCSGZHC-SECBINFHSA-N 1 2 258.287 3.886 20 0 CHADLO C[C@H]([NH2+]CCC(F)(F)F)c1nc(C2CCCCC2)no1 ZINC000348531189 1123148538 /nfs/dbraw/zinc/14/85/38/1123148538.db2.gz CDUKGNRPYANBKA-VIFPVBQESA-N 1 2 291.317 3.720 20 0 CHADLO O=C(C/C=C/c1cccc(F)c1)Nc1cccc2[nH+]ccn21 ZINC000427613108 1123199924 /nfs/dbraw/zinc/19/99/24/1123199924.db2.gz REBNIFBZPVXQOX-GORDUTHDSA-N 1 2 295.317 3.515 20 0 CHADLO CC(C)c1cccc(-c2cc[nH+]c(N3CCOCC3)c2)c1 ZINC000665173040 1123217829 /nfs/dbraw/zinc/21/78/29/1123217829.db2.gz QMZPPKJXVNHHMK-UHFFFAOYSA-N 1 2 282.387 3.709 20 0 CHADLO Cc1ccc(CSCc2noc3c2CCCC3)c(C)[nH+]1 ZINC000184822830 1123229623 /nfs/dbraw/zinc/22/96/23/1123229623.db2.gz AMZJINRWCOGVCR-UHFFFAOYSA-N 1 2 288.416 3.999 20 0 CHADLO c1cc(CNc2ccc([NH+]3CCCC3)cc2)n(CC2CC2)n1 ZINC000850044897 1123238405 /nfs/dbraw/zinc/23/84/05/1123238405.db2.gz ZUBPCYATDNUVIC-UHFFFAOYSA-N 1 2 296.418 3.505 20 0 CHADLO CCc1nc(C[NH2+]Cc2cc3cccc(CC)c3o2)co1 ZINC000850316244 1123253407 /nfs/dbraw/zinc/25/34/07/1123253407.db2.gz FWGBILHMDPSETB-UHFFFAOYSA-N 1 2 284.359 3.835 20 0 CHADLO c1cc([NH2+]C2CCC3(CCOCC3)CC2)cc2c1NCC2 ZINC000335052715 1123290203 /nfs/dbraw/zinc/29/02/03/1123290203.db2.gz GWQDZFMLYHWDQF-UHFFFAOYSA-N 1 2 286.419 3.806 20 0 CHADLO CCc1n[nH]cc1C[N@H+](C)Cc1ccc(Cl)cc1Cl ZINC001237651345 1129112281 /nfs/dbraw/zinc/11/22/81/1129112281.db2.gz KDQIDYDLECKTSR-UHFFFAOYSA-N 1 2 298.217 3.911 20 0 CHADLO CCc1n[nH]cc1C[N@@H+](C)Cc1ccc(Cl)cc1Cl ZINC001237651345 1129112283 /nfs/dbraw/zinc/11/22/83/1129112283.db2.gz KDQIDYDLECKTSR-UHFFFAOYSA-N 1 2 298.217 3.911 20 0 CHADLO Cc1nc(C(F)(F)F)c(C[N@@H+]2CCC23CCC3)s1 ZINC000335183269 1123324638 /nfs/dbraw/zinc/32/46/38/1123324638.db2.gz KZDGALOVVIFAGX-UHFFFAOYSA-N 1 2 276.327 3.599 20 0 CHADLO Cc1nc(C(F)(F)F)c(C[N@H+]2CCC23CCC3)s1 ZINC000335183269 1123324642 /nfs/dbraw/zinc/32/46/42/1123324642.db2.gz KZDGALOVVIFAGX-UHFFFAOYSA-N 1 2 276.327 3.599 20 0 CHADLO CCc1ccccc1CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000442864326 1123344096 /nfs/dbraw/zinc/34/40/96/1123344096.db2.gz GEOVFEDVTOIBBW-UHFFFAOYSA-N 1 2 293.370 3.615 20 0 CHADLO CCc1c2ccccc2oc1[C@@H](C)[NH2+][C@H](C)c1nncn1C ZINC000271886380 1129115796 /nfs/dbraw/zinc/11/57/96/1129115796.db2.gz QTJOEJQFKNQPQF-VXGBXAGGSA-N 1 2 298.390 3.536 20 0 CHADLO CCc1[nH]ncc1C[N@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC001237652990 1129115805 /nfs/dbraw/zinc/11/58/05/1129115805.db2.gz APLYDJCIZOIDEX-NSHDSACASA-N 1 2 277.799 3.819 20 0 CHADLO CCc1[nH]ncc1C[N@@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC001237652990 1129115806 /nfs/dbraw/zinc/11/58/06/1129115806.db2.gz APLYDJCIZOIDEX-NSHDSACASA-N 1 2 277.799 3.819 20 0 CHADLO Cc1csc(C2([NH2+]CC=C(Cl)Cl)CCC2)n1 ZINC000851928783 1123389933 /nfs/dbraw/zinc/38/99/33/1123389933.db2.gz QAICOOIXFVNQFB-UHFFFAOYSA-N 1 2 277.220 3.739 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1cccc(F)n1 ZINC000851729974 1123375405 /nfs/dbraw/zinc/37/54/05/1123375405.db2.gz JQQNZCQUXKOQFS-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1cccc(F)n1 ZINC000851729974 1123375410 /nfs/dbraw/zinc/37/54/10/1123375410.db2.gz JQQNZCQUXKOQFS-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncsc2Br)C(C)(C)C1 ZINC000851799173 1123380725 /nfs/dbraw/zinc/38/07/25/1123380725.db2.gz RCXNVIAUJWNMCJ-QMMMGPOBSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncsc2Br)C(C)(C)C1 ZINC000851799173 1123380728 /nfs/dbraw/zinc/38/07/28/1123380728.db2.gz RCXNVIAUJWNMCJ-QMMMGPOBSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@]1(C)C[N@H+](Cc2cnc(C3CCCC3)s2)CCO1 ZINC000191151908 1123389538 /nfs/dbraw/zinc/38/95/38/1123389538.db2.gz JZVPEPJAKUGVEY-INIZCTEOSA-N 1 2 294.464 3.802 20 0 CHADLO CC[C@@]1(C)C[N@@H+](Cc2cnc(C3CCCC3)s2)CCO1 ZINC000191151908 1123389542 /nfs/dbraw/zinc/38/95/42/1123389542.db2.gz JZVPEPJAKUGVEY-INIZCTEOSA-N 1 2 294.464 3.802 20 0 CHADLO Fc1ccc(OC(F)F)c(C[NH+]2CCC(F)(F)CC2)c1 ZINC000852002060 1123392860 /nfs/dbraw/zinc/39/28/60/1123392860.db2.gz RROTYEYHRVLNAY-UHFFFAOYSA-N 1 2 295.251 3.658 20 0 CHADLO Cc1ccnc(C[N@H+](Cc2ccsc2)C(C)(C)C)n1 ZINC000852312054 1123402191 /nfs/dbraw/zinc/40/21/91/1123402191.db2.gz SZWQUOWWNONGNK-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO Cc1ccnc(C[N@@H+](Cc2ccsc2)C(C)(C)C)n1 ZINC000852312054 1123402194 /nfs/dbraw/zinc/40/21/94/1123402194.db2.gz SZWQUOWWNONGNK-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H](F)C2)ccc1C(F)F ZINC000895190999 1123402879 /nfs/dbraw/zinc/40/28/79/1123402879.db2.gz GKOUJQWLDYVZTH-SNVBAGLBSA-N 1 2 261.262 3.697 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H](F)C2)ccc1C(F)F ZINC000895190999 1123402880 /nfs/dbraw/zinc/40/28/80/1123402880.db2.gz GKOUJQWLDYVZTH-SNVBAGLBSA-N 1 2 261.262 3.697 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2c2nc3ccccc3o2)[nH+]c1C ZINC000895191928 1123403812 /nfs/dbraw/zinc/40/38/12/1123403812.db2.gz CWFCWUMFQKGXNY-CYBMUJFWSA-N 1 2 282.347 3.509 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2CCn3cccc3[C@H]2C)c1 ZINC001143590316 1123404798 /nfs/dbraw/zinc/40/47/98/1123404798.db2.gz SPSBJOMEYNYEGF-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2CCn3cccc3[C@H]2C)c1 ZINC001143590316 1123404802 /nfs/dbraw/zinc/40/48/02/1123404802.db2.gz SPSBJOMEYNYEGF-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1csnn1)c1cccc(C)c1 ZINC000398453956 1123405915 /nfs/dbraw/zinc/40/59/15/1123405915.db2.gz GJDAXVHIHAIBGE-YPMHNXCESA-N 1 2 261.394 3.648 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)cc1C ZINC000398468239 1123406559 /nfs/dbraw/zinc/40/65/59/1123406559.db2.gz MGGBHLSHJQUUSW-NEPJUHHUSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1cc([NH2+][C@@H](C)Cc2ccccc2F)ccc1N ZINC000853248542 1123430080 /nfs/dbraw/zinc/43/00/80/1123430080.db2.gz VLPKACSAPGGAOC-LBPRGKRZSA-N 1 2 258.340 3.759 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2ccc(SC)cc2)s1 ZINC000273128709 1129122767 /nfs/dbraw/zinc/12/27/67/1129122767.db2.gz IIKFOYMRECNTCA-SNVBAGLBSA-N 1 2 293.461 3.673 20 0 CHADLO Cc1ccccc1-n1nccc1C[N@@H+](C)[C@@H](C)c1ccco1 ZINC000474121230 1123454195 /nfs/dbraw/zinc/45/41/95/1123454195.db2.gz VNVGTGPYIWTHTN-HNNXBMFYSA-N 1 2 295.386 3.967 20 0 CHADLO Cc1ccccc1-n1nccc1C[N@H+](C)[C@@H](C)c1ccco1 ZINC000474121230 1123454198 /nfs/dbraw/zinc/45/41/98/1123454198.db2.gz VNVGTGPYIWTHTN-HNNXBMFYSA-N 1 2 295.386 3.967 20 0 CHADLO CC[NH2+]Cc1noc(Cc2ccc(C3CCCCC3)cc2)n1 ZINC000854131864 1123470082 /nfs/dbraw/zinc/47/00/82/1123470082.db2.gz AEBSVXHLFLBTAB-UHFFFAOYSA-N 1 2 299.418 3.818 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(F)c2)c(C)s1 ZINC000443684043 1123471060 /nfs/dbraw/zinc/47/10/60/1123471060.db2.gz QPBHMGFJEHQIMK-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(F)c2)c(C)s1 ZINC000443684043 1123471063 /nfs/dbraw/zinc/47/10/63/1123471063.db2.gz QPBHMGFJEHQIMK-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2cccc(C(C)C)c2)c[nH+]1 ZINC000895530995 1123488302 /nfs/dbraw/zinc/48/83/02/1123488302.db2.gz BZAGBWUGHVOFSG-UHFFFAOYSA-N 1 2 285.391 3.689 20 0 CHADLO CCO[P@](C)(=O)CC[C@@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC000895645324 1123501168 /nfs/dbraw/zinc/50/11/68/1123501168.db2.gz PDBOUCQNXJEWSG-BLVKFPJESA-N 1 2 284.340 3.833 20 0 CHADLO CCCC[C@@H](COC)Nc1ccc([NH+](C)C)cc1C ZINC000776765642 1123501254 /nfs/dbraw/zinc/50/12/54/1123501254.db2.gz ICDMOCFRDCFVOH-AWEZNQCLSA-N 1 2 264.413 3.678 20 0 CHADLO Cc1ncc([C@H](C)Nc2ccc([NH+](C)C)cc2C)s1 ZINC000776766273 1123501367 /nfs/dbraw/zinc/50/13/67/1123501367.db2.gz AEUMCKFDYYMGQG-NSHDSACASA-N 1 2 275.421 3.999 20 0 CHADLO CCCCN(C(=O)c1cccc2[nH+]ccn21)C1CCCCC1 ZINC000776804340 1123514319 /nfs/dbraw/zinc/51/43/19/1123514319.db2.gz DVKLNFHFWOAOAH-UHFFFAOYSA-N 1 2 299.418 3.909 20 0 CHADLO Cc1cc(C)c2cc(N[C@H]3CCOC[C@H]3C)ccc2[nH+]1 ZINC000895879716 1123524350 /nfs/dbraw/zinc/52/43/50/1123524350.db2.gz UVTBRAGBUAFUOT-WBMJQRKESA-N 1 2 270.376 3.689 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(Cl)s2)s1 ZINC000273689049 1129127493 /nfs/dbraw/zinc/12/74/93/1129127493.db2.gz LLRPXGBQWSUGMG-BQBZGAKWSA-N 1 2 287.841 3.973 20 0 CHADLO Fc1ccc2occ(C[N@@H+]3CCc4ncccc4C3)c2c1 ZINC001141854805 1123530107 /nfs/dbraw/zinc/53/01/07/1123530107.db2.gz QKVQOVJGTHPPKB-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Fc1ccc2occ(C[N@H+]3CCc4ncccc4C3)c2c1 ZINC001141854805 1123530108 /nfs/dbraw/zinc/53/01/08/1123530108.db2.gz QKVQOVJGTHPPKB-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2nc(C(C)(C)C)no2)cc1 ZINC000097091424 1123533457 /nfs/dbraw/zinc/53/34/57/1123533457.db2.gz NEUNQEXETXMTNJ-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2nc(C(C)(C)C)no2)cc1 ZINC000097091424 1123533459 /nfs/dbraw/zinc/53/34/59/1123533459.db2.gz NEUNQEXETXMTNJ-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1cc(N2C[C@H](C)OC(C)(C)C2)[nH+]c2ccccc12 ZINC000049177194 1123552388 /nfs/dbraw/zinc/55/23/88/1123552388.db2.gz DGNLBXJSYCXXOR-ZDUSSCGKSA-N 1 2 270.376 3.547 20 0 CHADLO Cc1ncsc1C[N@@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000338995653 1123561454 /nfs/dbraw/zinc/56/14/54/1123561454.db2.gz HFHIPASIYXIYNE-HNNXBMFYSA-N 1 2 294.370 3.661 20 0 CHADLO Cc1ncsc1C[N@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000338995653 1123561459 /nfs/dbraw/zinc/56/14/59/1123561459.db2.gz HFHIPASIYXIYNE-HNNXBMFYSA-N 1 2 294.370 3.661 20 0 CHADLO CCOc1cncc(CNc2ccc([NH+]3CCCC3)cc2)c1 ZINC000856766921 1123566719 /nfs/dbraw/zinc/56/67/19/1123566719.db2.gz PXAABEJHZVDHMA-UHFFFAOYSA-N 1 2 297.402 3.693 20 0 CHADLO CCCC[N@H+](CC)Cn1nc(SCC)sc1=S ZINC000075582367 1129130399 /nfs/dbraw/zinc/13/03/99/1129130399.db2.gz LGKBLGYPHRIXEW-UHFFFAOYSA-N 1 2 291.511 3.866 20 0 CHADLO CCCC[N@@H+](CC)Cn1nc(SCC)sc1=S ZINC000075582367 1129130403 /nfs/dbraw/zinc/13/04/03/1129130403.db2.gz LGKBLGYPHRIXEW-UHFFFAOYSA-N 1 2 291.511 3.866 20 0 CHADLO COc1cc(C)[nH+]c(CSCCc2cccs2)c1 ZINC000776901514 1123571990 /nfs/dbraw/zinc/57/19/90/1123571990.db2.gz IWBKJTGKRHVMOP-UHFFFAOYSA-N 1 2 279.430 3.936 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@@H](C)c1nnc(C)s1 ZINC000274263705 1129130775 /nfs/dbraw/zinc/13/07/75/1129130775.db2.gz VISQSYWHFRDBCW-QWRGUYRKSA-N 1 2 291.420 3.575 20 0 CHADLO Cc1ccc(N(C)C(=O)c2cccc3[nH+]ccn32)cc1Cl ZINC000776920344 1123588251 /nfs/dbraw/zinc/58/82/51/1123588251.db2.gz NKHBUADLRDSLCY-UHFFFAOYSA-N 1 2 299.761 3.573 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1cc(F)c(Br)cc1F ZINC001141910021 1123617097 /nfs/dbraw/zinc/61/70/97/1123617097.db2.gz IIZWFHCUASHDJY-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1cc(F)c(Br)cc1F ZINC001141910021 1123617099 /nfs/dbraw/zinc/61/70/99/1123617099.db2.gz IIZWFHCUASHDJY-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO Clc1ccc2c(n1)[C@@H]([NH2+]Cc1noc3ccccc13)CC2 ZINC000857915240 1123626724 /nfs/dbraw/zinc/62/67/24/1123626724.db2.gz VIVURAPJNXIITP-LBPRGKRZSA-N 1 2 299.761 3.653 20 0 CHADLO C[C@H](c1cc2ccccc2o1)[N@H+](C)Cc1cocn1 ZINC000191925091 1123630639 /nfs/dbraw/zinc/63/06/39/1123630639.db2.gz UJTNGXCJJMVNFU-LLVKDONJSA-N 1 2 256.305 3.614 20 0 CHADLO C[C@H](c1cc2ccccc2o1)[N@@H+](C)Cc1cocn1 ZINC000191925091 1123630643 /nfs/dbraw/zinc/63/06/43/1123630643.db2.gz UJTNGXCJJMVNFU-LLVKDONJSA-N 1 2 256.305 3.614 20 0 CHADLO Cc1nn(C[N@@H+]2CCCC3(CCCC3)C2)c(=S)s1 ZINC000783541402 1123633491 /nfs/dbraw/zinc/63/34/91/1123633491.db2.gz AXNCGZSOWVPYRJ-UHFFFAOYSA-N 1 2 283.466 3.596 20 0 CHADLO Cc1nn(C[N@H+]2CCCC3(CCCC3)C2)c(=S)s1 ZINC000783541402 1123633495 /nfs/dbraw/zinc/63/34/95/1123633495.db2.gz AXNCGZSOWVPYRJ-UHFFFAOYSA-N 1 2 283.466 3.596 20 0 CHADLO CC([NH2+]Cc1cocn1)(c1ccccc1)c1ccccc1 ZINC000192307461 1123640131 /nfs/dbraw/zinc/64/01/31/1123640131.db2.gz VRYZXLGFWGEFOW-UHFFFAOYSA-N 1 2 278.355 3.728 20 0 CHADLO CCC(C)(C)c1ccc(C[NH2+]Cc2cocn2)cc1 ZINC000192335706 1123641184 /nfs/dbraw/zinc/64/11/84/1123641184.db2.gz WSEGRJQVITYZDC-UHFFFAOYSA-N 1 2 258.365 3.652 20 0 CHADLO CCSc1nn(C[N@H+](C)C2CCCC2)c(=S)s1 ZINC000194572851 1129136108 /nfs/dbraw/zinc/13/61/08/1129136108.db2.gz XDUMEVDTSMNQDL-UHFFFAOYSA-N 1 2 289.495 3.618 20 0 CHADLO CCSc1nn(C[N@@H+](C)C2CCCC2)c(=S)s1 ZINC000194572851 1129136109 /nfs/dbraw/zinc/13/61/09/1129136109.db2.gz XDUMEVDTSMNQDL-UHFFFAOYSA-N 1 2 289.495 3.618 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CCCC2(F)F)cc2cccnc12 ZINC000673618641 1123660400 /nfs/dbraw/zinc/66/04/00/1123660400.db2.gz WQDGOBURSGMEPQ-AWEZNQCLSA-N 1 2 276.330 3.821 20 0 CHADLO CCc1cnc(C[N@@H+](CC)[C@@H](C)c2cccc(O)c2)s1 ZINC000346138010 1123666206 /nfs/dbraw/zinc/66/62/06/1123666206.db2.gz JQELSVBWRULCJZ-LBPRGKRZSA-N 1 2 290.432 3.994 20 0 CHADLO CCc1cnc(C[N@H+](CC)[C@@H](C)c2cccc(O)c2)s1 ZINC000346138010 1123666209 /nfs/dbraw/zinc/66/62/09/1123666209.db2.gz JQELSVBWRULCJZ-LBPRGKRZSA-N 1 2 290.432 3.994 20 0 CHADLO CCc1cnc(C[N@H+](Cc2cccs2)C2CC2)s1 ZINC000346138049 1123666290 /nfs/dbraw/zinc/66/62/90/1123666290.db2.gz JTGQESIUGNMXFO-UHFFFAOYSA-N 1 2 278.446 3.932 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2cccs2)C2CC2)s1 ZINC000346138049 1123666291 /nfs/dbraw/zinc/66/62/91/1123666291.db2.gz JTGQESIUGNMXFO-UHFFFAOYSA-N 1 2 278.446 3.932 20 0 CHADLO FC1(F)C[C@@]12CCCN(c1[nH+]ccc3ccccc31)C2 ZINC000858606741 1123667206 /nfs/dbraw/zinc/66/72/06/1123667206.db2.gz JUVKDFBNSYFMLM-OAHLLOKOSA-N 1 2 274.314 3.860 20 0 CHADLO c1ccc2c(c1)CC[C@H]2Nc1ccc(N2CCCC2)c[nH+]1 ZINC000346417564 1123685099 /nfs/dbraw/zinc/68/50/99/1123685099.db2.gz JZPMTLKQRXGKLN-QGZVFWFLSA-N 1 2 279.387 3.781 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)n(C)n1)c1cc2ccccc2o1 ZINC000859271358 1123693192 /nfs/dbraw/zinc/69/31/92/1123693192.db2.gz YLWTWEQJXGISJE-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]Cc2nc(C3CC3)no2)cc1 ZINC000281310404 1123694093 /nfs/dbraw/zinc/69/40/93/1123694093.db2.gz HYPRLGLLSMFIBK-JTQLQIEISA-N 1 2 289.404 3.520 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1cncs1 ZINC000346542634 1123694527 /nfs/dbraw/zinc/69/45/27/1123694527.db2.gz IOZASKIZEUFRBK-GFCCVEGCSA-N 1 2 288.420 3.702 20 0 CHADLO C[C@@H]1C[NH+](C/C(Cl)=C/Cl)C[C@@H](C)C1(F)F ZINC000859413330 1123697123 /nfs/dbraw/zinc/69/71/23/1123697123.db2.gz CJUXBRRBDRONST-FUIYBJOISA-N 1 2 258.139 3.529 20 0 CHADLO O=C(CCn1cc[nH+]c1)Nc1ccccc1-c1ccccc1 ZINC000346796475 1123714448 /nfs/dbraw/zinc/71/44/48/1123714448.db2.gz PSXLOELMFFFTSQ-UHFFFAOYSA-N 1 2 291.354 3.579 20 0 CHADLO CCc1ccc(C[N@@H+]2CCO[C@H](c3ccccc3F)C2)cc1 ZINC000522712083 1123719977 /nfs/dbraw/zinc/71/99/77/1123719977.db2.gz BRLQMZMMBCVBMR-IBGZPJMESA-N 1 2 299.389 3.962 20 0 CHADLO CCc1ccc(C[N@H+]2CCO[C@H](c3ccccc3F)C2)cc1 ZINC000522712083 1123719981 /nfs/dbraw/zinc/71/99/81/1123719981.db2.gz BRLQMZMMBCVBMR-IBGZPJMESA-N 1 2 299.389 3.962 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@H+](Cc2csc(Cl)n2)C1 ZINC000860184821 1123724328 /nfs/dbraw/zinc/72/43/28/1123724328.db2.gz KBTINDMIWCAJBT-OTDNITJGSA-N 1 2 270.829 3.975 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000860184821 1123724331 /nfs/dbraw/zinc/72/43/31/1123724331.db2.gz KBTINDMIWCAJBT-OTDNITJGSA-N 1 2 270.829 3.975 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)CCOC1CCC1 ZINC000346834985 1123724702 /nfs/dbraw/zinc/72/47/02/1123724702.db2.gz LOZVDCQTDOZZHL-LLVKDONJSA-N 1 2 269.335 3.527 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)CCOC1CCC1 ZINC000346834985 1123724705 /nfs/dbraw/zinc/72/47/05/1123724705.db2.gz LOZVDCQTDOZZHL-LLVKDONJSA-N 1 2 269.335 3.527 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccn1)c1nccn1-c1ccccc1 ZINC000346954705 1123737016 /nfs/dbraw/zinc/73/70/16/1123737016.db2.gz XYJAWGUTSRZQIL-HUUCEWRRSA-N 1 2 292.386 3.679 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H]2c2cccnc2)cs1 ZINC000346970997 1123738250 /nfs/dbraw/zinc/73/82/50/1123738250.db2.gz BDFPIEZOQXZZNC-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H]2c2cccnc2)cs1 ZINC000346970997 1123738251 /nfs/dbraw/zinc/73/82/51/1123738251.db2.gz BDFPIEZOQXZZNC-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1nc(N[C@@H](c2ccccc2)C(C)(C)C)cc[nH+]1 ZINC000444090191 1123743645 /nfs/dbraw/zinc/74/36/45/1123743645.db2.gz LZJQJVWKPSJFDB-HNNXBMFYSA-N 1 2 255.365 3.984 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCOC2(CCCC2)C1 ZINC000158365281 1129143452 /nfs/dbraw/zinc/14/34/52/1129143452.db2.gz WPMWQUKXNCEPGZ-GFCCVEGCSA-N 1 2 281.346 3.671 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCOC2(CCCC2)C1 ZINC000158365281 1129143453 /nfs/dbraw/zinc/14/34/53/1129143453.db2.gz WPMWQUKXNCEPGZ-GFCCVEGCSA-N 1 2 281.346 3.671 20 0 CHADLO Cc1csc(C[N@H+]2CCC[C@@H](C(F)(F)F)[C@@H]2C)n1 ZINC000336477310 1123772332 /nfs/dbraw/zinc/77/23/32/1123772332.db2.gz MSTYQXADFKJGDW-VHSXEESVSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1csc(C[N@@H+]2CCC[C@@H](C(F)(F)F)[C@@H]2C)n1 ZINC000336477310 1123772333 /nfs/dbraw/zinc/77/23/33/1123772333.db2.gz MSTYQXADFKJGDW-VHSXEESVSA-N 1 2 278.343 3.614 20 0 CHADLO CSCCCCCCC(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000862012278 1123788624 /nfs/dbraw/zinc/78/86/24/1123788624.db2.gz CUTNUXVPOQXGEG-UHFFFAOYSA-N 1 2 294.464 3.628 20 0 CHADLO C[C@@H]1c2ccc(F)cc2CC[N@H+]1Cc1cnc(C2CC2)o1 ZINC000862248290 1123794564 /nfs/dbraw/zinc/79/45/64/1123794564.db2.gz UZQGIWRMRAADMO-LLVKDONJSA-N 1 2 286.350 3.810 20 0 CHADLO C[C@@H]1c2ccc(F)cc2CC[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000862248290 1123794566 /nfs/dbraw/zinc/79/45/66/1123794566.db2.gz UZQGIWRMRAADMO-LLVKDONJSA-N 1 2 286.350 3.810 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3cc(-c4ccccc4)oc3C2)o1 ZINC000862251412 1123795286 /nfs/dbraw/zinc/79/52/86/1123795286.db2.gz CQZLANJTXGKXMZ-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3cc(-c4ccccc4)oc3C2)o1 ZINC000862251412 1123795288 /nfs/dbraw/zinc/79/52/88/1123795288.db2.gz CQZLANJTXGKXMZ-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1cc(CNc2ccc(-n3cc[nH+]c3)cc2)c(C)o1 ZINC000118977235 1123822279 /nfs/dbraw/zinc/82/22/79/1123822279.db2.gz PKZZWMGFNBMDAY-UHFFFAOYSA-N 1 2 267.332 3.694 20 0 CHADLO C[N@H+](CCF)Cc1sccc1Oc1ccccc1 ZINC001142003830 1123828020 /nfs/dbraw/zinc/82/80/20/1123828020.db2.gz ZRLQTJHXWVQBLA-UHFFFAOYSA-N 1 2 265.353 3.942 20 0 CHADLO C[N@@H+](CCF)Cc1sccc1Oc1ccccc1 ZINC001142003830 1123828025 /nfs/dbraw/zinc/82/80/25/1123828025.db2.gz ZRLQTJHXWVQBLA-UHFFFAOYSA-N 1 2 265.353 3.942 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@H]3CCC(F)(F)C3)cc2[nH+]1 ZINC000797805103 1123840675 /nfs/dbraw/zinc/84/06/75/1123840675.db2.gz GUPBPYONHOUOQU-SNVBAGLBSA-N 1 2 293.317 3.635 20 0 CHADLO Cc1cc(N[C@@H](C)c2nc3ccccc3[nH]2)nc(C(C)C)[nH+]1 ZINC000301065689 1123871172 /nfs/dbraw/zinc/87/11/72/1123871172.db2.gz DLJXSXHDMSNRCF-LBPRGKRZSA-N 1 2 295.390 3.958 20 0 CHADLO CCOc1ccccc1CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000301068772 1123872029 /nfs/dbraw/zinc/87/20/29/1123872029.db2.gz GBRKTCOTJKXIFM-UHFFFAOYSA-N 1 2 285.391 3.919 20 0 CHADLO COc1ccc(CNc2cc(C)[nH+]c(C(C)C)n2)cc1C ZINC000301137766 1123877330 /nfs/dbraw/zinc/87/73/30/1123877330.db2.gz DEHJRPDMFPXSTR-UHFFFAOYSA-N 1 2 285.391 3.838 20 0 CHADLO Cc1nn(-c2ccccc2)cc1CCCNc1cccc[nH+]1 ZINC000301231906 1123883283 /nfs/dbraw/zinc/88/32/83/1123883283.db2.gz FRQLWRPDYXPHLU-UHFFFAOYSA-N 1 2 292.386 3.620 20 0 CHADLO Cc1ccc(CNc2cc(N3CCCCC3)nc[nH+]2)c(C)c1 ZINC000301286748 1123887921 /nfs/dbraw/zinc/88/79/21/1123887921.db2.gz ZLJALGGCNMARGQ-UHFFFAOYSA-N 1 2 296.418 3.696 20 0 CHADLO Cc1ccc(CNc2cc(N3CCCCC3)[nH+]cn2)c(C)c1 ZINC000301286748 1123887928 /nfs/dbraw/zinc/88/79/28/1123887928.db2.gz ZLJALGGCNMARGQ-UHFFFAOYSA-N 1 2 296.418 3.696 20 0 CHADLO Cc1cc(NCCCCNc2ccccn2)nc(C(C)C)[nH+]1 ZINC000301299575 1123889402 /nfs/dbraw/zinc/88/94/02/1123889402.db2.gz ZJZPITZCYASYQC-UHFFFAOYSA-N 1 2 299.422 3.608 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2ccc(OC)cc2)nc(C)[nH+]1 ZINC000301336523 1123892212 /nfs/dbraw/zinc/89/22/12/1123892212.db2.gz GLBCSXJLBXYWMT-QGZVFWFLSA-N 1 2 297.402 3.698 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000339064732 1123902429 /nfs/dbraw/zinc/90/24/29/1123902429.db2.gz UASYHKIJPXJZSY-KRWDZBQOSA-N 1 2 298.434 3.828 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000339064732 1123902434 /nfs/dbraw/zinc/90/24/34/1123902434.db2.gz UASYHKIJPXJZSY-KRWDZBQOSA-N 1 2 298.434 3.828 20 0 CHADLO CC(=O)Nc1cccc(CNc2ccc([NH+](C)C)c(C)c2)c1 ZINC000864003357 1123908504 /nfs/dbraw/zinc/90/85/04/1123908504.db2.gz JWCNJZIHFXQNBH-UHFFFAOYSA-N 1 2 297.402 3.632 20 0 CHADLO Cc1cc(N2CCC(OC(C)(C)C)CC2)nc(C(C)C)[nH+]1 ZINC000301633318 1123919772 /nfs/dbraw/zinc/91/97/72/1123919772.db2.gz KBTYXDAGFXFSLP-UHFFFAOYSA-N 1 2 291.439 3.692 20 0 CHADLO CC[C@@H](c1ccncc1)N(C)c1cc(C)[nH+]c(C(C)C)n1 ZINC000301670177 1123922328 /nfs/dbraw/zinc/92/23/28/1123922328.db2.gz PZZFLTDTZMOPKH-HNNXBMFYSA-N 1 2 284.407 3.891 20 0 CHADLO Cc1cc(N2CCS[C@H]3CCCC[C@@H]32)nc(C(C)C)[nH+]1 ZINC000301681301 1123923401 /nfs/dbraw/zinc/92/34/01/1123923401.db2.gz VDMGYQHXXMYPJC-KBPBESRZSA-N 1 2 291.464 3.773 20 0 CHADLO Cc1cccc([C@H](O)CNc2[nH+]c3ccccc3cc2C)c1 ZINC000301702528 1123924912 /nfs/dbraw/zinc/92/49/12/1123924912.db2.gz FCYHFSDGVGAXDG-GOSISDBHSA-N 1 2 292.382 3.997 20 0 CHADLO Cc1cc(NCCC[C@@H]2CCCC[C@H]2O)nc(C(C)C)[nH+]1 ZINC000301754633 1123930249 /nfs/dbraw/zinc/93/02/49/1123930249.db2.gz KBMRIBWTYNJCHP-LSDHHAIUSA-N 1 2 291.439 3.652 20 0 CHADLO CC(C)c1cc(N(C)Cc2ccccn2)nc(C(C)C)[nH+]1 ZINC000301838245 1123937717 /nfs/dbraw/zinc/93/77/17/1123937717.db2.gz YSMPQXWYLVNHBR-UHFFFAOYSA-N 1 2 284.407 3.755 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2N[C@H]1CCCC1(C)C ZINC000301875767 1123940273 /nfs/dbraw/zinc/94/02/73/1123940273.db2.gz IMPBYEWZYHWVMR-LBPRGKRZSA-N 1 2 287.411 3.572 20 0 CHADLO CC(C)c1cc(N2C[C@@H]3CCC[C@@H]3C2)nc(C(C)C)[nH+]1 ZINC000301881902 1123941140 /nfs/dbraw/zinc/94/11/40/1123941140.db2.gz WNCLASXFZKZYAV-OKILXGFUSA-N 1 2 273.424 3.960 20 0 CHADLO Cc1cc(N2CCC[C@H]2c2ccncc2)nc(C(C)C)[nH+]1 ZINC000301946231 1123945495 /nfs/dbraw/zinc/94/54/95/1123945495.db2.gz XKSMXSOGKLGGHP-HNNXBMFYSA-N 1 2 282.391 3.645 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCCOCC(F)(F)F ZINC000301980601 1123948282 /nfs/dbraw/zinc/94/82/82/1123948282.db2.gz IEXHYDJIWOHFOQ-UHFFFAOYSA-N 1 2 298.308 3.924 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2ccccc2C)nc(C)[nH+]1 ZINC000302048546 1123952200 /nfs/dbraw/zinc/95/22/00/1123952200.db2.gz MIPKAKORXIQVHI-KRWDZBQOSA-N 1 2 281.403 3.997 20 0 CHADLO Cc1csc([C@H](C)CNc2cc(C)[nH+]c(C(C)C)n2)n1 ZINC000302126677 1123955974 /nfs/dbraw/zinc/95/59/74/1123955974.db2.gz IQHWBOSBOPRYMT-SNVBAGLBSA-N 1 2 290.436 3.889 20 0 CHADLO CCc1cc(N2CCc3cc(F)ccc3[C@H]2C)nc(C)[nH+]1 ZINC000302170524 1123959733 /nfs/dbraw/zinc/95/97/33/1123959733.db2.gz ACRXRLDWIYKQCQ-LLVKDONJSA-N 1 2 285.366 3.610 20 0 CHADLO Cc1ccc(CSCc2coc(C3CC3)n2)c(C)[nH+]1 ZINC000865281259 1123968201 /nfs/dbraw/zinc/96/82/01/1123968201.db2.gz XVQQFPWNKHHFJW-UHFFFAOYSA-N 1 2 274.389 3.997 20 0 CHADLO COc1cccc2c(NC[C@@H]3CCCS3)cc[nH+]c12 ZINC000302527889 1123970869 /nfs/dbraw/zinc/97/08/69/1123970869.db2.gz KPQNMJUXDKYXST-NSHDSACASA-N 1 2 274.389 3.551 20 0 CHADLO COc1cccc2c(N[C@@H]3CC[C@@H](SC)C3)cc[nH+]c12 ZINC000302543008 1123972024 /nfs/dbraw/zinc/97/20/24/1123972024.db2.gz OJDOOUWVPSPDMB-VXGBXAGGSA-N 1 2 288.416 3.939 20 0 CHADLO CC[C@H]1CN(c2cc[nH+]c3c(OC)cccc23)CCS1 ZINC000302569639 1123973073 /nfs/dbraw/zinc/97/30/73/1123973073.db2.gz YKMIOLSXRJIXKN-LBPRGKRZSA-N 1 2 288.416 3.575 20 0 CHADLO CC(C)(C)c1cn(Cc2ccn(-c3ccc(F)cc3)n2)c[nH+]1 ZINC000865375001 1123976671 /nfs/dbraw/zinc/97/66/71/1123976671.db2.gz DLAVJEAWYNQJOW-UHFFFAOYSA-N 1 2 298.365 3.554 20 0 CHADLO CC(C)(C)c1cn(C[C@H]2CCC3(CCCC3)O2)c[nH+]1 ZINC000865376405 1123976808 /nfs/dbraw/zinc/97/68/08/1123976808.db2.gz MBHDDBLZOBPSMG-CYBMUJFWSA-N 1 2 262.397 3.672 20 0 CHADLO Cc1ccnc(C[NH2+]Cc2ccsc2)c1Br ZINC000865413864 1123981398 /nfs/dbraw/zinc/98/13/98/1123981398.db2.gz ASOTUPKGACYDJP-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccc(C(F)(F)F)cc2)cc[nH+]1 ZINC000302779201 1123983112 /nfs/dbraw/zinc/98/31/12/1123983112.db2.gz QNBBYOMSKZJQTC-VIFPVBQESA-N 1 2 281.281 3.977 20 0 CHADLO CC[C@H]([NH2+]Cc1oc(C(C)C)nc1C)c1nccs1 ZINC000428352439 1123992731 /nfs/dbraw/zinc/99/27/31/1123992731.db2.gz PVTXLMKZBJBWMR-NSHDSACASA-N 1 2 279.409 3.804 20 0 CHADLO CCC1(Nc2[nH+]ccc3ccc(C(=O)OC)cc32)CCC1 ZINC001161562664 1123994335 /nfs/dbraw/zinc/99/43/35/1123994335.db2.gz OCCXVRHCCYJBHR-UHFFFAOYSA-N 1 2 284.359 3.766 20 0 CHADLO Cc1ccc2oc(C[NH2+]Cc3cn(C4CC4)cn3)cc2c1 ZINC000865627075 1124000647 /nfs/dbraw/zinc/00/06/47/1124000647.db2.gz FYGATTXAWWDELY-UHFFFAOYSA-N 1 2 281.359 3.562 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cncc(Cl)c2)c1 ZINC000621287238 1129160685 /nfs/dbraw/zinc/16/06/85/1129160685.db2.gz HRXUBFAJMIGEMY-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cncc(Cl)c2)c1 ZINC000621287238 1129160687 /nfs/dbraw/zinc/16/06/87/1129160687.db2.gz HRXUBFAJMIGEMY-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[NH2+][C@@H](CF)c2ccc(F)cc2)cnc1Cl ZINC000865822991 1124017548 /nfs/dbraw/zinc/01/75/48/1124017548.db2.gz PZMRQYCVDRHLCN-AWEZNQCLSA-N 1 2 296.748 3.983 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2c(F)cccc2F)o1 ZINC000034993670 1124020101 /nfs/dbraw/zinc/02/01/01/1124020101.db2.gz MXCPFKGOAGHKKI-SNVBAGLBSA-N 1 2 251.276 3.717 20 0 CHADLO COc1ccc(C2CC2)c(NCc2cccc3[nH+]ccn32)c1 ZINC000865855618 1124021852 /nfs/dbraw/zinc/02/18/52/1124021852.db2.gz FXYDGKYZANALDA-UHFFFAOYSA-N 1 2 293.370 3.832 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)CCO[C@@H]1CCCCO1 ZINC000444589940 1124044411 /nfs/dbraw/zinc/04/44/11/1124044411.db2.gz LEFSCPYWUHNJQI-IUODEOHRSA-N 1 2 299.361 3.501 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)CCO[C@@H]1CCCCO1 ZINC000444589940 1124044415 /nfs/dbraw/zinc/04/44/15/1124044415.db2.gz LEFSCPYWUHNJQI-IUODEOHRSA-N 1 2 299.361 3.501 20 0 CHADLO C[N@H+](Cc1cc(F)cc(F)c1)Cc1cc(F)ccc1F ZINC000444701688 1124054886 /nfs/dbraw/zinc/05/48/86/1124054886.db2.gz IDQOPSRHNYRQHC-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1cc(F)cc(F)c1)Cc1cc(F)ccc1F ZINC000444701688 1124054892 /nfs/dbraw/zinc/05/48/92/1124054892.db2.gz IDQOPSRHNYRQHC-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(F)c(C)c2)s1 ZINC000444730293 1124055913 /nfs/dbraw/zinc/05/59/13/1124055913.db2.gz FEWPYDPUGZUZOX-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(F)c(C)c2)s1 ZINC000444730293 1124055918 /nfs/dbraw/zinc/05/59/18/1124055918.db2.gz FEWPYDPUGZUZOX-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1cccnc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000444710457 1124056534 /nfs/dbraw/zinc/05/65/34/1124056534.db2.gz VGMWGJOUTDCUJH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccnc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000444710457 1124056538 /nfs/dbraw/zinc/05/65/38/1124056538.db2.gz VGMWGJOUTDCUJH-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCOc1cncc(C[NH2+]Cc2c(F)cccc2Cl)c1 ZINC000621331696 1129164019 /nfs/dbraw/zinc/16/40/19/1129164019.db2.gz KLMCHTPGIFWMIJ-UHFFFAOYSA-N 1 2 294.757 3.563 20 0 CHADLO C[C@@H](c1ccc2c(c1)CCC2)[N@H+](C)Cc1cscn1 ZINC000445227375 1124077814 /nfs/dbraw/zinc/07/78/14/1124077814.db2.gz WEBPUIAFWUHULS-LBPRGKRZSA-N 1 2 272.417 3.825 20 0 CHADLO C[C@@H](c1ccc2c(c1)CCC2)[N@@H+](C)Cc1cscn1 ZINC000445227375 1124077819 /nfs/dbraw/zinc/07/78/19/1124077819.db2.gz WEBPUIAFWUHULS-LBPRGKRZSA-N 1 2 272.417 3.825 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(C)(C)C)o1)c1cc2n(n1)CCC2 ZINC000866574425 1124085196 /nfs/dbraw/zinc/08/51/96/1124085196.db2.gz TYGVTNWFAIVGID-GFCCVEGCSA-N 1 2 287.407 3.571 20 0 CHADLO Oc1cccc(SCc2c[nH+]cn2Cc2ccccc2)c1 ZINC000445597336 1124093249 /nfs/dbraw/zinc/09/32/49/1124093249.db2.gz PCMOEUJGDXEDLU-UHFFFAOYSA-N 1 2 296.395 3.929 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nnc(C(C)C)[nH]2)cc1 ZINC000428440622 1124113319 /nfs/dbraw/zinc/11/33/19/1124113319.db2.gz FLOVVZVEQYWADT-OAHLLOKOSA-N 1 2 284.407 3.574 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)cc1 ZINC000428440622 1124113321 /nfs/dbraw/zinc/11/33/21/1124113321.db2.gz FLOVVZVEQYWADT-OAHLLOKOSA-N 1 2 284.407 3.574 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccc2c(c1)OCCO2 ZINC000193202943 1124124110 /nfs/dbraw/zinc/12/41/10/1124124110.db2.gz JYGCUJMDIPJPHX-CYBMUJFWSA-N 1 2 298.386 3.697 20 0 CHADLO C[C@@H]1C[C@H]1CNc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000446900519 1124128029 /nfs/dbraw/zinc/12/80/29/1124128029.db2.gz ZCENIEKJOICUKB-HIFRSBDPSA-N 1 2 281.403 3.954 20 0 CHADLO Cc1nnc(C[N@@H+]2CCC[C@H]2c2ccc(C(C)(C)C)cc2)[nH]1 ZINC000428464160 1124130829 /nfs/dbraw/zinc/13/08/29/1124130829.db2.gz TZHSMGALEONJLH-INIZCTEOSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1nnc(C[N@H+]2CCC[C@H]2c2ccc(C(C)(C)C)cc2)[nH]1 ZINC000428464160 1124130831 /nfs/dbraw/zinc/13/08/31/1124130831.db2.gz TZHSMGALEONJLH-INIZCTEOSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1ccc([C@@H](C)NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)cc1 ZINC000635224849 1129176431 /nfs/dbraw/zinc/17/64/31/1129176431.db2.gz DZKRQUXOIINZHJ-NVXWUHKLSA-N 1 2 299.418 3.656 20 0 CHADLO Cc1cc(NCCC(=O)Nc2ccccc2)ccc1[NH+](C)C ZINC000819408050 1131245647 /nfs/dbraw/zinc/24/56/47/1131245647.db2.gz IYAZFFDCWARAAI-UHFFFAOYSA-N 1 2 297.402 3.502 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+](C)Cc1ccncc1 ZINC001238780086 1131245819 /nfs/dbraw/zinc/24/58/19/1131245819.db2.gz FHPZYRBCDURCLQ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+](C)Cc1ccncc1 ZINC001238780086 1131245823 /nfs/dbraw/zinc/24/58/23/1131245823.db2.gz FHPZYRBCDURCLQ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COc1cc(OC)cc([C@H](C)Nc2cc3cc[nH]c3c[nH+]2)c1 ZINC001170927168 1131248621 /nfs/dbraw/zinc/24/86/21/1131248621.db2.gz IOMKRYCPTKMAMS-NSHDSACASA-N 1 2 297.358 3.753 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2ccc(C3CC3)nc2)cc1 ZINC001238855881 1131258768 /nfs/dbraw/zinc/25/87/68/1131258768.db2.gz NQLPRXRYXQCMQP-UHFFFAOYSA-N 1 2 266.344 3.988 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000867943208 1124451767 /nfs/dbraw/zinc/45/17/67/1124451767.db2.gz OWIKNDDRURIAMR-SPWCGHHHSA-N 1 2 295.386 3.616 20 0 CHADLO Cc1cc(C)cc(CSc2[nH+]cnc3[nH]ccc32)c1 ZINC000447190040 1124454331 /nfs/dbraw/zinc/45/43/31/1124454331.db2.gz BJCWMZFECOJFRD-UHFFFAOYSA-N 1 2 269.373 3.867 20 0 CHADLO Cn1ncc(Cl)c1C[N@H+](C)[C@H]1CCCc2ccccc21 ZINC000525865215 1124473324 /nfs/dbraw/zinc/47/33/24/1124473324.db2.gz HSLUPGYOZUYUMU-HNNXBMFYSA-N 1 2 289.810 3.583 20 0 CHADLO Cn1ncc(Cl)c1C[N@@H+](C)[C@H]1CCCc2ccccc21 ZINC000525865215 1124473327 /nfs/dbraw/zinc/47/33/27/1124473327.db2.gz HSLUPGYOZUYUMU-HNNXBMFYSA-N 1 2 289.810 3.583 20 0 CHADLO COc1ccsc1[C@H](C)NCC[N@@H+]1CCCC(C)(C)C1 ZINC000447577155 1124497014 /nfs/dbraw/zinc/49/70/14/1124497014.db2.gz FYKGLTAUVGFSSS-ZDUSSCGKSA-N 1 2 296.480 3.529 20 0 CHADLO COc1ccsc1[C@H](C)NCC[N@H+]1CCCC(C)(C)C1 ZINC000447577155 1124497018 /nfs/dbraw/zinc/49/70/18/1124497018.db2.gz FYKGLTAUVGFSSS-ZDUSSCGKSA-N 1 2 296.480 3.529 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3(C(F)(F)F)CCC3)cc2[nH+]1 ZINC000448625403 1124600254 /nfs/dbraw/zinc/60/02/54/1124600254.db2.gz NWAQCDDDIOEGFN-UHFFFAOYSA-N 1 2 297.280 3.542 20 0 CHADLO CCCCC[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)C(C)(C)C ZINC000820023024 1131272134 /nfs/dbraw/zinc/27/21/34/1131272134.db2.gz MONKAZLIDVWBPC-NFAWXSAZSA-N 1 2 291.439 3.624 20 0 CHADLO CCCCC[C@H](NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)C(C)(C)C ZINC000820023024 1131272139 /nfs/dbraw/zinc/27/21/39/1131272139.db2.gz MONKAZLIDVWBPC-NFAWXSAZSA-N 1 2 291.439 3.624 20 0 CHADLO CC[C@H]1CCC[C@@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448840662 1124617313 /nfs/dbraw/zinc/61/73/13/1124617313.db2.gz MNWQKWGUUYNBSY-WFASDCNBSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449007668 1124631392 /nfs/dbraw/zinc/63/13/92/1124631392.db2.gz AHFLMCHFRTYIIS-ZDUSSCGKSA-N 1 2 273.380 3.784 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449007668 1124631395 /nfs/dbraw/zinc/63/13/95/1124631395.db2.gz AHFLMCHFRTYIIS-ZDUSSCGKSA-N 1 2 273.380 3.784 20 0 CHADLO Cc1ccc(CSc2nc(C)nc3ncccc32)c(C)[nH+]1 ZINC000871707715 1124631656 /nfs/dbraw/zinc/63/16/56/1124631656.db2.gz SUQWKRZKQPPAAG-UHFFFAOYSA-N 1 2 296.399 3.637 20 0 CHADLO CCc1cc(OCc2nocc2C)c2ccccc2[nH+]1 ZINC000871739033 1124634181 /nfs/dbraw/zinc/63/41/81/1124634181.db2.gz ZYIIAQBCTBDLNX-UHFFFAOYSA-N 1 2 268.316 3.673 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CCCC[C@H]1c1nccs1 ZINC000528366741 1124649200 /nfs/dbraw/zinc/64/92/00/1124649200.db2.gz PLVZMFFEJAZSQR-VQNWOSHQSA-N 1 2 256.802 3.813 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CCCC[C@H]1c1nccs1 ZINC000528366741 1124649201 /nfs/dbraw/zinc/64/92/01/1124649201.db2.gz PLVZMFFEJAZSQR-VQNWOSHQSA-N 1 2 256.802 3.813 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2ncccc2Cl)n1 ZINC000528409037 1124655392 /nfs/dbraw/zinc/65/53/92/1124655392.db2.gz WCOAZRZSKKNFSJ-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2ncccc2Cl)n1 ZINC000528409037 1124655393 /nfs/dbraw/zinc/65/53/93/1124655393.db2.gz WCOAZRZSKKNFSJ-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+][C@@H](C)c1cccc(O)c1F ZINC000872034467 1124661751 /nfs/dbraw/zinc/66/17/51/1124661751.db2.gz JIBBSONZKFXDDU-DTWKUNHWSA-N 1 2 278.327 3.548 20 0 CHADLO CC(C)(C)[C@@H]1C[C@H](Nc2cccc(-n3cc[nH+]c3)c2)CCO1 ZINC000872059409 1124670516 /nfs/dbraw/zinc/67/05/16/1124670516.db2.gz HKZZXLROJMRZGR-WBVHZDCISA-N 1 2 299.418 3.878 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+][C@@H](C)c2cccc(O)c2F)c1 ZINC000872068460 1124673118 /nfs/dbraw/zinc/67/31/18/1124673118.db2.gz GKWRKKUCEGZAJR-JTQLQIEISA-N 1 2 294.757 3.739 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2cc(O)ccc2F)o1 ZINC000872071929 1124674584 /nfs/dbraw/zinc/67/45/84/1124674584.db2.gz ABGMWINHIOQNSU-SNVBAGLBSA-N 1 2 292.354 3.631 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1ncccc1Cl ZINC000528478361 1124675561 /nfs/dbraw/zinc/67/55/61/1124675561.db2.gz WNWCHQDPCAKBRZ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1ncccc1Cl ZINC000528478361 1124675562 /nfs/dbraw/zinc/67/55/62/1124675562.db2.gz WNWCHQDPCAKBRZ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1C/C=C(\C)Cl ZINC000528484155 1124677813 /nfs/dbraw/zinc/67/78/13/1124677813.db2.gz DVBZIQLHZOOBQQ-BWODNOAJSA-N 1 2 267.804 3.573 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1C/C=C(\C)Cl ZINC000528484155 1124677815 /nfs/dbraw/zinc/67/78/15/1124677815.db2.gz DVBZIQLHZOOBQQ-BWODNOAJSA-N 1 2 267.804 3.573 20 0 CHADLO COc1ccc(F)c(C[NH2+][C@H](C)c2cc(O)ccc2F)c1 ZINC000872087319 1124680319 /nfs/dbraw/zinc/68/03/19/1124680319.db2.gz IIZXERWVERSACT-SNVBAGLBSA-N 1 2 293.313 3.530 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+][C@H]2CCCc3[nH]ncc32)s1 ZINC000872105950 1124683848 /nfs/dbraw/zinc/68/38/48/1124683848.db2.gz GFHIDRLMJNFWMJ-ZDUSSCGKSA-N 1 2 289.448 3.936 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccnn1C1CCCC1)C2 ZINC000449297699 1124685913 /nfs/dbraw/zinc/68/59/13/1124685913.db2.gz DOQSFGCDWWDFPA-UHFFFAOYSA-N 1 2 281.403 3.822 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccnn1C1CCCC1)C2 ZINC000449297699 1124685916 /nfs/dbraw/zinc/68/59/16/1124685916.db2.gz DOQSFGCDWWDFPA-UHFFFAOYSA-N 1 2 281.403 3.822 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(-c3ccncc3)cs2)o1 ZINC000872121862 1124688802 /nfs/dbraw/zinc/68/88/02/1124688802.db2.gz HJGLEZOEZMMZCZ-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO CCn1ncc(N[C@@H](C)c2ccc(-n3cc[nH+]c3)cc2)c1C ZINC000872136129 1124693407 /nfs/dbraw/zinc/69/34/07/1124693407.db2.gz CSCPOUSAWQJVBB-ZDUSSCGKSA-N 1 2 295.390 3.570 20 0 CHADLO CC1(C)C[N@H+](Cc2ccncc2F)[C@@H]1c1cccs1 ZINC000449335407 1124699568 /nfs/dbraw/zinc/69/95/68/1124699568.db2.gz ZPZNFAFBTIHRQL-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccncc2F)[C@@H]1c1cccs1 ZINC000449335407 1124699570 /nfs/dbraw/zinc/69/95/70/1124699570.db2.gz ZPZNFAFBTIHRQL-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO C/C(=C\c1ccccc1OC(F)F)C[NH2+]C(CF)CF ZINC000449382705 1124717542 /nfs/dbraw/zinc/71/75/42/1124717542.db2.gz XZKCHNURVDHJRC-UXBLZVDNSA-N 1 2 291.288 3.589 20 0 CHADLO CSc1ccc(C[NH2+][C@@H](C)C(C)(F)F)s1 ZINC000449416430 1124723660 /nfs/dbraw/zinc/72/36/60/1124723660.db2.gz SZPXFPMHIXSHRK-ZETCQYMHSA-N 1 2 251.367 3.603 20 0 CHADLO Cc1cc(C)cc(C[N@@H+]2CC(C)(C)[C@@H]2c2nccn2C)c1 ZINC000449416925 1124726707 /nfs/dbraw/zinc/72/67/07/1124726707.db2.gz WKVURJABNKHZQL-INIZCTEOSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1cc(C)cc(C[N@H+]2CC(C)(C)[C@@H]2c2nccn2C)c1 ZINC000449416925 1124726710 /nfs/dbraw/zinc/72/67/10/1124726710.db2.gz WKVURJABNKHZQL-INIZCTEOSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2nccn2C)cc1C ZINC000449411689 1124729138 /nfs/dbraw/zinc/72/91/38/1124729138.db2.gz JYKKJSHPEAPNKX-MRXNPFEDSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2nccn2C)cc1C ZINC000449411689 1124729141 /nfs/dbraw/zinc/72/91/41/1124729141.db2.gz JYKKJSHPEAPNKX-MRXNPFEDSA-N 1 2 283.419 3.620 20 0 CHADLO Fc1cc(Br)ccc1C[N@@H+]1CC[C@H]1C1CC1 ZINC000449421410 1124739079 /nfs/dbraw/zinc/73/90/79/1124739079.db2.gz ABVITXDWBIMARP-ZDUSSCGKSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1cc(Br)ccc1C[N@H+]1CC[C@H]1C1CC1 ZINC000449421410 1124739082 /nfs/dbraw/zinc/73/90/82/1124739082.db2.gz ABVITXDWBIMARP-ZDUSSCGKSA-N 1 2 284.172 3.573 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(F)c(SC)c1 ZINC001238967348 1131281289 /nfs/dbraw/zinc/28/12/89/1131281289.db2.gz PFMXQBCGHQBNKW-UHFFFAOYSA-N 1 2 288.347 3.871 20 0 CHADLO CCCCOc1ncc(-c2cc[nH+]c3c2CCN3)cc1C ZINC001238973396 1131282319 /nfs/dbraw/zinc/28/23/19/1131282319.db2.gz XFNHUCVSTXHDAI-UHFFFAOYSA-N 1 2 283.375 3.599 20 0 CHADLO CCOc1cc(C)c(-c2ccc(F)c(-n3cc[nH+]c3)c2)cn1 ZINC001238980339 1131285156 /nfs/dbraw/zinc/28/51/56/1131285156.db2.gz VSVIAKGCEBPCQE-UHFFFAOYSA-N 1 2 297.333 3.781 20 0 CHADLO CC(C)n1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001239070843 1131300403 /nfs/dbraw/zinc/30/04/03/1131300403.db2.gz UHHHKYARGDFMMR-UHFFFAOYSA-N 1 2 265.360 3.981 20 0 CHADLO C[C@H](Cc1ccccc1F)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000389720362 1124827462 /nfs/dbraw/zinc/82/74/62/1124827462.db2.gz JYEBEZBUCBJULX-CQSZACIVSA-N 1 2 299.393 3.864 20 0 CHADLO CCOc1cccc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)n1 ZINC000449641586 1124829245 /nfs/dbraw/zinc/82/92/45/1124829245.db2.gz VHXLLYCWLOFEKQ-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO CCOc1cccc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)n1 ZINC000449641586 1124829253 /nfs/dbraw/zinc/82/92/53/1124829253.db2.gz VHXLLYCWLOFEKQ-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO Nc1ccc(/C=C\c2nc3cc(Cl)ccc3o2)c[nH+]1 ZINC000821031111 1131315447 /nfs/dbraw/zinc/31/54/47/1131315447.db2.gz GFLBAIOQTBDMTN-KXFIGUGUSA-N 1 2 271.707 3.629 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)nn2)cc1 ZINC000876559294 1124958165 /nfs/dbraw/zinc/95/81/65/1124958165.db2.gz XVNCNESOFJMUGG-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2ccc(Cl)nn2)cc1 ZINC000876559294 1124958172 /nfs/dbraw/zinc/95/81/72/1124958172.db2.gz XVNCNESOFJMUGG-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC[C@H]2c2cccs2)nn1 ZINC000876544089 1124958492 /nfs/dbraw/zinc/95/84/92/1124958492.db2.gz QKQHSWUZMFULTI-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc(C[N@H+]2CCC[C@H]2c2cccs2)nn1 ZINC000876544089 1124958496 /nfs/dbraw/zinc/95/84/96/1124958496.db2.gz QKQHSWUZMFULTI-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO CC(C)C[C@]1(C)CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000821156044 1131321970 /nfs/dbraw/zinc/32/19/70/1131321970.db2.gz SQAFHGWORVCBPU-KRWDZBQOSA-N 1 2 285.391 3.604 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)cc2)C[C@H](c2ccsc2)O1 ZINC000120663602 1124965497 /nfs/dbraw/zinc/96/54/97/1124965497.db2.gz CJVIPHWZVHSYDO-MLGOLLRUSA-N 1 2 291.391 3.849 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)cc2)C[C@H](c2ccsc2)O1 ZINC000120663602 1124965507 /nfs/dbraw/zinc/96/55/07/1124965507.db2.gz CJVIPHWZVHSYDO-MLGOLLRUSA-N 1 2 291.391 3.849 20 0 CHADLO FC(F)C1CC[NH+](Cc2c(Cl)cncc2Cl)CC1 ZINC000876744539 1124975694 /nfs/dbraw/zinc/97/56/94/1124975694.db2.gz WZAYHERAAFZZRT-UHFFFAOYSA-N 1 2 295.160 3.866 20 0 CHADLO CCOC1CC(CNc2ccc([NH+]3CCCC3)cc2)C1 ZINC000876820741 1124981187 /nfs/dbraw/zinc/98/11/87/1124981187.db2.gz SGSRJGCZTYIDOZ-UHFFFAOYSA-N 1 2 274.408 3.514 20 0 CHADLO CCOC1CC(C[NH2+]c2ccc(N3CCCC3)cc2)C1 ZINC000876820741 1124981193 /nfs/dbraw/zinc/98/11/93/1124981193.db2.gz SGSRJGCZTYIDOZ-UHFFFAOYSA-N 1 2 274.408 3.514 20 0 CHADLO CCO[P@@](C)(=O)CC[C@@H](C)[NH2+]c1ccc(N(C)C)cc1 ZINC000876836856 1124983805 /nfs/dbraw/zinc/98/38/05/1124983805.db2.gz BYRFYYHEVUEZFU-ZUOKHONESA-N 1 2 298.367 3.888 20 0 CHADLO CCO[P@@](C)(=O)CC[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000876836856 1124983809 /nfs/dbraw/zinc/98/38/09/1124983809.db2.gz BYRFYYHEVUEZFU-ZUOKHONESA-N 1 2 298.367 3.888 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3nc(Cl)cs3)CC2)c1 ZINC000877048751 1125002835 /nfs/dbraw/zinc/00/28/35/1125002835.db2.gz QIQLKSSWRRHFQX-UHFFFAOYSA-N 1 2 282.771 3.715 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(Cl)nn1)c1cc(F)ccc1F ZINC000877056577 1125003012 /nfs/dbraw/zinc/00/30/12/1125003012.db2.gz YHQLMMUHTCPLGR-ZDUSSCGKSA-N 1 2 297.736 3.649 20 0 CHADLO Clc1csc(C[NH2+][C@@H]2CSc3ccccc32)n1 ZINC000877048457 1125004328 /nfs/dbraw/zinc/00/43/28/1125004328.db2.gz LJGPVWULHRNYAK-SECBINFHSA-N 1 2 282.821 3.733 20 0 CHADLO COc1cccc(C2([NH2+]Cc3nc(Cl)cs3)CC2)c1 ZINC000877086528 1125008503 /nfs/dbraw/zinc/00/85/03/1125008503.db2.gz ZHFGQJBXPUIJNE-UHFFFAOYSA-N 1 2 294.807 3.584 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC000529609505 1125010793 /nfs/dbraw/zinc/01/07/93/1125010793.db2.gz DZCLAYSJFWCUKM-SNVBAGLBSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC000529609505 1125010799 /nfs/dbraw/zinc/01/07/99/1125010799.db2.gz DZCLAYSJFWCUKM-SNVBAGLBSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2C[C@@H]2CCCCC2(F)F)o1 ZINC000450420640 1125021321 /nfs/dbraw/zinc/02/13/21/1125021321.db2.gz QNULLQKJDLKABP-UONOGXRCSA-N 1 2 299.361 3.787 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2C[C@@H]2CCCCC2(F)F)o1 ZINC000450420640 1125021328 /nfs/dbraw/zinc/02/13/28/1125021328.db2.gz QNULLQKJDLKABP-UONOGXRCSA-N 1 2 299.361 3.787 20 0 CHADLO Cc1cccc([N@H+](CC2CCC(=O)CC2)C(C)C)c1 ZINC000450549569 1125054355 /nfs/dbraw/zinc/05/43/55/1125054355.db2.gz KRGSWEKEBBAYHC-UHFFFAOYSA-N 1 2 259.393 3.969 20 0 CHADLO Cc1cccc([N@@H+](CC2CCC(=O)CC2)C(C)C)c1 ZINC000450549569 1125054347 /nfs/dbraw/zinc/05/43/47/1125054347.db2.gz KRGSWEKEBBAYHC-UHFFFAOYSA-N 1 2 259.393 3.969 20 0 CHADLO Cc1ccccc1[N@@H+](CC1CCC(=O)CC1)C(C)C ZINC000450557681 1125056724 /nfs/dbraw/zinc/05/67/24/1125056724.db2.gz NWIXXKHZIVGCMH-UHFFFAOYSA-N 1 2 259.393 3.969 20 0 CHADLO Cc1ccccc1[N@H+](CC1CCC(=O)CC1)C(C)C ZINC000450557681 1125056731 /nfs/dbraw/zinc/05/67/31/1125056731.db2.gz NWIXXKHZIVGCMH-UHFFFAOYSA-N 1 2 259.393 3.969 20 0 CHADLO Cc1ccc(-c2ccccc2Cn2cc[nH+]c2)c(C)n1 ZINC001239460029 1131328301 /nfs/dbraw/zinc/32/83/01/1131328301.db2.gz OIFXQKSXPOCQRT-UHFFFAOYSA-N 1 2 263.344 3.610 20 0 CHADLO CC[C@H](C)Cc1noc([C@H](c2ccccc2)[N@H+](C)CC)n1 ZINC000632249307 1125060202 /nfs/dbraw/zinc/06/02/02/1125060202.db2.gz KJTSVRUPAAZSSH-BBRMVZONSA-N 1 2 287.407 3.699 20 0 CHADLO CC[C@H](C)Cc1noc([C@H](c2ccccc2)[N@@H+](C)CC)n1 ZINC000632249307 1125060206 /nfs/dbraw/zinc/06/02/06/1125060206.db2.gz KJTSVRUPAAZSSH-BBRMVZONSA-N 1 2 287.407 3.699 20 0 CHADLO C[C@@H](CCCO)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000450632579 1125074577 /nfs/dbraw/zinc/07/45/77/1125074577.db2.gz PZRFQLPNFIWWCM-NSHDSACASA-N 1 2 278.783 3.709 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc3cc[nH]c3c2)s1 ZINC000877770813 1125078001 /nfs/dbraw/zinc/07/80/01/1125078001.db2.gz YKUOROBLNZKUII-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc3cc[nH]c3c2)s1 ZINC000877770813 1125078005 /nfs/dbraw/zinc/07/80/05/1125078005.db2.gz YKUOROBLNZKUII-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO COc1ccnc(C[NH2+][C@@H](C)c2ccc(C(C)(C)C)cc2)n1 ZINC000450663988 1125080538 /nfs/dbraw/zinc/08/05/38/1125080538.db2.gz CQLQFHJQJVUJAS-ZDUSSCGKSA-N 1 2 299.418 3.634 20 0 CHADLO Fc1cc2[nH+]ccc(N3C[C@@H](F)[C@@H](F)C3)c2cc1Cl ZINC000450715646 1125094002 /nfs/dbraw/zinc/09/40/02/1125094002.db2.gz XAYSEOARJLZVLR-PHIMTYICSA-N 1 2 286.684 3.524 20 0 CHADLO Fc1ccc([C@H]2CCCN2c2cccc[nH+]2)c(F)c1 ZINC000450915486 1125119967 /nfs/dbraw/zinc/11/99/67/1125119967.db2.gz SCKBLEMUTSIDKV-CQSZACIVSA-N 1 2 260.287 3.701 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC000878157674 1125125031 /nfs/dbraw/zinc/12/50/31/1125125031.db2.gz JYPIITMECNMKPI-MRXNPFEDSA-N 1 2 299.418 3.619 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1nc2c(o1)CCCC2 ZINC000878157674 1125125034 /nfs/dbraw/zinc/12/50/34/1125125034.db2.gz JYPIITMECNMKPI-MRXNPFEDSA-N 1 2 299.418 3.619 20 0 CHADLO COc1cc(NCc2c[nH+]c3c(C)cccn23)c(C)cc1C ZINC000475668229 1125129900 /nfs/dbraw/zinc/12/99/00/1125129900.db2.gz OPCPXQPDGOGWBV-UHFFFAOYSA-N 1 2 295.386 3.880 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+]1CC[C@@H]1CCCC1(F)F ZINC000878321688 1125142412 /nfs/dbraw/zinc/14/24/12/1125142412.db2.gz STTGMBQMJUZZGJ-UWVGGRQHSA-N 1 2 253.283 3.541 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+]1CC[C@@H]1CCCC1(F)F ZINC000878321688 1125142415 /nfs/dbraw/zinc/14/24/15/1125142415.db2.gz STTGMBQMJUZZGJ-UWVGGRQHSA-N 1 2 253.283 3.541 20 0 CHADLO Cc1ccc(C[NH2+]C2(c3ccccc3F)CC2)nc1Cl ZINC000878366032 1125146340 /nfs/dbraw/zinc/14/63/40/1125146340.db2.gz HETRYDZDHGZZFH-UHFFFAOYSA-N 1 2 290.769 3.961 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1scnc1Cl ZINC000878393041 1125147679 /nfs/dbraw/zinc/14/76/79/1125147679.db2.gz UQHXIUWHMIFMCE-JGVFFNPUSA-N 1 2 298.761 3.959 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1scnc1Cl ZINC000878393041 1125147681 /nfs/dbraw/zinc/14/76/81/1125147681.db2.gz UQHXIUWHMIFMCE-JGVFFNPUSA-N 1 2 298.761 3.959 20 0 CHADLO CN(C)c1ccc(NCc2coc3ccccc23)[nH+]c1 ZINC000121794723 1125150928 /nfs/dbraw/zinc/15/09/28/1125150928.db2.gz RNTIQXJHTLQDKW-UHFFFAOYSA-N 1 2 267.332 3.506 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCC[C@H]2c2ccccn2)c1 ZINC000530756861 1125179546 /nfs/dbraw/zinc/17/95/46/1125179546.db2.gz SRPBEKLRTPXGIN-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCC[C@H]2c2ccccn2)c1 ZINC000530756861 1125179549 /nfs/dbraw/zinc/17/95/49/1125179549.db2.gz SRPBEKLRTPXGIN-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC000878979462 1125189097 /nfs/dbraw/zinc/18/90/97/1125189097.db2.gz YCLSBGYMHUKQBR-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC000878979462 1125189099 /nfs/dbraw/zinc/18/90/99/1125189099.db2.gz YCLSBGYMHUKQBR-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO C[C@@H]1C[NH+](Cc2scnc2Cl)C[C@@H](C)C1(F)F ZINC000879030428 1125192423 /nfs/dbraw/zinc/19/24/23/1125192423.db2.gz JZVOMVFLJWNKIV-HTQZYQBOSA-N 1 2 280.771 3.520 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2ncccc2Cl)c1 ZINC000879752906 1125231179 /nfs/dbraw/zinc/23/11/79/1125231179.db2.gz VZOKMNMPBHTFOC-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2ncccc2Cl)c1 ZINC000879752906 1125231175 /nfs/dbraw/zinc/23/11/75/1125231175.db2.gz VZOKMNMPBHTFOC-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2nc3c(o2)CCCC3)c1 ZINC000879752165 1125232079 /nfs/dbraw/zinc/23/20/79/1125232079.db2.gz MVFAIGGDSOWUOK-INIZCTEOSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2nc3c(o2)CCCC3)c1 ZINC000879752165 1125232083 /nfs/dbraw/zinc/23/20/83/1125232083.db2.gz MVFAIGGDSOWUOK-INIZCTEOSA-N 1 2 297.402 3.594 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2CC[C@H]2C)c(Cl)c1 ZINC001142277092 1125240564 /nfs/dbraw/zinc/24/05/64/1125240564.db2.gz NXJUVVCZYLOGSY-MRVPVSSYSA-N 1 2 260.164 3.596 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2CC[C@H]2C)c(Cl)c1 ZINC001142277092 1125240570 /nfs/dbraw/zinc/24/05/70/1125240570.db2.gz NXJUVVCZYLOGSY-MRVPVSSYSA-N 1 2 260.164 3.596 20 0 CHADLO FC1CC[NH+](Cc2csc(Cc3ccccc3)n2)CC1 ZINC000880242957 1125259980 /nfs/dbraw/zinc/25/99/80/1125259980.db2.gz RLIGERUKXXFWIT-UHFFFAOYSA-N 1 2 290.407 3.668 20 0 CHADLO c1cnc(C2([NH2+]Cc3ccc4ccccc4c3)CCC2)nc1 ZINC000880363726 1125266804 /nfs/dbraw/zinc/26/68/04/1125266804.db2.gz DXJAGXXXWCENDF-UHFFFAOYSA-N 1 2 289.382 3.799 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H]3CC[C@H]2c2ccccc23)oc1C ZINC000880539865 1125279246 /nfs/dbraw/zinc/27/92/46/1125279246.db2.gz KGNMEKKBHHVQPY-BBRMVZONSA-N 1 2 268.360 3.726 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H]3CC[C@H]2c2ccccc23)oc1C ZINC000880539865 1125279251 /nfs/dbraw/zinc/27/92/51/1125279251.db2.gz KGNMEKKBHHVQPY-BBRMVZONSA-N 1 2 268.360 3.726 20 0 CHADLO CCOCCC[N@H+](C)[C@H](CCc1ccccc1)C(F)F ZINC000626135626 1125298307 /nfs/dbraw/zinc/29/83/07/1125298307.db2.gz FGFXUPDAWSHXOL-OAHLLOKOSA-N 1 2 285.378 3.611 20 0 CHADLO CCOCCC[N@@H+](C)[C@H](CCc1ccccc1)C(F)F ZINC000626135626 1125298313 /nfs/dbraw/zinc/29/83/13/1125298313.db2.gz FGFXUPDAWSHXOL-OAHLLOKOSA-N 1 2 285.378 3.611 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1cn(C)cn1)c1ccc(F)cc1F ZINC000925264488 1125301734 /nfs/dbraw/zinc/30/17/34/1125301734.db2.gz NNCXUWXLASCRDJ-ABAIWWIYSA-N 1 2 293.361 3.890 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CC[C@@H](F)C(F)(F)CC2)cc1F ZINC001137885551 1125307202 /nfs/dbraw/zinc/30/72/02/1125307202.db2.gz WZAJUWPZXLYKDJ-GFCCVEGCSA-N 1 2 297.242 3.673 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CC[C@@H](F)C(F)(F)CC2)cc1F ZINC001137885551 1125307203 /nfs/dbraw/zinc/30/72/03/1125307203.db2.gz WZAJUWPZXLYKDJ-GFCCVEGCSA-N 1 2 297.242 3.673 20 0 CHADLO Clc1ccc(C[N@@H+]2CCCC[C@H]2c2cccnc2)cn1 ZINC001137167151 1125311951 /nfs/dbraw/zinc/31/19/51/1125311951.db2.gz YBAQMSGCJDLEMW-HNNXBMFYSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1ccc(C[N@H+]2CCCC[C@H]2c2cccnc2)cn1 ZINC001137167151 1125311957 /nfs/dbraw/zinc/31/19/57/1125311957.db2.gz YBAQMSGCJDLEMW-HNNXBMFYSA-N 1 2 287.794 3.857 20 0 CHADLO C[C@H](Cc1ccc(Cl)cc1)[NH+]1CC(F)(C2CC2)C1 ZINC001168170159 1125312273 /nfs/dbraw/zinc/31/22/73/1125312273.db2.gz IJBGLFBNTRKUEI-LLVKDONJSA-N 1 2 267.775 3.705 20 0 CHADLO CCC[C@H]([NH2+]CCCc1ccc(C)cc1C)C(=O)OCC ZINC000610344291 1125315347 /nfs/dbraw/zinc/31/53/47/1125315347.db2.gz IUPOTKSVJHSIEP-KRWDZBQOSA-N 1 2 291.435 3.557 20 0 CHADLO Fc1ccc(Br)c(CNc2cc[nH+]cc2F)c1 ZINC000192150154 1125331376 /nfs/dbraw/zinc/33/13/76/1125331376.db2.gz BNXJZIOOSCZXBY-UHFFFAOYSA-N 1 2 299.118 3.734 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)[C@H](C)c2cccnc2)c1 ZINC000192135384 1125331343 /nfs/dbraw/zinc/33/13/43/1125331343.db2.gz HWOUOKRSRYCWFM-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)[C@H](C)c2cccnc2)c1 ZINC000192135384 1125331347 /nfs/dbraw/zinc/33/13/47/1125331347.db2.gz HWOUOKRSRYCWFM-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1ccc(-c2n[nH]cc2CNc2cc[nH+]cc2F)cc1 ZINC000192149992 1125331426 /nfs/dbraw/zinc/33/14/26/1125331426.db2.gz LTCWSEPGTJXQIX-UHFFFAOYSA-N 1 2 282.322 3.531 20 0 CHADLO CCc1cc(N[C@H](c2ccncc2)C2CC2)nc(CC)[nH+]1 ZINC000897423123 1125333368 /nfs/dbraw/zinc/33/33/68/1125333368.db2.gz HHSYPECCZZEPEZ-KRWDZBQOSA-N 1 2 282.391 3.560 20 0 CHADLO C[C@H](SCc1ccc[nH+]c1N)c1cccs1 ZINC000882123774 1125336325 /nfs/dbraw/zinc/33/63/25/1125336325.db2.gz YVPNHVDVKNENBX-VIFPVBQESA-N 1 2 250.392 3.720 20 0 CHADLO CC(C)c1nnc(C[N@H+](Cc2ccco2)C2CCCC2)o1 ZINC000170692230 1125344349 /nfs/dbraw/zinc/34/43/49/1125344349.db2.gz KFTSBRGJASDAIZ-UHFFFAOYSA-N 1 2 289.379 3.731 20 0 CHADLO CC(C)c1nnc(C[N@@H+](Cc2ccco2)C2CCCC2)o1 ZINC000170692230 1125344353 /nfs/dbraw/zinc/34/43/53/1125344353.db2.gz KFTSBRGJASDAIZ-UHFFFAOYSA-N 1 2 289.379 3.731 20 0 CHADLO Cc1cc(NCC(C)(C)CC(F)F)nc(C2CC2)[nH+]1 ZINC000882782230 1125356488 /nfs/dbraw/zinc/35/64/88/1125356488.db2.gz MCORYRCFMMYWOA-UHFFFAOYSA-N 1 2 269.339 3.756 20 0 CHADLO COc1ccc(C2CC2)cc1CNc1[nH+]cccc1OC ZINC000882789909 1125357244 /nfs/dbraw/zinc/35/72/44/1125357244.db2.gz INTAQKZHRMXBSO-UHFFFAOYSA-N 1 2 284.359 3.588 20 0 CHADLO FC[C@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000882803287 1125357802 /nfs/dbraw/zinc/35/78/02/1125357802.db2.gz KEGKFSLGSMBXDX-LLVKDONJSA-N 1 2 262.303 3.560 20 0 CHADLO CCC[C@H](CC)C(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882871027 1125359173 /nfs/dbraw/zinc/35/91/73/1125359173.db2.gz XCJWZDKITHOHJF-RDJZCZTQSA-N 1 2 299.418 3.567 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000882899121 1125360715 /nfs/dbraw/zinc/36/07/15/1125360715.db2.gz FMPRRUKGJYBKTM-NSHDSACASA-N 1 2 291.370 3.532 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1cnc(C)o1 ZINC000882942592 1125363222 /nfs/dbraw/zinc/36/32/22/1125363222.db2.gz IICSPGNJDJFGKX-UHFFFAOYSA-N 1 2 284.363 3.593 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(OC(C)C)cc2)c1 ZINC000213622555 1125364098 /nfs/dbraw/zinc/36/40/98/1125364098.db2.gz YJPAVYJEGKLCFY-UHFFFAOYSA-N 1 2 286.375 3.798 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1c(C)noc1C ZINC000053147722 1125370769 /nfs/dbraw/zinc/37/07/69/1125370769.db2.gz QTSVGAQOXXHXOH-UHFFFAOYSA-N 1 2 294.782 3.585 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1c(C)noc1C ZINC000053147722 1125370771 /nfs/dbraw/zinc/37/07/71/1125370771.db2.gz QTSVGAQOXXHXOH-UHFFFAOYSA-N 1 2 294.782 3.585 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCn2ccnc21)c1sccc1Cl ZINC000883225811 1125379565 /nfs/dbraw/zinc/37/95/65/1125379565.db2.gz NJNPIAOOBRYSNX-QWRGUYRKSA-N 1 2 281.812 3.784 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccn(CC)n1)c1sccc1Cl ZINC000883228933 1125380434 /nfs/dbraw/zinc/38/04/34/1125380434.db2.gz XRYCPPBHRDVSJF-GFCCVEGCSA-N 1 2 283.828 3.859 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000883257027 1125386314 /nfs/dbraw/zinc/38/63/14/1125386314.db2.gz OTHMGVMFFISXLT-UHFFFAOYSA-N 1 2 299.418 3.709 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2COc3cc(F)cc(F)c32)cs1 ZINC000648515678 1125389936 /nfs/dbraw/zinc/38/99/36/1125389936.db2.gz MIXPLUOILJEDIX-GFCCVEGCSA-N 1 2 281.327 3.558 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2cccc(O)c2F)c(Cl)n1 ZINC000883302401 1125392843 /nfs/dbraw/zinc/39/28/43/1125392843.db2.gz XULVUEHBPMEXMZ-JTQLQIEISA-N 1 2 294.757 3.739 20 0 CHADLO Cc1nc(C)c([C@@H](C)Nc2c[nH+]c(C)c(C)c2)s1 ZINC000883341162 1125397513 /nfs/dbraw/zinc/39/75/13/1125397513.db2.gz JPOVKLOBFCCVAI-LLVKDONJSA-N 1 2 261.394 3.945 20 0 CHADLO Cc1cc(NCc2cnc([C@H]3CCCO3)s2)c[nH+]c1C ZINC000883341220 1125397860 /nfs/dbraw/zinc/39/78/60/1125397860.db2.gz KRTQHDQUJIFTSM-CQSZACIVSA-N 1 2 289.404 3.619 20 0 CHADLO O=c1[nH]c2ccccc2cc1C[N@@H+]1Cc2cccc(F)c2C1 ZINC001137187403 1125398104 /nfs/dbraw/zinc/39/81/04/1125398104.db2.gz UQMFMTIFXOTEEW-UHFFFAOYSA-N 1 2 294.329 3.595 20 0 CHADLO O=c1[nH]c2ccccc2cc1C[N@H+]1Cc2cccc(F)c2C1 ZINC001137187403 1125398107 /nfs/dbraw/zinc/39/81/07/1125398107.db2.gz UQMFMTIFXOTEEW-UHFFFAOYSA-N 1 2 294.329 3.595 20 0 CHADLO CC[N@H+](Cc1ccc(OC)cc1)Cc1cccnc1Cl ZINC000171078529 1125401419 /nfs/dbraw/zinc/40/14/19/1125401419.db2.gz UHKXXDPOJIJTGF-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1ccc(OC)cc1)Cc1cccnc1Cl ZINC000171078529 1125401425 /nfs/dbraw/zinc/40/14/25/1125401425.db2.gz UHKXXDPOJIJTGF-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](c2cccc(F)c2)C(C)(C)C)n1 ZINC000342243795 1125401962 /nfs/dbraw/zinc/40/19/62/1125401962.db2.gz OQASHNGNOHEWIW-MRXNPFEDSA-N 1 2 287.382 3.801 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@H]1F)c1ccco1 ZINC000883376444 1125404168 /nfs/dbraw/zinc/40/41/68/1125404168.db2.gz LDVOXOADIHXIJN-SGIREYDYSA-N 1 2 259.324 3.956 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@H]1F)c1ccc(F)cn1 ZINC000883376424 1125404389 /nfs/dbraw/zinc/40/43/89/1125404389.db2.gz KLSUVWKKIVPLPQ-UXEPBGEESA-N 1 2 288.341 3.897 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2c3ccccc3CC[C@@H]2F)sn1 ZINC000883381172 1125406248 /nfs/dbraw/zinc/40/62/48/1125406248.db2.gz ICFNVPQFXCBUJG-LSDHHAIUSA-N 1 2 276.380 3.567 20 0 CHADLO CC(C)n1cncc1C[NH2+][C@H]1c2ccccc2CC[C@@H]1F ZINC000883381687 1125406409 /nfs/dbraw/zinc/40/64/09/1125406409.db2.gz RBTQAFBQARKUCE-IRXDYDNUSA-N 1 2 287.382 3.579 20 0 CHADLO COc1ccccc1C[NH2+][C@@H]1c2ccccc2CC[C@@H]1F ZINC000883384393 1125407507 /nfs/dbraw/zinc/40/75/07/1125407507.db2.gz QJUHTEQYKSAVFV-FUHWJXTLSA-N 1 2 285.362 3.810 20 0 CHADLO CCc1cc(C[NH2+][C@H](CC)c2cc(F)ccc2F)on1 ZINC000532520426 1125409314 /nfs/dbraw/zinc/40/93/14/1125409314.db2.gz KLYMVTFJPGYFBI-OAHLLOKOSA-N 1 2 280.318 3.756 20 0 CHADLO Cc1ccc2c(Nc3nccnc3Cl)cccc2[nH+]1 ZINC001212794065 1125411405 /nfs/dbraw/zinc/41/14/05/1125411405.db2.gz HLKGZNXHXNCSBW-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO CC1(C)Nc2ccccc2[C@H]1[NH2+]Cc1nc2c(o1)CCCC2 ZINC000883464520 1125411577 /nfs/dbraw/zinc/41/15/77/1125411577.db2.gz PCXMYYPQHXMGKF-QGZVFWFLSA-N 1 2 297.402 3.588 20 0 CHADLO Cc1ccc2c(Nc3cccc(F)n3)cccc2[nH+]1 ZINC001212795202 1125416927 /nfs/dbraw/zinc/41/69/27/1125416927.db2.gz UROOIRJVNBRKIT-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1ccc2c(Nc3cn(CC4CC4)cn3)cccc2[nH+]1 ZINC001212795930 1125417896 /nfs/dbraw/zinc/41/78/96/1125417896.db2.gz JMVTYHSKNVMLAF-UHFFFAOYSA-N 1 2 278.359 3.893 20 0 CHADLO Cc1ccc(F)c(NCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000035041102 1125423254 /nfs/dbraw/zinc/42/32/54/1125423254.db2.gz XBEIFBXCULAGBK-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO CC[C@H](C)[C@H]([NH2+]Cc1cccc2ccccc21)C(=O)OC ZINC000035043280 1125423258 /nfs/dbraw/zinc/42/32/58/1125423258.db2.gz RBTNINYAFHYYQY-GUYCJALGSA-N 1 2 285.387 3.517 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c(C)ccc23)n(CCF)n1 ZINC001212797030 1125430315 /nfs/dbraw/zinc/43/03/15/1125430315.db2.gz UPDJFHYDYSBCDI-UHFFFAOYSA-N 1 2 284.338 3.761 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CC[C@@H](C(F)(F)F)[C@H]2C)n1 ZINC000348248768 1125431079 /nfs/dbraw/zinc/43/10/79/1125431079.db2.gz PZQNKMKQQWRVPW-KXUCPTDWSA-N 1 2 291.317 3.527 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CC[C@@H](C(F)(F)F)[C@H]2C)n1 ZINC000348248768 1125431082 /nfs/dbraw/zinc/43/10/82/1125431082.db2.gz PZQNKMKQQWRVPW-KXUCPTDWSA-N 1 2 291.317 3.527 20 0 CHADLO O=C(/C=C/c1ccsc1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000029277606 1125441280 /nfs/dbraw/zinc/44/12/80/1125441280.db2.gz GQGRBQQDOPYLNN-LZCJLJQNSA-N 1 2 295.367 3.586 20 0 CHADLO COc1ccccc1/C=C/C[N@H+](C)Cc1csc(C)n1 ZINC000125037484 1125443950 /nfs/dbraw/zinc/44/39/50/1125443950.db2.gz IEOONWXQULRLPG-SOFGYWHQSA-N 1 2 288.416 3.605 20 0 CHADLO COc1ccccc1/C=C/C[N@@H+](C)Cc1csc(C)n1 ZINC000125037484 1125443951 /nfs/dbraw/zinc/44/39/51/1125443951.db2.gz IEOONWXQULRLPG-SOFGYWHQSA-N 1 2 288.416 3.605 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC[C@H]2c2ccccn2)n1 ZINC000532651444 1125454089 /nfs/dbraw/zinc/45/40/89/1125454089.db2.gz VSSKHVSNGNCWPJ-SFHVURJKSA-N 1 2 298.434 3.976 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC[C@H]2c2ccccn2)n1 ZINC000532651444 1125454094 /nfs/dbraw/zinc/45/40/94/1125454094.db2.gz VSSKHVSNGNCWPJ-SFHVURJKSA-N 1 2 298.434 3.976 20 0 CHADLO Cc1nccnc1C[N@@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000621711035 1129183402 /nfs/dbraw/zinc/18/34/02/1129183402.db2.gz BFVAVWSTUTWTSC-LRDDRELGSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1nccnc1C[N@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000621711035 1129183405 /nfs/dbraw/zinc/18/34/05/1129183405.db2.gz BFVAVWSTUTWTSC-LRDDRELGSA-N 1 2 297.402 3.666 20 0 CHADLO CC(C)C[C@@H](C(=O)N1CCC[C@@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000635502057 1129183626 /nfs/dbraw/zinc/18/36/26/1129183626.db2.gz WIUWGZIGXWAWDI-LSDHHAIUSA-N 1 2 291.439 3.507 20 0 CHADLO COc1ccc(F)c(C[NH2+][C@H](C)c2nc(C)sc2C)c1 ZINC000621733710 1129187930 /nfs/dbraw/zinc/18/79/30/1129187930.db2.gz VMZKFFMFBLJBPE-SECBINFHSA-N 1 2 294.395 3.758 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc([NH+](C)C)cc2C)C12CCC2 ZINC000087709520 1129191022 /nfs/dbraw/zinc/19/10/22/1129191022.db2.gz FQPZTPUTOUMRLL-IRXDYDNUSA-N 1 2 288.435 3.821 20 0 CHADLO Cc1coc(C[NH2+][C@@H](C)c2c(F)cccc2F)c1 ZINC000718131513 1129204627 /nfs/dbraw/zinc/20/46/27/1129204627.db2.gz DYDVQTGMOSFZBT-JTQLQIEISA-N 1 2 251.276 3.717 20 0 CHADLO C(#Cc1ccccc1)C[NH2+]Cc1csc(-c2ccco2)n1 ZINC000076330671 1129209709 /nfs/dbraw/zinc/20/97/09/1129209709.db2.gz PYNMVRKFACVJHZ-UHFFFAOYSA-N 1 2 294.379 3.544 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(-c3csc(C)n3)cc2)o1 ZINC000638462890 1129259548 /nfs/dbraw/zinc/25/95/48/1129259548.db2.gz BQSRDVSUSQLGAI-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO COc1cccc(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001239522284 1131362345 /nfs/dbraw/zinc/36/23/45/1131362345.db2.gz ZODCWWSZMLNZEQ-UHFFFAOYSA-N 1 2 264.328 3.763 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(SC(F)F)cc1 ZINC000090170218 1129272487 /nfs/dbraw/zinc/27/24/87/1129272487.db2.gz VGYLFVCBOAYATK-UHFFFAOYSA-N 1 2 283.347 3.830 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(Cl)n2)Cc2ccccc2O1 ZINC000795432545 1129276359 /nfs/dbraw/zinc/27/63/59/1129276359.db2.gz SDFOWTHXLZXZDU-GFCCVEGCSA-N 1 2 288.778 3.518 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(Cl)n2)Cc2ccccc2O1 ZINC000795432545 1129276362 /nfs/dbraw/zinc/27/63/62/1129276362.db2.gz SDFOWTHXLZXZDU-GFCCVEGCSA-N 1 2 288.778 3.518 20 0 CHADLO Cc1nc(CC[N@@H+](C)Cc2c(F)cccc2Cl)cs1 ZINC000348047482 1129277200 /nfs/dbraw/zinc/27/72/00/1129277200.db2.gz OALLFKYBIARHBU-UHFFFAOYSA-N 1 2 298.814 3.919 20 0 CHADLO Cc1nc(CC[N@H+](C)Cc2c(F)cccc2Cl)cs1 ZINC000348047482 1129277204 /nfs/dbraw/zinc/27/72/04/1129277204.db2.gz OALLFKYBIARHBU-UHFFFAOYSA-N 1 2 298.814 3.919 20 0 CHADLO C[C@]12CCCC[C@H]1C[N@@H+]2CC(=O)Nc1ccccc1Cl ZINC000626104894 1129280687 /nfs/dbraw/zinc/28/06/87/1129280687.db2.gz JANMOCFQWVGABG-LRDDRELGSA-N 1 2 292.810 3.543 20 0 CHADLO C[C@]12CCCC[C@H]1C[N@H+]2CC(=O)Nc1ccccc1Cl ZINC000626104894 1129280691 /nfs/dbraw/zinc/28/06/91/1129280691.db2.gz JANMOCFQWVGABG-LRDDRELGSA-N 1 2 292.810 3.543 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cc(F)c(C)cc2F)c(C)[nH+]1 ZINC000177078695 1129281691 /nfs/dbraw/zinc/28/16/91/1129281691.db2.gz MRXIHYFJAIZTCS-UHFFFAOYSA-N 1 2 290.313 3.846 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)[C@@H](C)c2cnc(C)nc2C)c1 ZINC000639107875 1129282641 /nfs/dbraw/zinc/28/26/41/1129282641.db2.gz UDXODDBRLFPYBK-ZDUSSCGKSA-N 1 2 287.382 3.734 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)[C@@H](C)c2cnc(C)nc2C)c1 ZINC000639107875 1129282645 /nfs/dbraw/zinc/28/26/45/1129282645.db2.gz UDXODDBRLFPYBK-ZDUSSCGKSA-N 1 2 287.382 3.734 20 0 CHADLO c1nc([C@@H](Nc2cccc(C3CC3)[nH+]2)C2CCCCC2)n[nH]1 ZINC000639207793 1129293157 /nfs/dbraw/zinc/29/31/57/1129293157.db2.gz SRMTURJWUPWCKJ-INIZCTEOSA-N 1 2 297.406 3.811 20 0 CHADLO Cc1ncc([C@H](C)[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)c(C)n1 ZINC000639236002 1129295795 /nfs/dbraw/zinc/29/57/95/1129295795.db2.gz WLLQIUMWMARWRT-SUMWQHHRSA-N 1 2 297.402 3.975 20 0 CHADLO Cc1ncc([C@H](C)[N@H+]2CC3(CCC3)[C@H]2c2ccco2)c(C)n1 ZINC000639236002 1129295797 /nfs/dbraw/zinc/29/57/97/1129295797.db2.gz WLLQIUMWMARWRT-SUMWQHHRSA-N 1 2 297.402 3.975 20 0 CHADLO Cc1ncc([C@@H](C)[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)c(C)n1 ZINC000639236000 1129296497 /nfs/dbraw/zinc/29/64/97/1129296497.db2.gz WLLQIUMWMARWRT-DYVFJYSZSA-N 1 2 297.402 3.975 20 0 CHADLO Cc1ncc([C@@H](C)[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)c(C)n1 ZINC000639236000 1129296501 /nfs/dbraw/zinc/29/65/01/1129296501.db2.gz WLLQIUMWMARWRT-DYVFJYSZSA-N 1 2 297.402 3.975 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1cccc(F)c1)[C@@H]1CC1(F)F ZINC000639307323 1129301417 /nfs/dbraw/zinc/30/14/17/1129301417.db2.gz VCZJRXMMEMBKRV-KCJUWKMLSA-N 1 2 279.252 3.551 20 0 CHADLO CC(C)[N@H+](Cc1nc(C2CCC2)no1)Cc1ccccc1 ZINC000639448879 1129316339 /nfs/dbraw/zinc/31/63/39/1129316339.db2.gz PJHSFWTUVCKLMR-UHFFFAOYSA-N 1 2 285.391 3.748 20 0 CHADLO CC(C)[N@@H+](Cc1nc(C2CCC2)no1)Cc1ccccc1 ZINC000639448879 1129316344 /nfs/dbraw/zinc/31/63/44/1129316344.db2.gz PJHSFWTUVCKLMR-UHFFFAOYSA-N 1 2 285.391 3.748 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1nc(C2CCC2)no1 ZINC000639458819 1129317658 /nfs/dbraw/zinc/31/76/58/1129317658.db2.gz ZKEMEAIRKHDRHK-ZDUSSCGKSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1nc(C2CCC2)no1 ZINC000639458819 1129317663 /nfs/dbraw/zinc/31/76/63/1129317663.db2.gz ZKEMEAIRKHDRHK-ZDUSSCGKSA-N 1 2 285.391 3.839 20 0 CHADLO CCC(F)(F)C[NH+]1CCC2(CC1)OCc1ccccc12 ZINC000639516946 1129320617 /nfs/dbraw/zinc/32/06/17/1129320617.db2.gz BOOZHBIPCOKRAJ-UHFFFAOYSA-N 1 2 281.346 3.553 20 0 CHADLO C[C@@]1([NH2+]Cc2nc(C3CCC3)no2)CCCc2ccccc21 ZINC000639529567 1129321474 /nfs/dbraw/zinc/32/14/74/1129321474.db2.gz IVROJXVJGNSHIE-GOSISDBHSA-N 1 2 297.402 3.678 20 0 CHADLO CCC(F)(F)C[N@@H+]1CCC[C@H]1c1ccc(O)cc1 ZINC000639537910 1129323112 /nfs/dbraw/zinc/32/31/12/1129323112.db2.gz NDIRZYXBSLAYMR-ZDUSSCGKSA-N 1 2 255.308 3.574 20 0 CHADLO CCC(F)(F)C[N@H+]1CCC[C@H]1c1ccc(O)cc1 ZINC000639537910 1129323117 /nfs/dbraw/zinc/32/31/17/1129323117.db2.gz NDIRZYXBSLAYMR-ZDUSSCGKSA-N 1 2 255.308 3.574 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc2ncsc2c1 ZINC000639595967 1129325923 /nfs/dbraw/zinc/32/59/23/1129325923.db2.gz PWCNYJMFJHRCKJ-SECBINFHSA-N 1 2 270.348 3.992 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1ccc2ccccc2n1 ZINC000178238233 1129334917 /nfs/dbraw/zinc/33/49/17/1129334917.db2.gz KOCRZRSDLVOUKK-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C(C)C)no1)c1ccc(F)cc1 ZINC000639927603 1129340810 /nfs/dbraw/zinc/34/08/10/1129340810.db2.gz GCYFNTJBYKRTEO-MRXNPFEDSA-N 1 2 291.370 3.747 20 0 CHADLO CC1(F)CC[NH+](Cc2ncc(Cl)cc2Cl)CC1 ZINC000640071386 1129347768 /nfs/dbraw/zinc/34/77/68/1129347768.db2.gz LLGNGEQJXHEPLQ-UHFFFAOYSA-N 1 2 277.170 3.712 20 0 CHADLO CCCC[N@H+](C)c1ccc(Nc2nccc(C)n2)cc1 ZINC000736289055 1129372339 /nfs/dbraw/zinc/37/23/39/1129372339.db2.gz YFEVWTAWJVVNAA-UHFFFAOYSA-N 1 2 270.380 3.765 20 0 CHADLO CCCC[N@@H+](C)c1ccc(Nc2nccc(C)n2)cc1 ZINC000736289055 1129372342 /nfs/dbraw/zinc/37/23/42/1129372342.db2.gz YFEVWTAWJVVNAA-UHFFFAOYSA-N 1 2 270.380 3.765 20 0 CHADLO CCSc1ccc([C@H](C)Nc2cc(CO)cc[nH+]2)cc1 ZINC000641342770 1129379769 /nfs/dbraw/zinc/37/97/69/1129379769.db2.gz FSXAHFKCVFTJST-LBPRGKRZSA-N 1 2 288.416 3.859 20 0 CHADLO Fc1c[nH+]ccc1N1CCS[C@H](c2ccccc2)C1 ZINC000641457929 1129381706 /nfs/dbraw/zinc/38/17/06/1129381706.db2.gz UQHOTCSQCYYWDG-HNNXBMFYSA-N 1 2 274.364 3.515 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(OCOC)c2)c1 ZINC001212899266 1129383494 /nfs/dbraw/zinc/38/34/94/1129383494.db2.gz KVFCSKBHSVWJSY-UHFFFAOYSA-N 1 2 272.348 3.760 20 0 CHADLO CCn1cc(Nc2cc[nH+]c3cc(Cl)ccc23)ccc1=O ZINC001212909930 1129390414 /nfs/dbraw/zinc/39/04/14/1129390414.db2.gz NSRNNFQZHLWUMO-UHFFFAOYSA-N 1 2 299.761 3.813 20 0 CHADLO CC(C)(C)[C@H]1CCC[N@H+](Cn2ncsc2=S)CC1 ZINC000738243611 1129391992 /nfs/dbraw/zinc/39/19/92/1129391992.db2.gz QZWKMSCGBDGKRP-NSHDSACASA-N 1 2 285.482 3.780 20 0 CHADLO CC(C)(C)[C@H]1CCC[N@@H+](Cn2ncsc2=S)CC1 ZINC000738243611 1129391996 /nfs/dbraw/zinc/39/19/96/1129391996.db2.gz QZWKMSCGBDGKRP-NSHDSACASA-N 1 2 285.482 3.780 20 0 CHADLO Cc1cccc(C)c1CO[NH+]=C(N)Cc1ccccc1 ZINC000738288572 1129392354 /nfs/dbraw/zinc/39/23/54/1129392354.db2.gz GSDZRBGZBFHONN-UHFFFAOYSA-N 1 2 268.360 3.545 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(CC(F)(F)F)cc1)C(F)F ZINC000641795391 1129393287 /nfs/dbraw/zinc/39/32/87/1129393287.db2.gz YHLLGQUWPWUUNS-MRVPVSSYSA-N 1 2 267.241 3.535 20 0 CHADLO CN(C(=O)Nc1ccc2[nH+]ccn2c1)C1CCCCCC1 ZINC000738950008 1129400888 /nfs/dbraw/zinc/40/08/88/1129400888.db2.gz PNVQHNCGFLASCO-UHFFFAOYSA-N 1 2 286.379 3.521 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000642094600 1129405633 /nfs/dbraw/zinc/40/56/33/1129405633.db2.gz KENSQCQIFIBSQQ-VXGBXAGGSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000642094600 1129405635 /nfs/dbraw/zinc/40/56/35/1129405635.db2.gz KENSQCQIFIBSQQ-VXGBXAGGSA-N 1 2 253.773 3.512 20 0 CHADLO CCCCCC[N@@H+]1CCCC[C@H]1c1noc(CC)n1 ZINC000740875270 1129421879 /nfs/dbraw/zinc/42/18/79/1129421879.db2.gz PNNHZGUSSQHJTI-ZDUSSCGKSA-N 1 2 265.401 3.739 20 0 CHADLO CCCCCC[N@H+]1CCCC[C@H]1c1noc(CC)n1 ZINC000740875270 1129421882 /nfs/dbraw/zinc/42/18/82/1129421882.db2.gz PNNHZGUSSQHJTI-ZDUSSCGKSA-N 1 2 265.401 3.739 20 0 CHADLO CC(C)c1cc(C[NH2+][C@@H](C)c2ccncc2Cl)on1 ZINC000643346276 1129439285 /nfs/dbraw/zinc/43/92/85/1129439285.db2.gz ZMVFQGVBWVUXQB-JTQLQIEISA-N 1 2 279.771 3.697 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cn[nH]c1C)c1ccc(C)cc1 ZINC000179981975 1129441838 /nfs/dbraw/zinc/44/18/38/1129441838.db2.gz VSWXCOKIMIUIMY-WBMJQRKESA-N 1 2 257.381 3.828 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]CCSc1ccc(Cl)cc1 ZINC000180009051 1129443852 /nfs/dbraw/zinc/44/38/52/1129443852.db2.gz RSTFVHQQSLHAAQ-JTQLQIEISA-N 1 2 295.839 3.814 20 0 CHADLO Cc1n[nH]cc1[C@H](C)[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000180020915 1129444526 /nfs/dbraw/zinc/44/45/26/1129444526.db2.gz SWKAWMWGPICUSV-YVEFUNNKSA-N 1 2 281.359 3.751 20 0 CHADLO COc1ccc([C@@H]([NH2+][C@@H](C)c2cn[nH]c2C)C2CC2)cc1 ZINC000180027310 1129446202 /nfs/dbraw/zinc/44/62/02/1129446202.db2.gz ONVFNKWACOYLLH-GTNSWQLSSA-N 1 2 285.391 3.529 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccnc(Cl)c1 ZINC000795835681 1129450922 /nfs/dbraw/zinc/45/09/22/1129450922.db2.gz LIEHOQKCYQLVLV-BDAKNGLRSA-N 1 2 267.785 3.603 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+](Cc2ccc(Br)o2)C1 ZINC001138905073 1129467384 /nfs/dbraw/zinc/46/73/84/1129467384.db2.gz FDBXGLZCAPHXRO-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+](Cc2ccc(Br)o2)C1 ZINC001138905073 1129467385 /nfs/dbraw/zinc/46/73/85/1129467385.db2.gz FDBXGLZCAPHXRO-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO CSc1nc(NCc2cn(C)c3ccccc23)cc(C)[nH+]1 ZINC001155282479 1129469300 /nfs/dbraw/zinc/46/93/00/1129469300.db2.gz FORPPTMSJQTUKH-UHFFFAOYSA-N 1 2 298.415 3.611 20 0 CHADLO CCCCOc1c(F)cc(-c2cc[nH+]c(N)c2)cc1F ZINC001241170941 1129469524 /nfs/dbraw/zinc/46/95/24/1129469524.db2.gz ZBTQYHJKKBQEAW-UHFFFAOYSA-N 1 2 278.302 3.788 20 0 CHADLO FC(F)Oc1ccccc1C[N@@H+]1CCCC[C@H](F)C1 ZINC001138907945 1129472637 /nfs/dbraw/zinc/47/26/37/1129472637.db2.gz ALAXEUGZYDDILS-LBPRGKRZSA-N 1 2 273.298 3.612 20 0 CHADLO FC(F)Oc1ccccc1C[N@H+]1CCCC[C@H](F)C1 ZINC001138907945 1129472639 /nfs/dbraw/zinc/47/26/39/1129472639.db2.gz ALAXEUGZYDDILS-LBPRGKRZSA-N 1 2 273.298 3.612 20 0 CHADLO Clc1ccc2c(c1Cl)OC[C@H]2[NH2+]Cc1ccoc1 ZINC000742383816 1129473326 /nfs/dbraw/zinc/47/33/26/1129473326.db2.gz VAEGNHJTWSPCPL-LLVKDONJSA-N 1 2 284.142 3.810 20 0 CHADLO Cc1nc(N2CCC(C3CCCCC3)CC2)cc[nH+]1 ZINC000742393266 1129474077 /nfs/dbraw/zinc/47/40/77/1129474077.db2.gz ILKAPEKXCVDURV-UHFFFAOYSA-N 1 2 259.397 3.582 20 0 CHADLO CCc1ccc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)nc1 ZINC000645091070 1129486862 /nfs/dbraw/zinc/48/68/62/1129486862.db2.gz RGJSWLGBRDXJJJ-KRWDZBQOSA-N 1 2 270.351 3.730 20 0 CHADLO CCc1ccc(C[N@H+]2CC[C@H]2c2cccc(F)c2)nc1 ZINC000645091070 1129486863 /nfs/dbraw/zinc/48/68/63/1129486863.db2.gz RGJSWLGBRDXJJJ-KRWDZBQOSA-N 1 2 270.351 3.730 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(Cl)ccc2OC(F)F)[C@H]1C ZINC000645215775 1129491544 /nfs/dbraw/zinc/49/15/44/1129491544.db2.gz BQWCRYPEZZCXDM-IUCAKERBSA-N 1 2 275.726 3.782 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(Cl)ccc2OC(F)F)[C@H]1C ZINC000645215775 1129491546 /nfs/dbraw/zinc/49/15/46/1129491546.db2.gz BQWCRYPEZZCXDM-IUCAKERBSA-N 1 2 275.726 3.782 20 0 CHADLO CCC[C@H]1CN(c2[nH+]ccc3c(C)cccc32)CCO1 ZINC000645201833 1129491955 /nfs/dbraw/zinc/49/19/55/1129491955.db2.gz JREIFQIOJLSJLS-AWEZNQCLSA-N 1 2 270.376 3.549 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC000645215774 1129492062 /nfs/dbraw/zinc/49/20/62/1129492062.db2.gz BQWCRYPEZZCXDM-DTWKUNHWSA-N 1 2 275.726 3.782 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC000645215774 1129492063 /nfs/dbraw/zinc/49/20/63/1129492063.db2.gz BQWCRYPEZZCXDM-DTWKUNHWSA-N 1 2 275.726 3.782 20 0 CHADLO Cc1cccc(C)c1C[N@@H+](Cc1csnn1)CC(C)C ZINC000645973449 1129515612 /nfs/dbraw/zinc/51/56/12/1129515612.db2.gz OBYYQLLOPRRIED-UHFFFAOYSA-N 1 2 289.448 3.813 20 0 CHADLO Cc1cccc(C)c1C[N@H+](Cc1csnn1)CC(C)C ZINC000645973449 1129515614 /nfs/dbraw/zinc/51/56/14/1129515614.db2.gz OBYYQLLOPRRIED-UHFFFAOYSA-N 1 2 289.448 3.813 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cc(C)co1)c1nc(C)cs1 ZINC000885999393 1129516299 /nfs/dbraw/zinc/51/62/99/1129516299.db2.gz IFZIBMZBDMSBAG-CQSZACIVSA-N 1 2 264.394 3.768 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)[nH]1 ZINC000646118502 1129524776 /nfs/dbraw/zinc/52/47/76/1129524776.db2.gz LHGHDOKVXXVXEV-BBRMVZONSA-N 1 2 294.402 3.567 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)[nH]1 ZINC000646118502 1129524778 /nfs/dbraw/zinc/52/47/78/1129524778.db2.gz LHGHDOKVXXVXEV-BBRMVZONSA-N 1 2 294.402 3.567 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2cccc(F)c2)C2CCCC2)o1 ZINC000181014297 1129529840 /nfs/dbraw/zinc/52/98/40/1129529840.db2.gz XUBSBDLYSJORBS-MRXNPFEDSA-N 1 2 289.354 3.538 20 0 CHADLO CCCCOC(=O)C[N@@H+]1C[C@H](C)C[C@H]1c1cccc(F)c1 ZINC000743854669 1129539687 /nfs/dbraw/zinc/53/96/87/1129539687.db2.gz HMENPYLYRUFHAH-CJNGLKHVSA-N 1 2 293.382 3.552 20 0 CHADLO CCCCOC(=O)C[N@H+]1C[C@H](C)C[C@H]1c1cccc(F)c1 ZINC000743854669 1129539688 /nfs/dbraw/zinc/53/96/88/1129539688.db2.gz HMENPYLYRUFHAH-CJNGLKHVSA-N 1 2 293.382 3.552 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]C1(c2ccc(C)cc2)CCC1 ZINC000647353452 1129574531 /nfs/dbraw/zinc/57/45/31/1129574531.db2.gz YOKCNOLVTNCPDG-ZDUSSCGKSA-N 1 2 269.392 3.757 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2nonc2C)o1 ZINC000744427937 1129576998 /nfs/dbraw/zinc/57/69/98/1129576998.db2.gz BABKIYSKUUZRRV-IAQYHMDHSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2nonc2C)o1 ZINC000744427937 1129577001 /nfs/dbraw/zinc/57/70/01/1129577001.db2.gz BABKIYSKUUZRRV-IAQYHMDHSA-N 1 2 289.379 3.507 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2c(Cl)cncc2Cl)C1 ZINC001137604105 1131383473 /nfs/dbraw/zinc/38/34/73/1131383473.db2.gz LLEYVRPXRFIYFV-LBPRGKRZSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2c(Cl)cncc2Cl)C1 ZINC001137604105 1131383477 /nfs/dbraw/zinc/38/34/77/1131383477.db2.gz LLEYVRPXRFIYFV-LBPRGKRZSA-N 1 2 277.170 3.570 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccccc2F)cc1 ZINC001239555505 1131383572 /nfs/dbraw/zinc/38/35/72/1131383572.db2.gz AWTBVOGDKPFMFZ-UHFFFAOYSA-N 1 2 252.292 3.893 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)co1 ZINC000647959757 1129588670 /nfs/dbraw/zinc/58/86/70/1129588670.db2.gz KLFKFULKNBMVMQ-JTQLQIEISA-N 1 2 264.756 3.829 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)co1 ZINC000647959757 1129588673 /nfs/dbraw/zinc/58/86/73/1129588673.db2.gz KLFKFULKNBMVMQ-JTQLQIEISA-N 1 2 264.756 3.829 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000181990526 1129593442 /nfs/dbraw/zinc/59/34/42/1129593442.db2.gz KOGWNNROZCIPQQ-VIFPVBQESA-N 1 2 259.180 3.620 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000181990526 1129593444 /nfs/dbraw/zinc/59/34/44/1129593444.db2.gz KOGWNNROZCIPQQ-VIFPVBQESA-N 1 2 259.180 3.620 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2cnc(-c3ccco3)s2)C1 ZINC000648058997 1129593678 /nfs/dbraw/zinc/59/36/78/1129593678.db2.gz PLYAURJHRLAIDT-UHFFFAOYSA-N 1 2 298.358 3.880 20 0 CHADLO CC1(C)C[N@H+](Cc2ccncc2F)[C@H]1c1ccccc1 ZINC000648363195 1129603518 /nfs/dbraw/zinc/60/35/18/1129603518.db2.gz WNECAOSMNGRAMR-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccncc2F)[C@H]1c1ccccc1 ZINC000648363195 1129603523 /nfs/dbraw/zinc/60/35/23/1129603523.db2.gz WNECAOSMNGRAMR-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](C)CSc1ccc(C)cc1 ZINC000186473004 1129633714 /nfs/dbraw/zinc/63/37/14/1129633714.db2.gz DVRASRFURCPDFX-QWHCGFSZSA-N 1 2 289.448 3.858 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC[C@@H](c2ccn[nH]2)C1 ZINC000649252212 1129639169 /nfs/dbraw/zinc/63/91/69/1129639169.db2.gz XDUNJDMXLCBJGL-CYBMUJFWSA-N 1 2 289.810 3.751 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2ncccc2N(C)C)cc1 ZINC001212970029 1129642008 /nfs/dbraw/zinc/64/20/08/1129642008.db2.gz QSGFTFHYZTWLEJ-UHFFFAOYSA-N 1 2 284.407 3.737 20 0 CHADLO COCOc1ccc(-c2cc(C)[nH+]c(C)c2)c(C)c1C ZINC001241993504 1129642748 /nfs/dbraw/zinc/64/27/48/1129642748.db2.gz LKTYITKYKJVKEH-UHFFFAOYSA-N 1 2 271.360 3.965 20 0 CHADLO CCOc1ccc(-c2ccc(-n3cc[nH+]c3)cc2OC)cc1 ZINC001239566352 1131390772 /nfs/dbraw/zinc/39/07/72/1131390772.db2.gz YASIFSNNDBWFNJ-UHFFFAOYSA-N 1 2 294.354 3.947 20 0 CHADLO Cc1cccn2cc(C[N@@H+]3CCc4sccc4[C@@H]3C)nc12 ZINC000050276716 1129649467 /nfs/dbraw/zinc/64/94/67/1129649467.db2.gz YPPOPDQLOWMOAC-ZDUSSCGKSA-N 1 2 297.427 3.824 20 0 CHADLO Cc1cccn2cc(C[N@H+]3CCc4sccc4[C@@H]3C)nc12 ZINC000050276716 1129649468 /nfs/dbraw/zinc/64/94/68/1129649468.db2.gz YPPOPDQLOWMOAC-ZDUSSCGKSA-N 1 2 297.427 3.824 20 0 CHADLO C[N@@H+](Cc1cc2n(n1)CCCC2)Cc1cc2ccccc2o1 ZINC000649547549 1129656460 /nfs/dbraw/zinc/65/64/60/1129656460.db2.gz VOZAXZNXRXHQSN-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO C[N@H+](Cc1cc2n(n1)CCCC2)Cc1cc2ccccc2o1 ZINC000649547549 1129656462 /nfs/dbraw/zinc/65/64/62/1129656462.db2.gz VOZAXZNXRXHQSN-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2C[C@@H]2C2CCCC2)c(C)[nH+]1 ZINC000649566538 1129658642 /nfs/dbraw/zinc/65/86/42/1129658642.db2.gz NUUYXDLHDHJQOJ-HUUCEWRRSA-N 1 2 272.392 3.772 20 0 CHADLO CCn1ccc(C[NH2+][C@H](c2ccc(F)cc2F)C(C)C)n1 ZINC000649576318 1129659471 /nfs/dbraw/zinc/65/94/71/1129659471.db2.gz UMYXFBGDPUMMBD-INIZCTEOSA-N 1 2 293.361 3.668 20 0 CHADLO CCCCc1noc(C[NH2+]C2(c3ccccc3C)CCC2)n1 ZINC000649646201 1129665734 /nfs/dbraw/zinc/66/57/34/1129665734.db2.gz WPFOZOHYTXLRTB-UHFFFAOYSA-N 1 2 299.418 3.890 20 0 CHADLO FC1(F)CCC(C[N@@H+]2CCCC(F)(F)C2)CC1 ZINC000649696090 1129671069 /nfs/dbraw/zinc/67/10/69/1129671069.db2.gz UCPRHCNEEQCOCI-UHFFFAOYSA-N 1 2 253.283 3.543 20 0 CHADLO FC1(F)CCC(C[N@H+]2CCCC(F)(F)C2)CC1 ZINC000649696090 1129671070 /nfs/dbraw/zinc/67/10/70/1129671070.db2.gz UCPRHCNEEQCOCI-UHFFFAOYSA-N 1 2 253.283 3.543 20 0 CHADLO Cc1cc(NCCCCc2ccccn2)c[nH+]c1C ZINC001168795759 1129671689 /nfs/dbraw/zinc/67/16/89/1129671689.db2.gz RSBCAVDJIGUEIN-UHFFFAOYSA-N 1 2 255.365 3.528 20 0 CHADLO CC(C)c1cc(CNc2cc(-c3ccncc3)cc[nH+]2)on1 ZINC001168817767 1129671753 /nfs/dbraw/zinc/67/17/53/1129671753.db2.gz MGGUWMFCKVAJKI-UHFFFAOYSA-N 1 2 294.358 3.867 20 0 CHADLO CC[C@H]([NH2+]Cc1cc2n(n1)CCCC2)c1ccccc1F ZINC000649741387 1129674462 /nfs/dbraw/zinc/67/44/62/1129674462.db2.gz NCJGDVMMGUENKA-KRWDZBQOSA-N 1 2 287.382 3.599 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(C)[nH+]c(OC(F)F)c2)nc1 ZINC001168860098 1129678104 /nfs/dbraw/zinc/67/81/04/1129678104.db2.gz HESXARBSYDKSRI-LLVKDONJSA-N 1 2 293.317 3.868 20 0 CHADLO C[C@@H]1C[N@H+](Cc2sccc2Cl)C2(CCC2)CO1 ZINC000649821501 1129679736 /nfs/dbraw/zinc/67/97/36/1129679736.db2.gz JUADDQVPDVKVML-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2sccc2Cl)C2(CCC2)CO1 ZINC000649821501 1129679737 /nfs/dbraw/zinc/67/97/37/1129679737.db2.gz JUADDQVPDVKVML-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO CC(C)CC[C@H]1CCCCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000649840732 1129681714 /nfs/dbraw/zinc/68/17/14/1129681714.db2.gz WNNSGSAQLBVFAS-HZPDHXFCSA-N 1 2 291.439 3.652 20 0 CHADLO COc1c(Cl)ccc(Nc2cccc3[nH+]ccn32)c1F ZINC001212582145 1129682946 /nfs/dbraw/zinc/68/29/46/1129682946.db2.gz JEWVIULZRAJZRB-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2nc3ccccc3o2)nc1 ZINC000649903232 1129685151 /nfs/dbraw/zinc/68/51/51/1129685151.db2.gz SMFSWZDGVCGXDH-ZDUSSCGKSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2nc3ccccc3o2)nc1 ZINC000649903232 1129685154 /nfs/dbraw/zinc/68/51/54/1129685154.db2.gz SMFSWZDGVCGXDH-ZDUSSCGKSA-N 1 2 281.359 3.724 20 0 CHADLO c1c(CCNc2ccccc2C2CC2)[nH+]c2ccccn12 ZINC001169008902 1129688946 /nfs/dbraw/zinc/68/89/46/1129688946.db2.gz KNHGXJGFDHVISV-UHFFFAOYSA-N 1 2 277.371 3.866 20 0 CHADLO Cc1ccc(NCCc2cn3ccccc3[nH+]2)c2[nH]ccc21 ZINC001169003834 1129689052 /nfs/dbraw/zinc/68/90/52/1129689052.db2.gz IMSJUYSIGIDISY-UHFFFAOYSA-N 1 2 290.370 3.779 20 0 CHADLO Cc1ccc(-c2noc([C@@H](C)[NH2+]C/C=C\Cl)n2)cc1F ZINC001169057350 1129693203 /nfs/dbraw/zinc/69/32/03/1129693203.db2.gz YJLAVYQAKYHBGQ-XICOKMJESA-N 1 2 295.745 3.587 20 0 CHADLO CCn1nc(CNc2cc(C)c[nH+]c2C)c2ccccc21 ZINC000650242427 1129702417 /nfs/dbraw/zinc/70/24/17/1129702417.db2.gz KCMHAWUTHZVEIW-UHFFFAOYSA-N 1 2 280.375 3.680 20 0 CHADLO CC[C@@H](OCCOc1cc[nH+]cc1)c1ccccc1 ZINC000650320339 1129704640 /nfs/dbraw/zinc/70/46/40/1129704640.db2.gz TUALCPWDZJOSFG-MRXNPFEDSA-N 1 2 257.333 3.628 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)CSC(C)(C)C)C(=O)OC(C)(C)C ZINC000766607984 1129705895 /nfs/dbraw/zinc/70/58/95/1129705895.db2.gz RDTYOUSUAFISLK-VXGBXAGGSA-N 1 2 289.485 3.617 20 0 CHADLO Cc1occc1C[NH2+][C@H](C)c1ncc(-c2ccccc2)n1C ZINC000651205886 1129732707 /nfs/dbraw/zinc/73/27/07/1129732707.db2.gz RDXSMOZVZUAIOP-CYBMUJFWSA-N 1 2 295.386 3.839 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@H]1C[C@@H]1C1CCCC1 ZINC000651214085 1129733371 /nfs/dbraw/zinc/73/33/71/1129733371.db2.gz XSYJUPVXDBSDLB-CVEARBPZSA-N 1 2 295.386 3.842 20 0 CHADLO Cc1cc(NC[C@H](C)c2ccc(O)cc2)c[nH+]c1C ZINC001169539232 1129734572 /nfs/dbraw/zinc/73/45/72/1129734572.db2.gz MBYRMLSSYSROLQ-LBPRGKRZSA-N 1 2 256.349 3.620 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cc(C)c(OC)cc2C)c1 ZINC000766796721 1129750239 /nfs/dbraw/zinc/75/02/39/1129750239.db2.gz POWMOJVLBGTWLJ-UHFFFAOYSA-N 1 2 286.375 3.636 20 0 CHADLO CO[C@@H](C)CNc1[nH+]ccc2cccc(C(F)(F)F)c21 ZINC001169773263 1129755970 /nfs/dbraw/zinc/75/59/70/1129755970.db2.gz WEILEQRTLNMVPO-VIFPVBQESA-N 1 2 284.281 3.700 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)Cc2ccccc2C)c1 ZINC000652068306 1129756934 /nfs/dbraw/zinc/75/69/34/1129756934.db2.gz ZFELSIOKXIHSLF-CQSZACIVSA-N 1 2 270.376 3.580 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)CCc2cccc(F)c2)c1 ZINC000652068339 1129757200 /nfs/dbraw/zinc/75/72/00/1129757200.db2.gz APCMYAUXOKTYLA-CYBMUJFWSA-N 1 2 288.366 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CC[C@@H](c3ccccc3)C2)c1 ZINC000652068145 1129757267 /nfs/dbraw/zinc/75/72/67/1129757267.db2.gz UBTXFWDSGIPACO-IAGOWNOFSA-N 1 2 282.387 3.976 20 0 CHADLO Cc1nc(N[C@@H]2C[C@H]2c2ccccc2)c2c([nH+]1)CCCC2 ZINC001169810754 1129757294 /nfs/dbraw/zinc/75/72/94/1129757294.db2.gz LMYFBZMDGPUGMK-DOTOQJQBSA-N 1 2 279.387 3.632 20 0 CHADLO Clc1nc(CNc2cccc[nH+]2)ccc1Br ZINC001169816632 1129759233 /nfs/dbraw/zinc/75/92/33/1129759233.db2.gz VJGCMDRFJORNHF-UHFFFAOYSA-N 1 2 298.571 3.505 20 0 CHADLO Clc1ccccc1C1([NH2+][C@H]2CCn3ccnc32)CCC1 ZINC000652217146 1129759855 /nfs/dbraw/zinc/75/98/55/1129759855.db2.gz PSBIZLGPUVLNLY-AWEZNQCLSA-N 1 2 287.794 3.650 20 0 CHADLO CC(C)Cc1ccccc1C[N@@H+]1Cc2cccnc2C1 ZINC001169853174 1129760721 /nfs/dbraw/zinc/76/07/21/1129760721.db2.gz CLWKOMAVLDZFQU-UHFFFAOYSA-N 1 2 266.388 3.796 20 0 CHADLO CC(C)Cc1ccccc1C[N@H+]1Cc2cccnc2C1 ZINC001169853174 1129760724 /nfs/dbraw/zinc/76/07/24/1129760724.db2.gz CLWKOMAVLDZFQU-UHFFFAOYSA-N 1 2 266.388 3.796 20 0 CHADLO COc1ccc(-c2cc[nH+]c(N3CCCCC3)c2)cc1F ZINC001239577821 1131395789 /nfs/dbraw/zinc/39/57/89/1131395789.db2.gz CJQYVAZKDJUSME-UHFFFAOYSA-N 1 2 286.350 3.887 20 0 CHADLO CCC[C@H]1CCCC[C@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001136622368 1131396651 /nfs/dbraw/zinc/39/66/51/1131396651.db2.gz GZRUMMLJQJCMKF-GXTWGEPZSA-N 1 2 285.391 3.652 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(-c3cccs3)s2)CCC1=O ZINC001139017680 1129777115 /nfs/dbraw/zinc/77/71/15/1129777115.db2.gz XEIOZNBGLSBHQB-LLVKDONJSA-N 1 2 291.441 3.888 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(-c3cccs3)s2)CCC1=O ZINC001139017680 1129777117 /nfs/dbraw/zinc/77/71/17/1129777117.db2.gz XEIOZNBGLSBHQB-LLVKDONJSA-N 1 2 291.441 3.888 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)Cc2ccsc2)c(C)[nH+]1 ZINC000653176714 1129777808 /nfs/dbraw/zinc/77/78/08/1129777808.db2.gz RXEMLABMIPDJQX-UHFFFAOYSA-N 1 2 289.404 3.732 20 0 CHADLO Cc1cc(C)c(NC(=O)NC2(C(F)F)CCCC2)c(C)[nH+]1 ZINC000653187724 1129777838 /nfs/dbraw/zinc/77/78/38/1129777838.db2.gz TWSAHUJSUSOAPY-UHFFFAOYSA-N 1 2 297.349 3.706 20 0 CHADLO Clc1ccccc1N1CC[C@@H](CNc2cccc[nH+]2)C1 ZINC000653464308 1129779666 /nfs/dbraw/zinc/77/96/66/1129779666.db2.gz UJPUONMWZOWLLL-ZDUSSCGKSA-N 1 2 287.794 3.673 20 0 CHADLO Cc1coc(C)c1C(=O)Nc1ccc(-n2cc[nH+]c2)c(C)c1 ZINC001136624855 1131397307 /nfs/dbraw/zinc/39/73/07/1131397307.db2.gz MLTOVLDVIJTYOX-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO Cc1cccc(-c2ccc(-n3cc[nH+]c3)cc2)c1O ZINC001242346206 1129786280 /nfs/dbraw/zinc/78/62/80/1129786280.db2.gz SOXJIFZAKBLWDZ-UHFFFAOYSA-N 1 2 250.301 3.553 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+]1CCC[C@@H](OC2CCC2)C1 ZINC000653812048 1129795993 /nfs/dbraw/zinc/79/59/93/1129795993.db2.gz AQEIDIXTQXVHFZ-OAHLLOKOSA-N 1 2 292.448 3.554 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+]1CCC[C@@H](OC2CCC2)C1 ZINC000653812048 1129795996 /nfs/dbraw/zinc/79/59/96/1129795996.db2.gz AQEIDIXTQXVHFZ-OAHLLOKOSA-N 1 2 292.448 3.554 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H](C)OC3(CCCCC3)C2)sc1C ZINC000653904686 1129801242 /nfs/dbraw/zinc/80/12/42/1129801242.db2.gz ZSIICAPNSXHOAI-GFCCVEGCSA-N 1 2 294.464 3.684 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H](C)OC3(CCCCC3)C2)sc1C ZINC000653904686 1129801245 /nfs/dbraw/zinc/80/12/45/1129801245.db2.gz ZSIICAPNSXHOAI-GFCCVEGCSA-N 1 2 294.464 3.684 20 0 CHADLO COCc1ccc(NCc2cc(-n3cc[nH+]c3)cs2)cc1 ZINC000767738855 1129810779 /nfs/dbraw/zinc/81/07/79/1129810779.db2.gz MOTPWIJOVDEWGN-UHFFFAOYSA-N 1 2 299.399 3.692 20 0 CHADLO Cc1cccc(C[N@@H+]2CCn3cccc3[C@H]2C)c1F ZINC001137679080 1131399081 /nfs/dbraw/zinc/39/90/81/1131399081.db2.gz VQTKYUAYRIBCHS-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cccc(C[N@H+]2CCn3cccc3[C@H]2C)c1F ZINC001137679080 1131399083 /nfs/dbraw/zinc/39/90/83/1131399083.db2.gz VQTKYUAYRIBCHS-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@@H](C)CC(C)(C)C)c[nH+]1 ZINC000654382906 1129826043 /nfs/dbraw/zinc/82/60/43/1129826043.db2.gz JOTPGFYUBJOPAS-GFCCVEGCSA-N 1 2 276.424 3.777 20 0 CHADLO Fc1ccc2c(c1F)C[N@@H+](C[C@@H]1CC1(Cl)Cl)CC2 ZINC000768165192 1129838095 /nfs/dbraw/zinc/83/80/95/1129838095.db2.gz PNCWGOSDEASWJK-VIFPVBQESA-N 1 2 292.156 3.517 20 0 CHADLO Fc1ccc2c(c1F)C[N@H+](C[C@@H]1CC1(Cl)Cl)CC2 ZINC000768165192 1129838100 /nfs/dbraw/zinc/83/81/00/1129838100.db2.gz PNCWGOSDEASWJK-VIFPVBQESA-N 1 2 292.156 3.517 20 0 CHADLO c1c2ccccc2sc1C[NH+]1CC(Oc2cccnc2)C1 ZINC001137685178 1131400881 /nfs/dbraw/zinc/40/08/81/1131400881.db2.gz CPXQPQHYIJUTLD-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(F)cc2F)cnc1Cl ZINC000768232505 1129845470 /nfs/dbraw/zinc/84/54/70/1129845470.db2.gz CPDXZPJGFJIRPL-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(F)cc2F)cnc1Cl ZINC000768232505 1129845475 /nfs/dbraw/zinc/84/54/75/1129845475.db2.gz CPDXZPJGFJIRPL-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+]Cc2cccc(F)c2F)c1 ZINC000800283215 1129862895 /nfs/dbraw/zinc/86/28/95/1129862895.db2.gz OEZDMZCWTGXAKQ-UHFFFAOYSA-N 1 2 282.721 3.611 20 0 CHADLO c1cc(N2CCSCC2)ccc1[NH2+][C@@H]1CCC[C@H]2C[C@H]21 ZINC000800982821 1129902221 /nfs/dbraw/zinc/90/22/21/1129902221.db2.gz ZZHSYUPDFQGAIT-IAOVAPTHSA-N 1 2 288.460 3.840 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1c[nH]c2ccc(Cl)cc12 ZINC001137714074 1131406279 /nfs/dbraw/zinc/40/62/79/1131406279.db2.gz HFFXLBZLEOCNNC-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1c[nH]c2ccc(Cl)cc12 ZINC001137714074 1131406281 /nfs/dbraw/zinc/40/62/81/1131406281.db2.gz HFFXLBZLEOCNNC-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[NH2+][C@@H](C)C(=O)OC(C)(C)C ZINC000769555731 1129917965 /nfs/dbraw/zinc/91/79/65/1129917965.db2.gz ITKRFRODUOQLDY-NEPJUHHUSA-N 1 2 297.826 3.591 20 0 CHADLO Cc1cccc(C)c1-c1cc(C[NH+]2CCOCC2)ccc1F ZINC001239599302 1131408426 /nfs/dbraw/zinc/40/84/26/1131408426.db2.gz KXCNBSCJYOXSBC-UHFFFAOYSA-N 1 2 299.389 3.942 20 0 CHADLO CC(C)n1c[nH+]cc1/C=C/c1ncc(Br)s1 ZINC000901501962 1129932042 /nfs/dbraw/zinc/93/20/42/1129932042.db2.gz ZKLXFMSRAWSOIH-ONEGZZNKSA-N 1 2 298.209 3.853 20 0 CHADLO CCN(C(=O)c1cccc2[nH+]ccn21)c1ccc(C)cc1C ZINC000770078313 1129938130 /nfs/dbraw/zinc/93/81/30/1129938130.db2.gz OPKODEHPYJHOKK-UHFFFAOYSA-N 1 2 293.370 3.618 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCC1=Cc2ccccc2OC1 ZINC000770482049 1129949575 /nfs/dbraw/zinc/94/95/75/1129949575.db2.gz IESISNKWNORIFU-UHFFFAOYSA-N 1 2 294.398 3.949 20 0 CHADLO CC(C)(C)SCC[N@@H+]1CCO[C@H](c2cccc(F)c2)C1 ZINC000801917383 1129957944 /nfs/dbraw/zinc/95/79/44/1129957944.db2.gz AZPWBNZVBIAYMF-HNNXBMFYSA-N 1 2 297.439 3.731 20 0 CHADLO CC(C)(C)SCC[N@H+]1CCO[C@H](c2cccc(F)c2)C1 ZINC000801917383 1129957946 /nfs/dbraw/zinc/95/79/46/1129957946.db2.gz AZPWBNZVBIAYMF-HNNXBMFYSA-N 1 2 297.439 3.731 20 0 CHADLO CC(C)(C)SCC[N@H+](CC(F)F)CC1CCC1 ZINC000801935545 1129961237 /nfs/dbraw/zinc/96/12/37/1129961237.db2.gz GYYVBFQYQCNRLD-UHFFFAOYSA-N 1 2 265.413 3.885 20 0 CHADLO CC(C)(C)SCC[N@@H+](CC(F)F)CC1CCC1 ZINC000801935545 1129961240 /nfs/dbraw/zinc/96/12/40/1129961240.db2.gz GYYVBFQYQCNRLD-UHFFFAOYSA-N 1 2 265.413 3.885 20 0 CHADLO CCCC[C@@H](CC)C(=O)OCc1cccc2[nH+]ccn21 ZINC000770921404 1129962765 /nfs/dbraw/zinc/96/27/65/1129962765.db2.gz AMDVXRKEPOAQAS-CYBMUJFWSA-N 1 2 274.364 3.594 20 0 CHADLO Cc1cc(CNC(=O)c2ccccc2C2CCC2)cc(C)[nH+]1 ZINC000823056565 1131411386 /nfs/dbraw/zinc/41/13/86/1131411386.db2.gz CXFHSMLPYDNJMZ-UHFFFAOYSA-N 1 2 294.398 3.896 20 0 CHADLO Cc1ccc(-c2c[nH+]c(N)c(C)c2)cc1C(F)(F)F ZINC001242672661 1129980426 /nfs/dbraw/zinc/98/04/26/1129980426.db2.gz CWNWIZOYMXPJAQ-UHFFFAOYSA-N 1 2 266.266 3.966 20 0 CHADLO CCOc1ccc(CNc2cc(C)[nH+]c(C(C)C)n2)o1 ZINC000902187072 1129986772 /nfs/dbraw/zinc/98/67/72/1129986772.db2.gz ZVINEOBVYRWRIL-UHFFFAOYSA-N 1 2 275.352 3.512 20 0 CHADLO CC(F)(F)CCCOc1ccccc1-n1cc[nH+]c1 ZINC000902264592 1129994818 /nfs/dbraw/zinc/99/48/18/1129994818.db2.gz ZTJWOGZVSXAPAX-UHFFFAOYSA-N 1 2 266.291 3.687 20 0 CHADLO Cc1cccc([C@H](C)[N@@H+]2CCc3cc(Cl)nnc3C2)c1 ZINC000829143163 1131412817 /nfs/dbraw/zinc/41/28/17/1131412817.db2.gz KWEUFOHEQAIZKE-LBPRGKRZSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1cccc([C@H](C)[N@H+]2CCc3cc(Cl)nnc3C2)c1 ZINC000829143163 1131412820 /nfs/dbraw/zinc/41/28/20/1131412820.db2.gz KWEUFOHEQAIZKE-LBPRGKRZSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1[nH+]c2cc(OCCCC(C)(F)F)ccc2n1C ZINC000902424113 1130009504 /nfs/dbraw/zinc/00/95/04/1130009504.db2.gz RUXPVKSMDCLJOV-UHFFFAOYSA-N 1 2 268.307 3.696 20 0 CHADLO Cc1nocc1COc1cc(C)[nH+]c2ccc(C)cc21 ZINC000902693356 1130039151 /nfs/dbraw/zinc/03/91/51/1130039151.db2.gz UDEYCGRDUKCINV-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO CCCCCC(C)(C)C(=O)OCCCc1[nH+]ccn1C ZINC000802788178 1130039201 /nfs/dbraw/zinc/03/92/01/1130039201.db2.gz RTKWEEBDAYUCBE-UHFFFAOYSA-N 1 2 280.412 3.502 20 0 CHADLO COc1ccc2c(c1)nccc2-c1cn2cc[nH+]c2cc1C ZINC001239677881 1130048951 /nfs/dbraw/zinc/04/89/51/1130048951.db2.gz XUJGCALFESFDES-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO Fc1ccc(F)c(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001239710877 1130066438 /nfs/dbraw/zinc/06/64/38/1130066438.db2.gz XAVKZDSHGURUJZ-UHFFFAOYSA-N 1 2 256.255 3.818 20 0 CHADLO CCC[N@H+](C/C=C\Cl)[C@H](C)C(=O)Nc1ccccc1C ZINC000786127641 1130080879 /nfs/dbraw/zinc/08/08/79/1130080879.db2.gz CWYLMJJELKEKBT-JKEYDSJLSA-N 1 2 294.826 3.787 20 0 CHADLO CCC[N@@H+](C/C=C\Cl)[C@H](C)C(=O)Nc1ccccc1C ZINC000786127641 1130080885 /nfs/dbraw/zinc/08/08/85/1130080885.db2.gz CWYLMJJELKEKBT-JKEYDSJLSA-N 1 2 294.826 3.787 20 0 CHADLO COc1cccc(-c2ccc(-c3c[nH+]cn3C)cc2)c1F ZINC001239750820 1130091184 /nfs/dbraw/zinc/09/11/84/1130091184.db2.gz VYORMHOMIOHQSO-UHFFFAOYSA-N 1 2 282.318 3.902 20 0 CHADLO COC(=O)[C@H](Cc1ccccc1)[NH2+][C@H](C)CCCC(C)C ZINC001170058322 1130097173 /nfs/dbraw/zinc/09/71/73/1130097173.db2.gz ZZTCSTIPGPMERU-WBVHZDCISA-N 1 2 291.435 3.575 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2ccc(C(F)(F)F)cn2)o1 ZINC000903011377 1130100325 /nfs/dbraw/zinc/10/03/25/1130100325.db2.gz DPSLNAGDSBOBKB-SECBINFHSA-N 1 2 299.296 3.502 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)c1ccccc1Cl)c1nncn1C ZINC000903060790 1130106427 /nfs/dbraw/zinc/10/64/27/1130106427.db2.gz GCNWGBZVQHPKHO-BXUZGUMPSA-N 1 2 292.814 3.516 20 0 CHADLO CCn1ncc(N[C@@H](C)c2cccc(-n3cc[nH+]c3)c2)c1C ZINC000903089444 1130110254 /nfs/dbraw/zinc/11/02/54/1130110254.db2.gz WZZCASDONBYCPV-ZDUSSCGKSA-N 1 2 295.390 3.570 20 0 CHADLO COc1ccc2c(c1Cl)[C@@H]([NH2+]Cc1ccon1)CCC2 ZINC000903106564 1130113545 /nfs/dbraw/zinc/11/35/45/1130113545.db2.gz ZVLNHKORHSYQDF-LBPRGKRZSA-N 1 2 292.766 3.504 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(C)cc1C ZINC001239810532 1130118045 /nfs/dbraw/zinc/11/80/45/1130118045.db2.gz BQOXTRNLDLETDL-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1nnc(C(C)(C)C)o1)C1CC1 ZINC000746650517 1130137564 /nfs/dbraw/zinc/13/75/64/1130137564.db2.gz HMBPVRXAHURAON-UHFFFAOYSA-N 1 2 299.418 3.840 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1nnc(C(C)(C)C)o1)C1CC1 ZINC000746650517 1130137565 /nfs/dbraw/zinc/13/75/65/1130137565.db2.gz HMBPVRXAHURAON-UHFFFAOYSA-N 1 2 299.418 3.840 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1C[C@@H](O)c1c(F)cccc1F ZINC000746668977 1130144427 /nfs/dbraw/zinc/14/44/27/1130144427.db2.gz CAYSWSDUNVLLBF-BDJLRTHQSA-N 1 2 289.325 3.575 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1C[C@@H](O)c1c(F)cccc1F ZINC000746668977 1130144431 /nfs/dbraw/zinc/14/44/31/1130144431.db2.gz CAYSWSDUNVLLBF-BDJLRTHQSA-N 1 2 289.325 3.575 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@H+](C)Cc1cc2ccccc2o1 ZINC000746676512 1130146284 /nfs/dbraw/zinc/14/62/84/1130146284.db2.gz KIPVKSKDYKVWLG-ZDUSSCGKSA-N 1 2 289.375 3.596 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@@H+](C)Cc1cc2ccccc2o1 ZINC000746676512 1130146285 /nfs/dbraw/zinc/14/62/85/1130146285.db2.gz KIPVKSKDYKVWLG-ZDUSSCGKSA-N 1 2 289.375 3.596 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@@H+]1C/C(Cl)=C\Cl ZINC000787321023 1130146682 /nfs/dbraw/zinc/14/66/82/1130146682.db2.gz ZDLQBCUJTQAJEW-WVXIBAHESA-N 1 2 276.129 3.968 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@H+]1C/C(Cl)=C\Cl ZINC000787321023 1130146684 /nfs/dbraw/zinc/14/66/84/1130146684.db2.gz ZDLQBCUJTQAJEW-WVXIBAHESA-N 1 2 276.129 3.968 20 0 CHADLO c1ccc(-c2ncccc2-c2c[nH+]c3c(c2)CCCN3)cc1 ZINC001239889545 1130147716 /nfs/dbraw/zinc/14/77/16/1130147716.db2.gz VSNPWLQERHUVEQ-UHFFFAOYSA-N 1 2 287.366 3.811 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@H+]1CCOc1ccccc1 ZINC000787652433 1130157063 /nfs/dbraw/zinc/15/70/63/1130157063.db2.gz MNACCOHYPFMXAA-CYBMUJFWSA-N 1 2 290.794 3.597 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@@H+]1CCOc1ccccc1 ZINC000787652433 1130157064 /nfs/dbraw/zinc/15/70/64/1130157064.db2.gz MNACCOHYPFMXAA-CYBMUJFWSA-N 1 2 290.794 3.597 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@H+]1Cc1ccccc1 ZINC000787650124 1130157084 /nfs/dbraw/zinc/15/70/84/1130157084.db2.gz HOLFAVCSKLHFBL-LBPRGKRZSA-N 1 2 260.768 3.718 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1ccccc1 ZINC000787650124 1130157088 /nfs/dbraw/zinc/15/70/88/1130157088.db2.gz HOLFAVCSKLHFBL-LBPRGKRZSA-N 1 2 260.768 3.718 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1cc(F)cc(F)c1 ZINC000903332523 1130158956 /nfs/dbraw/zinc/15/89/56/1130158956.db2.gz MXJWRKCRFBZLBT-MEBBXXQBSA-N 1 2 289.329 3.557 20 0 CHADLO C[C@H]([NH2+][C@H](c1ncccn1)C1CC1)c1cc(F)cc(F)c1 ZINC000903332522 1130159124 /nfs/dbraw/zinc/15/91/24/1130159124.db2.gz MXJWRKCRFBZLBT-BONVTDFDSA-N 1 2 289.329 3.557 20 0 CHADLO COCc1cccc(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001239937380 1130159756 /nfs/dbraw/zinc/15/97/56/1130159756.db2.gz RTXKPACZVYLKEG-UHFFFAOYSA-N 1 2 278.355 3.901 20 0 CHADLO COCc1cccc(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001239937411 1130159931 /nfs/dbraw/zinc/15/99/31/1130159931.db2.gz STXIFSJHXJMIQO-UHFFFAOYSA-N 1 2 278.355 3.901 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1cnc(Cl)s1 ZINC000750796582 1130160422 /nfs/dbraw/zinc/16/04/22/1130160422.db2.gz VVTNWTXHYQEXHO-UHFFFAOYSA-N 1 2 270.785 3.800 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1cnc(Cl)s1 ZINC000750796582 1130160426 /nfs/dbraw/zinc/16/04/26/1130160426.db2.gz VVTNWTXHYQEXHO-UHFFFAOYSA-N 1 2 270.785 3.800 20 0 CHADLO Cc1cc(-c2ccc3c(ccn3C)c2)c2[nH+]ccn2c1 ZINC001239941718 1130162265 /nfs/dbraw/zinc/16/22/65/1130162265.db2.gz JAOIRSJVYOOCHF-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc2c(ccn2C)c1 ZINC001239942415 1130163088 /nfs/dbraw/zinc/16/30/88/1130163088.db2.gz YUXAEMOSQRYUGF-UHFFFAOYSA-N 1 2 277.327 3.730 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+][C@@H](c1ccncc1)C1CC1 ZINC000903430461 1130176947 /nfs/dbraw/zinc/17/69/47/1130176947.db2.gz XGEVUDMWOMERQN-KBAYOESNSA-N 1 2 298.361 3.594 20 0 CHADLO CCN(Cc1cc[nH+]c(N(C)C)c1)c1ccc2[nH]ccc2c1 ZINC000788281613 1130180828 /nfs/dbraw/zinc/18/08/28/1130180828.db2.gz MFFYCHLEBDBYCV-UHFFFAOYSA-N 1 2 294.402 3.655 20 0 CHADLO CCCCNC(=O)c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001239985210 1130181850 /nfs/dbraw/zinc/18/18/50/1130181850.db2.gz FQOLXWSPJOBLSX-UHFFFAOYSA-N 1 2 293.370 3.531 20 0 CHADLO COc1cc(F)ccc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239999159 1130186378 /nfs/dbraw/zinc/18/63/78/1130186378.db2.gz YCFZHGWXVNMNOL-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO COc1ccc(-c2ccc3[nH+]c(C)cn3c2)cc1Cl ZINC001240032377 1130200307 /nfs/dbraw/zinc/20/03/07/1130200307.db2.gz ZVVBXSPTXKXBTP-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO CC1=CC[C@@H]([NH2+]c2ccc(N3CCO[C@@H](C)C3)cc2)CC1 ZINC000788731027 1130203521 /nfs/dbraw/zinc/20/35/21/1130203521.db2.gz ZLYSVCCZJVLSOC-JKSUJKDBSA-N 1 2 286.419 3.822 20 0 CHADLO COc1ccc2cnc(-c3ccc4[nH+]ccn4c3)cc2c1 ZINC001240215046 1130233953 /nfs/dbraw/zinc/23/39/53/1130233953.db2.gz UNWNCQLCHCSPQQ-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1cc(-c2c3cc[nH]c3ccc2F)c2[nH+]ccn2c1 ZINC001240214547 1130234065 /nfs/dbraw/zinc/23/40/65/1130234065.db2.gz UOBZLJBBKANWKT-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO Cc1ccsc1C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000748493708 1130234777 /nfs/dbraw/zinc/23/47/77/1130234777.db2.gz BSGNNFWYNQSUAS-UHFFFAOYSA-N 1 2 298.367 3.599 20 0 CHADLO Fc1cnc(-c2ccc3[nH+]ccn3c2)c2ccccc12 ZINC001240215582 1130234803 /nfs/dbraw/zinc/23/48/03/1130234803.db2.gz ZIESOCFAHBHKMK-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1cc2ncnc(-c3ccc4[nH+]ccn4c3)c2cc1C ZINC001240217196 1130235810 /nfs/dbraw/zinc/23/58/10/1130235810.db2.gz GSCRXIOFHPIFPO-UHFFFAOYSA-N 1 2 274.327 3.561 20 0 CHADLO CCc1cc2c(ccnc2-c2ccc3[nH+]ccn3c2)o1 ZINC001240217249 1130236030 /nfs/dbraw/zinc/23/60/30/1130236030.db2.gz JHWCENZMPKWRKU-UHFFFAOYSA-N 1 2 263.300 3.705 20 0 CHADLO c1cn2cc(-c3ccc(-c4csnn4)cc3)ccc2[nH+]1 ZINC001240218589 1130236911 /nfs/dbraw/zinc/23/69/11/1130236911.db2.gz SOXYAJMOSHLCLS-UHFFFAOYSA-N 1 2 278.340 3.520 20 0 CHADLO CCCOc1cccc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218906 1130236992 /nfs/dbraw/zinc/23/69/92/1130236992.db2.gz OWQUBHRIPLOMKJ-UHFFFAOYSA-N 1 2 252.317 3.790 20 0 CHADLO CCOc1cccc(F)c1-c1ccc2[nH+]ccn2c1 ZINC001240218786 1130237237 /nfs/dbraw/zinc/23/72/37/1130237237.db2.gz ZHHQODXDLFFENB-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO CCOc1c(F)cc(-c2ccc3[nH+]ccn3c2)cc1F ZINC001240218834 1130237320 /nfs/dbraw/zinc/23/73/20/1130237320.db2.gz LMIYSTHLOYKNQP-UHFFFAOYSA-N 1 2 274.270 3.678 20 0 CHADLO COc1cc(-c2ccc3[nH+]ccn3c2)cc2ccoc21 ZINC001240218478 1130237823 /nfs/dbraw/zinc/23/78/23/1130237823.db2.gz DXDOILXBUZUWSF-UHFFFAOYSA-N 1 2 264.284 3.756 20 0 CHADLO Cc1ncc(-c2ccc3[nH+]ccn3c2)c2ccccc12 ZINC001240220476 1130238080 /nfs/dbraw/zinc/23/80/80/1130238080.db2.gz GRMHBXBTZSBBME-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COc1c(F)ccc(SC)c1-c1ccc2[nH+]ccn2c1 ZINC001240220110 1130238435 /nfs/dbraw/zinc/23/84/35/1130238435.db2.gz AQSLDMMXPKNBFP-UHFFFAOYSA-N 1 2 288.347 3.871 20 0 CHADLO c1coc(-c2ccc(-c3ccc4[nH+]ccn4c3)cc2)n1 ZINC001240219716 1130238590 /nfs/dbraw/zinc/23/85/90/1130238590.db2.gz SJXMJUKSPUTKCJ-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO CC(=O)Nc1ccc(-c2ccc3[nH+]ccn3c2)c(C)c1C ZINC001240221624 1130240066 /nfs/dbraw/zinc/24/00/66/1130240066.db2.gz ODAKJEHPTUBFSI-UHFFFAOYSA-N 1 2 279.343 3.577 20 0 CHADLO C[N@H+](Cc1sccc1Br)C1CC(F)(F)C1 ZINC001139309370 1130252670 /nfs/dbraw/zinc/25/26/70/1130252670.db2.gz URKZFLUTVPLIMD-UHFFFAOYSA-N 1 2 296.180 3.740 20 0 CHADLO C[N@@H+](Cc1sccc1Br)C1CC(F)(F)C1 ZINC001139309370 1130252672 /nfs/dbraw/zinc/25/26/72/1130252672.db2.gz URKZFLUTVPLIMD-UHFFFAOYSA-N 1 2 296.180 3.740 20 0 CHADLO c1nc2ccc(-c3cc[nH+]c(N4CCCC4)c3)cc2s1 ZINC001240344151 1130272433 /nfs/dbraw/zinc/27/24/33/1130272433.db2.gz CSUNLAMTLMXKBZ-UHFFFAOYSA-N 1 2 281.384 3.959 20 0 CHADLO Cc1cc(-c2ccc(-c3cnn(C)c3)cc2)c2[nH+]ccn2c1 ZINC001240364700 1130279010 /nfs/dbraw/zinc/27/90/10/1130279010.db2.gz IKVNISWZEPLDCR-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO Fc1cccc(C[NH2+]Cc2cc(Cl)ccc2Cl)n1 ZINC000753851114 1130289999 /nfs/dbraw/zinc/28/99/99/1130289999.db2.gz SBCRVIRPZQFRMR-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1c(Cl)cccc1Cl)C2 ZINC000677132700 1130292860 /nfs/dbraw/zinc/29/28/60/1130292860.db2.gz NNRAQVQKZWOAFA-UHFFFAOYSA-N 1 2 274.166 3.927 20 0 CHADLO Cc1csc(C[N@@H+]2CCC(=O)[C@@H](C)CC2)c1Cl ZINC001137287525 1130295141 /nfs/dbraw/zinc/29/51/41/1130295141.db2.gz NMMKQOXLIXIPKF-VIFPVBQESA-N 1 2 271.813 3.511 20 0 CHADLO Cc1csc(C[N@H+]2CCC(=O)[C@@H](C)CC2)c1Cl ZINC001137287525 1130295143 /nfs/dbraw/zinc/29/51/43/1130295143.db2.gz NMMKQOXLIXIPKF-VIFPVBQESA-N 1 2 271.813 3.511 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccnc3[nH]ccc32)cc1F ZINC001240416719 1130299339 /nfs/dbraw/zinc/29/93/39/1130299339.db2.gz MCBRRFLVDPXKBC-UHFFFAOYSA-N 1 2 287.313 3.570 20 0 CHADLO Cc1cnc(F)c(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001243167140 1130311826 /nfs/dbraw/zinc/31/18/26/1130311826.db2.gz HLZGLNRPLNSAND-UHFFFAOYSA-N 1 2 267.307 3.597 20 0 CHADLO CSc1ccc(F)c(-c2[nH+]ccc(N)c2C)c1F ZINC001243202052 1130315061 /nfs/dbraw/zinc/31/50/61/1130315061.db2.gz YFGJJKIMTTWTAC-UHFFFAOYSA-N 1 2 266.316 3.639 20 0 CHADLO Cc1cnc(Nc2ccc3c(c2)[nH+]c(C(C)(C)C)n3C)nc1 ZINC000677651108 1130320122 /nfs/dbraw/zinc/32/01/22/1130320122.db2.gz ZHBUIHRZXGBERA-UHFFFAOYSA-N 1 2 295.390 3.713 20 0 CHADLO CC(C)Cc1ccccc1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000790629872 1130322162 /nfs/dbraw/zinc/32/21/62/1130322162.db2.gz SAPMLKYPRPQJDB-UHFFFAOYSA-N 1 2 293.370 3.785 20 0 CHADLO CCc1nc(C[NH+]2CC(Cc3ccc(F)cc3)C2)cs1 ZINC000677700970 1130322595 /nfs/dbraw/zinc/32/25/95/1130322595.db2.gz WBHKFCBYJMINNE-UHFFFAOYSA-N 1 2 290.407 3.519 20 0 CHADLO Fc1ccc2ccc(-c3ccn4cc[nH+]c4c3)nc2c1 ZINC001240503892 1130333490 /nfs/dbraw/zinc/33/34/90/1130333490.db2.gz HRENFVRISQCPSG-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1nc2cc(-c3ccn4cc[nH+]c4c3)ccc2s1 ZINC001240509414 1130333872 /nfs/dbraw/zinc/33/38/72/1130333872.db2.gz YVXKIRQTNLUFOV-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO c1cc(-c2ccc(-c3ccn4cc[nH+]c4c3)cc2)on1 ZINC001240508520 1130333957 /nfs/dbraw/zinc/33/39/57/1130333957.db2.gz ASGJCUZLWIYGIS-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO c1cn2ccc(-c3ccc(-c4cnco4)cc3)cc2[nH+]1 ZINC001240508563 1130334368 /nfs/dbraw/zinc/33/43/68/1130334368.db2.gz COLNBMRQACYKLR-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO CC(C)Oc1ccc(-c2ccn3cc[nH+]c3c2)c(F)c1 ZINC001240511910 1130336110 /nfs/dbraw/zinc/33/61/10/1130336110.db2.gz LINMVBAMPXGWJL-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1nc(N)c3ccccc3[nH+]1)CC2 ZINC000677879849 1130336879 /nfs/dbraw/zinc/33/68/79/1130336879.db2.gz AMUKHEUFQFQKOL-MRXNPFEDSA-N 1 2 290.370 3.620 20 0 CHADLO CCn1ccc2cc(-c3ccn4cc[nH+]c4c3)ccc21 ZINC001240519031 1130341051 /nfs/dbraw/zinc/34/10/51/1130341051.db2.gz YBNKFBMQPSSUGI-UHFFFAOYSA-N 1 2 261.328 3.976 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1ccc(N)cc1F ZINC001240526331 1130343243 /nfs/dbraw/zinc/34/32/43/1130343243.db2.gz GIJFBOINTWDGRI-UHFFFAOYSA-N 1 2 285.366 3.769 20 0 CHADLO COc1nscc1C[N@H+]([C@@H](C)c1ccccc1)C1CC1 ZINC001170150414 1130357273 /nfs/dbraw/zinc/35/72/73/1130357273.db2.gz RZRKMXRDIAVHJZ-LBPRGKRZSA-N 1 2 288.416 3.877 20 0 CHADLO COc1nscc1C[N@@H+]([C@@H](C)c1ccccc1)C1CC1 ZINC001170150414 1130357279 /nfs/dbraw/zinc/35/72/79/1130357279.db2.gz RZRKMXRDIAVHJZ-LBPRGKRZSA-N 1 2 288.416 3.877 20 0 CHADLO Fc1ccc(-c2ccc3c[nH]nc3c2)cc1-n1cc[nH+]c1 ZINC001240559513 1130358175 /nfs/dbraw/zinc/35/81/75/1130358175.db2.gz SWVRFQNNJPCHJB-UHFFFAOYSA-N 1 2 278.290 3.555 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1cccc(O)c1)CC3 ZINC000678171329 1130367468 /nfs/dbraw/zinc/36/74/68/1130367468.db2.gz APMMTDCWRRGQTP-UHFFFAOYSA-N 1 2 292.382 3.740 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1cccc(O)c1)CC3 ZINC000678171329 1130367475 /nfs/dbraw/zinc/36/74/75/1130367475.db2.gz APMMTDCWRRGQTP-UHFFFAOYSA-N 1 2 292.382 3.740 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)C[C@@H](C)C1CC1 ZINC000791352992 1130367821 /nfs/dbraw/zinc/36/78/21/1130367821.db2.gz WAVIWBBAOFAING-CQSZACIVSA-N 1 2 298.386 3.660 20 0 CHADLO CC[N@H+](Cc1ccc(O)cc1)Cc1c(F)cccc1F ZINC000678223001 1130374702 /nfs/dbraw/zinc/37/47/02/1130374702.db2.gz JHJLVSSQXLSVMS-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO CC[N@@H+](Cc1ccc(O)cc1)Cc1c(F)cccc1F ZINC000678223001 1130374709 /nfs/dbraw/zinc/37/47/09/1130374709.db2.gz JHJLVSSQXLSVMS-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc(N2CCCC2)cc1 ZINC001240591798 1130376471 /nfs/dbraw/zinc/37/64/71/1130376471.db2.gz KWDLPHRQXAINJP-UHFFFAOYSA-N 1 2 277.371 3.910 20 0 CHADLO Fc1cccc(F)c1C[N@H+](Cc1cn[nH]c1)C1CCCC1 ZINC000678240600 1130377841 /nfs/dbraw/zinc/37/78/41/1130377841.db2.gz HXWIUQUJWCTMKP-UHFFFAOYSA-N 1 2 291.345 3.633 20 0 CHADLO Fc1cccc(F)c1C[N@@H+](Cc1cn[nH]c1)C1CCCC1 ZINC000678240600 1130377849 /nfs/dbraw/zinc/37/78/49/1130377849.db2.gz HXWIUQUJWCTMKP-UHFFFAOYSA-N 1 2 291.345 3.633 20 0 CHADLO C/C=C(/CC)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1C ZINC000791465259 1130378902 /nfs/dbraw/zinc/37/89/02/1130378902.db2.gz WJUXTHUITIJZID-CPSFFCFKSA-N 1 2 284.359 3.580 20 0 CHADLO Nc1ccc(-c2ccc(-c3ccc(O)cc3)cc2)c[nH+]1 ZINC001240609213 1130385011 /nfs/dbraw/zinc/38/50/11/1130385011.db2.gz ZYOILHKNXYGXNV-UHFFFAOYSA-N 1 2 262.312 3.703 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1cnc(Cl)s1 ZINC000791585865 1130387302 /nfs/dbraw/zinc/38/73/02/1130387302.db2.gz UXCMFMVVUGWSKV-HTRCEHHLSA-N 1 2 284.734 3.569 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1cnc(Cl)s1 ZINC000791585865 1130387307 /nfs/dbraw/zinc/38/73/07/1130387307.db2.gz UXCMFMVVUGWSKV-HTRCEHHLSA-N 1 2 284.734 3.569 20 0 CHADLO COc1ccccc1C[N@@H+]1C[C@@H](C)OC[C@H]1c1ccccc1 ZINC000678280651 1130389561 /nfs/dbraw/zinc/38/95/61/1130389561.db2.gz XFZQPRYCWLOURQ-QAPCUYQASA-N 1 2 297.398 3.657 20 0 CHADLO COc1ccccc1C[N@H+]1C[C@@H](C)OC[C@H]1c1ccccc1 ZINC000678280651 1130389566 /nfs/dbraw/zinc/38/95/66/1130389566.db2.gz XFZQPRYCWLOURQ-QAPCUYQASA-N 1 2 297.398 3.657 20 0 CHADLO Cc1ccc2ncc(C[N@@H+]3CC[C@H]3c3cccc(F)c3)n2c1 ZINC000678283631 1130391525 /nfs/dbraw/zinc/39/15/25/1130391525.db2.gz PLGKERJSVVNHLI-KRWDZBQOSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1ccc2ncc(C[N@H+]3CC[C@H]3c3cccc(F)c3)n2c1 ZINC000678283631 1130391531 /nfs/dbraw/zinc/39/15/31/1130391531.db2.gz PLGKERJSVVNHLI-KRWDZBQOSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1ccc2[nH+]cc(CN3CC[C@H]3c3cccc(F)c3)n2c1 ZINC000678283631 1130391536 /nfs/dbraw/zinc/39/15/36/1130391536.db2.gz PLGKERJSVVNHLI-KRWDZBQOSA-N 1 2 295.361 3.729 20 0 CHADLO C[C@]1(O)CC[N@H+](Cc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000678295414 1130392795 /nfs/dbraw/zinc/39/27/95/1130392795.db2.gz ZHOVURXXEONABT-LBPRGKRZSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@]1(O)CC[N@@H+](Cc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000678295414 1130392800 /nfs/dbraw/zinc/39/28/00/1130392800.db2.gz ZHOVURXXEONABT-LBPRGKRZSA-N 1 2 294.609 3.604 20 0 CHADLO CC[C@@H](C(=O)OCc1cc[nH+]c(N)c1)C1CCC(C)CC1 ZINC000792128297 1130432239 /nfs/dbraw/zinc/43/22/39/1130432239.db2.gz DKNWCVKKFKTRNG-PESDSKBTSA-N 1 2 290.407 3.560 20 0 CHADLO COc1c(F)ccc(F)c1-c1cc(C)c2[nH+]ccn2c1 ZINC001240725237 1130440057 /nfs/dbraw/zinc/44/00/57/1130440057.db2.gz FCYDTNZYOFXHPJ-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO CC(C)(CC(=O)OCc1cc[nH+]c(N)c1)C1CCCCC1 ZINC000792273876 1130442107 /nfs/dbraw/zinc/44/21/07/1130442107.db2.gz VWTULKOVSXJCBN-UHFFFAOYSA-N 1 2 290.407 3.704 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cccc(Cl)n1)C2 ZINC000792310823 1130444248 /nfs/dbraw/zinc/44/42/48/1130444248.db2.gz DUBBGWMMRHCEKS-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cccc(Cl)n1)C2 ZINC000792310823 1130444253 /nfs/dbraw/zinc/44/42/53/1130444253.db2.gz DUBBGWMMRHCEKS-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Fc1ccc([C@@H]([NH2+]CC(F)F)C2CCCC2)cc1 ZINC000678752748 1130449112 /nfs/dbraw/zinc/44/91/12/1130449112.db2.gz APZLJPQDDBWDNS-AWEZNQCLSA-N 1 2 257.299 3.912 20 0 CHADLO c1ccc([C@H](CC2CC2)[NH2+]Cc2nc(C3CC3)no2)cc1 ZINC000678776975 1130453119 /nfs/dbraw/zinc/45/31/19/1130453119.db2.gz PMGFCAGPNSNJIR-HNNXBMFYSA-N 1 2 283.375 3.578 20 0 CHADLO CC(C)c1noc(C[NH2+][C@@H](CC2CC2)c2ccccc2)n1 ZINC000678778168 1130453139 /nfs/dbraw/zinc/45/31/39/1130453139.db2.gz YEEYHYQBOXJNKG-HNNXBMFYSA-N 1 2 285.391 3.824 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2cccc(Cl)c2Cl)nn1C ZINC000678780610 1130454263 /nfs/dbraw/zinc/45/42/63/1130454263.db2.gz NTUNQBYDUKVGOY-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO Fc1c[nH+]ccc1N1CCC(COc2ccccc2)CC1 ZINC000678789234 1130454938 /nfs/dbraw/zinc/45/49/38/1130454938.db2.gz VIHXMYIXPZEITJ-UHFFFAOYSA-N 1 2 286.350 3.516 20 0 CHADLO COCOc1cc(C)ccc1-c1cccc(C(=[NH2+])OC)c1 ZINC001240762766 1130459021 /nfs/dbraw/zinc/45/90/21/1130459021.db2.gz JPKWNUFVWAYABS-UHFFFAOYSA-N 1 2 285.343 3.616 20 0 CHADLO CC[N@H+](CCOC)Cc1c(Cl)ccc(F)c1Cl ZINC001137353211 1130465729 /nfs/dbraw/zinc/46/57/29/1130465729.db2.gz LMHMCZAXBDKLBK-UHFFFAOYSA-N 1 2 280.170 3.601 20 0 CHADLO CC[N@@H+](CCOC)Cc1c(Cl)ccc(F)c1Cl ZINC001137353211 1130465734 /nfs/dbraw/zinc/46/57/34/1130465734.db2.gz LMHMCZAXBDKLBK-UHFFFAOYSA-N 1 2 280.170 3.601 20 0 CHADLO CCCC[C@@H]([NH2+][C@H](C)Cc1ccccc1Cl)C(=O)OC ZINC001170257097 1130467874 /nfs/dbraw/zinc/46/78/74/1130467874.db2.gz SZKXDEJCFUBQKP-IUODEOHRSA-N 1 2 297.826 3.592 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[N@@H+]1CCC(F)(F)[C@@H](F)C1 ZINC001170265058 1130469954 /nfs/dbraw/zinc/46/99/54/1130469954.db2.gz DVEVWOIOTZOSAR-GWCFXTLKSA-N 1 2 291.744 3.950 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[N@H+]1CCC(F)(F)[C@@H](F)C1 ZINC001170265058 1130469957 /nfs/dbraw/zinc/46/99/57/1130469957.db2.gz DVEVWOIOTZOSAR-GWCFXTLKSA-N 1 2 291.744 3.950 20 0 CHADLO Fc1c(Br)cccc1C[NH+]1CCC(F)CC1 ZINC001139531695 1130472244 /nfs/dbraw/zinc/47/22/44/1130472244.db2.gz OFAGEVXIVHPBGH-UHFFFAOYSA-N 1 2 290.151 3.522 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[NH+]1CC2(C1)CCCCO2 ZINC001170275936 1130472373 /nfs/dbraw/zinc/47/23/73/1130472373.db2.gz JWWMWXMOFDUXMZ-ZDUSSCGKSA-N 1 2 279.811 3.526 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+]([C@H](C)Cc1ccccc1Cl)C2 ZINC001170294914 1130475380 /nfs/dbraw/zinc/47/53/80/1130475380.db2.gz TWVQDRKJCSXJSR-LLVKDONJSA-N 1 2 290.794 3.626 20 0 CHADLO Cc1nc2c(o1)CC[N@H+]([C@H](C)Cc1ccccc1Cl)C2 ZINC001170294914 1130475383 /nfs/dbraw/zinc/47/53/83/1130475383.db2.gz TWVQDRKJCSXJSR-LLVKDONJSA-N 1 2 290.794 3.626 20 0 CHADLO COc1cc(C)[nH+]c(CN(c2ccccc2)C2CC2)c1 ZINC001170307605 1130483279 /nfs/dbraw/zinc/48/32/79/1130483279.db2.gz CUGAIYHZWFMEDE-UHFFFAOYSA-N 1 2 268.360 3.568 20 0 CHADLO FC(F)(F)c1ccc(-c2nc3[nH]ccc3c[nH+]2)cc1 ZINC001240818649 1130484589 /nfs/dbraw/zinc/48/45/89/1130484589.db2.gz YQHKJIZZKQGCKG-UHFFFAOYSA-N 1 2 263.222 3.595 20 0 CHADLO CC(C)c1cccc2c1OCCC[C@@H]2[NH2+]Cc1cocn1 ZINC000679049937 1130487173 /nfs/dbraw/zinc/48/71/73/1130487173.db2.gz UICLIKGXDJPCPT-INIZCTEOSA-N 1 2 286.375 3.802 20 0 CHADLO CC[C@@H](Nc1ccccc1OCCn1cc[nH+]c1)C1CC1 ZINC000679140199 1130496648 /nfs/dbraw/zinc/49/66/48/1130496648.db2.gz PMOIWYUGSUYEOG-OAHLLOKOSA-N 1 2 285.391 3.563 20 0 CHADLO Cc1cn2c(cccc2-c2cccc3cccnc32)[nH+]1 ZINC001240893320 1130505386 /nfs/dbraw/zinc/50/53/86/1130505386.db2.gz GOSWMXFWDHRVJT-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Nc1ccc(Cl)cc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001243483572 1130509847 /nfs/dbraw/zinc/50/98/47/1130509847.db2.gz SVHNLYNOGJHGEL-UHFFFAOYSA-N 1 2 269.735 3.775 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)[nH]1 ZINC001137370393 1130518760 /nfs/dbraw/zinc/51/87/60/1130518760.db2.gz BOZYABIUYPGEJK-HUUCEWRRSA-N 1 2 288.435 3.646 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)[nH]1 ZINC001137370393 1130518763 /nfs/dbraw/zinc/51/87/63/1130518763.db2.gz BOZYABIUYPGEJK-HUUCEWRRSA-N 1 2 288.435 3.646 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(OC(F)F)c(F)c2)C[C@H]1F ZINC000679358564 1130519902 /nfs/dbraw/zinc/51/99/02/1130519902.db2.gz KTDYTQYIRCFVRU-JOYOIKCWSA-N 1 2 291.288 3.607 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(OC(F)F)c(F)c2)C[C@H]1F ZINC000679358564 1130519907 /nfs/dbraw/zinc/51/99/07/1130519907.db2.gz KTDYTQYIRCFVRU-JOYOIKCWSA-N 1 2 291.288 3.607 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)cccc2N2CCCC2)C[C@H]1F ZINC000679359774 1130522456 /nfs/dbraw/zinc/52/24/56/1130522456.db2.gz OLFAPSQNWZXWIT-CZUORRHYSA-N 1 2 294.389 3.606 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)cccc2N2CCCC2)C[C@H]1F ZINC000679359774 1130522461 /nfs/dbraw/zinc/52/24/61/1130522461.db2.gz OLFAPSQNWZXWIT-CZUORRHYSA-N 1 2 294.389 3.606 20 0 CHADLO CCC(=CC(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000747108467 1130530625 /nfs/dbraw/zinc/53/06/25/1130530625.db2.gz SKRNMVSAFCDYFZ-PTNGSMBKSA-N 1 2 280.371 3.552 20 0 CHADLO Fc1ncccc1C[NH2+]Cc1ncc(-c2ccccc2)s1 ZINC000793285358 1130537810 /nfs/dbraw/zinc/53/78/10/1130537810.db2.gz VEXPJCFNFSZSND-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1C[C@H](C(F)(F)F)CC[C@H]1C ZINC000679542168 1130538243 /nfs/dbraw/zinc/53/82/43/1130538243.db2.gz NNIYEVRURCXBQS-VXGBXAGGSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1C[C@H](C(F)(F)F)CC[C@H]1C ZINC000679542168 1130538249 /nfs/dbraw/zinc/53/82/49/1130538249.db2.gz NNIYEVRURCXBQS-VXGBXAGGSA-N 1 2 289.345 3.627 20 0 CHADLO COCC[C@H]([NH2+][C@@H](C)c1nc(C)sc1C)c1ccco1 ZINC000679576742 1130542436 /nfs/dbraw/zinc/54/24/36/1130542436.db2.gz RXLOOEQODFOAKG-GWCFXTLKSA-N 1 2 294.420 3.781 20 0 CHADLO Cc1ccc(Br)c(C[N@@H+]2CCC[C@@H](F)C2)c1 ZINC001137384711 1130550232 /nfs/dbraw/zinc/55/02/32/1130550232.db2.gz KCLDKZZYBBKKEM-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(Br)c(C[N@H+]2CCC[C@@H](F)C2)c1 ZINC001137384711 1130550234 /nfs/dbraw/zinc/55/02/34/1130550234.db2.gz KCLDKZZYBBKKEM-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1nc(N2CCCc3sccc3C2)c2c([nH+]1)CCCC2 ZINC000679838488 1130558171 /nfs/dbraw/zinc/55/81/71/1130558171.db2.gz YLPAOGCSKXAPEL-UHFFFAOYSA-N 1 2 299.443 3.678 20 0 CHADLO c1cc(C[N@@H+]2Cc3ccncc3C2)cc(-c2ccncc2)c1 ZINC001137393316 1130562556 /nfs/dbraw/zinc/56/25/56/1130562556.db2.gz KYKPTOJLQCZXRJ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1cc(C[N@H+]2Cc3ccncc3C2)cc(-c2ccncc2)c1 ZINC001137393316 1130562559 /nfs/dbraw/zinc/56/25/59/1130562559.db2.gz KYKPTOJLQCZXRJ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO CC[C@]1(C)CC[N@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000758394566 1130609608 /nfs/dbraw/zinc/60/96/08/1130609608.db2.gz FOSSBVSFYQFZBJ-CQSZACIVSA-N 1 2 283.441 3.583 20 0 CHADLO CC[C@]1(C)CC[N@@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000758394566 1130609614 /nfs/dbraw/zinc/60/96/14/1130609614.db2.gz FOSSBVSFYQFZBJ-CQSZACIVSA-N 1 2 283.441 3.583 20 0 CHADLO FC(F)C1CC[NH+](Cc2ccnc(Cl)c2Cl)CC1 ZINC000811463713 1130611815 /nfs/dbraw/zinc/61/18/15/1130611815.db2.gz FFWMHKFUHDMYGJ-UHFFFAOYSA-N 1 2 295.160 3.866 20 0 CHADLO Cc1ccc2ccccc2c1OC(=O)CCCn1cc[nH+]c1 ZINC001133027807 1130650540 /nfs/dbraw/zinc/65/05/40/1130650540.db2.gz CNYURWMAHDVSLY-UHFFFAOYSA-N 1 2 294.354 3.731 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H](C)c2cccnc2)cc1 ZINC001234584548 1130658551 /nfs/dbraw/zinc/65/85/51/1130658551.db2.gz DYIMHQANXDDMMG-GFCCVEGCSA-N 1 2 270.332 3.583 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H](c2cccnc2)C2CC2)cc1 ZINC001234588454 1130659760 /nfs/dbraw/zinc/65/97/60/1130659760.db2.gz OHOSJMSOERJHQW-QGZVFWFLSA-N 1 2 296.370 3.974 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@H]3CC34CCC4)c2)[nH+]c1C ZINC000759738578 1130673113 /nfs/dbraw/zinc/67/31/13/1130673113.db2.gz SYINSGOATHDSSV-OAHLLOKOSA-N 1 2 295.386 3.822 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1nc2ccccc2[nH]1 ZINC000780792942 1130692815 /nfs/dbraw/zinc/69/28/15/1130692815.db2.gz KIGLRQCNOHPNQX-GHMZBOCLSA-N 1 2 297.324 3.726 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1nc2ccccc2[nH]1 ZINC000780792942 1130692819 /nfs/dbraw/zinc/69/28/19/1130692819.db2.gz KIGLRQCNOHPNQX-GHMZBOCLSA-N 1 2 297.324 3.726 20 0 CHADLO Fc1cccc2cc(C[NH+]3CC4(C3)CC(F)(F)C4)[nH]c21 ZINC001235234407 1130725570 /nfs/dbraw/zinc/72/55/70/1130725570.db2.gz LWLQFYXOTARJGZ-UHFFFAOYSA-N 1 2 280.293 3.538 20 0 CHADLO c1csc(CC[N@@H+]2CCn3c(cc4ccccc43)C2)c1 ZINC000812896538 1130726546 /nfs/dbraw/zinc/72/65/46/1130726546.db2.gz KBMVGXBUENOKOJ-UHFFFAOYSA-N 1 2 282.412 3.761 20 0 CHADLO c1csc(CC[N@H+]2CCn3c(cc4ccccc43)C2)c1 ZINC000812896538 1130726552 /nfs/dbraw/zinc/72/65/52/1130726552.db2.gz KBMVGXBUENOKOJ-UHFFFAOYSA-N 1 2 282.412 3.761 20 0 CHADLO Cc1ccc(-c2cccc(C[NH+]3CC4(CCO4)C3)c2)cc1 ZINC001235317044 1130733099 /nfs/dbraw/zinc/73/30/99/1130733099.db2.gz XDPNBHRGOJMFLX-UHFFFAOYSA-N 1 2 279.383 3.637 20 0 CHADLO Cc1cc(OC(C)C)ncc1C[NH+]1Cc2ccccc2C1 ZINC001235416104 1130739916 /nfs/dbraw/zinc/73/99/16/1130739916.db2.gz AJVCWFMDDVQCJD-UHFFFAOYSA-N 1 2 282.387 3.693 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@H]2c2ccccc2)cc(F)c1F ZINC001235451851 1130745854 /nfs/dbraw/zinc/74/58/54/1130745854.db2.gz SQGKMMNJFLVPNA-HNNXBMFYSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cc(C[N@H+]2CC[C@H]2c2ccccc2)cc(F)c1F ZINC001235451851 1130745859 /nfs/dbraw/zinc/74/58/59/1130745859.db2.gz SQGKMMNJFLVPNA-HNNXBMFYSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)c1 ZINC001235534932 1130753618 /nfs/dbraw/zinc/75/36/18/1130753618.db2.gz LMNAKLFATWJJDS-HZPDHXFCSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)c1 ZINC001235534932 1130753621 /nfs/dbraw/zinc/75/36/21/1130753621.db2.gz LMNAKLFATWJJDS-HZPDHXFCSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCc3ccccc3C2)c1Cl ZINC001235575503 1130755509 /nfs/dbraw/zinc/75/55/09/1130755509.db2.gz OOEWSJKOWDOSFT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccnc(C[N@H+]2CCc3ccccc3C2)c1Cl ZINC001235575503 1130755515 /nfs/dbraw/zinc/75/55/15/1130755515.db2.gz OOEWSJKOWDOSFT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[C@H]1COc2ccccc2C[N@@H+]1Cc1ccnc(Cl)c1 ZINC000782521682 1130781656 /nfs/dbraw/zinc/78/16/56/1130781656.db2.gz ZKXVIUYLTKKVTD-LBPRGKRZSA-N 1 2 288.778 3.518 20 0 CHADLO C[C@H]1COc2ccccc2C[N@H+]1Cc1ccnc(Cl)c1 ZINC000782521682 1130781660 /nfs/dbraw/zinc/78/16/60/1130781660.db2.gz ZKXVIUYLTKKVTD-LBPRGKRZSA-N 1 2 288.778 3.518 20 0 CHADLO CC[N@H+](Cc1ccc(F)nc1C)Cc1cccc(F)c1F ZINC001235820985 1130783349 /nfs/dbraw/zinc/78/33/49/1130783349.db2.gz TYETVDCTPGQQKO-UHFFFAOYSA-N 1 2 294.320 3.829 20 0 CHADLO CC[N@@H+](Cc1ccc(F)nc1C)Cc1cccc(F)c1F ZINC001235820985 1130783355 /nfs/dbraw/zinc/78/33/55/1130783355.db2.gz TYETVDCTPGQQKO-UHFFFAOYSA-N 1 2 294.320 3.829 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)[C@@H]1C ZINC000813871742 1130791907 /nfs/dbraw/zinc/79/19/07/1130791907.db2.gz SCVFBYWJRLRHBP-VPKNTQAGSA-N 1 2 288.435 3.757 20 0 CHADLO CC(C)N(C(=O)c1ccc(-c2cc[nH+]c(N)c2)cc1)C(C)C ZINC001235917878 1130805159 /nfs/dbraw/zinc/80/51/59/1130805159.db2.gz CARLFQFOPYKKAK-UHFFFAOYSA-N 1 2 297.402 3.590 20 0 CHADLO Cn1ccc2c[nH+]c(-c3ccc(OC(F)(F)F)cc3)nc21 ZINC001235930534 1130808668 /nfs/dbraw/zinc/80/86/68/1130808668.db2.gz HPCGMTGMCCRITG-UHFFFAOYSA-N 1 2 293.248 3.534 20 0 CHADLO CNc1cc(-c2ccc(OC(F)(F)F)cc2)cc[nH+]1 ZINC001235937622 1130810944 /nfs/dbraw/zinc/81/09/44/1130810944.db2.gz GHYSMSQDCGFYTR-UHFFFAOYSA-N 1 2 268.238 3.689 20 0 CHADLO FC(F)(F)Oc1ccc(-c2c[nH+]c3c(c2)CCCN3)cc1 ZINC001235937782 1130811644 /nfs/dbraw/zinc/81/16/44/1130811644.db2.gz JSPCZNLWESGRJX-UHFFFAOYSA-N 1 2 294.276 3.648 20 0 CHADLO CCN(C)c1cc(N[C@@H](C)c2ccc(C)cc2C)[nH+]cn1 ZINC001170722855 1130818717 /nfs/dbraw/zinc/81/87/17/1130818717.db2.gz GDBSAVRZRRYVTA-AWEZNQCLSA-N 1 2 284.407 3.723 20 0 CHADLO CCN(C)c1cc(N[C@@H](C)c2ccc(C)cc2C)nc[nH+]1 ZINC001170722855 1130818722 /nfs/dbraw/zinc/81/87/22/1130818722.db2.gz GDBSAVRZRRYVTA-AWEZNQCLSA-N 1 2 284.407 3.723 20 0 CHADLO CC[N@H+](Cc1ccc(F)cc1)Cc1c(F)ccc(N)c1F ZINC001235962831 1130819161 /nfs/dbraw/zinc/81/91/61/1130819161.db2.gz OJJOWDGQPMITII-UHFFFAOYSA-N 1 2 294.320 3.708 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cc1)Cc1c(F)ccc(N)c1F ZINC001235962831 1130819167 /nfs/dbraw/zinc/81/91/67/1130819167.db2.gz OJJOWDGQPMITII-UHFFFAOYSA-N 1 2 294.320 3.708 20 0 CHADLO C[N@H+](C/C=C/c1ccccc1)Cc1c(F)ccc(N)c1F ZINC001235963964 1130820604 /nfs/dbraw/zinc/82/06/04/1130820604.db2.gz ZCOLGFQPALBKFJ-VMPITWQZSA-N 1 2 288.341 3.692 20 0 CHADLO C[N@@H+](C/C=C/c1ccccc1)Cc1c(F)ccc(N)c1F ZINC001235963964 1130820612 /nfs/dbraw/zinc/82/06/12/1130820612.db2.gz ZCOLGFQPALBKFJ-VMPITWQZSA-N 1 2 288.341 3.692 20 0 CHADLO COc1ccc(NCc2c[nH+]cn2Cc2ccccc2)cc1 ZINC000783565635 1130838281 /nfs/dbraw/zinc/83/82/81/1130838281.db2.gz FBGHWLVCTXSNII-UHFFFAOYSA-N 1 2 293.370 3.552 20 0 CHADLO C[N@H+](Cc1ccccn1)Cc1cccc(C(F)(F)F)c1F ZINC001138005802 1130905618 /nfs/dbraw/zinc/90/56/18/1130905618.db2.gz PJRFAVCKZFHYKX-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccccn1)Cc1cccc(C(F)(F)F)c1F ZINC001138005802 1130905621 /nfs/dbraw/zinc/90/56/21/1130905621.db2.gz PJRFAVCKZFHYKX-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Cc1cccc([C@H](C)OC(=O)[C@@H](c2ccccc2)[NH+](C)C)c1 ZINC000784588449 1130912339 /nfs/dbraw/zinc/91/23/39/1130912339.db2.gz KKTPTYXVHNAICG-MAUKXSAKSA-N 1 2 297.398 3.902 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(F)c(OC(C)C)c1F ZINC001236344945 1130916206 /nfs/dbraw/zinc/91/62/06/1130916206.db2.gz SLWIRKRIMNVZCC-DXNUHORPSA-N 1 2 253.292 3.628 20 0 CHADLO CC[C@@H](C(=O)OCCCn1cc[nH+]c1)C1CCC(C)CC1 ZINC000784728700 1130916468 /nfs/dbraw/zinc/91/64/68/1130916468.db2.gz JYTXPXPRTSTHFA-UYSNPLJNSA-N 1 2 292.423 3.669 20 0 CHADLO Cc1cn2c(cccc2-c2cnn(-c3ccccc3)c2C)[nH+]1 ZINC001236399263 1130927447 /nfs/dbraw/zinc/92/74/47/1130927447.db2.gz ADYMPNRJGZDIOC-UHFFFAOYSA-N 1 2 288.354 3.804 20 0 CHADLO Cc1cc([NH2+][C@H]2CC[C@H]2C(C)C)ccc1N1CCOCC1 ZINC000796935877 1130946378 /nfs/dbraw/zinc/94/63/78/1130946378.db2.gz WPUDCOKVUMATEQ-IRXDYDNUSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(C[NH2+]CC(C)(F)F)cc(C)c1OC(F)F ZINC000815146447 1130966915 /nfs/dbraw/zinc/96/69/15/1130966915.db2.gz BOXGVJVNBLTVRV-UHFFFAOYSA-N 1 2 279.277 3.650 20 0 CHADLO Cc1c(N)c(F)ccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001245460121 1130979657 /nfs/dbraw/zinc/97/96/57/1130979657.db2.gz FWPHZIATQUDHFX-UHFFFAOYSA-N 1 2 267.307 3.569 20 0 CHADLO Cc1cn2cc(-c3cnc(OC(C)C)cc3C)ccc2[nH+]1 ZINC001236580601 1130979679 /nfs/dbraw/zinc/97/96/79/1130979679.db2.gz MHQBLNSURYVGOU-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@@H+]1CC[C@@](C)(CF)C1 ZINC001236600112 1130982535 /nfs/dbraw/zinc/98/25/35/1130982535.db2.gz VCOVAFKQDUHPMS-ZDUSSCGKSA-N 1 2 291.197 3.878 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@H+]1CC[C@@](C)(CF)C1 ZINC001236600112 1130982538 /nfs/dbraw/zinc/98/25/38/1130982538.db2.gz VCOVAFKQDUHPMS-ZDUSSCGKSA-N 1 2 291.197 3.878 20 0 CHADLO O=C(CCCn1cc[nH+]c1)O[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000797406705 1130985209 /nfs/dbraw/zinc/98/52/09/1130985209.db2.gz FPJXQSJDJWMYDC-HRCADAONSA-N 1 2 290.407 3.565 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2CCF)ccc1Br ZINC000797523176 1130990827 /nfs/dbraw/zinc/99/08/27/1130990827.db2.gz MONJYQYSTFOUOL-ZDUSSCGKSA-N 1 2 286.188 3.864 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2CCF)ccc1Br ZINC000797523176 1130990832 /nfs/dbraw/zinc/99/08/32/1130990832.db2.gz MONJYQYSTFOUOL-ZDUSSCGKSA-N 1 2 286.188 3.864 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2C[C@H](F)C[C@H]2C)c1Cl ZINC001236707843 1130998159 /nfs/dbraw/zinc/99/81/59/1130998159.db2.gz IPPLNXXCJDYMBC-LDYMZIIASA-N 1 2 259.727 3.720 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2C[C@H](F)C[C@H]2C)c1Cl ZINC001236707843 1130998161 /nfs/dbraw/zinc/99/81/61/1130998161.db2.gz IPPLNXXCJDYMBC-LDYMZIIASA-N 1 2 259.727 3.720 20 0 CHADLO Nc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)c(Cl)c1 ZINC001245507726 1131013092 /nfs/dbraw/zinc/01/30/92/1131013092.db2.gz MIEOLUQNXSEDOX-UHFFFAOYSA-N 1 2 283.762 3.834 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cnc3ccccn23)c1Cl ZINC001236850728 1131021743 /nfs/dbraw/zinc/02/17/43/1131021743.db2.gz HWASLISHZZYZCM-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cnc3ccccn23)c1Cl ZINC001236850728 1131021749 /nfs/dbraw/zinc/02/17/49/1131021749.db2.gz HWASLISHZZYZCM-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccc(F)c2ccoc21 ZINC001236899286 1131028306 /nfs/dbraw/zinc/02/83/06/1131028306.db2.gz RTDSIAFPLXKADN-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccc(F)c2ccoc21 ZINC001236899286 1131028311 /nfs/dbraw/zinc/02/83/11/1131028311.db2.gz RTDSIAFPLXKADN-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO c1cc2c(cn1)C[N@H+](Cc1ccc(C3CCC3)cc1)C2 ZINC001237111642 1131052049 /nfs/dbraw/zinc/05/20/49/1131052049.db2.gz WPALWVQXRSDDNN-UHFFFAOYSA-N 1 2 264.372 3.865 20 0 CHADLO c1cc2c(cn1)C[N@@H+](Cc1ccc(C3CCC3)cc1)C2 ZINC001237111642 1131052054 /nfs/dbraw/zinc/05/20/54/1131052054.db2.gz WPALWVQXRSDDNN-UHFFFAOYSA-N 1 2 264.372 3.865 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@@H]3CCCc4cccnc43)ccc21 ZINC000798933703 1131062173 /nfs/dbraw/zinc/06/21/73/1131062173.db2.gz RHLALBXUKHIEHH-QGZVFWFLSA-N 1 2 293.414 3.954 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@@H]3CCCc4cccnc43)ccc21 ZINC000798933703 1131062178 /nfs/dbraw/zinc/06/21/78/1131062178.db2.gz RHLALBXUKHIEHH-QGZVFWFLSA-N 1 2 293.414 3.954 20 0 CHADLO S=C1C=COC1C[N@@H+]1Cc2cccc(Cl)c2C1 ZINC001237185536 1131066797 /nfs/dbraw/zinc/06/67/97/1131066797.db2.gz ZAPFRLGCQVEZBI-UHFFFAOYSA-N 1 2 265.765 3.738 20 0 CHADLO S=C1C=COC1C[N@H+]1Cc2cccc(Cl)c2C1 ZINC001237185536 1131066803 /nfs/dbraw/zinc/06/68/03/1131066803.db2.gz ZAPFRLGCQVEZBI-UHFFFAOYSA-N 1 2 265.765 3.738 20 0 CHADLO Oc1cc(F)cc(C[N@@H+]2CCSc3ccccc3C2)c1 ZINC001237284500 1131076500 /nfs/dbraw/zinc/07/65/00/1131076500.db2.gz XIVFRVSMGHHXQV-UHFFFAOYSA-N 1 2 289.375 3.639 20 0 CHADLO Oc1cc(F)cc(C[N@H+]2CCSc3ccccc3C2)c1 ZINC001237284500 1131076506 /nfs/dbraw/zinc/07/65/06/1131076506.db2.gz XIVFRVSMGHHXQV-UHFFFAOYSA-N 1 2 289.375 3.639 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@H+](C)CC(=O)c1ccccc1 ZINC001237326183 1131083883 /nfs/dbraw/zinc/08/38/83/1131083883.db2.gz PECGFCBSMOSGHP-UHFFFAOYSA-N 1 2 285.362 3.757 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@@H+](C)CC(=O)c1ccccc1 ZINC001237326183 1131083888 /nfs/dbraw/zinc/08/38/88/1131083888.db2.gz PECGFCBSMOSGHP-UHFFFAOYSA-N 1 2 285.362 3.757 20 0 CHADLO CC[N@H+](Cc1cnn(C)c1Cl)[C@@H](C)c1ccc(F)cc1 ZINC000816493603 1131099759 /nfs/dbraw/zinc/09/97/59/1131099759.db2.gz HZZGYFISCVYNOZ-NSHDSACASA-N 1 2 295.789 3.796 20 0 CHADLO CC[N@@H+](Cc1cnn(C)c1Cl)[C@@H](C)c1ccc(F)cc1 ZINC000816493603 1131099765 /nfs/dbraw/zinc/09/97/65/1131099765.db2.gz HZZGYFISCVYNOZ-NSHDSACASA-N 1 2 295.789 3.796 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1cnn(C)c1Cl ZINC000816491509 1131100190 /nfs/dbraw/zinc/10/01/90/1131100190.db2.gz OOSAFHDWHPPIRP-GFCCVEGCSA-N 1 2 295.839 3.644 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1cnn(C)c1Cl ZINC000816491509 1131100193 /nfs/dbraw/zinc/10/01/93/1131100193.db2.gz OOSAFHDWHPPIRP-GFCCVEGCSA-N 1 2 295.839 3.644 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2CCOCC2(C)C)c1 ZINC001237704775 1131130801 /nfs/dbraw/zinc/13/08/01/1131130801.db2.gz PXWGJIZCKGILNE-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2CCOCC2(C)C)c1 ZINC001237704775 1131130806 /nfs/dbraw/zinc/13/08/06/1131130806.db2.gz PXWGJIZCKGILNE-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)C[C@H]2CCCCO2)c1Cl ZINC001138027762 1131133273 /nfs/dbraw/zinc/13/32/73/1131133273.db2.gz IAUQEBNZDJYUBP-GFCCVEGCSA-N 1 2 285.790 3.788 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)C[C@H]2CCCCO2)c1Cl ZINC001138027762 1131133276 /nfs/dbraw/zinc/13/32/76/1131133276.db2.gz IAUQEBNZDJYUBP-GFCCVEGCSA-N 1 2 285.790 3.788 20 0 CHADLO CC[C@H](C)C[N@@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116248424 1131137001 /nfs/dbraw/zinc/13/70/01/1131137001.db2.gz ILDFBFDWKRYGDN-QWRGUYRKSA-N 1 2 273.829 3.821 20 0 CHADLO CC[C@H](C)C[N@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116248424 1131137005 /nfs/dbraw/zinc/13/70/05/1131137005.db2.gz ILDFBFDWKRYGDN-QWRGUYRKSA-N 1 2 273.829 3.821 20 0 CHADLO COc1ccoc1C[N@H+](C)Cc1cccc(C(F)(F)F)c1 ZINC001237871514 1131147589 /nfs/dbraw/zinc/14/75/89/1131147589.db2.gz KOEJHUDSYNTACC-UHFFFAOYSA-N 1 2 299.292 3.939 20 0 CHADLO COc1ccoc1C[N@@H+](C)Cc1cccc(C(F)(F)F)c1 ZINC001237871514 1131147594 /nfs/dbraw/zinc/14/75/94/1131147594.db2.gz KOEJHUDSYNTACC-UHFFFAOYSA-N 1 2 299.292 3.939 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001237903882 1131150506 /nfs/dbraw/zinc/15/05/06/1131150506.db2.gz RJKPDTMUVBQMHS-RISCZKNCSA-N 1 2 269.335 3.547 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001237903882 1131150508 /nfs/dbraw/zinc/15/05/08/1131150508.db2.gz RJKPDTMUVBQMHS-RISCZKNCSA-N 1 2 269.335 3.547 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1ccc(F)c(Br)c1F ZINC001238080633 1131168078 /nfs/dbraw/zinc/16/80/78/1131168078.db2.gz JFAMRQOMOJZRLY-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1ccc(F)c(Br)c1F ZINC001238080633 1131168079 /nfs/dbraw/zinc/16/80/79/1131168079.db2.gz JFAMRQOMOJZRLY-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO CC(C)n1ncc2c1[C@@H]([NH2+][C@H](C)c1cscn1)CCC2 ZINC001116604972 1131156929 /nfs/dbraw/zinc/15/69/29/1131156929.db2.gz ZQOIJERLZYNZBC-YPMHNXCESA-N 1 2 290.436 3.649 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)[C@H]1C ZINC001237990849 1131157901 /nfs/dbraw/zinc/15/79/01/1131157901.db2.gz ZKVBAUBUJMRKJI-ZJUUUORDSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)[C@H]1C ZINC001237990849 1131157903 /nfs/dbraw/zinc/15/79/03/1131157903.db2.gz ZKVBAUBUJMRKJI-ZJUUUORDSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237990731 1131158434 /nfs/dbraw/zinc/15/84/34/1131158434.db2.gz PYYSLLIUMXOYLC-SECBINFHSA-N 1 2 257.736 3.559 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237990731 1131158435 /nfs/dbraw/zinc/15/84/35/1131158435.db2.gz PYYSLLIUMXOYLC-SECBINFHSA-N 1 2 257.736 3.559 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@@H+]1CC[C@@H]2CCC[C@H]2C1 ZINC001237995029 1131159434 /nfs/dbraw/zinc/15/94/34/1131159434.db2.gz CASMNGNLLPSRLP-RYUDHWBXSA-N 1 2 289.810 3.838 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@H+]1CC[C@@H]2CCC[C@H]2C1 ZINC001237995029 1131159436 /nfs/dbraw/zinc/15/94/36/1131159436.db2.gz CASMNGNLLPSRLP-RYUDHWBXSA-N 1 2 289.810 3.838 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(F)c(O)c(Cl)c2)[C@@H](C)C1 ZINC001237994547 1131159655 /nfs/dbraw/zinc/15/96/55/1131159655.db2.gz FBGXVXMCNLWVIY-UWVGGRQHSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)[C@@H](C)C1 ZINC001237994547 1131159659 /nfs/dbraw/zinc/15/96/59/1131159659.db2.gz FBGXVXMCNLWVIY-UWVGGRQHSA-N 1 2 271.763 3.805 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237993989 1131159730 /nfs/dbraw/zinc/15/97/30/1131159730.db2.gz XYWPKOCAWLOKNV-CYBMUJFWSA-N 1 2 271.763 3.805 20 0 CHADLO CC(C)[C@H]1CCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237993989 1131159732 /nfs/dbraw/zinc/15/97/32/1131159732.db2.gz XYWPKOCAWLOKNV-CYBMUJFWSA-N 1 2 271.763 3.805 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@H+](Cc2coc(C3CC3)n2)C1 ZINC000817412655 1131160910 /nfs/dbraw/zinc/16/09/10/1131160910.db2.gz NRWCTZNZPZEEPK-JTQLQIEISA-N 1 2 288.313 3.716 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@@H+](Cc2coc(C3CC3)n2)C1 ZINC000817412655 1131160912 /nfs/dbraw/zinc/16/09/12/1131160912.db2.gz NRWCTZNZPZEEPK-JTQLQIEISA-N 1 2 288.313 3.716 20 0 CHADLO CSC1CC[NH+](Cc2cncc(Cl)c2Cl)CC1 ZINC001238008618 1131161829 /nfs/dbraw/zinc/16/18/29/1131161829.db2.gz KNLQIRDZESPUCI-UHFFFAOYSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H](c1nc(C(C)(C)C2CCCCC2)no1)n1cc[nH+]c1 ZINC001116797621 1131164905 /nfs/dbraw/zinc/16/49/05/1131164905.db2.gz LOJGLSVFTDHYNU-GFCCVEGCSA-N 1 2 288.395 3.733 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(F)cc(Br)c2F)C1 ZINC001238070602 1131168699 /nfs/dbraw/zinc/16/86/99/1131168699.db2.gz HZHAOKIYWAWQLI-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(F)cc(Br)c2F)C1 ZINC001238070602 1131168702 /nfs/dbraw/zinc/16/87/02/1131168702.db2.gz HZHAOKIYWAWQLI-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccon2)cc(C)c1Cl ZINC001238097328 1131171024 /nfs/dbraw/zinc/17/10/24/1131171024.db2.gz RMLSTIBHBWUFOS-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccon2)cc(C)c1Cl ZINC001238097328 1131171025 /nfs/dbraw/zinc/17/10/25/1131171025.db2.gz RMLSTIBHBWUFOS-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cncc(C3CC3)c2)no1 ZINC001238188297 1131177254 /nfs/dbraw/zinc/17/72/54/1131177254.db2.gz UGBIHJFXZHKQFJ-KRWDZBQOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cncc(C3CC3)c2)no1 ZINC001238188297 1131177255 /nfs/dbraw/zinc/17/72/55/1131177255.db2.gz UGBIHJFXZHKQFJ-KRWDZBQOSA-N 1 2 283.375 3.593 20 0 CHADLO CC(C)c1cc(C[N@@H+]2C[C@H](F)C[C@H](CO)C2)ccc1Cl ZINC001238218203 1131183186 /nfs/dbraw/zinc/18/31/86/1131183186.db2.gz MPAZZSMAJAIJAK-UONOGXRCSA-N 1 2 299.817 3.616 20 0 CHADLO CC(C)c1cc(C[N@H+]2C[C@H](F)C[C@H](CO)C2)ccc1Cl ZINC001238218203 1131183188 /nfs/dbraw/zinc/18/31/88/1131183188.db2.gz MPAZZSMAJAIJAK-UONOGXRCSA-N 1 2 299.817 3.616 20 0 CHADLO Cc1cc(NCc2cnn3ccccc23)[nH+]c2ccccc12 ZINC001117312193 1131186639 /nfs/dbraw/zinc/18/66/39/1131186639.db2.gz OWPWEMFETUEZDB-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@H+](C)Cc1cccnc1 ZINC001238332306 1131190759 /nfs/dbraw/zinc/19/07/59/1131190759.db2.gz OENWKIUUYMHQBI-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@@H+](C)Cc1cccnc1 ZINC001238332306 1131190763 /nfs/dbraw/zinc/19/07/63/1131190763.db2.gz OENWKIUUYMHQBI-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO C[N@H+](CCF)Cc1cccc(F)c1OCc1ccccc1 ZINC001238335379 1131191167 /nfs/dbraw/zinc/19/11/67/1131191167.db2.gz QRYKXTJUSUEJAL-UHFFFAOYSA-N 1 2 291.341 3.806 20 0 CHADLO C[N@@H+](CCF)Cc1cccc(F)c1OCc1ccccc1 ZINC001238335379 1131191170 /nfs/dbraw/zinc/19/11/70/1131191170.db2.gz QRYKXTJUSUEJAL-UHFFFAOYSA-N 1 2 291.341 3.806 20 0 CHADLO COc1c(Cl)ccc(F)c1C[NH+]1CCC(C)(F)CC1 ZINC001238334706 1131191379 /nfs/dbraw/zinc/19/13/79/1131191379.db2.gz JMOBHGJMDBYXLQ-UHFFFAOYSA-N 1 2 289.753 3.812 20 0 CHADLO CC[C@H](C)[N@H+](C)Cc1c(Cl)cncc1Br ZINC001238389853 1131194141 /nfs/dbraw/zinc/19/41/41/1131194141.db2.gz OQHGFHHMRWVGJZ-QMMMGPOBSA-N 1 2 291.620 3.728 20 0 CHADLO CC[C@H](C)[N@@H+](C)Cc1c(Cl)cncc1Br ZINC001238389853 1131194144 /nfs/dbraw/zinc/19/41/44/1131194144.db2.gz OQHGFHHMRWVGJZ-QMMMGPOBSA-N 1 2 291.620 3.728 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]C1(c2ccccc2OC)CCC1 ZINC001117779432 1131199617 /nfs/dbraw/zinc/19/96/17/1131199617.db2.gz LYOURIHIRMQHFG-CQSZACIVSA-N 1 2 299.418 3.642 20 0 CHADLO CC(C)Oc1ccc(C[N@H+](C)Cc2ccccn2)c(F)c1 ZINC001238443756 1131200776 /nfs/dbraw/zinc/20/07/76/1131200776.db2.gz GPDZLPZSTXQJIO-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+](C)Cc2ccccn2)c(F)c1 ZINC001238443756 1131200780 /nfs/dbraw/zinc/20/07/80/1131200780.db2.gz GPDZLPZSTXQJIO-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO COc1ccccc1C1([NH2+][C@@H]2C[C@@H](C)n3ccnc32)CCC1 ZINC001117831846 1131203821 /nfs/dbraw/zinc/20/38/21/1131203821.db2.gz WWVCNHYWEFCOLK-UKRRQHHQSA-N 1 2 297.402 3.567 20 0 CHADLO Cc1nc2cc(NCc3c[nH+]cn3C(C)C)ccc2s1 ZINC000818201130 1131204347 /nfs/dbraw/zinc/20/43/47/1131204347.db2.gz QFIRATWFGRZKRU-UHFFFAOYSA-N 1 2 286.404 3.994 20 0 CHADLO COc1cc(C)c(NCc2c[nH+]cn2C(C)C)cc1C ZINC000818208530 1131205693 /nfs/dbraw/zinc/20/56/93/1131205693.db2.gz KIKLJDCJPATXPU-UHFFFAOYSA-N 1 2 273.380 3.702 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3ncsc3C2)cc1C1CC1 ZINC001238513259 1131212476 /nfs/dbraw/zinc/21/24/76/1131212476.db2.gz YXSRBQGODQGRKC-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3ncsc3C2)cc1C1CC1 ZINC001238513259 1131212481 /nfs/dbraw/zinc/21/24/81/1131212481.db2.gz YXSRBQGODQGRKC-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO Fc1ccc(C[N@@H+]2CCOCC23CC3)cc1-c1ccccc1 ZINC001238548696 1131214785 /nfs/dbraw/zinc/21/47/85/1131214785.db2.gz LJDRZVOIUWBMEN-UHFFFAOYSA-N 1 2 297.373 3.858 20 0 CHADLO Fc1ccc(C[N@H+]2CCOCC23CC3)cc1-c1ccccc1 ZINC001238548696 1131214789 /nfs/dbraw/zinc/21/47/89/1131214789.db2.gz LJDRZVOIUWBMEN-UHFFFAOYSA-N 1 2 297.373 3.858 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)c1 ZINC001238561302 1131216470 /nfs/dbraw/zinc/21/64/70/1131216470.db2.gz SVJOTKLSKZKDAO-ZBFHGGJFSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)c1 ZINC001238561302 1131216475 /nfs/dbraw/zinc/21/64/75/1131216475.db2.gz SVJOTKLSKZKDAO-ZBFHGGJFSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)Cc2cncs2)c1 ZINC001238567977 1131217937 /nfs/dbraw/zinc/21/79/37/1131217937.db2.gz WZCZHGVGHCJAPO-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)Cc2cncs2)c1 ZINC001238567977 1131217940 /nfs/dbraw/zinc/21/79/40/1131217940.db2.gz WZCZHGVGHCJAPO-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO COc1cc(C)cc(F)c1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC001238594271 1131219659 /nfs/dbraw/zinc/21/96/59/1131219659.db2.gz JVQGUIYZZZSSSS-ZDUSSCGKSA-N 1 2 288.366 3.521 20 0 CHADLO COc1cc(C)cc(F)c1C[N@H+]1CCn2cccc2[C@@H]1C ZINC001238594271 1131219663 /nfs/dbraw/zinc/21/96/63/1131219663.db2.gz JVQGUIYZZZSSSS-ZDUSSCGKSA-N 1 2 288.366 3.521 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)cnc1C1CC1 ZINC001238615735 1131223797 /nfs/dbraw/zinc/22/37/97/1131223797.db2.gz JFHWONMWZVZXJK-IRXDYDNUSA-N 1 2 298.430 3.601 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)cnc1C1CC1 ZINC001238615735 1131223798 /nfs/dbraw/zinc/22/37/98/1131223798.db2.gz JFHWONMWZVZXJK-IRXDYDNUSA-N 1 2 298.430 3.601 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCCC(=O)[C@@H](C)C1 ZINC001238673232 1131229166 /nfs/dbraw/zinc/22/91/66/1131229166.db2.gz WMOUOERHTLKGBQ-NSHDSACASA-N 1 2 297.851 3.863 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCCC(=O)[C@@H](C)C1 ZINC001238673232 1131229171 /nfs/dbraw/zinc/22/91/71/1131229171.db2.gz WMOUOERHTLKGBQ-NSHDSACASA-N 1 2 297.851 3.863 20 0 CHADLO Brc1csc(C[NH+]2CCCCCCC2)n1 ZINC001137781328 1131416575 /nfs/dbraw/zinc/41/65/75/1131416575.db2.gz ZWBHLYJOUFPEFR-UHFFFAOYSA-N 1 2 289.242 3.672 20 0 CHADLO Clc1ncsc1C[NH+]1CC2(C1)CCCCC2 ZINC001137823580 1131419151 /nfs/dbraw/zinc/41/91/51/1131419151.db2.gz LPHHALUCBTYUEH-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO Cc1cc2c(ncnc2NCc2c[nH+]c(C)cc2C)s1 ZINC000829463365 1131420476 /nfs/dbraw/zinc/42/04/76/1131420476.db2.gz KBLUGSUGPOSGPQ-UHFFFAOYSA-N 1 2 284.388 3.624 20 0 CHADLO Cc1cccc(C)c1C[N@@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001137848130 1131423459 /nfs/dbraw/zinc/42/34/59/1131423459.db2.gz UXHHXCDWTMCOIU-CQSZACIVSA-N 1 2 271.326 3.873 20 0 CHADLO Cc1cccc(C)c1C[N@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001137848130 1131423461 /nfs/dbraw/zinc/42/34/61/1131423461.db2.gz UXHHXCDWTMCOIU-CQSZACIVSA-N 1 2 271.326 3.873 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1ccnc(Cl)c1F ZINC000823442550 1131426912 /nfs/dbraw/zinc/42/69/12/1131426912.db2.gz IBEJWMNPWJTNAE-JTQLQIEISA-N 1 2 294.757 3.773 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1ccnc(Cl)c1F ZINC000823442550 1131426916 /nfs/dbraw/zinc/42/69/16/1131426916.db2.gz IBEJWMNPWJTNAE-JTQLQIEISA-N 1 2 294.757 3.773 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1c(F)ccc(Cl)c1F ZINC001137878065 1131427849 /nfs/dbraw/zinc/42/78/49/1131427849.db2.gz ISZYYRCUQINSGP-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1c(F)ccc(Cl)c1F ZINC001137878065 1131427852 /nfs/dbraw/zinc/42/78/52/1131427852.db2.gz ISZYYRCUQINSGP-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(F)ccc(Cl)c2F)[C@@H](C)C1 ZINC001137885442 1131429254 /nfs/dbraw/zinc/42/92/54/1131429254.db2.gz QOMXDWHQBXDYCK-VHSXEESVSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(F)ccc(Cl)c2F)[C@@H](C)C1 ZINC001137885442 1131429255 /nfs/dbraw/zinc/42/92/55/1131429255.db2.gz QOMXDWHQBXDYCK-VHSXEESVSA-N 1 2 289.753 3.618 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCC(O)CC2)cc1)C1CCC1 ZINC000823500910 1131430260 /nfs/dbraw/zinc/43/02/60/1131430260.db2.gz HFDUZQHMFURJLZ-GOSISDBHSA-N 1 2 288.435 3.638 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2ccnc(Cl)c2F)o1 ZINC000823671186 1131437033 /nfs/dbraw/zinc/43/70/33/1131437033.db2.gz JUZNNBPSGQOMJL-JOYOIKCWSA-N 1 2 294.757 3.880 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(C)c(F)c2F)cn1 ZINC001137971266 1131445343 /nfs/dbraw/zinc/44/53/43/1131445343.db2.gz MJSCYKOGOURFRV-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(C)c(F)c2F)cn1 ZINC001137971266 1131445346 /nfs/dbraw/zinc/44/53/46/1131445346.db2.gz MJSCYKOGOURFRV-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cc2ccccc2nc1Cl ZINC001137979875 1131446562 /nfs/dbraw/zinc/44/65/62/1131446562.db2.gz RINHYBDKEWBQKL-CYBMUJFWSA-N 1 2 278.758 3.822 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cc2ccccc2nc1Cl ZINC001137979875 1131446563 /nfs/dbraw/zinc/44/65/63/1131446563.db2.gz RINHYBDKEWBQKL-CYBMUJFWSA-N 1 2 278.758 3.822 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cc(F)ncc2F)c1 ZINC000824044514 1131451624 /nfs/dbraw/zinc/45/16/24/1131451624.db2.gz RINFRFVVSDRWMK-UHFFFAOYSA-N 1 2 295.358 3.620 20 0 CHADLO CC(=O)C1CC[NH+](Cc2c(Cl)ccc(C)c2F)CC1 ZINC001138034714 1131455786 /nfs/dbraw/zinc/45/57/86/1131455786.db2.gz QLYOGVHRZRRHAW-UHFFFAOYSA-N 1 2 283.774 3.589 20 0 CHADLO Cc1ccc(Cl)c(C[NH+]2CC(c3cccnc3)C2)c1F ZINC001138034768 1131456372 /nfs/dbraw/zinc/45/63/72/1131456372.db2.gz SHZWNHQJRQGOSM-UHFFFAOYSA-N 1 2 290.769 3.782 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC001138048318 1131458609 /nfs/dbraw/zinc/45/86/09/1131458609.db2.gz YRTHLRIBBPZLMD-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1ccc(Cl)c(C(F)(F)F)c1 ZINC001138048318 1131458611 /nfs/dbraw/zinc/45/86/11/1131458611.db2.gz YRTHLRIBBPZLMD-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CC[C@@H](C(F)(F)F)C2)c1F ZINC001138118517 1131468591 /nfs/dbraw/zinc/46/85/91/1131468591.db2.gz MGVKSMUEIDNTRM-SECBINFHSA-N 1 2 279.252 3.657 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CC[C@@H](C(F)(F)F)C2)c1F ZINC001138118517 1131468596 /nfs/dbraw/zinc/46/85/96/1131468596.db2.gz MGVKSMUEIDNTRM-SECBINFHSA-N 1 2 279.252 3.657 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)OC3(CCCC3)C2)cnc1Cl ZINC000843435023 1131473275 /nfs/dbraw/zinc/47/32/75/1131473275.db2.gz PTOQNPFFGWQIKZ-CYBMUJFWSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)OC3(CCCC3)C2)cnc1Cl ZINC000843435023 1131473279 /nfs/dbraw/zinc/47/32/79/1131473279.db2.gz PTOQNPFFGWQIKZ-CYBMUJFWSA-N 1 2 294.826 3.577 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@@H+]2CCC[C@@](C)(F)C2)n1 ZINC001138277609 1131485189 /nfs/dbraw/zinc/48/51/89/1131485189.db2.gz JWJPRPUEMWXWOE-MRXNPFEDSA-N 1 2 280.387 3.583 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@H+]2CCC[C@@](C)(F)C2)n1 ZINC001138277609 1131485190 /nfs/dbraw/zinc/48/51/90/1131485190.db2.gz JWJPRPUEMWXWOE-MRXNPFEDSA-N 1 2 280.387 3.583 20 0 CHADLO Cc1ccc2c(C[N@H+](C)Cc3nccs3)c[nH]c2c1 ZINC001136975046 1131491009 /nfs/dbraw/zinc/49/10/09/1131491009.db2.gz XSFDPSOTCJQOEQ-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc2c(C[N@@H+](C)Cc3nccs3)c[nH]c2c1 ZINC001136975046 1131491011 /nfs/dbraw/zinc/49/10/11/1131491011.db2.gz XSFDPSOTCJQOEQ-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Clc1ccc(C2C[NH+](Cc3cccnc3Cl)C2)cc1 ZINC000824987859 1131493831 /nfs/dbraw/zinc/49/38/31/1131493831.db2.gz CYDZKYPHFGRNOP-UHFFFAOYSA-N 1 2 293.197 3.988 20 0 CHADLO CCc1nc(C[NH2+]Cc2cc3ccccc3cc2OC)co1 ZINC000825062070 1131497829 /nfs/dbraw/zinc/49/78/29/1131497829.db2.gz NXWVRPWJIMMYNU-UHFFFAOYSA-N 1 2 296.370 3.689 20 0 CHADLO CC[N@H+](Cc1ncccc1O)Cc1c(F)cccc1Cl ZINC001138396168 1131498614 /nfs/dbraw/zinc/49/86/14/1131498614.db2.gz NZIQHYUQLJDYBT-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO CC[N@@H+](Cc1ncccc1O)Cc1c(F)cccc1Cl ZINC001138396168 1131498616 /nfs/dbraw/zinc/49/86/16/1131498616.db2.gz NZIQHYUQLJDYBT-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO COC(=O)c1cccc2c1C[N@H+](Cc1c(C)cccc1C)C2 ZINC001137851831 1131511090 /nfs/dbraw/zinc/51/10/90/1131511090.db2.gz YUZYYOFYQHEQML-UHFFFAOYSA-N 1 2 295.382 3.606 20 0 CHADLO COC(=O)c1cccc2c1C[N@@H+](Cc1c(C)cccc1C)C2 ZINC001137851831 1131511094 /nfs/dbraw/zinc/51/10/94/1131511094.db2.gz YUZYYOFYQHEQML-UHFFFAOYSA-N 1 2 295.382 3.606 20 0 CHADLO CC(C)CC[C@H]1CCC[C@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001143641174 1131520364 /nfs/dbraw/zinc/52/03/64/1131520364.db2.gz IDJNETVJMWWSAO-UKRRQHHQSA-N 1 2 299.418 3.898 20 0 CHADLO CCCO[C@@H]1CC[N@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626080 1131520563 /nfs/dbraw/zinc/52/05/63/1131520563.db2.gz HGABPHVVSDMASK-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626080 1131520565 /nfs/dbraw/zinc/52/05/65/1131520565.db2.gz HGABPHVVSDMASK-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO F[C@H]1CC[N@H+](Cc2ccc(C(F)(F)F)cc2Cl)C1 ZINC001143710849 1131526558 /nfs/dbraw/zinc/52/65/58/1131526558.db2.gz ZIJXFMIXPHVHCZ-JTQLQIEISA-N 1 2 281.680 3.903 20 0 CHADLO F[C@H]1CC[N@@H+](Cc2ccc(C(F)(F)F)cc2Cl)C1 ZINC001143710849 1131526560 /nfs/dbraw/zinc/52/65/60/1131526560.db2.gz ZIJXFMIXPHVHCZ-JTQLQIEISA-N 1 2 281.680 3.903 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1cc(F)ccc1Cl ZINC001138674641 1131534879 /nfs/dbraw/zinc/53/48/79/1131534879.db2.gz YRUBJMRAWSKBAX-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1cc(F)ccc1Cl ZINC001138674641 1131534883 /nfs/dbraw/zinc/53/48/83/1131534883.db2.gz YRUBJMRAWSKBAX-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CCC[N@H+](CC)Cc1c(F)ccc(F)c1Br ZINC001143811461 1131535759 /nfs/dbraw/zinc/53/57/59/1131535759.db2.gz INZNLZIUJOQSIR-UHFFFAOYSA-N 1 2 292.167 3.959 20 0 CHADLO CCC[N@@H+](CC)Cc1c(F)ccc(F)c1Br ZINC001143811461 1131535762 /nfs/dbraw/zinc/53/57/62/1131535762.db2.gz INZNLZIUJOQSIR-UHFFFAOYSA-N 1 2 292.167 3.959 20 0 CHADLO FC1(F)CC[N@@H+]([C@H]2CCc3cc(Cl)ccc3C2)C1 ZINC001171117793 1131549700 /nfs/dbraw/zinc/54/97/00/1131549700.db2.gz YSGRZIGJTDBFDF-ZDUSSCGKSA-N 1 2 271.738 3.538 20 0 CHADLO FC1(F)CC[N@H+]([C@H]2CCc3cc(Cl)ccc3C2)C1 ZINC001171117793 1131549702 /nfs/dbraw/zinc/54/97/02/1131549702.db2.gz YSGRZIGJTDBFDF-ZDUSSCGKSA-N 1 2 271.738 3.538 20 0 CHADLO Cc1cc(NC(=O)C(C)(C)C(C)C)ccc1-n1cc[nH+]c1 ZINC001143940145 1131556152 /nfs/dbraw/zinc/55/61/52/1131556152.db2.gz UWZCMWZWNIJCRF-UHFFFAOYSA-N 1 2 285.391 3.801 20 0 CHADLO C[N@H+](Cc1csc(C(C)(C)O)n1)[C@H]1C=CCCCCC1 ZINC000832389489 1131556568 /nfs/dbraw/zinc/55/65/68/1131556568.db2.gz IVFMYSWXECSCHH-AWEZNQCLSA-N 1 2 294.464 3.691 20 0 CHADLO C[N@@H+](Cc1csc(C(C)(C)O)n1)[C@H]1C=CCCCCC1 ZINC000832389489 1131556569 /nfs/dbraw/zinc/55/65/69/1131556569.db2.gz IVFMYSWXECSCHH-AWEZNQCLSA-N 1 2 294.464 3.691 20 0 CHADLO CCOC1CC[NH+](Cc2c(Cl)ccc(F)c2F)CC1 ZINC001143957163 1131559502 /nfs/dbraw/zinc/55/95/02/1131559502.db2.gz KYEYWGUSXHSDST-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO COc1ccc(F)c(C[N@H+](C)Cc2ccccc2C)c1F ZINC001143976385 1131564125 /nfs/dbraw/zinc/56/41/25/1131564125.db2.gz FWZQAECTGFMIPM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(F)c(C[N@@H+](C)Cc2ccccc2C)c1F ZINC001143976385 1131564128 /nfs/dbraw/zinc/56/41/28/1131564128.db2.gz FWZQAECTGFMIPM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CC(C)COc1ccc(C[N@@H+]2CCn3cccc3C2)cc1 ZINC001138971414 1131567008 /nfs/dbraw/zinc/56/70/08/1131567008.db2.gz KOHZADHSRFUIDU-UHFFFAOYSA-N 1 2 284.403 3.539 20 0 CHADLO CC(C)COc1ccc(C[N@H+]2CCn3cccc3C2)cc1 ZINC001138971414 1131567014 /nfs/dbraw/zinc/56/70/14/1131567014.db2.gz KOHZADHSRFUIDU-UHFFFAOYSA-N 1 2 284.403 3.539 20 0 CHADLO Cc1cc(COC(=O)C[C@@H](C)n2cc[nH+]c2)ccc1Cl ZINC000844478443 1131567175 /nfs/dbraw/zinc/56/71/75/1131567175.db2.gz ZLYOXYZOKFMYTF-GFCCVEGCSA-N 1 2 292.766 3.539 20 0 CHADLO Cc1cc(Cl)ccc1COC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000844482565 1131567194 /nfs/dbraw/zinc/56/71/94/1131567194.db2.gz YSDOUZYNHGVBES-LBPRGKRZSA-N 1 2 292.766 3.539 20 0 CHADLO FC1(F)[C@H]2C[N@H+](Cc3ncc(-c4ccccc4)s3)C[C@H]21 ZINC000844461052 1131567740 /nfs/dbraw/zinc/56/77/40/1131567740.db2.gz ZSNYOZHSADERLY-TXEJJXNPSA-N 1 2 292.354 3.507 20 0 CHADLO FC1(F)[C@H]2C[N@@H+](Cc3ncc(-c4ccccc4)s3)C[C@H]21 ZINC000844461052 1131567745 /nfs/dbraw/zinc/56/77/45/1131567745.db2.gz ZSNYOZHSADERLY-TXEJJXNPSA-N 1 2 292.354 3.507 20 0 CHADLO FC1(F)[C@H]2C[N@H+](Cc3cccc(Cl)c3Cl)C[C@H]21 ZINC000844462821 1131567972 /nfs/dbraw/zinc/56/79/72/1131567972.db2.gz OVMBAJQXIJHLCF-DTORHVGOSA-N 1 2 278.129 3.690 20 0 CHADLO FC1(F)[C@H]2C[N@@H+](Cc3cccc(Cl)c3Cl)C[C@H]21 ZINC000844462821 1131567974 /nfs/dbraw/zinc/56/79/74/1131567974.db2.gz OVMBAJQXIJHLCF-DTORHVGOSA-N 1 2 278.129 3.690 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000844464973 1131568971 /nfs/dbraw/zinc/56/89/71/1131568971.db2.gz PGEYMIIQKFXGKD-LSDHHAIUSA-N 1 2 286.375 3.755 20 0 CHADLO CCCOc1ccccc1C[N@@H+]1Cc2ccc(OC)cc2C1 ZINC001139002283 1131571000 /nfs/dbraw/zinc/57/10/00/1131571000.db2.gz WKDOJSOXPYQZNB-UHFFFAOYSA-N 1 2 297.398 4.000 20 0 CHADLO CCCOc1ccccc1C[N@H+]1Cc2ccc(OC)cc2C1 ZINC001139002283 1131571003 /nfs/dbraw/zinc/57/10/03/1131571003.db2.gz WKDOJSOXPYQZNB-UHFFFAOYSA-N 1 2 297.398 4.000 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[N@@H+]1CCOC[C@H]1C ZINC001139067694 1131579932 /nfs/dbraw/zinc/57/99/32/1131579932.db2.gz HXBDKYWOPKTGQT-OAHLLOKOSA-N 1 2 297.398 3.583 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[N@H+]1CCOC[C@H]1C ZINC001139067694 1131579933 /nfs/dbraw/zinc/57/99/33/1131579933.db2.gz HXBDKYWOPKTGQT-OAHLLOKOSA-N 1 2 297.398 3.583 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1c(C)n[nH]c1C ZINC001139101002 1131582294 /nfs/dbraw/zinc/58/22/94/1131582294.db2.gz IQVHSRKJLNRRKT-NVXWUHKLSA-N 1 2 293.455 3.790 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1c(C)n[nH]c1C ZINC001139101002 1131582299 /nfs/dbraw/zinc/58/22/99/1131582299.db2.gz IQVHSRKJLNRRKT-NVXWUHKLSA-N 1 2 293.455 3.790 20 0 CHADLO Fc1cc(F)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)cc1Cl ZINC001144133876 1131589362 /nfs/dbraw/zinc/58/93/62/1131589362.db2.gz FYKQHBMQYUQTAF-ARENWVFISA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)cc1Cl ZINC001144133876 1131589365 /nfs/dbraw/zinc/58/93/65/1131589365.db2.gz FYKQHBMQYUQTAF-ARENWVFISA-N 1 2 275.701 3.551 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1n[nH]c2ccc(F)cc21 ZINC001144196422 1131595735 /nfs/dbraw/zinc/59/57/35/1131595735.db2.gz GIHDGVJDLCBVGP-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1n[nH]c2ccc(F)cc21 ZINC001144196422 1131595739 /nfs/dbraw/zinc/59/57/39/1131595739.db2.gz GIHDGVJDLCBVGP-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccc3nsnc3c1)C2 ZINC001139210837 1131597501 /nfs/dbraw/zinc/59/75/01/1131597501.db2.gz VWXOCFNQGMRGKF-UHFFFAOYSA-N 1 2 281.384 3.516 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccc3nsnc3c1)C2 ZINC001139210837 1131597505 /nfs/dbraw/zinc/59/75/05/1131597505.db2.gz VWXOCFNQGMRGKF-UHFFFAOYSA-N 1 2 281.384 3.516 20 0 CHADLO C[N@H+](Cc1n[nH]c2ccc(F)cc21)[C@@H]1CCc2ccccc21 ZINC001144205989 1131599782 /nfs/dbraw/zinc/59/97/82/1131599782.db2.gz SWRPUALEOOTNBD-GOSISDBHSA-N 1 2 295.361 3.821 20 0 CHADLO C[N@@H+](Cc1n[nH]c2ccc(F)cc21)[C@@H]1CCc2ccccc21 ZINC001144205989 1131599786 /nfs/dbraw/zinc/59/97/86/1131599786.db2.gz SWRPUALEOOTNBD-GOSISDBHSA-N 1 2 295.361 3.821 20 0 CHADLO FC1(F)C[C@@]12CC[N@H+](Cc1cc(Cl)cnc1Cl)C2 ZINC001139355829 1131603810 /nfs/dbraw/zinc/60/38/10/1131603810.db2.gz ZDVQPDVKEXNVKR-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@@]12CC[N@@H+](Cc1cc(Cl)cnc1Cl)C2 ZINC001139355829 1131603811 /nfs/dbraw/zinc/60/38/11/1131603811.db2.gz ZDVQPDVKEXNVKR-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139354443 1131604291 /nfs/dbraw/zinc/60/42/91/1131604291.db2.gz UEDURTIURBBASS-LBPRGKRZSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139354443 1131604294 /nfs/dbraw/zinc/60/42/94/1131604294.db2.gz UEDURTIURBBASS-LBPRGKRZSA-N 1 2 277.170 3.570 20 0 CHADLO c1cc(-c2ccccc2)sc1CN1CC[NH+](C2CC2)CC1 ZINC001139411323 1131607039 /nfs/dbraw/zinc/60/70/39/1131607039.db2.gz GGJZDXSICRIPMN-UHFFFAOYSA-N 1 2 298.455 3.695 20 0 CHADLO c1cc(-c2ccccc2)sc1C[NH+]1CC(N2CCCC2)C1 ZINC001139414399 1131607816 /nfs/dbraw/zinc/60/78/16/1131607816.db2.gz NQPVNVFCMGIFFZ-UHFFFAOYSA-N 1 2 298.455 3.695 20 0 CHADLO CCc1cccc(C[N@@H+]2Cc3cccc(F)c3C2)c1 ZINC001144324073 1131617602 /nfs/dbraw/zinc/61/76/02/1131617602.db2.gz WLXNXCOIRWZLBD-UHFFFAOYSA-N 1 2 255.336 3.904 20 0 CHADLO CCc1cccc(C[N@H+]2Cc3cccc(F)c3C2)c1 ZINC001144324073 1131617604 /nfs/dbraw/zinc/61/76/04/1131617604.db2.gz WLXNXCOIRWZLBD-UHFFFAOYSA-N 1 2 255.336 3.904 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCCC[C@](C)(F)C2)c1 ZINC001139589749 1131618665 /nfs/dbraw/zinc/61/86/65/1131618665.db2.gz PBRZYSKKFAOHDK-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCCC[C@](C)(F)C2)c1 ZINC001139589749 1131618667 /nfs/dbraw/zinc/61/86/67/1131618667.db2.gz PBRZYSKKFAOHDK-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2sc(Cl)nc2Cl)C1 ZINC001139953796 1131652502 /nfs/dbraw/zinc/65/25/02/1131652502.db2.gz UDTJUGDXMITPIS-SSDOTTSWSA-N 1 2 265.209 3.682 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2sc(Cl)nc2Cl)C1 ZINC001139953796 1131652504 /nfs/dbraw/zinc/65/25/04/1131652504.db2.gz UDTJUGDXMITPIS-SSDOTTSWSA-N 1 2 265.209 3.682 20 0 CHADLO C[N@H+](Cc1cnc2cccnc2c1)Cc1ccccc1Cl ZINC001139742173 1131633725 /nfs/dbraw/zinc/63/37/25/1131633725.db2.gz TZCTYWPSDPYLKB-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@@H+](Cc1cnc2cccnc2c1)Cc1ccccc1Cl ZINC001139742173 1131633726 /nfs/dbraw/zinc/63/37/26/1131633726.db2.gz TZCTYWPSDPYLKB-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO Cc1ccc(OC(=O)C[C@@H](C)n2cc[nH+]c2)c(Cl)c1C ZINC000845596466 1131645977 /nfs/dbraw/zinc/64/59/77/1131645977.db2.gz WPNUOKCNSCJKCN-LLVKDONJSA-N 1 2 292.766 3.710 20 0 CHADLO Oc1cc(F)c(C[NH+]2CCC3(CC[C@H](F)C3)CC2)c(F)c1 ZINC001144559115 1131658978 /nfs/dbraw/zinc/65/89/78/1131658978.db2.gz IWMYTOHDGALHSE-NSHDSACASA-N 1 2 299.336 3.775 20 0 CHADLO Oc1ccc(C[N@@H+]2CCc3sccc3C2)c(Cl)c1 ZINC001140081677 1131662424 /nfs/dbraw/zinc/66/24/24/1131662424.db2.gz AESMXYHLPFXXPE-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc(C[N@H+]2CCc3sccc3C2)c(Cl)c1 ZINC001140081677 1131662428 /nfs/dbraw/zinc/66/24/28/1131662428.db2.gz AESMXYHLPFXXPE-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO CC[N@H+](Cc1cccc(F)n1)Cc1cccc(F)c1F ZINC001140135791 1131666658 /nfs/dbraw/zinc/66/66/58/1131666658.db2.gz SDAOZRYFAZILFQ-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1cccc(F)n1)Cc1cccc(F)c1F ZINC001140135791 1131666661 /nfs/dbraw/zinc/66/66/61/1131666661.db2.gz SDAOZRYFAZILFQ-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CCC[C@H]1CC[C@H](C(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC001144638616 1131669835 /nfs/dbraw/zinc/66/98/35/1131669835.db2.gz MOUPMNGERSZAJE-HDJSIYSDSA-N 1 2 285.391 3.879 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1cc(F)c(O)cc1F ZINC001144667559 1131676925 /nfs/dbraw/zinc/67/69/25/1131676925.db2.gz WDKQCHYCBVUASW-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1cc(F)c(O)cc1F ZINC001144667559 1131676929 /nfs/dbraw/zinc/67/69/29/1131676929.db2.gz WDKQCHYCBVUASW-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccccc1-c1cccnc1 ZINC001140315077 1131695082 /nfs/dbraw/zinc/69/50/82/1131695082.db2.gz FQJPFUNPNRAPHA-HOTGVXAUSA-N 1 2 288.341 3.631 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1ccccc1-c1cccnc1 ZINC001140315077 1131695086 /nfs/dbraw/zinc/69/50/86/1131695086.db2.gz FQJPFUNPNRAPHA-HOTGVXAUSA-N 1 2 288.341 3.631 20 0 CHADLO Cc1ccc2n[nH]c(C[N@@H+]3CCc4c(F)cccc4C3)c2c1 ZINC001140333128 1131700445 /nfs/dbraw/zinc/70/04/45/1131700445.db2.gz CCWMXUSVHCCFTC-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1ccc2n[nH]c(C[N@H+]3CCc4c(F)cccc4C3)c2c1 ZINC001140333128 1131700449 /nfs/dbraw/zinc/70/04/49/1131700449.db2.gz CCWMXUSVHCCFTC-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Fc1ccccc1Oc1cccc(C[NH2+]Cc2ccon2)c1 ZINC001203031236 1131711241 /nfs/dbraw/zinc/71/12/41/1131711241.db2.gz DBRLGHZZWZQSPJ-UHFFFAOYSA-N 1 2 298.317 3.896 20 0 CHADLO CC(C)(C)Nc1nc(Cl)c(C[NH+]2CC(C)(C)C2)s1 ZINC001140390534 1131712230 /nfs/dbraw/zinc/71/22/30/1131712230.db2.gz RMZKOIFLGVBXFX-UHFFFAOYSA-N 1 2 287.860 3.849 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCSc3c(F)cccc32)co1 ZINC000834898639 1131723406 /nfs/dbraw/zinc/72/34/06/1131723406.db2.gz AMLUXKHKHJKZMT-ZDUSSCGKSA-N 1 2 292.379 3.703 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(SC)cc2)co1 ZINC000834899985 1131724861 /nfs/dbraw/zinc/72/48/61/1131724861.db2.gz LSVOTIQDCJAACM-NSHDSACASA-N 1 2 276.405 3.810 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(Cl)s2)co1 ZINC000834901289 1131725269 /nfs/dbraw/zinc/72/52/69/1131725269.db2.gz RVCUNHUAYXKPBG-MRVPVSSYSA-N 1 2 270.785 3.803 20 0 CHADLO COc1ccc([NH2+][C@H]2CCO[C@@H](C(C)(C)C)C2)c(OC)c1 ZINC000846752187 1131731655 /nfs/dbraw/zinc/73/16/55/1131731655.db2.gz XOSDUXHBIKYTCA-BLLLJJGKSA-N 1 2 293.407 3.709 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc(Cl)c(F)c1)c1nccs1 ZINC000846852976 1131751258 /nfs/dbraw/zinc/75/12/58/1131751258.db2.gz NRLQYYXFAKJPCN-JTQLQIEISA-N 1 2 285.775 3.572 20 0 CHADLO COc1cc(F)cc(C[N@@H+]2Cc3cccc(C)c3C2)c1 ZINC001140478657 1131757529 /nfs/dbraw/zinc/75/75/29/1131757529.db2.gz WAQDOBZTVPXLOL-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cc(F)cc(C[N@H+]2Cc3cccc(C)c3C2)c1 ZINC001140478657 1131757534 /nfs/dbraw/zinc/75/75/34/1131757534.db2.gz WAQDOBZTVPXLOL-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)[C@@H]1CO ZINC001140585162 1131809310 /nfs/dbraw/zinc/80/93/10/1131809310.db2.gz WOXXEDMISOGFHL-WCBMZHEXSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)[C@@H]1CO ZINC001140585162 1131809316 /nfs/dbraw/zinc/80/93/16/1131809316.db2.gz WOXXEDMISOGFHL-WCBMZHEXSA-N 1 2 294.247 3.648 20 0 CHADLO c1ccn(-c2ccc[nH+]c2N[C@H]2COCc3ccccc32)c1 ZINC001171291194 1131801982 /nfs/dbraw/zinc/80/19/82/1131801982.db2.gz XKONWCMKGPDXGL-INIZCTEOSA-N 1 2 291.354 3.556 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)sc2Cl)CC[C@]1(C)O ZINC001140584667 1131809630 /nfs/dbraw/zinc/80/96/30/1131809630.db2.gz ARDKJFJIKLHGRW-PELKAZGASA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)sc2Cl)CC[C@]1(C)O ZINC001140584667 1131809642 /nfs/dbraw/zinc/80/96/42/1131809642.db2.gz ARDKJFJIKLHGRW-PELKAZGASA-N 1 2 294.247 3.648 20 0 CHADLO CSCc1ccc(N[C@H]2CCC(C)(C)C2)[nH+]c1 ZINC001161033579 1131815864 /nfs/dbraw/zinc/81/58/64/1131815864.db2.gz MWIXAHFAFFMQKN-LBPRGKRZSA-N 1 2 250.411 3.935 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](C)c3cc(C)cnc3F)cc2[nH+]1 ZINC001228330203 1131858473 /nfs/dbraw/zinc/85/84/73/1131858473.db2.gz WEMSSLOGPPTHGE-SNVBAGLBSA-N 1 2 285.322 3.854 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](C)Cc3ccccc3)cc2[nH+]1 ZINC001228325568 1131859562 /nfs/dbraw/zinc/85/95/62/1131859562.db2.gz BAIJUPRRYXUFIF-LBPRGKRZSA-N 1 2 266.344 3.881 20 0 CHADLO Cc1[nH]c2ccc(OC[C@H](C)OCc3ccccc3)cc2[nH+]1 ZINC001228327611 1131860333 /nfs/dbraw/zinc/86/03/33/1131860333.db2.gz SCVYSTBSUYGPNK-ZDUSSCGKSA-N 1 2 296.370 3.855 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2c(C)ccc3ccccc32)n1 ZINC000348094110 1131880959 /nfs/dbraw/zinc/88/09/59/1131880959.db2.gz FPEBZHFCXDDXSZ-LBPRGKRZSA-N 1 2 281.359 3.690 20 0 CHADLO CNc1cccc(NC(=[NH2+])c2cccnc2Cl)c1C ZINC001171356128 1131911861 /nfs/dbraw/zinc/91/18/61/1131911861.db2.gz IWZJWDPNINAUDC-UHFFFAOYSA-N 1 2 274.755 3.523 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](C(C)C)C2)c(Cl)c1 ZINC001140800338 1131924829 /nfs/dbraw/zinc/92/48/29/1131924829.db2.gz CCMITGVXRRYTAT-OAHLLOKOSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](C(C)C)C2)c(Cl)c1 ZINC001140800338 1131924832 /nfs/dbraw/zinc/92/48/32/1131924832.db2.gz CCMITGVXRRYTAT-OAHLLOKOSA-N 1 2 267.800 3.505 20 0 CHADLO C[N@H+](Cc1c[nH]c2ccncc12)Cc1ccccc1Cl ZINC001140803505 1131927043 /nfs/dbraw/zinc/92/70/43/1131927043.db2.gz QXCDJKAUAZLHRP-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO C[N@@H+](Cc1c[nH]c2ccncc12)Cc1ccccc1Cl ZINC001140803505 1131927049 /nfs/dbraw/zinc/92/70/49/1131927049.db2.gz QXCDJKAUAZLHRP-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1ccc(O)c(F)c1F ZINC001140890172 1131958294 /nfs/dbraw/zinc/95/82/94/1131958294.db2.gz XSFAVDSEGBPUSE-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1ccc(O)c(F)c1F ZINC001140890172 1131958300 /nfs/dbraw/zinc/95/83/00/1131958300.db2.gz XSFAVDSEGBPUSE-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO c1coc(C[N@@H+]2CCc3ccccc3[C@@H]2c2ccccc2)n1 ZINC001141007333 1131983942 /nfs/dbraw/zinc/98/39/42/1131983942.db2.gz IBPVAMWAONZOEF-IBGZPJMESA-N 1 2 290.366 3.822 20 0 CHADLO c1coc(C[N@H+]2CCc3ccccc3[C@@H]2c2ccccc2)n1 ZINC001141007333 1131983946 /nfs/dbraw/zinc/98/39/46/1131983946.db2.gz IBPVAMWAONZOEF-IBGZPJMESA-N 1 2 290.366 3.822 20 0 CHADLO CCCCc1ncc(C[N@H+](C)Cc2ccc(CC)cc2)[nH]1 ZINC001141071311 1132003569 /nfs/dbraw/zinc/00/35/69/1132003569.db2.gz JKQBXFUMFNZHBU-UHFFFAOYSA-N 1 2 285.435 3.947 20 0 CHADLO CCCCc1ncc(C[N@@H+](C)Cc2ccc(CC)cc2)[nH]1 ZINC001141071311 1132003573 /nfs/dbraw/zinc/00/35/73/1132003573.db2.gz JKQBXFUMFNZHBU-UHFFFAOYSA-N 1 2 285.435 3.947 20 0 CHADLO CCCCc1nc(C[N@H+](C)Cc2ccc(CC)cc2)c[nH]1 ZINC001141071311 1132003577 /nfs/dbraw/zinc/00/35/77/1132003577.db2.gz JKQBXFUMFNZHBU-UHFFFAOYSA-N 1 2 285.435 3.947 20 0 CHADLO CCCCc1nc(C[N@@H+](C)Cc2ccc(CC)cc2)c[nH]1 ZINC001141071311 1132003580 /nfs/dbraw/zinc/00/35/80/1132003580.db2.gz JKQBXFUMFNZHBU-UHFFFAOYSA-N 1 2 285.435 3.947 20 0 CHADLO Clc1ccccc1C1CC[NH+](Cc2cocn2)CC1 ZINC001141130732 1132024230 /nfs/dbraw/zinc/02/42/30/1132024230.db2.gz YXUKMVTZMDRJOD-UHFFFAOYSA-N 1 2 276.767 3.708 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@H]3CCC[C@H]32)c(Cl)n1 ZINC001141136024 1132026149 /nfs/dbraw/zinc/02/61/49/1132026149.db2.gz JZRYLIXXOYEMFZ-MWLCHTKSSA-N 1 2 286.206 3.548 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@H]3CCC[C@H]32)c(Cl)n1 ZINC001141136024 1132026155 /nfs/dbraw/zinc/02/61/55/1132026155.db2.gz JZRYLIXXOYEMFZ-MWLCHTKSSA-N 1 2 286.206 3.548 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1ncc(Cl)nc1Cl ZINC001141137453 1132026802 /nfs/dbraw/zinc/02/68/02/1132026802.db2.gz WLFYZPOYTJOFOF-SECBINFHSA-N 1 2 274.195 3.548 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1ncc(Cl)nc1Cl ZINC001141137453 1132026807 /nfs/dbraw/zinc/02/68/07/1132026807.db2.gz WLFYZPOYTJOFOF-SECBINFHSA-N 1 2 274.195 3.548 20 0 CHADLO Clc1cnc(C[NH+]2CCCCCCC2)c(Cl)n1 ZINC001141136283 1132027251 /nfs/dbraw/zinc/02/72/51/1132027251.db2.gz VCOHNESAOCVHMP-UHFFFAOYSA-N 1 2 274.195 3.550 20 0 CHADLO CC1(C)CCC[C@H](C[NH+]2Cc3cc(F)c(F)cc3C2)O1 ZINC001171568243 1132059051 /nfs/dbraw/zinc/05/90/51/1132059051.db2.gz WCPRUGRJEJZJLN-CYBMUJFWSA-N 1 2 281.346 3.628 20 0 CHADLO CC[NH+]1CC(Oc2cc(O)ccc2[C@@H](C)c2ccccc2)C1 ZINC001229693015 1132106442 /nfs/dbraw/zinc/10/64/42/1132106442.db2.gz XAIQKQFUHCJOLS-AWEZNQCLSA-N 1 2 297.398 3.627 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@@H+]1Cc1cccnc1 ZINC001204078344 1132108704 /nfs/dbraw/zinc/10/87/04/1132108704.db2.gz TULLUIOHNBUTIF-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@H+]1Cc1cccnc1 ZINC001204078344 1132108705 /nfs/dbraw/zinc/10/87/05/1132108705.db2.gz TULLUIOHNBUTIF-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1ccncc1 ZINC001204081016 1132120144 /nfs/dbraw/zinc/12/01/44/1132120144.db2.gz GWFBVVIMHFGPEV-SJORKVTESA-N 1 2 276.424 3.845 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1ccncc1 ZINC001204081016 1132120147 /nfs/dbraw/zinc/12/01/47/1132120147.db2.gz GWFBVVIMHFGPEV-SJORKVTESA-N 1 2 276.424 3.845 20 0 CHADLO C[C@@H]1CCC[C@@H](Oc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001229955017 1132136662 /nfs/dbraw/zinc/13/66/62/1132136662.db2.gz XIVRQISVLASPOK-CZUORRHYSA-N 1 2 256.349 3.830 20 0 CHADLO C[C@@H]1CCC[C@H](Oc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001229955015 1132137444 /nfs/dbraw/zinc/13/74/44/1132137444.db2.gz XIVRQISVLASPOK-CJNGLKHVSA-N 1 2 256.349 3.830 20 0 CHADLO CCn1ncc(C[N@H+](CC)[C@@H](C)c2cc3ccccc3o2)n1 ZINC000838806422 1132148666 /nfs/dbraw/zinc/14/86/66/1132148666.db2.gz QCXDGWUFYZSOKE-ZDUSSCGKSA-N 1 2 298.390 3.627 20 0 CHADLO CCn1ncc(C[N@@H+](CC)[C@@H](C)c2cc3ccccc3o2)n1 ZINC000838806422 1132148670 /nfs/dbraw/zinc/14/86/70/1132148670.db2.gz QCXDGWUFYZSOKE-ZDUSSCGKSA-N 1 2 298.390 3.627 20 0 CHADLO C[C@H]1COCCN1c1ccc([NH2+]C2CC3(CCC3)C2)cc1 ZINC000838881427 1132155181 /nfs/dbraw/zinc/15/51/81/1132155181.db2.gz YJDIFDPKPZTDAF-AWEZNQCLSA-N 1 2 286.419 3.656 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(C)nc1Cl)c1nccs1 ZINC000838930569 1132159331 /nfs/dbraw/zinc/15/93/31/1132159331.db2.gz XBBYNBBELUWPTP-NSHDSACASA-N 1 2 281.812 3.741 20 0 CHADLO CCC1(c2ccccc2)C[NH+](Cc2cc(F)ncc2F)C1 ZINC000839186605 1132188609 /nfs/dbraw/zinc/18/86/09/1132188609.db2.gz OIGROJOEHKXJEJ-UHFFFAOYSA-N 1 2 288.341 3.523 20 0 CHADLO O[C@H](C[N@@H+]1Cc2ccc(Cl)cc2C1)c1ccc(F)cc1 ZINC000839194267 1132189914 /nfs/dbraw/zinc/18/99/14/1132189914.db2.gz JCFMHCJQQUQETK-MRXNPFEDSA-N 1 2 291.753 3.528 20 0 CHADLO O[C@H](C[N@H+]1Cc2ccc(Cl)cc2C1)c1ccc(F)cc1 ZINC000839194267 1132189918 /nfs/dbraw/zinc/18/99/18/1132189918.db2.gz JCFMHCJQQUQETK-MRXNPFEDSA-N 1 2 291.753 3.528 20 0 CHADLO CC[C@@H](CC(C)C)[N@H+]1CCOC[C@@H]1c1csc(C)n1 ZINC001172402004 1132222604 /nfs/dbraw/zinc/22/26/04/1132222604.db2.gz MGAHSXAMAXVIEP-DZGCQCFKSA-N 1 2 282.453 3.650 20 0 CHADLO CC[C@@H](CC(C)C)[N@@H+]1CCOC[C@@H]1c1csc(C)n1 ZINC001172402004 1132222598 /nfs/dbraw/zinc/22/25/98/1132222598.db2.gz MGAHSXAMAXVIEP-DZGCQCFKSA-N 1 2 282.453 3.650 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccc2cc(F)ccc2n1 ZINC001141651148 1132225421 /nfs/dbraw/zinc/22/54/21/1132225421.db2.gz YAQGSECMAFXYCK-ZDUSSCGKSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccc2cc(F)ccc2n1 ZINC001141651148 1132225425 /nfs/dbraw/zinc/22/54/25/1132225425.db2.gz YAQGSECMAFXYCK-ZDUSSCGKSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@@H]1CC[C@@H](Oc2cc3c(cc2O)C=[NH+]CC3)C[C@H]1C ZINC001230920343 1132227373 /nfs/dbraw/zinc/22/73/73/1132227373.db2.gz MHQYOPMHZZZEIU-LALPHHSUSA-N 1 2 273.376 3.571 20 0 CHADLO C[C@@H]1CC[C@@H](Oc2cc3c(cc2O)C=[NH+]CC3)C[C@@H]1C ZINC001230920344 1132227396 /nfs/dbraw/zinc/22/73/96/1132227396.db2.gz MHQYOPMHZZZEIU-TYNCELHUSA-N 1 2 273.376 3.571 20 0 CHADLO CC(C)=CCC[C@@H](C)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230919941 1132227736 /nfs/dbraw/zinc/22/77/36/1132227736.db2.gz GXPQYGUDOCJUJY-CYBMUJFWSA-N 1 2 273.376 3.881 20 0 CHADLO C[C@@H]1CC[C@H](C)[C@@H](Oc2cc3c(cc2O)C=[NH+]CC3)C1 ZINC001230919583 1132227894 /nfs/dbraw/zinc/22/78/94/1132227894.db2.gz DVBJQOIPYVWGSL-WQGACYEGSA-N 1 2 273.376 3.571 20 0 CHADLO Cc1cccc([C@H](C)Oc2cc3c(cc2O)C=[NH+]CC3)c1 ZINC001230919633 1132228209 /nfs/dbraw/zinc/22/82/09/1132228209.db2.gz FZVGQQVQRHQMFE-ZDUSSCGKSA-N 1 2 281.355 3.816 20 0 CHADLO COc1ccc([C@@H](C)Oc2cc3c(cc2O)C=[NH+]CC3)cc1 ZINC001230920916 1132228267 /nfs/dbraw/zinc/22/82/67/1132228267.db2.gz XNQLRWWJNOBUEJ-GFCCVEGCSA-N 1 2 297.354 3.516 20 0 CHADLO Cc1cccc2c1CC[C@@H]2Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230915625 1132228411 /nfs/dbraw/zinc/22/84/11/1132228411.db2.gz SNLRZXJYPMLUHR-SFHVURJKSA-N 1 2 293.366 3.742 20 0 CHADLO CC1(C)CCC[C@@H](Oc2cc3c(cc2O)C=[NH+]CC3)C1 ZINC001230921358 1132229226 /nfs/dbraw/zinc/22/92/26/1132229226.db2.gz LOXYNHFSZVQXOF-CQSZACIVSA-N 1 2 273.376 3.715 20 0 CHADLO S=c1ncccn1C[N@@H+]1CC2(CCC2)[C@H]1c1ccccc1 ZINC000840076609 1132245393 /nfs/dbraw/zinc/24/53/93/1132245393.db2.gz FTIXEHRGOUCMEX-OAHLLOKOSA-N 1 2 297.427 3.797 20 0 CHADLO S=c1ncccn1C[N@H+]1CC2(CCC2)[C@H]1c1ccccc1 ZINC000840076609 1132245400 /nfs/dbraw/zinc/24/54/00/1132245400.db2.gz FTIXEHRGOUCMEX-OAHLLOKOSA-N 1 2 297.427 3.797 20 0 CHADLO C[C@@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1ccco1 ZINC001231083275 1132253554 /nfs/dbraw/zinc/25/35/54/1132253554.db2.gz HPLKNZPQUHHJIK-GFCCVEGCSA-N 1 2 268.316 3.820 20 0 CHADLO C(=C/c1ccc(C[N@@H+]2CCC23COC3)cc1)\c1ccccc1 ZINC001231489061 1132303563 /nfs/dbraw/zinc/30/35/63/1132303563.db2.gz OATRHSQDDMTLRP-VOTSOKGWSA-N 1 2 291.394 3.832 20 0 CHADLO C(=C/c1ccc(C[N@H+]2CCC23COC3)cc1)\c1ccccc1 ZINC001231489061 1132303566 /nfs/dbraw/zinc/30/35/66/1132303566.db2.gz OATRHSQDDMTLRP-VOTSOKGWSA-N 1 2 291.394 3.832 20 0 CHADLO Fc1cc2cc(C[NH+]3CC=CC3)c(Cl)nc2cc1F ZINC001142034994 1132304209 /nfs/dbraw/zinc/30/42/09/1132304209.db2.gz CCCXYSMMMVFNIP-UHFFFAOYSA-N 1 2 280.705 3.538 20 0 CHADLO COCC[N@H+](Cc1c(Cl)cc(O)cc1Cl)C(C)C ZINC001142114796 1132327254 /nfs/dbraw/zinc/32/72/54/1132327254.db2.gz PBLDPWUWZLOOFH-UHFFFAOYSA-N 1 2 292.206 3.556 20 0 CHADLO COCC[N@@H+](Cc1c(Cl)cc(O)cc1Cl)C(C)C ZINC001142114796 1132327262 /nfs/dbraw/zinc/32/72/62/1132327262.db2.gz PBLDPWUWZLOOFH-UHFFFAOYSA-N 1 2 292.206 3.556 20 0 CHADLO Oc1cc(Cl)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c(Cl)c1 ZINC001142111497 1132327764 /nfs/dbraw/zinc/32/77/64/1132327764.db2.gz NVMSHLILXKDTDL-UVPNAGLESA-N 1 2 290.165 3.631 20 0 CHADLO Oc1cc(Cl)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c(Cl)c1 ZINC001142111497 1132327772 /nfs/dbraw/zinc/32/77/72/1132327772.db2.gz NVMSHLILXKDTDL-UVPNAGLESA-N 1 2 290.165 3.631 20 0 CHADLO F[C@@H]1CCCC[N@H+](Cc2coc(-c3ccccc3)n2)C1 ZINC001231630627 1132330377 /nfs/dbraw/zinc/33/03/77/1132330377.db2.gz SHROAOOHIJHXFQ-CQSZACIVSA-N 1 2 274.339 3.666 20 0 CHADLO F[C@@H]1CCCC[N@@H+](Cc2coc(-c3ccccc3)n2)C1 ZINC001231630627 1132330384 /nfs/dbraw/zinc/33/03/84/1132330384.db2.gz SHROAOOHIJHXFQ-CQSZACIVSA-N 1 2 274.339 3.666 20 0 CHADLO CC(C)Cc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)on1 ZINC001212693277 1132362451 /nfs/dbraw/zinc/36/24/51/1132362451.db2.gz MTDZUTBFXQLPSM-UHFFFAOYSA-N 1 2 296.374 3.862 20 0 CHADLO CCCc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)on1 ZINC001212692410 1132372394 /nfs/dbraw/zinc/37/23/94/1132372394.db2.gz RSAKNPRKDDPYLT-UHFFFAOYSA-N 1 2 282.347 3.616 20 0 CHADLO CCc1ccc(N)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212700188 1132377726 /nfs/dbraw/zinc/37/77/26/1132377726.db2.gz YFWYNVUBKZZFCH-UHFFFAOYSA-N 1 2 292.386 3.820 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc4oncc4c3)cc2)c[nH+]1 ZINC001212697594 1132377745 /nfs/dbraw/zinc/37/77/45/1132377745.db2.gz HXXNSTWUVXHDFF-UHFFFAOYSA-N 1 2 290.326 3.816 20 0 CHADLO C[C@H](Cc1ccc(OC(F)(F)F)cc1)[NH2+][C@@H]1CC1(F)F ZINC001173074170 1132388232 /nfs/dbraw/zinc/38/82/32/1132388232.db2.gz SOEZQNIKIJRSLB-LDYMZIIASA-N 1 2 295.251 3.513 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1cc(Cl)cnc1F ZINC001231993343 1132406864 /nfs/dbraw/zinc/40/68/64/1132406864.db2.gz SLJVNRJIXZKWDW-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1cc(Cl)cnc1F ZINC001231993343 1132406869 /nfs/dbraw/zinc/40/68/69/1132406869.db2.gz SLJVNRJIXZKWDW-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cc(Cl)cnc2F)c1 ZINC001231992939 1132407092 /nfs/dbraw/zinc/40/70/92/1132407092.db2.gz OOFTUUYMNMORGU-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cc(Cl)cnc2F)c1 ZINC001231992939 1132407097 /nfs/dbraw/zinc/40/70/97/1132407097.db2.gz OOFTUUYMNMORGU-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(F)cc2F)cc(C)n1 ZINC001232003380 1132409676 /nfs/dbraw/zinc/40/96/76/1132409676.db2.gz NZOCKRLIRXXZDK-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(F)cc2F)cc(C)n1 ZINC001232003380 1132409679 /nfs/dbraw/zinc/40/96/79/1132409679.db2.gz NZOCKRLIRXXZDK-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO COc1ccnc(Cl)c1C[NH+]1CC2(C1)CCCCC2 ZINC001232154114 1132428043 /nfs/dbraw/zinc/42/80/43/1132428043.db2.gz IBANOKBPXNNUGF-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(Cl)ccc2F)cc1 ZINC001232158669 1132429185 /nfs/dbraw/zinc/42/91/85/1132429185.db2.gz MJEKAYXVKUXCID-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(Cl)ccc2F)cc1 ZINC001232158669 1132429192 /nfs/dbraw/zinc/42/91/92/1132429192.db2.gz MJEKAYXVKUXCID-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@@H+]2Cc2ccns2)c1 ZINC001232194946 1132433773 /nfs/dbraw/zinc/43/37/73/1132433773.db2.gz KJZFDWMNELENKX-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@H+]2Cc2ccns2)c1 ZINC001232194946 1132433776 /nfs/dbraw/zinc/43/37/76/1132433776.db2.gz KJZFDWMNELENKX-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO CC(C)(C)c1cc(C[NH+]2Cc3ccccc3C2)ccn1 ZINC001232211722 1132436931 /nfs/dbraw/zinc/43/69/31/1132436931.db2.gz CSFGVICVSXZUKV-UHFFFAOYSA-N 1 2 266.388 3.895 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccnc2c(F)cccc12 ZINC001232211819 1132437128 /nfs/dbraw/zinc/43/71/28/1132437128.db2.gz LBYXXYMWMZNTGX-ZDUSSCGKSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccnc2c(F)cccc12 ZINC001232211819 1132437129 /nfs/dbraw/zinc/43/71/29/1132437129.db2.gz LBYXXYMWMZNTGX-ZDUSSCGKSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1cnsc1 ZINC001232229587 1132440145 /nfs/dbraw/zinc/44/01/45/1132440145.db2.gz QCXZNOKPRHPARR-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1cnsc1 ZINC001232229587 1132440148 /nfs/dbraw/zinc/44/01/48/1132440148.db2.gz QCXZNOKPRHPARR-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[N@H+](Cc1ccnc(C(F)(F)F)c1)Cc1ccccc1F ZINC001232242188 1132441925 /nfs/dbraw/zinc/44/19/25/1132441925.db2.gz ZRYAMXGZGAZLST-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccnc(C(F)(F)F)c1)Cc1ccccc1F ZINC001232242188 1132441928 /nfs/dbraw/zinc/44/19/28/1132441928.db2.gz ZRYAMXGZGAZLST-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCc3ccsc3C2)cc1F ZINC001232423047 1132470488 /nfs/dbraw/zinc/47/04/88/1132470488.db2.gz LIZOTFSGXXZELW-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCc3ccsc3C2)cc1F ZINC001232423047 1132470493 /nfs/dbraw/zinc/47/04/93/1132470493.db2.gz LIZOTFSGXXZELW-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(C(F)(F)F)o2)cn1 ZINC001232446101 1132471157 /nfs/dbraw/zinc/47/11/57/1132471157.db2.gz ICYXNJKJVWRFHQ-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(C(F)(F)F)o2)cn1 ZINC001232446101 1132471163 /nfs/dbraw/zinc/47/11/63/1132471163.db2.gz ICYXNJKJVWRFHQ-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cccc(F)c1C[N@H+](C)Cc1ccc(Cl)nc1 ZINC001232483290 1132477662 /nfs/dbraw/zinc/47/76/62/1132477662.db2.gz FSXCDYQTJLKPCB-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(F)c1C[N@@H+](C)Cc1ccc(Cl)nc1 ZINC001232483290 1132477664 /nfs/dbraw/zinc/47/76/64/1132477664.db2.gz FSXCDYQTJLKPCB-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCOc1ccnc(C[N@H+](CC)Cc2ccccc2F)c1 ZINC001232584696 1132489708 /nfs/dbraw/zinc/48/97/08/1132489708.db2.gz LBYTZDCGASOJFM-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CCOc1ccnc(C[N@@H+](CC)Cc2ccccc2F)c1 ZINC001232584696 1132489713 /nfs/dbraw/zinc/48/97/13/1132489713.db2.gz LBYTZDCGASOJFM-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CC[NH2+]Cc1noc(/C=C\c2ccc(C(C)(C)C)cc2)n1 ZINC000843144591 1132496447 /nfs/dbraw/zinc/49/64/47/1132496447.db2.gz VQTGGUZXFQIFAM-FLIBITNWSA-N 1 2 285.391 3.647 20 0 CHADLO COc1cc(C)cc(C[N@H+](C)Cc2cnc(Cl)s2)c1 ZINC001143150813 1132508032 /nfs/dbraw/zinc/50/80/32/1132508032.db2.gz WRFLOYDNGDAZOV-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1cc(C)cc(C[N@@H+](C)Cc2cnc(Cl)s2)c1 ZINC001143150813 1132508042 /nfs/dbraw/zinc/50/80/42/1132508042.db2.gz WRFLOYDNGDAZOV-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1cc(C)c(F)cc1F ZINC001232785993 1132521111 /nfs/dbraw/zinc/52/11/11/1132521111.db2.gz FWDFRURQTQFCSR-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1cc(C)c(F)cc1F ZINC001232785993 1132521118 /nfs/dbraw/zinc/52/11/18/1132521118.db2.gz FWDFRURQTQFCSR-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO FC(F)c1ccc(C[NH+]2CC(Oc3ccccc3)C2)cc1 ZINC001143250820 1132524433 /nfs/dbraw/zinc/52/44/33/1132524433.db2.gz GFHMLYDITDJGBY-UHFFFAOYSA-N 1 2 289.325 3.887 20 0 CHADLO C[N@H+](Cc1cc(Br)co1)Cc1ccccc1F ZINC001232855118 1132531016 /nfs/dbraw/zinc/53/10/16/1132531016.db2.gz XOZDWXGFAXROMA-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+]1CCc2cc(Cl)nnc2C1 ZINC000843417719 1132530991 /nfs/dbraw/zinc/53/09/91/1132530991.db2.gz AWYRGFVWCBUIOK-UKTHLTGXSA-N 1 2 299.805 3.592 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+]1CCc2cc(Cl)nnc2C1 ZINC000843417719 1132531001 /nfs/dbraw/zinc/53/10/01/1132531001.db2.gz AWYRGFVWCBUIOK-UKTHLTGXSA-N 1 2 299.805 3.592 20 0 CHADLO Fc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1 ZINC001173798439 1132532009 /nfs/dbraw/zinc/53/20/09/1132532009.db2.gz XGVQSTGWZBCEHP-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO Cc1cc(O)cc(C[N@H+](C)Cc2nc3ccccc3s2)c1 ZINC001232877866 1132535206 /nfs/dbraw/zinc/53/52/06/1132535206.db2.gz DHQSLIKCFLQTIA-UHFFFAOYSA-N 1 2 298.411 3.942 20 0 CHADLO Cc1cc(O)cc(C[N@@H+](C)Cc2nc3ccccc3s2)c1 ZINC001232877866 1132535212 /nfs/dbraw/zinc/53/52/12/1132535212.db2.gz DHQSLIKCFLQTIA-UHFFFAOYSA-N 1 2 298.411 3.942 20 0 CHADLO Oc1c(F)ccc(C[NH+]2CCC3(CC[C@@H](F)C3)CC2)c1F ZINC001232937211 1132544642 /nfs/dbraw/zinc/54/46/42/1132544642.db2.gz KJAFYAKEWAGTKH-GFCCVEGCSA-N 1 2 299.336 3.775 20 0 CHADLO Oc1c(F)ccc(C[N@@H+]2CC[C@H]3CCCC[C@@H]3C2)c1F ZINC001232938619 1132546029 /nfs/dbraw/zinc/54/60/29/1132546029.db2.gz BVZZEPXKSHFCGD-VXGBXAGGSA-N 1 2 281.346 3.683 20 0 CHADLO Oc1c(F)ccc(C[N@H+]2CC[C@H]3CCCC[C@@H]3C2)c1F ZINC001232938619 1132546033 /nfs/dbraw/zinc/54/60/33/1132546033.db2.gz BVZZEPXKSHFCGD-VXGBXAGGSA-N 1 2 281.346 3.683 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H](C)c3ccccc3C)cc2[nH+]1 ZINC001150106590 1132551394 /nfs/dbraw/zinc/55/13/94/1132551394.db2.gz NHEPNYPRSADLMG-LBPRGKRZSA-N 1 2 293.370 3.922 20 0 CHADLO c1cncc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001173855707 1132558132 /nfs/dbraw/zinc/55/81/32/1132558132.db2.gz HYXQAAYWNOLNQL-UHFFFAOYSA-N 1 2 253.349 3.816 20 0 CHADLO C(=Cc1ccccc1)Nc1ccccc1-n1cc[nH+]c1 ZINC001173871938 1132574979 /nfs/dbraw/zinc/57/49/79/1132574979.db2.gz BKDGPWPSFKIQRK-ZHACJKMWSA-N 1 2 261.328 3.955 20 0 CHADLO CC[C@@H](C)C1CCC(NC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001151979511 1132576937 /nfs/dbraw/zinc/57/69/37/1132576937.db2.gz QTTZLGBYSIZAKU-DNOWBOINSA-N 1 2 299.418 3.898 20 0 CHADLO Fc1c(Cl)ccc(C[NH+]2C3CCC2CC3)c1F ZINC001233211544 1132581213 /nfs/dbraw/zinc/58/12/13/1132581213.db2.gz YQEKMHRYEFPAPD-UHFFFAOYSA-N 1 2 257.711 3.745 20 0 CHADLO CC[N@H+](Cc1nc(C)cs1)Cc1ccc(F)cc1 ZINC001204379336 1132583562 /nfs/dbraw/zinc/58/35/62/1132583562.db2.gz LTIUPTLRXQJBKW-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1nc(C)cs1)Cc1ccc(F)cc1 ZINC001204379336 1132583566 /nfs/dbraw/zinc/58/35/66/1132583566.db2.gz LTIUPTLRXQJBKW-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO Fc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001173835824 1132590646 /nfs/dbraw/zinc/59/06/46/1132590646.db2.gz XIQHZBBRVKHJGB-UHFFFAOYSA-N 1 2 253.280 3.755 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001173897560 1132601364 /nfs/dbraw/zinc/60/13/64/1132601364.db2.gz ASIDCTZSULHFTP-UHFFFAOYSA-N 1 2 291.354 3.878 20 0 CHADLO CC(=O)c1cccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001173899589 1132603414 /nfs/dbraw/zinc/60/34/14/1132603414.db2.gz RLMLSMWGFLDQRX-UHFFFAOYSA-N 1 2 277.327 3.819 20 0 CHADLO CSc1cc(Nc2cc(F)cc(F)c2)cc[nH+]1 ZINC001173913897 1132609618 /nfs/dbraw/zinc/60/96/18/1132609618.db2.gz IZJZIQLFSFPREJ-UHFFFAOYSA-N 1 2 252.289 3.825 20 0 CHADLO C[N@H+](Cc1ccc(N)cc1Cl)Cc1cccc(F)c1F ZINC001233376860 1132612401 /nfs/dbraw/zinc/61/24/01/1132612401.db2.gz DATKDINOSQSCRV-UHFFFAOYSA-N 1 2 296.748 3.832 20 0 CHADLO C[N@@H+](Cc1ccc(N)cc1Cl)Cc1cccc(F)c1F ZINC001233376860 1132612407 /nfs/dbraw/zinc/61/24/07/1132612407.db2.gz DATKDINOSQSCRV-UHFFFAOYSA-N 1 2 296.748 3.832 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)ccc(O)c2F)CCC1(F)F ZINC001233393806 1132615028 /nfs/dbraw/zinc/61/50/28/1132615028.db2.gz NGUCZHBGLITRGL-UHFFFAOYSA-N 1 2 291.288 3.538 20 0 CHADLO CCOc1cc(Nc2c(OC)cccc2OC)cc(C)[nH+]1 ZINC001173923218 1132616082 /nfs/dbraw/zinc/61/60/82/1132616082.db2.gz BYGDSLHJJZYKKB-UHFFFAOYSA-N 1 2 288.347 3.550 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc3[nH+]ccn32)cc1C ZINC001154523516 1132616957 /nfs/dbraw/zinc/61/69/57/1132616957.db2.gz DTOVUAQHHODPOY-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO C[N@H+](Cc1c(Cl)ncnc1Cl)C1CCCCC1 ZINC001233406812 1132619400 /nfs/dbraw/zinc/61/94/00/1132619400.db2.gz FROJATJXRFCKTP-UHFFFAOYSA-N 1 2 274.195 3.548 20 0 CHADLO C[N@@H+](Cc1c(Cl)ncnc1Cl)C1CCCCC1 ZINC001233406812 1132619404 /nfs/dbraw/zinc/61/94/04/1132619404.db2.gz FROJATJXRFCKTP-UHFFFAOYSA-N 1 2 274.195 3.548 20 0 CHADLO CC(C)(C)OC(=O)n1ccc(C[N@@H+]2CCCC[C@@H](F)C2)c1 ZINC001233429730 1132622499 /nfs/dbraw/zinc/62/24/99/1132622499.db2.gz LBIRJBLTZSTMMM-CQSZACIVSA-N 1 2 296.386 3.595 20 0 CHADLO CC(C)(C)OC(=O)n1ccc(C[N@H+]2CCCC[C@@H](F)C2)c1 ZINC001233429730 1132622505 /nfs/dbraw/zinc/62/25/05/1132622505.db2.gz LBIRJBLTZSTMMM-CQSZACIVSA-N 1 2 296.386 3.595 20 0 CHADLO Cc1cc(C)n(CCNc2cc(C)c3cccc(C)c3[nH+]2)n1 ZINC001154779734 1132624518 /nfs/dbraw/zinc/62/45/18/1132624518.db2.gz DQBDCMCATVBSLN-UHFFFAOYSA-N 1 2 294.402 3.777 20 0 CHADLO CSc1nc(NCc2ccc3c(ccn3C)c2)cc(C)[nH+]1 ZINC001154800317 1132625659 /nfs/dbraw/zinc/62/56/59/1132625659.db2.gz RQFCXKTXSXQBHC-UHFFFAOYSA-N 1 2 298.415 3.611 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1cncc(Cl)n1 ZINC001233450503 1132625920 /nfs/dbraw/zinc/62/59/20/1132625920.db2.gz XQPTXWFRYZLAFU-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1cncc(Cl)n1 ZINC001233450503 1132625923 /nfs/dbraw/zinc/62/59/23/1132625923.db2.gz XQPTXWFRYZLAFU-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO COc1ccc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001173960662 1132633269 /nfs/dbraw/zinc/63/32/69/1132633269.db2.gz JTDKANABGWSPMU-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO CC(=O)c1ccc2c(c1)CN(c1ccc(C(C)C)c[nH+]1)CC2 ZINC001155079841 1132642648 /nfs/dbraw/zinc/64/26/48/1132642648.db2.gz NGSLNGXGYGFVLF-UHFFFAOYSA-N 1 2 294.398 3.970 20 0 CHADLO COc1cccc(CCNc2[nH+]c3ccccc3cc2C)n1 ZINC001155236754 1132651314 /nfs/dbraw/zinc/65/13/14/1132651314.db2.gz GAYFFSJCGBPTJW-UHFFFAOYSA-N 1 2 293.370 3.601 20 0 CHADLO NC(=O)c1ccccc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001173982494 1132661618 /nfs/dbraw/zinc/66/16/18/1132661618.db2.gz QMXMLYGNRFWAJM-UHFFFAOYSA-N 1 2 295.386 3.519 20 0 CHADLO Cc1cc(C)cc(CNc2ccc(Cl)c(N)[nH+]2)c1 ZINC001155903251 1132671166 /nfs/dbraw/zinc/67/11/66/1132671166.db2.gz NBSSMKFTDAIKJQ-UHFFFAOYSA-N 1 2 261.756 3.546 20 0 CHADLO CSCc1cc[nH+]c(N(C)CC/C=C/c2cccnc2)c1 ZINC001155976314 1132674506 /nfs/dbraw/zinc/67/45/06/1132674506.db2.gz GOIBTZVTSDNYIE-ZZXKWVIFSA-N 1 2 299.443 3.879 20 0 CHADLO CC1(Nc2[nH+]cnc3[nH]c4cc(F)ccc4c32)CCC1 ZINC001156075277 1132680519 /nfs/dbraw/zinc/68/05/19/1132680519.db2.gz BLUYFDGNLCRINK-UHFFFAOYSA-N 1 2 270.311 3.605 20 0 CHADLO CC(=O)Nc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001173976398 1132685219 /nfs/dbraw/zinc/68/52/19/1132685219.db2.gz FTMLVSDKLFHPRN-UHFFFAOYSA-N 1 2 292.342 3.574 20 0 CHADLO CCSc1cc[nH+]c(NCc2ccc(NC)cc2)c1 ZINC001156142006 1132685339 /nfs/dbraw/zinc/68/53/39/1132685339.db2.gz IDRKRTPILPRVPE-UHFFFAOYSA-N 1 2 273.405 3.847 20 0 CHADLO COc1c[nH+]c(NCc2cnc3ccccc3c2)c(C)c1 ZINC001156175097 1132686451 /nfs/dbraw/zinc/68/64/51/1132686451.db2.gz LLBRPRIADSMLCC-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO Cc1cccc2c(C)cc(NC[C@H]3CCCCO3)[nH+]c12 ZINC001156369368 1132690701 /nfs/dbraw/zinc/69/07/01/1132690701.db2.gz HEARHEZXFHISJJ-CQSZACIVSA-N 1 2 270.376 3.833 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)NC(=O)C3(C)C)c(C)[nH+]1 ZINC001203377037 1132690912 /nfs/dbraw/zinc/69/09/12/1132690912.db2.gz ZGSMVWAAVPIQPZ-UHFFFAOYSA-N 1 2 281.359 3.672 20 0 CHADLO COc1ccc2cc[nH+]c(N3C[C@H](C)CC34CC4)c2c1 ZINC001156418497 1132693135 /nfs/dbraw/zinc/69/31/35/1132693135.db2.gz DIHJYOLMATULHK-GFCCVEGCSA-N 1 2 268.360 3.622 20 0 CHADLO COc1cc2[nH+]c(N3C[C@H](C)CC34CC4)ccc2c(OC)c1 ZINC001156423770 1132694593 /nfs/dbraw/zinc/69/45/93/1132694593.db2.gz ASAQIDBYWZUCPT-GFCCVEGCSA-N 1 2 298.386 3.631 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC)cc1C ZINC001174022062 1132695750 /nfs/dbraw/zinc/69/57/50/1132695750.db2.gz HYDFFXCXDLCBSD-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CCSc1cc[nH+]c(NCCc2ccsc2)c1 ZINC001156523941 1132703029 /nfs/dbraw/zinc/70/30/29/1132703029.db2.gz ZKLSXGXLWNDKKL-UHFFFAOYSA-N 1 2 264.419 3.910 20 0 CHADLO COCc1cccc(Nc2cc[nH+]c(SC)c2)c1 ZINC001174093920 1132711383 /nfs/dbraw/zinc/71/13/83/1132711383.db2.gz DBNDZGLWZKJTAP-UHFFFAOYSA-N 1 2 260.362 3.694 20 0 CHADLO COCc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001174094604 1132711600 /nfs/dbraw/zinc/71/16/00/1132711600.db2.gz APHGHAJWWDHJNS-UHFFFAOYSA-N 1 2 293.370 3.821 20 0 CHADLO Cc1nc2cc(CNc3cc(C(C)C)[nH+]c(C)n3)ccc2o1 ZINC001156925695 1132716561 /nfs/dbraw/zinc/71/65/61/1132716561.db2.gz MDPTYDSFMZHECJ-UHFFFAOYSA-N 1 2 296.374 3.970 20 0 CHADLO COCc1ccccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001174102819 1132719526 /nfs/dbraw/zinc/71/95/26/1132719526.db2.gz DQPACPRJCJHZGK-UHFFFAOYSA-N 1 2 293.370 3.821 20 0 CHADLO COc1ccc2[nH+]c(N3CCC[C@@H]4C[C@@H]43)cc(C)c2c1 ZINC001157135530 1132723212 /nfs/dbraw/zinc/72/32/12/1132723212.db2.gz VDYYAEGVQLXALM-WBMJQRKESA-N 1 2 268.360 3.541 20 0 CHADLO CC(C)Oc1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001174109544 1132724839 /nfs/dbraw/zinc/72/48/39/1132724839.db2.gz LYYKQZSZQWFOFS-UHFFFAOYSA-N 1 2 267.332 3.865 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(OC(C)C)cc1 ZINC001174109339 1132725046 /nfs/dbraw/zinc/72/50/46/1132725046.db2.gz RMTYEEKEWRPPLQ-UHFFFAOYSA-N 1 2 281.359 3.703 20 0 CHADLO CC(C)Oc1cccc(Nc2[nH+]cccc2N(C)C)c1 ZINC001174113111 1132727590 /nfs/dbraw/zinc/72/75/90/1132727590.db2.gz RWQXUURNEYWDMK-UHFFFAOYSA-N 1 2 271.364 3.678 20 0 CHADLO CCN(Cc1cccc(F)c1F)c1cc(N)cc(Cl)[nH+]1 ZINC001157363460 1132729025 /nfs/dbraw/zinc/72/90/25/1132729025.db2.gz NXPJJEQMHYVIPA-UHFFFAOYSA-N 1 2 297.736 3.622 20 0 CHADLO Cc1cccc2c(C)cc(NCCOC(F)(F)F)[nH+]c12 ZINC001157385363 1132729840 /nfs/dbraw/zinc/72/98/40/1132729840.db2.gz JUBCRIDQCVFWAO-UHFFFAOYSA-N 1 2 284.281 3.800 20 0 CHADLO CCOc1cc(Nc2cccc(CO)c2Cl)cc(C)[nH+]1 ZINC001212761611 1132732738 /nfs/dbraw/zinc/73/27/38/1132732738.db2.gz RGDWYBMLISLDFO-UHFFFAOYSA-N 1 2 292.766 3.678 20 0 CHADLO Cc1cccc(C2(Nc3[nH+]ccc4ccccc43)COC2)c1 ZINC001157674136 1132737483 /nfs/dbraw/zinc/73/74/83/1132737483.db2.gz KNJTZLOKPCEZAU-UHFFFAOYSA-N 1 2 290.366 3.881 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cnn(Cc3ccccc3)c2)c1 ZINC001174131592 1132740563 /nfs/dbraw/zinc/74/05/63/1132740563.db2.gz MGGGSWWFXJHVCR-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO CCCc1ccc(CNc2[nH+]c(C)nc3[nH]ccc32)cc1 ZINC001157830109 1132744905 /nfs/dbraw/zinc/74/49/05/1132744905.db2.gz ZZONIWIPCBMFAC-UHFFFAOYSA-N 1 2 280.375 3.831 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1N[C@@H](C)C(C)(C)C ZINC001157878416 1132750814 /nfs/dbraw/zinc/75/08/14/1132750814.db2.gz WXPSMBITOGIFOL-NSHDSACASA-N 1 2 266.385 3.528 20 0 CHADLO CCN(CC)C(=O)c1cccc(Nc2ccc(C)[nH+]c2C)c1 ZINC001174164398 1132751119 /nfs/dbraw/zinc/75/11/19/1132751119.db2.gz IHXXYBWVLIUQLY-UHFFFAOYSA-N 1 2 297.402 3.924 20 0 CHADLO COc1ccc(Nc2ccc3c(c2)[nH+]cn3C)cc1Cl ZINC001174155710 1132751197 /nfs/dbraw/zinc/75/11/97/1132751197.db2.gz WYSJAWIPXUEATH-UHFFFAOYSA-N 1 2 287.750 3.979 20 0 CHADLO CSc1ccc(C[N@@H+]2CCCC(F)(F)[C@@H](F)C2)cc1 ZINC001204138543 1132753242 /nfs/dbraw/zinc/75/32/42/1132753242.db2.gz LXWXASUBRGHEJJ-ZDUSSCGKSA-N 1 2 289.366 3.978 20 0 CHADLO CSc1ccc(C[N@H+]2CCCC(F)(F)[C@@H](F)C2)cc1 ZINC001204138543 1132753244 /nfs/dbraw/zinc/75/32/44/1132753244.db2.gz LXWXASUBRGHEJJ-ZDUSSCGKSA-N 1 2 289.366 3.978 20 0 CHADLO Cc1cccc(Nc2cccc(C(=O)N3CCCCC3)c2)[nH+]1 ZINC001174173102 1132753415 /nfs/dbraw/zinc/75/34/15/1132753415.db2.gz LDKZEPNMMWAGLR-UHFFFAOYSA-N 1 2 295.386 3.760 20 0 CHADLO CCCCNC(=O)c1cccc(Nc2cc(C)cc[nH+]2)c1 ZINC001174189139 1132755161 /nfs/dbraw/zinc/75/51/61/1132755161.db2.gz LVJGLCBIZKDLAK-UHFFFAOYSA-N 1 2 283.375 3.664 20 0 CHADLO Cc1ccnc(CCNc2[nH+]c(C)cc(Cl)c2C)c1 ZINC001158434135 1132776028 /nfs/dbraw/zinc/77/60/28/1132776028.db2.gz REAJGAHNHIMLAZ-UHFFFAOYSA-N 1 2 275.783 3.710 20 0 CHADLO c1cnn(-c2cccc(Nc3[nH+]cccc3C3CC3)c2)c1 ZINC001174299171 1132778437 /nfs/dbraw/zinc/77/84/37/1132778437.db2.gz TXOOCNVHYMHBPC-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO c1cn(Cc2cccc(Nc3ccc4n[nH]cc4c3)c2)c[nH+]1 ZINC001174274808 1132782747 /nfs/dbraw/zinc/78/27/47/1132782747.db2.gz JMVRIBMAJXGNML-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO Clc1cc(Nc2ccc3n[nH]cc3c2)cn2cc[nH+]c12 ZINC001174273619 1132783167 /nfs/dbraw/zinc/78/31/67/1132783167.db2.gz DBLQMRYAOURXFJ-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO Clc1ccc2[nH+]ccc(Nc3ccc4n[nH]cc4c3)c2c1 ZINC001174274428 1132783202 /nfs/dbraw/zinc/78/32/02/1132783202.db2.gz WEDICQOCZUYGNC-UHFFFAOYSA-N 1 2 294.745 3.930 20 0 CHADLO Cc1cc(NC[C@H](C)c2ccc(O)cc2)nc(C2CC2)[nH+]1 ZINC001158606852 1132785022 /nfs/dbraw/zinc/78/50/22/1132785022.db2.gz HLNVENKGSNDUOY-NSHDSACASA-N 1 2 283.375 3.584 20 0 CHADLO Cc1nc(NC[C@H](C)c2ccc(O)cc2)cc(C(C)C)[nH+]1 ZINC001158610946 1132786614 /nfs/dbraw/zinc/78/66/14/1132786614.db2.gz QWHFEDRBGWKNHS-LBPRGKRZSA-N 1 2 285.391 3.830 20 0 CHADLO Cc1cc(C)c(Nc2ccc(C(F)(F)F)nc2)c[nH+]1 ZINC001174310739 1132789225 /nfs/dbraw/zinc/78/92/25/1132789225.db2.gz FQWHCEPTPHGXMT-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1cc(C)c(Nc2ccnc(OCC3CC3)c2)c[nH+]1 ZINC001213015130 1132789240 /nfs/dbraw/zinc/78/92/40/1132789240.db2.gz DNLYYPHUVAGFOF-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO Cc1cn2cccc(Nc3ccc(C(F)(F)F)nc3)c2[nH+]1 ZINC001174310794 1132789749 /nfs/dbraw/zinc/78/97/49/1132789749.db2.gz GEHCFCUNXSMIDK-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO CO[C@@H]1CCN(c2cc(C)c3ccccc3[nH+]2)[C@H](C)C1 ZINC001158897158 1132796508 /nfs/dbraw/zinc/79/65/08/1132796508.db2.gz SLLLUZVHLJMQND-ZIAGYGMSSA-N 1 2 270.376 3.547 20 0 CHADLO Cc1cccc2c(C)cc(N3C[C@@H]4C(=O)CCC[C@@H]4C3)[nH+]c12 ZINC001159001916 1132803030 /nfs/dbraw/zinc/80/30/30/1132803030.db2.gz USCQQMPVTUKZNM-ZBFHGGJFSA-N 1 2 294.398 3.657 20 0 CHADLO Cc1cc(C)c(Nc2ccnc(C(F)(F)F)c2)c[nH+]1 ZINC001174360539 1132818205 /nfs/dbraw/zinc/81/82/05/1132818205.db2.gz NKQJYVGUKYONDA-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Nc1ccc(Nc2ccc(N3CCCCC3)cc2F)c[nH+]1 ZINC001159282004 1132822699 /nfs/dbraw/zinc/82/26/99/1132822699.db2.gz KWFXDODJXXUPTD-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO COc1cc2ccccc2c(Nc2ccc(N)[nH+]c2)c1 ZINC001159281840 1132823287 /nfs/dbraw/zinc/82/32/87/1132823287.db2.gz DKGKFGUGXLTUGR-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO CCCOc1ccc(C)c(Nc2ccc(N)[nH+]c2)c1 ZINC001159281944 1132823502 /nfs/dbraw/zinc/82/35/02/1132823502.db2.gz JQXGURPWBLUSQH-UHFFFAOYSA-N 1 2 257.337 3.505 20 0 CHADLO CSc1c(Cl)cccc1Nc1ccc(N)[nH+]c1 ZINC001159282327 1132823717 /nfs/dbraw/zinc/82/37/17/1132823717.db2.gz SHKZTVRUZJYVFO-UHFFFAOYSA-N 1 2 265.769 3.783 20 0 CHADLO CCCCOc1ccccc1Nc1ccc(N)[nH+]c1 ZINC001159281222 1132823997 /nfs/dbraw/zinc/82/39/97/1132823997.db2.gz TUAMKLZRXTYCNN-UHFFFAOYSA-N 1 2 257.337 3.586 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cnnc(Cl)c3)ccc21 ZINC001174380693 1132828543 /nfs/dbraw/zinc/82/85/43/1132828543.db2.gz JXIKRFLHBAHPJB-UHFFFAOYSA-N 1 2 287.754 3.804 20 0 CHADLO Cc1ccc2c(Nc3ccc(Cl)nc3N)cccc2[nH+]1 ZINC001159380439 1132836546 /nfs/dbraw/zinc/83/65/46/1132836546.db2.gz XPZKIKXEWKFAFW-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C)c(C)s1 ZINC001174420147 1132837228 /nfs/dbraw/zinc/83/72/28/1132837228.db2.gz JAGZXALIZZGTCG-UHFFFAOYSA-N 1 2 257.362 3.995 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnc(N)c(Cl)c1 ZINC001159420741 1132839996 /nfs/dbraw/zinc/83/99/96/1132839996.db2.gz MAWVOEQIHLAFJZ-UHFFFAOYSA-N 1 2 276.771 3.888 20 0 CHADLO Fc1cc(Nc2cc[nH+]c3[nH]ccc32)cc(F)c1F ZINC001174411147 1132849820 /nfs/dbraw/zinc/84/98/20/1132849820.db2.gz LTOJGQVRIVLIHC-UHFFFAOYSA-N 1 2 263.222 3.675 20 0 CHADLO Clc1ncnc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc12 ZINC001174412826 1132850681 /nfs/dbraw/zinc/85/06/81/1132850681.db2.gz PNTDNNXBUGQJGW-UHFFFAOYSA-N 1 2 295.733 3.855 20 0 CHADLO c1cc2c([nH]1)[nH+]ccc2Nc1ccc2sncc2c1 ZINC001174413019 1132851673 /nfs/dbraw/zinc/85/16/73/1132851673.db2.gz GLAUGRGDRLICQG-UHFFFAOYSA-N 1 2 266.329 3.868 20 0 CHADLO CCOc1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1F ZINC001174415128 1132853683 /nfs/dbraw/zinc/85/36/83/1132853683.db2.gz IQWOXGQGHASXAN-UHFFFAOYSA-N 1 2 271.295 3.796 20 0 CHADLO c1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cc2c1NCC2 ZINC001159523493 1132858927 /nfs/dbraw/zinc/85/89/27/1132858927.db2.gz OMXFWUYZCWWMCN-UHFFFAOYSA-N 1 2 294.402 3.784 20 0 CHADLO Cc1sccc1Nc1ccccc1C[NH+]1CCOCC1 ZINC001174459623 1132871049 /nfs/dbraw/zinc/87/10/49/1132871049.db2.gz CDBULMYKJINOGX-UHFFFAOYSA-N 1 2 288.416 3.632 20 0 CHADLO OCCc1ccc(Nc2ccc([NH+]3CCCC3)cc2)cc1 ZINC001174526174 1132887530 /nfs/dbraw/zinc/88/75/30/1132887530.db2.gz JSXSLZWZXRJTLC-UHFFFAOYSA-N 1 2 282.387 3.565 20 0 CHADLO CCc1cccc(Nc2ccc(N3CCCC3)cc2)[nH+]1 ZINC001174526796 1132888146 /nfs/dbraw/zinc/88/81/46/1132888146.db2.gz YOMOQLQIVBUYFS-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO c1cc(Nc2ccc3c(c2)OCC3)[nH+]c(C2CC2)c1 ZINC001206672044 1132909725 /nfs/dbraw/zinc/90/97/25/1132909725.db2.gz KWFGSLVNJBRBAI-UHFFFAOYSA-N 1 2 252.317 3.638 20 0 CHADLO CCc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cn1 ZINC001174522844 1132912468 /nfs/dbraw/zinc/91/24/68/1132912468.db2.gz REVQFDKUXOJGEN-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO c1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cc2c1CCO2 ZINC001174522772 1132912716 /nfs/dbraw/zinc/91/27/16/1132912716.db2.gz BEYRYDWISMXGOD-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO c1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cc2c1COC2 ZINC001174522546 1132912879 /nfs/dbraw/zinc/91/28/79/1132912879.db2.gz QYDWYIVQJNZJDC-UHFFFAOYSA-N 1 2 295.386 3.846 20 0 CHADLO Cc1ccc(CO)c(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174524265 1132913629 /nfs/dbraw/zinc/91/36/29/1132913629.db2.gz CDIJGWTWOYDDTF-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1nc(N[C@@H]2CCc3ccc(O)cc3C2)cc(C(C)C)[nH+]1 ZINC001159890414 1132919914 /nfs/dbraw/zinc/91/99/14/1132919914.db2.gz CHADWAGXWPJFLX-OAHLLOKOSA-N 1 2 297.402 3.583 20 0 CHADLO CSc1cc[nH+]c(NCCOC2CCCCC2)c1 ZINC001160277993 1132955467 /nfs/dbraw/zinc/95/54/67/1132955467.db2.gz MVLBLFKOSUSNIJ-UHFFFAOYSA-N 1 2 266.410 3.565 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(F)c2C)c[nH+]1 ZINC001174666511 1132956354 /nfs/dbraw/zinc/95/63/54/1132956354.db2.gz AMTMUZLNSBBGCV-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CC(=O)CC(C)(C)Nc1cc(-c2ccccc2)cc[nH+]1 ZINC001160295330 1132957798 /nfs/dbraw/zinc/95/77/98/1132957798.db2.gz ZISVSXBYPBMCRS-UHFFFAOYSA-N 1 2 268.360 3.918 20 0 CHADLO CC(C)c1cccc(Nc2ccc(N3CCOCC3)cc2)[nH+]1 ZINC001174707522 1132959908 /nfs/dbraw/zinc/95/99/08/1132959908.db2.gz RONKMIFUHORGIF-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(C(F)F)cc1 ZINC001174712963 1132961484 /nfs/dbraw/zinc/96/14/84/1132961484.db2.gz MSMADBNVIKOBJM-UHFFFAOYSA-N 1 2 273.286 3.854 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3nccc4ccoc43)c[nH+]c12 ZINC001160683374 1132978296 /nfs/dbraw/zinc/97/82/96/1132978296.db2.gz NMMVCIQHGDUSKU-LBPRGKRZSA-N 1 2 292.342 3.957 20 0 CHADLO Fc1nc(F)c(Cl)c(Nc2cccc3[nH+]c[nH]c32)c1F ZINC001213027436 1132986158 /nfs/dbraw/zinc/98/61/58/1132986158.db2.gz ZUNAQVQOCDSTEN-UHFFFAOYSA-N 1 2 298.655 3.772 20 0 CHADLO Cc1nc(NCCCc2cccc(N)c2)cc(C(C)C)[nH+]1 ZINC001161007203 1132988648 /nfs/dbraw/zinc/98/86/48/1132988648.db2.gz PGJIXNMDUVFSOU-UHFFFAOYSA-N 1 2 284.407 3.535 20 0 CHADLO Fc1ccc(-n2nccc2Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213028404 1132990302 /nfs/dbraw/zinc/99/03/02/1132990302.db2.gz OOPIJPILUXYYBU-UHFFFAOYSA-N 1 2 293.305 3.631 20 0 CHADLO CCc1cccc(N(C)C(=O)[C@@H](CC(C)C)n2cc[nH+]c2)c1 ZINC001161100226 1132994404 /nfs/dbraw/zinc/99/44/04/1132994404.db2.gz QAAYFNVZVOTLHX-QGZVFWFLSA-N 1 2 299.418 3.696 20 0 CHADLO CC[C@@H](Nc1cc(CSC)cc[nH+]1)C(F)(F)F ZINC001161382562 1132999055 /nfs/dbraw/zinc/99/90/55/1132999055.db2.gz HMBNPCGDISGNLL-SECBINFHSA-N 1 2 264.316 3.697 20 0 CHADLO COc1cc(C)c(Nc2cccc3[nH+]c[nH]c32)cc1OC ZINC001213031481 1132995320 /nfs/dbraw/zinc/99/53/20/1132995320.db2.gz BVLLTNYQDYQKGF-UHFFFAOYSA-N 1 2 283.331 3.632 20 0 CHADLO FC(F)Oc1ccccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213032126 1132999196 /nfs/dbraw/zinc/99/91/96/1132999196.db2.gz VMJQJDZJHAVOFE-UHFFFAOYSA-N 1 2 275.258 3.908 20 0 CHADLO COc1cccc(C(C)=O)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213032426 1133001066 /nfs/dbraw/zinc/00/10/66/1133001066.db2.gz FPQUZEJXCOEYOU-UHFFFAOYSA-N 1 2 281.315 3.518 20 0 CHADLO c1cc2ccnc(NCc3c[nH+]cn3CC3CCC3)c2s1 ZINC001161531137 1133005238 /nfs/dbraw/zinc/00/52/38/1133005238.db2.gz JTNWEATVALAKFL-UHFFFAOYSA-N 1 2 298.415 3.905 20 0 CHADLO COc1cccc(Cl)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213033546 1133005532 /nfs/dbraw/zinc/00/55/32/1133005532.db2.gz MAXOSCPKDNIISV-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO CCOC(=O)c1ccc(Nc2cccc3[nH+]c[nH]c32)cc1F ZINC001213034522 1133014652 /nfs/dbraw/zinc/01/46/52/1133014652.db2.gz OPEXCQQNESTALW-UHFFFAOYSA-N 1 2 299.305 3.622 20 0 CHADLO COc1ccc2[nH+]c(N[C@@H]3CCO[C@@H](C)C3)c(C)cc2c1 ZINC001161687039 1133016312 /nfs/dbraw/zinc/01/63/12/1133016312.db2.gz NIAHZJLCQLISQF-GXTWGEPZSA-N 1 2 286.375 3.531 20 0 CHADLO Clc1ccc2c(cc[nH+]c2NCCc2cnoc2)c1 ZINC001161698317 1133017660 /nfs/dbraw/zinc/01/76/60/1133017660.db2.gz QHCWZYWEPPARNT-UHFFFAOYSA-N 1 2 273.723 3.531 20 0 CHADLO CCC[C@H](C)Nc1nc(C)[nH+]c2cc(OC)c(OC)cc21 ZINC001161716976 1133018303 /nfs/dbraw/zinc/01/83/03/1133018303.db2.gz WEQKVPZUSUCPPI-JTQLQIEISA-N 1 2 289.379 3.556 20 0 CHADLO CCCc1cc(NCc2ccc(C)o2)nc(CCC)[nH+]1 ZINC001161765383 1133026939 /nfs/dbraw/zinc/02/69/39/1133026939.db2.gz GKDVGXVCGYFNCT-UHFFFAOYSA-N 1 2 273.380 3.895 20 0 CHADLO FC(F)(F)CCNc1cc(-c2ccncc2)cc[nH+]1 ZINC001161820426 1133033024 /nfs/dbraw/zinc/03/30/24/1133033024.db2.gz UIAABLXZULQUBG-UHFFFAOYSA-N 1 2 267.254 3.508 20 0 CHADLO CCOc1ccc([C@@H](C)Nc2[nH+]cc(OC)cc2C)cc1 ZINC001161975902 1133045948 /nfs/dbraw/zinc/04/59/48/1133045948.db2.gz VFYFQGQLLWXMTH-CYBMUJFWSA-N 1 2 286.375 3.970 20 0 CHADLO FCCn1nccc1CNc1cc(-c2ccccc2)cc[nH+]1 ZINC001162017284 1133048460 /nfs/dbraw/zinc/04/84/60/1133048460.db2.gz NGFPWKRDOQONPO-UHFFFAOYSA-N 1 2 296.349 3.527 20 0 CHADLO CCc1cc(N(C)Cc2ccc(F)c(F)c2)nc(CC)[nH+]1 ZINC001162084464 1133050381 /nfs/dbraw/zinc/05/03/81/1133050381.db2.gz YDUAAKUTJLSWFJ-UHFFFAOYSA-N 1 2 291.345 3.516 20 0 CHADLO CCCc1cc(NCc2nc(CC)co2)nc(CCC)[nH+]1 ZINC001162138900 1133053926 /nfs/dbraw/zinc/05/39/26/1133053926.db2.gz WXHWPAQCQNPLGR-UHFFFAOYSA-N 1 2 288.395 3.544 20 0 CHADLO CCc1cc(N(C)[C@H](C)c2cccs2)nc(CC)[nH+]1 ZINC001162185239 1133058031 /nfs/dbraw/zinc/05/80/31/1133058031.db2.gz UTFIOXBVQPSUKN-LLVKDONJSA-N 1 2 275.421 3.860 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCc2nccc(C)n2)c1 ZINC001162199237 1133058991 /nfs/dbraw/zinc/05/89/91/1133058991.db2.gz LHDCCYVVMOIAQA-UHFFFAOYSA-N 1 2 284.407 3.552 20 0 CHADLO CCCCC[C@@H](C)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC001162293207 1133067849 /nfs/dbraw/zinc/06/78/49/1133067849.db2.gz SJSOBLWQPNFXQK-GFCCVEGCSA-N 1 2 289.427 3.962 20 0 CHADLO c1ccc(-c2cc[nH+]c(N[C@H]3C[C@@]34CCCOC4)c2)cc1 ZINC001162371070 1133073124 /nfs/dbraw/zinc/07/31/24/1133073124.db2.gz OVZZJIOZJGSKOP-FUHWJXTLSA-N 1 2 280.371 3.730 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H]1CCOc2c(F)cc(F)cc21 ZINC001162405090 1133074864 /nfs/dbraw/zinc/07/48/64/1133074864.db2.gz AZEOYYZIUMZPRS-CYBMUJFWSA-N 1 2 294.276 3.743 20 0 CHADLO Cc1cc(N[C@@H]2CCCC[C@H]2F)ccc1[NH+](C)C ZINC001162514259 1133084128 /nfs/dbraw/zinc/08/41/28/1133084128.db2.gz WCLHMQPVUNERDD-ZIAGYGMSSA-N 1 2 250.361 3.754 20 0 CHADLO C[C@@H]1CCCC[C@H]1CNc1cc(C2(C)OCCO2)cc[nH+]1 ZINC001162524484 1133087478 /nfs/dbraw/zinc/08/74/78/1133087478.db2.gz NGFBLCFKJNSDDP-KGLIPLIRSA-N 1 2 290.407 3.539 20 0 CHADLO COC(=O)[C@H](C)c1ccc(NC[C@@H]2CCCC[C@H]2C)[nH+]c1 ZINC001162525160 1133088086 /nfs/dbraw/zinc/08/80/86/1133088086.db2.gz KGEIXNWMVSSRGI-MCIONIFRSA-N 1 2 290.407 3.596 20 0 CHADLO Cc1cc(C)c(CNc2cc3cc(F)ccc3c[nH+]2)c(=O)[nH]1 ZINC001162600083 1133093535 /nfs/dbraw/zinc/09/35/35/1133093535.db2.gz VIGPKPFUQSSHMH-UHFFFAOYSA-N 1 2 297.333 3.703 20 0 CHADLO CC(C)COc1cc(NC[C@H]2CCC(F)(F)C2)cc[nH+]1 ZINC001162655322 1133101052 /nfs/dbraw/zinc/10/10/52/1133101052.db2.gz JWFHZUQEILBJAN-LBPRGKRZSA-N 1 2 284.350 3.964 20 0 CHADLO CCS[C@@H]1CCC[C@H]1Nc1nc(C)[nH+]c2c1CCCC2 ZINC001162717521 1133105783 /nfs/dbraw/zinc/10/57/83/1133105783.db2.gz MPLPBMGZEFLPRO-HUUCEWRRSA-N 1 2 291.464 3.750 20 0 CHADLO CCS[C@H]1CCC[C@H]1Nc1nc(C)[nH+]c2c1CCCC2 ZINC001162717515 1133106259 /nfs/dbraw/zinc/10/62/59/1133106259.db2.gz MPLPBMGZEFLPRO-CABCVRRESA-N 1 2 291.464 3.750 20 0 CHADLO c1cn(-c2cccc(Nc3ccc4c(c3)OCC4)c2)c[nH+]1 ZINC001206678601 1133114203 /nfs/dbraw/zinc/11/42/03/1133114203.db2.gz JXIGTCNBOQVMIS-UHFFFAOYSA-N 1 2 277.327 3.551 20 0 CHADLO CC[C@H]1CCC[C@@H]1Nc1nc(C)[nH+]c2c1CCCC2 ZINC001162818734 1133114485 /nfs/dbraw/zinc/11/44/85/1133114485.db2.gz JZUYTMASQDOZQW-JSGCOSHPSA-N 1 2 259.397 3.654 20 0 CHADLO Cc1ccc(CNc2cc3c4c(c2)CCC[NH+]4CCC3)cn1 ZINC001162874668 1133117986 /nfs/dbraw/zinc/11/79/86/1133117986.db2.gz FUSHPVDNYUQVNW-UHFFFAOYSA-N 1 2 293.414 3.701 20 0 CHADLO Cc1nc(N2CC[C@H](C)C(C)(C)C2)c2c([nH+]1)CCCC2 ZINC001163019811 1133127031 /nfs/dbraw/zinc/12/70/31/1133127031.db2.gz UPWKKQHJCFPHAR-LBPRGKRZSA-N 1 2 273.424 3.536 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cccc(F)c2OC)c1 ZINC001163335264 1133143055 /nfs/dbraw/zinc/14/30/55/1133143055.db2.gz NGMIVYWSGNJQQS-NSHDSACASA-N 1 2 290.338 3.549 20 0 CHADLO Cc1cc(N[C@@H](c2cccc(N)n2)C(C)C)nc(C(C)C)[nH+]1 ZINC001163346109 1133143830 /nfs/dbraw/zinc/14/38/30/1133143830.db2.gz BVICPBYYPGOZJR-MRXNPFEDSA-N 1 2 299.422 3.695 20 0 CHADLO Cc1nc(N(C)[C@@H]2CCC[C@@H]2c2ccccc2)cc[nH+]1 ZINC001163473894 1133151836 /nfs/dbraw/zinc/15/18/36/1133151836.db2.gz WENSKSXJPQYEPR-HZPDHXFCSA-N 1 2 267.376 3.558 20 0 CHADLO Cc1nc(N(C)[C@H]2CCC[C@@H]2c2ccccc2)cc[nH+]1 ZINC001163473887 1133152317 /nfs/dbraw/zinc/15/23/17/1133152317.db2.gz WENSKSXJPQYEPR-CVEARBPZSA-N 1 2 267.376 3.558 20 0 CHADLO CSc1nc(N[C@@H]2CCCC23CCC3)cc(C)[nH+]1 ZINC001163513846 1133153827 /nfs/dbraw/zinc/15/38/27/1133153827.db2.gz FMGCXZDPHDVUIZ-LLVKDONJSA-N 1 2 263.410 3.642 20 0 CHADLO CCN(Cc1ccncc1)c1cc2ccccc2c[nH+]1 ZINC001163607538 1133162481 /nfs/dbraw/zinc/16/24/81/1133162481.db2.gz ATUDGYUVNCTKRX-UHFFFAOYSA-N 1 2 263.344 3.656 20 0 CHADLO COc1c(C)[nH+]c(C2CC2)nc1NCc1cc(C)cc(C)c1 ZINC001163751054 1133171270 /nfs/dbraw/zinc/17/12/70/1133171270.db2.gz FWMFOTXTHOIFRV-UHFFFAOYSA-N 1 2 297.402 3.900 20 0 CHADLO CC(C)c1cc(N2CCC[C@H](CF)C2)nc(C(C)C)[nH+]1 ZINC001164002159 1133192004 /nfs/dbraw/zinc/19/20/04/1133192004.db2.gz LUTSWLIGPIOEGM-CYBMUJFWSA-N 1 2 279.403 3.909 20 0 CHADLO CNc1ccc(N[C@@H](c2ccccc2)c2ccncc2)c[nH+]1 ZINC001164075508 1133195706 /nfs/dbraw/zinc/19/57/06/1133195706.db2.gz QWPUBASYKNZAMH-SFHVURJKSA-N 1 2 290.370 3.720 20 0 CHADLO COc1c[nH+]c(N[C@@H](c2cccnc2)C(F)(F)F)cc1C ZINC001164181327 1133203304 /nfs/dbraw/zinc/20/33/04/1133203304.db2.gz XXRYDKVDKWOFPO-ZDUSSCGKSA-N 1 2 297.280 3.509 20 0 CHADLO Cc1nc(NCC2CCC=CCC2)c2c([nH+]1)CCCC2 ZINC001164195886 1133205345 /nfs/dbraw/zinc/20/53/45/1133205345.db2.gz RXXMRIDCMFLSMS-UHFFFAOYSA-N 1 2 271.408 3.822 20 0 CHADLO Cc1cc(NCc2cnc3ccccc3c2)c[nH+]c1C ZINC001164201367 1133206704 /nfs/dbraw/zinc/20/67/04/1133206704.db2.gz DBBCNDQGCJZPGZ-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO C[C@]1(F)CCN(c2cc3ccccc3c[nH+]2)C[C@@H]1F ZINC001164325219 1133212949 /nfs/dbraw/zinc/21/29/49/1133212949.db2.gz BHZGLFSSZQRWDW-ZFWWWQNUSA-N 1 2 262.303 3.511 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC[C@](C)(F)[C@@H](F)C2)[nH+]1 ZINC001164333419 1133213521 /nfs/dbraw/zinc/21/35/21/1133213521.db2.gz MLIXSVHYYAPGSK-AAEUAGOBSA-N 1 2 274.742 3.628 20 0 CHADLO CCNc1ccc(Nc2ccnc(-c3ccccc3)n2)c[nH+]1 ZINC001164393459 1133216867 /nfs/dbraw/zinc/21/68/67/1133216867.db2.gz KHWGQISLVMDKPA-UHFFFAOYSA-N 1 2 291.358 3.714 20 0 CHADLO CC(C)c1ccc(N2CCC(OC3CCC3)CC2)[nH+]c1 ZINC001164574849 1133224525 /nfs/dbraw/zinc/22/45/25/1133224525.db2.gz DUECNPVDOQOQFI-UHFFFAOYSA-N 1 2 274.408 3.743 20 0 CHADLO COc1ccc2ccc(Nc3ccc(C)[nH+]c3C)cc2n1 ZINC001203381377 1133225146 /nfs/dbraw/zinc/22/51/46/1133225146.db2.gz VZUCYXMAXYGVJN-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NC[C@H]2CCCCO2)c1 ZINC001165253080 1133233381 /nfs/dbraw/zinc/23/33/81/1133233381.db2.gz MMHKNNRAADFHBN-MRXNPFEDSA-N 1 2 276.424 3.822 20 0 CHADLO F[C@@H]1C[C@@H]2C[C@H]1CN2c1cc(-c2ccccc2)cc[nH+]1 ZINC001166532579 1133268544 /nfs/dbraw/zinc/26/85/44/1133268544.db2.gz ULLRZJWLEDNTBT-HRCADAONSA-N 1 2 268.335 3.685 20 0 CHADLO CC1(C)Cc2cc(SCc3ccc[nH+]c3N)ccc2O1 ZINC001166600227 1133274223 /nfs/dbraw/zinc/27/42/23/1133274223.db2.gz CBELKWSRVXLBMY-UHFFFAOYSA-N 1 2 286.400 3.670 20 0 CHADLO CC(C)c1ccc(N(C)CCc2cccs2)[nH+]c1 ZINC001166679601 1133280942 /nfs/dbraw/zinc/28/09/42/1133280942.db2.gz IEXBDQBMZQFJNI-UHFFFAOYSA-N 1 2 260.406 3.945 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCc3ccsc3C2)[nH+]1 ZINC001166682707 1133281446 /nfs/dbraw/zinc/28/14/46/1133281446.db2.gz KXJDNQRXQDPAJU-UHFFFAOYSA-N 1 2 278.808 3.976 20 0 CHADLO COc1ccc(CCN(C)c2cc(C)[nH+]c(C(C)C)n2)cc1 ZINC001166825822 1133291332 /nfs/dbraw/zinc/29/13/32/1133291332.db2.gz BFTFRWXXCWMHBO-UHFFFAOYSA-N 1 2 299.418 3.596 20 0 CHADLO COc1ccccc1Cc1cn(C[C@@H]2CC[C@@H]3C[C@@H]3C2)c[nH+]1 ZINC001166964665 1133295722 /nfs/dbraw/zinc/29/57/22/1133295722.db2.gz SGZUXTABIBIUDD-INMHGKMJSA-N 1 2 296.414 3.919 20 0 CHADLO CC(C)[C@H]1COCCN1c1[nH+]ccc2cc(Cl)ccc21 ZINC001166997231 1133297973 /nfs/dbraw/zinc/29/79/73/1133297973.db2.gz JKBIFTOLAFYAMW-OAHLLOKOSA-N 1 2 290.794 3.749 20 0 CHADLO c1ccc(C2CC2)c(CNc2cc(N3CCCC3)nc[nH+]2)c1 ZINC001167013645 1133299337 /nfs/dbraw/zinc/29/93/37/1133299337.db2.gz WUGKLCSAHNARKK-UHFFFAOYSA-N 1 2 294.402 3.566 20 0 CHADLO c1ccc(C2CC2)c(CNc2cc(N3CCCC3)[nH+]cn2)c1 ZINC001167013645 1133299343 /nfs/dbraw/zinc/29/93/43/1133299343.db2.gz WUGKLCSAHNARKK-UHFFFAOYSA-N 1 2 294.402 3.566 20 0 CHADLO Cc1ccc[nH+]c1Nc1cccc(C(=O)C(F)(F)F)c1 ZINC001203334306 1133316376 /nfs/dbraw/zinc/31/63/76/1133316376.db2.gz RSKZGOUITDCWQP-UHFFFAOYSA-N 1 2 280.249 3.879 20 0 CHADLO Cc1ccc(Nc2nnc(C(C)(C)C)s2)c(C)[nH+]1 ZINC001203363443 1133318720 /nfs/dbraw/zinc/31/87/20/1133318720.db2.gz QEQUPZDYUWNUOG-UHFFFAOYSA-N 1 2 262.382 3.591 20 0 CHADLO Cc1ccc(Nc2cc(-c3ccccc3)cnn2)c(C)[nH+]1 ZINC001203369834 1133319778 /nfs/dbraw/zinc/31/97/78/1133319778.db2.gz TVXCVJCRIGALEP-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO COc1ccc(-n2nccc2Nc2ccc(C)[nH+]c2C)cc1 ZINC001203370256 1133320120 /nfs/dbraw/zinc/32/01/20/1133320120.db2.gz YHQASIIRNZKXRT-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccccc2Cl)C[C@H]1F ZINC001203377098 1133320439 /nfs/dbraw/zinc/32/04/39/1133320439.db2.gz BTLIHLAYQAUHSM-CHWSQXEVSA-N 1 2 259.727 3.612 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccccc2Cl)C[C@H]1F ZINC001203377098 1133320444 /nfs/dbraw/zinc/32/04/44/1133320444.db2.gz BTLIHLAYQAUHSM-CHWSQXEVSA-N 1 2 259.727 3.612 20 0 CHADLO CNc1ccc(Nc2c(C)cnn2-c2cccc(F)c2)c[nH+]1 ZINC001203452185 1133329226 /nfs/dbraw/zinc/32/92/26/1133329226.db2.gz HOEBGEKEGPNSKT-UHFFFAOYSA-N 1 2 297.337 3.500 20 0 CHADLO CNc1ccc(Nc2ccc(SC)c(OC)c2)c[nH+]1 ZINC001203454930 1133329537 /nfs/dbraw/zinc/32/95/37/1133329537.db2.gz MPNZALAQFHYGIJ-UHFFFAOYSA-N 1 2 275.377 3.597 20 0 CHADLO CNc1ccc(Nc2ccnn2-c2ccccc2Cl)c[nH+]1 ZINC001203451887 1133329755 /nfs/dbraw/zinc/32/97/55/1133329755.db2.gz FPFMEVIZBBBQLE-UHFFFAOYSA-N 1 2 299.765 3.706 20 0 CHADLO CNc1ccc(Nc2ccc(F)c(Cl)c2F)c[nH+]1 ZINC001203456800 1133329797 /nfs/dbraw/zinc/32/97/97/1133329797.db2.gz XSGGALIVTGBUOK-UHFFFAOYSA-N 1 2 269.682 3.799 20 0 CHADLO CNc1ccc(Nc2ccccc2-c2cccnc2)c[nH+]1 ZINC001203462695 1133330553 /nfs/dbraw/zinc/33/05/53/1133330553.db2.gz ZSRSWJJKZLMRBO-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO CNc1ccc(Nc2c(Cl)cccc2C(C)=O)c[nH+]1 ZINC001203462472 1133331074 /nfs/dbraw/zinc/33/10/74/1133331074.db2.gz SYPHRGZTQYPJRE-UHFFFAOYSA-N 1 2 275.739 3.723 20 0 CHADLO CNc1ccc(Nc2cc3ocnc3cc2Cl)c[nH+]1 ZINC001203460509 1133331174 /nfs/dbraw/zinc/33/11/74/1133331174.db2.gz WSIJTIGIOSEHRS-UHFFFAOYSA-N 1 2 274.711 3.662 20 0 CHADLO CNc1ccc(Nc2ccc(OC(C)C)c(F)c2)c[nH+]1 ZINC001203462083 1133331201 /nfs/dbraw/zinc/33/12/01/1133331201.db2.gz IYMQIXVOPLJTBJ-UHFFFAOYSA-N 1 2 275.327 3.793 20 0 CHADLO CNc1ccc(Nc2ccc(F)c3cnccc23)c[nH+]1 ZINC001203462442 1133331567 /nfs/dbraw/zinc/33/15/67/1133331567.db2.gz RKNXUKLAXONJLX-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO CNc1ccc(Nc2cc(Cl)cc3ncoc32)c[nH+]1 ZINC001203462285 1133331577 /nfs/dbraw/zinc/33/15/77/1133331577.db2.gz OMWASFUQHPIFCW-UHFFFAOYSA-N 1 2 274.711 3.662 20 0 CHADLO COc1cccc2[nH]c(NCc3cc(Cl)cs3)[nH+]c21 ZINC001203541340 1133337374 /nfs/dbraw/zinc/33/73/74/1133337374.db2.gz AUNPIGVAPMKRDS-UHFFFAOYSA-N 1 2 293.779 3.899 20 0 CHADLO COc1cccc2[nH]c(NCc3ccc(Cl)cc3)[nH+]c21 ZINC001203548574 1133339142 /nfs/dbraw/zinc/33/91/42/1133339142.db2.gz QBEBFPBKHHTAKE-UHFFFAOYSA-N 1 2 287.750 3.837 20 0 CHADLO Cc1c[nH+]c(Nc2cccnc2-c2ccccc2)c(N)c1 ZINC001203647350 1133347851 /nfs/dbraw/zinc/34/78/51/1133347851.db2.gz FGJYYSZFXJVODT-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO COCc1ccc(Nc2cc(C)c[nH+]c2C)cc1F ZINC001203663216 1133348906 /nfs/dbraw/zinc/34/89/06/1133348906.db2.gz DXBYDNNJROHLRW-UHFFFAOYSA-N 1 2 260.312 3.728 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(Cl)cnnc2Cl)c1 ZINC001203657432 1133349460 /nfs/dbraw/zinc/34/94/60/1133349460.db2.gz MKEWFJCNMLLGBK-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO COC(=O)c1scc(C)c1Nc1cc(C)c[nH+]c1C ZINC001203654901 1133349720 /nfs/dbraw/zinc/34/97/20/1133349720.db2.gz RMZNFSMLJPRZDG-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO COc1cccc2cc(Nc3cc(C)c[nH+]c3C)cnc21 ZINC001203657617 1133349759 /nfs/dbraw/zinc/34/97/59/1133349759.db2.gz RSYPHRQWIGXYID-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnn2-c2ccccc2)c1 ZINC001203656027 1133350197 /nfs/dbraw/zinc/35/01/97/1133350197.db2.gz HWILPDSHXLRIBH-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccccc2-n2ccnc2)c1 ZINC001203661707 1133350298 /nfs/dbraw/zinc/35/02/98/1133350298.db2.gz ZLYPWHVJXILBCY-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO COC(=O)c1cccc(Nc2cc(C)c[nH+]c2C)c1Cl ZINC001203667098 1133350790 /nfs/dbraw/zinc/35/07/90/1133350790.db2.gz GRAHSJMELIRUPO-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203663383 1133351222 /nfs/dbraw/zinc/35/12/22/1133351222.db2.gz JIPGZCZBPBRCQR-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO COc1c(F)cc(F)cc1Nc1cc(C)c[nH+]c1C ZINC001203663321 1133351291 /nfs/dbraw/zinc/35/12/91/1133351291.db2.gz HUXAMHIOMMLPHJ-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CC(=O)c1cc(F)ccc1Nc1cc(C)c[nH+]c1C ZINC001203663524 1133352164 /nfs/dbraw/zinc/35/21/64/1133352164.db2.gz ODZIKUYNJORILX-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO COc1ccc(C[N@@H+]2CCCCC(F)(F)C2)c(C)c1C ZINC001203713690 1133357814 /nfs/dbraw/zinc/35/78/14/1133357814.db2.gz VTZKLFSRFFLWLX-UHFFFAOYSA-N 1 2 283.362 3.933 20 0 CHADLO COc1ccc(C[N@H+]2CCCCC(F)(F)C2)c(C)c1C ZINC001203713690 1133357819 /nfs/dbraw/zinc/35/78/19/1133357819.db2.gz VTZKLFSRFFLWLX-UHFFFAOYSA-N 1 2 283.362 3.933 20 0 CHADLO O=c1[nH]cccc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203712599 1133357866 /nfs/dbraw/zinc/35/78/66/1133357866.db2.gz LJAWSIQRIDLRRM-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO FCCn1cnc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001203718289 1133358324 /nfs/dbraw/zinc/35/83/24/1133358324.db2.gz AEFWCGZRJDQLOU-UHFFFAOYSA-N 1 2 288.370 3.587 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)CCCC1=O ZINC001203884369 1133379555 /nfs/dbraw/zinc/37/95/55/1133379555.db2.gz ADVDHPYWQLRBHW-LLVKDONJSA-N 1 2 285.309 3.506 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)CCCC1=O ZINC001203884369 1133379561 /nfs/dbraw/zinc/37/95/61/1133379561.db2.gz ADVDHPYWQLRBHW-LLVKDONJSA-N 1 2 285.309 3.506 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1ccc(Cl)cc1)CC2 ZINC001203921320 1133385499 /nfs/dbraw/zinc/38/54/99/1133385499.db2.gz LSQWKEPTMIAIBP-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1ccc(Cl)cc1)CC2 ZINC001203921320 1133385503 /nfs/dbraw/zinc/38/55/03/1133385503.db2.gz LSQWKEPTMIAIBP-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccnc3ccccc13)C2 ZINC001204088100 1133406007 /nfs/dbraw/zinc/40/60/07/1133406007.db2.gz JIWSHWJBFFWQGU-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccnc3ccccc13)C2 ZINC001204088100 1133406009 /nfs/dbraw/zinc/40/60/09/1133406009.db2.gz JIWSHWJBFFWQGU-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO CC(C)c1ccc(CN2CC[NH+](Cc3ccco3)CC2)cc1 ZINC001204165972 1133413806 /nfs/dbraw/zinc/41/38/06/1133413806.db2.gz NMUTXGOVGOFTJB-UHFFFAOYSA-N 1 2 298.430 3.721 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ccc(F)cc1F)C2 ZINC001204213666 1133419176 /nfs/dbraw/zinc/41/91/76/1133419176.db2.gz UUMMQEKGCZQAAH-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ccc(F)cc1F)C2 ZINC001204213666 1133419178 /nfs/dbraw/zinc/41/91/78/1133419178.db2.gz UUMMQEKGCZQAAH-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cc(F)ccc1F)C2 ZINC001204220974 1133420893 /nfs/dbraw/zinc/42/08/93/1133420893.db2.gz KFKYZJXZQVNHSB-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cc(F)ccc1F)C2 ZINC001204220974 1133420897 /nfs/dbraw/zinc/42/08/97/1133420897.db2.gz KFKYZJXZQVNHSB-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO COc1cc(Nc2c3cc[nH]c3ccc2F)cc(C)[nH+]1 ZINC001204254801 1133425709 /nfs/dbraw/zinc/42/57/09/1133425709.db2.gz RTIHSYZNWNLEBJ-UHFFFAOYSA-N 1 2 271.295 3.763 20 0 CHADLO Fc1cc(C(F)(F)F)ccc1C[NH+]1CC(C(F)F)C1 ZINC001204471483 1133451107 /nfs/dbraw/zinc/45/11/07/1133451107.db2.gz QAPGTWFSTDJPTB-UHFFFAOYSA-N 1 2 283.215 3.541 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1cc2ccnc(Cl)c2s1 ZINC001204479750 1133451967 /nfs/dbraw/zinc/45/19/67/1133451967.db2.gz LGVQTQBVUGAHQE-UHFFFAOYSA-N 1 2 293.779 3.570 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1cc2ccnc(Cl)c2s1 ZINC001204479750 1133451969 /nfs/dbraw/zinc/45/19/69/1133451969.db2.gz LGVQTQBVUGAHQE-UHFFFAOYSA-N 1 2 293.779 3.570 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nc3ccccc3o2)oc1C ZINC001204532996 1133459829 /nfs/dbraw/zinc/45/98/29/1133459829.db2.gz KGKDUGNHXPDILH-UHFFFAOYSA-N 1 2 270.332 3.670 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nc3ccccc3o2)oc1C ZINC001204532996 1133459833 /nfs/dbraw/zinc/45/98/33/1133459833.db2.gz KGKDUGNHXPDILH-UHFFFAOYSA-N 1 2 270.332 3.670 20 0 CHADLO COC1(C)C[NH+](Cc2ccc(-c3cccc(Cl)c3)o2)C1 ZINC001204695658 1133477475 /nfs/dbraw/zinc/47/74/75/1133477475.db2.gz XTBHMWVNXIQFSJ-UHFFFAOYSA-N 1 2 291.778 3.821 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c(c2)c(C)nn3C)[nH+]1 ZINC001204833795 1133490101 /nfs/dbraw/zinc/49/01/01/1133490101.db2.gz GKCFXCKTRSIYGU-UHFFFAOYSA-N 1 2 291.358 3.581 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cccc(OC(F)F)c1)C2 ZINC001204842651 1133492027 /nfs/dbraw/zinc/49/20/27/1133492027.db2.gz FIPNLZIMCJALCO-UHFFFAOYSA-N 1 2 293.288 3.943 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cccc(OC(F)F)c1)C2 ZINC001204842651 1133492028 /nfs/dbraw/zinc/49/20/28/1133492028.db2.gz FIPNLZIMCJALCO-UHFFFAOYSA-N 1 2 293.288 3.943 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)c(C)nn3C)c1 ZINC001204866935 1133494408 /nfs/dbraw/zinc/49/44/08/1133494408.db2.gz VSKYFEHAWVCQNR-UHFFFAOYSA-N 1 2 280.375 3.973 20 0 CHADLO Cc1cc2cc(Nc3ccc(C)[nH+]c3C)cnc2[nH]1 ZINC001204889596 1133496019 /nfs/dbraw/zinc/49/60/19/1133496019.db2.gz AUHORJDJOZWOCU-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ccc(C)c(Cl)c2)C[C@@H](C)O1 ZINC001204899166 1133497242 /nfs/dbraw/zinc/49/72/42/1133497242.db2.gz OHJDAKLEPDCPMM-OCCSQVGLSA-N 1 2 267.800 3.648 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ccc(C)c(Cl)c2)C[C@@H](C)O1 ZINC001204899166 1133497245 /nfs/dbraw/zinc/49/72/45/1133497245.db2.gz OHJDAKLEPDCPMM-OCCSQVGLSA-N 1 2 267.800 3.648 20 0 CHADLO CCC[C@@H](C)c1cc(Nc2ccn3cc[nH+]c3c2)on1 ZINC001204951124 1133505895 /nfs/dbraw/zinc/50/58/95/1133505895.db2.gz NKWGSZTWRXJZAG-LLVKDONJSA-N 1 2 270.336 3.970 20 0 CHADLO CC(C)(C)c1cc(Nc2ccn3cc[nH+]c3c2)sn1 ZINC001204966189 1133508840 /nfs/dbraw/zinc/50/88/40/1133508840.db2.gz QJFYEQXESVCVQP-UHFFFAOYSA-N 1 2 272.377 3.832 20 0 CHADLO c1cn2ccc(Nc3csc(-c4ccncc4)n3)cc2[nH+]1 ZINC001204970956 1133510071 /nfs/dbraw/zinc/51/00/71/1133510071.db2.gz CXEOVIJIVAJHCN-UHFFFAOYSA-N 1 2 293.355 3.596 20 0 CHADLO CC(C)c1c(Cl)ncnc1Nc1ccn2cc[nH+]c2c1 ZINC001204971749 1133510641 /nfs/dbraw/zinc/51/06/41/1133510641.db2.gz OREQNFDRNROTPU-UHFFFAOYSA-N 1 2 287.754 3.645 20 0 CHADLO COc1nc(Nc2ccn3cc[nH+]c3c2)cc2ccccc21 ZINC001204972283 1133510853 /nfs/dbraw/zinc/51/08/53/1133510853.db2.gz YADJGJFVILAFGV-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO Oc1cc2ccccc2cc1Nc1ccn2cc[nH+]c2c1 ZINC001204982637 1133512118 /nfs/dbraw/zinc/51/21/18/1133512118.db2.gz BIUYMNKYFPDYJZ-UHFFFAOYSA-N 1 2 275.311 3.937 20 0 CHADLO Cc1c(F)ccc(Nc2ccn3cc[nH+]c3c2)c1F ZINC001204991234 1133514131 /nfs/dbraw/zinc/51/41/31/1133514131.db2.gz MNCPPYVQCVGYHZ-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO Cc1c(C)c(Nc2ccn3cc[nH+]c3c2)c(C)c(C)c1N ZINC001204998151 1133514725 /nfs/dbraw/zinc/51/47/25/1133514725.db2.gz VQOPEWBIQYEHBC-UHFFFAOYSA-N 1 2 280.375 3.894 20 0 CHADLO O=C1CCCc2cc(Nc3ccn4cc[nH+]c4c3)ccc21 ZINC001204998146 1133514866 /nfs/dbraw/zinc/51/48/66/1133514866.db2.gz VPSUMZGUWRTOPF-UHFFFAOYSA-N 1 2 277.327 3.597 20 0 CHADLO CC(=O)c1cccc(Nc2ccn3cc[nH+]c3c2)c1C ZINC001204998102 1133515277 /nfs/dbraw/zinc/51/52/77/1133515277.db2.gz UQTBKDGZIQRANS-UHFFFAOYSA-N 1 2 265.316 3.589 20 0 CHADLO COc1ccc2ccc(Nc3ccn4cc[nH+]c4c3)cc2n1 ZINC001205005418 1133516296 /nfs/dbraw/zinc/51/62/96/1133516296.db2.gz GRGMMAUYOKIOPA-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO Clc1cc2ncoc2c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001205006318 1133516504 /nfs/dbraw/zinc/51/65/04/1133516504.db2.gz VXYQGBUUILDOCO-UHFFFAOYSA-N 1 2 284.706 3.873 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(-c2ccc(O)cc2)cc1 ZINC001205128999 1133534029 /nfs/dbraw/zinc/53/40/29/1133534029.db2.gz IWAZBHQJPCFEKW-UHFFFAOYSA-N 1 2 277.327 3.780 20 0 CHADLO COc1cc(Cl)cc(-c2ccc3[nH+]ccn3c2)c1 ZINC001205135720 1133535581 /nfs/dbraw/zinc/53/55/81/1133535581.db2.gz SCZSCEQEBQISOX-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccccc2Cl)sn1 ZINC001205184294 1133542023 /nfs/dbraw/zinc/54/20/23/1133542023.db2.gz DQYSFNHWLIYBDW-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccccc2Cl)sn1 ZINC001205184294 1133542026 /nfs/dbraw/zinc/54/20/26/1133542026.db2.gz DQYSFNHWLIYBDW-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Fc1cc(F)c(-c2ccn3cc[nH+]c3c2)c(F)c1F ZINC001205173321 1133540912 /nfs/dbraw/zinc/54/09/12/1133540912.db2.gz FDMWTLWTTKEXGA-UHFFFAOYSA-N 1 2 266.197 3.558 20 0 CHADLO c1nnc([C@@H]([NH2+]C2CCC3(CCC3)CC2)c2ccccc2)[nH]1 ZINC001205320525 1133560241 /nfs/dbraw/zinc/56/02/41/1133560241.db2.gz IBDXADRCPITPBB-INIZCTEOSA-N 1 2 296.418 3.597 20 0 CHADLO CNc1cc(-c2ccc(Oc3ccccc3)nc2)cc[nH+]1 ZINC001205361770 1133569831 /nfs/dbraw/zinc/56/98/31/1133569831.db2.gz YNWBUNIVUHRLTO-UHFFFAOYSA-N 1 2 277.327 3.978 20 0 CHADLO CCOc1c(F)c(F)ccc1-c1cc(C)cn2cc[nH+]c12 ZINC001205810019 1133616843 /nfs/dbraw/zinc/61/68/43/1133616843.db2.gz BXIIFMLIHWHYNM-UHFFFAOYSA-N 1 2 288.297 3.987 20 0 CHADLO CC(C)Cn1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cn1 ZINC001205837652 1133621190 /nfs/dbraw/zinc/62/11/90/1133621190.db2.gz SCXVEWCVMBHFPY-UHFFFAOYSA-N 1 2 299.422 3.668 20 0 CHADLO CC[C@H](NC(=O)Nc1c(C)cc(C)[nH+]c1C)[C@@H]1CC1(C)C ZINC001205900232 1133628679 /nfs/dbraw/zinc/62/86/79/1133628679.db2.gz WPSWJLTXVBQLKL-KBPBESRZSA-N 1 2 289.423 3.953 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)c(O)c1 ZINC001206267880 1133679589 /nfs/dbraw/zinc/67/95/89/1133679589.db2.gz IZNZAWSJVOBITD-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO c1cc2c(c(CNc3ccc(N4CCCC4)c[nH+]3)c1)CCC2 ZINC001206376677 1133695374 /nfs/dbraw/zinc/69/53/74/1133695374.db2.gz LYQODKOJYMZAAJ-UHFFFAOYSA-N 1 2 293.414 3.783 20 0 CHADLO CC(C)(C)Oc1ncccc1Nc1ccn2cc[nH+]c2c1 ZINC001206501274 1133711662 /nfs/dbraw/zinc/71/16/62/1133711662.db2.gz NOCNZLZIULYIGW-UHFFFAOYSA-N 1 2 282.347 3.650 20 0 CHADLO CC(C)O[C@@H]1C[C@H](Nc2c[nH+]cc3c2CCCC3)C1(C)C ZINC001206636440 1133729815 /nfs/dbraw/zinc/72/98/15/1133729815.db2.gz MOSHDPUCIXTZEJ-DLBZAZTESA-N 1 2 288.435 3.964 20 0 CHADLO CC(C)O[C@H]1C[C@@H](Nc2c[nH+]cc3c2CCCC3)C1(C)C ZINC001206636443 1133729944 /nfs/dbraw/zinc/72/99/44/1133729944.db2.gz MOSHDPUCIXTZEJ-SJORKVTESA-N 1 2 288.435 3.964 20 0 CHADLO CC(C)O[C@H]1C[C@H](Nc2c[nH+]cc3c2CCCC3)C1(C)C ZINC001206636442 1133730214 /nfs/dbraw/zinc/73/02/14/1133730214.db2.gz MOSHDPUCIXTZEJ-IRXDYDNUSA-N 1 2 288.435 3.964 20 0 CHADLO CC[C@@H](c1ccccc1)N(C)Cc1[nH+]cc2ccccn21 ZINC001207056962 1133762457 /nfs/dbraw/zinc/76/24/57/1133762457.db2.gz HUAIUYZULVKPRA-KRWDZBQOSA-N 1 2 279.387 3.917 20 0 CHADLO COc1cccc(CCC[N@@H+]2CC[C@H](C)C(F)(F)C2)c1 ZINC001207559407 1133819055 /nfs/dbraw/zinc/81/90/55/1133819055.db2.gz VDPUIDHAPWFQIH-ZDUSSCGKSA-N 1 2 283.362 3.605 20 0 CHADLO COc1cccc(CCC[N@H+]2CC[C@H](C)C(F)(F)C2)c1 ZINC001207559407 1133819061 /nfs/dbraw/zinc/81/90/61/1133819061.db2.gz VDPUIDHAPWFQIH-ZDUSSCGKSA-N 1 2 283.362 3.605 20 0 CHADLO C[C@@H](C[N@@H+]1CC[C@H](C)C(F)(F)C1)c1ccccc1 ZINC001207903143 1133859956 /nfs/dbraw/zinc/85/99/56/1133859956.db2.gz CKMNDIYBTZRBEU-STQMWFEESA-N 1 2 253.336 3.767 20 0 CHADLO C[C@@H](C[N@H+]1CC[C@H](C)C(F)(F)C1)c1ccccc1 ZINC001207903143 1133859962 /nfs/dbraw/zinc/85/99/62/1133859962.db2.gz CKMNDIYBTZRBEU-STQMWFEESA-N 1 2 253.336 3.767 20 0 CHADLO O=C1CCC[N@@H+](CC2(c3ccccc3)CCCC2)C[C@H]1F ZINC001208771819 1133942659 /nfs/dbraw/zinc/94/26/59/1133942659.db2.gz JIAJNFBXWUWOMV-MRXNPFEDSA-N 1 2 289.394 3.501 20 0 CHADLO O=C1CCC[N@H+](CC2(c3ccccc3)CCCC2)C[C@H]1F ZINC001208771819 1133942664 /nfs/dbraw/zinc/94/26/64/1133942664.db2.gz JIAJNFBXWUWOMV-MRXNPFEDSA-N 1 2 289.394 3.501 20 0 CHADLO C[C@@H](C[N@@H+]1CCc2c3ccccc3oc2C1)C(F)(F)F ZINC001208890763 1133957787 /nfs/dbraw/zinc/95/77/87/1133957787.db2.gz HGVJJEXWDPTRCX-JTQLQIEISA-N 1 2 283.293 3.989 20 0 CHADLO C[C@@H](C[N@H+]1CCc2c3ccccc3oc2C1)C(F)(F)F ZINC001208890763 1133957792 /nfs/dbraw/zinc/95/77/92/1133957792.db2.gz HGVJJEXWDPTRCX-JTQLQIEISA-N 1 2 283.293 3.989 20 0 CHADLO c1cn2cccc(NC3=CCN(c4ccccc4)CC3)c2[nH+]1 ZINC001209009910 1133969766 /nfs/dbraw/zinc/96/97/66/1133969766.db2.gz SWDLXUKYUSYEKN-UHFFFAOYSA-N 1 2 290.370 3.540 20 0 CHADLO C[C@@H]1C[N@H+](CCc2c(Cl)cccc2Cl)C[C@@H]1F ZINC001209104552 1133975582 /nfs/dbraw/zinc/97/55/82/1133975582.db2.gz DZOIICSPMBHPDX-RNCFNFMXSA-N 1 2 276.182 3.826 20 0 CHADLO C[C@@H]1C[N@@H+](CCc2c(Cl)cccc2Cl)C[C@@H]1F ZINC001209104552 1133975585 /nfs/dbraw/zinc/97/55/85/1133975585.db2.gz DZOIICSPMBHPDX-RNCFNFMXSA-N 1 2 276.182 3.826 20 0 CHADLO CCCn1cncc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000090204452 1133988481 /nfs/dbraw/zinc/98/84/81/1133988481.db2.gz CAFSHZXZMOBFRJ-UHFFFAOYSA-N 1 2 284.407 3.505 20 0 CHADLO CCCn1c[nH+]cc1CNc1cc(Cl)ccc1OC ZINC000090203833 1133988728 /nfs/dbraw/zinc/98/87/28/1133988728.db2.gz JQDCLOWRHDVKLX-UHFFFAOYSA-N 1 2 279.771 3.567 20 0 CHADLO C[C@H]1CC[N@H+](CCCCOCc2ccccc2)CC1(F)F ZINC001209254630 1133996313 /nfs/dbraw/zinc/99/63/13/1133996313.db2.gz TZLLAYBVYZRLIC-HNNXBMFYSA-N 1 2 297.389 3.961 20 0 CHADLO C[C@H]1CC[N@@H+](CCCCOCc2ccccc2)CC1(F)F ZINC001209254630 1133996316 /nfs/dbraw/zinc/99/63/16/1133996316.db2.gz TZLLAYBVYZRLIC-HNNXBMFYSA-N 1 2 297.389 3.961 20 0 CHADLO Cc1ccc2[nH]cc(C[N@H+](C)Cc3nccs3)c2c1 ZINC001209495370 1134029944 /nfs/dbraw/zinc/02/99/44/1134029944.db2.gz SOGWRUQHECCTTQ-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc2[nH]cc(C[N@@H+](C)Cc3nccs3)c2c1 ZINC001209495370 1134029948 /nfs/dbraw/zinc/02/99/48/1134029948.db2.gz SOGWRUQHECCTTQ-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2ccc3cc[nH]c3c2)C1 ZINC001209507536 1134033320 /nfs/dbraw/zinc/03/33/20/1134033320.db2.gz LNCIMNACOJUSEX-UHFFFAOYSA-N 1 2 264.319 3.789 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2ccc3cc[nH]c3c2)C1 ZINC001209507536 1134033327 /nfs/dbraw/zinc/03/33/27/1134033327.db2.gz LNCIMNACOJUSEX-UHFFFAOYSA-N 1 2 264.319 3.789 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cccc(C(F)(F)F)c3C2)[nH]1 ZINC001209576860 1134039413 /nfs/dbraw/zinc/03/94/13/1134039413.db2.gz FOXRKGOUYZUCEB-UHFFFAOYSA-N 1 2 294.320 3.900 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cccc(C(F)(F)F)c3C2)[nH]1 ZINC001209576860 1134039405 /nfs/dbraw/zinc/03/94/05/1134039405.db2.gz FOXRKGOUYZUCEB-UHFFFAOYSA-N 1 2 294.320 3.900 20 0 CHADLO Fc1ccc2c(C[N@@H+]3Cc4cccc(F)c4C3)c[nH]c2c1 ZINC001209692816 1134051022 /nfs/dbraw/zinc/05/10/22/1134051022.db2.gz XGPBIJBRAMPJCH-UHFFFAOYSA-N 1 2 284.309 3.962 20 0 CHADLO Fc1ccc2c(C[N@H+]3Cc4cccc(F)c4C3)c[nH]c2c1 ZINC001209692816 1134051030 /nfs/dbraw/zinc/05/10/30/1134051030.db2.gz XGPBIJBRAMPJCH-UHFFFAOYSA-N 1 2 284.309 3.962 20 0 CHADLO CCN(C)c1ccc(Nc2cc(OC)ccc2C)c[nH+]1 ZINC001209839158 1134076251 /nfs/dbraw/zinc/07/62/51/1134076251.db2.gz KYHIGPCUALZYFA-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO FC(F)(F)c1cccnc1Nc1ccc2[nH]c[nH+]c2c1 ZINC001209842323 1134079300 /nfs/dbraw/zinc/07/93/00/1134079300.db2.gz HQBSRDVZZIRIEL-UHFFFAOYSA-N 1 2 278.237 3.720 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ccc2[nH]nc(N)c2c1 ZINC001209860916 1134087566 /nfs/dbraw/zinc/08/75/66/1134087566.db2.gz ZBJBINLTZCBRQX-UHFFFAOYSA-N 1 2 295.390 3.791 20 0 CHADLO CO[C@@H](C)c1cccc(Nc2cccn3cc[nH+]c23)c1 ZINC001209948114 1134107090 /nfs/dbraw/zinc/10/70/90/1134107090.db2.gz WKJROAGTKRFPAI-LBPRGKRZSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1cc(Nc2[nH+]ccc3ccccc32)ccc1O ZINC001209973360 1134113373 /nfs/dbraw/zinc/11/33/73/1134113373.db2.gz WXKHQXDVUQCQRX-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO CN(C)c1ccccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001209989310 1134117579 /nfs/dbraw/zinc/11/75/79/1134117579.db2.gz MVKIHJIXVQWQTR-UHFFFAOYSA-N 1 2 286.766 3.797 20 0 CHADLO Cc1cc(Nc2cncc(Cl)c2)ccc1[NH+](C)C ZINC001210039885 1134130533 /nfs/dbraw/zinc/13/05/33/1134130533.db2.gz FMKHMLVWSDXONS-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)OCO3)ccc1[NH+](C)C ZINC001210041635 1134130760 /nfs/dbraw/zinc/13/07/60/1134130760.db2.gz QCTCHALHPZNYTF-UHFFFAOYSA-N 1 2 270.332 3.533 20 0 CHADLO Cc1cc(Nc2cccc([C@@H](C)O)c2)ccc1[NH+](C)C ZINC001210041145 1134131112 /nfs/dbraw/zinc/13/11/12/1134131112.db2.gz JJHXMJJKVVUDAT-CYBMUJFWSA-N 1 2 270.376 3.858 20 0 CHADLO Cc1cc(Nc2cccc(N(C)C)c2)ccc1[NH+](C)C ZINC001210043151 1134132141 /nfs/dbraw/zinc/13/21/41/1134132141.db2.gz AASWXEVVKGKRBT-UHFFFAOYSA-N 1 2 269.392 3.871 20 0 CHADLO CCc1cccc(Nc2ccc(N(C)C)c(C)c2)[nH+]1 ZINC001210042520 1134132558 /nfs/dbraw/zinc/13/25/58/1134132558.db2.gz ITIPMJIRMDTJKL-UHFFFAOYSA-N 1 2 255.365 3.762 20 0 CHADLO Cc1cnc(Nc2ccc3c[nH+]ccc3c2)c(F)c1 ZINC001210046761 1134134066 /nfs/dbraw/zinc/13/40/66/1134134066.db2.gz QIADQCUEGWEDJK-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO CC(=O)c1cccc(Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210047123 1134134721 /nfs/dbraw/zinc/13/47/21/1134134721.db2.gz LNQHYQYJNMGSEE-UHFFFAOYSA-N 1 2 263.300 3.576 20 0 CHADLO c1cn2cccc(Nc3ccc4cccnc4c3)c2[nH+]1 ZINC001210055008 1134138039 /nfs/dbraw/zinc/13/80/39/1134138039.db2.gz ZVOMUYVBBFYYMM-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1cc2n[nH]cc2cc1Nc1[nH+]cccc1N1CCCC1 ZINC001210101594 1134147309 /nfs/dbraw/zinc/14/73/09/1134147309.db2.gz IVADBYNZGMMUFQ-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1c(F)cc(Nc2ccc3c(c2)[nH+]cn3C)cc1F ZINC001210109605 1134150377 /nfs/dbraw/zinc/15/03/77/1134150377.db2.gz SSKIFJISMNCIGB-UHFFFAOYSA-N 1 2 273.286 3.904 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1C1=CCC(C)(C)CC1 ZINC001210165334 1134158585 /nfs/dbraw/zinc/15/85/85/1134158585.db2.gz BXSDIHYTQWJKAI-UHFFFAOYSA-N 1 2 255.365 3.978 20 0 CHADLO CCOC(=O)c1scnc1Nc1cccc2cc[nH+]cc21 ZINC001210222582 1134170380 /nfs/dbraw/zinc/17/03/80/1134170380.db2.gz PGBFEIAFGYDPOW-UHFFFAOYSA-N 1 2 299.355 3.612 20 0 CHADLO c1cnc2c(Nc3cccc4cc[nH+]cc43)noc2c1 ZINC001210222693 1134170834 /nfs/dbraw/zinc/17/08/34/1134170834.db2.gz UJUYBMLHIWZHQF-UHFFFAOYSA-N 1 2 262.272 3.515 20 0 CHADLO CCOC(=O)c1cccnc1Nc1cccc2cc[nH+]cc21 ZINC001210223672 1134171460 /nfs/dbraw/zinc/17/14/60/1134171460.db2.gz CNYQEFVQZDRUDQ-UHFFFAOYSA-N 1 2 293.326 3.550 20 0 CHADLO FC(F)(F)c1cc(Nc2cccc3cc[nH+]cc32)on1 ZINC001210223756 1134171927 /nfs/dbraw/zinc/17/19/27/1134171927.db2.gz FLZQZQZALRROOA-UHFFFAOYSA-N 1 2 279.221 3.985 20 0 CHADLO CC(=O)c1cccc(O)c1Nc1cccc2cc[nH+]cc21 ZINC001210230262 1134172233 /nfs/dbraw/zinc/17/22/33/1134172233.db2.gz CAVRFJWGQYEWHN-UHFFFAOYSA-N 1 2 278.311 3.887 20 0 CHADLO c1cc(Nc2cccc3cc[nH+]cc32)n(-c2ccccn2)n1 ZINC001210226382 1134172353 /nfs/dbraw/zinc/17/23/53/1134172353.db2.gz VBIVSSNBIOKPLS-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO Cc1cnn(CC2CC2)c1Nc1cccc2cc[nH+]cc21 ZINC001210225909 1134172876 /nfs/dbraw/zinc/17/28/76/1134172876.db2.gz OOUFPTBLPCXRJD-UHFFFAOYSA-N 1 2 278.359 3.893 20 0 CHADLO c1nc(Nc2cccc3cc[nH+]cc32)cn1CC1CC1 ZINC001210226157 1134172961 /nfs/dbraw/zinc/17/29/61/1134172961.db2.gz SDRXPFYIBFYAOC-UHFFFAOYSA-N 1 2 264.332 3.585 20 0 CHADLO Nc1ccc(F)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210230561 1134173535 /nfs/dbraw/zinc/17/35/35/1134173535.db2.gz JDVSSXWHXJDEJB-UHFFFAOYSA-N 1 2 253.280 3.700 20 0 CHADLO CC(=O)c1cccc(Nc2cccc3cc[nH+]cc32)c1O ZINC001210231864 1134173638 /nfs/dbraw/zinc/17/36/38/1134173638.db2.gz ULDWBSLNTLRYKH-UHFFFAOYSA-N 1 2 278.311 3.887 20 0 CHADLO CC(=O)c1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)cn1 ZINC001210271042 1134180808 /nfs/dbraw/zinc/18/08/08/1134180808.db2.gz XIYFKARWGORIDU-UHFFFAOYSA-N 1 2 297.745 3.651 20 0 CHADLO Cc1[nH+]cc(Nc2ccnn2-c2cccc(Cl)c2)cc1N ZINC001210288251 1134186040 /nfs/dbraw/zinc/18/60/40/1134186040.db2.gz GGNMAFWWMGHDNF-UHFFFAOYSA-N 1 2 299.765 3.555 20 0 CHADLO Cc1ncc(Nc2ccc([NH+]3CCCCC3)cc2)cc1N ZINC001210288528 1134186290 /nfs/dbraw/zinc/18/62/90/1134186290.db2.gz RKHGWAMGFXTFGT-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(-c3cccnc3)cc2)cc1N ZINC001210290563 1134186677 /nfs/dbraw/zinc/18/66/77/1134186677.db2.gz OJYALUUBMGTUBR-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1ccc(Nc2c[nH+]c(C)c(N)c2)cc1OC(F)F ZINC001210289447 1134186743 /nfs/dbraw/zinc/18/67/43/1134186743.db2.gz LXSOSHDLLCVXDZ-UHFFFAOYSA-N 1 2 279.290 3.626 20 0 CHADLO Cc1[nH+]cc(Nc2c(N)cc(Cl)cc2Cl)cc1N ZINC001210289638 1134186913 /nfs/dbraw/zinc/18/69/13/1134186913.db2.gz WBNIBAKCDVZNAG-UHFFFAOYSA-N 1 2 283.162 3.605 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)c(Cl)cc2O)cc1N ZINC001210290164 1134187008 /nfs/dbraw/zinc/18/70/08/1134187008.db2.gz FHAKXENQYLDYHK-UHFFFAOYSA-N 1 2 284.146 3.728 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc(-n2ccnn2)cc1 ZINC001210296242 1134187364 /nfs/dbraw/zinc/18/73/64/1134187364.db2.gz WVIPAMOUQBEXML-UHFFFAOYSA-N 1 2 293.374 3.838 20 0 CHADLO COc1cc(Nc2ccc3c(C)cc[nH+]c3c2)c(OC)cn1 ZINC001210312648 1134190842 /nfs/dbraw/zinc/19/08/42/1134190842.db2.gz ITGGKXXOQIAYBQ-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnn(C(F)(F)F)c1 ZINC001210361900 1134198365 /nfs/dbraw/zinc/19/83/65/1134198365.db2.gz FDPLUAGRUANNFR-UHFFFAOYSA-N 1 2 284.285 3.930 20 0 CHADLO Nc1ccc[nH+]c1NC=Cc1ccccc1C(F)(F)F ZINC001210427691 1134212157 /nfs/dbraw/zinc/21/21/57/1134212157.db2.gz MQDVMSXGWQTUEH-VQHVLOKHSA-N 1 2 279.265 3.765 20 0 CHADLO Cc1cc(Oc2ccc(Nc3cncc(N)c3)cc2)cc[nH+]1 ZINC001210442569 1134215586 /nfs/dbraw/zinc/21/55/86/1134215586.db2.gz LHSJKVKJSSSXSN-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO c1cn(-c2cccc(Nc3ccc4ncncc4c3)c2)c[nH+]1 ZINC001210457409 1134218809 /nfs/dbraw/zinc/21/88/09/1134218809.db2.gz MNGZSFVIVHWGQQ-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO CCc1ncccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001210468197 1134222917 /nfs/dbraw/zinc/22/29/17/1134222917.db2.gz RXFGJSBYQTWWRK-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO Cc1ccc2c(Nc3ccc(CO)c(F)c3)cccc2[nH+]1 ZINC001210487756 1134226496 /nfs/dbraw/zinc/22/64/96/1134226496.db2.gz LZYUGWJZGHOKIC-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO Cc1ccc(Nc2ccc(NC(=O)N(C)C)cc2)c(C)[nH+]1 ZINC001210632738 1134263826 /nfs/dbraw/zinc/26/38/26/1134263826.db2.gz NWUNEAUVUJOLBO-UHFFFAOYSA-N 1 2 284.363 3.536 20 0 CHADLO C[C@H](O)c1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001210646340 1134267152 /nfs/dbraw/zinc/26/71/52/1134267152.db2.gz NJBGWBOXGIRZBE-ZDUSSCGKSA-N 1 2 279.343 3.669 20 0 CHADLO Cc1ccc(CO)cc1Nc1cc(OC(F)(F)F)cc[nH+]1 ZINC001210677315 1134272116 /nfs/dbraw/zinc/27/21/16/1134272116.db2.gz LBZPMNNMJKSKJI-UHFFFAOYSA-N 1 2 298.264 3.525 20 0 CHADLO CN(C)c1ccc(Nc2cnc3sccc3c2)c[nH+]1 ZINC001210688474 1134274168 /nfs/dbraw/zinc/27/41/68/1134274168.db2.gz UCJSZJOTIJWDLM-UHFFFAOYSA-N 1 2 270.361 3.501 20 0 CHADLO Cc1cn2cccc(Nc3cnc4sccc4c3)c2[nH+]1 ZINC001210691712 1134275858 /nfs/dbraw/zinc/27/58/58/1134275858.db2.gz LSLMDAMPSLYTIW-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO Sc1ccc(NC2=CC[C@@H]([NH+]3CCCC3)CC2)cc1 ZINC001210714901 1134280798 /nfs/dbraw/zinc/28/07/98/1134280798.db2.gz RCGHJIPYRUVRRR-OAHLLOKOSA-N 1 2 274.433 3.919 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cc(O)cc(C(F)(F)F)c1 ZINC001210822643 1134305182 /nfs/dbraw/zinc/30/51/82/1134305182.db2.gz HJTMXRKOPRXBAO-UHFFFAOYSA-N 1 2 284.237 3.564 20 0 CHADLO CCOc1cc(Nc2cc(F)cc(F)c2OC)cc(C)[nH+]1 ZINC001210866636 1134318506 /nfs/dbraw/zinc/31/85/06/1134318506.db2.gz VTCJOPUHJXPNPB-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO CCc1cccc(C)c1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001210874699 1134320708 /nfs/dbraw/zinc/32/07/08/1134320708.db2.gz LQLMKPSQIFTXJR-UHFFFAOYSA-N 1 2 265.360 3.787 20 0 CHADLO Cc1cc(C)c(Nc2cccnc2C(=O)OC(C)(C)C)c[nH+]1 ZINC001210961151 1134340498 /nfs/dbraw/zinc/34/04/98/1134340498.db2.gz AIJZDTUKVSOXHC-UHFFFAOYSA-N 1 2 299.374 3.792 20 0 CHADLO C=C(Nc1ccccc1-n1cc[nH+]c1)c1ccccc1 ZINC001210985341 1134342510 /nfs/dbraw/zinc/34/25/10/1134342510.db2.gz MHGYOCRAPQBFOP-UHFFFAOYSA-N 1 2 261.328 3.955 20 0 CHADLO Cc1nc(Cl)ncc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086417 1134424132 /nfs/dbraw/zinc/42/41/32/1134424132.db2.gz PUQAAPZPKWCUTD-UHFFFAOYSA-N 1 2 285.738 3.572 20 0 CHADLO Cn1cc2cc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)ccc2n1 ZINC001213087932 1134424421 /nfs/dbraw/zinc/42/44/21/1134424421.db2.gz HVFOGWDGWVLERH-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO Cc1ccncc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213085487 1134424642 /nfs/dbraw/zinc/42/46/42/1134424642.db2.gz IGZCYUSRMCOYBO-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO CCOc1ncccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213087709 1134424886 /nfs/dbraw/zinc/42/48/86/1134424886.db2.gz WJKUHHBAOANSGJ-UHFFFAOYSA-N 1 2 280.331 3.614 20 0 CHADLO COc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)ccc1F ZINC001213089080 1134425391 /nfs/dbraw/zinc/42/53/91/1134425391.db2.gz SSPBFVGTVIPADU-UHFFFAOYSA-N 1 2 283.306 3.968 20 0 CHADLO OCc1cccc(Cl)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088946 1134426067 /nfs/dbraw/zinc/42/60/67/1134426067.db2.gz LUVKCJFIRCAOPC-UHFFFAOYSA-N 1 2 299.761 3.966 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccn2nccc2c1 ZINC001213494573 1134454062 /nfs/dbraw/zinc/45/40/62/1134454062.db2.gz BTAIURPKKFDTDI-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO Cc1cn2cccc(Nc3cc(C4CC4)cnc3C)c2[nH+]1 ZINC001213491602 1134454078 /nfs/dbraw/zinc/45/40/78/1134454078.db2.gz NWOOYJGQEOVZQP-UHFFFAOYSA-N 1 2 278.359 3.967 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(-n2cncn2)cc1 ZINC001213496884 1134454818 /nfs/dbraw/zinc/45/48/18/1134454818.db2.gz CCFGFADGTYGQHG-UHFFFAOYSA-N 1 2 291.358 3.592 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccnn1CC(F)(F)F ZINC001213496909 1134455037 /nfs/dbraw/zinc/45/50/37/1134455037.db2.gz DJKCKKSBWBSZFT-UHFFFAOYSA-N 1 2 296.296 3.770 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(O)cc(F)cc1F ZINC001213499338 1134455063 /nfs/dbraw/zinc/45/50/63/1134455063.db2.gz HEFYXFHYFRUZTQ-UHFFFAOYSA-N 1 2 276.286 3.995 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2nccnc21 ZINC001213499489 1134455477 /nfs/dbraw/zinc/45/54/77/1134455477.db2.gz LJLZUBFKHUZBND-UHFFFAOYSA-N 1 2 276.343 3.954 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(CO)c1C ZINC001213499176 1134455717 /nfs/dbraw/zinc/45/57/17/1134455717.db2.gz FNENOBRQFHTVLS-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(-n2nccn2)c1 ZINC001213501062 1134456019 /nfs/dbraw/zinc/45/60/19/1134456019.db2.gz GFAKSKHCGLWFRL-UHFFFAOYSA-N 1 2 291.358 3.592 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)c(F)cc1O ZINC001213501070 1134456151 /nfs/dbraw/zinc/45/61/51/1134456151.db2.gz GMOFRUSRCCDOOC-UHFFFAOYSA-N 1 2 276.286 3.995 20 0 CHADLO Cc1cc(CO)cc(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213503473 1134456398 /nfs/dbraw/zinc/45/63/98/1134456398.db2.gz IENTWVFDAUUYRE-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(OC2COC2)cc1 ZINC001213501197 1134456457 /nfs/dbraw/zinc/45/64/57/1134456457.db2.gz MDXOVFUWUKVWKP-UHFFFAOYSA-N 1 2 296.370 3.789 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(F)cc(O)cc1F ZINC001213500863 1134457073 /nfs/dbraw/zinc/45/70/73/1134457073.db2.gz AMUADKMCVLKRNP-UHFFFAOYSA-N 1 2 276.286 3.995 20 0 CHADLO COc1ccc2cc(Nc3c[nH+]c(C)cc3C)cnc2c1 ZINC001213507614 1134457710 /nfs/dbraw/zinc/45/77/10/1134457710.db2.gz AARLKTGVXZBBSR-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1cc(C)c(Nc2cnc(F)c(Br)c2)c[nH+]1 ZINC001213505339 1134457927 /nfs/dbraw/zinc/45/79/27/1134457927.db2.gz CEKPQOQFSRRUEE-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1cc(C)c(Nc2ncc(Br)cc2F)c[nH+]1 ZINC001213505444 1134458102 /nfs/dbraw/zinc/45/81/02/1134458102.db2.gz GOUKKZIZJRFRKP-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1cc(C)c(Nc2ccc(-c3ccncn3)cc2)c[nH+]1 ZINC001213518440 1134458893 /nfs/dbraw/zinc/45/88/93/1134458893.db2.gz OKGNJIDFEXQIJJ-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1cc(C)c(Nc2cccc(Cl)c2CO)c[nH+]1 ZINC001213518458 1134458975 /nfs/dbraw/zinc/45/89/75/1134458975.db2.gz PMRNHFQKSIBVBU-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO COc1ccc2cc(Nc3c[nH+]c(C)cc3C)ccc2n1 ZINC001213515897 1134459476 /nfs/dbraw/zinc/45/94/76/1134459476.db2.gz RIQDSGUVZCSSJZ-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(N2c3ccc(N)cc3CC[C@@H]2C)c1C ZINC001213528479 1134460286 /nfs/dbraw/zinc/46/02/86/1134460286.db2.gz FLTWEXGPQGCJHR-LBPRGKRZSA-N 1 2 267.376 3.753 20 0 CHADLO CC(=O)c1oc2cccnc2c1Nc1c[nH+]cc(C)c1C ZINC001213527517 1134460322 /nfs/dbraw/zinc/46/03/22/1134460322.db2.gz QGCKIALOZNANMP-UHFFFAOYSA-N 1 2 281.315 3.786 20 0 CHADLO Cc1c[nH+]cc(Nc2ncc(F)cc2Cl)c1C ZINC001213527506 1134460438 /nfs/dbraw/zinc/46/04/38/1134460438.db2.gz PLJTYIHNNXKCFA-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1c[nH+]cc(Nc2ccccc2-n2ccnc2)c1C ZINC001213529062 1134461255 /nfs/dbraw/zinc/46/12/55/1134461255.db2.gz UMMDEKTWIAGJFJ-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1cc2c(ccc(N)c2F)n1-c1c[nH+]cc(C)c1C ZINC001213532569 1134461753 /nfs/dbraw/zinc/46/17/53/1134461753.db2.gz OXSPULCXGHOBMI-UHFFFAOYSA-N 1 2 269.323 3.672 20 0 CHADLO CC(=O)N1CCCc2ccc(Nc3c[nH+]cc(C)c3C)cc21 ZINC001213532401 1134461877 /nfs/dbraw/zinc/46/18/77/1134461877.db2.gz GIWMCIDIJAFADK-UHFFFAOYSA-N 1 2 295.386 3.741 20 0 CHADLO CCOC(=O)CCc1cccc(Nc2c[nH+]cc(C)c2C)c1 ZINC001213536972 1134463072 /nfs/dbraw/zinc/46/30/72/1134463072.db2.gz VGSPFBQZSZAOFN-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO COc1cc(Nc2cc(C(F)(F)F)cnc2C)cc(C)[nH+]1 ZINC001213828269 1134489122 /nfs/dbraw/zinc/48/91/22/1134489122.db2.gz AKIQYINSLBNRRS-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4cc[nH]c(=O)c4c3)ccc21 ZINC001213961035 1134501133 /nfs/dbraw/zinc/50/11/33/1134501133.db2.gz KAIIIOWBVBUMAS-UHFFFAOYSA-N 1 2 290.326 3.571 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(F)c1C(=O)OC ZINC001214050107 1134506377 /nfs/dbraw/zinc/50/63/77/1134506377.db2.gz OGRVEGNNGWMOPZ-UHFFFAOYSA-N 1 2 288.322 3.622 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2c(F)cc(CO)cc2F)cc1 ZINC001214103935 1134511332 /nfs/dbraw/zinc/51/13/32/1134511332.db2.gz SLYXYAASCSIYRC-UHFFFAOYSA-N 1 2 292.329 3.657 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2c(F)cc(CO)cc2F)cc1 ZINC001214103935 1134511340 /nfs/dbraw/zinc/51/13/40/1134511340.db2.gz SLYXYAASCSIYRC-UHFFFAOYSA-N 1 2 292.329 3.657 20 0 CHADLO Cc1cc(N)ccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001214114676 1134512390 /nfs/dbraw/zinc/51/23/90/1134512390.db2.gz RQFNLLRKBDAJRD-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2N(C)C)cc1OC(C)C ZINC001214332026 1134529494 /nfs/dbraw/zinc/52/94/94/1134529494.db2.gz CXCCXJCDHXZCNS-UHFFFAOYSA-N 1 2 285.391 3.987 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCCC2)c(CO)c1 ZINC001214450788 1134539284 /nfs/dbraw/zinc/53/92/84/1134539284.db2.gz AQIFYBXROYKHSS-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1ccc(Nc2cc(F)c(O)c(Cl)c2)c(C)[nH+]1 ZINC001214477626 1134541114 /nfs/dbraw/zinc/54/11/14/1134541114.db2.gz YVSVGIWRNNESRU-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO COc1c(C)ccc(F)c1Nc1ccn2cc[nH+]c2c1 ZINC001214532567 1134548039 /nfs/dbraw/zinc/54/80/39/1134548039.db2.gz YUWLCXNNKZVOMS-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1cn2c(cccc2Nc2c(Cl)ccc(O)c2F)[nH+]1 ZINC001214554228 1134550271 /nfs/dbraw/zinc/55/02/71/1134550271.db2.gz MYUHMQJZSOBGHW-UHFFFAOYSA-N 1 2 291.713 3.884 20 0 CHADLO Cc1c[nH+]c(Nc2cccc(Cl)c2CO)c(C)c1 ZINC001214644441 1134560882 /nfs/dbraw/zinc/56/08/82/1134560882.db2.gz JOUNWBOCYVXVOZ-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO COc1ccc(F)c(Nc2ccc(C)[nH+]c2C)c1OC ZINC001214689370 1134565699 /nfs/dbraw/zinc/56/56/99/1134565699.db2.gz JKCOICKXIXGFTO-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO Cn1c[nH+]c2cccc(Nc3ccc(Cl)c(O)c3F)c21 ZINC001214803646 1134575692 /nfs/dbraw/zinc/57/56/92/1134575692.db2.gz AVDUOAJFJOGPRS-UHFFFAOYSA-N 1 2 291.713 3.815 20 0 CHADLO COCOc1c(C)cccc1Nc1cccn2cc(C)[nH+]c12 ZINC001214844683 1134576354 /nfs/dbraw/zinc/57/63/54/1134576354.db2.gz KROBNXZNBLWCRL-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO Cc1ccc(Nc2ccc(OC(F)(F)F)c(CO)c2)[nH+]c1 ZINC001214822815 1134576364 /nfs/dbraw/zinc/57/63/64/1134576364.db2.gz MXMQXVPSRUMOKD-UHFFFAOYSA-N 1 2 298.264 3.525 20 0 CHADLO COCOc1c(C)cccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001214853170 1134577770 /nfs/dbraw/zinc/57/77/70/1134577770.db2.gz JPWCIEKYCXVBSJ-UHFFFAOYSA-N 1 2 297.358 3.608 20 0 CHADLO c1cn(-c2ccccc2-c2noc(-c3ccccc3)n2)c[nH+]1 ZINC001215110609 1134598785 /nfs/dbraw/zinc/59/87/85/1134598785.db2.gz JQAHDSKTRINWDK-UHFFFAOYSA-N 1 2 288.310 3.589 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(CO)c(C)c1C ZINC001215240921 1134609903 /nfs/dbraw/zinc/60/99/03/1134609903.db2.gz WGFCBOSHBGFBBC-UHFFFAOYSA-N 1 2 270.376 3.805 20 0 CHADLO COCOc1ccc(Nc2[nH+]cccc2C)cc1Cl ZINC001215251143 1134611793 /nfs/dbraw/zinc/61/17/93/1134611793.db2.gz AFAULPQTKCZRAZ-UHFFFAOYSA-N 1 2 278.739 3.770 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cc2n[nH]cc2c(F)c1 ZINC001215552187 1134639886 /nfs/dbraw/zinc/63/98/86/1134639886.db2.gz IUMJAWXLMBCEKR-UHFFFAOYSA-N 1 2 270.311 3.711 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cc2[nH]ncc2c(F)c1 ZINC001215552187 1134639892 /nfs/dbraw/zinc/63/98/92/1134639892.db2.gz IUMJAWXLMBCEKR-UHFFFAOYSA-N 1 2 270.311 3.711 20 0 CHADLO CCOc1ccc(Nc2ccc[nH+]c2N2CCCC2)c(C)n1 ZINC001215577353 1134645776 /nfs/dbraw/zinc/64/57/76/1134645776.db2.gz XNRMXFJWKPWUAP-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO Cc1ccc(OC(C)C)cc1Nc1[nH+]cccc1N ZINC001215583834 1134648331 /nfs/dbraw/zinc/64/83/31/1134648331.db2.gz ZCKOKNJBGAXGOR-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO COc1c(F)c(C)ccc1Nc1cccn2cc[nH+]c12 ZINC001215600536 1134651030 /nfs/dbraw/zinc/65/10/30/1134651030.db2.gz PQCHLFQXKRSQNF-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO COc1cc(C)cc(F)c1Nc1cc(C)cc(C)[nH+]1 ZINC001215705118 1134662976 /nfs/dbraw/zinc/66/29/76/1134662976.db2.gz SEDQJGJRSMKETA-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO Cc1cn2cccc(Nc3ccc(C)c4n[nH]cc43)c2[nH+]1 ZINC001215755235 1134674905 /nfs/dbraw/zinc/67/49/05/1134674905.db2.gz KIDNOOCREMQZBI-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO CSc1ccc(Nc2cc[nH+]c(SC)c2)c(C)n1 ZINC001215909574 1134716489 /nfs/dbraw/zinc/71/64/89/1134716489.db2.gz WQRHRDBZNWRPRW-UHFFFAOYSA-N 1 2 277.418 3.972 20 0 CHADLO Cc1cn2cccc(Nc3ccc(Cl)c4n[nH]cc43)c2[nH+]1 ZINC001215940284 1134725998 /nfs/dbraw/zinc/72/59/98/1134725998.db2.gz INDQXUJMYUQIDY-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO COc1cc(Nc2ccc(Cl)c3n[nH]cc32)cc(C)[nH+]1 ZINC001215940753 1134726325 /nfs/dbraw/zinc/72/63/25/1134726325.db2.gz AFMOCHIPQBPWHA-UHFFFAOYSA-N 1 2 288.738 3.672 20 0 CHADLO COCc1cc(OC)ccc1Nc1c[nH+]c(C)cc1C ZINC001215977571 1134738735 /nfs/dbraw/zinc/73/87/35/1134738735.db2.gz OAPMKHBENMCASB-UHFFFAOYSA-N 1 2 272.348 3.597 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)ccc(C2CC2)c1F ZINC001215991651 1134744247 /nfs/dbraw/zinc/74/42/47/1134744247.db2.gz VIXDQSQZZUHCQC-UHFFFAOYSA-N 1 2 276.286 3.989 20 0 CHADLO CC(C)c1ccc(N)c(Nc2cccc3[nH+]ccn32)c1 ZINC001216017617 1134750870 /nfs/dbraw/zinc/75/08/70/1134750870.db2.gz RFCARGYKTVMALZ-UHFFFAOYSA-N 1 2 266.348 3.784 20 0 CHADLO CN(C)c1ccc(Nc2[nH+]cccc2N(C)C)cc1Cl ZINC001216061260 1134765392 /nfs/dbraw/zinc/76/53/92/1134765392.db2.gz SADQJZAZZJNJJS-UHFFFAOYSA-N 1 2 290.798 3.611 20 0 CHADLO Cc1cc(Nc2ccn3cc[nH+]c3c2)cc(Cl)c1O ZINC001216087829 1134773875 /nfs/dbraw/zinc/77/38/75/1134773875.db2.gz UWJPDPPQOWVPKD-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO CCc1cc(Nc2ccc([N@@H+](C)CC)cc2)ccc1CO ZINC001216109514 1134780627 /nfs/dbraw/zinc/78/06/27/1134780627.db2.gz XTOHROMYYFNTBJ-UHFFFAOYSA-N 1 2 284.403 3.941 20 0 CHADLO CCc1cc(Nc2ccc([N@H+](C)CC)cc2)ccc1CO ZINC001216109514 1134780630 /nfs/dbraw/zinc/78/06/30/1134780630.db2.gz XTOHROMYYFNTBJ-UHFFFAOYSA-N 1 2 284.403 3.941 20 0 CHADLO Cc1cn2cccc(Nc3cc(F)cc(N(C)C)c3)c2[nH+]1 ZINC001216160644 1134792699 /nfs/dbraw/zinc/79/26/99/1134792699.db2.gz LLVQRIOWTUMKNR-UHFFFAOYSA-N 1 2 284.338 3.591 20 0 CHADLO Cc1cc(Cl)ncc1Nc1ccccc1-n1cc[nH+]c1 ZINC001216279515 1134834048 /nfs/dbraw/zinc/83/40/48/1134834048.db2.gz NOAPJAZFWVWEIY-UHFFFAOYSA-N 1 2 284.750 3.973 20 0 CHADLO OCc1cc(Cl)cc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001216438336 1134897476 /nfs/dbraw/zinc/89/74/76/1134897476.db2.gz PSRDWOCEWIHNIB-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(F)cc(C(C)(C)O)c2)[nH+]1 ZINC001216488495 1134905631 /nfs/dbraw/zinc/90/56/31/1134905631.db2.gz XFVURPJKCMUXNJ-UHFFFAOYSA-N 1 2 299.349 3.753 20 0 CHADLO CC(=O)c1cccc(Nc2ccc(C)[nH+]c2C)c1F ZINC001216495792 1134908798 /nfs/dbraw/zinc/90/87/98/1134908798.db2.gz HGXYOOAOVKZNTL-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cncc(OCC2CC2)c1 ZINC001216596149 1134928282 /nfs/dbraw/zinc/92/82/82/1134928282.db2.gz UENYAHJHBDXUSA-UHFFFAOYSA-N 1 2 283.375 3.880 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2c(F)cccc2F)sn1 ZINC000404461370 1134945753 /nfs/dbraw/zinc/94/57/53/1134945753.db2.gz OPQHHBBHZWBWPB-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO FC1(F)C[NH2+]C[C@@H](OCCCCC2CCCCC2)C1 ZINC001217857411 1135009780 /nfs/dbraw/zinc/00/97/80/1135009780.db2.gz WRWNBVFABBTUHT-AWEZNQCLSA-N 1 2 275.383 3.751 20 0 CHADLO O=Nc1ccc2ccccc2c1O[C@H]1CC[NH2+]CC1(F)F ZINC001218007434 1135041808 /nfs/dbraw/zinc/04/18/08/1135041808.db2.gz QCFTUZJZWQRRSK-ZDUSSCGKSA-N 1 2 292.285 3.614 20 0 CHADLO CCCC[C@H](OCCn1cc[nH+]c1)[C@@H](C)CCC ZINC001222138842 1135198370 /nfs/dbraw/zinc/19/83/70/1135198370.db2.gz KXEASWSZQUILJF-GJZGRUSLSA-N 1 2 252.402 3.895 20 0 CHADLO Cc1ccc(OC(C)C)cc1-c1c[nH+]c2c(c1)CCCN2 ZINC001222154034 1135201030 /nfs/dbraw/zinc/20/10/30/1135201030.db2.gz BIZHTFDXOIQFEW-UHFFFAOYSA-N 1 2 282.387 3.845 20 0 CHADLO Cn1cc(-c2cc3[nH]ccc3c[nH+]2)c(-c2ccccc2)n1 ZINC001222506004 1135247029 /nfs/dbraw/zinc/24/70/29/1135247029.db2.gz UMTIDIDXDCPTIK-UHFFFAOYSA-N 1 2 274.327 3.630 20 0 CHADLO Cc1c(-c2cn(C)nc2-c2ccccc2)ccc2[nH+]ccn21 ZINC001222553860 1135250807 /nfs/dbraw/zinc/25/08/07/1135250807.db2.gz FMUGGIXPFMOPCQ-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO Cc1ccc(Cl)cc1[C@H](C)OCc1c[nH+]cn1C ZINC001222595169 1135254317 /nfs/dbraw/zinc/25/43/17/1135254317.db2.gz RNTIRXWNEYVGDK-NSHDSACASA-N 1 2 264.756 3.660 20 0 CHADLO CC(C)C[C@@H](Cc1ccccc1)OCc1c[nH+]cn1C ZINC001222600646 1135254950 /nfs/dbraw/zinc/25/49/50/1135254950.db2.gz SZZKPJMSGUUGCZ-KRWDZBQOSA-N 1 2 272.392 3.594 20 0 CHADLO Cc1cc(CO[C@@H]2CCc3ccccc3C2)cc(C)[nH+]1 ZINC001223425015 1135343265 /nfs/dbraw/zinc/34/32/65/1135343265.db2.gz PDCVAODPHQNFAF-GOSISDBHSA-N 1 2 267.372 3.773 20 0 CHADLO COC(=O)c1ccc([C@H](C)OCc2cc(C)[nH+]c(C)c2)cc1 ZINC001223421197 1135343369 /nfs/dbraw/zinc/34/33/69/1135343369.db2.gz OPIBSDRVUVUKSD-AWEZNQCLSA-N 1 2 299.370 3.763 20 0 CHADLO CC(=O)[C@@H](OCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC001223424979 1135343482 /nfs/dbraw/zinc/34/34/82/1135343482.db2.gz OIIZZFVUDMHHEF-QGZVFWFLSA-N 1 2 269.344 3.545 20 0 CHADLO Cc1cc(CO[C@@H]2CCc3c2nccc3Cl)cc(C)[nH+]1 ZINC001223421928 1135343663 /nfs/dbraw/zinc/34/36/63/1135343663.db2.gz SBNZAXJPIRXAFL-OAHLLOKOSA-N 1 2 288.778 3.951 20 0 CHADLO Cc1cc(CO[C@H]2CCc3ccccc3C2)cc(C)[nH+]1 ZINC001223425016 1135345278 /nfs/dbraw/zinc/34/52/78/1135345278.db2.gz PDCVAODPHQNFAF-SFHVURJKSA-N 1 2 267.372 3.773 20 0 CHADLO CCOC(=[NH2+])CCCCOC[C@@H]1CCc2ccccc2O1 ZINC001224963879 1135497219 /nfs/dbraw/zinc/49/72/19/1135497219.db2.gz RFXQSIWKZGQRTF-HNNXBMFYSA-N 1 2 291.391 3.581 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H]1CCOc2ccccc21 ZINC001224960425 1135497271 /nfs/dbraw/zinc/49/72/71/1135497271.db2.gz BVJDNUMPIANITP-OAHLLOKOSA-N 1 2 277.364 3.711 20 0 CHADLO C[C@H](Oc1cc[nH+]cc1)c1ncc(C(F)(F)F)cc1F ZINC001225295861 1135537223 /nfs/dbraw/zinc/53/72/23/1135537223.db2.gz NXJJCJZSTGUVSV-QMMMGPOBSA-N 1 2 286.228 3.775 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H]1CCOc2ccccc21 ZINC001227264338 1135812059 /nfs/dbraw/zinc/81/20/59/1135812059.db2.gz LRCNHOVYBBLEPI-HNNXBMFYSA-N 1 2 255.317 3.601 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H]1CCC(=O)c2ccccc21 ZINC001227263755 1135812352 /nfs/dbraw/zinc/81/23/52/1135812352.db2.gz HYRXHTVZRZXPFP-INIZCTEOSA-N 1 2 267.328 3.795 20 0 CHADLO CC(C)(C)[NH+]1CC(Oc2csc(C(F)(F)F)c2)C1 ZINC001227327352 1135822302 /nfs/dbraw/zinc/82/23/02/1135822302.db2.gz OLJJURIRIROSTQ-UHFFFAOYSA-N 1 2 279.327 3.628 20 0 CHADLO COc1ccc(CNc2ccc3ccccc3[nH+]2)cc1OC ZINC000082712414 178225390 /nfs/dbraw/zinc/22/53/90/178225390.db2.gz LAECIXWBARQKNE-UHFFFAOYSA-N 1 2 294.354 3.864 20 0 CHADLO Cc1cc(-c2ccccc2C(F)(F)F)c[nH+]c1N ZINC000082730071 178247120 /nfs/dbraw/zinc/24/71/20/178247120.db2.gz QSBWFJSAOKEDFP-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cscc2C(F)(F)F)C[C@@H](C)O1 ZINC000356059824 529398151 /nfs/dbraw/zinc/39/81/51/529398151.db2.gz RHEXDRPOBRRXIH-MWLCHTKSSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cscc2C(F)(F)F)C[C@@H](C)O1 ZINC000356059824 529398155 /nfs/dbraw/zinc/39/81/55/529398155.db2.gz RHEXDRPOBRRXIH-MWLCHTKSSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCCC[C@H]1c1nnc(C2CCC2)o1 ZINC000347311291 529551310 /nfs/dbraw/zinc/55/13/10/529551310.db2.gz PINZZMINVXAQAY-OLZOCXBDSA-N 1 2 281.375 3.612 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCCC[C@H]1c1nnc(C2CCC2)o1 ZINC000347311291 529551313 /nfs/dbraw/zinc/55/13/13/529551313.db2.gz PINZZMINVXAQAY-OLZOCXBDSA-N 1 2 281.375 3.612 20 0 CHADLO CC[C@H](NC(=O)[C@H](C)c1cc2ccccc2o1)c1[nH]cc[nH+]1 ZINC000347957616 529548681 /nfs/dbraw/zinc/54/86/81/529548681.db2.gz LYHYGUCQGUVQEX-YPMHNXCESA-N 1 2 297.358 3.527 20 0 CHADLO CCc1cnccc1[C@H](C)NC(=O)Nc1c(C)cc[nH+]c1C ZINC000351923412 529680580 /nfs/dbraw/zinc/68/05/80/529680580.db2.gz VPKLGVPLEHPAPI-LBPRGKRZSA-N 1 2 298.390 3.539 20 0 CHADLO COc1cccc2c(N[C@@H]3CC[C@@H](F)C3)cc[nH+]c12 ZINC000573401224 334935477 /nfs/dbraw/zinc/93/54/77/334935477.db2.gz KRVLUGFVESOGRM-GHMZBOCLSA-N 1 2 260.312 3.546 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1ncc(Cl)s1 ZINC000352554803 529730345 /nfs/dbraw/zinc/73/03/45/529730345.db2.gz WSWWMITURHMLDQ-UHFFFAOYSA-N 1 2 268.769 3.641 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccc2occc2c1 ZINC000347863734 530020739 /nfs/dbraw/zinc/02/07/39/530020739.db2.gz KXGYBTBWCNVSGE-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccc2occc2c1 ZINC000347863734 530020740 /nfs/dbraw/zinc/02/07/40/530020740.db2.gz KXGYBTBWCNVSGE-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccccc2Cl)c(C)[nH+]1 ZINC000097039877 185511719 /nfs/dbraw/zinc/51/17/19/185511719.db2.gz FEYYLUJQVFIYOR-UHFFFAOYSA-N 1 2 274.751 3.913 20 0 CHADLO CC(C)[N@H+](CC(F)F)C[C@H]1CCC2(CCCCC2)O1 ZINC000409445966 260407316 /nfs/dbraw/zinc/40/73/16/260407316.db2.gz APNLPQFIJGLROZ-CYBMUJFWSA-N 1 2 275.383 3.844 20 0 CHADLO CC(C)[N@@H+](CC(F)F)C[C@H]1CCC2(CCCCC2)O1 ZINC000409445966 260407318 /nfs/dbraw/zinc/40/73/18/260407318.db2.gz APNLPQFIJGLROZ-CYBMUJFWSA-N 1 2 275.383 3.844 20 0 CHADLO FC[C@H]1CCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000574102005 334992709 /nfs/dbraw/zinc/99/27/09/334992709.db2.gz ABMRIPTWUALFBJ-SNVBAGLBSA-N 1 2 264.731 3.827 20 0 CHADLO CCc1nc(N2C[C@H](C)[C@H]2c2ccccc2)cc(C)[nH+]1 ZINC000574144612 334995493 /nfs/dbraw/zinc/99/54/93/334995493.db2.gz GZHUHNKSHBBLHU-SJCJKPOMSA-N 1 2 267.376 3.545 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(SC)c1C ZINC000417978919 227356768 /nfs/dbraw/zinc/35/67/68/227356768.db2.gz YUFFZYIXBQALNZ-UHFFFAOYSA-N 1 2 261.394 3.545 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2noc3ccccc23)o1 ZINC000574532320 335027750 /nfs/dbraw/zinc/02/77/50/335027750.db2.gz YEXVFKNSETWMIC-RISCZKNCSA-N 1 2 282.343 3.834 20 0 CHADLO Cc1nnsc1C[N@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC000574559683 335029210 /nfs/dbraw/zinc/02/92/10/335029210.db2.gz IVGMLZYHGGFUKD-UHFFFAOYSA-N 1 2 293.823 3.665 20 0 CHADLO Cc1nnsc1C[N@@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC000574559683 335029211 /nfs/dbraw/zinc/02/92/11/335029211.db2.gz IVGMLZYHGGFUKD-UHFFFAOYSA-N 1 2 293.823 3.665 20 0 CHADLO CSc1ccc(N[C@@H]2[C@H]3CCO[C@@H]3C23CCCC3)[nH+]c1 ZINC000347259314 532983915 /nfs/dbraw/zinc/98/39/15/532983915.db2.gz OVUXTGANHCPCKD-YUELXQCFSA-N 1 2 290.432 3.563 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@H](C)c1ccc(F)cn1 ZINC000353856821 533017250 /nfs/dbraw/zinc/01/72/50/533017250.db2.gz QHXZYFPLXFPAKC-SNVBAGLBSA-N 1 2 294.370 3.933 20 0 CHADLO COc1cc(NC(C)=O)ccc1[C@@H](C)Nc1ccc(C)[nH+]c1 ZINC000347157734 533024130 /nfs/dbraw/zinc/02/41/30/533024130.db2.gz UABMBVNDXLRPOG-GFCCVEGCSA-N 1 2 299.374 3.530 20 0 CHADLO COc1cc[nH+]cc1CSc1cc(F)ccc1F ZINC000343813681 533085859 /nfs/dbraw/zinc/08/58/59/533085859.db2.gz QKDWWCHGTGVVNM-UHFFFAOYSA-N 1 2 267.300 3.661 20 0 CHADLO COc1cc[nH+]cc1NC(=O)[C@@H](C)CC1CCCCC1 ZINC000114255206 533088855 /nfs/dbraw/zinc/08/88/55/533088855.db2.gz UNUMURMASFXQBB-LBPRGKRZSA-N 1 2 276.380 3.635 20 0 CHADLO COc1cc([C@@H](C)[NH2+]Cc2csc(C(C)C)n2)ccn1 ZINC000347684453 533119534 /nfs/dbraw/zinc/11/95/34/533119534.db2.gz QQRMYPZIJQXVPM-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO COc1cc2c(cc1F)C[N@H+](Cc1cccc(F)c1)CC2 ZINC000347664445 533164288 /nfs/dbraw/zinc/16/42/88/533164288.db2.gz DYZIPVAYEDUBHQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc2c(cc1F)C[N@@H+](Cc1cccc(F)c1)CC2 ZINC000347664445 533164291 /nfs/dbraw/zinc/16/42/91/533164291.db2.gz DYZIPVAYEDUBHQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[N@@H+](Cc1c(Cl)cccc1Cl)C[C@H]1CCCCO1 ZINC000497732717 262197424 /nfs/dbraw/zinc/19/74/24/262197424.db2.gz OXCZKAHCPMUAFP-LLVKDONJSA-N 1 2 288.218 3.994 20 0 CHADLO C[N@H+](Cc1c(Cl)cccc1Cl)C[C@H]1CCCCO1 ZINC000497732717 262197426 /nfs/dbraw/zinc/19/74/26/262197426.db2.gz OXCZKAHCPMUAFP-LLVKDONJSA-N 1 2 288.218 3.994 20 0 CHADLO Fc1ccccc1CNc1cccc(-n2cc[nH+]c2)c1 ZINC000036940155 260054208 /nfs/dbraw/zinc/05/42/08/260054208.db2.gz CNINYQYMDREDKY-UHFFFAOYSA-N 1 2 267.307 3.624 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)cc(F)c1F ZINC000179621984 260058727 /nfs/dbraw/zinc/05/87/27/260058727.db2.gz CDLPGXPOKCTSFZ-SECBINFHSA-N 1 2 297.242 3.878 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)cc(F)c1F ZINC000179621984 260058728 /nfs/dbraw/zinc/05/87/28/260058728.db2.gz CDLPGXPOKCTSFZ-SECBINFHSA-N 1 2 297.242 3.878 20 0 CHADLO COc1ccc2nc(CNc3c[nH+]ccc3C)sc2c1 ZINC000352308925 533348443 /nfs/dbraw/zinc/34/84/43/533348443.db2.gz NTOOLJBKQVDCHO-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCSc2ccc(F)cc21)c1csnn1 ZINC000334835721 533526559 /nfs/dbraw/zinc/52/65/59/533526559.db2.gz IBXXHKPVWXQBLX-LDYMZIIASA-N 1 2 295.408 3.565 20 0 CHADLO COc1ccc(CN(c2cc[nH+]c(C3CC3)n2)C2CC2)cc1 ZINC000112963789 533551580 /nfs/dbraw/zinc/55/15/80/533551580.db2.gz DUNZQWBIVGSZQB-UHFFFAOYSA-N 1 2 295.386 3.532 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@](F)(c3cccc(Cl)c3)C2)on1 ZINC000366980514 533624751 /nfs/dbraw/zinc/62/47/51/533624751.db2.gz HBCOJPNFYYGHHJ-HNNXBMFYSA-N 1 2 294.757 3.707 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@](F)(c3cccc(Cl)c3)C2)on1 ZINC000366980514 533624760 /nfs/dbraw/zinc/62/47/60/533624760.db2.gz HBCOJPNFYYGHHJ-HNNXBMFYSA-N 1 2 294.757 3.707 20 0 CHADLO Cc1ccc(C)c(CN(C)C(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000336146356 533660257 /nfs/dbraw/zinc/66/02/57/533660257.db2.gz HBDPYSWFAZJGNP-UHFFFAOYSA-N 1 2 297.402 3.979 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2cc(C)cc(C)c2)o1 ZINC000341741598 130014609 /nfs/dbraw/zinc/01/46/09/130014609.db2.gz FMJTXDNHHOTDLE-STQMWFEESA-N 1 2 273.380 3.661 20 0 CHADLO COC[C@H](C)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000341779586 130041737 /nfs/dbraw/zinc/04/17/37/130041737.db2.gz MNJTWKLMKZUHGE-SNVBAGLBSA-N 1 2 264.756 3.583 20 0 CHADLO Cc1ccc(NC(=O)NCc2cccc3cc[nH]c32)c(C)[nH+]1 ZINC000341959136 130174480 /nfs/dbraw/zinc/17/44/80/130174480.db2.gz JRGLTXWUFIDGRP-UHFFFAOYSA-N 1 2 294.358 3.501 20 0 CHADLO Cc1cc[nH+]c(N[C@H](C)C[C@@H]2CCCO2)c1Br ZINC000342117713 130273161 /nfs/dbraw/zinc/27/31/61/130273161.db2.gz OVGYXRFGUGWREK-MNOVXSKESA-N 1 2 299.212 3.522 20 0 CHADLO c1csc(-c2nc(C[NH2+]Cc3ccoc3)cs2)c1 ZINC000171439982 335065879 /nfs/dbraw/zinc/06/58/79/335065879.db2.gz NDJOJSLTSFRPPJ-UHFFFAOYSA-N 1 2 276.386 3.754 20 0 CHADLO Cc1ccc(NCc2cn3cccc(C)c3[nH+]2)cc1 ZINC000008412069 170335093 /nfs/dbraw/zinc/33/50/93/170335093.db2.gz XPTKZNVTCWPCDZ-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(Br)s1 ZINC000070958187 176017301 /nfs/dbraw/zinc/01/73/01/176017301.db2.gz YMOOZMOESRGXNK-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO C[C@H]1CCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000344183674 224992054 /nfs/dbraw/zinc/99/20/54/224992054.db2.gz SJCBDKKUOSQBBB-HOCLYGCPSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1c[nH+]cc(NCc2cccn2CCC(C)C)c1 ZINC000344829449 225175365 /nfs/dbraw/zinc/17/53/65/225175365.db2.gz NAFPWWHPGDFAHA-UHFFFAOYSA-N 1 2 257.381 3.850 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@H](C(C)C)[C@@H]1C(C)C ZINC000334690667 225275060 /nfs/dbraw/zinc/27/50/60/225275060.db2.gz WWQDNDMFLMOBEL-ZBFHGGJFSA-N 1 2 289.423 3.843 20 0 CHADLO C[C@H]([NH2+]Cc1cncc(F)c1)c1nc(C(C)(C)C)cs1 ZINC000347238995 226075444 /nfs/dbraw/zinc/07/54/44/226075444.db2.gz VGFQXCPDJIIJEC-JTQLQIEISA-N 1 2 293.411 3.826 20 0 CHADLO O=C(C/C=C/c1ccc(F)cc1)Nc1ccn2cc[nH+]c2c1 ZINC000347321293 226131199 /nfs/dbraw/zinc/13/11/99/226131199.db2.gz BPNMCWPPCXNXIB-OWOJBTEDSA-N 1 2 295.317 3.515 20 0 CHADLO Cc1c(NCc2[nH+]ccn2C(C)C)cnn1-c1ccccc1 ZINC000347419973 226152298 /nfs/dbraw/zinc/15/22/98/226152298.db2.gz UJSWOJKRFWVIBN-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO C[C@@H]1C[C@@H](C(C)(C)C)CC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000347611056 226199799 /nfs/dbraw/zinc/19/97/99/226199799.db2.gz CPJSPKVLIACYPJ-YPMHNXCESA-N 1 2 277.412 3.594 20 0 CHADLO C[C@@H]1C[C@@H](C(C)(C)C)CC[N@H+]1Cc1noc(C2CC2)n1 ZINC000347611056 226199802 /nfs/dbraw/zinc/19/98/02/226199802.db2.gz CPJSPKVLIACYPJ-YPMHNXCESA-N 1 2 277.412 3.594 20 0 CHADLO CC(C)n1cc[nH+]c1CN(C)Cc1ccc(F)cc1Cl ZINC000171668714 335073839 /nfs/dbraw/zinc/07/38/39/335073839.db2.gz BTZNOYFBGWZLQI-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO Cc1cnc(Nc2ccc(N3CCCCC3)[nH+]c2)s1 ZINC000354197211 226929014 /nfs/dbraw/zinc/92/90/14/226929014.db2.gz YXRNGJWGARRXIO-UHFFFAOYSA-N 1 2 274.393 3.580 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CS[C@H]1CCO[C@H]1C ZINC000191567863 227040128 /nfs/dbraw/zinc/04/01/28/227040128.db2.gz YFSCBURDYAIBLA-BBRMVZONSA-N 1 2 288.416 3.591 20 0 CHADLO Cc1ccc(C[S@](=O)C2CCC(C)(C)CC2)c(C)[nH+]1 ZINC000336532748 227097682 /nfs/dbraw/zinc/09/76/82/227097682.db2.gz AZPGGZIKPSXSNF-IBGZPJMESA-N 1 2 279.449 3.916 20 0 CHADLO Fc1ccc(C2=CCN(c3cc[nH+]c(C4CC4)n3)CC2)cc1 ZINC000171812942 335081381 /nfs/dbraw/zinc/08/13/81/335081381.db2.gz FJJPBEQLMALWOF-UHFFFAOYSA-N 1 2 295.361 3.787 20 0 CHADLO Cc1cc(C[N@H+]2[C@H](C)c3ccc(F)cc3C[C@@H]2C)no1 ZINC000354824952 227141706 /nfs/dbraw/zinc/14/17/06/227141706.db2.gz BYWBVSNBGGPPJQ-CMPLNLGQSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1cc(C[N@@H+]2[C@H](C)c3ccc(F)cc3C[C@@H]2C)no1 ZINC000354824952 227141712 /nfs/dbraw/zinc/14/17/12/227141712.db2.gz BYWBVSNBGGPPJQ-CMPLNLGQSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H]2COc3ccc(F)cc32)o1 ZINC000336623359 227216001 /nfs/dbraw/zinc/21/60/01/227216001.db2.gz VJDMUHKXHVVXOO-GWCFXTLKSA-N 1 2 261.296 3.511 20 0 CHADLO C[C@H]1CCC[C@H](Nc2[nH+]cnc3c2cnn3C(C)(C)C)C1 ZINC000301868422 227712311 /nfs/dbraw/zinc/71/23/11/227712311.db2.gz GPUUHFXNIZQIIT-RYUDHWBXSA-N 1 2 287.411 3.572 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCc2ccc(Cl)cn2)c1 ZINC000302041557 227769797 /nfs/dbraw/zinc/76/97/97/227769797.db2.gz WPAGHAQNYPHEOQ-UHFFFAOYSA-N 1 2 287.672 3.761 20 0 CHADLO Cc1[nH+]cc(CN(C)c2ccccc2)n1-c1ccccc1 ZINC000355762404 227985582 /nfs/dbraw/zinc/98/55/82/227985582.db2.gz SUFMAHSQYGPJND-UHFFFAOYSA-N 1 2 277.371 3.817 20 0 CHADLO CCn1c[nH+]cc1CN1C[C@@H](C)CSc2ccccc21 ZINC000418075260 228009012 /nfs/dbraw/zinc/00/90/12/228009012.db2.gz DQWPEHVEGOOFGO-CYBMUJFWSA-N 1 2 287.432 3.651 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2ccco2)nc(C(C)C)[nH+]1 ZINC000116262406 228058211 /nfs/dbraw/zinc/05/82/11/228058211.db2.gz ZMBBSBUSXKRNGI-CYBMUJFWSA-N 1 2 271.364 3.843 20 0 CHADLO Cc1cc2[nH+]cn(CC(=O)[C@@H]3Cc4ccccc43)c2cc1C ZINC000355943053 228060127 /nfs/dbraw/zinc/06/01/27/228060127.db2.gz OGZMSCZAPTVQHY-MRXNPFEDSA-N 1 2 290.366 3.562 20 0 CHADLO CSCc1cnc(C[N@@H+]2CC3(CCC3)[C@@H]2C2CC2)s1 ZINC000353556556 228119970 /nfs/dbraw/zinc/11/99/70/228119970.db2.gz RXAAMGJVZDYDPX-AWEZNQCLSA-N 1 2 294.489 3.771 20 0 CHADLO CSCc1cnc(C[N@H+]2CC3(CCC3)[C@@H]2C2CC2)s1 ZINC000353556556 228119972 /nfs/dbraw/zinc/11/99/72/228119972.db2.gz RXAAMGJVZDYDPX-AWEZNQCLSA-N 1 2 294.489 3.771 20 0 CHADLO Cc1cc(COc2cc[nH+]cc2)c2ccccc2n1 ZINC000356101896 228120287 /nfs/dbraw/zinc/12/02/87/228120287.db2.gz VMBDIOQDVBUGJA-UHFFFAOYSA-N 1 2 250.301 3.517 20 0 CHADLO Cc1c[nH]cc1C(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000353298317 228081889 /nfs/dbraw/zinc/08/18/89/228081889.db2.gz VTWXASJMGMONOK-UHFFFAOYSA-N 1 2 293.326 3.763 20 0 CHADLO CCc1nnc(C[NH2+][C@H](c2ccc(C)o2)C2CCC2)s1 ZINC000353580812 228125497 /nfs/dbraw/zinc/12/54/97/228125497.db2.gz XWBLGYQEYZPFEE-HNNXBMFYSA-N 1 2 291.420 3.633 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2ccc(C)c(C)c2)o1 ZINC000353681193 228144379 /nfs/dbraw/zinc/14/43/79/228144379.db2.gz LKPBGROGCMGNSG-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2ccc(C)c(C)c2)o1 ZINC000353681193 228144381 /nfs/dbraw/zinc/14/43/81/228144381.db2.gz LKPBGROGCMGNSG-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO CSCc1cnc(C[N@@H+]2CC(C)(C)[C@@H]2C(C)C)s1 ZINC000353748956 228150665 /nfs/dbraw/zinc/15/06/65/228150665.db2.gz UFMHAUKTQWTWFU-ZDUSSCGKSA-N 1 2 284.494 3.873 20 0 CHADLO CSCc1cnc(C[N@H+]2CC(C)(C)[C@@H]2C(C)C)s1 ZINC000353748956 228150667 /nfs/dbraw/zinc/15/06/67/228150667.db2.gz UFMHAUKTQWTWFU-ZDUSSCGKSA-N 1 2 284.494 3.873 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)[C@@H]1CC12CCCC2 ZINC000353773631 228152552 /nfs/dbraw/zinc/15/25/52/228152552.db2.gz XJAOUSHASHLJHE-ZDUSSCGKSA-N 1 2 299.349 3.530 20 0 CHADLO Cc1ccc(CCCNc2cccc(F)c2C)c[nH+]1 ZINC000353817667 228155798 /nfs/dbraw/zinc/15/57/98/228155798.db2.gz RGYRTJAMKIGLKS-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO Cc1ccc(CCCNc2ccccc2-n2cccn2)c[nH+]1 ZINC000353824528 228156741 /nfs/dbraw/zinc/15/67/41/228156741.db2.gz CHAKQWUYTBOSPM-UHFFFAOYSA-N 1 2 292.386 3.620 20 0 CHADLO Cc1ccc(NCCCc2ccc(C)[nH+]c2)c(F)c1 ZINC000353832278 228157479 /nfs/dbraw/zinc/15/74/79/228157479.db2.gz PRGNPDZMEBWQMO-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1Cc1nc2ccccc2n1C ZINC000353870490 228161237 /nfs/dbraw/zinc/16/12/37/228161237.db2.gz RQOAXNRLFMOYMH-ZDUSSCGKSA-N 1 2 277.371 3.650 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1Cc1nc2ccccc2n1C ZINC000353870490 228161238 /nfs/dbraw/zinc/16/12/38/228161238.db2.gz RQOAXNRLFMOYMH-ZDUSSCGKSA-N 1 2 277.371 3.650 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@H](C)C[C@H](C)[C@H]1C ZINC000351929763 228166639 /nfs/dbraw/zinc/16/66/39/228166639.db2.gz BFPLNOKECJPRRR-SCDSUCTJSA-N 1 2 275.396 3.597 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1Cc1cc(O)cc(F)c1 ZINC000351984570 228171345 /nfs/dbraw/zinc/17/13/45/228171345.db2.gz YCFWUSWCAPQIMO-LLVKDONJSA-N 1 2 257.308 3.608 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1Cc1cc(O)cc(F)c1 ZINC000351984570 228171346 /nfs/dbraw/zinc/17/13/46/228171346.db2.gz YCFWUSWCAPQIMO-LLVKDONJSA-N 1 2 257.308 3.608 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@H](C(C)(C)C)C1 ZINC000335964108 228171831 /nfs/dbraw/zinc/17/18/31/228171831.db2.gz PZLMMWCMSAYTJP-ZDUSSCGKSA-N 1 2 275.396 3.598 20 0 CHADLO Clc1cnc(C[N@@H+]2CC[C@@H]2Cc2ccccc2)s1 ZINC000351994371 228173125 /nfs/dbraw/zinc/17/31/25/228173125.db2.gz FZQVCBAPJHUDSH-GFCCVEGCSA-N 1 2 278.808 3.614 20 0 CHADLO Clc1cnc(C[N@H+]2CC[C@@H]2Cc2ccccc2)s1 ZINC000351994371 228173126 /nfs/dbraw/zinc/17/31/26/228173126.db2.gz FZQVCBAPJHUDSH-GFCCVEGCSA-N 1 2 278.808 3.614 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@H]3CCC[C@H]32)s1 ZINC000352022888 228174669 /nfs/dbraw/zinc/17/46/69/228174669.db2.gz RUXIOIDTCDVEAI-NXEZZACHSA-N 1 2 256.802 3.561 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@H]3CCC[C@H]32)s1 ZINC000352022888 228174670 /nfs/dbraw/zinc/17/46/70/228174670.db2.gz RUXIOIDTCDVEAI-NXEZZACHSA-N 1 2 256.802 3.561 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@H]3CCC[C@@H]32)s1 ZINC000352022889 228174724 /nfs/dbraw/zinc/17/47/24/228174724.db2.gz RUXIOIDTCDVEAI-UWVGGRQHSA-N 1 2 256.802 3.561 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@H]3CCC[C@@H]32)s1 ZINC000352022889 228174725 /nfs/dbraw/zinc/17/47/25/228174725.db2.gz RUXIOIDTCDVEAI-UWVGGRQHSA-N 1 2 256.802 3.561 20 0 CHADLO CSc1cccc(F)c1C(=O)Nc1ccc(C)[nH+]c1C ZINC000336009547 228177656 /nfs/dbraw/zinc/17/76/56/228177656.db2.gz NPMULPASQUZGRG-UHFFFAOYSA-N 1 2 290.363 3.812 20 0 CHADLO O=C(Nc1ccc(-c2cn3c([nH+]2)CCCC3)cc1)C1=CCC1 ZINC000336024629 228179116 /nfs/dbraw/zinc/17/91/16/228179116.db2.gz BSZDIOFOOIOWPP-UHFFFAOYSA-N 1 2 293.370 3.545 20 0 CHADLO Cc1ccsc1CN(C)C(=O)Nc1c(C)cc[nH+]c1C ZINC000336023227 228179126 /nfs/dbraw/zinc/17/91/26/228179126.db2.gz YTIDBVKFXQXPEU-UHFFFAOYSA-N 1 2 289.404 3.732 20 0 CHADLO Cc1cccc2[nH+]cc(CN(CC(C)C)CC(F)(F)F)n21 ZINC000352080178 228180879 /nfs/dbraw/zinc/18/08/79/228180879.db2.gz VQNRVQOJDBCXGB-UHFFFAOYSA-N 1 2 299.340 3.663 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@@H+]2CCC23CCC3)c(Cl)c1 ZINC000336054680 228182865 /nfs/dbraw/zinc/18/28/65/228182865.db2.gz GBHUGPUDQJLJFZ-UHFFFAOYSA-N 1 2 292.810 3.524 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@H+]2CCC23CCC3)c(Cl)c1 ZINC000336054680 228182866 /nfs/dbraw/zinc/18/28/66/228182866.db2.gz GBHUGPUDQJLJFZ-UHFFFAOYSA-N 1 2 292.810 3.524 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2c(Cl)cccc2Cl)c2nccn21 ZINC000336227814 228217893 /nfs/dbraw/zinc/21/78/93/228217893.db2.gz BICITEYWOLQVMA-TVQRCGJNSA-N 1 2 296.201 3.986 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2cccc(Cl)c2Cl)c2nccn21 ZINC000336227876 228217902 /nfs/dbraw/zinc/21/79/02/228217902.db2.gz GBLMZIMHPCURMO-JOYOIKCWSA-N 1 2 296.201 3.986 20 0 CHADLO CSc1cccc(NCc2c(C)[nH+]c3ccccn32)c1 ZINC000037188275 260073461 /nfs/dbraw/zinc/07/34/61/260073461.db2.gz YMODWCHUKTUXGY-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO Cc1ccc(F)cc1C[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000180268531 260096586 /nfs/dbraw/zinc/09/65/86/260096586.db2.gz NPLBWKGUMPHDAI-NSHDSACASA-N 1 2 291.370 3.665 20 0 CHADLO Cc1cccc2[nH+]c(CNC(=O)C3(C)CCCCCC3)cn21 ZINC000180754605 260110769 /nfs/dbraw/zinc/11/07/69/260110769.db2.gz QHGQHYMIGWDLRR-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO C[C@@H](Nc1cccc2ccccc21)c1[nH+]ccn1C ZINC000070443245 260157792 /nfs/dbraw/zinc/15/77/92/260157792.db2.gz CSFFLSGVKQWGAH-GFCCVEGCSA-N 1 2 251.333 3.746 20 0 CHADLO Cc1ncsc1C[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000071212447 260160537 /nfs/dbraw/zinc/16/05/37/260160537.db2.gz BTWUXODUUFEVPR-INIZCTEOSA-N 1 2 284.384 3.924 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)c1ccccn1)c1nccs1 ZINC000080266427 260179345 /nfs/dbraw/zinc/17/93/45/260179345.db2.gz ZOQYONSGRUTAEU-BBRMVZONSA-N 1 2 295.411 3.978 20 0 CHADLO Cc1ccc(CC(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)s1 ZINC000519994103 260206199 /nfs/dbraw/zinc/20/61/99/260206199.db2.gz PYCBTIBVJZKLIS-UHFFFAOYSA-N 1 2 297.383 3.628 20 0 CHADLO Cc1cc(C)c(NCc2cn3ccccc3[nH+]2)c(C)c1 ZINC000088627190 260233276 /nfs/dbraw/zinc/23/32/76/260233276.db2.gz DRTCLWLZXDKKDH-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc(OC(F)F)c1 ZINC000090208032 260239310 /nfs/dbraw/zinc/23/93/10/260239310.db2.gz LUUJIJUYQZWTTR-UHFFFAOYSA-N 1 2 281.306 3.678 20 0 CHADLO COc1ccc2ccccc2c1C[NH2+]Cc1csc(C)n1 ZINC000040432495 260243056 /nfs/dbraw/zinc/24/30/56/260243056.db2.gz LHWWIGLICGMLOK-UHFFFAOYSA-N 1 2 298.411 3.903 20 0 CHADLO Cc1ncc(C[NH2+][C@H](c2ccccc2)c2ccccn2)s1 ZINC000040437444 260252470 /nfs/dbraw/zinc/25/24/70/260252470.db2.gz KIRLISBVEFBTQU-QGZVFWFLSA-N 1 2 295.411 3.726 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1ccn(C2CCCC2)n1 ZINC000090546959 260259892 /nfs/dbraw/zinc/25/98/92/260259892.db2.gz WGZUITHIKOXJQP-CQSZACIVSA-N 1 2 299.418 3.858 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@@H]2Cc3ccccc32)c1 ZINC000090802948 260262317 /nfs/dbraw/zinc/26/23/17/260262317.db2.gz VWVMBOAROVZGOM-NSHDSACASA-N 1 2 278.277 3.852 20 0 CHADLO Cc1cc(F)cc(NCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000091510652 260266437 /nfs/dbraw/zinc/26/64/37/260266437.db2.gz MCVJQXFXXTZKGA-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2nc3ccccc3s2)o1 ZINC000072555767 260277192 /nfs/dbraw/zinc/27/71/92/260277192.db2.gz CDPJACDSJKNRKF-ZDUSSCGKSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2nc3ccccc3s2)o1 ZINC000072555767 260277193 /nfs/dbraw/zinc/27/71/93/260277193.db2.gz CDPJACDSJKNRKF-ZDUSSCGKSA-N 1 2 299.399 3.930 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)Cc2ccoc2)c1 ZINC000449211564 260295496 /nfs/dbraw/zinc/29/54/96/260295496.db2.gz HRSVXSRCGUAXAT-GFCCVEGCSA-N 1 2 276.405 3.971 20 0 CHADLO Cc1cnc(C[NH+]2CCC(=Cc3cccc(F)c3)CC2)nc1 ZINC000518214170 260357375 /nfs/dbraw/zinc/35/73/75/260357375.db2.gz ZYIAFOFKCSWWFU-UHFFFAOYSA-N 1 2 297.377 3.604 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)C(=O)OC(C)(C)C)c1cccs1 ZINC000090578420 260370739 /nfs/dbraw/zinc/37/07/39/260370739.db2.gz QPEZQZKOTIOUSZ-WDEREUQCSA-N 1 2 269.410 3.519 20 0 CHADLO C(=C/c1ccccc1)\C[N@@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000152029414 261058582 /nfs/dbraw/zinc/05/85/82/261058582.db2.gz QEZOSDIZDUFGTD-FSNWXROXSA-N 1 2 267.376 3.650 20 0 CHADLO C(=C/c1ccccc1)\C[N@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000152029414 261058584 /nfs/dbraw/zinc/05/85/84/261058584.db2.gz QEZOSDIZDUFGTD-FSNWXROXSA-N 1 2 267.376 3.650 20 0 CHADLO Cc1ccc(NC(=O)Nc2cccc(C)c2C)c(C)[nH+]1 ZINC000152246221 261062069 /nfs/dbraw/zinc/06/20/69/261062069.db2.gz JGOANOVASYZQHH-UHFFFAOYSA-N 1 2 269.348 3.959 20 0 CHADLO CCc1nc2c(s1)[C@H]([N@H+](C)Cc1cc(C)on1)CCC2 ZINC000152697215 261068427 /nfs/dbraw/zinc/06/84/27/261068427.db2.gz QSYXBZQTBYKCPY-CYBMUJFWSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1nc2c(s1)[C@H]([N@@H+](C)Cc1cc(C)on1)CCC2 ZINC000152697215 261068428 /nfs/dbraw/zinc/06/84/28/261068428.db2.gz QSYXBZQTBYKCPY-CYBMUJFWSA-N 1 2 291.420 3.511 20 0 CHADLO CC(C)CO[C@@H]1CC[N@H+](Cc2ncc(C(C)(C)C)s2)C1 ZINC000448336574 261118235 /nfs/dbraw/zinc/11/82/35/261118235.db2.gz FVWMMBISKZYVOH-CYBMUJFWSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)CO[C@@H]1CC[N@@H+](Cc2ncc(C(C)(C)C)s2)C1 ZINC000448336574 261118238 /nfs/dbraw/zinc/11/82/38/261118238.db2.gz FVWMMBISKZYVOH-CYBMUJFWSA-N 1 2 296.480 3.688 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cccnc1Cl)c1ccc(C)o1 ZINC000156418932 261122703 /nfs/dbraw/zinc/12/27/03/261122703.db2.gz WDMPBKSEBCCQPG-YPMHNXCESA-N 1 2 294.782 3.675 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccc(C)o1 ZINC000156470962 261123469 /nfs/dbraw/zinc/12/34/69/261123469.db2.gz KRUMJZGIJAAINU-SMDDNHRTSA-N 1 2 295.329 3.905 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccco1 ZINC000156660110 261129051 /nfs/dbraw/zinc/12/90/51/261129051.db2.gz AWUPSQJYZZJESM-GXFFZTMASA-N 1 2 281.302 3.596 20 0 CHADLO CC[C@H]1CCC[C@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000448896433 261141420 /nfs/dbraw/zinc/14/14/20/261141420.db2.gz NJJFARDGSUTQFL-SWLSCSKDSA-N 1 2 283.375 3.842 20 0 CHADLO CCCCc1nc(C[N@H+](C)Cc2cnccc2C)cs1 ZINC000361415110 261248941 /nfs/dbraw/zinc/24/89/41/261248941.db2.gz XRKGPIQUEZEIKH-UHFFFAOYSA-N 1 2 289.448 3.821 20 0 CHADLO CCCCc1nc(C[N@@H+](C)Cc2cnccc2C)cs1 ZINC000361415110 261248944 /nfs/dbraw/zinc/24/89/44/261248944.db2.gz XRKGPIQUEZEIKH-UHFFFAOYSA-N 1 2 289.448 3.821 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3cc(C)ccc3F)cc2[nH+]1 ZINC000053008615 261248965 /nfs/dbraw/zinc/24/89/65/261248965.db2.gz QXFIRGRNDYHLCQ-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO Cc1[nH]c2cc(NC(=O)c3cc(C)ccc3F)ccc2[nH+]1 ZINC000053008615 261248966 /nfs/dbraw/zinc/24/89/66/261248966.db2.gz QXFIRGRNDYHLCQ-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(-c3ccccc3)[nH]2)c(C)[nH+]1 ZINC000176296030 261274732 /nfs/dbraw/zinc/27/47/32/261274732.db2.gz KPQWJLUVWNKRHJ-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2ccco2)cs1 ZINC000162374046 261279285 /nfs/dbraw/zinc/27/92/85/261279285.db2.gz ZLURSWSTEDZOBC-UWVGGRQHSA-N 1 2 250.367 3.710 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2ccco2)cs1 ZINC000162374266 261279533 /nfs/dbraw/zinc/27/95/33/261279533.db2.gz ZLURSWSTEDZOBC-VHSXEESVSA-N 1 2 250.367 3.710 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1cscn1 ZINC000162373995 261279555 /nfs/dbraw/zinc/27/95/55/261279555.db2.gz KATOPZOLMWJWIF-RKDXNWHRSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1cccc([C@H](C)[S@](=O)Cc2cn3ccccc3[nH+]2)c1 ZINC000176517919 261293775 /nfs/dbraw/zinc/29/37/75/261293775.db2.gz JVCRXEBCLJOPKP-LHSJRXKWSA-N 1 2 298.411 3.653 20 0 CHADLO CC[N@H+](Cc1ccccn1)[C@H](C)c1cc(F)ccc1F ZINC000104949197 261305236 /nfs/dbraw/zinc/30/52/36/261305236.db2.gz NADCEBRZEXBRNE-GFCCVEGCSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@@H+](Cc1ccccn1)[C@H](C)c1cc(F)ccc1F ZINC000104949197 261305238 /nfs/dbraw/zinc/30/52/38/261305238.db2.gz NADCEBRZEXBRNE-GFCCVEGCSA-N 1 2 276.330 3.943 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1ccsc1Cl ZINC000361583309 261350463 /nfs/dbraw/zinc/35/04/63/261350463.db2.gz KXCXYKKYSYELNP-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1ccsc1Cl ZINC000361583309 261350465 /nfs/dbraw/zinc/35/04/65/261350465.db2.gz KXCXYKKYSYELNP-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO FC(F)C1CC[NH+](Cc2ccc(Br)o2)CC1 ZINC000307867715 261399329 /nfs/dbraw/zinc/39/93/29/261399329.db2.gz COCUKBOLKKOKEH-UHFFFAOYSA-N 1 2 294.139 3.519 20 0 CHADLO CC[C@@](C)([NH2+]Cc1csc(C)n1)c1nc(C)cs1 ZINC000174502045 261574839 /nfs/dbraw/zinc/57/48/39/261574839.db2.gz IHWGKMUHUOWGCG-CYBMUJFWSA-N 1 2 281.450 3.631 20 0 CHADLO Cc1c([C@@H](C)Nc2cc(C)[nH+]c3c(F)cccc23)cnn1C ZINC000180401200 261857596 /nfs/dbraw/zinc/85/75/96/261857596.db2.gz MWPWRUACEAQSBM-LLVKDONJSA-N 1 2 298.365 3.897 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2ccc(Cl)cc2)C2CCC2)o1 ZINC000182588061 261944432 /nfs/dbraw/zinc/94/44/32/261944432.db2.gz JQRPXLMMZCLEHR-HNNXBMFYSA-N 1 2 291.782 3.662 20 0 CHADLO Cc1cncc([C@@H](C)[NH2+]Cc2coc(-c3cccs3)n2)c1 ZINC000184304233 262015728 /nfs/dbraw/zinc/01/57/28/262015728.db2.gz JHEWNAUTCGFMTB-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO COC[C@@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccco1 ZINC000184517522 262022535 /nfs/dbraw/zinc/02/25/35/262022535.db2.gz OPORGGYGUBPGGS-LLVKDONJSA-N 1 2 294.420 3.511 20 0 CHADLO COC[C@H]([NH2+]Cc1c(F)cccc1Cl)c1ccc(C)o1 ZINC000184744047 262027973 /nfs/dbraw/zinc/02/79/73/262027973.db2.gz XKVUVHUMQYVQDV-AWEZNQCLSA-N 1 2 297.757 3.858 20 0 CHADLO C[C@@H](CC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1)C(C)(C)C ZINC000108791082 262060165 /nfs/dbraw/zinc/06/01/65/262060165.db2.gz REHHLKUTXWWERW-STQMWFEESA-N 1 2 277.412 3.536 20 0 CHADLO COc1cc(C[NH2+][C@H](C)c2csc(C(C)C)n2)ccn1 ZINC000186233697 262085305 /nfs/dbraw/zinc/08/53/05/262085305.db2.gz DLNULCRULXTZRI-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO Cc1cc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)no1 ZINC000186894170 262114776 /nfs/dbraw/zinc/11/47/76/262114776.db2.gz BGCUPXWZDIPGGJ-UHFFFAOYSA-N 1 2 282.746 3.800 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@@H](C)c3ccsc3)ccc2n1C ZINC000525775113 262198663 /nfs/dbraw/zinc/19/86/63/262198663.db2.gz XFYSPJNVPMNMAC-JTQLQIEISA-N 1 2 299.399 3.685 20 0 CHADLO CCn1cc[nH+]c1CNc1cc(C)cc(Br)c1 ZINC000186016192 262311588 /nfs/dbraw/zinc/31/15/88/262311588.db2.gz UKMDOYWVNYBMHB-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO CCc1nc(C)c(CNc2[nH+]ccc3c(OC)cccc32)o1 ZINC000319900380 262377872 /nfs/dbraw/zinc/37/78/72/262377872.db2.gz YTBZBMQXSNABMH-UHFFFAOYSA-N 1 2 297.358 3.714 20 0 CHADLO CCCCOCCNc1[nH+]c2ccccc2cc1C ZINC000063105895 262445336 /nfs/dbraw/zinc/44/53/36/262445336.db2.gz JHOGBLIZCXWHSA-UHFFFAOYSA-N 1 2 258.365 3.772 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1csnn1)c1cc(F)ccc1F ZINC000450675195 262666632 /nfs/dbraw/zinc/66/66/32/262666632.db2.gz BCHYNBDJOJMBKZ-UFBFGSQYSA-N 1 2 283.347 3.618 20 0 CHADLO CC[C@@H](Nc1ncnc2sc(C)c(C)c21)c1[nH]cc[nH+]1 ZINC000139779213 263031072 /nfs/dbraw/zinc/03/10/72/263031072.db2.gz LLPFRKGSIPZKOV-SNVBAGLBSA-N 1 2 287.392 3.594 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)c2cc(C)oc2C)[nH]1 ZINC000278871388 263051663 /nfs/dbraw/zinc/05/16/63/263051663.db2.gz WZOHHJANERXWJM-ZMLRMANQSA-N 1 2 290.411 3.940 20 0 CHADLO CC(C)(C)c1n[nH]cc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414827351 263056138 /nfs/dbraw/zinc/05/61/38/263056138.db2.gz BFVAZVOKEAMYMW-UHFFFAOYSA-N 1 2 284.407 3.677 20 0 CHADLO COc1cc(C)c(CNc2ccc3c(c2)CCC[NH2+]3)c(C)n1 ZINC000414845799 263060360 /nfs/dbraw/zinc/06/03/60/263060360.db2.gz GENKCJLXGGIARW-UHFFFAOYSA-N 1 2 297.402 3.677 20 0 CHADLO Cc1ccn2c(CNc3ccc4c(c3)CCC[NH2+]4)cnc2c1 ZINC000414846808 263063157 /nfs/dbraw/zinc/06/31/57/263063157.db2.gz IBCHOTIZUDVOLU-UHFFFAOYSA-N 1 2 292.386 3.613 20 0 CHADLO Fc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)cc1 ZINC000414853624 263071306 /nfs/dbraw/zinc/07/13/06/263071306.db2.gz SDWXSMLHPBPULQ-UHFFFAOYSA-N 1 2 256.324 3.796 20 0 CHADLO O=C(CC1CCCCCC1)Nc1c[nH+]c2n1CCCC2 ZINC000452333169 263074673 /nfs/dbraw/zinc/07/46/73/263074673.db2.gz IMCBNDZCEQLGJP-UHFFFAOYSA-N 1 2 275.396 3.518 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1ccccc1F ZINC000285496986 263093593 /nfs/dbraw/zinc/09/35/93/263093593.db2.gz MWJLTIFNDDOIAQ-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO COC1(CC(=O)OCc2ccc(C(C)C)[nH+]c2C)CCC1 ZINC000293054293 263137428 /nfs/dbraw/zinc/13/74/28/263137428.db2.gz NDGRGVGIDQVQLC-UHFFFAOYSA-N 1 2 291.391 3.516 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc([C@H]3CCOC3)cc2)c1 ZINC000293604415 263144111 /nfs/dbraw/zinc/14/41/11/263144111.db2.gz JMOVDTVPZCSJIW-HNNXBMFYSA-N 1 2 298.386 3.515 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@@H](CC)C(F)F)cc1 ZINC000453254236 263211086 /nfs/dbraw/zinc/21/10/86/263211086.db2.gz YAYCNTVTCADIOP-MFKMUULPSA-N 1 2 257.324 3.780 20 0 CHADLO C[C@H](c1ccc(OC(F)F)cc1)[N@H+](C)Cc1cscn1 ZINC000299251894 263236099 /nfs/dbraw/zinc/23/60/99/263236099.db2.gz ZCFFYNZNRPPYPP-SNVBAGLBSA-N 1 2 298.358 3.938 20 0 CHADLO C[C@H](c1ccc(OC(F)F)cc1)[N@@H+](C)Cc1cscn1 ZINC000299251894 263236100 /nfs/dbraw/zinc/23/61/00/263236100.db2.gz ZCFFYNZNRPPYPP-SNVBAGLBSA-N 1 2 298.358 3.938 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc(F)cc2)C(C)C)no1 ZINC000299335618 263239956 /nfs/dbraw/zinc/23/99/56/263239956.db2.gz CXAXTERUIPYMLN-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc(F)cc2)C(C)C)no1 ZINC000299335618 263239957 /nfs/dbraw/zinc/23/99/57/263239957.db2.gz CXAXTERUIPYMLN-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1csc(C2([NH2+]Cc3cc(F)ccc3F)CCC2)n1 ZINC000299571694 263248474 /nfs/dbraw/zinc/24/84/74/263248474.db2.gz HASSCSDFSZINIX-UHFFFAOYSA-N 1 2 294.370 3.899 20 0 CHADLO CC(C)n1c[nH+]cc1CN(Cc1ccccc1)CC(F)F ZINC000425333144 264010227 /nfs/dbraw/zinc/01/02/27/264010227.db2.gz FLEPASJKMAQRIM-UHFFFAOYSA-N 1 2 293.361 3.731 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1cncn1C(C)C ZINC000425336111 264012909 /nfs/dbraw/zinc/01/29/09/264012909.db2.gz JSVSFROQIRRVND-UHFFFAOYSA-N 1 2 289.448 3.818 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1cncn1C(C)C ZINC000425336111 264012912 /nfs/dbraw/zinc/01/29/12/264012912.db2.gz JSVSFROQIRRVND-UHFFFAOYSA-N 1 2 289.448 3.818 20 0 CHADLO CSc1ccccc1CN(C)Cc1c[nH+]cn1C(C)C ZINC000425336111 264012915 /nfs/dbraw/zinc/01/29/15/264012915.db2.gz JSVSFROQIRRVND-UHFFFAOYSA-N 1 2 289.448 3.818 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1c(F)cc(C)cc1F ZINC000425336873 264013104 /nfs/dbraw/zinc/01/31/04/264013104.db2.gz MEZSSYXXWVYSCE-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1c(F)cc(C)cc1F ZINC000425336873 264013107 /nfs/dbraw/zinc/01/31/07/264013107.db2.gz MEZSSYXXWVYSCE-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO Cc1cc(C)c(NC(=O)CO[C@@H]2CCCC[C@@H]2C)c(C)[nH+]1 ZINC000330725379 264025736 /nfs/dbraw/zinc/02/57/36/264025736.db2.gz ZJHNMTQGZXHYNR-XHDPSFHLSA-N 1 2 290.407 3.541 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1CCCC[C@]1(C)C(N)=O ZINC000331106570 264125809 /nfs/dbraw/zinc/12/58/09/264125809.db2.gz GTBBWCGWLLJBNT-OAHLLOKOSA-N 1 2 296.798 3.628 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1CCCC[C@]1(C)C(N)=O ZINC000331106570 264125811 /nfs/dbraw/zinc/12/58/11/264125811.db2.gz GTBBWCGWLLJBNT-OAHLLOKOSA-N 1 2 296.798 3.628 20 0 CHADLO CC(C)CNc1ccc([NH+]2CCCCC2)cc1C(N)=O ZINC000331166170 264144700 /nfs/dbraw/zinc/14/47/00/264144700.db2.gz YGGNXNJLFHSARM-UHFFFAOYSA-N 1 2 275.396 3.628 20 0 CHADLO C[C@@]1(CNc2cc(C(F)(F)F)cc[nH+]2)CCCS1 ZINC000126417507 264219221 /nfs/dbraw/zinc/21/92/21/264219221.db2.gz BXLCYSHIGPFLNG-NSHDSACASA-N 1 2 276.327 3.798 20 0 CHADLO Cc1ccc(Br)cc1N[C@@H](C)c1[nH+]ccn1C ZINC000070444592 264236371 /nfs/dbraw/zinc/23/63/71/264236371.db2.gz WGAJPXPPFZIOSA-JTQLQIEISA-N 1 2 294.196 3.664 20 0 CHADLO C[C@@H]1CO[C@H](c2cccc(Cl)c2)C[N@@H+]1Cc1ccco1 ZINC000188751727 264244396 /nfs/dbraw/zinc/24/43/96/264244396.db2.gz NIOCVWXGPBNQAL-WBMJQRKESA-N 1 2 291.778 3.895 20 0 CHADLO C[C@@H]1CO[C@H](c2cccc(Cl)c2)C[N@H+]1Cc1ccco1 ZINC000188751727 264244398 /nfs/dbraw/zinc/24/43/98/264244398.db2.gz NIOCVWXGPBNQAL-WBMJQRKESA-N 1 2 291.778 3.895 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000073637442 264297301 /nfs/dbraw/zinc/29/73/01/264297301.db2.gz ZWTJJSHUJIPDDU-LBPRGKRZSA-N 1 2 273.380 3.526 20 0 CHADLO CC(C)OCC[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000189491639 264302674 /nfs/dbraw/zinc/30/26/74/264302674.db2.gz CFLUTCHMOLPTKZ-BBRMVZONSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OCC[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000189491639 264302676 /nfs/dbraw/zinc/30/26/76/264302676.db2.gz CFLUTCHMOLPTKZ-BBRMVZONSA-N 1 2 297.826 3.527 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1nc(C(F)F)no1 ZINC000425443001 264324452 /nfs/dbraw/zinc/32/44/52/264324452.db2.gz KZWLFRUQMZOCHW-QMMMGPOBSA-N 1 2 299.346 3.580 20 0 CHADLO Cc1cncc(C[N@H+](Cc2ccco2)Cc2cccnc2)c1 ZINC000189986656 264344646 /nfs/dbraw/zinc/34/46/46/264344646.db2.gz XCJSQHJCZQTBDR-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1cncc(C[N@@H+](Cc2ccco2)Cc2cccnc2)c1 ZINC000189986656 264344648 /nfs/dbraw/zinc/34/46/48/264344648.db2.gz XCJSQHJCZQTBDR-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO CCCC[C@@H](C)[NH2+][C@@H](C(=O)OC)c1ccc(Cl)cc1 ZINC000428091499 264364978 /nfs/dbraw/zinc/36/49/78/264364978.db2.gz MEUORIQAKDHILP-BXUZGUMPSA-N 1 2 283.799 3.722 20 0 CHADLO CCCC[C@H](C)[NH2+][C@H](C(=O)OC)c1ccc(Cl)cc1 ZINC000428091500 264365105 /nfs/dbraw/zinc/36/51/05/264365105.db2.gz MEUORIQAKDHILP-FZMZJTMJSA-N 1 2 283.799 3.722 20 0 CHADLO CC[C@H]1CCCC[C@H]1OCC(=O)Nc1ccc(C)[nH+]c1C ZINC000185224892 265011608 /nfs/dbraw/zinc/01/16/08/265011608.db2.gz TXNFJGJSOTUDQH-GOEBONIOSA-N 1 2 290.407 3.622 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1ncn(C)n1)c1ccc(Cl)cc1 ZINC000391872946 265019533 /nfs/dbraw/zinc/01/95/33/265019533.db2.gz JIBDMPAJVJXAAG-SMDDNHRTSA-N 1 2 292.814 3.661 20 0 CHADLO CCOCC[N@H+](CC)Cc1c(Cl)cccc1Cl ZINC000195736789 265029634 /nfs/dbraw/zinc/02/96/34/265029634.db2.gz UJPOKGLVKUEWDU-UHFFFAOYSA-N 1 2 276.207 3.852 20 0 CHADLO CCOCC[N@@H+](CC)Cc1c(Cl)cccc1Cl ZINC000195736789 265029638 /nfs/dbraw/zinc/02/96/38/265029638.db2.gz UJPOKGLVKUEWDU-UHFFFAOYSA-N 1 2 276.207 3.852 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1nccs1 ZINC000093404197 265114028 /nfs/dbraw/zinc/11/40/28/265114028.db2.gz JTVWXOLWIVLUFL-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO CO[C@H](c1ccccc1Cl)[C@H](C)Nc1cccc[nH+]1 ZINC000349901644 265214471 /nfs/dbraw/zinc/21/44/71/265214471.db2.gz CVUJFHDTSITHDC-NHYWBVRUSA-N 1 2 276.767 3.923 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000518973138 265266022 /nfs/dbraw/zinc/26/60/22/265266022.db2.gz CXVKAPNZJPQMNX-VXGBXAGGSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000518973138 265266024 /nfs/dbraw/zinc/26/60/24/265266024.db2.gz CXVKAPNZJPQMNX-VXGBXAGGSA-N 1 2 281.346 3.669 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)cc(Cl)c2)[C@@H]1[C@@H]1CCCO1 ZINC000519244038 265374647 /nfs/dbraw/zinc/37/46/47/265374647.db2.gz UBRUHOGCPWFFHB-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)cc(Cl)c2)[C@@H]1[C@@H]1CCCO1 ZINC000519244038 265374648 /nfs/dbraw/zinc/37/46/48/265374648.db2.gz UBRUHOGCPWFFHB-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CC(C)[N@H+](C/C=C\c1ccc(F)c(F)c1)CC(F)F ZINC000520288256 265758942 /nfs/dbraw/zinc/75/89/42/265758942.db2.gz ITHJBQCYZDNRJD-ARJAWSKDSA-N 1 2 275.289 3.954 20 0 CHADLO CC(C)[N@@H+](C/C=C\c1ccc(F)c(F)c1)CC(F)F ZINC000520288256 265758943 /nfs/dbraw/zinc/75/89/43/265758943.db2.gz ITHJBQCYZDNRJD-ARJAWSKDSA-N 1 2 275.289 3.954 20 0 CHADLO CCc1nc(C[N@H+](C)C/C=C\c2ccccc2)cs1 ZINC000520389852 265793589 /nfs/dbraw/zinc/79/35/89/265793589.db2.gz SNORMJJZEHSPOC-YFHOEESVSA-N 1 2 272.417 3.851 20 0 CHADLO CCc1nc(C[N@@H+](C)C/C=C\c2ccccc2)cs1 ZINC000520389852 265793593 /nfs/dbraw/zinc/79/35/93/265793593.db2.gz SNORMJJZEHSPOC-YFHOEESVSA-N 1 2 272.417 3.851 20 0 CHADLO Cc1cc(N[C@@H]2CCCC2(C)C)nc(-c2cccnc2)[nH+]1 ZINC000301519830 265982045 /nfs/dbraw/zinc/98/20/45/265982045.db2.gz CYBCBRMRKSLOCQ-CQSZACIVSA-N 1 2 282.391 3.838 20 0 CHADLO CC1(C)C[N@H+](Cc2ccsc2Cl)[C@H]1[C@H]1CCCO1 ZINC000514638873 266022866 /nfs/dbraw/zinc/02/28/66/266022866.db2.gz LKFYERUDJYNUNE-NEPJUHHUSA-N 1 2 285.840 3.791 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccsc2Cl)[C@H]1[C@H]1CCCO1 ZINC000514638873 266022867 /nfs/dbraw/zinc/02/28/67/266022867.db2.gz LKFYERUDJYNUNE-NEPJUHHUSA-N 1 2 285.840 3.791 20 0 CHADLO Brc1cccc([C@H]2C[C@@H]2Nc2cccc[nH+]2)c1 ZINC000520756542 266034216 /nfs/dbraw/zinc/03/42/16/266034216.db2.gz MYOCXZRUZRGNAE-OLZOCXBDSA-N 1 2 289.176 3.812 20 0 CHADLO CC[C@@H]1CCC[C@@H](NC(=O)c2ccc3[nH+]c(C)n(C)c3c2)C1 ZINC000356168823 266061346 /nfs/dbraw/zinc/06/13/46/266061346.db2.gz MBRAREBLSZRZQL-UKRRQHHQSA-N 1 2 299.418 3.580 20 0 CHADLO c1cn(-c2cccc(-c3noc([C@@H]4CC=CCC4)n3)c2)c[nH+]1 ZINC000356637503 266151800 /nfs/dbraw/zinc/15/18/00/266151800.db2.gz DXQUVYKFSOLNBA-CYBMUJFWSA-N 1 2 292.342 3.746 20 0 CHADLO C[C@H]([NH2+]Cc1ncoc1-c1ccccc1)c1ccc(F)cn1 ZINC000356694128 266160967 /nfs/dbraw/zinc/16/09/67/266160967.db2.gz JFEVMJAUVLGKSN-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CC(C)c1nc(N2CC[C@@H](C)C3(CCC3)C2)cc[nH+]1 ZINC000356789966 266175212 /nfs/dbraw/zinc/17/52/12/266175212.db2.gz GWBWFFUPBAHJHR-CYBMUJFWSA-N 1 2 259.397 3.617 20 0 CHADLO Cc1ccc(Cc2nc([C@H](C)C(C)(C)C)no2)c[nH+]1 ZINC000356882517 266203503 /nfs/dbraw/zinc/20/35/03/266203503.db2.gz KQXWHZIEMZNGGH-NSHDSACASA-N 1 2 259.353 3.513 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N[C@@H]3CCC[C@@H](C)CC3)cc2n1C ZINC000356967041 266219767 /nfs/dbraw/zinc/21/97/67/266219767.db2.gz HAAJMJIMJIKQMU-IUODEOHRSA-N 1 2 299.418 3.580 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N[C@H]3CCC[C@@H](C)CC3)cc2n1C ZINC000356967040 266220206 /nfs/dbraw/zinc/22/02/06/266220206.db2.gz HAAJMJIMJIKQMU-DOMZBBRYSA-N 1 2 299.418 3.580 20 0 CHADLO CC[C@@H](CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1)c1ccccc1 ZINC000357115496 266251057 /nfs/dbraw/zinc/25/10/57/266251057.db2.gz GDRJRKGZWJJVBL-HOCLYGCPSA-N 1 2 297.402 3.657 20 0 CHADLO Cc1ccccc1N(C(=O)c1ccc2[nH+]ccn2c1)C(C)C ZINC000357204843 266265099 /nfs/dbraw/zinc/26/50/99/266265099.db2.gz SKBFEIJMLKSDRG-UHFFFAOYSA-N 1 2 293.370 3.698 20 0 CHADLO COc1cccc2c(NCCOC3CCCC3)cc[nH+]c12 ZINC000357323941 266275194 /nfs/dbraw/zinc/27/51/94/266275194.db2.gz ICXMDRDHLBMRTM-UHFFFAOYSA-N 1 2 286.375 3.615 20 0 CHADLO Cc1cc(CSCc2cn3cc(Cl)ccc3[nH+]2)on1 ZINC000357390095 266288502 /nfs/dbraw/zinc/28/85/02/266288502.db2.gz KMLBOWOFAOSCPS-UHFFFAOYSA-N 1 2 293.779 3.718 20 0 CHADLO CCN(Cc1ccc(OC)cc1)c1cc[nH+]c2ccncc21 ZINC000357413918 266292683 /nfs/dbraw/zinc/29/26/83/266292683.db2.gz CMHHUOKXECJMAM-UHFFFAOYSA-N 1 2 293.370 3.665 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1ccc2ccccc2c1 ZINC000357458591 266300768 /nfs/dbraw/zinc/30/07/68/266300768.db2.gz XVBRCMMRAFCUMK-UHFFFAOYSA-N 1 2 287.322 3.740 20 0 CHADLO COCc1cc(N2CC[C@H](C)[C@H]2C)c2cc(F)ccc2[nH+]1 ZINC000357516269 266314526 /nfs/dbraw/zinc/31/45/26/266314526.db2.gz QOKJLLAAJOKDTP-NWDGAFQWSA-N 1 2 288.366 3.755 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)N[C@@H](C)CCC(C)C)c1 ZINC000357603206 266333572 /nfs/dbraw/zinc/33/35/72/266333572.db2.gz ZQOAWTMHMAMONS-HNNXBMFYSA-N 1 2 299.418 3.735 20 0 CHADLO Cc1cc(NCc2ccc3sccc3c2)nc(C2CC2)[nH+]1 ZINC000357603592 266333941 /nfs/dbraw/zinc/33/39/41/266333941.db2.gz JEHOFAJTFIVHON-UHFFFAOYSA-N 1 2 295.411 3.911 20 0 CHADLO Clc1cc2c(s1)CC[C@H]2Nc1cc[nH+]c(C2CC2)n1 ZINC000357612186 266335435 /nfs/dbraw/zinc/33/54/35/266335435.db2.gz VMHQRWUXEGHFNJ-SNVBAGLBSA-N 1 2 291.807 3.590 20 0 CHADLO Cc1ccc(NC(=O)Cc2c(C)cccc2Cl)c(C)[nH+]1 ZINC000362647137 267029536 /nfs/dbraw/zinc/02/95/36/267029536.db2.gz CHVFYQXMOSKCHJ-UHFFFAOYSA-N 1 2 288.778 3.841 20 0 CHADLO CCCCNC(=O)c1ccc(C)c(Oc2cc[nH+]cc2)c1 ZINC000114997070 267031435 /nfs/dbraw/zinc/03/14/35/267031435.db2.gz RNRPVLMEYNRMRR-UHFFFAOYSA-N 1 2 284.359 3.712 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2cc(COC(C)(C)C)on2)o1 ZINC000362717799 267038565 /nfs/dbraw/zinc/03/85/65/267038565.db2.gz SXYSJNNWCLBJLR-LBPRGKRZSA-N 1 2 292.379 3.742 20 0 CHADLO Cc1cc(N(C)Cc2ccc(F)cc2C)nc(C2CC2)[nH+]1 ZINC000362953718 267065511 /nfs/dbraw/zinc/06/55/11/267065511.db2.gz CGWVXRZPNXWMGL-UHFFFAOYSA-N 1 2 285.366 3.746 20 0 CHADLO COc1ncccc1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000362962687 267068043 /nfs/dbraw/zinc/06/80/43/267068043.db2.gz FVHBFLKLLGEZBE-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ncccc1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000362962687 267068044 /nfs/dbraw/zinc/06/80/44/267068044.db2.gz FVHBFLKLLGEZBE-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@H]3CC=CCC3)c2)[nH+]c1C ZINC000363114437 267075346 /nfs/dbraw/zinc/07/53/46/267075346.db2.gz AWXDBDBHKZPLHF-AWEZNQCLSA-N 1 2 295.386 3.988 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@@H](C)C3CC3)c2)[nH+]c1C ZINC000363324309 267087902 /nfs/dbraw/zinc/08/79/02/267087902.db2.gz MECAVIVNDXOZJG-JTQLQIEISA-N 1 2 283.375 3.678 20 0 CHADLO FC(F)(F)c1cnc(C[NH+]2CCC(C3CC3)CC2)s1 ZINC000368639013 267192891 /nfs/dbraw/zinc/19/28/91/267192891.db2.gz REVCZHANYVCYEA-UHFFFAOYSA-N 1 2 290.354 3.784 20 0 CHADLO Cc1nc(C[N@@H+]2CCCCC[C@@H]2c2cccc(C)c2)no1 ZINC000117897898 267225434 /nfs/dbraw/zinc/22/54/34/267225434.db2.gz OHFDIIKMPFALPF-MRXNPFEDSA-N 1 2 285.391 3.804 20 0 CHADLO Cc1nc(C[N@H+]2CCCCC[C@@H]2c2cccc(C)c2)no1 ZINC000117897898 267225436 /nfs/dbraw/zinc/22/54/36/267225436.db2.gz OHFDIIKMPFALPF-MRXNPFEDSA-N 1 2 285.391 3.804 20 0 CHADLO C[C@H]([NH2+]Cc1ncc[nH]1)c1cccc(Cl)c1Cl ZINC000117951112 267230691 /nfs/dbraw/zinc/23/06/91/267230691.db2.gz JMGIRFNPQXPHTG-QMMMGPOBSA-N 1 2 270.163 3.567 20 0 CHADLO FC1(c2ccc(Cl)cc2)CC[NH+](Cc2ccon2)CC1 ZINC000369737815 267262054 /nfs/dbraw/zinc/26/20/54/267262054.db2.gz IZFXWVWHMPOYGK-UHFFFAOYSA-N 1 2 294.757 3.789 20 0 CHADLO CCO[C@H](CNc1cc(C)[nH+]c(C2CC2)n1)c1ccccc1 ZINC000193395526 267317765 /nfs/dbraw/zinc/31/77/65/267317765.db2.gz UOAOQZWWSASVBF-MRXNPFEDSA-N 1 2 297.402 3.852 20 0 CHADLO CCC[C@H](C)[C@H]1CCCN1C(=O)Nc1cc[nH+]c(C)c1 ZINC000119321482 267321669 /nfs/dbraw/zinc/32/16/69/267321669.db2.gz OLAPUOXRQITHST-SWLSCSKDSA-N 1 2 275.396 3.823 20 0 CHADLO C[C@@H](N[C@@H](c1[nH+]ccn1C)c1ccccc1F)c1ccco1 ZINC000370670630 267336199 /nfs/dbraw/zinc/33/61/99/267336199.db2.gz FOPQJJMBAKHUIB-MLGOLLRUSA-N 1 2 299.349 3.592 20 0 CHADLO Clc1cnc(C[N@@H+]2CCSC[C@@H]2C2CCC2)s1 ZINC000418127397 267355538 /nfs/dbraw/zinc/35/55/38/267355538.db2.gz OINWKNWTEHEMRP-SNVBAGLBSA-N 1 2 288.869 3.514 20 0 CHADLO Clc1cnc(C[N@H+]2CCSC[C@@H]2C2CCC2)s1 ZINC000418127397 267355540 /nfs/dbraw/zinc/35/55/40/267355540.db2.gz OINWKNWTEHEMRP-SNVBAGLBSA-N 1 2 288.869 3.514 20 0 CHADLO CC1(C)C[C@H]1C(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000120192638 267392169 /nfs/dbraw/zinc/39/21/69/267392169.db2.gz MWYWIVDLLOUSMT-HNNXBMFYSA-N 1 2 282.343 3.859 20 0 CHADLO COc1ccccc1SCc1cn2c(cccc2C)[nH+]1 ZINC000120970100 267534190 /nfs/dbraw/zinc/53/41/90/267534190.db2.gz PSDBGAFGZFSZDW-UHFFFAOYSA-N 1 2 284.384 3.944 20 0 CHADLO COC(=O)[C@@H](C)[NH2+][C@H](CCc1ccccc1)c1ccccc1 ZINC000302988427 267569840 /nfs/dbraw/zinc/56/98/40/267569840.db2.gz RJHCHHBEOUGQFB-CRAIPNDOSA-N 1 2 297.398 3.512 20 0 CHADLO Cc1ccc(C[C@H](C)N(C)C(=O)Nc2cc[nH+]cc2C)cc1 ZINC000122052799 267799424 /nfs/dbraw/zinc/79/94/24/267799424.db2.gz BNRVWBDGVMAWPX-HNNXBMFYSA-N 1 2 297.402 3.793 20 0 CHADLO CC(C)(C)C[C@@H]([NH2+][C@@H]1CCC12CCOCC2)C(F)(F)F ZINC000376636000 268003708 /nfs/dbraw/zinc/00/37/08/268003708.db2.gz CLKYUEMLCIFKIT-VXGBXAGGSA-N 1 2 293.373 3.902 20 0 CHADLO C[C@@H](CCc1cccn1C)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000301522965 533688070 /nfs/dbraw/zinc/68/80/70/533688070.db2.gz DXRLDSATYJDLAO-NSHDSACASA-N 1 2 297.324 3.872 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H](C)c2cn3ccccc3n2)n1 ZINC000570816124 327621973 /nfs/dbraw/zinc/62/19/73/327621973.db2.gz LEGMPSSWYLRISP-NWDGAFQWSA-N 1 2 286.404 3.511 20 0 CHADLO CC(C)n1nccc1C[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000373493054 327694278 /nfs/dbraw/zinc/69/42/78/327694278.db2.gz HAELAZXSIHHFAI-GOSISDBHSA-N 1 2 295.386 3.936 20 0 CHADLO Cc1ccc(-c2nc(Cc3ccc(C)[nH+]c3)no2)c(Cl)c1 ZINC000580124776 327704751 /nfs/dbraw/zinc/70/47/51/327704751.db2.gz ANRGGGQRZGIGKE-UHFFFAOYSA-N 1 2 299.761 3.993 20 0 CHADLO Cc1ccc(NC(=O)Nc2ccc(C)[nH+]c2C)s1 ZINC000128696854 327710619 /nfs/dbraw/zinc/71/06/19/327710619.db2.gz LDTUOVIZPINHBV-UHFFFAOYSA-N 1 2 261.350 3.712 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1ccoc1 ZINC000188281856 327748790 /nfs/dbraw/zinc/74/87/90/327748790.db2.gz FSGQKCRGBIURTD-SNVBAGLBSA-N 1 2 265.740 3.792 20 0 CHADLO Cc1cccc(N2CC[NH+](Cc3ccc(Cl)o3)CC2)c1 ZINC000506146797 332763445 /nfs/dbraw/zinc/76/34/45/332763445.db2.gz GCMFNSRPJNKDDT-UHFFFAOYSA-N 1 2 290.794 3.564 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2c(F)cccc2F)o1 ZINC000184865972 327834544 /nfs/dbraw/zinc/83/45/44/327834544.db2.gz UHOYINFSIDHDGH-HNNXBMFYSA-N 1 2 295.329 3.598 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(N[C@H]2CC[C@@H]2C2CC2)c1 ZINC000582089575 327840035 /nfs/dbraw/zinc/84/00/35/327840035.db2.gz LYXSRCUKYKAGPI-CVEARBPZSA-N 1 2 267.376 3.688 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]C[C@@H]1CCC(F)(F)C1)C(F)(F)F ZINC000582103430 327856285 /nfs/dbraw/zinc/85/62/85/327856285.db2.gz RVDYGRURFSVKLE-RKDXNWHRSA-N 1 2 273.289 3.988 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)[NH2+]c1ccc(-n2cccn2)cc1 ZINC000388552460 327892753 /nfs/dbraw/zinc/89/27/53/327892753.db2.gz WPUVRGIAECJBBG-AWEZNQCLSA-N 1 2 293.370 3.621 20 0 CHADLO OC[C@H]([NH2+]Cc1c(Cl)cccc1Cl)c1ccccc1 ZINC000062999603 327904516 /nfs/dbraw/zinc/90/45/16/327904516.db2.gz DGHRMIRLKGOKDX-HNNXBMFYSA-N 1 2 296.197 3.817 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccccc2Cl)s1 ZINC000273390293 327910077 /nfs/dbraw/zinc/91/00/77/327910077.db2.gz UVXNKSSMJIRCRN-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO CCS[C@@H](C)c1noc(C[NH+]2CCC(CC)CC2)n1 ZINC000336802926 327946117 /nfs/dbraw/zinc/94/61/17/327946117.db2.gz WLFRNFZXOLZUCM-NSHDSACASA-N 1 2 283.441 3.506 20 0 CHADLO FC1(F)CC[C@@H](COc2ccccc2-n2cc[nH+]c2)C1 ZINC000571062903 327994785 /nfs/dbraw/zinc/99/47/85/327994785.db2.gz KQDLHFYUKQQTED-GFCCVEGCSA-N 1 2 278.302 3.687 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)CCc2c[nH+]cn2C)cc1 ZINC000339174002 327998686 /nfs/dbraw/zinc/99/86/86/327998686.db2.gz NHONJMPMAAASHR-UHFFFAOYSA-N 1 2 299.418 3.679 20 0 CHADLO CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000567996264 328001734 /nfs/dbraw/zinc/00/17/34/328001734.db2.gz GEBHDVJQENFINP-JYJNAYRXSA-N 1 2 291.439 3.555 20 0 CHADLO Cc1nc(N[C@@H](c2[nH+]ccn2C)c2ccccc2)sc1C ZINC000531537177 328015573 /nfs/dbraw/zinc/01/55/73/328015573.db2.gz CISPNRMHRLSGRJ-CQSZACIVSA-N 1 2 298.415 3.695 20 0 CHADLO Cc1cc(N[C@@H]2CCO[C@H]2C2CC2)c2cccc(F)c2[nH+]1 ZINC000534061945 328033329 /nfs/dbraw/zinc/03/33/29/328033329.db2.gz JJKIKHNLVZXBCS-PBHICJAKSA-N 1 2 286.350 3.662 20 0 CHADLO CCC(CC)N(C(=O)Nc1ccc(C)[nH+]c1C)C1CC1 ZINC000531777025 328034245 /nfs/dbraw/zinc/03/42/45/328034245.db2.gz YUUPJXMSEJWPKE-UHFFFAOYSA-N 1 2 275.396 3.883 20 0 CHADLO CC(C)c1cc(N2C[C@H](C)OC(C)(C)C2)nc(C(C)C)[nH+]1 ZINC000534144876 328036753 /nfs/dbraw/zinc/03/67/53/328036753.db2.gz XQBIKYGGRUEIKG-ZDUSSCGKSA-N 1 2 291.439 3.727 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCOC2(C1)CCCCCC2 ZINC000534242429 328041326 /nfs/dbraw/zinc/04/13/26/328041326.db2.gz CLFKYNOODQSCBK-UHFFFAOYSA-N 1 2 299.418 3.503 20 0 CHADLO CC(C)c1nc(N2CC[C@H](c3ccccc3)C2)cc[nH+]1 ZINC000110381374 328050027 /nfs/dbraw/zinc/05/00/27/328050027.db2.gz UAZAHLIEOMCLHZ-HNNXBMFYSA-N 1 2 267.376 3.594 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cc(F)c(C(F)(F)F)cc2F)C1 ZINC000563643281 328080244 /nfs/dbraw/zinc/08/02/44/328080244.db2.gz VAEKPEOGWNMROM-GFCCVEGCSA-N 1 2 297.242 3.918 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cc(F)c(C(F)(F)F)cc2F)C1 ZINC000563643281 328080245 /nfs/dbraw/zinc/08/02/45/328080245.db2.gz VAEKPEOGWNMROM-GFCCVEGCSA-N 1 2 297.242 3.918 20 0 CHADLO CSc1ccc(NCc2cn3cc(C)ccc3[nH+]2)cc1 ZINC000571305771 328101137 /nfs/dbraw/zinc/10/11/37/328101137.db2.gz YFLOAIHQAVXIOT-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO CC1(C)CC[C@@H](C[NH2+][C@@H](c2ccccc2)C(F)F)O1 ZINC000411760989 328098891 /nfs/dbraw/zinc/09/88/91/328098891.db2.gz TYOQKRDMHURHQS-STQMWFEESA-N 1 2 269.335 3.540 20 0 CHADLO COc1cccc2c(NC3CCC(OC)CC3)cc[nH+]c12 ZINC000413424113 328144966 /nfs/dbraw/zinc/14/49/66/328144966.db2.gz WJFDKARGOKSLGK-UHFFFAOYSA-N 1 2 286.375 3.613 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)cn1)c1nccs1 ZINC000414219111 328153125 /nfs/dbraw/zinc/15/31/25/328153125.db2.gz GXZIRKVRMULHCZ-IUCAKERBSA-N 1 2 267.785 3.603 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2nccs2)oc1C ZINC000414220054 328153434 /nfs/dbraw/zinc/15/34/34/328153434.db2.gz ITVRMGKGMOAELK-ZJUUUORDSA-N 1 2 250.367 3.765 20 0 CHADLO CC(C)c1cccc(N(C)C(=O)c2ccc3[nH+]ccn3c2)c1 ZINC000171161115 328235311 /nfs/dbraw/zinc/23/53/11/328235311.db2.gz DKCKWQVBYVUOGP-UHFFFAOYSA-N 1 2 293.370 3.734 20 0 CHADLO Cc1occc1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000321070206 329250323 /nfs/dbraw/zinc/25/03/23/329250323.db2.gz NOOKSCCUOXBOJA-VIFPVBQESA-N 1 2 251.276 3.717 20 0 CHADLO Fc1cc(F)c(C[NH2+][C@@H]2CCCc3occc32)c(F)c1 ZINC000340496729 328285449 /nfs/dbraw/zinc/28/54/49/328285449.db2.gz LJVZMDVWVPLFPA-CQSZACIVSA-N 1 2 281.277 3.864 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCC[C@@H](c2ccncc2)C1 ZINC000295715158 328286870 /nfs/dbraw/zinc/28/68/70/328286870.db2.gz GMNYYQCGPRHMRO-YFBAIUBQSA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCC[C@@H](c2ccncc2)C1 ZINC000295715158 328286871 /nfs/dbraw/zinc/28/68/71/328286871.db2.gz GMNYYQCGPRHMRO-YFBAIUBQSA-N 1 2 271.191 3.580 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H](C)c3cccc(C)c3)cc2[nH+]1 ZINC000531930322 328314554 /nfs/dbraw/zinc/31/45/54/328314554.db2.gz LYKOBTXTPMHPDZ-LBPRGKRZSA-N 1 2 293.370 3.922 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)CCC)cc2[nH+]1 ZINC000049428896 328361026 /nfs/dbraw/zinc/36/10/26/328361026.db2.gz IGYWPGUBYWVNQO-UHFFFAOYSA-N 1 2 259.353 3.644 20 0 CHADLO CCOC(=O)[C@H](CC)[NH2+][C@H](c1ccc(F)cc1)C1CCC1 ZINC000303926875 328369293 /nfs/dbraw/zinc/36/92/93/328369293.db2.gz PWPQHHCXASBRBD-HOTGVXAUSA-N 1 2 293.382 3.598 20 0 CHADLO CC(C)n1cccc1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000535012393 328376743 /nfs/dbraw/zinc/37/67/43/328376743.db2.gz JMSKJZFPTPIDOM-UHFFFAOYSA-N 1 2 294.358 3.711 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)C2CCCCCC2)c1 ZINC000101816502 328377648 /nfs/dbraw/zinc/37/76/48/328377648.db2.gz IQSDHQYEIDVULX-UHFFFAOYSA-N 1 2 297.402 3.996 20 0 CHADLO CCC[C@@H]1[C@H](C)CCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535061081 328381806 /nfs/dbraw/zinc/38/18/06/328381806.db2.gz OTZJWLSGGLEWKZ-MLGOLLRUSA-N 1 2 289.423 3.553 20 0 CHADLO CCC[C@H]1[C@@H](C)CCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535061080 328381903 /nfs/dbraw/zinc/38/19/03/328381903.db2.gz OTZJWLSGGLEWKZ-LRDDRELGSA-N 1 2 289.423 3.553 20 0 CHADLO Cc1cc(N2CCC[C@H]2CC(C)C)nc(-c2ccncc2)[nH+]1 ZINC000112078168 328384142 /nfs/dbraw/zinc/38/41/42/328384142.db2.gz BXKXLSVBXTWYOS-INIZCTEOSA-N 1 2 296.418 3.862 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(F)cc2Br)c1 ZINC000227603314 329328657 /nfs/dbraw/zinc/32/86/57/329328657.db2.gz XEKIOBQHZQSCOG-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cc1cc(Cl)cc(C[NH2+]Cc2noc3c2CCCC3)c1 ZINC000563693517 328479487 /nfs/dbraw/zinc/47/94/87/328479487.db2.gz RTSRKCPWXGJRIE-UHFFFAOYSA-N 1 2 290.794 3.805 20 0 CHADLO CN(Cc1cn2c([nH+]1)CCCC2)Cc1cc(Cl)cs1 ZINC000425970045 328480484 /nfs/dbraw/zinc/48/04/84/328480484.db2.gz YQZUSUJEOAONGK-UHFFFAOYSA-N 1 2 295.839 3.566 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)CC(C)C)c1ccc(Cl)cc1 ZINC000428086606 328490627 /nfs/dbraw/zinc/49/06/27/328490627.db2.gz ORUDTCQXZONLKO-SMDDNHRTSA-N 1 2 283.799 3.578 20 0 CHADLO Cc1ccc(NC(=O)NCc2ccccc2Cl)c(C)[nH+]1 ZINC000152401429 328525771 /nfs/dbraw/zinc/52/57/71/328525771.db2.gz KVCHTYGHMPPKLV-UHFFFAOYSA-N 1 2 289.766 3.674 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(OC(C)(C)C)n1)c1cscn1 ZINC000537944391 326812442 /nfs/dbraw/zinc/81/24/42/326812442.db2.gz ZLYNNQDYNJTIPN-NSHDSACASA-N 1 2 291.420 3.566 20 0 CHADLO CSc1ccc(NC2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000037197543 326840838 /nfs/dbraw/zinc/84/08/38/326840838.db2.gz YMRWVGGLBOFGCU-UHFFFAOYSA-N 1 2 299.443 3.884 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+]Cc1ncc(C)s1 ZINC000530547543 326867809 /nfs/dbraw/zinc/86/78/09/326867809.db2.gz PTSCKBYDJRUYQS-UHFFFAOYSA-N 1 2 280.824 3.957 20 0 CHADLO CCc1ccc([C@@H](CC)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398229944 326875666 /nfs/dbraw/zinc/87/56/66/326875666.db2.gz HUJDUWFUOVZPAG-SMDDNHRTSA-N 1 2 275.421 3.902 20 0 CHADLO CCCc1ccc([C@H](C)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398227469 326875761 /nfs/dbraw/zinc/87/57/61/326875761.db2.gz GGGOPHHVVASTFS-RYUDHWBXSA-N 1 2 275.421 3.902 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)ccc1Cl)c1csnn1 ZINC000398249266 326876502 /nfs/dbraw/zinc/87/65/02/326876502.db2.gz VDKKOLKGVPKNAW-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO C[C@H]([NH2+][C@H]1CC[C@@H](C)c2ccccc21)c1csnn1 ZINC000398284280 326877628 /nfs/dbraw/zinc/87/76/28/326877628.db2.gz XRFOCDSUJRRFNW-SUNKGSAMSA-N 1 2 273.405 3.827 20 0 CHADLO C[C@H](CC(=O)Nc1cccc2ccccc21)n1cc[nH+]c1 ZINC000556686700 326958583 /nfs/dbraw/zinc/95/85/83/326958583.db2.gz HTOGNIKEULPFIU-CYBMUJFWSA-N 1 2 279.343 3.626 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccc(C3CC3)cc2)o1 ZINC000557287359 327000277 /nfs/dbraw/zinc/00/02/77/327000277.db2.gz MDRMYYRROIVCOC-GFCCVEGCSA-N 1 2 285.391 3.921 20 0 CHADLO CCCOc1cc(C)ccc1NCc1c[nH+]cn1CC ZINC000090172364 327031961 /nfs/dbraw/zinc/03/19/61/327031961.db2.gz PQGBJSQYLWFNQJ-UHFFFAOYSA-N 1 2 273.380 3.612 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCn3c(cc4ccccc43)C2)o1 ZINC000584140737 327048673 /nfs/dbraw/zinc/04/86/73/327048673.db2.gz WCJQXTHLBFBKMF-UHFFFAOYSA-N 1 2 280.371 3.949 20 0 CHADLO Cc1ccc(C[N@H+]2CCCn3c(cc4ccccc43)C2)o1 ZINC000584140737 327048674 /nfs/dbraw/zinc/04/86/74/327048674.db2.gz WCJQXTHLBFBKMF-UHFFFAOYSA-N 1 2 280.371 3.949 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccn1-c1ccccc1)c1ccoc1 ZINC000558126471 327075199 /nfs/dbraw/zinc/07/51/99/327075199.db2.gz SKHKVJPHVLSDFP-KGLIPLIRSA-N 1 2 281.359 3.877 20 0 CHADLO CCc1cnc(C[NH2+][C@]2(c3ccc(Cl)cc3)C[C@H]2C)o1 ZINC000558627753 327111121 /nfs/dbraw/zinc/11/11/21/327111121.db2.gz VVZUGPWMAKAENN-BDJLRTHQSA-N 1 2 290.794 3.915 20 0 CHADLO FC(F)C1([NH2+][C@@H]2CCc3c2cccc3Cl)CC1 ZINC000558635169 327111375 /nfs/dbraw/zinc/11/13/75/327111375.db2.gz MHWPUGIMPAYUMD-LLVKDONJSA-N 1 2 257.711 3.715 20 0 CHADLO CC(C)(C)OC(=O)[C@@H]1CCC[N@@H+]1C/C=C\c1ccccc1 ZINC000313292446 327112728 /nfs/dbraw/zinc/11/27/28/327112728.db2.gz UJCURWUCHNDAON-UBAGTECSSA-N 1 2 287.403 3.506 20 0 CHADLO CC(C)(C)OC(=O)[C@@H]1CCC[N@H+]1C/C=C\c1ccccc1 ZINC000313292446 327112730 /nfs/dbraw/zinc/11/27/30/327112730.db2.gz UJCURWUCHNDAON-UBAGTECSSA-N 1 2 287.403 3.506 20 0 CHADLO C[C@H](c1ccccc1F)[NH+]1CCN(Cc2ccccc2)CC1 ZINC000558737456 327118528 /nfs/dbraw/zinc/11/85/28/327118528.db2.gz BVCBLXHSRFQLKM-MRXNPFEDSA-N 1 2 298.405 3.705 20 0 CHADLO Cc1cc(N2CC3(C2)CCCCC3)nc(C(C)C)[nH+]1 ZINC000558952896 327134123 /nfs/dbraw/zinc/13/41/23/327134123.db2.gz CFQMXPXLEYPXEJ-UHFFFAOYSA-N 1 2 259.397 3.679 20 0 CHADLO COc1c(C)c[nH+]c(CSCCOCC(C)C)c1C ZINC000409850997 327166456 /nfs/dbraw/zinc/16/64/56/327166456.db2.gz XJVXGSGWPHQFJK-UHFFFAOYSA-N 1 2 283.437 3.613 20 0 CHADLO CC[C@@H](C)n1ncc(C(=O)Nc2cc[nH+]cc2C)c1C1CC1 ZINC000089803249 327173660 /nfs/dbraw/zinc/17/36/60/327173660.db2.gz SQXHDXSQWYZZDJ-GFCCVEGCSA-N 1 2 298.390 3.687 20 0 CHADLO OC[C@@H](Nc1cc[nH+]c2c(Cl)cccc12)C1CCCC1 ZINC000569518766 327188937 /nfs/dbraw/zinc/18/89/37/327188937.db2.gz UGXBQOPYHBSIKU-OAHLLOKOSA-N 1 2 290.794 3.851 20 0 CHADLO CCc1cc(OCc2nc(C3CC3)no2)c2ccccc2[nH+]1 ZINC000092333874 327192376 /nfs/dbraw/zinc/19/23/76/327192376.db2.gz JLWAPEIGROBPHM-UHFFFAOYSA-N 1 2 295.342 3.637 20 0 CHADLO CCCC(=CC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1)CCC ZINC000092367022 327193094 /nfs/dbraw/zinc/19/30/94/327193094.db2.gz URJJBUXLVTUCMN-HNNXBMFYSA-N 1 2 289.423 3.990 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2c(F)cccc2F)cc1F ZINC000559366321 327196324 /nfs/dbraw/zinc/19/63/24/327196324.db2.gz AYOGKDAKPNALHR-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2c(F)cccc2F)cc1F ZINC000559366321 327196326 /nfs/dbraw/zinc/19/63/26/327196326.db2.gz AYOGKDAKPNALHR-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO C[C@@H](C[N@@H+]1CCC[C@@H]1C(F)F)c1ccc(F)cc1 ZINC000584259293 327202939 /nfs/dbraw/zinc/20/29/39/327202939.db2.gz HCICGBLXVRTXAJ-GXFFZTMASA-N 1 2 257.299 3.659 20 0 CHADLO C[C@@H](C[N@H+]1CCC[C@@H]1C(F)F)c1ccc(F)cc1 ZINC000584259293 327202941 /nfs/dbraw/zinc/20/29/41/327202941.db2.gz HCICGBLXVRTXAJ-GXFFZTMASA-N 1 2 257.299 3.659 20 0 CHADLO COc1ccccc1[C@@H]([NH2+]Cc1cccn1C)c1ccco1 ZINC000151110888 327210168 /nfs/dbraw/zinc/21/01/68/327210168.db2.gz ULJUAYPLYCBJBK-GOSISDBHSA-N 1 2 296.370 3.506 20 0 CHADLO CC(C)[N@@H+](Cc1cccc(OC(F)F)c1)CC(F)F ZINC000178558482 327290516 /nfs/dbraw/zinc/29/05/16/327290516.db2.gz QZBRRMGJBDXVSH-UHFFFAOYSA-N 1 2 279.277 3.764 20 0 CHADLO CC(C)[N@H+](Cc1cccc(OC(F)F)c1)CC(F)F ZINC000178558482 327290517 /nfs/dbraw/zinc/29/05/17/327290517.db2.gz QZBRRMGJBDXVSH-UHFFFAOYSA-N 1 2 279.277 3.764 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)c(Cl)c1 ZINC000560628509 327332429 /nfs/dbraw/zinc/33/24/29/327332429.db2.gz DXFSTGXKDXBHOW-HZPDHXFCSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)c(Cl)c1 ZINC000560628509 327332430 /nfs/dbraw/zinc/33/24/30/327332430.db2.gz DXFSTGXKDXBHOW-HZPDHXFCSA-N 1 2 295.785 3.623 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3cccc(F)c3[C@@H]2C)s1 ZINC000560840878 327347203 /nfs/dbraw/zinc/34/72/03/327347203.db2.gz KMGXFVXWLJQFIW-NSHDSACASA-N 1 2 276.380 3.710 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3cccc(F)c3[C@@H]2C)s1 ZINC000560840878 327347204 /nfs/dbraw/zinc/34/72/04/327347204.db2.gz KMGXFVXWLJQFIW-NSHDSACASA-N 1 2 276.380 3.710 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nnc(C(C)C)[nH]2)c(C)c1 ZINC000428473209 327387232 /nfs/dbraw/zinc/38/72/32/327387232.db2.gz LQUZWPWAWKHWDM-CQSZACIVSA-N 1 2 286.423 3.738 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nnc(C(C)C)[nH]2)c(C)c1 ZINC000428473209 327387233 /nfs/dbraw/zinc/38/72/33/327387233.db2.gz LQUZWPWAWKHWDM-CQSZACIVSA-N 1 2 286.423 3.738 20 0 CHADLO CC[C@H]([NH2+][C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C)C(=O)OC ZINC000561371995 327389733 /nfs/dbraw/zinc/38/97/33/327389733.db2.gz YILVTFMCQTYURU-FBUXBERBSA-N 1 2 295.810 3.578 20 0 CHADLO CC(C)(C)c1cc(N[C@H]2C[C@@H]2C(F)F)nc(C(C)(C)C)[nH+]1 ZINC000561438399 327395119 /nfs/dbraw/zinc/39/51/19/327395119.db2.gz LYHVPTGAVHMKPQ-UWVGGRQHSA-N 1 2 297.393 3.559 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(SC(C)C)cc1)C(=O)OC ZINC000579607662 327395252 /nfs/dbraw/zinc/39/52/52/327395252.db2.gz MVXPAHOSTBEZGF-IUODEOHRSA-N 1 2 295.448 3.789 20 0 CHADLO COc1ccc(C[NH2+]Cc2c(F)cccc2Cl)c(F)c1 ZINC000561525977 327400741 /nfs/dbraw/zinc/40/07/41/327400741.db2.gz KIPKMDHMTXYBRM-UHFFFAOYSA-N 1 2 297.732 3.917 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@H]2CCCC[C@@H]2C)c[nH+]1 ZINC000562638771 327501321 /nfs/dbraw/zinc/50/13/21/327501321.db2.gz HBKKMDABFNPELP-SWLSCSKDSA-N 1 2 274.408 3.531 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2ccc(Cl)cc21)c1csnn1 ZINC000562735978 327510520 /nfs/dbraw/zinc/51/05/20/327510520.db2.gz CHWNXVSUISIUPO-ZANVPECISA-N 1 2 293.823 3.920 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@H](C)c1ccco1)c1ccco1 ZINC000152614171 327563108 /nfs/dbraw/zinc/56/31/08/327563108.db2.gz MZMBTZSJGJAEPC-ZIAGYGMSSA-N 1 2 277.364 3.937 20 0 CHADLO Cc1cccn2c(CNc3ncc(C(C)C)s3)c[nH+]c12 ZINC000185984977 327595223 /nfs/dbraw/zinc/59/52/23/327595223.db2.gz CLILSFWITIDYCK-UHFFFAOYSA-N 1 2 286.404 3.835 20 0 CHADLO Cc1[nH+]c2ccccn2c1CN1CCCc2ccccc21 ZINC000507610610 332816229 /nfs/dbraw/zinc/81/62/29/332816229.db2.gz KRUQOGPIYWRVDR-UHFFFAOYSA-N 1 2 277.371 3.596 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+]CCCC(C)C ZINC000526123485 328750981 /nfs/dbraw/zinc/75/09/81/328750981.db2.gz WDVDHTODCPTWSR-UHFFFAOYSA-N 1 2 276.424 3.680 20 0 CHADLO CCC[C@](C)([NH2+]C[C@H](C)c1cccc(Cl)c1)C(=O)OC ZINC000526124046 328751959 /nfs/dbraw/zinc/75/19/59/328751959.db2.gz HVKRVISCFZXTHU-LRDDRELGSA-N 1 2 297.826 3.765 20 0 CHADLO CN(Cc1ccc(-n2cc[nH+]c2)cc1)c1ccc(F)c(F)c1 ZINC000532486765 328783750 /nfs/dbraw/zinc/78/37/50/328783750.db2.gz QNOXOAODGMDTRV-UHFFFAOYSA-N 1 2 299.324 3.787 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2ccc(Cl)cc2Cl)nn1 ZINC000396554190 328824249 /nfs/dbraw/zinc/82/42/49/328824249.db2.gz PXOAHWBFFFLIIT-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO Cc1cc(NC[C@@H](c2ccccc2)C(F)(F)F)nc[nH+]1 ZINC000302493300 328832071 /nfs/dbraw/zinc/83/20/71/328832071.db2.gz TTZIUOAJHGOSST-LBPRGKRZSA-N 1 2 281.281 3.543 20 0 CHADLO CC(C)Oc1ccc([C@@H]2CCC[C@H]2Nc2c[nH]c[nH+]2)cc1 ZINC000564537638 328835409 /nfs/dbraw/zinc/83/54/09/328835409.db2.gz ZDYMBCGAPSYANY-JKSUJKDBSA-N 1 2 285.391 3.945 20 0 CHADLO Cc1ccc([C@@H]([NH3+])c2nc(C3CCCCCC3)no2)cc1 ZINC000567234265 328838057 /nfs/dbraw/zinc/83/80/57/328838057.db2.gz QRNMSZJBTIXGRB-OAHLLOKOSA-N 1 2 285.391 3.864 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@H](C)C(C)(F)F ZINC000389462560 328848321 /nfs/dbraw/zinc/84/83/21/328848321.db2.gz HFAHPMHJTBRMKG-WDEREUQCSA-N 1 2 257.324 3.780 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(Br)c1)C(C)(F)F ZINC000389478030 328850389 /nfs/dbraw/zinc/85/03/89/328850389.db2.gz QWMNIJFUFQCPMT-ZCFIWIBFSA-N 1 2 284.169 3.644 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc3[nH+]ccn32)cc1Cl ZINC000358779442 328894673 /nfs/dbraw/zinc/89/46/73/328894673.db2.gz BCZMPPARNDIBAW-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO CC(C)c1ccsc1C(=O)Nc1cccc2[nH+]ccn21 ZINC000358783710 328894871 /nfs/dbraw/zinc/89/48/71/328894871.db2.gz SHHZEPFYZHBLSD-UHFFFAOYSA-N 1 2 285.372 3.772 20 0 CHADLO C[C@H](CC(=O)Nc1cccc2[nH+]ccn21)c1ccccc1F ZINC000358787697 328895213 /nfs/dbraw/zinc/89/52/13/328895213.db2.gz FAAVXIGUDSELRL-GFCCVEGCSA-N 1 2 297.333 3.606 20 0 CHADLO C[C@@H](Cc1ccccc1F)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000389720360 328950741 /nfs/dbraw/zinc/95/07/41/328950741.db2.gz JYEBEZBUCBJULX-AWEZNQCLSA-N 1 2 299.393 3.864 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@H]1CCc2[nH+]ccn2C1 ZINC000377464162 329015710 /nfs/dbraw/zinc/01/57/10/329015710.db2.gz IXEYULIUCSHQEK-AWEZNQCLSA-N 1 2 297.402 3.516 20 0 CHADLO c1nc([C@@H]2CCC[N@H+]2Cc2ccc3ccccc3c2)no1 ZINC000377493557 329016677 /nfs/dbraw/zinc/01/66/77/329016677.db2.gz GUBBFCNRDDQWBH-INIZCTEOSA-N 1 2 279.343 3.560 20 0 CHADLO c1nc([C@@H]2CCC[N@@H+]2Cc2ccc3ccccc3c2)no1 ZINC000377493557 329016679 /nfs/dbraw/zinc/01/66/79/329016679.db2.gz GUBBFCNRDDQWBH-INIZCTEOSA-N 1 2 279.343 3.560 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1Cl)[N@@H+]1C[C@H]2CCCC[C@H]21 ZINC000377728278 329027216 /nfs/dbraw/zinc/02/72/16/329027216.db2.gz WCXBUVXPHKLNRR-LALPHHSUSA-N 1 2 292.810 3.541 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1Cl)[N@H+]1C[C@H]2CCCC[C@H]21 ZINC000377728278 329027218 /nfs/dbraw/zinc/02/72/18/329027218.db2.gz WCXBUVXPHKLNRR-LALPHHSUSA-N 1 2 292.810 3.541 20 0 CHADLO COc1ccccc1C[NH2+]Cc1ncoc1-c1ccccc1 ZINC000360034748 329070055 /nfs/dbraw/zinc/07/00/55/329070055.db2.gz FIDJRWXSKNUEKR-UHFFFAOYSA-N 1 2 294.354 3.640 20 0 CHADLO CCn1cc(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)cn1 ZINC000360072469 329071243 /nfs/dbraw/zinc/07/12/43/329071243.db2.gz CHJSMCUDFGKJQB-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cc(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)cn1 ZINC000360072469 329071244 /nfs/dbraw/zinc/07/12/44/329071244.db2.gz CHJSMCUDFGKJQB-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CC(C)CCc1nc(C[N@@H+]2CCS[C@H](C)C2)cs1 ZINC000170901294 329071792 /nfs/dbraw/zinc/07/17/92/329071792.db2.gz LAYUGJNOKHQPFM-GFCCVEGCSA-N 1 2 284.494 3.669 20 0 CHADLO CC(C)CCc1nc(C[N@H+]2CCS[C@H](C)C2)cs1 ZINC000170901294 329071793 /nfs/dbraw/zinc/07/17/93/329071793.db2.gz LAYUGJNOKHQPFM-GFCCVEGCSA-N 1 2 284.494 3.669 20 0 CHADLO CC[C@H]1CN(Cc2[nH+]ccn2C(C)C)c2ccccc2O1 ZINC000173611370 329149797 /nfs/dbraw/zinc/14/97/97/329149797.db2.gz LEGKVZMVTOWLIS-AWEZNQCLSA-N 1 2 285.391 3.642 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cc2ccccc2o1 ZINC000175186013 329166216 /nfs/dbraw/zinc/16/62/16/329166216.db2.gz FDNYUWAAGVSRHN-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cc2ccccc2o1 ZINC000175186013 329166217 /nfs/dbraw/zinc/16/62/17/329166217.db2.gz FDNYUWAAGVSRHN-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2cccc(C)c2)s1 ZINC000175504636 329169175 /nfs/dbraw/zinc/16/91/75/329169175.db2.gz MWSVHMSUWSIUNI-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2cccc(C)c2)s1 ZINC000175504636 329169176 /nfs/dbraw/zinc/16/91/76/329169176.db2.gz MWSVHMSUWSIUNI-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2C[C@H]2C2CCC2)c(C)[nH+]1 ZINC000514669358 329175314 /nfs/dbraw/zinc/17/53/14/329175314.db2.gz FJNGRSPMCLPAKN-GJZGRUSLSA-N 1 2 287.407 3.565 20 0 CHADLO CSc1ccc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)cc1 ZINC000177689268 329189171 /nfs/dbraw/zinc/18/91/71/329189171.db2.gz JOQSDXKLNQSMLK-MRXNPFEDSA-N 1 2 289.400 3.575 20 0 CHADLO CSc1ccc(C[N@H+]2CCO[C@@H](c3ccco3)C2)cc1 ZINC000177689268 329189172 /nfs/dbraw/zinc/18/91/72/329189172.db2.gz JOQSDXKLNQSMLK-MRXNPFEDSA-N 1 2 289.400 3.575 20 0 CHADLO Cc1ccc(N[C@@H](C)c2[nH+]ccn2C)cc1-c1ncco1 ZINC000180006386 329214312 /nfs/dbraw/zinc/21/43/12/329214312.db2.gz XSZAZCXFJIFDPN-LBPRGKRZSA-N 1 2 282.347 3.557 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2ccc(F)cc2)n1)c1ccccn1 ZINC000181810276 329237319 /nfs/dbraw/zinc/23/73/19/329237319.db2.gz WHMGYIGPSXJKTJ-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(-c2ccccc2F)o1)c1ccccn1 ZINC000181789016 329237435 /nfs/dbraw/zinc/23/74/35/329237435.db2.gz FAYHEHWOBNXDKT-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1ccc(C[C@H](C)C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000181820621 329237720 /nfs/dbraw/zinc/23/77/20/329237720.db2.gz MYHLIENNGYPMNU-ZDUSSCGKSA-N 1 2 268.360 3.516 20 0 CHADLO CCC[C@H]1C[C@H](C(=O)Nc2c(C)cc(C)[nH+]c2C)CCO1 ZINC000182787737 329244701 /nfs/dbraw/zinc/24/47/01/329244701.db2.gz XGKLXEHWXCQIFO-CABCVRRESA-N 1 2 290.407 3.541 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@H](C)[C@@H]3CCCC[C@@H]32)c(C)[nH+]1 ZINC000183208230 329248592 /nfs/dbraw/zinc/24/85/92/329248592.db2.gz DYJJBTVINUSCML-PJODQICGSA-N 1 2 287.407 3.741 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000183255062 329248857 /nfs/dbraw/zinc/24/88/57/329248857.db2.gz SLPAQQGLBUDHAR-NWDGAFQWSA-N 1 2 289.354 3.632 20 0 CHADLO CCOC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(C(F)F)cc1 ZINC000183923770 329254503 /nfs/dbraw/zinc/25/45/03/329254503.db2.gz PPGIYIATJCXKBX-AWEZNQCLSA-N 1 2 297.345 3.542 20 0 CHADLO CCOC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(C(F)F)cc1 ZINC000183923770 329254504 /nfs/dbraw/zinc/25/45/04/329254504.db2.gz PPGIYIATJCXKBX-AWEZNQCLSA-N 1 2 297.345 3.542 20 0 CHADLO CCCCc1noc(CSCc2ccc(C)[nH+]c2C)n1 ZINC000184815090 329262792 /nfs/dbraw/zinc/26/27/92/329262792.db2.gz QJXYJLHNDUNJJZ-UHFFFAOYSA-N 1 2 291.420 3.857 20 0 CHADLO Oc1cccc(SCc2[nH+]ccn2Cc2ccccc2)c1 ZINC000185659344 329328505 /nfs/dbraw/zinc/32/85/05/329328505.db2.gz ACXQRXQJVJFIGW-UHFFFAOYSA-N 1 2 296.395 3.929 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(F)c(Br)c2)c1 ZINC000227603625 329328623 /nfs/dbraw/zinc/32/86/23/329328623.db2.gz YPDPWEYBSRIIMH-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO COc1cccc(SCc2ccc(C)[nH+]c2C)c1 ZINC000186577363 329345761 /nfs/dbraw/zinc/34/57/61/329345761.db2.gz SWBRYTVPBOMRAD-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO COCC1(C)C[NH+](Cc2csc(Cl)c2Cl)C1 ZINC000459621880 329347586 /nfs/dbraw/zinc/34/75/86/329347586.db2.gz MGKKZRAACKYFCC-UHFFFAOYSA-N 1 2 280.220 3.523 20 0 CHADLO Cc1ccc(C[S@@](=O)[C@H](C)c2ccccc2F)c(C)[nH+]1 ZINC000187071162 329352191 /nfs/dbraw/zinc/35/21/91/329352191.db2.gz DECKZHHACCHLLO-ZUOKHONESA-N 1 2 291.391 3.847 20 0 CHADLO Clc1cnc(C[N@@H+]2CCCC3(CC3)C2)c(Cl)c1 ZINC000186988704 329352886 /nfs/dbraw/zinc/35/28/86/329352886.db2.gz JXYBRXWBTUZXKR-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO Clc1cnc(C[N@H+]2CCCC3(CC3)C2)c(Cl)c1 ZINC000186988704 329352888 /nfs/dbraw/zinc/35/28/88/329352888.db2.gz JXYBRXWBTUZXKR-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)c(C)n1 ZINC000187240587 329355721 /nfs/dbraw/zinc/35/57/21/329355721.db2.gz JLOCEQKTFCFPST-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3[nH]c4ccccc4c3C2)c(C)n1 ZINC000187240587 329355722 /nfs/dbraw/zinc/35/57/22/329355722.db2.gz JLOCEQKTFCFPST-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO CCC1(CC)CCN(C(=O)Nc2cc[nH+]c(C)c2)CC1 ZINC000187374151 329356935 /nfs/dbraw/zinc/35/69/35/329356935.db2.gz WKIWBQIYBJVACM-UHFFFAOYSA-N 1 2 275.396 3.824 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3cccc(F)c3C2)cc(C)c1O ZINC000459713148 329366564 /nfs/dbraw/zinc/36/65/64/329366564.db2.gz NQLXYYQXQIIIKC-UHFFFAOYSA-N 1 2 271.335 3.664 20 0 CHADLO Cc1cc(C[N@H+]2Cc3cccc(F)c3C2)cc(C)c1O ZINC000459713148 329366565 /nfs/dbraw/zinc/36/65/65/329366565.db2.gz NQLXYYQXQIIIKC-UHFFFAOYSA-N 1 2 271.335 3.664 20 0 CHADLO Cc1cncc(C[N@@H+]2Cc3ccc(Cl)cc3C2)c1 ZINC000459768297 329387521 /nfs/dbraw/zinc/38/75/21/329387521.db2.gz QWJQCGDETMZNQU-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1cncc(C[N@H+]2Cc3ccc(Cl)cc3C2)c1 ZINC000459768297 329387522 /nfs/dbraw/zinc/38/75/22/329387522.db2.gz QWJQCGDETMZNQU-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2ccccc2F)cs1 ZINC000189813319 329389186 /nfs/dbraw/zinc/38/91/86/329389186.db2.gz ZQYCYEIHPLKEHI-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2ccccc2F)cs1 ZINC000189813319 329389187 /nfs/dbraw/zinc/38/91/87/329389187.db2.gz ZQYCYEIHPLKEHI-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Fc1ccc([C@H]2C[N@H+](Cc3cccs3)CCO2)c(F)c1 ZINC000190134112 329392531 /nfs/dbraw/zinc/39/25/31/329392531.db2.gz SFRRRPCQNXJQEO-OAHLLOKOSA-N 1 2 295.354 3.600 20 0 CHADLO Fc1ccc([C@H]2C[N@@H+](Cc3cccs3)CCO2)c(F)c1 ZINC000190134112 329392533 /nfs/dbraw/zinc/39/25/33/329392533.db2.gz SFRRRPCQNXJQEO-OAHLLOKOSA-N 1 2 295.354 3.600 20 0 CHADLO C[C@H]([NH2+]Cc1ncccn1)c1ccc(-c2ccccc2)cc1 ZINC000192147013 329429450 /nfs/dbraw/zinc/42/94/50/329429450.db2.gz RFPKKCSNSZZGQQ-HNNXBMFYSA-N 1 2 289.382 3.994 20 0 CHADLO COc1ccc2ccccc2c1CNc1cc[nH+]cc1F ZINC000192150904 329429499 /nfs/dbraw/zinc/42/94/99/329429499.db2.gz QMWBYUZCBACIPQ-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO CCOc1ccccc1[C@H](CC(C)C)[NH2+]Cc1ncccn1 ZINC000192161016 329430021 /nfs/dbraw/zinc/43/00/21/329430021.db2.gz UMGAEFOZVYTUJP-INIZCTEOSA-N 1 2 299.418 3.752 20 0 CHADLO CC[C@H]1CC[C@H]1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000583830672 329484665 /nfs/dbraw/zinc/48/46/65/329484665.db2.gz NAYQHNDCDKQXEF-DZGCQCFKSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1noc(C[NH+](Cc2ccccc2)Cc2ccccc2)n1 ZINC000042119149 329570792 /nfs/dbraw/zinc/57/07/92/329570792.db2.gz VDPNBQAJRLMBDU-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO C[C@@H]1CCN(C(=O)CCCC(C)(C)C)C[C@H]1n1cc[nH+]c1 ZINC000125758968 329586932 /nfs/dbraw/zinc/58/69/32/329586932.db2.gz SXEFPGVHCPFTIW-HUUCEWRRSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(F)c(Cl)c1 ZINC000129013148 329613686 /nfs/dbraw/zinc/61/36/86/329613686.db2.gz MYTLTAPPOMQIBW-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccccc2F)s1 ZINC000130395299 329624614 /nfs/dbraw/zinc/62/46/14/329624614.db2.gz IRUIFUDNMMVQTO-SNVBAGLBSA-N 1 2 264.369 3.695 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccccc2F)s1 ZINC000130395100 329624661 /nfs/dbraw/zinc/62/46/61/329624661.db2.gz IRUIFUDNMMVQTO-JTQLQIEISA-N 1 2 264.369 3.695 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccc(F)cc2F)s1 ZINC000134909572 329661528 /nfs/dbraw/zinc/66/15/28/329661528.db2.gz BQCBNFWKRCGHEK-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO Clc1ccc(N[C@@H](c2[nH]cc[nH+]2)c2cccnc2)cc1 ZINC000195099036 329690387 /nfs/dbraw/zinc/69/03/87/329690387.db2.gz RAUGPPDOBSIEBX-CQSZACIVSA-N 1 2 284.750 3.660 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@@H](C)c1c(C)cccc1C ZINC000509610441 332875312 /nfs/dbraw/zinc/87/53/12/332875312.db2.gz WBISRHQNKMFPKN-LSDHHAIUSA-N 1 2 277.408 3.542 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@H](C)c1ccc(C2CC2)cc1 ZINC000419348651 329719029 /nfs/dbraw/zinc/71/90/29/329719029.db2.gz XVOYVQMVYXGWTK-GFCCVEGCSA-N 1 2 299.370 3.794 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSC[C@@H]1CCOC1 ZINC000419488206 329736881 /nfs/dbraw/zinc/73/68/81/329736881.db2.gz CAECIIOMDNMBOH-CYBMUJFWSA-N 1 2 265.422 3.783 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSCCc1cn[nH]c1 ZINC000419488154 329736965 /nfs/dbraw/zinc/73/69/65/329736965.db2.gz BYOHCGRWAQMZGJ-UHFFFAOYSA-N 1 2 275.421 3.712 20 0 CHADLO CC[C@H]1CCC[C@H]1c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420512897 329773206 /nfs/dbraw/zinc/77/32/06/329773206.db2.gz SPOGBUSITMKIHT-GXTWGEPZSA-N 1 2 271.364 3.658 20 0 CHADLO FC(F)(F)C[C@H]1CCCN(c2cc[nH+]c(C3CC3)n2)C1 ZINC000420618473 329779329 /nfs/dbraw/zinc/77/93/29/329779329.db2.gz DDKFMBFSGCPWGE-SNVBAGLBSA-N 1 2 285.313 3.523 20 0 CHADLO Cc1cccc2[nH+]cc(CNc3cccc(N(C)C)c3C)n21 ZINC000421338086 329813659 /nfs/dbraw/zinc/81/36/59/329813659.db2.gz PLYDXYKOXRSMPQ-UHFFFAOYSA-N 1 2 294.402 3.629 20 0 CHADLO CCC[C@@H]1CCC[C@@H]1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421576038 329842981 /nfs/dbraw/zinc/84/29/81/329842981.db2.gz LNCWHUJCZIMJNF-CVEARBPZSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1ccc(CCCC(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421575347 329843112 /nfs/dbraw/zinc/84/31/12/329843112.db2.gz JTSFDUFBSBKLFP-UHFFFAOYSA-N 1 2 296.414 3.646 20 0 CHADLO Cc1cc(CNC(=O)C[C@@H]2C[C@H]2c2ccccc2)cc(C)[nH+]1 ZINC000421576488 329843134 /nfs/dbraw/zinc/84/31/34/329843134.db2.gz MWIDJZZRMYEWNC-ROUUACIJSA-N 1 2 294.398 3.508 20 0 CHADLO Cc1cc(CNC(=O)CCCC2CCCC2)cc(C)[nH+]1 ZINC000421579210 329843377 /nfs/dbraw/zinc/84/33/77/329843377.db2.gz SZEPWQDHEBUYLO-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO Cc1cc(CNC(=O)CCCCC(C)(C)C)cc(C)[nH+]1 ZINC000421580050 329843530 /nfs/dbraw/zinc/84/35/30/329843530.db2.gz VKWJMOHOIWCPRR-UHFFFAOYSA-N 1 2 276.424 3.921 20 0 CHADLO Cc1cc(CNC(=O)c2ccc(Cl)c(C)c2)cc(C)[nH+]1 ZINC000421574575 329848255 /nfs/dbraw/zinc/84/82/55/329848255.db2.gz GQXYYIIBJCEGTE-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO c1nc(C[NH+]2CCC(c3nc4ccccc4o3)CC2)cs1 ZINC000067130776 329850476 /nfs/dbraw/zinc/85/04/76/329850476.db2.gz HOWHFNXTQVYIAV-UHFFFAOYSA-N 1 2 299.399 3.664 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2ncc[nH]2)c2ccccc2)cc1 ZINC000067666842 329851239 /nfs/dbraw/zinc/85/12/39/329851239.db2.gz RRAYBQYMLPRERK-GOSISDBHSA-N 1 2 277.371 3.597 20 0 CHADLO c1cn(Cc2ccccc2)c(CSc2ccccn2)[nH+]1 ZINC000071854858 329857054 /nfs/dbraw/zinc/85/70/54/329857054.db2.gz ORIVIVHCHYUIFZ-UHFFFAOYSA-N 1 2 281.384 3.619 20 0 CHADLO c1coc(CSCc2[nH+]ccn2Cc2ccccc2)c1 ZINC000074259609 329863766 /nfs/dbraw/zinc/86/37/66/329863766.db2.gz QDBZOYXTEJZMCJ-UHFFFAOYSA-N 1 2 284.384 3.958 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@H](C)[C@H]3CCCC[C@H]32)c(C)[nH+]1 ZINC000248411847 329892602 /nfs/dbraw/zinc/89/26/02/329892602.db2.gz DYJJBTVINUSCML-SGIREYDYSA-N 1 2 287.407 3.741 20 0 CHADLO CC[C@H]([NH2+]Cc1nn(C)cc1Cl)c1cccc(Cl)c1 ZINC000393319267 329915543 /nfs/dbraw/zinc/91/55/43/329915543.db2.gz DNOLSYCJFUCGIS-ZDUSSCGKSA-N 1 2 298.217 3.968 20 0 CHADLO CC[C@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(Cl)cc1 ZINC000393328461 329916788 /nfs/dbraw/zinc/91/67/88/329916788.db2.gz OKGSJODPWPZVNK-ZDUSSCGKSA-N 1 2 298.217 3.968 20 0 CHADLO Cc1c2ccccc2nc2c1CN(c1cccc[nH+]1)CC2 ZINC000077279186 329922519 /nfs/dbraw/zinc/92/25/19/329922519.db2.gz ZMZNLCIBOSWWDS-UHFFFAOYSA-N 1 2 275.355 3.501 20 0 CHADLO COC1(CCSCCc2[nH+]cc(C)cc2C)CCC1 ZINC000572363883 330004723 /nfs/dbraw/zinc/00/47/23/330004723.db2.gz KGPWOAWKBYQITK-UHFFFAOYSA-N 1 2 279.449 3.933 20 0 CHADLO COC[C@H](C)[N@H+](C)Cc1csc(Cl)c1Cl ZINC000432742887 330014596 /nfs/dbraw/zinc/01/45/96/330014596.db2.gz VWERYDHEPOTSES-ZETCQYMHSA-N 1 2 268.209 3.522 20 0 CHADLO COC[C@H](C)[N@@H+](C)Cc1csc(Cl)c1Cl ZINC000432742887 330014597 /nfs/dbraw/zinc/01/45/97/330014597.db2.gz VWERYDHEPOTSES-ZETCQYMHSA-N 1 2 268.209 3.522 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2cscn2)c(C)o1 ZINC000162396270 330016960 /nfs/dbraw/zinc/01/69/60/330016960.db2.gz ZXOUIVXXQVCGTG-ZJUUUORDSA-N 1 2 250.367 3.765 20 0 CHADLO C[C@@H]1CCCC[C@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000572393605 330058871 /nfs/dbraw/zinc/05/88/71/330058871.db2.gz PYEPSRFZKYPWDT-IUODEOHRSA-N 1 2 283.375 3.842 20 0 CHADLO COc1cc(-c2ccccc2)ccc1CNc1c[nH]c[nH+]1 ZINC000572444663 330088239 /nfs/dbraw/zinc/08/82/39/330088239.db2.gz TWHUGXSROHKTPZ-UHFFFAOYSA-N 1 2 279.343 3.697 20 0 CHADLO Fc1ccc(N[C@H]2CCc3ccc[nH+]c3CC2)cc1 ZINC000421835154 330091848 /nfs/dbraw/zinc/09/18/48/330091848.db2.gz MDVYAVYTUHLRAV-AWEZNQCLSA-N 1 2 256.324 3.580 20 0 CHADLO COc1cc(C)ccc1N[C@H]1CCc2ccc[nH+]c2CC1 ZINC000421841333 330093589 /nfs/dbraw/zinc/09/35/89/330093589.db2.gz KFYBQHBZVPRODD-HNNXBMFYSA-N 1 2 282.387 3.758 20 0 CHADLO Cn1c[nH+]cc1CSc1ccc2c(c1)CCCC2 ZINC000421842121 330093940 /nfs/dbraw/zinc/09/39/40/330093940.db2.gz MQLCPUKDYNCEGE-UHFFFAOYSA-N 1 2 258.390 3.591 20 0 CHADLO CC[C@@H](C)n1ncc(N[C@H]2CCc3ccc[nH+]c3CC2)c1C ZINC000421846207 330095108 /nfs/dbraw/zinc/09/51/08/330095108.db2.gz YYCIYBURZZDQDS-CJNGLKHVSA-N 1 2 298.434 3.917 20 0 CHADLO COc1cccc(CSCCc2cc[nH+]cc2C)c1 ZINC000421846649 330095281 /nfs/dbraw/zinc/09/52/81/330095281.db2.gz UBKRZYQLQMTGJV-UHFFFAOYSA-N 1 2 273.401 3.875 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2c(F)cccc2F)n1 ZINC000080271911 330116242 /nfs/dbraw/zinc/11/62/42/330116242.db2.gz NWDSSIBGFZCOGM-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO C[N@H+](Cc1cc(C(C)(C)C)on1)Cc1ccc(F)c(F)c1 ZINC000429732949 330135071 /nfs/dbraw/zinc/13/50/71/330135071.db2.gz ZOKGRBAEAJGVTI-UHFFFAOYSA-N 1 2 294.345 3.882 20 0 CHADLO C[N@@H+](Cc1cc(C(C)(C)C)on1)Cc1ccc(F)c(F)c1 ZINC000429732949 330135072 /nfs/dbraw/zinc/13/50/72/330135072.db2.gz ZOKGRBAEAJGVTI-UHFFFAOYSA-N 1 2 294.345 3.882 20 0 CHADLO CCc1nc(C[N@H+](C)C[C@H]2CCC3(CCCC3)O2)cs1 ZINC000580454462 330137886 /nfs/dbraw/zinc/13/78/86/330137886.db2.gz KSJFEKFGRSSRHP-CQSZACIVSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1nc(C[N@@H+](C)C[C@H]2CCC3(CCCC3)O2)cs1 ZINC000580454462 330137888 /nfs/dbraw/zinc/13/78/88/330137888.db2.gz KSJFEKFGRSSRHP-CQSZACIVSA-N 1 2 294.464 3.629 20 0 CHADLO C[C@H]1c2nnc(C3CCCC3)n2CC[N@H+]1CC1(C)CCC1 ZINC000433183744 330174904 /nfs/dbraw/zinc/17/49/04/330174904.db2.gz VNSXZJONJRDTOP-ZDUSSCGKSA-N 1 2 288.439 3.503 20 0 CHADLO C[C@H]1c2nnc(C3CCCC3)n2CC[N@@H+]1CC1(C)CCC1 ZINC000433183744 330174905 /nfs/dbraw/zinc/17/49/05/330174905.db2.gz VNSXZJONJRDTOP-ZDUSSCGKSA-N 1 2 288.439 3.503 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1cccc(F)c1 ZINC000423212313 330178105 /nfs/dbraw/zinc/17/81/05/330178105.db2.gz IBYGFHXMCILZTE-LLVKDONJSA-N 1 2 256.324 3.967 20 0 CHADLO COc1cccc2c1CC[C@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423214632 330178255 /nfs/dbraw/zinc/17/82/55/330178255.db2.gz LNOUKAJTYOIJTC-QGZVFWFLSA-N 1 2 280.371 3.763 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)cc1OC ZINC000423220804 330179260 /nfs/dbraw/zinc/17/92/60/330179260.db2.gz VUNFTLWLRPQGMX-GFCCVEGCSA-N 1 2 298.386 3.845 20 0 CHADLO COc1ccc2c(c1)[C@@H](Nc1ccc3c(c1)CC[NH2+]3)CC2 ZINC000423220816 330179304 /nfs/dbraw/zinc/17/93/04/330179304.db2.gz VVPCZSORALKQOU-SFHVURJKSA-N 1 2 280.371 3.763 20 0 CHADLO Cc1sc2ncnc(NCc3c[nH+]c(C)cc3C)c2c1C ZINC000396973334 330232924 /nfs/dbraw/zinc/23/29/24/330232924.db2.gz IDZDZOBQGONGBD-UHFFFAOYSA-N 1 2 298.415 3.932 20 0 CHADLO CSc1ccc(NCCCc2ccc(C)[nH+]c2)cn1 ZINC000527233131 330239586 /nfs/dbraw/zinc/23/95/86/330239586.db2.gz XACCKEOYDYMKNV-UHFFFAOYSA-N 1 2 273.405 3.552 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc(C(F)F)cc2)s1 ZINC000527237885 330240247 /nfs/dbraw/zinc/24/02/47/330240247.db2.gz SXPOJIKQROROFI-UHFFFAOYSA-N 1 2 297.374 3.889 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2[C@H](C)C[C@@H]2C)cc1Cl ZINC000527238529 330240660 /nfs/dbraw/zinc/24/06/60/330240660.db2.gz GWYZWIQGZAFGRR-DTORHVGOSA-N 1 2 274.191 3.985 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2[C@H](C)C[C@@H]2C)cc1Cl ZINC000527238529 330240661 /nfs/dbraw/zinc/24/06/61/330240661.db2.gz GWYZWIQGZAFGRR-DTORHVGOSA-N 1 2 274.191 3.985 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC000527238500 330240680 /nfs/dbraw/zinc/24/06/80/330240680.db2.gz DXUGFWXAMDUFIG-DTORHVGOSA-N 1 2 275.726 3.924 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC000527238500 330240681 /nfs/dbraw/zinc/24/06/81/330240681.db2.gz DXUGFWXAMDUFIG-DTORHVGOSA-N 1 2 275.726 3.924 20 0 CHADLO CO[C@H](CSCc1ccc(-n2cc[nH+]c2)cc1)C1CC1 ZINC000424118187 330244095 /nfs/dbraw/zinc/24/40/95/330244095.db2.gz CQLBTFINLVXEBV-MRXNPFEDSA-N 1 2 288.416 3.531 20 0 CHADLO COc1ccc([C@H](C)[C@@H](C)Nc2[nH+]cccc2OC)cc1 ZINC000527523933 330257873 /nfs/dbraw/zinc/25/78/73/330257873.db2.gz MGOQJPQTUIJANP-CHWSQXEVSA-N 1 2 286.375 3.703 20 0 CHADLO Cn1cc[nH+]c1CNc1cc(C(C)(C)C)cc2cccnc21 ZINC000527800220 330284161 /nfs/dbraw/zinc/28/41/61/330284161.db2.gz NHVPBNTXIBQBEN-UHFFFAOYSA-N 1 2 294.402 3.878 20 0 CHADLO CCn1cc[nH+]c1CNc1cccc2c1OC(C)(C)CC2 ZINC000527809481 330284665 /nfs/dbraw/zinc/28/46/65/330284665.db2.gz FSAMOHXHOIPVFD-UHFFFAOYSA-N 1 2 285.391 3.619 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccn1)C1CCC1)c1cscn1 ZINC000527964129 330300993 /nfs/dbraw/zinc/30/09/93/330300993.db2.gz HQYRJNWJRWEEEW-XHDPSFHLSA-N 1 2 273.405 3.730 20 0 CHADLO COc1ccc[nH+]c1N1CCC(c2ccsc2)CC1 ZINC000527965053 330301038 /nfs/dbraw/zinc/30/10/38/330301038.db2.gz FDZQZSZYNCDOGP-UHFFFAOYSA-N 1 2 274.389 3.536 20 0 CHADLO O[C@@H]1CCN(c2[nH+]ccc3ccccc32)C[C@H]1C1CCCC1 ZINC000528033687 330302321 /nfs/dbraw/zinc/30/23/21/330302321.db2.gz MJFRMDXHIUCWRQ-ZWKOTPCHSA-N 1 2 296.414 3.612 20 0 CHADLO CCc1ccc(NCc2c[nH+]cn2C(C)C)cc1F ZINC000424164188 330308395 /nfs/dbraw/zinc/30/83/95/330308395.db2.gz WOJNYBDALQZIFE-UHFFFAOYSA-N 1 2 261.344 3.778 20 0 CHADLO Cc1ccnn1-c1ccccc1NCc1c[nH+]cn1C(C)C ZINC000424168709 330310314 /nfs/dbraw/zinc/31/03/14/330310314.db2.gz IWKXXRNHDKXMJI-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO Cc1ccc(-n2cccn2)cc1NCc1c[nH+]cn1C(C)C ZINC000424169949 330312059 /nfs/dbraw/zinc/31/20/59/330312059.db2.gz APAPDTFOSHIBNR-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO FC(F)(F)c1cccc2c1CN(c1cccc[nH+]1)CC2 ZINC000528219921 330315642 /nfs/dbraw/zinc/31/56/42/330315642.db2.gz MPUKKXLLAZMSGS-UHFFFAOYSA-N 1 2 278.277 3.663 20 0 CHADLO CCN(c1noc([C@@H]2CCC[N@@H+]2C2CC2)n1)c1ccccc1 ZINC000528219836 330315647 /nfs/dbraw/zinc/31/56/47/330315647.db2.gz DKVVYVDZXUOTAH-HNNXBMFYSA-N 1 2 298.390 3.527 20 0 CHADLO CCN(c1noc([C@@H]2CCC[N@H+]2C2CC2)n1)c1ccccc1 ZINC000528219836 330315648 /nfs/dbraw/zinc/31/56/48/330315648.db2.gz DKVVYVDZXUOTAH-HNNXBMFYSA-N 1 2 298.390 3.527 20 0 CHADLO COc1cc(C)cc(NCc2c[nH+]cn2C(C)C)c1C ZINC000424191428 330323653 /nfs/dbraw/zinc/32/36/53/330323653.db2.gz NMJAMESWVIMYFB-UHFFFAOYSA-N 1 2 273.380 3.702 20 0 CHADLO CCC[C@@H](C)[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000533001343 330325019 /nfs/dbraw/zinc/32/50/19/330325019.db2.gz DBBAZBXJHKWZCL-GFCCVEGCSA-N 1 2 265.401 3.738 20 0 CHADLO CCC[C@@H](C)[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000533001343 330325020 /nfs/dbraw/zinc/32/50/20/330325020.db2.gz DBBAZBXJHKWZCL-GFCCVEGCSA-N 1 2 265.401 3.738 20 0 CHADLO CCCc1csc(C[NH2+][C@@H]2C[C@@]2(F)c2ccccc2)n1 ZINC000424201389 330326901 /nfs/dbraw/zinc/32/69/01/330326901.db2.gz RWKROFWKYHWWJP-GDBMZVCRSA-N 1 2 290.407 3.823 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cccc(F)c1F)CC2 ZINC000533078245 330330458 /nfs/dbraw/zinc/33/04/58/330330458.db2.gz HHJWNTTYIARDMC-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cccc(F)c1F)CC2 ZINC000533078245 330330459 /nfs/dbraw/zinc/33/04/59/330330459.db2.gz HHJWNTTYIARDMC-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(F)(F)F)C1CCC(F)(F)CC1 ZINC000424316390 330339410 /nfs/dbraw/zinc/33/94/10/330339410.db2.gz FNGNXCOHLWMJAS-YUMQZZPRSA-N 1 2 259.262 3.741 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)/C=C/c1ccc([C@@H]2C[C@H]2C)o1 ZINC000107288533 330348773 /nfs/dbraw/zinc/34/87/73/330348773.db2.gz KYKMUWKWRYEDSX-JDRNFJBZSA-N 1 2 282.343 3.758 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)nc2ccccc12 ZINC000107896454 330351706 /nfs/dbraw/zinc/35/17/06/330351706.db2.gz BRYHJVPRTNZNPI-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)nc2ccccc12 ZINC000107896454 330351707 /nfs/dbraw/zinc/35/17/07/330351707.db2.gz BRYHJVPRTNZNPI-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO CC(C)c1ccc(OC2CC[NH+](Cc3ncc[nH]3)CC2)cc1 ZINC000533240891 330354448 /nfs/dbraw/zinc/35/44/48/330354448.db2.gz MGERKAYAJQCSLZ-UHFFFAOYSA-N 1 2 299.418 3.577 20 0 CHADLO Cc1cc(NC(=O)c2cc3cc(F)ccc3o2)cc[nH+]1 ZINC000117800978 330366027 /nfs/dbraw/zinc/36/60/27/330366027.db2.gz ZDALZEAZHZRXKZ-UHFFFAOYSA-N 1 2 270.263 3.528 20 0 CHADLO CCC[C@@H](C)CC(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000118643685 330367842 /nfs/dbraw/zinc/36/78/42/330367842.db2.gz HNEYCWVMSCWJEU-GFCCVEGCSA-N 1 2 271.364 3.842 20 0 CHADLO Cc1ccc2nc(C[N@@H+]([C@@H](C)c3ccco3)C3CC3)cn2c1 ZINC000086234007 330369129 /nfs/dbraw/zinc/36/91/29/330369129.db2.gz IJHMATVSQOPLCF-AWEZNQCLSA-N 1 2 295.386 3.961 20 0 CHADLO Cc1ccc2nc(C[N@H+]([C@@H](C)c3ccco3)C3CC3)cn2c1 ZINC000086234007 330369130 /nfs/dbraw/zinc/36/91/30/330369130.db2.gz IJHMATVSQOPLCF-AWEZNQCLSA-N 1 2 295.386 3.961 20 0 CHADLO Cc1ccc2[nH+]c(CN([C@@H](C)c3ccco3)C3CC3)cn2c1 ZINC000086234007 330369131 /nfs/dbraw/zinc/36/91/31/330369131.db2.gz IJHMATVSQOPLCF-AWEZNQCLSA-N 1 2 295.386 3.961 20 0 CHADLO Cc1ccc(NC(=O)COc2cccc(C(C)C)c2)c(C)[nH+]1 ZINC000119194515 330370908 /nfs/dbraw/zinc/37/09/08/330370908.db2.gz KKBWSTQIXSFODZ-UHFFFAOYSA-N 1 2 298.386 3.839 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)CC2CCCC2)c(C)[nH+]1 ZINC000119126232 330371159 /nfs/dbraw/zinc/37/11/59/330371159.db2.gz ZOIVOOZZUBFGIB-LLVKDONJSA-N 1 2 260.381 3.853 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C)c(Br)c1 ZINC000090173189 330390887 /nfs/dbraw/zinc/39/08/87/330390887.db2.gz WHWHEWTXNSCZJQ-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(Cl)c(F)c1 ZINC000090208125 330391303 /nfs/dbraw/zinc/39/13/03/330391303.db2.gz MTHUHWRVSLTFJN-UHFFFAOYSA-N 1 2 267.735 3.869 20 0 CHADLO CSc1cccc(NCc2c[nH+]cn2C(C)C)c1 ZINC000090207466 330391315 /nfs/dbraw/zinc/39/13/15/330391315.db2.gz BLLPOCQPYKSWHJ-UHFFFAOYSA-N 1 2 261.394 3.798 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)NC[C@H](c1ccccc1)C(C)C ZINC000121105363 330396165 /nfs/dbraw/zinc/39/61/65/330396165.db2.gz KEAMAXUIKIHTEC-INIZCTEOSA-N 1 2 297.402 3.951 20 0 CHADLO C[C@@H]1CCCC[C@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000424594705 330396308 /nfs/dbraw/zinc/39/63/08/330396308.db2.gz DQMXNLLJEYSRCE-CZUORRHYSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H]1CCCC[C@@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000424594699 330396379 /nfs/dbraw/zinc/39/63/79/330396379.db2.gz DQMXNLLJEYSRCE-BBRMVZONSA-N 1 2 283.375 3.637 20 0 CHADLO CCc1noc(C)c1COc1cc[nH+]c2cc(OC)ccc21 ZINC000123191953 330453987 /nfs/dbraw/zinc/45/39/87/330453987.db2.gz JETYMOKNPVMGIK-UHFFFAOYSA-N 1 2 298.342 3.681 20 0 CHADLO COc1ccc(C[NH2+]CC(F)(F)c2ccccc2)cc1F ZINC000520827375 330462991 /nfs/dbraw/zinc/46/29/91/330462991.db2.gz XVYOGXBUUCGTBY-UHFFFAOYSA-N 1 2 295.304 3.716 20 0 CHADLO CCCCOc1ccc(CCNc2cccc[nH+]2)cc1 ZINC000515480994 330478314 /nfs/dbraw/zinc/47/83/14/330478314.db2.gz SPLFNNGLXGSDKH-UHFFFAOYSA-N 1 2 270.376 3.915 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](C)c2cc(F)ccc2OC)o1 ZINC000426325101 330504258 /nfs/dbraw/zinc/50/42/58/330504258.db2.gz TWRCSFPUJOJZQW-JTQLQIEISA-N 1 2 292.354 3.544 20 0 CHADLO CC(C)([NH2+]Cc1cn2ccsc2n1)c1ccccc1F ZINC000429240209 330540809 /nfs/dbraw/zinc/54/08/09/330540809.db2.gz WCXKXLSFHMWPCQ-UHFFFAOYSA-N 1 2 289.379 3.560 20 0 CHADLO COc1ccc(-c2[nH]c3c([nH+]2)c(C)ccc3C)cc1OC ZINC000430943742 330577163 /nfs/dbraw/zinc/57/71/63/330577163.db2.gz QJQNMCNVCGMRGX-UHFFFAOYSA-N 1 2 282.343 3.864 20 0 CHADLO Cc1ccc(NC(=O)N(C)[C@H]2CCC(C)(C)C2)c(C)[nH+]1 ZINC000432220564 330595070 /nfs/dbraw/zinc/59/50/70/330595070.db2.gz HAYNGOLQPLTIAO-ZDUSSCGKSA-N 1 2 275.396 3.741 20 0 CHADLO COc1ccc(CC[NH2+][C@@H](c2ccccc2)C(F)F)cc1 ZINC000433052035 330614794 /nfs/dbraw/zinc/61/47/94/330614794.db2.gz JSFFJDSGZLNXSE-INIZCTEOSA-N 1 2 291.341 3.834 20 0 CHADLO CCc1ccnc(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)c1 ZINC000435602173 330658763 /nfs/dbraw/zinc/65/87/63/330658763.db2.gz TZNZYXWPLTVPLV-UHFFFAOYSA-N 1 2 298.434 3.715 20 0 CHADLO Fc1cccc2[nH]c(CN3CCCC[C@H]3c3[nH]cc[nH+]3)cc21 ZINC000437719684 330707165 /nfs/dbraw/zinc/70/71/65/330707165.db2.gz OPQYGPVENWQMPH-INIZCTEOSA-N 1 2 298.365 3.757 20 0 CHADLO CC(C)C(C)(C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000439124383 330734196 /nfs/dbraw/zinc/73/41/96/330734196.db2.gz PKZZHZKSSSQDKE-UHFFFAOYSA-N 1 2 289.354 3.632 20 0 CHADLO CCC[C@H]([NH2+]CC(C)(C)c1ccccc1C)C(=O)OCC ZINC000439574534 330740823 /nfs/dbraw/zinc/74/08/23/330740823.db2.gz SKLPWJWQENZYRO-INIZCTEOSA-N 1 2 291.435 3.594 20 0 CHADLO CC[C@H](Nc1ncnc2cc(Cl)ccc21)c1[nH]cc[nH+]1 ZINC000439644277 330741527 /nfs/dbraw/zinc/74/15/27/330741527.db2.gz KIVCMHCVLNWDCM-NSHDSACASA-N 1 2 287.754 3.570 20 0 CHADLO CCN(CCCn1cc[nH+]c1)c1ccc(Cl)c(F)c1 ZINC000440618442 330752703 /nfs/dbraw/zinc/75/27/03/330752703.db2.gz AOOGZZYSNFJJSN-UHFFFAOYSA-N 1 2 281.762 3.592 20 0 CHADLO CCCc1ccc(C[NH2+]C(C)(C)c2noc(CC)n2)cc1 ZINC000441204585 330762981 /nfs/dbraw/zinc/76/29/81/330762981.db2.gz NNFRKNAJWUUELQ-UHFFFAOYSA-N 1 2 287.407 3.609 20 0 CHADLO Cc1[nH+]cccc1Cc1nc(-c2ccc3c(c2)CCC3)no1 ZINC000572490867 330769251 /nfs/dbraw/zinc/76/92/51/330769251.db2.gz PNSAZWFJBMUCHR-UHFFFAOYSA-N 1 2 291.354 3.520 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cc(F)c(F)c1F)c1cccc(O)c1 ZINC000442876038 330789741 /nfs/dbraw/zinc/78/97/41/330789741.db2.gz PCVFAIGVAMJFMD-MRVPVSSYSA-N 1 2 299.267 3.799 20 0 CHADLO CC[C@@H](NC(=O)C[C@H]1CCCC(C)(C)C1)c1[nH]cc[nH+]1 ZINC000443122754 330795472 /nfs/dbraw/zinc/79/54/72/330795472.db2.gz HYRUBYPDSQBLPN-CHWSQXEVSA-N 1 2 277.412 3.584 20 0 CHADLO Cc1ccc([C@@H]2CCCN2c2[nH+]c3cccc(F)c3n2C)o1 ZINC000443496708 330800342 /nfs/dbraw/zinc/80/03/42/330800342.db2.gz CBOOUSXHZDXPAT-AWEZNQCLSA-N 1 2 299.349 3.955 20 0 CHADLO CCCOc1cc(C)ccc1C[NH2+]Cc1ncc(C)s1 ZINC000449197175 330905513 /nfs/dbraw/zinc/90/55/13/330905513.db2.gz UUPWEIMXWCXLIW-UHFFFAOYSA-N 1 2 290.432 3.839 20 0 CHADLO CC[C@H]([NH2+]C1CCC(CSC)CC1)C(F)(F)F ZINC000449273274 330911224 /nfs/dbraw/zinc/91/12/24/330911224.db2.gz RYFNOQQIXCXZPQ-ILDUYXDCSA-N 1 2 269.376 3.839 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc2nc(C)sc2c1)C(F)F ZINC000449361737 330918713 /nfs/dbraw/zinc/91/87/13/330918713.db2.gz LHQJGGJZMSAPLK-SNVBAGLBSA-N 1 2 270.348 3.738 20 0 CHADLO CCn1cc[nH+]c1CNc1cc(C)c(C)cc1Cl ZINC000449413171 330923606 /nfs/dbraw/zinc/92/36/06/330923606.db2.gz QMUQNXJSOWPRHU-UHFFFAOYSA-N 1 2 263.772 3.785 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(-c2cccnc2)c1)C(C)(F)F ZINC000449415082 330923782 /nfs/dbraw/zinc/92/37/82/330923782.db2.gz NYOBWOGFGAVIAJ-GFCCVEGCSA-N 1 2 276.330 3.882 20 0 CHADLO C[C@@H]([NH2+]Cc1cscc1C(F)(F)F)C(C)(F)F ZINC000449414805 330923824 /nfs/dbraw/zinc/92/38/24/330923824.db2.gz NDDMYUSBMHCIES-ZCFIWIBFSA-N 1 2 273.270 3.900 20 0 CHADLO CSc1ccc(C[NH2+][C@H](C)C(C)(F)F)s1 ZINC000449416429 330923981 /nfs/dbraw/zinc/92/39/81/330923981.db2.gz SZPXFPMHIXSHRK-SSDOTTSWSA-N 1 2 251.367 3.603 20 0 CHADLO CCc1ccc(NCc2c[nH+]c3cc(C)ccn23)cc1OC ZINC000449649912 330941975 /nfs/dbraw/zinc/94/19/75/330941975.db2.gz KCDRBVUZNVKPHG-UHFFFAOYSA-N 1 2 295.386 3.826 20 0 CHADLO CCn1cc[nH+]c1CN1CCC[C@H]1c1ccc(F)cc1F ZINC000449730502 330945981 /nfs/dbraw/zinc/94/59/81/330945981.db2.gz FBKLAFQSUUGNHK-HNNXBMFYSA-N 1 2 291.345 3.518 20 0 CHADLO F[C@@H]1CCN(c2[nH+]ccc3cc(C(F)(F)F)ccc32)C1 ZINC000450604108 330988851 /nfs/dbraw/zinc/98/88/51/330988851.db2.gz JJEGQFDDXWMXSU-LLVKDONJSA-N 1 2 284.256 3.802 20 0 CHADLO CCc1c2ccccc2oc1C(=O)N[C@@H](CC)c1[nH]cc[nH+]1 ZINC000450671064 330992502 /nfs/dbraw/zinc/99/25/02/330992502.db2.gz HFYBFEFVFCMZHX-ZDUSSCGKSA-N 1 2 297.358 3.599 20 0 CHADLO CCc1c2ccccc2oc1C(=O)N[C@H](CC)c1[nH]cc[nH+]1 ZINC000450671062 330992507 /nfs/dbraw/zinc/99/25/07/330992507.db2.gz HFYBFEFVFCMZHX-CYBMUJFWSA-N 1 2 297.358 3.599 20 0 CHADLO C[C@H]1C[C@H](Nc2nc3cccc(F)c3s2)c2[nH+]ccn21 ZINC000450822262 331001070 /nfs/dbraw/zinc/00/10/70/331001070.db2.gz STFPUVOLKACODB-KWQFWETISA-N 1 2 288.351 3.750 20 0 CHADLO Cc1cc(N2CC(c3ccc(Cl)cc3)C2)nc(C2CC2)[nH+]1 ZINC000450823846 331001252 /nfs/dbraw/zinc/00/12/52/331001252.db2.gz DFNLPLYOJSLZRA-UHFFFAOYSA-N 1 2 299.805 3.920 20 0 CHADLO CC(=O)c1cccc(C[N@@H+](C)Cc2cc(C)ccc2F)c1 ZINC000450856770 331003204 /nfs/dbraw/zinc/00/32/04/331003204.db2.gz HZZANRSDIXUBND-UHFFFAOYSA-N 1 2 285.362 3.969 20 0 CHADLO CC(=O)c1cccc(C[N@H+](C)Cc2cc(C)ccc2F)c1 ZINC000450856770 331003205 /nfs/dbraw/zinc/00/32/05/331003205.db2.gz HZZANRSDIXUBND-UHFFFAOYSA-N 1 2 285.362 3.969 20 0 CHADLO CCn1cc([C@@H](C)Nc2[nH+]ccc3ccc(F)cc32)cn1 ZINC000450873901 331004192 /nfs/dbraw/zinc/00/41/92/331004192.db2.gz LGDJCOKZQLGBFV-LLVKDONJSA-N 1 2 284.338 3.763 20 0 CHADLO Cc1cc(F)ccc1[C@@H]1CCCN1c1cc[nH+]c(C)n1 ZINC000450913314 331006793 /nfs/dbraw/zinc/00/67/93/331006793.db2.gz NMAXGTKECXBQCA-HNNXBMFYSA-N 1 2 271.339 3.574 20 0 CHADLO COCCN(Cc1ccc(F)c(Cl)c1)c1cccc[nH+]1 ZINC000450920979 331007215 /nfs/dbraw/zinc/00/72/15/331007215.db2.gz CONAFCOLHDAGNO-UHFFFAOYSA-N 1 2 294.757 3.527 20 0 CHADLO c1ccc(C2=CCC[N@@H+](Cc3nnc(C4CC4)s3)C2)cc1 ZINC000450930920 331007831 /nfs/dbraw/zinc/00/78/31/331007831.db2.gz ODUJYWHOXBWGLE-UHFFFAOYSA-N 1 2 297.427 3.705 20 0 CHADLO c1ccc(C2=CCC[N@H+](Cc3nnc(C4CC4)s3)C2)cc1 ZINC000450930920 331007832 /nfs/dbraw/zinc/00/78/32/331007832.db2.gz ODUJYWHOXBWGLE-UHFFFAOYSA-N 1 2 297.427 3.705 20 0 CHADLO CCO[C@@H]1CCC[C@H]1Nc1[nH+]ccc2ccc(F)cc21 ZINC000450936198 331008050 /nfs/dbraw/zinc/00/80/50/331008050.db2.gz HMSBWKKQVXFXAC-HUUCEWRRSA-N 1 2 274.339 3.743 20 0 CHADLO CCO[C@@H]1CCC[C@H]1Nc1[nH+]ccc(C)c1Br ZINC000450940971 331008250 /nfs/dbraw/zinc/00/82/50/331008250.db2.gz OTIVWCFVCHVTEZ-GHMZBOCLSA-N 1 2 299.212 3.522 20 0 CHADLO Cc1cccc2[nH+]c(CSC3(CO)CCCCC3)cn21 ZINC000451057655 331013886 /nfs/dbraw/zinc/01/38/86/331013886.db2.gz KHJUKGZPRXVYRH-UHFFFAOYSA-N 1 2 290.432 3.571 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nnc(C3CC3)s2)c1C ZINC000451057168 331013895 /nfs/dbraw/zinc/01/38/95/331013895.db2.gz INMUALKPXPZMES-UHFFFAOYSA-N 1 2 287.432 3.664 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nnc(C3CC3)s2)c1C ZINC000451057168 331013896 /nfs/dbraw/zinc/01/38/96/331013896.db2.gz INMUALKPXPZMES-UHFFFAOYSA-N 1 2 287.432 3.664 20 0 CHADLO CC[C@H](C)c1ccccc1NC(=O)[C@H](C)[N@@H+]1CC[C@@H](F)C1 ZINC000451179428 331019079 /nfs/dbraw/zinc/01/90/79/331019079.db2.gz AQMMFFLONSDFHC-MELADBBJSA-N 1 2 292.398 3.571 20 0 CHADLO CC[C@H](C)c1ccccc1NC(=O)[C@H](C)[N@H+]1CC[C@@H](F)C1 ZINC000451179428 331019080 /nfs/dbraw/zinc/01/90/80/331019080.db2.gz AQMMFFLONSDFHC-MELADBBJSA-N 1 2 292.398 3.571 20 0 CHADLO F[C@H]1CC[N@H+](Cc2coc(-c3cccc(Cl)c3)n2)C1 ZINC000451196359 331020146 /nfs/dbraw/zinc/02/01/46/331020146.db2.gz ZIDQKDPTHOWQNU-LBPRGKRZSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@H]1CC[N@@H+](Cc2coc(-c3cccc(Cl)c3)n2)C1 ZINC000451196359 331020147 /nfs/dbraw/zinc/02/01/47/331020147.db2.gz ZIDQKDPTHOWQNU-LBPRGKRZSA-N 1 2 280.730 3.539 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ccon2)C2CC2)cc1Cl ZINC000451353408 331025372 /nfs/dbraw/zinc/02/53/72/331025372.db2.gz JRKDDZAGDSWZOI-CQSZACIVSA-N 1 2 280.730 3.708 20 0 CHADLO CCCc1nc(C[N@@H+]2CCOCC23CCCCC3)cs1 ZINC000451810431 331043341 /nfs/dbraw/zinc/04/33/41/331043341.db2.gz CQDZVSYRZWUUJZ-UHFFFAOYSA-N 1 2 294.464 3.631 20 0 CHADLO CCCc1nc(C[N@H+]2CCOCC23CCCCC3)cs1 ZINC000451810431 331043342 /nfs/dbraw/zinc/04/33/42/331043342.db2.gz CQDZVSYRZWUUJZ-UHFFFAOYSA-N 1 2 294.464 3.631 20 0 CHADLO CCc1ccc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)nc1 ZINC000451858925 331045011 /nfs/dbraw/zinc/04/50/11/331045011.db2.gz SDVJCYJQRFZEIK-KRWDZBQOSA-N 1 2 282.387 3.964 20 0 CHADLO CCc1ccc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)nc1 ZINC000451858925 331045012 /nfs/dbraw/zinc/04/50/12/331045012.db2.gz SDVJCYJQRFZEIK-KRWDZBQOSA-N 1 2 282.387 3.964 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)Nc1c[nH+]c2n1CCCC2 ZINC000452332249 331062604 /nfs/dbraw/zinc/06/26/04/331062604.db2.gz GMNWXKKOKUJRGL-CQSZACIVSA-N 1 2 297.402 3.660 20 0 CHADLO Cc1ccc2oc(C(=O)Nc3c[nH+]c4n3CCCC4)cc2c1 ZINC000452336957 331062717 /nfs/dbraw/zinc/06/27/17/331062717.db2.gz XTPQZNQLIIMYAJ-UHFFFAOYSA-N 1 2 295.342 3.526 20 0 CHADLO CC(C)c1ccc(C(=O)Nc2c[nH+]c3n2CCCC3)s1 ZINC000452335388 331062738 /nfs/dbraw/zinc/06/27/38/331062738.db2.gz PUXLQYQYNFSTCO-UHFFFAOYSA-N 1 2 289.404 3.657 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000452542365 331067978 /nfs/dbraw/zinc/06/79/78/331067978.db2.gz NHMPODMSLPVBPE-ZDUSSCGKSA-N 1 2 299.418 3.746 20 0 CHADLO CC[C@@H]1C[C@H]1CNC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000452761278 331075254 /nfs/dbraw/zinc/07/52/54/331075254.db2.gz AGXOAERHNLWDKN-HIFRSBDPSA-N 1 2 296.370 3.650 20 0 CHADLO CCc1[nH+]c2ccccc2n1C[C@@H](O)[C@H](C)c1ccccc1 ZINC000453020476 331083817 /nfs/dbraw/zinc/08/38/17/331083817.db2.gz QAUGOZDSCLYXMT-RDTXWAMCSA-N 1 2 294.398 3.763 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CC[C@@H](C)[C@@H](C)C3)n2)c[nH+]1 ZINC000453082899 331087468 /nfs/dbraw/zinc/08/74/68/331087468.db2.gz HOWZIAFVZHSOAW-TYNCELHUSA-N 1 2 285.391 3.904 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccncn1)c1nc(C(C)(C)C)cs1 ZINC000453091385 331088230 /nfs/dbraw/zinc/08/82/30/331088230.db2.gz CTCWMRTZQRKVFW-GHMZBOCLSA-N 1 2 290.436 3.642 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2C[C@@H](C)n3ccnc32)c(OC(C)C)c1 ZINC000453120170 331091257 /nfs/dbraw/zinc/09/12/57/331091257.db2.gz CLUMCHRLSNDZTL-ZBFHGGJFSA-N 1 2 299.418 3.774 20 0 CHADLO c1cn2c([nH+]1)[C@H](Nc1ccc(COC3CCCC3)cc1)CC2 ZINC000453160181 331095616 /nfs/dbraw/zinc/09/56/16/331095616.db2.gz AGLASXSXDBJBEC-QGZVFWFLSA-N 1 2 297.402 3.899 20 0 CHADLO C[C@@H]1C[C@H](N[C@H](CC(C)(C)C)C(F)(F)F)c2[nH+]ccn21 ZINC000453193602 331099734 /nfs/dbraw/zinc/09/97/34/331099734.db2.gz FLZAMLIMBAXZQJ-OUAUKWLOSA-N 1 2 289.345 3.846 20 0 CHADLO CCc1cc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)ccc1F ZINC000453212746 331101972 /nfs/dbraw/zinc/10/19/72/331101972.db2.gz PESMXCDCTMJBIE-QMTHXVAHSA-N 1 2 259.328 3.703 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(-c2occc2C(C)C)n1 ZINC000453214415 331102222 /nfs/dbraw/zinc/10/22/22/331102222.db2.gz BDIWAOHGGNMRBY-UHFFFAOYSA-N 1 2 297.358 3.942 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(-n2ccnc2)cc1)C(F)F ZINC000453242715 331104960 /nfs/dbraw/zinc/10/49/60/331104960.db2.gz HQWSUEYAPUQNQV-SMDDNHRTSA-N 1 2 279.334 3.567 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@@H](CC)C(F)F ZINC000453254118 331108045 /nfs/dbraw/zinc/10/80/45/331108045.db2.gz XSTGIAYELOWLCA-PWSUYJOCSA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1C[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000453277868 331110249 /nfs/dbraw/zinc/11/02/49/331110249.db2.gz CESQBVJADQZQRB-OIISXLGYSA-N 1 2 298.434 3.700 20 0 CHADLO CC[C@H]([NH2+][C@H](C)C(C)(F)F)c1ccc2c(c1)OCCO2 ZINC000453282934 331110729 /nfs/dbraw/zinc/11/07/29/331110729.db2.gz IIZVCEFWOAPGRO-PWSUYJOCSA-N 1 2 285.334 3.542 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+]Cc3nnc(C(C)C)[nH]3)oc2c1 ZINC000453289676 331111697 /nfs/dbraw/zinc/11/16/97/331111697.db2.gz MIOCAYXDFJDMFY-LBPRGKRZSA-N 1 2 298.390 3.834 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1cccc(N2CCCC2=O)c1 ZINC000453293826 331112136 /nfs/dbraw/zinc/11/21/36/331112136.db2.gz PDXUUXFWEZGNLK-VXGBXAGGSA-N 1 2 296.361 3.508 20 0 CHADLO Cn1cc(Cl)c(C[NH2+][C@H]2CC(C)(C)c3ccccc32)n1 ZINC000453314697 331115309 /nfs/dbraw/zinc/11/53/09/331115309.db2.gz GDMDAAMNVCKAFO-AWEZNQCLSA-N 1 2 289.810 3.586 20 0 CHADLO CC[C@H]([NH2+]Cc1nn(C)cc1Cl)c1cc(F)ccc1F ZINC000453321623 331116490 /nfs/dbraw/zinc/11/64/90/331116490.db2.gz OIVKLEVKNZTDLH-ZDUSSCGKSA-N 1 2 299.752 3.593 20 0 CHADLO Cc1ccsc1[C@@H](C)[NH2+][C@H](C(=O)OC(C)C)C(C)C ZINC000453326200 331117216 /nfs/dbraw/zinc/11/72/16/331117216.db2.gz XYPPJDQOQZHBCR-OLZOCXBDSA-N 1 2 283.437 3.683 20 0 CHADLO CCc1ccc(N[C@H](CC)c2[nH+]ccn2C)cc1OC ZINC000453342601 331119342 /nfs/dbraw/zinc/11/93/42/331119342.db2.gz CXPIIGJDHOXOOD-CQSZACIVSA-N 1 2 273.380 3.554 20 0 CHADLO COc1cccnc1C[NH2+][C@H](C)c1ccccc1Cl ZINC000453361810 331122636 /nfs/dbraw/zinc/12/26/36/331122636.db2.gz KXAWOONDYGFRAR-LLVKDONJSA-N 1 2 276.767 3.594 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncccc1OC)c1ccc(F)cc1F ZINC000453374684 331124603 /nfs/dbraw/zinc/12/46/03/331124603.db2.gz SRAMBYFSEKTPPL-CQSZACIVSA-N 1 2 292.329 3.609 20 0 CHADLO COc1cc(CNc2[nH+]cccc2OC(F)F)ccc1C ZINC000195009981 331131894 /nfs/dbraw/zinc/13/18/94/331131894.db2.gz PJLCCSTVPFJPEH-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO Cc1cc(Br)c(C[N@H+](C)Cc2ccc[nH]2)s1 ZINC000453577031 331134771 /nfs/dbraw/zinc/13/47/71/331134771.db2.gz NEMONSVRGWGTFH-UHFFFAOYSA-N 1 2 299.237 3.779 20 0 CHADLO Cc1cc(Br)c(C[N@@H+](C)Cc2ccc[nH]2)s1 ZINC000453577031 331134772 /nfs/dbraw/zinc/13/47/72/331134772.db2.gz NEMONSVRGWGTFH-UHFFFAOYSA-N 1 2 299.237 3.779 20 0 CHADLO Cn1ccnc1C[N@@H+]1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000453614393 331136579 /nfs/dbraw/zinc/13/65/79/331136579.db2.gz NRRQGIINLUJKIP-KRWDZBQOSA-N 1 2 281.403 3.537 20 0 CHADLO Cn1ccnc1C[N@H+]1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000453614393 331136580 /nfs/dbraw/zinc/13/65/80/331136580.db2.gz NRRQGIINLUJKIP-KRWDZBQOSA-N 1 2 281.403 3.537 20 0 CHADLO Cc1cc(C)cc(NC(=O)NCc2c[nH+]c(C)cc2C)c1 ZINC000454190789 331141266 /nfs/dbraw/zinc/14/12/66/331141266.db2.gz JRCYBWMRLWHLCS-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)NCc1c[nH+]c(C)cc1C ZINC000455287074 331164454 /nfs/dbraw/zinc/16/44/54/331164454.db2.gz JFNTVUWOHBJWGH-CQSZACIVSA-N 1 2 289.423 3.633 20 0 CHADLO CCN(CC(C)C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455491186 331170957 /nfs/dbraw/zinc/17/09/57/331170957.db2.gz YADIKNPBUYPNHU-UHFFFAOYSA-N 1 2 263.385 3.517 20 0 CHADLO CSCCCCCNC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455507297 331171260 /nfs/dbraw/zinc/17/12/60/331171260.db2.gz ZABBVQSGEZLCDD-UHFFFAOYSA-N 1 2 295.452 3.662 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1ccc(C(C)(C)C)cc1 ZINC000456354405 331190552 /nfs/dbraw/zinc/19/05/52/331190552.db2.gz PHEABDYXGRMZCW-CYBMUJFWSA-N 1 2 299.418 3.692 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C[C@@H](C)C1CCCCC1 ZINC000456366515 331191186 /nfs/dbraw/zinc/19/11/86/331191186.db2.gz BTVYHJKCYQGMNI-KGLIPLIRSA-N 1 2 291.439 3.687 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000456380494 331191806 /nfs/dbraw/zinc/19/18/06/331191806.db2.gz MGQXCNKRZGKMES-IIAWOOMASA-N 1 2 297.402 3.507 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000456380499 331191854 /nfs/dbraw/zinc/19/18/54/331191854.db2.gz MGQXCNKRZGKMES-IJEWVQPXSA-N 1 2 297.402 3.507 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)C[C@H]2CCCCC2(C)C)c2[nH+]ccn21 ZINC000456427579 331192477 /nfs/dbraw/zinc/19/24/77/331192477.db2.gz RBZMLVYVIGWHHQ-MCIONIFRSA-N 1 2 289.423 3.612 20 0 CHADLO C[C@H](CCC(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456428244 331192498 /nfs/dbraw/zinc/19/24/98/331192498.db2.gz RGNFJCUHHNKOFB-IJEWVQPXSA-N 1 2 297.402 3.589 20 0 CHADLO CC[C@@H](NC(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CCCC1 ZINC000456655216 331198372 /nfs/dbraw/zinc/19/83/72/331198372.db2.gz HVYKKJTZJMCEIJ-QGZVFWFLSA-N 1 2 297.402 3.571 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)NCc1c[nH+]c(C)cc1C ZINC000456853841 331207577 /nfs/dbraw/zinc/20/75/77/331207577.db2.gz YDTLQGNYAQNIJO-INIZCTEOSA-N 1 2 288.435 3.921 20 0 CHADLO Cc1cc(C(=O)NCc2c[nH+]c(C)cc2C)c(C)n1C(C)C ZINC000456857283 331207613 /nfs/dbraw/zinc/20/76/13/331207613.db2.gz KJMLPGGKQOPZFN-UHFFFAOYSA-N 1 2 299.418 3.628 20 0 CHADLO CCC1(C(=O)Nc2nc(C[NH+](C)C)cs2)CCCCC1 ZINC000457318125 331218954 /nfs/dbraw/zinc/21/89/54/331218954.db2.gz SRYCPTFRCHOPOM-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc(C)[nH+]c2)C12CCCC2 ZINC000164627594 331269136 /nfs/dbraw/zinc/26/91/36/331269136.db2.gz AMQZZVRMWSYMIV-LSDHHAIUSA-N 1 2 260.381 3.540 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)CCn1cc(Cl)cn1 ZINC000459289367 331274760 /nfs/dbraw/zinc/27/47/60/331274760.db2.gz AXGHLKKQKQMKCE-JTQLQIEISA-N 1 2 299.752 3.508 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)CCn1cc(Cl)cn1 ZINC000459289367 331274761 /nfs/dbraw/zinc/27/47/61/331274761.db2.gz AXGHLKKQKQMKCE-JTQLQIEISA-N 1 2 299.752 3.508 20 0 CHADLO Cc1cc(C[NH2+]Cc2cccc(COC(C)(C)C)c2)no1 ZINC000459404956 331280052 /nfs/dbraw/zinc/28/00/52/331280052.db2.gz SIYRDOHXSBKDNY-UHFFFAOYSA-N 1 2 288.391 3.588 20 0 CHADLO CCOc1cc(CNc2c[nH+]cc(C)c2)cc(Cl)c1O ZINC000459442736 331281466 /nfs/dbraw/zinc/28/14/66/331281466.db2.gz CWQIZQLUXGQNBO-UHFFFAOYSA-N 1 2 292.766 3.760 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(OC3CCCC3)cc2)no1 ZINC000459457101 331282379 /nfs/dbraw/zinc/28/23/79/331282379.db2.gz CQLHBGVVIKIAKW-UHFFFAOYSA-N 1 2 286.375 3.594 20 0 CHADLO Fc1cncc(C[NH+]2CC(Cc3ccccc3Cl)C2)c1 ZINC000459570433 331288377 /nfs/dbraw/zinc/28/83/77/331288377.db2.gz ZYVWOYAZRSXSMH-UHFFFAOYSA-N 1 2 290.769 3.549 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2cnc(C3CC3)o2)c(Cl)c1 ZINC000459570587 331288443 /nfs/dbraw/zinc/28/84/43/331288443.db2.gz MLQPHSFNCYWQHU-UHFFFAOYSA-N 1 2 294.757 3.943 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)nc1 ZINC000459574051 331288596 /nfs/dbraw/zinc/28/85/96/331288596.db2.gz JAUVTTUHLWCHSE-SFHVURJKSA-N 1 2 293.414 3.902 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)nc1 ZINC000459574051 331288597 /nfs/dbraw/zinc/28/85/97/331288597.db2.gz JAUVTTUHLWCHSE-SFHVURJKSA-N 1 2 293.414 3.902 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@]2(C)CC=CCC2)c(C)[nH+]1 ZINC000459578556 331288901 /nfs/dbraw/zinc/28/89/01/331288901.db2.gz IMVDWCVXWADFGI-MRXNPFEDSA-N 1 2 273.380 3.627 20 0 CHADLO Clc1ccc(C[NH+]2CC3(C2)CCCOC3)c(Cl)c1 ZINC000459614116 331290605 /nfs/dbraw/zinc/29/06/05/331290605.db2.gz XEWQIIPZTPGFAD-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Cc1ncsc1C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC000459673510 331293505 /nfs/dbraw/zinc/29/35/05/331293505.db2.gz AVWFFUJRXOBFPO-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ncsc1C[N@H+]1CCc2cccc(Cl)c2C1 ZINC000459673510 331293506 /nfs/dbraw/zinc/29/35/06/331293506.db2.gz AVWFFUJRXOBFPO-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccncc1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459739578 331297306 /nfs/dbraw/zinc/29/73/06/331297306.db2.gz DHSPLWRWBDHVQP-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1ccncc1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459739578 331297307 /nfs/dbraw/zinc/29/73/07/331297307.db2.gz DHSPLWRWBDHVQP-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO c1ccc([C@H]2[N@H+](Cc3ncccn3)CC23CCCCC3)cc1 ZINC000459761229 331298980 /nfs/dbraw/zinc/29/89/80/331298980.db2.gz XLOFIHHWFMSBDX-GOSISDBHSA-N 1 2 293.414 3.984 20 0 CHADLO c1ccc([C@H]2[N@@H+](Cc3ncccn3)CC23CCCCC3)cc1 ZINC000459761229 331298981 /nfs/dbraw/zinc/29/89/81/331298981.db2.gz XLOFIHHWFMSBDX-GOSISDBHSA-N 1 2 293.414 3.984 20 0 CHADLO Cc1cccc(C[N@@H+]2Cc3ccc(Cl)cc3C2)n1 ZINC000459768117 331299569 /nfs/dbraw/zinc/29/95/69/331299569.db2.gz QHNGWHRIYJOHLZ-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1cccc(C[N@H+]2Cc3ccc(Cl)cc3C2)n1 ZINC000459768117 331299570 /nfs/dbraw/zinc/29/95/70/331299570.db2.gz QHNGWHRIYJOHLZ-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2C[C@@]2(C)c2ccccc2)c(C)[nH+]1 ZINC000459929416 331303553 /nfs/dbraw/zinc/30/35/53/331303553.db2.gz LHPYVWLUFCFPJV-LPHOPBHVSA-N 1 2 294.398 3.923 20 0 CHADLO CC[C@@H]1CCC[C@H]1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000459935772 331303610 /nfs/dbraw/zinc/30/36/10/331303610.db2.gz UDYMBEUYNVELBY-ZIAGYGMSSA-N 1 2 260.381 3.772 20 0 CHADLO C[C@@H]([NH2+]c1ccc(OC2CCCC2)cc1)[C@H]1CCOC1 ZINC000165089039 331305809 /nfs/dbraw/zinc/30/58/09/331305809.db2.gz YKMDEJRPYDOUQO-KGLIPLIRSA-N 1 2 275.392 3.845 20 0 CHADLO COc1ccc(F)cc1CNc1c[nH+]c2c(c1)CCCC2 ZINC000461209112 331311906 /nfs/dbraw/zinc/31/19/06/331311906.db2.gz MADBPMDJKQBFIS-UHFFFAOYSA-N 1 2 286.350 3.720 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@H](C)C3(CCCCC3)C2)no1 ZINC000462453328 331322257 /nfs/dbraw/zinc/32/22/57/331322257.db2.gz DQACQEBTJLQCIP-AWEZNQCLSA-N 1 2 291.439 3.814 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@H](C)C3(CCCCC3)C2)no1 ZINC000462453328 331322258 /nfs/dbraw/zinc/32/22/58/331322258.db2.gz DQACQEBTJLQCIP-AWEZNQCLSA-N 1 2 291.439 3.814 20 0 CHADLO Cc1nc(N[C@H]2CCCC[C@H]2Cc2ccccc2)cc[nH+]1 ZINC000462825427 331331587 /nfs/dbraw/zinc/33/15/87/331331587.db2.gz JFGLRNSNAIDNKM-IRXDYDNUSA-N 1 2 281.403 3.998 20 0 CHADLO Cc1cc(N[C@@H](C)C2CCCCC2)nc(-c2ccncc2)[nH+]1 ZINC000462868998 331333254 /nfs/dbraw/zinc/33/32/54/331333254.db2.gz UGCWTTHLHAWILI-AWEZNQCLSA-N 1 2 296.418 3.650 20 0 CHADLO Clc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)nc1 ZINC000463052050 331338774 /nfs/dbraw/zinc/33/87/74/331338774.db2.gz KDBKQVIIYYBYMT-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO OCCC[N@H+](C[C@@H]1C[C@H]1c1ccccc1)c1ccccc1 ZINC000463084436 331339898 /nfs/dbraw/zinc/33/98/98/331339898.db2.gz AHCLPNBMNKETLO-HKUYNNGSSA-N 1 2 281.399 3.679 20 0 CHADLO OCCC[N@@H+](C[C@@H]1C[C@H]1c1ccccc1)c1ccccc1 ZINC000463084436 331339899 /nfs/dbraw/zinc/33/98/99/331339899.db2.gz AHCLPNBMNKETLO-HKUYNNGSSA-N 1 2 281.399 3.679 20 0 CHADLO CCCCCc1[nH+]c2ccccc2n1CC1(O)CCC1 ZINC000466370130 331393732 /nfs/dbraw/zinc/39/37/32/331393732.db2.gz KXTFVPZMJHBEOS-UHFFFAOYSA-N 1 2 272.392 3.684 20 0 CHADLO Cc1cc(C)cc(CNc2[nH+]cccc2OC(F)F)c1 ZINC000469460087 331452030 /nfs/dbraw/zinc/45/20/30/331452030.db2.gz BWGRXEQFXXPCAW-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO CC(C)[C@H](NC(=O)c1ccc(C(C)(C)C)cc1)c1[nH]cc[nH+]1 ZINC000473277394 331550236 /nfs/dbraw/zinc/55/02/36/331550236.db2.gz OISLDLDBTKPWRZ-HNNXBMFYSA-N 1 2 299.418 3.834 20 0 CHADLO CC(C)[C@@H](NC(=O)CCCC1CCCCC1)c1[nH]cc[nH+]1 ZINC000473306932 331550392 /nfs/dbraw/zinc/55/03/92/331550392.db2.gz YXEHZFRRLMIBNE-MRXNPFEDSA-N 1 2 291.439 3.974 20 0 CHADLO CC1=C(C)CN(c2cc(N3CCC(C)=C(C)C3)[nH+]cn2)CC1 ZINC000481077713 331797288 /nfs/dbraw/zinc/79/72/88/331797288.db2.gz FZGGPPNVDBKWKI-UHFFFAOYSA-N 1 2 298.434 3.570 20 0 CHADLO CCc1cc(N2CCC(C)=C(C)C2)nc(-c2ccncc2)[nH+]1 ZINC000481087082 331797794 /nfs/dbraw/zinc/79/77/94/331797794.db2.gz XXMMXWKKAHYDII-UHFFFAOYSA-N 1 2 294.402 3.648 20 0 CHADLO CC(C)[C@H]1CC[C@@H](C)C[C@@H]1NC(=O)c1ccc2[nH+]ccn2c1 ZINC000482748656 331845317 /nfs/dbraw/zinc/84/53/17/331845317.db2.gz DHLZFZFKLMKXSR-BMFZPTHFSA-N 1 2 299.418 3.525 20 0 CHADLO CC[C@H]1CN(Cc2cn3ccccc3[nH+]2)c2ccccc2O1 ZINC000484742649 331904812 /nfs/dbraw/zinc/90/48/12/331904812.db2.gz ZDUOMXMCDDRDLR-HNNXBMFYSA-N 1 2 293.370 3.512 20 0 CHADLO FC(F)C[NH2+]Cc1cc(Cl)cc(C(F)(F)F)c1 ZINC000485279257 331911375 /nfs/dbraw/zinc/91/13/75/331911375.db2.gz VTIWNWQTODNZMU-UHFFFAOYSA-N 1 2 273.632 3.714 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@H]1CCCC[C@@H]1C ZINC000485457814 331914662 /nfs/dbraw/zinc/91/46/62/331914662.db2.gz MLJWHTBWJONZIQ-SMDDNHRTSA-N 1 2 275.396 3.646 20 0 CHADLO CC[C@@H](NC(=O)Nc1c(C)cc[nH+]c1C)C(C)(C)C ZINC000485527996 331916087 /nfs/dbraw/zinc/91/60/87/331916087.db2.gz NESVCLKDIDBRDY-GFCCVEGCSA-N 1 2 263.385 3.645 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC(C)(C)CC(C)(C)C1 ZINC000485525563 331916171 /nfs/dbraw/zinc/91/61/71/331916171.db2.gz HFRVFFOTZPTRAC-UHFFFAOYSA-N 1 2 289.423 3.988 20 0 CHADLO C[C@H]1CSCCN(c2[nH+]ccc3ccc(F)cc32)C1 ZINC000487062588 331955734 /nfs/dbraw/zinc/95/57/34/331955734.db2.gz NEWBALGFDYFACV-LLVKDONJSA-N 1 2 276.380 3.563 20 0 CHADLO Cc1cc(N[C@H]2CCC[C@H](c3ccccc3)C2)nc[nH+]1 ZINC000487119654 331956847 /nfs/dbraw/zinc/95/68/47/331956847.db2.gz RRJUXXIPMDXVNW-HOTGVXAUSA-N 1 2 267.376 3.923 20 0 CHADLO Cc1cc(Cl)ccc1OCCCNc1cccc[nH+]1 ZINC000511709711 332940323 /nfs/dbraw/zinc/94/03/23/332940323.db2.gz FCGJEYASAQVQGP-UHFFFAOYSA-N 1 2 276.767 3.924 20 0 CHADLO CSc1ccc(N[C@@H]2CS[C@@H](C(C)C)C2)[nH+]c1 ZINC000488449293 332010076 /nfs/dbraw/zinc/01/00/76/332010076.db2.gz PEJCEFQRJGJFAY-CMPLNLGQSA-N 1 2 268.451 3.746 20 0 CHADLO Cc1cc(N2CC3(CCC3)[C@H]2C(C)C)nc(C2CC2)[nH+]1 ZINC000488504261 332014310 /nfs/dbraw/zinc/01/43/10/332014310.db2.gz PKFNSAWAJJKNTE-OAHLLOKOSA-N 1 2 271.408 3.677 20 0 CHADLO COCC(C)(C)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000488700518 332022016 /nfs/dbraw/zinc/02/20/16/332022016.db2.gz QKXUXZYABHLTKD-UHFFFAOYSA-N 1 2 278.783 3.973 20 0 CHADLO Cc1ccoc1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000488704141 332022102 /nfs/dbraw/zinc/02/21/02/332022102.db2.gz YFOUYOCGJUFYFR-UHFFFAOYSA-N 1 2 273.380 3.659 20 0 CHADLO C[C@H](CCC(F)(F)F)[NH2+][C@H](C1CC1)C(F)(F)F ZINC000489940182 332073315 /nfs/dbraw/zinc/07/33/15/332073315.db2.gz GTNJMVFATPZDIA-HTRCEHHLSA-N 1 2 263.225 3.648 20 0 CHADLO O=C(/C=C/c1ccsc1)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000491506904 332225363 /nfs/dbraw/zinc/22/53/63/332225363.db2.gz QOHRFFSWFWKWTE-SNAWJCMRSA-N 1 2 295.367 3.790 20 0 CHADLO O=C(N[C@@H]1CC=CCC1)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000172484649 335106495 /nfs/dbraw/zinc/10/64/95/335106495.db2.gz FYAYPILNVPRMSN-OAHLLOKOSA-N 1 2 294.354 3.712 20 0 CHADLO CC(C)Sc1ccccc1NC(=O)C=Cc1c[nH]c[nH+]1 ZINC000493608987 332446934 /nfs/dbraw/zinc/44/69/34/332446934.db2.gz BFTHFBJEAGCEGE-BQYQJAHWSA-N 1 2 287.388 3.562 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cscn2)C2CC2)cc1C ZINC000172552658 335109016 /nfs/dbraw/zinc/10/90/16/335109016.db2.gz BYXVSTMYGVORPN-UHFFFAOYSA-N 1 2 272.417 3.925 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cscn2)C2CC2)cc1C ZINC000172552658 335109018 /nfs/dbraw/zinc/10/90/18/335109018.db2.gz BYXVSTMYGVORPN-UHFFFAOYSA-N 1 2 272.417 3.925 20 0 CHADLO CCCOc1cccc2c(NC[C@@H](O)CCC)cc[nH+]c12 ZINC000494063160 332462380 /nfs/dbraw/zinc/46/23/80/332462380.db2.gz AUDDKOCAKNNBAI-ZDUSSCGKSA-N 1 2 288.391 3.597 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2cocn2)c2ccccc2)cc1 ZINC000495008977 332499728 /nfs/dbraw/zinc/49/97/28/332499728.db2.gz OGKDNMVYRCRAJM-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO C(=C/c1ccncc1)\C[NH+](Cc1ccco1)Cc1ccco1 ZINC000495057683 332501884 /nfs/dbraw/zinc/50/18/84/332501884.db2.gz GXIPBQBORRSNPT-DAFODLJHSA-N 1 2 294.354 3.983 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](c2ccc(F)cc2)C(C)C)n1 ZINC000502511267 332664028 /nfs/dbraw/zinc/66/40/28/332664028.db2.gz LXIKOWROYQBGJY-NHYWBVRUSA-N 1 2 291.370 3.819 20 0 CHADLO Cc1cc(C[NH2+][C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)no1 ZINC000503438132 332689702 /nfs/dbraw/zinc/68/97/02/332689702.db2.gz RVPQQVHAHODJDD-BONVTDFDSA-N 1 2 276.767 3.661 20 0 CHADLO Cn1cccc1C[N@@H+]1CCc2c(Cl)c(Cl)ccc2C1 ZINC000513111786 333004805 /nfs/dbraw/zinc/00/48/05/333004805.db2.gz MLHGLOQOVMFVLY-UHFFFAOYSA-N 1 2 295.213 3.890 20 0 CHADLO Cn1cccc1C[N@H+]1CCc2c(Cl)c(Cl)ccc2C1 ZINC000513111786 333004807 /nfs/dbraw/zinc/00/48/07/333004807.db2.gz MLHGLOQOVMFVLY-UHFFFAOYSA-N 1 2 295.213 3.890 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@@H+]1Cc1ccc(Cl)cc1Cl ZINC000513708380 333034400 /nfs/dbraw/zinc/03/44/00/333034400.db2.gz RFUHJTYHORHRMT-ZWNOBZJWSA-N 1 2 288.218 3.993 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@H+]1Cc1ccc(Cl)cc1Cl ZINC000513708380 333034402 /nfs/dbraw/zinc/03/44/02/333034402.db2.gz RFUHJTYHORHRMT-ZWNOBZJWSA-N 1 2 288.218 3.993 20 0 CHADLO CC[C@H](C)Oc1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000176469441 333042294 /nfs/dbraw/zinc/04/22/94/333042294.db2.gz PDENLCGOGKHONY-ZDUSSCGKSA-N 1 2 284.359 3.820 20 0 CHADLO CCC[N@@H+](Cc1ccc(SC)cc1)CC(F)F ZINC000514004234 333059195 /nfs/dbraw/zinc/05/91/95/333059195.db2.gz FBCDJLOWMSVGFW-UHFFFAOYSA-N 1 2 259.365 3.886 20 0 CHADLO CCC[N@H+](Cc1ccc(SC)cc1)CC(F)F ZINC000514004234 333059196 /nfs/dbraw/zinc/05/91/96/333059196.db2.gz FBCDJLOWMSVGFW-UHFFFAOYSA-N 1 2 259.365 3.886 20 0 CHADLO CC(C)CCc1noc(C[N@@H+]2C[C@H](C)[C@H]2c2ccccc2)n1 ZINC000177827800 333063724 /nfs/dbraw/zinc/06/37/24/333063724.db2.gz YAGMXNDHWASKNS-KSSFIOAISA-N 1 2 299.418 3.851 20 0 CHADLO CC(C)CCc1noc(C[N@H+]2C[C@H](C)[C@H]2c2ccccc2)n1 ZINC000177827800 333063725 /nfs/dbraw/zinc/06/37/25/333063725.db2.gz YAGMXNDHWASKNS-KSSFIOAISA-N 1 2 299.418 3.851 20 0 CHADLO C[C@@H](Nc1cnn([C@@H](C)C2CC2)c1)c1cn2ccccc2[nH+]1 ZINC000575819626 335118943 /nfs/dbraw/zinc/11/89/43/335118943.db2.gz BBEPACBESOHLEG-OLZOCXBDSA-N 1 2 295.390 3.675 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(C3CC3)cc2)o1 ZINC000516635329 333065866 /nfs/dbraw/zinc/06/58/66/333065866.db2.gz IWLHDVNHIRTOMS-NWDGAFQWSA-N 1 2 285.391 3.921 20 0 CHADLO Cc1ccc(Cc2nc(-c3cc4ccccc4o3)no2)c[nH+]1 ZINC000088338865 333088103 /nfs/dbraw/zinc/08/81/03/333088103.db2.gz LPEUMPKYPHVHHU-UHFFFAOYSA-N 1 2 291.310 3.777 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000516968068 333088408 /nfs/dbraw/zinc/08/84/08/333088408.db2.gz BWYOYZGIFCCMQD-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H](c1cccnc1)[N@H+]1CCc2sc(Cl)cc2C1 ZINC000516968068 333088409 /nfs/dbraw/zinc/08/84/09/333088409.db2.gz BWYOYZGIFCCMQD-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO CCC[C@@H](CC)[NH2+][C@@H](C(=O)OC)c1ccc(Cl)cc1 ZINC000517006675 333089502 /nfs/dbraw/zinc/08/95/02/333089502.db2.gz MVZLYXUDAYAQAM-ZIAGYGMSSA-N 1 2 283.799 3.722 20 0 CHADLO Cc1cc(NC(=O)C[C@@H](C)n2cc[nH+]c2)ccc1C1CC1 ZINC000517032784 333090441 /nfs/dbraw/zinc/09/04/41/333090441.db2.gz AYUMOHUCPHGGMZ-CYBMUJFWSA-N 1 2 283.375 3.659 20 0 CHADLO Cc1cc(N2CCC[C@@]2(C)Cc2cccc(F)c2)nc[nH+]1 ZINC000517134304 333093117 /nfs/dbraw/zinc/09/31/17/333093117.db2.gz LTDITNDVJHACRA-KRWDZBQOSA-N 1 2 285.366 3.526 20 0 CHADLO Cc1cccc(N(C)Cc2cn3cc(C)ccc3[nH+]2)c1 ZINC000517595537 333103275 /nfs/dbraw/zinc/10/32/75/333103275.db2.gz YKNFFGLNTCGOKS-UHFFFAOYSA-N 1 2 265.360 3.588 20 0 CHADLO CCCc1nc(C[N@H+](CC)[C@H](CC)c2ccccc2)no1 ZINC000521197453 333114687 /nfs/dbraw/zinc/11/46/87/333114687.db2.gz LSTITBNFJXWDSL-OAHLLOKOSA-N 1 2 287.407 3.995 20 0 CHADLO CCCc1nc(C[N@@H+](CC)[C@H](CC)c2ccccc2)no1 ZINC000521197453 333114688 /nfs/dbraw/zinc/11/46/88/333114688.db2.gz LSTITBNFJXWDSL-OAHLLOKOSA-N 1 2 287.407 3.995 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(Cl)cc2F)c1 ZINC000214049012 333116290 /nfs/dbraw/zinc/11/62/90/333116290.db2.gz QGDUAKONLNIMFX-UHFFFAOYSA-N 1 2 280.730 3.803 20 0 CHADLO COC(=O)[C@@H](C)[NH2+][C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000184924733 333133303 /nfs/dbraw/zinc/13/33/03/333133303.db2.gz BDFJIWPIXVUIJT-WDEREUQCSA-N 1 2 291.778 3.705 20 0 CHADLO CC[C@H]1Cc2ccccc2CN1C(=O)Nc1cc[nH+]c(C)c1 ZINC000185553940 333143577 /nfs/dbraw/zinc/14/35/77/333143577.db2.gz BFFKGYABBGSXRX-KRWDZBQOSA-N 1 2 295.386 3.759 20 0 CHADLO COCC1=CC[N@H+](Cc2ccc(Cl)cc2Cl)CC1 ZINC000185808887 333149389 /nfs/dbraw/zinc/14/93/89/333149389.db2.gz IEOMBACMHZZUNG-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO COCC1=CC[N@@H+](Cc2ccc(Cl)cc2Cl)CC1 ZINC000185808887 333149391 /nfs/dbraw/zinc/14/93/91/333149391.db2.gz IEOMBACMHZZUNG-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@@H](C)CCC1CC1 ZINC000186445419 333161101 /nfs/dbraw/zinc/16/11/01/333161101.db2.gz PNGKEOPYKRKXIJ-HNNXBMFYSA-N 1 2 288.435 3.822 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@@H](C)c1ncc(C)o1 ZINC000220897303 333170303 /nfs/dbraw/zinc/17/03/03/333170303.db2.gz QTUHKYFDYSPHAX-STQMWFEESA-N 1 2 274.364 3.712 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccccc2C(F)(F)F)o1 ZINC000220924546 333170864 /nfs/dbraw/zinc/17/08/64/333170864.db2.gz RJVJYYADCFHKDB-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO COc1cc(C)ccc1[NH2+][C@@H](C)C1CCSCC1 ZINC000187507954 333171722 /nfs/dbraw/zinc/17/17/22/333171722.db2.gz DWSCHHPLEKUJLS-LBPRGKRZSA-N 1 2 265.422 3.947 20 0 CHADLO Cc1c[nH+]c(CCSc2ccc3n[nH]cc3c2)c(C)c1 ZINC000521873894 333195009 /nfs/dbraw/zinc/19/50/09/333195009.db2.gz SFKOHQDATLNKEK-UHFFFAOYSA-N 1 2 283.400 3.910 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(Cl)c1Cl)c1nncn1C ZINC000223136921 333204760 /nfs/dbraw/zinc/20/47/60/333204760.db2.gz VVEAAIZHFBXOJY-RKDXNWHRSA-N 1 2 299.205 3.534 20 0 CHADLO CCN(C)c1ccc(CNc2ccc(C)cc2C)c[nH+]1 ZINC000190806281 333210915 /nfs/dbraw/zinc/21/09/15/333210915.db2.gz JQNZZYWEFGMCTR-UHFFFAOYSA-N 1 2 269.392 3.767 20 0 CHADLO Cc1c([C@H](C)Nc2ccc(C)[nH+]c2)cnn1C(C)C ZINC000191447933 333219960 /nfs/dbraw/zinc/21/99/60/333219960.db2.gz MFWNOEPYWVRFIE-LBPRGKRZSA-N 1 2 258.369 3.649 20 0 CHADLO Cc1cc(C)c(NC(=O)c2csc(Cl)c2)c(C)[nH+]1 ZINC000191821708 333225833 /nfs/dbraw/zinc/22/58/33/333225833.db2.gz LHDZQDTYJKFBAB-UHFFFAOYSA-N 1 2 280.780 3.974 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)Nc1ccsc1Cl ZINC000521974892 333228998 /nfs/dbraw/zinc/22/89/98/333228998.db2.gz JOISFQAZJUURHK-MRVPVSSYSA-N 1 2 298.799 3.501 20 0 CHADLO CC(C)C[C@@H](Nc1[nH+]cnc2c1cnn2C)c1ccccc1 ZINC000192941527 333240518 /nfs/dbraw/zinc/24/05/18/333240518.db2.gz FQIUBAZHMLERGO-OAHLLOKOSA-N 1 2 295.390 3.563 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nccn2C(F)F)c(C)s1 ZINC000195026522 333243474 /nfs/dbraw/zinc/24/34/74/333243474.db2.gz UKAJJGAQFARIHK-UHFFFAOYSA-N 1 2 285.363 3.589 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nccn2C(F)F)c(C)s1 ZINC000195026522 333243476 /nfs/dbraw/zinc/24/34/76/333243476.db2.gz UKAJJGAQFARIHK-UHFFFAOYSA-N 1 2 285.363 3.589 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)c3ccccc3)ccc2[nH+]1 ZINC000195057579 333244242 /nfs/dbraw/zinc/24/42/42/333244242.db2.gz IZEYHZADXOMEIS-UHFFFAOYSA-N 1 2 291.354 3.553 20 0 CHADLO CCCC(=O)Nc1ccc(Oc2cc[nH+]cc2)c(C)c1 ZINC000193188444 333244607 /nfs/dbraw/zinc/24/46/07/333244607.db2.gz UIONBJLIQSPAAQ-UHFFFAOYSA-N 1 2 270.332 3.921 20 0 CHADLO CC[N@H+](Cc1cnn(C)c1)Cc1ccc(Cl)cc1Cl ZINC000195118162 333245682 /nfs/dbraw/zinc/24/56/82/333245682.db2.gz ZJUCAZTXDFDBHO-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1cnn(C)c1)Cc1ccc(Cl)cc1Cl ZINC000195118162 333245684 /nfs/dbraw/zinc/24/56/84/333245684.db2.gz ZJUCAZTXDFDBHO-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CCC[C@@H](C)CC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000522137799 333248475 /nfs/dbraw/zinc/24/84/75/333248475.db2.gz LVIQSNVNIPMDEU-CYBMUJFWSA-N 1 2 285.391 3.852 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccccc2OC(C)C)o1 ZINC000522157082 333253014 /nfs/dbraw/zinc/25/30/14/333253014.db2.gz LWPBBPKXRIKKNB-NEPJUHHUSA-N 1 2 289.379 3.577 20 0 CHADLO CCCc1nc(C[NH2+][C@H](CC)c2ccc(Cl)cc2)no1 ZINC000193959028 333261558 /nfs/dbraw/zinc/26/15/58/333261558.db2.gz FGWSHDCKWNTTMG-CYBMUJFWSA-N 1 2 293.798 3.916 20 0 CHADLO CC(C)Cc1ccc(C[NH2+]Cc2nn(C)cc2Cl)cc1 ZINC000393356056 333276982 /nfs/dbraw/zinc/27/69/82/333276982.db2.gz TXURCBFWXHOHCL-UHFFFAOYSA-N 1 2 291.826 3.562 20 0 CHADLO C[C@@H](CNc1ccc2ccccc2[nH+]1)c1nccs1 ZINC000230604878 333318951 /nfs/dbraw/zinc/31/89/51/333318951.db2.gz WBXJIMPLSCAQJW-NSHDSACASA-N 1 2 269.373 3.907 20 0 CHADLO c1ccc2c(c1)CC(Nc1ccc3ccccc3[nH+]1)C2 ZINC000231039128 333324029 /nfs/dbraw/zinc/32/40/29/333324029.db2.gz JEPGRNNKIYHTBB-UHFFFAOYSA-N 1 2 260.340 3.814 20 0 CHADLO CCOC[C@H](Nc1ccc2ccccc2[nH+]1)C(C)C ZINC000233804458 333355348 /nfs/dbraw/zinc/35/53/48/333355348.db2.gz GIEWLZJATZXVFW-HNNXBMFYSA-N 1 2 258.365 3.708 20 0 CHADLO C[C@H]([NH2+]Cc1ccsc1Cl)c1cscn1 ZINC000312782458 333376300 /nfs/dbraw/zinc/37/63/00/333376300.db2.gz PUEGCSDMYSWLBS-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO Clc1cccnc1C[NH2+][C@H](c1ccccn1)C1CCC1 ZINC000528656189 333451006 /nfs/dbraw/zinc/45/10/06/333451006.db2.gz SQRIXMCJMUJKCO-INIZCTEOSA-N 1 2 287.794 3.761 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](c2cccc(C)c2)C(C)C)no1 ZINC000528763239 333456051 /nfs/dbraw/zinc/45/60/51/333456051.db2.gz ANNSEEJOHLSHMM-SWLSCSKDSA-N 1 2 273.380 3.734 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2noc(C(C)C)n2)C(C)C)c1 ZINC000528767778 333456620 /nfs/dbraw/zinc/45/66/20/333456620.db2.gz PWHMTDSBJZURKS-INIZCTEOSA-N 1 2 287.407 3.988 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C(F)F)no1)c1cc(C)ccc1C ZINC000528801957 333459061 /nfs/dbraw/zinc/45/90/61/333459061.db2.gz YZRVXBFMSOUNGJ-LBPRGKRZSA-N 1 2 295.333 3.865 20 0 CHADLO CC(C)c1cc(Cl)ccc1NC(=O)CCn1cc[nH+]c1 ZINC000529853246 333511378 /nfs/dbraw/zinc/51/13/78/333511378.db2.gz UZGCFKGTZQQRBE-UHFFFAOYSA-N 1 2 291.782 3.689 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1cc(C)ccc1C ZINC000037215973 333515817 /nfs/dbraw/zinc/51/58/17/333515817.db2.gz DUAYNSHKWNCHRX-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO Cn1cc[nH+]c1CNc1ccc2c(c1)Cc1ccccc1-2 ZINC000037302104 333531656 /nfs/dbraw/zinc/53/16/56/333531656.db2.gz LSNDIWWNXUSLQX-UHFFFAOYSA-N 1 2 275.355 3.603 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(Cl)c1F)c1nccs1 ZINC000125146330 333564364 /nfs/dbraw/zinc/56/43/64/333564364.db2.gz RTRSVDITSHBUDR-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@H](c1ccc(Cl)cc1)[C@H]1C[C@@H]1C ZINC000538323092 333585162 /nfs/dbraw/zinc/58/51/62/333585162.db2.gz IKKWSPTZGDPIRY-LWWSYDQCSA-N 1 2 295.810 3.578 20 0 CHADLO FC(F)(F)C1C[NH+](C/C=C\c2ccc(Cl)cc2)C1 ZINC000576075014 335149762 /nfs/dbraw/zinc/14/97/62/335149762.db2.gz VAXJTVBPOVYJAL-UPHRSURJSA-N 1 2 275.701 3.847 20 0 CHADLO Fc1ccc(F)c(CN[C@H](c2[nH]cc[nH+]2)c2ccccc2)c1 ZINC000126737033 333603867 /nfs/dbraw/zinc/60/38/67/333603867.db2.gz JHCTYHOIPQTZLL-INIZCTEOSA-N 1 2 299.324 3.567 20 0 CHADLO CCCCCn1cc(C[NH2+][C@@H](C)c2cscn2)c(C)n1 ZINC000539042984 333650550 /nfs/dbraw/zinc/65/05/50/333650550.db2.gz KXCPNZCIRKSOLD-ZDUSSCGKSA-N 1 2 292.452 3.689 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1ccccc1)C(=O)OC(C)(C)C ZINC000540751589 333745591 /nfs/dbraw/zinc/74/55/91/333745591.db2.gz IKWVIEQLFWKYEI-ZFWWWQNUSA-N 1 2 277.408 3.704 20 0 CHADLO CCCCc1noc(C[NH2+][C@]2(C)CCCc3ccccc32)n1 ZINC000540897085 333752220 /nfs/dbraw/zinc/75/22/20/333752220.db2.gz GSZSABFWCQZRSQ-GOSISDBHSA-N 1 2 299.418 3.754 20 0 CHADLO CC(C)(C)c1noc(C[NH2+][C@@]2(C)CCCc3ccccc32)n1 ZINC000540906899 333752686 /nfs/dbraw/zinc/75/26/86/333752686.db2.gz ZFIZNTNZAMIVRD-SFHVURJKSA-N 1 2 299.418 3.708 20 0 CHADLO Cc1cc([NH2+]CC(C)(C)C)ccc1-n1nnnc1C(C)C ZINC000541387228 333775020 /nfs/dbraw/zinc/77/50/20/333775020.db2.gz UQCXEEMUBZXRFP-UHFFFAOYSA-N 1 2 287.411 3.552 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1ccc(Cl)c(F)c1 ZINC000541770919 333791583 /nfs/dbraw/zinc/79/15/83/333791583.db2.gz CIVIPMYYODBAHQ-BDAKNGLRSA-N 1 2 268.719 3.879 20 0 CHADLO C[C@@H]1CN(c2[nH+]c3ccccc3n2C)[C@@H]2CCCC[C@@H]21 ZINC000543894781 333905097 /nfs/dbraw/zinc/90/50/97/333905097.db2.gz RLQVLBXCNYOHRP-UMVBOHGHSA-N 1 2 269.392 3.588 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]CC[C@@H]1CCCCO1)C(F)(F)F ZINC000545214627 333969694 /nfs/dbraw/zinc/96/96/94/333969694.db2.gz HHESYCNIVFBDLU-WDEREUQCSA-N 1 2 267.335 3.512 20 0 CHADLO CC[C@@H](C)c1csc(Cc2cn3ccccc3[nH+]2)n1 ZINC000132494533 333981782 /nfs/dbraw/zinc/98/17/82/333981782.db2.gz DFTWXPRWNOUNFT-LLVKDONJSA-N 1 2 271.389 3.895 20 0 CHADLO Cc1cc(OCCOC(C)C)c2cccc(C)c2[nH+]1 ZINC000545471467 333983876 /nfs/dbraw/zinc/98/38/76/333983876.db2.gz FTMDUGUFEOWRSN-UHFFFAOYSA-N 1 2 259.349 3.655 20 0 CHADLO CCCc1[nH+]c2ccccc2n1CCc1nc(C(C)C)no1 ZINC000545557660 333988422 /nfs/dbraw/zinc/98/84/22/333988422.db2.gz VKGMIONTNBTYEQ-UHFFFAOYSA-N 1 2 298.390 3.738 20 0 CHADLO CCCc1ccc(-c2nc(Cc3ccc(C)[nH+]c3)no2)cc1 ZINC000545632489 333992429 /nfs/dbraw/zinc/99/24/29/333992429.db2.gz ZYWGVGGASNMEDV-UHFFFAOYSA-N 1 2 293.370 3.983 20 0 CHADLO CCC[C@H](C)Cc1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000546388925 334030514 /nfs/dbraw/zinc/03/05/14/334030514.db2.gz BSKWURMNOUWFKC-LBPRGKRZSA-N 1 2 298.390 3.595 20 0 CHADLO Cc1[nH]c2ccc(CSc3nc(C)c(C)o3)cc2[nH+]1 ZINC000546641146 334042979 /nfs/dbraw/zinc/04/29/79/334042979.db2.gz MPWWBEZMPOJPEK-UHFFFAOYSA-N 1 2 273.361 3.768 20 0 CHADLO Cc1[nH]c2cc(CSc3nc(C)c(C)o3)ccc2[nH+]1 ZINC000546641146 334042980 /nfs/dbraw/zinc/04/29/80/334042980.db2.gz MPWWBEZMPOJPEK-UHFFFAOYSA-N 1 2 273.361 3.768 20 0 CHADLO CC[C@@H](NC(=O)c1ccc2[nH+]ccn2c1)c1ccc(C)cc1 ZINC000075474408 334043006 /nfs/dbraw/zinc/04/30/06/334043006.db2.gz KUPSNPORGKMGGL-MRXNPFEDSA-N 1 2 293.370 3.524 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)o1 ZINC000075586228 334045680 /nfs/dbraw/zinc/04/56/80/334045680.db2.gz PZRMYDMFBGKVOQ-GFCCVEGCSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)o1 ZINC000075586228 334045681 /nfs/dbraw/zinc/04/56/81/334045681.db2.gz PZRMYDMFBGKVOQ-GFCCVEGCSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)o1 ZINC000075642102 334047940 /nfs/dbraw/zinc/04/79/40/334047940.db2.gz BWJZLBGSSNKMHR-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)o1 ZINC000075642102 334047941 /nfs/dbraw/zinc/04/79/41/334047941.db2.gz BWJZLBGSSNKMHR-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO CC[C@@H](CC(F)(F)F)[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000546886674 334053930 /nfs/dbraw/zinc/05/39/30/334053930.db2.gz BHLUDKRLAFGSAH-BDAKNGLRSA-N 1 2 293.333 3.749 20 0 CHADLO CC(C)C[C@@H](C)Cc1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000547018121 334061938 /nfs/dbraw/zinc/06/19/38/334061938.db2.gz DCCUFSRUIOSXHA-CYBMUJFWSA-N 1 2 298.390 3.533 20 0 CHADLO CCC1(c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)CCC1 ZINC000547101953 334066641 /nfs/dbraw/zinc/06/66/41/334066641.db2.gz ZUOBLUYYMPDDDA-UHFFFAOYSA-N 1 2 294.358 3.754 20 0 CHADLO C[C@@H]1CC[N@H+](CC(=O)Nc2ccc(F)cc2Cl)[C@H](C)C1 ZINC000077319716 334102351 /nfs/dbraw/zinc/10/23/51/334102351.db2.gz KNQPKXDXJSZEBY-GHMZBOCLSA-N 1 2 298.789 3.538 20 0 CHADLO C[C@@H]1CC[N@@H+](CC(=O)Nc2ccc(F)cc2Cl)[C@H](C)C1 ZINC000077319716 334102352 /nfs/dbraw/zinc/10/23/52/334102352.db2.gz KNQPKXDXJSZEBY-GHMZBOCLSA-N 1 2 298.789 3.538 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1ccc(F)cc1F ZINC000134783261 334104051 /nfs/dbraw/zinc/10/40/51/334104051.db2.gz NYVPJYNDJYCPFR-UHFFFAOYSA-N 1 2 297.732 3.917 20 0 CHADLO Cc1sc2[nH]c([C@H](C)[NH+]3[C@@H](C)C[C@@H]3C)nc(=O)c2c1C ZINC000547770377 334116101 /nfs/dbraw/zinc/11/61/01/334116101.db2.gz QVYJJYJEZAIANK-NRPADANISA-N 1 2 291.420 3.557 20 0 CHADLO CC[C@H](C)n1ncc(Nc2cc(C)[nH+]c(C)n2)c1C1CC1 ZINC000548038098 334136232 /nfs/dbraw/zinc/13/62/32/334136232.db2.gz LMLLTBPCRQQBRR-NSHDSACASA-N 1 2 285.395 3.882 20 0 CHADLO Cc1cn2cc(NC(=O)C3(C)CCCCC3)ccc2[nH+]1 ZINC000548046026 334136641 /nfs/dbraw/zinc/13/66/41/334136641.db2.gz NRFHZFDITOZJQG-UHFFFAOYSA-N 1 2 271.364 3.552 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1csc(-c2cccs2)n1 ZINC000548098021 334139992 /nfs/dbraw/zinc/13/99/92/334139992.db2.gz CPVNOTBHNSOZPU-NXEZZACHSA-N 1 2 264.419 3.854 20 0 CHADLO CC1(C)CCC[C@H]1C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000548135233 334141714 /nfs/dbraw/zinc/14/17/14/334141714.db2.gz OXWAYCPZJWYIQR-HNNXBMFYSA-N 1 2 297.402 3.519 20 0 CHADLO CCn1cc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2F)cn1 ZINC000548157921 334143779 /nfs/dbraw/zinc/14/37/79/334143779.db2.gz BACAKTIDMYOIEL-NSHDSACASA-N 1 2 295.789 3.889 20 0 CHADLO CCn1cc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2F)cn1 ZINC000548157921 334143781 /nfs/dbraw/zinc/14/37/81/334143781.db2.gz BACAKTIDMYOIEL-NSHDSACASA-N 1 2 295.789 3.889 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(Cl)cc2C)no1 ZINC000136160070 334148536 /nfs/dbraw/zinc/14/85/36/334148536.db2.gz BMJNOAKKTDSNBB-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(Cl)cc2C)no1 ZINC000136160070 334148538 /nfs/dbraw/zinc/14/85/38/334148538.db2.gz BMJNOAKKTDSNBB-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc(F)ccc1COc1ccccc1-n1cc[nH+]c1 ZINC000548469963 334158447 /nfs/dbraw/zinc/15/84/47/334158447.db2.gz HYOYOZUQGLTQHY-UHFFFAOYSA-N 1 2 282.318 3.899 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+]Cc2c(F)cccc2F)cn1 ZINC000548728347 334167610 /nfs/dbraw/zinc/16/76/10/334167610.db2.gz JNDOICAZTHGCET-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1c(F)cccc1Br ZINC000548753134 334169703 /nfs/dbraw/zinc/16/97/03/334169703.db2.gz QLEIXSDNCVHRDA-IUCAKERBSA-N 1 2 272.161 3.571 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@@H]1CC[C@@H](C)C1 ZINC000080458313 334171886 /nfs/dbraw/zinc/17/18/86/334171886.db2.gz UDRQZUICZCDWKK-TZMCWYRMSA-N 1 2 263.381 3.621 20 0 CHADLO COc1ccc[nH+]c1NC/C(C)=C/c1ccccc1 ZINC000548780171 334173798 /nfs/dbraw/zinc/17/37/98/334173798.db2.gz GUUNGNCCLGJTDY-ACCUITESSA-N 1 2 254.333 3.606 20 0 CHADLO COC(=O)c1ccc(OCc2ccc(C(C)C)[nH+]c2C)cc1 ZINC000549012203 334182510 /nfs/dbraw/zinc/18/25/10/334182510.db2.gz INFGVHJFJWAPPC-UHFFFAOYSA-N 1 2 299.370 3.879 20 0 CHADLO Clc1ccsc1C[N@@H+]1CCO[C@H](c2ccccc2)C1 ZINC000549041687 334183810 /nfs/dbraw/zinc/18/38/10/334183810.db2.gz QPTHXQZDJOWXFX-AWEZNQCLSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccsc1C[N@H+]1CCO[C@H](c2ccccc2)C1 ZINC000549041687 334183811 /nfs/dbraw/zinc/18/38/11/334183811.db2.gz QPTHXQZDJOWXFX-AWEZNQCLSA-N 1 2 293.819 3.975 20 0 CHADLO CCOC(=O)C(C)(C)[N@H+](C)C/C=C/c1ccc(Cl)cc1 ZINC000550527806 334224115 /nfs/dbraw/zinc/22/41/15/334224115.db2.gz UTTYSBNSAZMXPE-VOTSOKGWSA-N 1 2 295.810 3.627 20 0 CHADLO CCOC(=O)C(C)(C)[N@@H+](C)C/C=C/c1ccc(Cl)cc1 ZINC000550527806 334224116 /nfs/dbraw/zinc/22/41/16/334224116.db2.gz UTTYSBNSAZMXPE-VOTSOKGWSA-N 1 2 295.810 3.627 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000550874952 334231243 /nfs/dbraw/zinc/23/12/43/334231243.db2.gz MEWZHNSUTBXPKF-LLVKDONJSA-N 1 2 295.251 3.817 20 0 CHADLO COc1ccc(F)cc1N[C@H](C)c1cn2ccccc2[nH+]1 ZINC000551297166 334245410 /nfs/dbraw/zinc/24/54/10/334245410.db2.gz VHABUHKJQSKMGD-LLVKDONJSA-N 1 2 285.322 3.655 20 0 CHADLO CC1(C)CCC[C@@H]1C[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000551307950 334246033 /nfs/dbraw/zinc/24/60/33/334246033.db2.gz HCDVVRSXIDXAJM-HUUCEWRRSA-N 1 2 290.455 3.842 20 0 CHADLO CC1(C)CCC[C@@H]1C[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000551307948 334246065 /nfs/dbraw/zinc/24/60/65/334246065.db2.gz HCDVVRSXIDXAJM-CABCVRRESA-N 1 2 290.455 3.842 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@H]3CC4CCC3CC4)ccc2n1C ZINC000551599456 334255747 /nfs/dbraw/zinc/25/57/47/334255747.db2.gz TXMKONDUTLNZLV-PIMMBPRGSA-N 1 2 297.402 3.647 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H]1CC=C(C)CC1)c1cc(C)cc(C)c1 ZINC000552019748 334276380 /nfs/dbraw/zinc/27/63/80/334276380.db2.gz QAOWIAYTQWFCKC-DLBZAZTESA-N 1 2 287.403 3.606 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)ccc1Cl ZINC000552147927 334295463 /nfs/dbraw/zinc/29/54/63/334295463.db2.gz HYABGILQQWSGHJ-HZPDHXFCSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1cc(C[N@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)ccc1Cl ZINC000552147927 334295464 /nfs/dbraw/zinc/29/54/64/334295464.db2.gz HYABGILQQWSGHJ-HZPDHXFCSA-N 1 2 295.785 3.623 20 0 CHADLO CC(C)CCc1noc(C[N@@H+]2C[C@H](C)[C@@H]2c2ccccc2)n1 ZINC000248370854 334299232 /nfs/dbraw/zinc/29/92/32/334299232.db2.gz YAGMXNDHWASKNS-KBXCAEBGSA-N 1 2 299.418 3.851 20 0 CHADLO CC(C)CCc1noc(C[N@H+]2C[C@H](C)[C@@H]2c2ccccc2)n1 ZINC000248370854 334299234 /nfs/dbraw/zinc/29/92/34/334299234.db2.gz YAGMXNDHWASKNS-KBXCAEBGSA-N 1 2 299.418 3.851 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2ncc[nH]2)C2CCCCC2)s1 ZINC000552248594 334308586 /nfs/dbraw/zinc/30/85/86/334308586.db2.gz MMINVNHGMYMXMP-AWEZNQCLSA-N 1 2 290.436 3.586 20 0 CHADLO CC[C@@]1(C)C[N@@H+]([C@H](C)c2ccc(F)c(F)c2)CCO1 ZINC000248899132 334321396 /nfs/dbraw/zinc/32/13/96/334321396.db2.gz GZGNXQWUDXUSCA-ABAIWWIYSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@]1(C)C[N@H+]([C@H](C)c2ccc(F)c(F)c2)CCO1 ZINC000248899132 334321397 /nfs/dbraw/zinc/32/13/97/334321397.db2.gz GZGNXQWUDXUSCA-ABAIWWIYSA-N 1 2 269.335 3.527 20 0 CHADLO CC(C)(C)c1ccc(C(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC000552505816 334332626 /nfs/dbraw/zinc/33/26/26/334332626.db2.gz WPPGTOHEJUNNSP-UHFFFAOYSA-N 1 2 293.370 3.884 20 0 CHADLO Cc1csc([C@@H]2COCC[N@H+]2C[C@H]2CCC[C@@H](C)C2)n1 ZINC000249978266 334342485 /nfs/dbraw/zinc/34/24/85/334342485.db2.gz YKFQMJDGMJULBP-SNPRPXQTSA-N 1 2 294.464 3.651 20 0 CHADLO Cc1csc([C@@H]2COCC[N@@H+]2C[C@H]2CCC[C@@H](C)C2)n1 ZINC000249978266 334342486 /nfs/dbraw/zinc/34/24/86/334342486.db2.gz YKFQMJDGMJULBP-SNPRPXQTSA-N 1 2 294.464 3.651 20 0 CHADLO C[N@H+](CCCSCc1ccccc1)CC(F)F ZINC000090526139 334362572 /nfs/dbraw/zinc/36/25/72/334362572.db2.gz TYGJVRHLHLZOCU-UHFFFAOYSA-N 1 2 259.365 3.507 20 0 CHADLO C[N@@H+](CCCSCc1ccccc1)CC(F)F ZINC000090526139 334362573 /nfs/dbraw/zinc/36/25/73/334362573.db2.gz TYGJVRHLHLZOCU-UHFFFAOYSA-N 1 2 259.365 3.507 20 0 CHADLO Cc1cc[nH+]c(NCCOc2ccccc2F)c1Cl ZINC000553240013 334371263 /nfs/dbraw/zinc/37/12/63/334371263.db2.gz OTJGXSQRBXIXNR-UHFFFAOYSA-N 1 2 280.730 3.673 20 0 CHADLO C[C@@H]1CSCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000553414082 334383091 /nfs/dbraw/zinc/38/30/91/334383091.db2.gz GDXBKLYKMOKURF-SNVBAGLBSA-N 1 2 278.808 3.830 20 0 CHADLO Clc1cccc2c(N[C@@H]3CCCOCC3)cc[nH+]c12 ZINC000553516978 334389740 /nfs/dbraw/zinc/38/97/40/334389740.db2.gz HQSZSZDTZMTYSW-LLVKDONJSA-N 1 2 276.767 3.869 20 0 CHADLO C[C@H](CCc1ccco1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000553803676 334399409 /nfs/dbraw/zinc/39/94/09/334399409.db2.gz DXBCPUYOLLEZPU-CQSZACIVSA-N 1 2 285.391 3.708 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCCCc1cccs1 ZINC000554386837 334427938 /nfs/dbraw/zinc/42/79/38/334427938.db2.gz JFLQWFPOIFNTLB-UHFFFAOYSA-N 1 2 289.404 3.514 20 0 CHADLO Cc1coc(SCCCc2[nH]c3ccccc3[nH+]2)n1 ZINC000554520220 334434788 /nfs/dbraw/zinc/43/47/88/334434788.db2.gz QFIQRNKHUYZNTQ-UHFFFAOYSA-N 1 2 273.361 3.584 20 0 CHADLO FC(F)C1([NH2+][C@H]2CCCc3c4ccccc4[nH]c32)CC1 ZINC000554742454 334450612 /nfs/dbraw/zinc/45/06/12/334450612.db2.gz WXOXMQJVHLATPI-ZDUSSCGKSA-N 1 2 276.330 3.933 20 0 CHADLO CC(C)(CC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1)C1CC1 ZINC000555088157 334467831 /nfs/dbraw/zinc/46/78/31/334467831.db2.gz LHXGNKUZYHEDRI-UHFFFAOYSA-N 1 2 283.375 3.842 20 0 CHADLO FC1(F)CC[C@@H](CSc2[nH+]cc3ccccn32)C1 ZINC000555465388 334479447 /nfs/dbraw/zinc/47/94/47/334479447.db2.gz GAWBGTZGLGSTFJ-SNVBAGLBSA-N 1 2 268.332 3.862 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+][C@H]1COCc2ccccc21 ZINC000556236119 334502246 /nfs/dbraw/zinc/50/22/46/334502246.db2.gz NIUZYKRYXHFYRW-INIZCTEOSA-N 1 2 291.753 3.840 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@H](C3CC3)C2)c1 ZINC000565830205 334600612 /nfs/dbraw/zinc/60/06/12/334600612.db2.gz HZYRLNFIUPGJLL-ZDUSSCGKSA-N 1 2 256.324 3.610 20 0 CHADLO CCc1cnn(Cc2ccc(C(C)C)[nH+]c2CC)c1 ZINC000566688487 334660511 /nfs/dbraw/zinc/66/05/11/334660511.db2.gz AWTLURJWQKDSFP-UHFFFAOYSA-N 1 2 257.381 3.575 20 0 CHADLO CC1=C[C@H](C)C[C@H](C[NH2+][C@H](C2CC2)C(F)(F)F)C1 ZINC000567002909 334672708 /nfs/dbraw/zinc/67/27/08/334672708.db2.gz LXOHPXXXSZNHLB-XHVZSJERSA-N 1 2 261.331 3.909 20 0 CHADLO CCOc1cccc(NCCCc2c[nH+]ccc2C)c1 ZINC000567428808 334716110 /nfs/dbraw/zinc/71/61/10/334716110.db2.gz RQWCTHDASPFZJJ-UHFFFAOYSA-N 1 2 270.376 3.833 20 0 CHADLO c1cc2cccc(CNc3ccc(N4CCCC4)[nH+]c3)c2[nH]1 ZINC000269384171 334723867 /nfs/dbraw/zinc/72/38/67/334723867.db2.gz ADOZNDUDBYAYHY-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO COc1cccc2c1CC[C@@H]2Nc1cc[nH+]c(C(C)C)n1 ZINC000163251057 334738604 /nfs/dbraw/zinc/73/86/04/334738604.db2.gz FPAIDGNOSGEVJI-AWEZNQCLSA-N 1 2 283.375 3.708 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CCC(C)=C(C)C2)c(C)[nH+]1 ZINC000567736999 334756988 /nfs/dbraw/zinc/75/69/88/334756988.db2.gz GNWVXDCYPREDRP-AWEZNQCLSA-N 1 2 258.365 3.773 20 0 CHADLO CCn1cc[nH+]c1/C=C/C(=O)Nc1cc(Cl)ccc1C ZINC000158635531 334808376 /nfs/dbraw/zinc/80/83/76/334808376.db2.gz GWKDGCFEHGGFEO-VOTSOKGWSA-N 1 2 289.766 3.517 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@@H](C)c2cscn2)cccc1[N+](=O)[O-] ZINC000568276858 334811784 /nfs/dbraw/zinc/81/17/84/334811784.db2.gz QLNPLGXVJRFHID-QWRGUYRKSA-N 1 2 291.376 3.772 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)Nc1ccc(C)[nH+]c1 ZINC000164677232 334885494 /nfs/dbraw/zinc/88/54/94/334885494.db2.gz DEQGDOFFASWXQW-CYBMUJFWSA-N 1 2 256.349 3.880 20 0 CHADLO CC[C@@H]1CCC[C@@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000165317436 334894524 /nfs/dbraw/zinc/89/45/24/334894524.db2.gz VLEKJYQLVBQUKV-HIFRSBDPSA-N 1 2 259.397 3.672 20 0 CHADLO CCCCN(CCCc1c[nH+]ccc1C)CC(F)(F)F ZINC000573166715 334914068 /nfs/dbraw/zinc/91/40/68/334914068.db2.gz GFDRBHQQNJMVGF-UHFFFAOYSA-N 1 2 288.357 3.987 20 0 CHADLO CCc1cnc(C[N@H+](C)C/C=C/c2ccccc2)s1 ZINC000175504765 335186977 /nfs/dbraw/zinc/18/69/77/335186977.db2.gz HDWBUAWYGPRREP-JXMROGBWSA-N 1 2 272.417 3.851 20 0 CHADLO CCc1cnc(C[N@@H+](C)C/C=C/c2ccccc2)s1 ZINC000175504765 335186979 /nfs/dbraw/zinc/18/69/79/335186979.db2.gz HDWBUAWYGPRREP-JXMROGBWSA-N 1 2 272.417 3.851 20 0 CHADLO Cc1ccc(NC(=O)NCc2cc3ccccc3o2)c(C)[nH+]1 ZINC000176708550 335325366 /nfs/dbraw/zinc/32/53/66/335325366.db2.gz WYGZVCBATCRUPD-UHFFFAOYSA-N 1 2 295.342 3.766 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(F)c(F)c2F)c(C)[nH+]1 ZINC000176810292 335331713 /nfs/dbraw/zinc/33/17/13/335331713.db2.gz WOEAULYFQRRKIL-UHFFFAOYSA-N 1 2 294.276 3.676 20 0 CHADLO CCc1nn(C)c(CC)c1C[NH2+]C1(C(F)F)CCCCC1 ZINC000577443071 335352553 /nfs/dbraw/zinc/35/25/53/335352553.db2.gz KGUINNVKXCLRCE-UHFFFAOYSA-N 1 2 299.409 3.603 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2ccccn2)nc2ccccc12 ZINC000177100470 335360645 /nfs/dbraw/zinc/36/06/45/335360645.db2.gz CYDDFBITOPYNRB-CQSZACIVSA-N 1 2 292.386 3.526 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2ccccn2)nc2ccccc12 ZINC000177100470 335360646 /nfs/dbraw/zinc/36/06/46/335360646.db2.gz CYDDFBITOPYNRB-CQSZACIVSA-N 1 2 292.386 3.526 20 0 CHADLO CCC1(c2noc([C@@H](c3ccccc3)[NH+](C)C)n2)CCCC1 ZINC000577502511 335360842 /nfs/dbraw/zinc/36/08/42/335360842.db2.gz SVWSCJZYOLUSIQ-OAHLLOKOSA-N 1 2 299.418 3.942 20 0 CHADLO CO[C@H](CNc1cc[nH+]c2c(Cl)cccc12)C1CC1 ZINC000577512264 335362963 /nfs/dbraw/zinc/36/29/63/335362963.db2.gz CXTCURNOPCCIRH-CQSZACIVSA-N 1 2 276.767 3.725 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1ccccc1C(F)(F)F ZINC000346842417 335371382 /nfs/dbraw/zinc/37/13/82/335371382.db2.gz APCCREDOJNBQOI-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO CCCC[C@@H](C)c1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000578047412 335471610 /nfs/dbraw/zinc/47/16/10/335471610.db2.gz ATCGFWOYFAVMKN-CYBMUJFWSA-N 1 2 298.390 3.910 20 0 CHADLO CCc1ccc(N[C@@H](C)c2[nH+]ccn2C)cc1CC ZINC000179839210 335524026 /nfs/dbraw/zinc/52/40/26/335524026.db2.gz AQTRGHWCTWWHDY-LBPRGKRZSA-N 1 2 257.381 3.718 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)c1cccs1)C(=O)OC(C)(C)C ZINC000179926295 335532509 /nfs/dbraw/zinc/53/25/09/335532509.db2.gz BHZXOUSZYNNKFO-WCQYABFASA-N 1 2 283.437 3.765 20 0 CHADLO CCCc1nc(COc2cc(CC)[nH+]c3ccccc32)no1 ZINC000179976356 335537585 /nfs/dbraw/zinc/53/75/85/335537585.db2.gz FWRHFZWZMVRBPL-UHFFFAOYSA-N 1 2 297.358 3.712 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ccco2)c2ccccc2)nc1 ZINC000183286541 335885818 /nfs/dbraw/zinc/88/58/18/335885818.db2.gz CJIMSFJCVSTJHH-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO CCOC1(CNc2cc(C(F)(F)F)cc[nH+]2)CCCC1 ZINC000380694049 336017965 /nfs/dbraw/zinc/01/79/65/336017965.db2.gz FUNMCDWXIQAVAE-UHFFFAOYSA-N 1 2 288.313 3.862 20 0 CHADLO CCCc1ccc(CSc2[nH+]cnc3c2cnn3C)cc1 ZINC000194777039 336038631 /nfs/dbraw/zinc/03/86/31/336038631.db2.gz APWASYGYIFOXEU-UHFFFAOYSA-N 1 2 298.415 3.608 20 0 CHADLO Cc1c[nH+]c(CCSC[C@@H]2CCCCO2)c(C)c1 ZINC000581429615 336075887 /nfs/dbraw/zinc/07/58/87/336075887.db2.gz TUMORAFSVAEPDK-AWEZNQCLSA-N 1 2 265.422 3.543 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccc(F)c(Cl)c1 ZINC000382304677 336085830 /nfs/dbraw/zinc/08/58/30/336085830.db2.gz OMJCUXCORBMFDK-SSDOTTSWSA-N 1 2 251.679 3.785 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cc(F)c(F)c1F)c1ccoc1 ZINC000581703330 336130660 /nfs/dbraw/zinc/13/06/60/336130660.db2.gz TWLOKVRTISYJFM-ZETCQYMHSA-N 1 2 273.229 3.687 20 0 CHADLO CC(C)[C@H]([NH2+]CC1Cc2ccccc2C1)C(F)(F)F ZINC000581916439 336165384 /nfs/dbraw/zinc/16/53/84/336165384.db2.gz XYWDOQANGCZFSB-AWEZNQCLSA-N 1 2 271.326 3.578 20 0 CHADLO CC(C)(C(=O)Nc1cccc(C2CCC2)c1)c1c[nH+]c[nH]1 ZINC000581979943 336183092 /nfs/dbraw/zinc/18/30/92/336183092.db2.gz RSFJAMPXMFQKGY-UHFFFAOYSA-N 1 2 283.375 3.594 20 0 CHADLO CC(C)(C(=O)Nc1cccc(C2CCC2)c1)c1c[nH]c[nH+]1 ZINC000581979943 336183094 /nfs/dbraw/zinc/18/30/94/336183094.db2.gz RSFJAMPXMFQKGY-UHFFFAOYSA-N 1 2 283.375 3.594 20 0 CHADLO CC(C)[N@H+](CCCC(=O)c1ccc(F)cc1)CC(F)F ZINC000387361895 336193003 /nfs/dbraw/zinc/19/30/03/336193003.db2.gz XTAXDMZEOUDDSA-UHFFFAOYSA-N 1 2 287.325 3.764 20 0 CHADLO CC(C)[N@@H+](CCCC(=O)c1ccc(F)cc1)CC(F)F ZINC000387361895 336193004 /nfs/dbraw/zinc/19/30/04/336193004.db2.gz XTAXDMZEOUDDSA-UHFFFAOYSA-N 1 2 287.325 3.764 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@@H](C)c1ncc(C)s1 ZINC000280246209 522558716 /nfs/dbraw/zinc/55/87/16/522558716.db2.gz NZXXNTKHOZQEFT-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1cccc(F)c1 ZINC000353215248 533974614 /nfs/dbraw/zinc/97/46/14/533974614.db2.gz BFFFRHJLVUKWCX-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nccn1C)C1CC1)c1ccc(F)cc1F ZINC000353840193 533980181 /nfs/dbraw/zinc/98/01/81/533980181.db2.gz BJVNAYISQNUKRJ-ZUZCIYMTSA-N 1 2 291.345 3.500 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCC[C@@H]2C(F)F)c(C)[nH+]1 ZINC000334706823 534073140 /nfs/dbraw/zinc/07/31/40/534073140.db2.gz WEHSYEBPIKSQQU-GFCCVEGCSA-N 1 2 297.349 3.658 20 0 CHADLO C[C@@H](F)CC[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000352016079 534276045 /nfs/dbraw/zinc/27/60/45/534276045.db2.gz UXBLQYSHQKBTGJ-YGRLFVJLSA-N 1 2 289.753 3.601 20 0 CHADLO C[C@@H](F)CC[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000352016079 534276047 /nfs/dbraw/zinc/27/60/47/534276047.db2.gz UXBLQYSHQKBTGJ-YGRLFVJLSA-N 1 2 289.753 3.601 20 0 CHADLO c1c(CNc2cccc3c2CCCC3)[nH+]c2ccccn12 ZINC000020043526 518203684 /nfs/dbraw/zinc/20/36/84/518203684.db2.gz SCQVQGRIOYOQSN-UHFFFAOYSA-N 1 2 277.371 3.825 20 0 CHADLO CC(C)(C)C(=O)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000277488702 518591650 /nfs/dbraw/zinc/59/16/50/518591650.db2.gz COBKLJPGBRDRCR-UHFFFAOYSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)(C)C1=CCN(C(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC000290026462 518599630 /nfs/dbraw/zinc/59/96/30/518599630.db2.gz AQPUZDZRLDBFTG-UHFFFAOYSA-N 1 2 298.390 3.544 20 0 CHADLO FC(F)(F)CC[N@@H+](Cc1ncc(Cl)s1)CC1CC1 ZINC000352020125 534383568 /nfs/dbraw/zinc/38/35/68/534383568.db2.gz HIYWTARZSCDPBZ-UHFFFAOYSA-N 1 2 298.761 3.961 20 0 CHADLO FC(F)(F)CC[N@H+](Cc1ncc(Cl)s1)CC1CC1 ZINC000352020125 534383574 /nfs/dbraw/zinc/38/35/74/534383574.db2.gz HIYWTARZSCDPBZ-UHFFFAOYSA-N 1 2 298.761 3.961 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC[C@H]2c2cccnc2)o1 ZINC000336840604 519069137 /nfs/dbraw/zinc/06/91/37/519069137.db2.gz XCRGFMNPLGIIBZ-AWEZNQCLSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC[C@H]2c2cccnc2)o1 ZINC000336840604 519069145 /nfs/dbraw/zinc/06/91/45/519069145.db2.gz XCRGFMNPLGIIBZ-AWEZNQCLSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)C[C@@H](C)CC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000263915708 519771009 /nfs/dbraw/zinc/77/10/09/519771009.db2.gz KAEJPWZZOYCWNI-ZIAGYGMSSA-N 1 2 277.412 3.536 20 0 CHADLO CC(C)O[C@@H](C[N@H+](C)Cc1cscn1)c1ccccc1 ZINC000292181781 519776705 /nfs/dbraw/zinc/77/67/05/519776705.db2.gz MZVOCZBJNKYDDK-INIZCTEOSA-N 1 2 290.432 3.741 20 0 CHADLO CC(C)O[C@@H](C[N@@H+](C)Cc1cscn1)c1ccccc1 ZINC000292181781 519776706 /nfs/dbraw/zinc/77/67/06/519776706.db2.gz MZVOCZBJNKYDDK-INIZCTEOSA-N 1 2 290.432 3.741 20 0 CHADLO CC(C)Oc1cccc(CSCCn2cc[nH+]c2)c1 ZINC000122344173 519783313 /nfs/dbraw/zinc/78/33/13/519783313.db2.gz NTRPVZRVEJQKMO-UHFFFAOYSA-N 1 2 276.405 3.604 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ccn[nH]1)c1cccc(C(F)(F)F)c1 ZINC000066992358 519821025 /nfs/dbraw/zinc/82/10/25/519821025.db2.gz CINQSFORSMQBMG-AWEZNQCLSA-N 1 2 297.324 3.915 20 0 CHADLO CCCC(=O)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000277507562 519878869 /nfs/dbraw/zinc/87/88/69/519878869.db2.gz FKFDPODNUDDZQT-UHFFFAOYSA-N 1 2 283.375 3.625 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccc(F)c(Cl)c1)CC2 ZINC000351958468 534454863 /nfs/dbraw/zinc/45/48/63/534454863.db2.gz KVWTWGVXXDKXLO-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccc(F)c(Cl)c1)CC2 ZINC000351958468 534454868 /nfs/dbraw/zinc/45/48/68/534454868.db2.gz KVWTWGVXXDKXLO-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO CC(C)n1ccc(CSCc2cn3ccccc3[nH+]2)n1 ZINC000084495772 520004382 /nfs/dbraw/zinc/00/43/82/520004382.db2.gz ABBOLZTZGKDECK-UHFFFAOYSA-N 1 2 286.404 3.545 20 0 CHADLO CC(C)n1ccnc1C[N@H+](C)[C@H](C)c1ccc(F)cc1 ZINC000299647775 520026133 /nfs/dbraw/zinc/02/61/33/520026133.db2.gz XLMYDIAZRQZILW-CYBMUJFWSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1ccnc1C[N@@H+](C)[C@H](C)c1ccc(F)cc1 ZINC000299647775 520026149 /nfs/dbraw/zinc/02/61/49/520026149.db2.gz XLMYDIAZRQZILW-CYBMUJFWSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@H]1c1ccc(F)cc1 ZINC000264551686 520030750 /nfs/dbraw/zinc/03/07/50/520030750.db2.gz RUWPBRGTCBUHHC-INIZCTEOSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@H]1c1ccc(F)cc1 ZINC000264551686 520030757 /nfs/dbraw/zinc/03/07/57/520030757.db2.gz RUWPBRGTCBUHHC-INIZCTEOSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1cc(F)ccc1OC(F)F ZINC000128105451 520033381 /nfs/dbraw/zinc/03/33/81/520033381.db2.gz KIGFBRAYQMJTSR-UHFFFAOYSA-N 1 2 299.296 3.817 20 0 CHADLO CC1(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CCCC1 ZINC000264546480 520231792 /nfs/dbraw/zinc/23/17/92/520231792.db2.gz UVWYYZYLPDGLON-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO CCCCCOc1ccc(C[NH2+]Cc2cn[nH]c2C)cc1 ZINC000037989202 520252139 /nfs/dbraw/zinc/25/21/39/520252139.db2.gz FJAXMDDBQPECTL-UHFFFAOYSA-N 1 2 287.407 3.577 20 0 CHADLO CCCCC[C@@H](CC)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000367740955 520289622 /nfs/dbraw/zinc/28/96/22/520289622.db2.gz NREVEPGGHIRYKG-HUUCEWRRSA-N 1 2 291.439 3.722 20 0 CHADLO CCCOc1ccc([NH2+]C2CCSCC2)cc1 ZINC000051785433 520400831 /nfs/dbraw/zinc/40/08/31/520400831.db2.gz SNQNPGVHOZDFCC-UHFFFAOYSA-N 1 2 251.395 3.783 20 0 CHADLO CCC[C@@H]([NH2+]Cc1[nH]ncc1C)c1ccc(F)cc1F ZINC000289430512 520783617 /nfs/dbraw/zinc/78/36/17/520783617.db2.gz DQEFCDDQHIXHPH-CQSZACIVSA-N 1 2 279.334 3.627 20 0 CHADLO CCC[C@@H]([NH2+]Cc1n[nH]cc1C)c1ccc(F)cc1F ZINC000289430512 520783629 /nfs/dbraw/zinc/78/36/29/520783629.db2.gz DQEFCDDQHIXHPH-CQSZACIVSA-N 1 2 279.334 3.627 20 0 CHADLO CCC[C@H](CC)[S@@](=O)Cc1[nH+]ccn1-c1ccccc1 ZINC000279972843 521087290 /nfs/dbraw/zinc/08/72/90/521087290.db2.gz JYIQEBLETQBJCC-YWZLYKJASA-N 1 2 290.432 3.700 20 0 CHADLO CCOC(=O)[C@H](CC)[NH2+][C@H](CC)c1ccc(Cl)cc1 ZINC000341213632 521237714 /nfs/dbraw/zinc/23/77/14/521237714.db2.gz RGWAEQLAMGHSBE-KGLIPLIRSA-N 1 2 283.799 3.722 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1cccnc1Cl ZINC000052709461 521407213 /nfs/dbraw/zinc/40/72/13/521407213.db2.gz ANSQESNFGFWINI-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1cccnc1Cl ZINC000052709461 521407221 /nfs/dbraw/zinc/40/72/21/521407221.db2.gz ANSQESNFGFWINI-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1cc(F)c(F)cc1F ZINC000289690493 521421411 /nfs/dbraw/zinc/42/14/11/521421411.db2.gz FLLNCZJATOIFMS-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1cc(F)c(F)cc1F ZINC000289690493 521421421 /nfs/dbraw/zinc/42/14/21/521421421.db2.gz FLLNCZJATOIFMS-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CCN(Cc1[nH+]ccn1C(C)C)Cc1c(F)cccc1F ZINC000101561619 521460358 /nfs/dbraw/zinc/46/03/58/521460358.db2.gz LVLSSFFHCIVVBY-UHFFFAOYSA-N 1 2 293.361 3.764 20 0 CHADLO CCSCc1cc[nH+]c(NCC2(SC)CC2)c1 ZINC000290419029 521719944 /nfs/dbraw/zinc/71/99/44/521719944.db2.gz QVFADMPHNPNRBT-UHFFFAOYSA-N 1 2 268.451 3.642 20 0 CHADLO CCS[C@H]1CCCC[C@@H]1NC(=O)Nc1cc[nH+]c(C)c1 ZINC000119927712 521730579 /nfs/dbraw/zinc/73/05/79/521730579.db2.gz GKSOTOIALFJVDR-KBPBESRZSA-N 1 2 293.436 3.576 20 0 CHADLO Cc1nc([C@H](C)[NH+]2CCC(C)(CC(C)C)CC2)no1 ZINC000351983616 534588688 /nfs/dbraw/zinc/58/86/88/534588688.db2.gz UOMPRAQMEINORC-LBPRGKRZSA-N 1 2 265.401 3.587 20 0 CHADLO CCc1ccsc1C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000339274173 521998998 /nfs/dbraw/zinc/99/89/98/521998998.db2.gz QOBOBVXKOWUENL-UHFFFAOYSA-N 1 2 285.372 3.519 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2ccc(Cl)s2)[nH]1 ZINC000277503900 522051284 /nfs/dbraw/zinc/05/12/84/522051284.db2.gz VRLKESMPDCNZCJ-IUCAKERBSA-N 1 2 298.843 3.884 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C(C)(C)c1ccc(F)cc1 ZINC000265196380 522078253 /nfs/dbraw/zinc/07/82/53/522078253.db2.gz JDWVAPOTWDTSSP-UHFFFAOYSA-N 1 2 286.350 3.699 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](C)c2cc(C)c(C)o2)o1 ZINC000291956200 522209413 /nfs/dbraw/zinc/20/94/13/522209413.db2.gz RIEXIWHLMPNMAW-SNVBAGLBSA-N 1 2 262.353 3.606 20 0 CHADLO CCc1nc(C[N@H+](C(C)C)[C@@H](C)c2ccccc2)no1 ZINC000292195910 522287869 /nfs/dbraw/zinc/28/78/69/522287869.db2.gz NLQMVWLGTMJDQP-ZDUSSCGKSA-N 1 2 273.380 3.604 20 0 CHADLO CCc1nc(C[N@@H+](C(C)C)[C@@H](C)c2ccccc2)no1 ZINC000292195910 522287878 /nfs/dbraw/zinc/28/78/78/522287878.db2.gz NLQMVWLGTMJDQP-ZDUSSCGKSA-N 1 2 273.380 3.604 20 0 CHADLO COc1cc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)ccn1 ZINC000339866082 522360182 /nfs/dbraw/zinc/36/01/82/522360182.db2.gz IJTQILQTXOQVRT-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1cc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)ccn1 ZINC000339866082 522360187 /nfs/dbraw/zinc/36/01/87/522360187.db2.gz IJTQILQTXOQVRT-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO CCc1ncc(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)s1 ZINC000292857953 522549578 /nfs/dbraw/zinc/54/95/78/522549578.db2.gz UZWICFQZSVQWAG-OAHLLOKOSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1ncc(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)s1 ZINC000292857953 522549586 /nfs/dbraw/zinc/54/95/86/522549586.db2.gz UZWICFQZSVQWAG-OAHLLOKOSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1ncc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)s1 ZINC000292857948 522551115 /nfs/dbraw/zinc/55/11/15/522551115.db2.gz UZWICFQZSVQWAG-HNNXBMFYSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1ncc(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)s1 ZINC000292857948 522551125 /nfs/dbraw/zinc/55/11/25/522551125.db2.gz UZWICFQZSVQWAG-HNNXBMFYSA-N 1 2 287.432 3.684 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nc(C2CC2)no1)c1ccccc1 ZINC000299417348 522701403 /nfs/dbraw/zinc/70/14/03/522701403.db2.gz QURPLTJDZRYWTN-FZMZJTMJSA-N 1 2 271.364 3.749 20 0 CHADLO COc1cc(N[C@H]2C[C@H](C)n3cc[nH+]c32)ccc1Cl ZINC000294207674 522767412 /nfs/dbraw/zinc/76/74/12/522767412.db2.gz UCPJPOKUUHTONA-CABZTGNLSA-N 1 2 277.755 3.663 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2ccc(Cl)o2)C2CC2)s1 ZINC000344506220 534663873 /nfs/dbraw/zinc/66/38/73/534663873.db2.gz AUVXODXUUHFKAU-GFCCVEGCSA-N 1 2 282.796 3.939 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2ccccc2SC)o1 ZINC000336972401 522789646 /nfs/dbraw/zinc/78/96/46/522789646.db2.gz QJGCRJKGMJAKNF-GHMZBOCLSA-N 1 2 291.420 3.766 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2ccccc2SC)o1 ZINC000336972403 522791044 /nfs/dbraw/zinc/79/10/44/522791044.db2.gz QJGCRJKGMJAKNF-MNOVXSKESA-N 1 2 291.420 3.766 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2ccccc2SC)o1 ZINC000336972405 522791723 /nfs/dbraw/zinc/79/17/23/522791723.db2.gz QJGCRJKGMJAKNF-QWRGUYRKSA-N 1 2 291.420 3.766 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(O)c1Cl)c1c(C)noc1C ZINC000339325768 522879234 /nfs/dbraw/zinc/87/92/34/522879234.db2.gz REOSNSXPXIMBBD-GFCCVEGCSA-N 1 2 294.782 3.891 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000293444838 522929679 /nfs/dbraw/zinc/92/96/79/522929679.db2.gz OXVWLJSIZYPEHM-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000293444838 522929689 /nfs/dbraw/zinc/92/96/89/522929689.db2.gz OXVWLJSIZYPEHM-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1noc(C)n1)c1cccc(Cl)c1 ZINC000289283116 522942410 /nfs/dbraw/zinc/94/24/10/522942410.db2.gz ACBUFAWRAWAOHF-NOZJJQNGSA-N 1 2 279.771 3.833 20 0 CHADLO COCc1ccc(CNc2ccc([NH+](C)C)cc2)cc1 ZINC000088567260 522998315 /nfs/dbraw/zinc/99/83/15/522998315.db2.gz MOGORYZDTMDEHC-UHFFFAOYSA-N 1 2 270.376 3.511 20 0 CHADLO COCc1ccc(Cn2c[nH+]c3cc(F)c(F)cc32)cc1 ZINC000338542595 523002459 /nfs/dbraw/zinc/00/24/59/523002459.db2.gz PQBCRLSPFPBUOL-UHFFFAOYSA-N 1 2 288.297 3.509 20 0 CHADLO CC[C@@H](Sc1ccccc1)C(=O)Nc1cc[nH+]c(C)c1 ZINC000111546679 523083792 /nfs/dbraw/zinc/08/37/92/523083792.db2.gz SFFAOAUFTMIBLZ-OAHLLOKOSA-N 1 2 286.400 3.899 20 0 CHADLO CC[C@H]1CCN1C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000293217398 523174756 /nfs/dbraw/zinc/17/47/56/523174756.db2.gz GOOSAMDMPLHDET-AWEZNQCLSA-N 1 2 297.358 3.890 20 0 CHADLO COCc1nc(C[NH2+]Cc2cc(C)cc(Cl)c2)cs1 ZINC000339403687 523199404 /nfs/dbraw/zinc/19/94/04/523199404.db2.gz SCCHSAZPQBWLCG-UHFFFAOYSA-N 1 2 296.823 3.541 20 0 CHADLO CC[C@@H]1CN(c2[nH+]ccc3ccc(F)cc32)CCS1 ZINC000354345293 523473259 /nfs/dbraw/zinc/47/32/59/523473259.db2.gz ZGXFHBZHGAIBPO-CYBMUJFWSA-N 1 2 276.380 3.706 20 0 CHADLO CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)CCC1CCC1 ZINC000366665112 523559908 /nfs/dbraw/zinc/55/99/08/523559908.db2.gz OKAYKMCOVFLYLQ-OAHLLOKOSA-N 1 2 289.423 3.537 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccc(COC)o1)c1nc(C)cs1 ZINC000128779125 523658018 /nfs/dbraw/zinc/65/80/18/523658018.db2.gz CKVNODFYPLCHKD-OAHLLOKOSA-N 1 2 294.420 3.606 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2cnns2)o1 ZINC000289973970 524053134 /nfs/dbraw/zinc/05/31/34/524053134.db2.gz JGVJLBHICRZODC-CQSZACIVSA-N 1 2 291.420 3.811 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@H+]2Cc2cnns2)o1 ZINC000289973970 524053137 /nfs/dbraw/zinc/05/31/37/524053137.db2.gz JGVJLBHICRZODC-CQSZACIVSA-N 1 2 291.420 3.811 20 0 CHADLO Cc1cccc([C@@H](C)c2nc(Cc3ccc(C)[nH+]c3)no2)c1 ZINC000356141089 534758111 /nfs/dbraw/zinc/75/81/11/534758111.db2.gz VDCRSDBOEYAUCD-CQSZACIVSA-N 1 2 293.370 3.824 20 0 CHADLO COc1cccc2c(NC[C@@H]3CCCSC3)cc[nH+]c12 ZINC000340941673 524198891 /nfs/dbraw/zinc/19/88/91/524198891.db2.gz URCYMQDUXDZOLM-LBPRGKRZSA-N 1 2 288.416 3.799 20 0 CHADLO COc1cccc2c(N[C@H]3CCOC(C)(C)C3)cc[nH+]c12 ZINC000275808526 524202076 /nfs/dbraw/zinc/20/20/76/524202076.db2.gz LFMXTTZRIHTVQI-LBPRGKRZSA-N 1 2 286.375 3.613 20 0 CHADLO COc1ccc(F)c(CNc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000339300898 524282976 /nfs/dbraw/zinc/28/29/76/524282976.db2.gz AAPKYPZGOIIDSV-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO COc1ccc(F)cc1NCCCc1ccc(C)[nH+]c1 ZINC000354539047 524323360 /nfs/dbraw/zinc/32/33/60/524323360.db2.gz IAFNVORJDVHXMH-UHFFFAOYSA-N 1 2 274.339 3.582 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nnc(C(C)C)o2)C2CC2)cc1C ZINC000077360963 524422287 /nfs/dbraw/zinc/42/22/87/524422287.db2.gz SOFYCRKSLKLZML-UHFFFAOYSA-N 1 2 299.418 3.974 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nnc(C(C)C)o2)C2CC2)cc1C ZINC000077360963 524422293 /nfs/dbraw/zinc/42/22/93/524422293.db2.gz SOFYCRKSLKLZML-UHFFFAOYSA-N 1 2 299.418 3.974 20 0 CHADLO Cc1cc(C[N@@H+](C)C[C@H](OC(C)C)c2ccccc2)no1 ZINC000292174892 524663218 /nfs/dbraw/zinc/66/32/18/524663218.db2.gz MENHCICDIGBSIM-KRWDZBQOSA-N 1 2 288.391 3.581 20 0 CHADLO Cc1cc(C[N@H+](C)C[C@H](OC(C)C)c2ccccc2)no1 ZINC000292174892 524663233 /nfs/dbraw/zinc/66/32/33/524663233.db2.gz MENHCICDIGBSIM-KRWDZBQOSA-N 1 2 288.391 3.581 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3C[C@@]3(C)C(C)C)n2)c[nH+]1 ZINC000289192922 524699011 /nfs/dbraw/zinc/69/90/11/524699011.db2.gz TWLSYRSHFOWPAO-BBRMVZONSA-N 1 2 271.364 3.513 20 0 CHADLO Cc1csc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)n1 ZINC000336212073 534807176 /nfs/dbraw/zinc/80/71/76/534807176.db2.gz YELYGVVVASYRSW-CQSZACIVSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1csc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)n1 ZINC000336212073 534807185 /nfs/dbraw/zinc/80/71/85/534807185.db2.gz YELYGVVVASYRSW-CQSZACIVSA-N 1 2 274.389 3.772 20 0 CHADLO C[C@H]1C[C@@H](c2ccc(F)cc2)CN1c1cc[nH+]c(C2CC2)n1 ZINC000119948897 524825719 /nfs/dbraw/zinc/82/57/19/524825719.db2.gz ZPHFYAIGONXLSK-SWLSCSKDSA-N 1 2 297.377 3.876 20 0 CHADLO COc1ccsc1C[N@@H+]1CCC[C@H]1c1csc(C)n1 ZINC000275135622 524879186 /nfs/dbraw/zinc/87/91/86/524879186.db2.gz MHDGDJBDUGEUIX-LBPRGKRZSA-N 1 2 294.445 3.859 20 0 CHADLO COc1ccsc1C[N@H+]1CCC[C@H]1c1csc(C)n1 ZINC000275135622 524879195 /nfs/dbraw/zinc/87/91/95/524879195.db2.gz MHDGDJBDUGEUIX-LBPRGKRZSA-N 1 2 294.445 3.859 20 0 CHADLO COc1cncc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)c1 ZINC000290179177 524919640 /nfs/dbraw/zinc/91/96/40/524919640.db2.gz FENGSZRHKNWBPZ-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1cncc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)c1 ZINC000290179177 524919649 /nfs/dbraw/zinc/91/96/49/524919649.db2.gz FENGSZRHKNWBPZ-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1cncc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000290159001 524923001 /nfs/dbraw/zinc/92/30/01/524923001.db2.gz DDJUNMSATWAHFQ-MRXNPFEDSA-N 1 2 288.778 3.691 20 0 CHADLO COc1cncc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000290159001 524923013 /nfs/dbraw/zinc/92/30/13/524923013.db2.gz DDJUNMSATWAHFQ-MRXNPFEDSA-N 1 2 288.778 3.691 20 0 CHADLO Cc1ccc(NC(=O)CC(C)(C)C(F)(F)F)c(C)[nH+]1 ZINC000280374728 525030627 /nfs/dbraw/zinc/03/06/27/525030627.db2.gz OYCYSBAVSGYXTC-UHFFFAOYSA-N 1 2 274.286 3.616 20 0 CHADLO Cc1ccc(NC(=O)NC2CCC3(CC3)CC2)c(C)[nH+]1 ZINC000278042529 525153568 /nfs/dbraw/zinc/15/35/68/525153568.db2.gz MKAOSLMXEHTGIM-UHFFFAOYSA-N 1 2 273.380 3.543 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(C3CC3)nc2C)c(C)[nH+]1 ZINC000294871590 525238160 /nfs/dbraw/zinc/23/81/60/525238160.db2.gz HPXZWJLTTSWLOS-UHFFFAOYSA-N 1 2 281.359 3.532 20 0 CHADLO Cc1cc(NC(=O)c2ccc(COC(C)C)cc2)cc[nH+]1 ZINC000279044439 525245838 /nfs/dbraw/zinc/24/58/38/525245838.db2.gz XMNJIVLEGZCMPG-UHFFFAOYSA-N 1 2 284.359 3.567 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2nc(C(C)C)no2)cc1 ZINC000299392707 525336284 /nfs/dbraw/zinc/33/62/84/525336284.db2.gz KDCXLCZVGWPUIO-NWDGAFQWSA-N 1 2 289.379 3.613 20 0 CHADLO COc1ccc([C@H](C)Nc2cc[nH+]c(C3CC3)n2)cc1 ZINC000264734167 525338459 /nfs/dbraw/zinc/33/84/59/525338459.db2.gz XMJGQSDMLSANHX-NSHDSACASA-N 1 2 269.348 3.536 20 0 CHADLO CSC1(C[NH2+]c2ccc(O[C@H]3CCOC3)cc2)CCC1 ZINC000290434645 525340316 /nfs/dbraw/zinc/34/03/16/525340316.db2.gz NGNVZKBSQPGNLT-HNNXBMFYSA-N 1 2 293.432 3.552 20 0 CHADLO C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000280961488 525351866 /nfs/dbraw/zinc/35/18/66/525351866.db2.gz JSDMBOPOGXXDTP-IPYPFGDCSA-N 1 2 298.390 3.519 20 0 CHADLO C[C@H]([NH2+]Cc1cc2ccccc2o1)c1c(F)cncc1F ZINC000353145654 525432791 /nfs/dbraw/zinc/43/27/91/525432791.db2.gz WVPQGMCIIBJAJK-JTQLQIEISA-N 1 2 288.297 3.957 20 0 CHADLO C[C@@H]1C[C@H](c2ccc(F)cc2)CN1c1cc[nH+]c(C2CC2)n1 ZINC000119948446 525460455 /nfs/dbraw/zinc/46/04/55/525460455.db2.gz ZPHFYAIGONXLSK-DOMZBBRYSA-N 1 2 297.377 3.876 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)cc2F)no1 ZINC000290574640 525472373 /nfs/dbraw/zinc/47/23/73/525472373.db2.gz FLCYSDGARNBWML-VHSXEESVSA-N 1 2 266.291 3.673 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2nnc(C(C)C)[nH]2)c(C)o1 ZINC000278668064 525474665 /nfs/dbraw/zinc/47/46/65/525474665.db2.gz JBRSNVJNFAKSLQ-GHMZBOCLSA-N 1 2 276.384 3.550 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](c2ncccc2C)C(C)C)no1 ZINC000289841319 525527393 /nfs/dbraw/zinc/52/73/93/525527393.db2.gz QSHQGVQRAXHJOI-DZGCQCFKSA-N 1 2 273.380 3.734 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1csc(Cl)c1 ZINC000309543289 525619315 /nfs/dbraw/zinc/61/93/15/525619315.db2.gz GHLFTTNWYKXYNX-SFYZADRCSA-N 1 2 256.758 3.801 20 0 CHADLO C[C@@H]1c2ccsc2CCN1c1cc[nH+]c(C2CC2)n1 ZINC000264643373 525736069 /nfs/dbraw/zinc/73/60/69/525736069.db2.gz NFNWLZVYIMOXAB-SNVBAGLBSA-N 1 2 271.389 3.539 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](C)c2nc(C(C)C)no2)cc1 ZINC000079323990 525745970 /nfs/dbraw/zinc/74/59/70/525745970.db2.gz IUCSVDQRKFDVGU-CHWSQXEVSA-N 1 2 273.380 3.913 20 0 CHADLO CSCc1cnc(CNc2ccc(C)[nH+]c2C)s1 ZINC000293197817 525761574 /nfs/dbraw/zinc/76/15/74/525761574.db2.gz IKPFNLVLCZZSDX-UHFFFAOYSA-N 1 2 279.434 3.630 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2[C@H](C)c2nc(C3CC3)no2)o1 ZINC000265398813 525822752 /nfs/dbraw/zinc/82/27/52/525822752.db2.gz XIDGMLNFLSHYQZ-YPMHNXCESA-N 1 2 287.363 3.747 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2[C@H](C)c2nc(C3CC3)no2)o1 ZINC000265398813 525822764 /nfs/dbraw/zinc/82/27/64/525822764.db2.gz XIDGMLNFLSHYQZ-YPMHNXCESA-N 1 2 287.363 3.747 20 0 CHADLO CS[C@H]1CC[C@H](Nc2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000226803888 525914452 /nfs/dbraw/zinc/91/44/52/525914452.db2.gz CNNDJLMWKXGRRY-UWVGGRQHSA-N 1 2 276.327 3.796 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2cc(C)oc2C)[nH+]1 ZINC000366354671 525963163 /nfs/dbraw/zinc/96/31/63/525963163.db2.gz JCYTWORWWRAOCV-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2ccccc2F)s1 ZINC000080346861 525981109 /nfs/dbraw/zinc/98/11/09/525981109.db2.gz LGVTVCFEGPRGRG-UHFFFAOYSA-N 1 2 264.369 3.616 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@@H+]2Cc2ncc(C)cn2)o1 ZINC000340824504 525989097 /nfs/dbraw/zinc/98/90/97/525989097.db2.gz VOWDDQYLHMFZNX-OAHLLOKOSA-N 1 2 285.391 3.804 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@H+]2Cc2ncc(C)cn2)o1 ZINC000340824504 525989103 /nfs/dbraw/zinc/98/91/03/525989103.db2.gz VOWDDQYLHMFZNX-OAHLLOKOSA-N 1 2 285.391 3.804 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000290468518 526019459 /nfs/dbraw/zinc/01/94/59/526019459.db2.gz PZCGJUAEAVVHKU-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000290468518 526019464 /nfs/dbraw/zinc/01/94/64/526019464.db2.gz PZCGJUAEAVVHKU-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000290312004 526020600 /nfs/dbraw/zinc/02/06/00/526020600.db2.gz AQHRFSKWMYTEHV-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1c[nH]nc1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000290312004 526020607 /nfs/dbraw/zinc/02/06/07/526020607.db2.gz AQHRFSKWMYTEHV-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(Cl)cc2Cl)nc1 ZINC000340689964 526042772 /nfs/dbraw/zinc/04/27/72/526042772.db2.gz CKKIGENOMPZJNY-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO COc1cccc(CNc2c[nH+]ccc2C)c1OC(C)C ZINC000353218888 526044361 /nfs/dbraw/zinc/04/43/61/526044361.db2.gz LARUDKGCNBFSHZ-UHFFFAOYSA-N 1 2 286.375 3.798 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1ccno1 ZINC000264617600 526100662 /nfs/dbraw/zinc/10/06/62/526100662.db2.gz MNGXGOGFSLGXNW-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1ccno1 ZINC000264617600 526100673 /nfs/dbraw/zinc/10/06/73/526100673.db2.gz MNGXGOGFSLGXNW-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO Cc1cc(NCc2csc3ccccc23)nc(C2CC2)[nH+]1 ZINC000341509313 526113116 /nfs/dbraw/zinc/11/31/16/526113116.db2.gz WZWFFJNKTNKERV-UHFFFAOYSA-N 1 2 295.411 3.911 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000338588162 526113843 /nfs/dbraw/zinc/11/38/43/526113843.db2.gz UGBXHMBCMQVXGG-SUMWQHHRSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000338588162 526113854 /nfs/dbraw/zinc/11/38/54/526113854.db2.gz UGBXHMBCMQVXGG-SUMWQHHRSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000338588160 526124974 /nfs/dbraw/zinc/12/49/74/526124974.db2.gz UGBXHMBCMQVXGG-DYVFJYSZSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@H](c1ccccn1)[N@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000338588160 526124979 /nfs/dbraw/zinc/12/49/79/526124979.db2.gz UGBXHMBCMQVXGG-DYVFJYSZSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1csc(C2CC2)n1 ZINC000162448961 526153948 /nfs/dbraw/zinc/15/39/48/526153948.db2.gz BSJCDVPESHVZHV-NSHDSACASA-N 1 2 273.405 3.609 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1csc(C2CC2)n1 ZINC000162448961 526153954 /nfs/dbraw/zinc/15/39/54/526153954.db2.gz BSJCDVPESHVZHV-NSHDSACASA-N 1 2 273.405 3.609 20 0 CHADLO Cc1cncc(C(=O)OCc2ccc(C(C)C)[nH+]c2C)c1 ZINC000293090378 526184800 /nfs/dbraw/zinc/18/48/00/526184800.db2.gz PKUBYHPBSLLWCJ-UHFFFAOYSA-N 1 2 284.359 3.574 20 0 CHADLO Cc1c[nH+]cc(CCc2nc([C@@H](C)c3cccs3)no2)c1 ZINC000291861740 526192518 /nfs/dbraw/zinc/19/25/18/526192518.db2.gz HPYXARNJWRMPLR-LBPRGKRZSA-N 1 2 299.399 3.772 20 0 CHADLO C[C@H](CC(C)(C)C)C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000337242740 526256444 /nfs/dbraw/zinc/25/64/44/526256444.db2.gz HFODVWSVFVHARM-CYBMUJFWSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1nnc(C[N@@H+]([C@H](C)c2ccc(Cl)cc2)C2CC2)o1 ZINC000098133642 526334571 /nfs/dbraw/zinc/33/45/71/526334571.db2.gz UXBKUVNEHBPCOZ-SNVBAGLBSA-N 1 2 291.782 3.757 20 0 CHADLO Cc1nnc(C[N@H+]([C@H](C)c2ccc(Cl)cc2)C2CC2)o1 ZINC000098133642 526334576 /nfs/dbraw/zinc/33/45/76/526334576.db2.gz UXBKUVNEHBPCOZ-SNVBAGLBSA-N 1 2 291.782 3.757 20 0 CHADLO Cc1csc(C[N@H+](Cc2cccc(F)c2)C2CC2)n1 ZINC000081666455 526341743 /nfs/dbraw/zinc/34/17/43/526341743.db2.gz CXZQXIJNRPHSEH-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1csc(C[N@@H+](Cc2cccc(F)c2)C2CC2)n1 ZINC000081666455 526341750 /nfs/dbraw/zinc/34/17/50/526341750.db2.gz CXZQXIJNRPHSEH-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Oc1cccc(CNc2ccc(-n3cc[nH+]c3)cc2)c1Cl ZINC000339193131 526360623 /nfs/dbraw/zinc/36/06/23/526360623.db2.gz SAJYFAREBFWPSM-UHFFFAOYSA-N 1 2 299.761 3.843 20 0 CHADLO Fc1ccc(SCc2cn3ccccc3[nH+]2)cc1F ZINC000061163221 526360774 /nfs/dbraw/zinc/36/07/74/526360774.db2.gz RWXICWWVTGMIBW-UHFFFAOYSA-N 1 2 276.311 3.905 20 0 CHADLO Fc1ccc2c(c1)onc2C1CCN(c2cccc[nH+]2)CC1 ZINC000291159543 526374610 /nfs/dbraw/zinc/37/46/10/526374610.db2.gz PUFOWMHGSKCPLB-UHFFFAOYSA-N 1 2 297.333 3.746 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2cccc(C(F)F)c2)s1 ZINC000279829597 526405361 /nfs/dbraw/zinc/40/53/61/526405361.db2.gz YZLYZLWEIKVRAN-QMMMGPOBSA-N 1 2 283.347 3.635 20 0 CHADLO Fc1ccccc1C[NH2+]Cc1ncc(C(F)(F)F)s1 ZINC000278729037 526411596 /nfs/dbraw/zinc/41/15/96/526411596.db2.gz UDNKFKTWTCIKBC-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccccc2Cl)n1 ZINC000069168509 526412737 /nfs/dbraw/zinc/41/27/37/526412737.db2.gz BWUJSTMOTIUZOD-SNVBAGLBSA-N 1 2 266.797 3.956 20 0 CHADLO Cc1csc([C@H]2COCC[N@H+]2C[C@@H](C)CC(C)C)n1 ZINC000337205270 526444960 /nfs/dbraw/zinc/44/49/60/526444960.db2.gz KEQCJFFSBIQSCD-GXTWGEPZSA-N 1 2 282.453 3.507 20 0 CHADLO Cc1csc([C@H]2COCC[N@@H+]2C[C@@H](C)CC(C)C)n1 ZINC000337205270 526444964 /nfs/dbraw/zinc/44/49/64/526444964.db2.gz KEQCJFFSBIQSCD-GXTWGEPZSA-N 1 2 282.453 3.507 20 0 CHADLO c1ccc2[nH+]c(N[C@H]3CCOC4(CCC4)C3)ccc2c1 ZINC000268997420 526518431 /nfs/dbraw/zinc/51/84/31/526518431.db2.gz WWUMPGXISRGUKB-AWEZNQCLSA-N 1 2 268.360 3.748 20 0 CHADLO CC(C)(C)OCCCCn1c[nH+]c2cc(F)c(F)cc21 ZINC000352554035 526551206 /nfs/dbraw/zinc/55/12/06/526551206.db2.gz NTLQJGCWRILRFW-UHFFFAOYSA-N 1 2 282.334 3.910 20 0 CHADLO c1coc(-c2cc(C[N@@H+]3CCC[C@@H]3c3ccncc3)no2)c1 ZINC000299494567 526560158 /nfs/dbraw/zinc/56/01/58/526560158.db2.gz AZKONTVEJKNKOF-OAHLLOKOSA-N 1 2 295.342 3.667 20 0 CHADLO c1coc(-c2cc(C[N@H+]3CCC[C@@H]3c3ccncc3)no2)c1 ZINC000299494567 526560167 /nfs/dbraw/zinc/56/01/67/526560167.db2.gz AZKONTVEJKNKOF-OAHLLOKOSA-N 1 2 295.342 3.667 20 0 CHADLO c1sc(-c2ccccn2)nc1C[NH+]1CC2(C1)CCCCC2 ZINC000297343365 526629062 /nfs/dbraw/zinc/62/90/62/526629062.db2.gz FHRAFFPAWSLEJB-UHFFFAOYSA-N 1 2 299.443 3.971 20 0 CHADLO Cc1nonc1C[N@@H+](C)[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000265157860 526702257 /nfs/dbraw/zinc/70/22/57/526702257.db2.gz VGDLRKFJOFYTIY-SNVBAGLBSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nonc1C[N@H+](C)[C@H](C)c1ccc(C(F)(F)F)cc1 ZINC000265157860 526702264 /nfs/dbraw/zinc/70/22/64/526702264.db2.gz VGDLRKFJOFYTIY-SNVBAGLBSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nc(C[N@@H+](CC2CCC2)[C@@H](C)c2ccccc2)no1 ZINC000077372219 526847306 /nfs/dbraw/zinc/84/73/06/526847306.db2.gz HJHRUNBLEQVVSL-ZDUSSCGKSA-N 1 2 285.391 3.741 20 0 CHADLO Cc1nc(C[N@H+](CC2CCC2)[C@@H](C)c2ccccc2)no1 ZINC000077372219 526847315 /nfs/dbraw/zinc/84/73/15/526847315.db2.gz HJHRUNBLEQVVSL-ZDUSSCGKSA-N 1 2 285.391 3.741 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(F)cc2)C2CC2)cs1 ZINC000072979904 526848856 /nfs/dbraw/zinc/84/88/56/526848856.db2.gz VETGIHJWBUUSNT-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(F)cc2)C2CC2)cs1 ZINC000072979904 526848859 /nfs/dbraw/zinc/84/88/59/526848859.db2.gz VETGIHJWBUUSNT-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccccc2OC(C)C)cs1 ZINC000040443832 526889716 /nfs/dbraw/zinc/88/97/16/526889716.db2.gz XOOWGIKXVBMJKH-UHFFFAOYSA-N 1 2 276.405 3.529 20 0 CHADLO Clc1sccc1C[N@@H+]1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000356526218 527013526 /nfs/dbraw/zinc/01/35/26/527013526.db2.gz MRBBUBAURDMSEH-QWHCGFSZSA-N 1 2 297.851 3.935 20 0 CHADLO Clc1sccc1C[N@H+]1CC2(CCC2)[C@H]1[C@@H]1CCCO1 ZINC000356526218 527013535 /nfs/dbraw/zinc/01/35/35/527013535.db2.gz MRBBUBAURDMSEH-QWHCGFSZSA-N 1 2 297.851 3.935 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccc(F)cc2F)cs1 ZINC000051016838 527032132 /nfs/dbraw/zinc/03/21/32/527032132.db2.gz KNLVZUOFCFSNOX-MRVPVSSYSA-N 1 2 268.332 3.581 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2CCOc3c(F)cccc32)cs1 ZINC000276871608 527066839 /nfs/dbraw/zinc/06/68/39/527066839.db2.gz DWVWWRMXLBRYAS-ZANVPECISA-N 1 2 292.379 3.765 20 0 CHADLO Cc1[nH+]c2ccccn2c1CSc1ccncc1C ZINC000338634720 527180602 /nfs/dbraw/zinc/18/06/02/527180602.db2.gz QSDZMPMLEGXCID-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO Cc1[nH]c(C(=O)N[C@H](C)c2ccc(Cl)c(Cl)c2)c[nH+]1 ZINC000339223655 527241774 /nfs/dbraw/zinc/24/17/74/527241774.db2.gz NLMCLCJRABTKBG-SSDOTTSWSA-N 1 2 298.173 3.516 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccc(F)cc2F)C2CC2)s1 ZINC000098133276 527253450 /nfs/dbraw/zinc/25/34/50/527253450.db2.gz PSAMHEPFLLBPGA-UHFFFAOYSA-N 1 2 294.370 3.894 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccc(F)cc2F)C2CC2)s1 ZINC000098133276 527253456 /nfs/dbraw/zinc/25/34/56/527253456.db2.gz PSAMHEPFLLBPGA-UHFFFAOYSA-N 1 2 294.370 3.894 20 0 CHADLO Cc1nc(N2CCC[C@@H]2CCC2CCCC2)cc[nH+]1 ZINC000337152325 527345565 /nfs/dbraw/zinc/34/55/65/527345565.db2.gz SJPYCLCLHWYWIE-OAHLLOKOSA-N 1 2 259.397 3.724 20 0 CHADLO Cc1nc(N2CC[C@](F)(c3cccc(Cl)c3)C2)cc[nH+]1 ZINC000337876230 527347446 /nfs/dbraw/zinc/34/74/46/527347446.db2.gz QTIPAUUCMKTODR-OAHLLOKOSA-N 1 2 291.757 3.514 20 0 CHADLO Cc1nc(N[C@@H]2CCSc3c(F)cccc32)cc[nH+]1 ZINC000266178313 527351278 /nfs/dbraw/zinc/35/12/78/527351278.db2.gz UOEBXTDUZUUJML-GFCCVEGCSA-N 1 2 275.352 3.573 20 0 CHADLO CC(C)=C(F)c1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000355999923 527387573 /nfs/dbraw/zinc/38/75/73/527387573.db2.gz APAANJQTBVGYIF-UHFFFAOYSA-N 1 2 298.321 3.702 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1c(F)cccc1Cl ZINC000066565573 527498211 /nfs/dbraw/zinc/49/82/11/527498211.db2.gz BQGWBWXOZQQATQ-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1c(F)cccc1Cl ZINC000066565573 527498220 /nfs/dbraw/zinc/49/82/20/527498220.db2.gz BQGWBWXOZQQATQ-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cn1c[nH+]cc1CCSC1=Nc2ccccc2CS1 ZINC000287559025 527507591 /nfs/dbraw/zinc/50/75/91/527507591.db2.gz OXCTWZQWTYXYKK-UHFFFAOYSA-N 1 2 289.429 3.630 20 0 CHADLO FC(F)(F)c1cccc(C[N@@H+]2CCC[C@H]2c2cc[nH]n2)c1 ZINC000278782468 527685070 /nfs/dbraw/zinc/68/50/70/527685070.db2.gz ZNYNLMUIVUZSNF-AWEZNQCLSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1cccc(C[N@H+]2CCC[C@H]2c2cc[nH]n2)c1 ZINC000278782468 527685072 /nfs/dbraw/zinc/68/50/72/527685072.db2.gz ZNYNLMUIVUZSNF-AWEZNQCLSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCC3(CCC3)C2)s1 ZINC000279353200 527688505 /nfs/dbraw/zinc/68/85/05/527688505.db2.gz GITZGHNXVUXMHY-UHFFFAOYSA-N 1 2 276.327 3.538 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCC3(CCC3)C2)s1 ZINC000279353200 527688507 /nfs/dbraw/zinc/68/85/07/527688507.db2.gz GITZGHNXVUXMHY-UHFFFAOYSA-N 1 2 276.327 3.538 20 0 CHADLO FC(F)C(F)(F)C[NH2+]Cc1cccc(-c2ccccn2)c1 ZINC000191773534 527692116 /nfs/dbraw/zinc/69/21/16/527692116.db2.gz FUDHPZODDGNXSW-UHFFFAOYSA-N 1 2 298.283 3.739 20 0 CHADLO FC(F)[C@H]([NH2+]CCCC1CCOCC1)c1ccccc1 ZINC000292799961 527698255 /nfs/dbraw/zinc/69/82/55/527698255.db2.gz RHXZYYLOLZZQNU-OAHLLOKOSA-N 1 2 283.362 3.789 20 0 CHADLO CC1=C(C(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)CCC(C)(C)C1 ZINC000334612372 528078815 /nfs/dbraw/zinc/07/88/15/528078815.db2.gz VOXOHUGFFGKLTI-GXTWGEPZSA-N 1 2 287.407 3.532 20 0 CHADLO CC(C)[C@@H](C)N(C)C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000352762454 528405122 /nfs/dbraw/zinc/40/51/22/528405122.db2.gz GTVGYFRJROLQSN-CQSZACIVSA-N 1 2 298.386 3.990 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCS[C@H]3CCCC[C@H]32)s1 ZINC000336202682 528427957 /nfs/dbraw/zinc/42/79/57/528427957.db2.gz FVWAPJWDTROOHF-NEPJUHHUSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCS[C@H]3CCCC[C@H]32)s1 ZINC000336202682 528427961 /nfs/dbraw/zinc/42/79/61/528427961.db2.gz FVWAPJWDTROOHF-NEPJUHHUSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2cccc(Cl)c2F)s1 ZINC000344633953 528442775 /nfs/dbraw/zinc/44/27/75/528442775.db2.gz WPFNUVNNACTMHS-UHFFFAOYSA-N 1 2 299.802 3.744 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH2+]C(C)(C)c2cccs2)n1 ZINC000348306615 528509498 /nfs/dbraw/zinc/50/94/98/528509498.db2.gz KRTAEEUIWBLKGH-SNVBAGLBSA-N 1 2 279.409 3.840 20 0 CHADLO CC(C)Cc1noc(/C=C\c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000356022775 528679724 /nfs/dbraw/zinc/67/97/24/528679724.db2.gz NEJHCLFYRDNFAF-YVMONPNESA-N 1 2 294.358 3.624 20 0 CHADLO CCCCOc1cccc(CNc2cc[nH+]c(C3CC3)n2)c1 ZINC000346745676 528693019 /nfs/dbraw/zinc/69/30/19/528693019.db2.gz YUCLUYPIBNDXNV-UHFFFAOYSA-N 1 2 297.402 3.567 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC=C(c3ccco3)C2)cs1 ZINC000352563543 528744791 /nfs/dbraw/zinc/74/47/91/528744791.db2.gz RGPQIGLTAYXBHV-UHFFFAOYSA-N 1 2 288.416 3.978 20 0 CHADLO CCCc1nc(C[N@H+]2CCC=C(c3ccco3)C2)cs1 ZINC000352563543 528744795 /nfs/dbraw/zinc/74/47/95/528744795.db2.gz RGPQIGLTAYXBHV-UHFFFAOYSA-N 1 2 288.416 3.978 20 0 CHADLO CCCn1c2ccccc2nc1C[NH+]1Cc2ccccc2C1 ZINC000353401491 528826162 /nfs/dbraw/zinc/82/61/62/528826162.db2.gz IHXYWCSIDNHIOT-UHFFFAOYSA-N 1 2 291.398 3.962 20 0 CHADLO CC[N@H+](Cc1ncc(Cl)s1)Cc1ccccc1 ZINC000352005054 528889087 /nfs/dbraw/zinc/88/90/87/528889087.db2.gz XOOXJOBLVXHHST-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1ncc(Cl)s1)Cc1ccccc1 ZINC000352005054 528889092 /nfs/dbraw/zinc/88/90/92/528889092.db2.gz XOOXJOBLVXHHST-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC[C@@H]2c2cccnc2)n1 ZINC000346970664 528896294 /nfs/dbraw/zinc/89/62/94/528896294.db2.gz GTDXONYQFHWAKU-GOSISDBHSA-N 1 2 298.434 3.976 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC[C@@H]2c2cccnc2)n1 ZINC000346970664 528896299 /nfs/dbraw/zinc/89/62/99/528896299.db2.gz GTDXONYQFHWAKU-GOSISDBHSA-N 1 2 298.434 3.976 20 0 CHADLO CCC1(CC)CCCN(C(=O)Nc2cc[nH+]c(C)c2)CC1 ZINC000347596358 529041297 /nfs/dbraw/zinc/04/12/97/529041297.db2.gz GZVSYQRTTUUSKE-UHFFFAOYSA-N 1 2 289.423 3.636 20 0 CHADLO CCc1cccc(F)c1C[N@@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000353618837 529173952 /nfs/dbraw/zinc/17/39/52/529173952.db2.gz BOXYGHBWBCIMJQ-MRXNPFEDSA-N 1 2 287.382 3.838 20 0 CHADLO CCc1cccc(F)c1C[N@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000353618837 529173954 /nfs/dbraw/zinc/17/39/54/529173954.db2.gz BOXYGHBWBCIMJQ-MRXNPFEDSA-N 1 2 287.382 3.838 20 0 CHADLO CC[C@H](C)n1ncc(NCCCc2c[nH+]ccc2C)c1C ZINC000348402440 529294012 /nfs/dbraw/zinc/29/40/12/529294012.db2.gz BYZJXRBUVIRPKK-AWEZNQCLSA-N 1 2 286.423 3.911 20 0 CHADLO CC[C@H](C)n1ncc(N[C@H](C)c2[nH+]ccn2C)c1C1CC1 ZINC000347331338 529294965 /nfs/dbraw/zinc/29/49/65/529294965.db2.gz GONHRKDTFMOSFD-NWDGAFQWSA-N 1 2 287.411 3.638 20 0 CHADLO CC[C@@H]1CC[C@@H](C)N1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334717654 529337240 /nfs/dbraw/zinc/33/72/40/529337240.db2.gz NOSAKOVWOHHIHM-TZMCWYRMSA-N 1 2 275.396 3.802 20 0 CHADLO CCC[C@H](C)N(C)C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000341768484 535626322 /nfs/dbraw/zinc/62/63/22/535626322.db2.gz LSZJGDAHMKYTSC-HOCLYGCPSA-N 1 2 299.418 3.579 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2[C@@H](C)c2nc(C(C)C)no2)o1 ZINC000331401503 1125459781 /nfs/dbraw/zinc/45/97/81/1125459781.db2.gz MZXSJLARBLXRJL-STQMWFEESA-N 1 2 289.379 3.993 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2[C@@H](C)c2nc(C(C)C)no2)o1 ZINC000331401503 1125459786 /nfs/dbraw/zinc/45/97/86/1125459786.db2.gz MZXSJLARBLXRJL-STQMWFEESA-N 1 2 289.379 3.993 20 0 CHADLO CCC(F)(F)C[NH2+]C/C=C/c1ccc(F)c(F)c1 ZINC000623679205 1117888758 /nfs/dbraw/zinc/88/87/58/1117888758.db2.gz MBOIXOFMDLKRAW-ONEGZZNKSA-N 1 2 261.262 3.613 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2cc(Cl)ccc2Cl)ncn1 ZINC000339750161 1117972277 /nfs/dbraw/zinc/97/22/77/1117972277.db2.gz ANWCXRYUTGIVEN-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1ncc(Cl)n1C ZINC000044894559 1118251730 /nfs/dbraw/zinc/25/17/30/1118251730.db2.gz USNNAZNJLATCKO-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1ncc(Cl)n1C ZINC000044894559 1118251733 /nfs/dbraw/zinc/25/17/33/1118251733.db2.gz USNNAZNJLATCKO-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000112523225 1125486371 /nfs/dbraw/zinc/48/63/71/1125486371.db2.gz VEULAWRDJRLQPN-RKDXNWHRSA-N 1 2 299.296 3.809 20 0 CHADLO Fc1ccc2c(c1)[C@H](Nc1cccc[nH+]1)CCCO2 ZINC000048894250 1125488054 /nfs/dbraw/zinc/48/80/54/1125488054.db2.gz UOJZMNIZDKGCIE-CYBMUJFWSA-N 1 2 258.296 3.547 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(F)cc2Cl)cn1 ZINC000153880189 1119293260 /nfs/dbraw/zinc/29/32/60/1119293260.db2.gz FODWYWWUTOAEKJ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc2c(Nc3c(F)cccc3CO)cccc2[nH+]1 ZINC001212798761 1125494069 /nfs/dbraw/zinc/49/40/69/1125494069.db2.gz REVSYICAVCLUSD-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO CCC[C@H]([NH2+][C@@H]1CCCC1(F)F)c1cccnc1 ZINC000672622511 1120335398 /nfs/dbraw/zinc/33/53/98/1120335398.db2.gz ZWLVGGOJULLKKC-QWHCGFSZSA-N 1 2 254.324 3.700 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+](C)CC(=O)OC(C)(C)C ZINC000312936556 1120379124 /nfs/dbraw/zinc/37/91/24/1120379124.db2.gz XKMOIZNDOARAQS-LLVKDONJSA-N 1 2 283.799 3.675 20 0 CHADLO FC(F)Oc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000397993916 1120541839 /nfs/dbraw/zinc/54/18/39/1120541839.db2.gz JQONUJYJGUQTIE-LLVKDONJSA-N 1 2 277.261 3.565 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)CC(C)(C)O1 ZINC000511936497 1120856517 /nfs/dbraw/zinc/85/65/17/1120856517.db2.gz ACERIIBZLDYNJO-LLVKDONJSA-N 1 2 287.325 3.705 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)CC(C)(C)O1 ZINC000511936497 1120856518 /nfs/dbraw/zinc/85/65/18/1120856518.db2.gz ACERIIBZLDYNJO-LLVKDONJSA-N 1 2 287.325 3.705 20 0 CHADLO CCC(CC)CCC[N@H+](C)Cc1csc(NC(C)=O)n1 ZINC000662039754 1120862207 /nfs/dbraw/zinc/86/22/07/1120862207.db2.gz RLPORVWDTDXPAW-UHFFFAOYSA-N 1 2 297.468 3.750 20 0 CHADLO CCC(CC)CCC[N@@H+](C)Cc1csc(NC(C)=O)n1 ZINC000662039754 1120862209 /nfs/dbraw/zinc/86/22/09/1120862209.db2.gz RLPORVWDTDXPAW-UHFFFAOYSA-N 1 2 297.468 3.750 20 0 CHADLO COc1cc(Nc2cc(F)c(F)c(F)c2)cc(C)[nH+]1 ZINC001174958435 1121112078 /nfs/dbraw/zinc/11/20/78/1121112078.db2.gz RISGBYNPFPOGQK-UHFFFAOYSA-N 1 2 268.238 3.560 20 0 CHADLO CCOc1cc(F)ccc1Nc1[nH+]cc(C)cc1C ZINC001175286572 1121254765 /nfs/dbraw/zinc/25/47/65/1121254765.db2.gz PHMKAZZIIRNXAM-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO F[C@@]1(c2ccccc2)CCC[N@H+](C/C=C/Cl)C1 ZINC001175503493 1121352241 /nfs/dbraw/zinc/35/22/41/1121352241.db2.gz NEXLYRJFXGCVOL-KVEVGEHQSA-N 1 2 253.748 3.700 20 0 CHADLO F[C@@]1(c2ccccc2)CCC[N@@H+](C/C=C/Cl)C1 ZINC001175503493 1121352248 /nfs/dbraw/zinc/35/22/48/1121352248.db2.gz NEXLYRJFXGCVOL-KVEVGEHQSA-N 1 2 253.748 3.700 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1nc(C(C)(C)C)co1 ZINC000894141774 1121653078 /nfs/dbraw/zinc/65/30/78/1121653078.db2.gz JHNLEJMBZKTAHB-VHSXEESVSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1nc(C(C)(C)C)co1 ZINC000894141774 1121653082 /nfs/dbraw/zinc/65/30/82/1121653082.db2.gz JHNLEJMBZKTAHB-VHSXEESVSA-N 1 2 290.329 3.745 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)no1 ZINC000052162320 1121677344 /nfs/dbraw/zinc/67/73/44/1121677344.db2.gz ROVOAETWYZDZEU-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)no1 ZINC000052162320 1121677336 /nfs/dbraw/zinc/67/73/36/1121677336.db2.gz ROVOAETWYZDZEU-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO CC(C)COCC[N@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000501090292 1121952190 /nfs/dbraw/zinc/95/21/90/1121952190.db2.gz QNUCPWCAROCBCR-LBPRGKRZSA-N 1 2 271.351 3.630 20 0 CHADLO CC(C)COCC[N@@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000501090292 1121952197 /nfs/dbraw/zinc/95/21/97/1121952197.db2.gz QNUCPWCAROCBCR-LBPRGKRZSA-N 1 2 271.351 3.630 20 0 CHADLO CSc1cnc(F)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001250138686 1122249233 /nfs/dbraw/zinc/24/92/33/1122249233.db2.gz YXLNOENXLRCRIB-UHFFFAOYSA-N 1 2 263.341 3.698 20 0 CHADLO CSc1ccc(CCn2cc[nH+]c2)cc1Cl ZINC001250321090 1122492731 /nfs/dbraw/zinc/49/27/31/1122492731.db2.gz VXDDHWIIBIICEF-UHFFFAOYSA-N 1 2 252.770 3.501 20 0 CHADLO CC[C@H](F)C[N@@H+]1C[C@@H](c2ccccc2C)OC[C@H]1C ZINC000440793186 1123041432 /nfs/dbraw/zinc/04/14/32/1123041432.db2.gz OOSLWSMBHCZGJY-YCPHGPKFSA-N 1 2 265.372 3.505 20 0 CHADLO CC[C@H](F)C[N@H+]1C[C@@H](c2ccccc2C)OC[C@H]1C ZINC000440793186 1123041437 /nfs/dbraw/zinc/04/14/37/1123041437.db2.gz OOSLWSMBHCZGJY-YCPHGPKFSA-N 1 2 265.372 3.505 20 0 CHADLO COc1c(Cl)cc(Cl)cc1Nc1ccc(N)[nH+]c1 ZINC001201291681 1123083229 /nfs/dbraw/zinc/08/32/29/1123083229.db2.gz MVCKRADOMOVFEC-UHFFFAOYSA-N 1 2 284.146 3.723 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@@H](C)c1ccon1 ZINC000442878632 1123346682 /nfs/dbraw/zinc/34/66/82/1123346682.db2.gz UETPBGMLJRCYJA-QWRGUYRKSA-N 1 2 262.378 3.808 20 0 CHADLO CC(=O)C1CC[NH+](Cc2ccc(Cl)cc2Cl)CC1 ZINC000111690613 1123460877 /nfs/dbraw/zinc/46/08/77/1123460877.db2.gz DCVKPGYYYFCWGV-UHFFFAOYSA-N 1 2 286.202 3.794 20 0 CHADLO Cc1nc(NC[C@@H]2CC=CCC2)c(Br)c(C)[nH+]1 ZINC000322844616 1123559266 /nfs/dbraw/zinc/55/92/66/1123559266.db2.gz RZAVOZLWPSTPCM-LLVKDONJSA-N 1 2 296.212 3.624 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@@H](C)c2ccns2)on1 ZINC000925157708 1124047176 /nfs/dbraw/zinc/04/71/76/1124047176.db2.gz SZNMDOXKEAVWAQ-JTQLQIEISA-N 1 2 279.409 3.886 20 0 CHADLO CC[N@H+](Cn1[nH]c(C(C)(C)C)nc1=S)C1CCCCC1 ZINC000144250852 1124106553 /nfs/dbraw/zinc/10/65/53/1124106553.db2.gz XWUABKXZKFIZPF-UHFFFAOYSA-N 1 2 296.484 3.850 20 0 CHADLO CC[N@@H+](Cn1[nH]c(C(C)(C)C)nc1=S)C1CCCCC1 ZINC000144250852 1124106555 /nfs/dbraw/zinc/10/65/55/1124106555.db2.gz XWUABKXZKFIZPF-UHFFFAOYSA-N 1 2 296.484 3.850 20 0 CHADLO CC(C)(C)c1cn(C[C@H](O)c2ccc(Cl)cc2)c[nH+]1 ZINC000871990012 1124655632 /nfs/dbraw/zinc/65/56/32/1124655632.db2.gz WXMXNNAGAXNWDL-ZDUSSCGKSA-N 1 2 278.783 3.568 20 0 CHADLO CC[C@H]([NH2+]C/C=C\c1ccc(F)cc1F)C(F)F ZINC000449365389 1124700987 /nfs/dbraw/zinc/70/09/87/1124700987.db2.gz VSHWAXMLMXTSHD-MVZIDQBPSA-N 1 2 261.262 3.611 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1csc(C2CC2)n1 ZINC000348244846 1125429389 /nfs/dbraw/zinc/42/93/89/1125429389.db2.gz NUFOINXTEDHSRK-LDYMZIIASA-N 1 2 290.354 3.793 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1csc(C2CC2)n1 ZINC000348244846 1125429390 /nfs/dbraw/zinc/42/93/90/1125429390.db2.gz NUFOINXTEDHSRK-LDYMZIIASA-N 1 2 290.354 3.793 20 0 CHADLO CCCC[NH+](CCCC)Cc1ncc(C(F)(F)F)[nH]1 ZINC001137016457 1131505116 /nfs/dbraw/zinc/50/51/16/1131505116.db2.gz YFCJAQUEBCPSCE-UHFFFAOYSA-N 1 2 277.334 3.831 20 0 CHADLO CC(C)Oc1ccc(Cl)cc1C[N@@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001138958226 1131564293 /nfs/dbraw/zinc/56/42/93/1131564293.db2.gz ZDZWQXDXJJTPMD-RISCZKNCSA-N 1 2 285.790 3.917 20 0 CHADLO CC(C)Oc1ccc(Cl)cc1C[N@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001138958226 1131564296 /nfs/dbraw/zinc/56/42/96/1131564296.db2.gz ZDZWQXDXJJTPMD-RISCZKNCSA-N 1 2 285.790 3.917 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)cnc1Cl ZINC001139351312 1131604026 /nfs/dbraw/zinc/60/40/26/1131604026.db2.gz VGMIBYAPJMUFJN-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1cc(Cl)cnc1Cl ZINC001139351312 1131604029 /nfs/dbraw/zinc/60/40/29/1131604029.db2.gz VGMIBYAPJMUFJN-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1cc(F)c(F)cc1Cl ZINC001144722165 1131694947 /nfs/dbraw/zinc/69/49/47/1131694947.db2.gz PYPKKEUTDWJJJS-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1cc(F)c(F)cc1Cl ZINC001144722165 1131694949 /nfs/dbraw/zinc/69/49/49/1131694949.db2.gz PYPKKEUTDWJJJS-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO Fc1cc(C[N@@H+]2CCC3(CC3)C2)c(F)cc1Cl ZINC001140699160 1131880654 /nfs/dbraw/zinc/88/06/54/1131880654.db2.gz FPLAIFXCLGDCHY-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Fc1cc(C[N@H+]2CCC3(CC3)C2)c(F)cc1Cl ZINC001140699160 1131880663 /nfs/dbraw/zinc/88/06/63/1131880663.db2.gz FPLAIFXCLGDCHY-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO C[C@@H](Cc1ccc(C(F)(F)F)cc1)[NH2+][C@H]1CC1(F)F ZINC001171958213 1132110854 /nfs/dbraw/zinc/11/08/54/1132110854.db2.gz QWBIIJAHAVQZOQ-KWQFWETISA-N 1 2 279.252 3.634 20 0 CHADLO CCCn1cnc(C[N@H+](C)Cc2cccc(Cl)c2)c1 ZINC001232798816 1132523701 /nfs/dbraw/zinc/52/37/01/1132523701.db2.gz MXVXNEYCDLDYFT-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CCCn1cnc(C[N@@H+](C)Cc2cccc(Cl)c2)c1 ZINC001232798816 1132523705 /nfs/dbraw/zinc/52/37/05/1132523705.db2.gz MXVXNEYCDLDYFT-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO Cc1ccc(Nc2ccc(Cl)c(CO)c2)c(C)[nH+]1 ZINC001203375907 1132582112 /nfs/dbraw/zinc/58/21/12/1132582112.db2.gz FZTFCMOKDVZWCB-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](C2CCC2)C(F)(F)F)c1 ZINC001158400938 1132773749 /nfs/dbraw/zinc/77/37/49/1132773749.db2.gz IXUOQOCKTMLYJM-NSHDSACASA-N 1 2 276.327 3.946 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@@H+]2Cc2cnoc2)s1 ZINC001205703691 1133604319 /nfs/dbraw/zinc/60/43/19/1133604319.db2.gz IYRPILXCPHGRSQ-JTQLQIEISA-N 1 2 268.769 3.727 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@H+]2Cc2cnoc2)s1 ZINC001205703691 1133604323 /nfs/dbraw/zinc/60/43/23/1133604323.db2.gz IYRPILXCPHGRSQ-JTQLQIEISA-N 1 2 268.769 3.727 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1cc(Cl)ccc1F ZINC001206020603 1133642657 /nfs/dbraw/zinc/64/26/57/1133642657.db2.gz ZBUFGNACVKXXKY-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1cc(Cl)ccc1F ZINC001206020603 1133642662 /nfs/dbraw/zinc/64/26/62/1133642662.db2.gz ZBUFGNACVKXXKY-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO Cc1cccc(NC(=O)Nc2cccc3[nH+]ccn32)c1C ZINC001202692071 1125512816 /nfs/dbraw/zinc/51/28/16/1125512816.db2.gz AHWAGZKSGBZJJF-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1nc2cc(F)ccc2o1 ZINC000134103439 1125514800 /nfs/dbraw/zinc/51/48/00/1125514800.db2.gz MPVXDCFRTXIOQZ-SNVBAGLBSA-N 1 2 274.295 3.753 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1nc2cc(F)ccc2o1 ZINC000134103439 1125514805 /nfs/dbraw/zinc/51/48/05/1125514805.db2.gz MPVXDCFRTXIOQZ-SNVBAGLBSA-N 1 2 274.295 3.753 20 0 CHADLO CCCc1nc(C[N@H+](Cc2ccccc2)[C@@H](C)C2CC2)no1 ZINC000054989254 1125531217 /nfs/dbraw/zinc/53/12/17/1125531217.db2.gz GDLUSOKRMDZHMZ-AWEZNQCLSA-N 1 2 299.418 3.823 20 0 CHADLO CCCc1nc(C[N@@H+](Cc2ccccc2)[C@@H](C)C2CC2)no1 ZINC000054989254 1125531222 /nfs/dbraw/zinc/53/12/22/1125531222.db2.gz GDLUSOKRMDZHMZ-AWEZNQCLSA-N 1 2 299.418 3.823 20 0 CHADLO CCCc1nc(C[N@H+](Cc2ccccc2)[C@H](C)C2CC2)no1 ZINC000054989255 1125531308 /nfs/dbraw/zinc/53/13/08/1125531308.db2.gz GDLUSOKRMDZHMZ-CQSZACIVSA-N 1 2 299.418 3.823 20 0 CHADLO CCCc1nc(C[N@@H+](Cc2ccccc2)[C@H](C)C2CC2)no1 ZINC000054989255 1125531316 /nfs/dbraw/zinc/53/13/16/1125531316.db2.gz GDLUSOKRMDZHMZ-CQSZACIVSA-N 1 2 299.418 3.823 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccccc2F)cs1 ZINC000054917984 1125531518 /nfs/dbraw/zinc/53/15/18/1125531518.db2.gz SRHKWLGHSHQOEY-SNVBAGLBSA-N 1 2 264.369 3.695 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1nc2ccccc2s1 ZINC000058876472 1125543612 /nfs/dbraw/zinc/54/36/12/1125543612.db2.gz AUVKMRSOMPNYIK-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1nc2ccccc2s1 ZINC000058876472 1125543619 /nfs/dbraw/zinc/54/36/19/1125543619.db2.gz AUVKMRSOMPNYIK-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO CCC[C@@H](C)CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000195247185 1125563661 /nfs/dbraw/zinc/56/36/61/1125563661.db2.gz MVRNEWXTHJVSOP-CYBMUJFWSA-N 1 2 271.364 3.637 20 0 CHADLO c1ccc(Oc2ccc(CNc3cccc[nH+]3)cn2)cc1 ZINC000195311687 1125564123 /nfs/dbraw/zinc/56/41/23/1125564123.db2.gz QLIAYWTXAWBHKZ-UHFFFAOYSA-N 1 2 277.327 3.881 20 0 CHADLO C[C@H]1CO[C@H](c2ccccc2Cl)C[N@@H+]1CCCCF ZINC000509674325 1125573424 /nfs/dbraw/zinc/57/34/24/1125573424.db2.gz VVGNEKLAXGDVSG-WFASDCNBSA-N 1 2 285.790 3.852 20 0 CHADLO C[C@H]1CO[C@H](c2ccccc2Cl)C[N@H+]1CCCCF ZINC000509674325 1125573426 /nfs/dbraw/zinc/57/34/26/1125573426.db2.gz VVGNEKLAXGDVSG-WFASDCNBSA-N 1 2 285.790 3.852 20 0 CHADLO Cc1ccc(C[NH2+][C@H](CN(C)C)c2ccc(Cl)cc2)o1 ZINC000282927183 1125592878 /nfs/dbraw/zinc/59/28/78/1125592878.db2.gz WFXNZQBWFVLCQY-MRXNPFEDSA-N 1 2 292.810 3.634 20 0 CHADLO Cc1cc2c(c(C)c1)[C@H]([NH2+]C1(C(F)F)CC1)CC2 ZINC000655762492 1125624951 /nfs/dbraw/zinc/62/49/51/1125624951.db2.gz GQHIKQGXFIOZNF-GFCCVEGCSA-N 1 2 251.320 3.678 20 0 CHADLO Cc1coc(C[NH2+]C2(c3nccs3)CCCC2)c1 ZINC000885991185 1125632679 /nfs/dbraw/zinc/63/26/79/1125632679.db2.gz YVINJQFPXIDHAJ-UHFFFAOYSA-N 1 2 262.378 3.604 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2F)no1 ZINC000247483387 1125658198 /nfs/dbraw/zinc/65/81/98/1125658198.db2.gz KILACMUHPVEBGG-BZNIZROVSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2F)no1 ZINC000247483387 1125658199 /nfs/dbraw/zinc/65/81/99/1125658199.db2.gz KILACMUHPVEBGG-BZNIZROVSA-N 1 2 274.339 3.705 20 0 CHADLO C[C@@H]([NH2+][C@H](CN(C)C)c1ccc(Cl)cc1)c1ccco1 ZINC000282953844 1125662880 /nfs/dbraw/zinc/66/28/80/1125662880.db2.gz CBPIIIWZLOTPHD-IUODEOHRSA-N 1 2 292.810 3.887 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC001238678939 1131231121 /nfs/dbraw/zinc/23/11/21/1131231121.db2.gz AUAHJFYWNBCRMR-GHMZBOCLSA-N 1 2 285.840 3.671 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1C[C@@H](C)OC[C@H]1C ZINC001238678939 1131231125 /nfs/dbraw/zinc/23/11/25/1131231125.db2.gz AUAHJFYWNBCRMR-GHMZBOCLSA-N 1 2 285.840 3.671 20 0 CHADLO CC1(C)C[N@H+](CCSC(F)(F)F)[C@H]1c1cccnc1 ZINC000886289355 1125667552 /nfs/dbraw/zinc/66/75/52/1125667552.db2.gz UFWDBZBUEOSALK-NSHDSACASA-N 1 2 290.354 3.718 20 0 CHADLO CC1(C)C[N@@H+](CCSC(F)(F)F)[C@H]1c1cccnc1 ZINC000886289355 1125667556 /nfs/dbraw/zinc/66/75/56/1125667556.db2.gz UFWDBZBUEOSALK-NSHDSACASA-N 1 2 290.354 3.718 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nc(C(F)F)no2)[C@H]1c1ccccc1 ZINC000886540659 1125687312 /nfs/dbraw/zinc/68/73/12/1125687312.db2.gz IYSVWQASUMITCV-GXFFZTMASA-N 1 2 293.317 3.590 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nc(C(F)F)no2)[C@H]1c1ccccc1 ZINC000886540659 1125687315 /nfs/dbraw/zinc/68/73/15/1125687315.db2.gz IYSVWQASUMITCV-GXFFZTMASA-N 1 2 293.317 3.590 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2noc(C3CC3)n2)[C@H]1c1ccccc1 ZINC000886544948 1125688825 /nfs/dbraw/zinc/68/88/25/1125688825.db2.gz DHLGAOURHGNILJ-MLGOLLRUSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2noc(C3CC3)n2)[C@H]1c1ccccc1 ZINC000886544948 1125688829 /nfs/dbraw/zinc/68/88/29/1125688829.db2.gz DHLGAOURHGNILJ-MLGOLLRUSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]([NH2+]Cc1cscc1Cl)c1ccc(F)cn1 ZINC000886656376 1125703361 /nfs/dbraw/zinc/70/33/61/1125703361.db2.gz CBQXBCNEXIKTEQ-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1cnccc1Cl)C2 ZINC001137231038 1125726491 /nfs/dbraw/zinc/72/64/91/1125726491.db2.gz DVOFUZLFAQJNOQ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1cnccc1Cl)C2 ZINC001137231038 1125726497 /nfs/dbraw/zinc/72/64/97/1125726497.db2.gz DVOFUZLFAQJNOQ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(F)c(F)c1)CC2 ZINC000261390511 1125732337 /nfs/dbraw/zinc/73/23/37/1125732337.db2.gz FEDCJPSWHNINEG-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(F)c(F)c1)CC2 ZINC000261390511 1125732343 /nfs/dbraw/zinc/73/23/43/1125732343.db2.gz FEDCJPSWHNINEG-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CC(C)(C)c1noc([C@H]2CCC[N@@H+]2Cc2ccccc2)n1 ZINC000089644635 1125734900 /nfs/dbraw/zinc/73/49/00/1125734900.db2.gz GZVGGGRCIVVTLK-CQSZACIVSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1noc([C@H]2CCC[N@H+]2Cc2ccccc2)n1 ZINC000089644635 1125734907 /nfs/dbraw/zinc/73/49/07/1125734907.db2.gz GZVGGGRCIVVTLK-CQSZACIVSA-N 1 2 285.391 3.704 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2Cc3ccccc3OC3(CCC3)C2)o1 ZINC000887149787 1125756060 /nfs/dbraw/zinc/75/60/60/1125756060.db2.gz KERMURJUBOVLQR-CQSZACIVSA-N 1 2 298.386 3.861 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2Cc3ccccc3OC3(CCC3)C2)o1 ZINC000887149787 1125756063 /nfs/dbraw/zinc/75/60/63/1125756063.db2.gz KERMURJUBOVLQR-CQSZACIVSA-N 1 2 298.386 3.861 20 0 CHADLO COc1ccc2ccccc2c1C[N@@H+]1CCC=C(F)C1 ZINC000508691720 1125774389 /nfs/dbraw/zinc/77/43/89/1125774389.db2.gz PCJRYEQCYCRSLR-UHFFFAOYSA-N 1 2 271.335 3.908 20 0 CHADLO COc1ccc2ccccc2c1C[N@H+]1CCC=C(F)C1 ZINC000508691720 1125774392 /nfs/dbraw/zinc/77/43/92/1125774392.db2.gz PCJRYEQCYCRSLR-UHFFFAOYSA-N 1 2 271.335 3.908 20 0 CHADLO CC(C)Nc1cccc(C[N@H+](C)Cc2cscn2)c1 ZINC000121833022 1125779857 /nfs/dbraw/zinc/77/98/57/1125779857.db2.gz OYQNHVNDYOSCHM-UHFFFAOYSA-N 1 2 275.421 3.595 20 0 CHADLO CC(C)Nc1cccc(C[N@@H+](C)Cc2cscn2)c1 ZINC000121833022 1125779862 /nfs/dbraw/zinc/77/98/62/1125779862.db2.gz OYQNHVNDYOSCHM-UHFFFAOYSA-N 1 2 275.421 3.595 20 0 CHADLO CC[C@H]([NH2+]Cc1ccon1)c1ccc(Cl)s1 ZINC000122436389 1125786240 /nfs/dbraw/zinc/78/62/40/1125786240.db2.gz ZLUBFAOXRTXAAK-VIFPVBQESA-N 1 2 256.758 3.630 20 0 CHADLO Cc1ccsc1[C@H]1C[C@@H]1C(=O)Nc1cc[nH+]c(C)c1 ZINC000122554554 1125788258 /nfs/dbraw/zinc/78/82/58/1125788258.db2.gz MSQKZKSDOLBUEV-STQMWFEESA-N 1 2 272.373 3.502 20 0 CHADLO COc1ncc(C[N@H+](C)Cc2ccccc2SC)s1 ZINC000639121650 1129283958 /nfs/dbraw/zinc/28/39/58/1129283958.db2.gz UJIACXOHFXBHQJ-UHFFFAOYSA-N 1 2 294.445 3.506 20 0 CHADLO COc1ncc(C[N@@H+](C)Cc2ccccc2SC)s1 ZINC000639121650 1129283960 /nfs/dbraw/zinc/28/39/60/1129283960.db2.gz UJIACXOHFXBHQJ-UHFFFAOYSA-N 1 2 294.445 3.506 20 0 CHADLO Cc1ccc(C[N@H+](C)CC(=O)c2ccccc2F)s1 ZINC000639470347 1129318998 /nfs/dbraw/zinc/31/89/98/1129318998.db2.gz AXZAPLNOGPSWPD-UHFFFAOYSA-N 1 2 277.364 3.510 20 0 CHADLO Cc1ccc(C[N@@H+](C)CC(=O)c2ccccc2F)s1 ZINC000639470347 1129319002 /nfs/dbraw/zinc/31/90/02/1129319002.db2.gz AXZAPLNOGPSWPD-UHFFFAOYSA-N 1 2 277.364 3.510 20 0 CHADLO CC1CCC([N@H+](CC(F)(F)C(F)F)C2CC2)CC1 ZINC000736620115 1129377548 /nfs/dbraw/zinc/37/75/48/1129377548.db2.gz APPQOBSTLSZRPU-UHFFFAOYSA-N 1 2 267.310 3.930 20 0 CHADLO CC1CCC([N@@H+](CC(F)(F)C(F)F)C2CC2)CC1 ZINC000736620115 1129377551 /nfs/dbraw/zinc/37/75/51/1129377551.db2.gz APPQOBSTLSZRPU-UHFFFAOYSA-N 1 2 267.310 3.930 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](C)c1cccc(C)c1C ZINC000180551736 1129490316 /nfs/dbraw/zinc/49/03/16/1129490316.db2.gz IPXJOCXLXIVZBF-QWHCGFSZSA-N 1 2 257.381 3.747 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000635647680 1129188193 /nfs/dbraw/zinc/18/81/93/1129188193.db2.gz WGTNWANDPQZUKY-ZIAGYGMSSA-N 1 2 283.375 3.584 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000635647680 1129188192 /nfs/dbraw/zinc/18/81/92/1129188192.db2.gz WGTNWANDPQZUKY-ZIAGYGMSSA-N 1 2 283.375 3.584 20 0 CHADLO CCCCCCc1ccc(C[NH+]2CCOCC2)s1 ZINC001238713033 1131235677 /nfs/dbraw/zinc/23/56/77/1131235677.db2.gz HNOYCCQIIWWOIO-UHFFFAOYSA-N 1 2 267.438 3.703 20 0 CHADLO C[C@H]([NH2+]Cc1c(Cl)cncc1Cl)c1cccnc1 ZINC001169188509 1129706714 /nfs/dbraw/zinc/70/67/14/1129706714.db2.gz XEIORHMJMBHVMI-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO FC1(F)CCC[C@H](Nc2cc3cc[nH]c3c[nH+]2)C1 ZINC001169212512 1129708131 /nfs/dbraw/zinc/70/81/31/1129708131.db2.gz NRNSYJOSJFAOJV-JTQLQIEISA-N 1 2 251.280 3.553 20 0 CHADLO Cc1cc[nH+]c(C)c1N[C@H](C1CCC1)C(F)(F)F ZINC001169285640 1129715110 /nfs/dbraw/zinc/71/51/10/1129715110.db2.gz OWMCFDKPCFUHOL-GFCCVEGCSA-N 1 2 258.287 3.841 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCC[C@H](Nc2ccccc2)C1 ZINC000768556949 1129863404 /nfs/dbraw/zinc/86/34/04/1129863404.db2.gz QYWPKHLYSLUOAF-TZIYXEQSSA-N 1 2 285.218 3.882 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCC[C@H](Nc2ccccc2)C1 ZINC000768556949 1129863407 /nfs/dbraw/zinc/86/34/07/1129863407.db2.gz QYWPKHLYSLUOAF-TZIYXEQSSA-N 1 2 285.218 3.882 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC[C@@H](C(F)(F)F)C2(C)C)o1 ZINC000787322508 1130147017 /nfs/dbraw/zinc/14/70/17/1130147017.db2.gz QUZPDEQGZPSFND-LLVKDONJSA-N 1 2 290.329 3.961 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC[C@@H](C(F)(F)F)C2(C)C)o1 ZINC000787322508 1130147020 /nfs/dbraw/zinc/14/70/20/1130147020.db2.gz QUZPDEQGZPSFND-LLVKDONJSA-N 1 2 290.329 3.961 20 0 CHADLO Cc1c(F)nccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001212841116 1126714374 /nfs/dbraw/zinc/71/43/74/1126714374.db2.gz ZHHWVZTXTAXFLR-UHFFFAOYSA-N 1 2 276.702 3.574 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1ccc(F)c(F)c1F ZINC000667948597 1126714632 /nfs/dbraw/zinc/71/46/32/1126714632.db2.gz FVUYCALXSKZYGJ-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1ccc(F)c(F)c1F ZINC000667948597 1126714635 /nfs/dbraw/zinc/71/46/35/1126714635.db2.gz FVUYCALXSKZYGJ-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2ccccc2s1)c1ccncc1F ZINC000338351127 1126737136 /nfs/dbraw/zinc/73/71/36/1126737136.db2.gz SVSVLOKYLNTATM-SNVBAGLBSA-N 1 2 287.363 3.681 20 0 CHADLO Cc1csc(C[N@H+]2CCOC[C@@H]2C(C)C)c1Cl ZINC001137292527 1130307177 /nfs/dbraw/zinc/30/71/77/1130307177.db2.gz DIDZLRSFHNLFGG-LLVKDONJSA-N 1 2 273.829 3.567 20 0 CHADLO Cc1csc(C[N@@H+]2CCOC[C@@H]2C(C)C)c1Cl ZINC001137292527 1130307182 /nfs/dbraw/zinc/30/71/82/1130307182.db2.gz DIDZLRSFHNLFGG-LLVKDONJSA-N 1 2 273.829 3.567 20 0 CHADLO FC(F)(F)c1cccc(C[NH+]2CC3(C2)CC(F)(F)C3)c1 ZINC000677732497 1130326514 /nfs/dbraw/zinc/32/65/14/1130326514.db2.gz HDLQPMNVWWGBIR-UHFFFAOYSA-N 1 2 291.263 3.937 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)cc2)co1 ZINC000659821752 1126762097 /nfs/dbraw/zinc/76/20/97/1126762097.db2.gz OHDPUMSFXUNQDI-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)cc2)co1 ZINC000659821752 1126762100 /nfs/dbraw/zinc/76/21/00/1126762100.db2.gz OHDPUMSFXUNQDI-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1cn(C2CCC2)nn1 ZINC000668061550 1126762901 /nfs/dbraw/zinc/76/29/01/1126762901.db2.gz KQCRHNVJAUXXBR-PTNGSMBKSA-N 1 2 296.418 3.538 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1cn(C2CCC2)nn1 ZINC000668061550 1126762903 /nfs/dbraw/zinc/76/29/03/1126762903.db2.gz KQCRHNVJAUXXBR-PTNGSMBKSA-N 1 2 296.418 3.538 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CC[C@H](CC(C)(C)C)C3)ccn12 ZINC000568226472 1126782590 /nfs/dbraw/zinc/78/25/90/1126782590.db2.gz AOYNIBAFTJGWSQ-CQSZACIVSA-N 1 2 299.418 3.541 20 0 CHADLO CCCn1cc([C@H](C)[NH2+]Cc2cc3cc(C)ccc3o2)nn1 ZINC000903051530 1126792871 /nfs/dbraw/zinc/79/28/71/1126792871.db2.gz ORXZEUWCIUEWRO-ZDUSSCGKSA-N 1 2 298.390 3.594 20 0 CHADLO Cc1ccc(Br)c(C[N@H+]2C[C@H](F)C[C@H]2C)c1 ZINC001137380256 1130545031 /nfs/dbraw/zinc/54/50/31/1130545031.db2.gz FRJAGGPJSCGZCE-ZYHUDNBSSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1ccc(Br)c(C[N@@H+]2C[C@H](F)C[C@H]2C)c1 ZINC001137380256 1130545037 /nfs/dbraw/zinc/54/50/37/1130545037.db2.gz FRJAGGPJSCGZCE-ZYHUDNBSSA-N 1 2 286.188 3.690 20 0 CHADLO CCS[C@@H](C)c1noc([C@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923952882 1126813367 /nfs/dbraw/zinc/81/33/67/1126813367.db2.gz QLOVJPJOOVRCBE-RYUDHWBXSA-N 1 2 294.424 3.716 20 0 CHADLO Cc1cccc2[nH+]cc(/C=C\c3nc4ccccc4o3)n21 ZINC000484442536 1126816937 /nfs/dbraw/zinc/81/69/37/1126816937.db2.gz QYHTUEMAFIITMB-KTKRTIGZSA-N 1 2 275.311 3.954 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCOc2cc(F)ccc21)c1nccs1 ZINC000179961257 1126837105 /nfs/dbraw/zinc/83/71/05/1126837105.db2.gz YEVDMMZLGZGXGI-MFKMUULPSA-N 1 2 292.379 3.847 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc(C2[C@@H]3CCCCCC[C@@H]23)n1 ZINC000904262242 1126840607 /nfs/dbraw/zinc/84/06/07/1126840607.db2.gz BGFUKEPJUZACHU-CHWSQXEVSA-N 1 2 298.390 3.706 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2cccc(F)c2)s1 ZINC000180442143 1126844667 /nfs/dbraw/zinc/84/46/67/1126844667.db2.gz CBMGYTBBPREWHC-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2cccc(F)c2)s1 ZINC000180442143 1126844670 /nfs/dbraw/zinc/84/46/70/1126844670.db2.gz CBMGYTBBPREWHC-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1nn(C)cc1[C@@H](C)[NH2+][C@@H](C)c1csc(C(C)C)n1 ZINC000181016962 1126851240 /nfs/dbraw/zinc/85/12/40/1126851240.db2.gz MWDQCEQNYOXPLX-PWSUYJOCSA-N 1 2 292.452 3.720 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2c(s1)CCCC2)c1ccccn1 ZINC000181186152 1126853308 /nfs/dbraw/zinc/85/33/08/1126853308.db2.gz RRRXJHRECCJRGQ-NEPJUHHUSA-N 1 2 287.432 3.829 20 0 CHADLO CCS[C@H](C)c1noc(C[NH2+][C@@H](C)c2ccccc2)n1 ZINC000181347287 1126855121 /nfs/dbraw/zinc/85/51/21/1126855121.db2.gz SUPKAXJBUBLMFE-NWDGAFQWSA-N 1 2 291.420 3.735 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ccon1)c1ccc(Cl)cc1F ZINC000182107566 1126865665 /nfs/dbraw/zinc/86/56/65/1126865665.db2.gz GLZIDVHFHASHEE-CQSZACIVSA-N 1 2 282.746 3.954 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cccc(F)c2F)sc1C ZINC000181987485 1126863614 /nfs/dbraw/zinc/86/36/14/1126863614.db2.gz VCMCGAJOLFNILO-VIFPVBQESA-N 1 2 282.359 3.889 20 0 CHADLO Clc1ccc(C2([NH2+]Cc3csnn3)CCCC2)cc1 ZINC000182209560 1126868372 /nfs/dbraw/zinc/86/83/72/1126868372.db2.gz LIBJVHWPBSTKNX-UHFFFAOYSA-N 1 2 293.823 3.751 20 0 CHADLO CCc1nc(C[N@@H+]2CCc3cccc(C)c3C2)cs1 ZINC000429574336 1126872129 /nfs/dbraw/zinc/87/21/29/1126872129.db2.gz QLZHLEBVTDRXPH-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCc1nc(C[N@H+]2CCc3cccc(C)c3C2)cs1 ZINC000429574336 1126872132 /nfs/dbraw/zinc/87/21/32/1126872132.db2.gz QLZHLEBVTDRXPH-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3c(F)cccc3F)CC2)c1 ZINC000182811078 1126878401 /nfs/dbraw/zinc/87/84/01/1126878401.db2.gz DDEJLROLOVTDKI-UHFFFAOYSA-N 1 2 277.289 3.883 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nnc(C)o1)c1cccc(Cl)c1 ZINC000182595507 1126874725 /nfs/dbraw/zinc/87/47/25/1126874725.db2.gz YNIOHJUBZIKDAP-NOZJJQNGSA-N 1 2 279.771 3.833 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1c(F)cccc1F ZINC000182667091 1126875743 /nfs/dbraw/zinc/87/57/43/1126875743.db2.gz CPEAKVADRGVYAR-INIZCTEOSA-N 1 2 276.330 3.943 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1c(F)cccc1F ZINC000182667091 1126875746 /nfs/dbraw/zinc/87/57/46/1126875746.db2.gz CPEAKVADRGVYAR-INIZCTEOSA-N 1 2 276.330 3.943 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(C(C)C)no2)c(C)s1 ZINC000182738227 1126876515 /nfs/dbraw/zinc/87/65/15/1126876515.db2.gz SLZGUMLFHKKRFF-SNVBAGLBSA-N 1 2 279.409 3.722 20 0 CHADLO CN(C)c1cc(-c2ccc(C3CCOCC3)cc2)cc[nH+]1 ZINC000904849445 1126879636 /nfs/dbraw/zinc/87/96/36/1126879636.db2.gz LYZDXXNPHCHDRA-UHFFFAOYSA-N 1 2 282.387 3.709 20 0 CHADLO Cc1[nH+]cc(-c2ccc(C(F)(F)F)cc2F)n1C ZINC000904907834 1126882852 /nfs/dbraw/zinc/88/28/52/1126882852.db2.gz NYJVQZCISMHIAY-UHFFFAOYSA-N 1 2 258.218 3.553 20 0 CHADLO COc1ccccc1[C@H](C)NC(=O)c1c(C)cc(C)[nH+]c1C ZINC000905164897 1126893323 /nfs/dbraw/zinc/89/33/23/1126893323.db2.gz GNJYQOVKNMGBJK-ZDUSSCGKSA-N 1 2 298.386 3.506 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)C[C@@H]2CCCCO2)c(Cl)n1 ZINC001238729797 1131238447 /nfs/dbraw/zinc/23/84/47/1131238447.db2.gz VBFHSEFXDMIZSD-AWEZNQCLSA-N 1 2 296.842 3.859 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)C[C@@H]2CCCCO2)c(Cl)n1 ZINC001238729797 1131238450 /nfs/dbraw/zinc/23/84/50/1131238450.db2.gz VBFHSEFXDMIZSD-AWEZNQCLSA-N 1 2 296.842 3.859 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cc2c(cccc2Br)[nH]1 ZINC001235212147 1130724245 /nfs/dbraw/zinc/72/42/45/1130724245.db2.gz JAZBHADLRPPSPE-VIFPVBQESA-N 1 2 279.181 3.525 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cc2c(cccc2Br)[nH]1 ZINC001235212147 1130724248 /nfs/dbraw/zinc/72/42/48/1130724248.db2.gz JAZBHADLRPPSPE-VIFPVBQESA-N 1 2 279.181 3.525 20 0 CHADLO CC(C)[N@H+](CCc1ccccc1)Cc1noc(C2CCC2)n1 ZINC001118814245 1131238649 /nfs/dbraw/zinc/23/86/49/1131238649.db2.gz CQPNMKGIBNHFTK-UHFFFAOYSA-N 1 2 299.418 3.790 20 0 CHADLO CC(C)[N@@H+](CCc1ccccc1)Cc1noc(C2CCC2)n1 ZINC001118814245 1131238655 /nfs/dbraw/zinc/23/86/55/1131238655.db2.gz CQPNMKGIBNHFTK-UHFFFAOYSA-N 1 2 299.418 3.790 20 0 CHADLO COCC1(C2CCC2)C[NH+](Cc2ncc(C(C)C)s2)C1 ZINC000668514293 1126925900 /nfs/dbraw/zinc/92/59/00/1126925900.db2.gz BGQUPXOUGMTRAT-UHFFFAOYSA-N 1 2 294.464 3.515 20 0 CHADLO Cc1ccc(F)c(C[NH+]2CC3(CCC3)C2)c1Br ZINC001235758887 1130776498 /nfs/dbraw/zinc/77/64/98/1130776498.db2.gz IIWBQSZEEZEFPE-UHFFFAOYSA-N 1 2 298.199 3.883 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@@H+]1CCO[C@@H](C2CC2)C1 ZINC000485688006 1126943489 /nfs/dbraw/zinc/94/34/89/1126943489.db2.gz XQRQSKBUHLAAIC-QGZVFWFLSA-N 1 2 299.370 3.683 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@H+]1CCO[C@@H](C2CC2)C1 ZINC000485688006 1126943490 /nfs/dbraw/zinc/94/34/90/1126943490.db2.gz XQRQSKBUHLAAIC-QGZVFWFLSA-N 1 2 299.370 3.683 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCc3c(C)cc(C)cc3C2)c1 ZINC000485701025 1126944866 /nfs/dbraw/zinc/94/48/66/1126944866.db2.gz IBACCEISBIUHDU-UHFFFAOYSA-N 1 2 296.414 3.574 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc(OCC2CC2)cc1 ZINC000191387365 1126951346 /nfs/dbraw/zinc/95/13/46/1126951346.db2.gz YSIWGTQHMOLNIJ-UHFFFAOYSA-N 1 2 288.416 3.564 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc(OCC2CC2)cc1 ZINC000191387365 1126951349 /nfs/dbraw/zinc/95/13/49/1126951349.db2.gz YSIWGTQHMOLNIJ-UHFFFAOYSA-N 1 2 288.416 3.564 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000191891994 1126956132 /nfs/dbraw/zinc/95/61/32/1126956132.db2.gz OPOYTZBXEQJOAV-GFCCVEGCSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000191891994 1126956134 /nfs/dbraw/zinc/95/61/34/1126956134.db2.gz OPOYTZBXEQJOAV-GFCCVEGCSA-N 1 2 285.391 3.621 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1(C2CC2)CCC1 ZINC000906474079 1126956307 /nfs/dbraw/zinc/95/63/07/1126956307.db2.gz HKICGBXMCMGZKQ-UHFFFAOYSA-N 1 2 281.359 3.596 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3cocn3)CCCCC2)c(F)c1 ZINC000192339630 1126961011 /nfs/dbraw/zinc/96/10/11/1126961011.db2.gz ZTILHRKBMAFRAV-UHFFFAOYSA-N 1 2 292.329 3.902 20 0 CHADLO c1[nH]cc([C@H]2C[C@@H]2c2nc(C3CCCCCCC3)no2)[nH+]1 ZINC000923957696 1126963996 /nfs/dbraw/zinc/96/39/96/1126963996.db2.gz BDHMPPJVSDOOCS-STQMWFEESA-N 1 2 286.379 3.892 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1sccc1Cl ZINC000485955122 1126964925 /nfs/dbraw/zinc/96/49/25/1126964925.db2.gz RBUYNOWOVFTDIO-UHFFFAOYSA-N 1 2 291.807 3.805 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2sccc2Cl)s1 ZINC000194348257 1126974021 /nfs/dbraw/zinc/97/40/21/1126974021.db2.gz MYEAWKYAOCWZJE-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2sccc2Cl)s1 ZINC000194348257 1126974022 /nfs/dbraw/zinc/97/40/22/1126974022.db2.gz MYEAWKYAOCWZJE-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO C[C@H](c1ccc(OC(F)F)cc1)[N@H+](C)Cc1nccs1 ZINC000266962145 1126990552 /nfs/dbraw/zinc/99/05/52/1126990552.db2.gz XZVJEKCSNOIICT-SNVBAGLBSA-N 1 2 298.358 3.938 20 0 CHADLO C[C@H](c1ccc(OC(F)F)cc1)[N@@H+](C)Cc1nccs1 ZINC000266962145 1126990554 /nfs/dbraw/zinc/99/05/54/1126990554.db2.gz XZVJEKCSNOIICT-SNVBAGLBSA-N 1 2 298.358 3.938 20 0 CHADLO Cc1cc(C)c(C(=O)N2CCC=C(c3ccco3)C2)c(C)[nH+]1 ZINC000908126327 1126991553 /nfs/dbraw/zinc/99/15/53/1126991553.db2.gz VKIAGWKXPZGBGO-UHFFFAOYSA-N 1 2 296.370 3.529 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2cccs2)c(C)o1 ZINC000659846400 1126993100 /nfs/dbraw/zinc/99/31/00/1126993100.db2.gz UILVPBSUSVIBNW-SECBINFHSA-N 1 2 250.367 3.546 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2cccs2)c(C)o1 ZINC000659846400 1126993103 /nfs/dbraw/zinc/99/31/03/1126993103.db2.gz UILVPBSUSVIBNW-SECBINFHSA-N 1 2 250.367 3.546 20 0 CHADLO Fc1ccc([C@H]2CCCC[N@@H+]2Cc2ccon2)cc1 ZINC000267710378 1127005070 /nfs/dbraw/zinc/00/50/70/1127005070.db2.gz WKCCQRJGYFQJFL-OAHLLOKOSA-N 1 2 260.312 3.541 20 0 CHADLO Fc1ccc([C@H]2CCCC[N@H+]2Cc2ccon2)cc1 ZINC000267710378 1127005073 /nfs/dbraw/zinc/00/50/73/1127005073.db2.gz WKCCQRJGYFQJFL-OAHLLOKOSA-N 1 2 260.312 3.541 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+]Cc3ccc(C)nc3)co2)cc1 ZINC000155522035 1127016231 /nfs/dbraw/zinc/01/62/31/1127016231.db2.gz RDCFHTHIJCILTM-UHFFFAOYSA-N 1 2 293.370 3.643 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000268463479 1127017289 /nfs/dbraw/zinc/01/72/89/1127017289.db2.gz OSRLSFUGXYUADR-DVOMOZLQSA-N 1 2 288.416 3.666 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000268463479 1127017294 /nfs/dbraw/zinc/01/72/94/1127017294.db2.gz OSRLSFUGXYUADR-DVOMOZLQSA-N 1 2 288.416 3.666 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1cc(Br)cs1 ZINC000380203926 1127030466 /nfs/dbraw/zinc/03/04/66/1127030466.db2.gz VLFJDJYVDVQLAF-SECBINFHSA-N 1 2 296.180 3.788 20 0 CHADLO COc1cc(C)ccc1C[NH2+][C@H](C)c1csc(C)n1 ZINC000269427541 1127039348 /nfs/dbraw/zinc/03/93/48/1127039348.db2.gz OBDDEGZWHFSTQB-LLVKDONJSA-N 1 2 276.405 3.619 20 0 CHADLO Cc1ccncc1C[N@@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000269552673 1127041682 /nfs/dbraw/zinc/04/16/82/1127041682.db2.gz FSQVMDOFPCPULG-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccncc1C[N@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000269552673 1127041687 /nfs/dbraw/zinc/04/16/87/1127041687.db2.gz FSQVMDOFPCPULG-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO C[C@@H]1SCC[N@H+](Cc2nccs2)[C@H]1c1ccccc1 ZINC000269725549 1127045703 /nfs/dbraw/zinc/04/57/03/1127045703.db2.gz VXSXCBNJTWTWML-SWLSCSKDSA-N 1 2 290.457 3.822 20 0 CHADLO C[C@@H]1SCC[N@@H+](Cc2nccs2)[C@H]1c1ccccc1 ZINC000269725549 1127045708 /nfs/dbraw/zinc/04/57/08/1127045708.db2.gz VXSXCBNJTWTWML-SWLSCSKDSA-N 1 2 290.457 3.822 20 0 CHADLO Clc1ccc(Cl)c(C[NH2+][C@H]2CCCc3cn[nH]c32)c1 ZINC000269892080 1127047606 /nfs/dbraw/zinc/04/76/06/1127047606.db2.gz WPVQKXIVHFUZRB-ZDUSSCGKSA-N 1 2 296.201 3.884 20 0 CHADLO Clc1ccc(Cl)c(C[NH2+][C@H]2CCCc3c[nH]nc32)c1 ZINC000269892080 1127047611 /nfs/dbraw/zinc/04/76/11/1127047611.db2.gz WPVQKXIVHFUZRB-ZDUSSCGKSA-N 1 2 296.201 3.884 20 0 CHADLO CC1=CC[N@H+](Cc2cc(-c3cccs3)on2)CC1 ZINC000270343156 1127053614 /nfs/dbraw/zinc/05/36/14/1127053614.db2.gz OCRUYZPKHBYUAJ-UHFFFAOYSA-N 1 2 260.362 3.555 20 0 CHADLO CC1=CC[N@@H+](Cc2cc(-c3cccs3)on2)CC1 ZINC000270343156 1127053618 /nfs/dbraw/zinc/05/36/18/1127053618.db2.gz OCRUYZPKHBYUAJ-UHFFFAOYSA-N 1 2 260.362 3.555 20 0 CHADLO CC1=CC[N@H+](Cc2coc(-c3cccs3)n2)CC1 ZINC000270320774 1127054095 /nfs/dbraw/zinc/05/40/95/1127054095.db2.gz KFCSRWCCJRCMCC-UHFFFAOYSA-N 1 2 260.362 3.555 20 0 CHADLO CC1=CC[N@@H+](Cc2coc(-c3cccs3)n2)CC1 ZINC000270320774 1127054097 /nfs/dbraw/zinc/05/40/97/1127054097.db2.gz KFCSRWCCJRCMCC-UHFFFAOYSA-N 1 2 260.362 3.555 20 0 CHADLO CC[N@H+](Cc1ncc(C2CC2)o1)[C@@H](C)c1cccc(O)c1 ZINC000270467418 1127055519 /nfs/dbraw/zinc/05/55/19/1127055519.db2.gz BARXRIRQBSQVCE-LBPRGKRZSA-N 1 2 286.375 3.841 20 0 CHADLO CC[N@@H+](Cc1ncc(C2CC2)o1)[C@@H](C)c1cccc(O)c1 ZINC000270467418 1127055522 /nfs/dbraw/zinc/05/55/22/1127055522.db2.gz BARXRIRQBSQVCE-LBPRGKRZSA-N 1 2 286.375 3.841 20 0 CHADLO Cn1ccc(C[N@@H+]2CCCC[C@H]2c2cc3ccccc3[nH]2)n1 ZINC000270819433 1127062467 /nfs/dbraw/zinc/06/24/67/1127062467.db2.gz QUTSGWANIWMJHQ-SFHVURJKSA-N 1 2 294.402 3.629 20 0 CHADLO Cn1ccc(C[N@H+]2CCCC[C@H]2c2cc3ccccc3[nH]2)n1 ZINC000270819433 1127062469 /nfs/dbraw/zinc/06/24/69/1127062469.db2.gz QUTSGWANIWMJHQ-SFHVURJKSA-N 1 2 294.402 3.629 20 0 CHADLO CCC[N@H+](Cc1csnn1)[C@@H](CC)c1ccccc1 ZINC000271111866 1127068545 /nfs/dbraw/zinc/06/85/45/1127068545.db2.gz UTTAAQZYILXFBG-HNNXBMFYSA-N 1 2 275.421 3.901 20 0 CHADLO CCC[N@@H+](Cc1csnn1)[C@@H](CC)c1ccccc1 ZINC000271111866 1127068549 /nfs/dbraw/zinc/06/85/49/1127068549.db2.gz UTTAAQZYILXFBG-HNNXBMFYSA-N 1 2 275.421 3.901 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+](CC)Cc1cn[nH]c1C ZINC000271123548 1127070002 /nfs/dbraw/zinc/07/00/02/1127070002.db2.gz ZBXYYZIEJGOFQL-MRXNPFEDSA-N 1 2 257.381 3.691 20 0 CHADLO CC(C)[N@@H+](Cc1cccc2cc[nH]c21)CC(F)F ZINC000271382974 1127077837 /nfs/dbraw/zinc/07/78/37/1127077837.db2.gz RTWLEYYBMJMSHO-UHFFFAOYSA-N 1 2 252.308 3.643 20 0 CHADLO CC(C)[N@H+](Cc1cccc2cc[nH]c21)CC(F)F ZINC000271382974 1127077841 /nfs/dbraw/zinc/07/78/41/1127077841.db2.gz RTWLEYYBMJMSHO-UHFFFAOYSA-N 1 2 252.308 3.643 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000271360573 1127077236 /nfs/dbraw/zinc/07/72/36/1127077236.db2.gz ITJHXAGTHJETBB-AWEZNQCLSA-N 1 2 284.407 3.586 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000271360573 1127077238 /nfs/dbraw/zinc/07/72/38/1127077238.db2.gz ITJHXAGTHJETBB-AWEZNQCLSA-N 1 2 284.407 3.586 20 0 CHADLO Cc1nnc(C[N@@H+]2CCCC[C@@H]2c2ccc(C)cc2)s1 ZINC000271375557 1127078099 /nfs/dbraw/zinc/07/80/99/1127078099.db2.gz CNWJEMYFQKYDTQ-OAHLLOKOSA-N 1 2 287.432 3.882 20 0 CHADLO Cc1nnc(C[N@H+]2CCCC[C@@H]2c2ccc(C)cc2)s1 ZINC000271375557 1127078103 /nfs/dbraw/zinc/07/81/03/1127078103.db2.gz CNWJEMYFQKYDTQ-OAHLLOKOSA-N 1 2 287.432 3.882 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc3ccccc3n2)s1 ZINC000274265498 1127111477 /nfs/dbraw/zinc/11/14/77/1127111477.db2.gz VUOSUIQUAIARHU-GHMZBOCLSA-N 1 2 298.415 3.807 20 0 CHADLO CCc1noc([C@@H](C)[N@H+]2CC=C(c3ccc(C)cc3)CC2)n1 ZINC000044363321 1127116827 /nfs/dbraw/zinc/11/68/27/1127116827.db2.gz NNTKJJISZSIXLN-CQSZACIVSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+]2CC=C(c3ccc(C)cc3)CC2)n1 ZINC000044363321 1127116831 /nfs/dbraw/zinc/11/68/31/1127116831.db2.gz NNTKJJISZSIXLN-CQSZACIVSA-N 1 2 297.402 3.791 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H](C)c3ccsc3)cc2[nH+]1 ZINC000348528165 1127121130 /nfs/dbraw/zinc/12/11/30/1127121130.db2.gz ANHPVBUODGNHJR-SECBINFHSA-N 1 2 285.372 3.675 20 0 CHADLO COc1ccc(CCOc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC000348773546 1127127389 /nfs/dbraw/zinc/12/73/89/1127127389.db2.gz MXHNFVOUNYWUNT-UHFFFAOYSA-N 1 2 294.354 3.502 20 0 CHADLO c1cn(Cc2ccc(N[C@@H]3CCCC[C@H]3C3CC3)nc2)c[nH+]1 ZINC000348836873 1127130586 /nfs/dbraw/zinc/13/05/86/1127130586.db2.gz FBMIRLUYZDIZSJ-DLBZAZTESA-N 1 2 296.418 3.707 20 0 CHADLO Clc1scc(C[N@@H+]2CCCSCC2)c1Cl ZINC000349037861 1127137290 /nfs/dbraw/zinc/13/72/90/1127137290.db2.gz TZHLPPSFTVYHGK-UHFFFAOYSA-N 1 2 282.261 3.994 20 0 CHADLO Clc1scc(C[N@H+]2CCCSCC2)c1Cl ZINC000349037861 1127137292 /nfs/dbraw/zinc/13/72/92/1127137292.db2.gz TZHLPPSFTVYHGK-UHFFFAOYSA-N 1 2 282.261 3.994 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+][C@@H](CO)c2ccsc2)cs1 ZINC000349339782 1127147893 /nfs/dbraw/zinc/14/78/93/1127147893.db2.gz FAXRAPLTSLLSSH-PWSUYJOCSA-N 1 2 296.461 3.712 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1ccn(C)n1 ZINC000349509806 1127152295 /nfs/dbraw/zinc/15/22/95/1127152295.db2.gz ZRWWGSLMSPXEFF-IINYFYTJSA-N 1 2 279.334 3.500 20 0 CHADLO Clc1cnc(C[NH2+]C2(c3ccccc3)CC2)s1 ZINC000349647109 1127156446 /nfs/dbraw/zinc/15/64/46/1127156446.db2.gz STAVSOBPGNZSKZ-UHFFFAOYSA-N 1 2 264.781 3.575 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ccc(F)cc2F)o1 ZINC000349803270 1127162373 /nfs/dbraw/zinc/16/23/73/1127162373.db2.gz XCPXUFNCFZWREB-UHFFFAOYSA-N 1 2 265.303 3.752 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ccc(F)cc2F)o1 ZINC000349803270 1127162374 /nfs/dbraw/zinc/16/23/74/1127162374.db2.gz XCPXUFNCFZWREB-UHFFFAOYSA-N 1 2 265.303 3.752 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2ccc(Cl)o2)C1 ZINC000350088072 1127172107 /nfs/dbraw/zinc/17/21/07/1127172107.db2.gz UTSQHDGEOFEGAP-QMMMGPOBSA-N 1 2 267.678 3.707 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2ccc(Cl)o2)C1 ZINC000350088072 1127172108 /nfs/dbraw/zinc/17/21/08/1127172108.db2.gz UTSQHDGEOFEGAP-QMMMGPOBSA-N 1 2 267.678 3.707 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000816646599 1131117457 /nfs/dbraw/zinc/11/74/57/1131117457.db2.gz GHYWCKWYHHUICO-DTWKUNHWSA-N 1 2 261.262 3.685 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000816646599 1131117459 /nfs/dbraw/zinc/11/74/59/1131117459.db2.gz GHYWCKWYHHUICO-DTWKUNHWSA-N 1 2 261.262 3.685 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(C(C)C)ns2)cc1 ZINC000930188892 1127180650 /nfs/dbraw/zinc/18/06/50/1127180650.db2.gz RWZYLKWBKXPWJI-LBPRGKRZSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@@H](C)c2nc3ccccc3o2)n1 ZINC000351000861 1127183954 /nfs/dbraw/zinc/18/39/54/1127183954.db2.gz YGPMXVWSBJDRTN-ZDUSSCGKSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@@H](C)c2nc3ccccc3o2)n1 ZINC000351000861 1127183957 /nfs/dbraw/zinc/18/39/57/1127183957.db2.gz YGPMXVWSBJDRTN-ZDUSSCGKSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nc([C@@H](C)[NH+]2CCC(CCC(C)C)CC2)no1 ZINC000351519344 1127191723 /nfs/dbraw/zinc/19/17/23/1127191723.db2.gz FKVSSAMPZMHLGM-GFCCVEGCSA-N 1 2 265.401 3.587 20 0 CHADLO C[C@H]([NH2+]Cc1cc(F)cc(C(F)(F)F)c1)c1ccon1 ZINC000351579858 1127195336 /nfs/dbraw/zinc/19/53/36/1127195336.db2.gz KMKUNKFAJLRGTD-QMMMGPOBSA-N 1 2 288.244 3.683 20 0 CHADLO Cc1sccc1C[N@@H+]1CCOC[C@H]1c1ccccc1 ZINC000351672701 1127203249 /nfs/dbraw/zinc/20/32/49/1127203249.db2.gz NIFVOADEGPHPNT-INIZCTEOSA-N 1 2 273.401 3.630 20 0 CHADLO Cc1sccc1C[N@H+]1CCOC[C@H]1c1ccccc1 ZINC000351672701 1127203252 /nfs/dbraw/zinc/20/32/52/1127203252.db2.gz NIFVOADEGPHPNT-INIZCTEOSA-N 1 2 273.401 3.630 20 0 CHADLO Cc1sccc1C[N@@H+]1CCc2c(F)ccc(F)c2C1 ZINC000351700350 1127205181 /nfs/dbraw/zinc/20/51/81/1127205181.db2.gz LWQGSNNADOGIKU-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1sccc1C[N@H+]1CCc2c(F)ccc(F)c2C1 ZINC000351700350 1127205184 /nfs/dbraw/zinc/20/51/84/1127205184.db2.gz LWQGSNNADOGIKU-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO CC(C)c1cccc(Nc2[nH+]cnc3[nH]ccc32)c1 ZINC000588894929 1127205779 /nfs/dbraw/zinc/20/57/79/1127205779.db2.gz DNZTVTTXQDOZRX-UHFFFAOYSA-N 1 2 252.321 3.825 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=C(C3CC3)C3CC3)cc2[nH+]1 ZINC000056309695 1127211282 /nfs/dbraw/zinc/21/12/82/1127211282.db2.gz LWLVJQQSFFMYQT-UHFFFAOYSA-N 1 2 281.359 3.556 20 0 CHADLO Clc1ccc(-c2nc(C[NH+]3CCC3)cs2)cc1 ZINC001237764132 1131139298 /nfs/dbraw/zinc/13/92/98/1131139298.db2.gz GVURWEZGNIGRPN-UHFFFAOYSA-N 1 2 264.781 3.669 20 0 CHADLO c1ccc2c(C[NH2+][C@@H]3CCCc4ccccc43)n[nH]c2c1 ZINC000589634220 1127231185 /nfs/dbraw/zinc/23/11/85/1127231185.db2.gz SAEQYDXBHLOBTA-MRXNPFEDSA-N 1 2 277.371 3.730 20 0 CHADLO CCC[N@@H+]1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000589600998 1127229110 /nfs/dbraw/zinc/22/91/10/1127229110.db2.gz FKJLHPKSQNPWDO-CYBMUJFWSA-N 1 2 274.191 3.777 20 0 CHADLO CCC[N@H+]1CCO[C@@H](c2ccc(Cl)c(Cl)c2)C1 ZINC000589600998 1127229114 /nfs/dbraw/zinc/22/91/14/1127229114.db2.gz FKJLHPKSQNPWDO-CYBMUJFWSA-N 1 2 274.191 3.777 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+]Cc2n[nH]c3ccccc32)cc1 ZINC000589639096 1127231757 /nfs/dbraw/zinc/23/17/57/1127231757.db2.gz NEORQYNXGYNLFT-CYBMUJFWSA-N 1 2 295.386 3.812 20 0 CHADLO CCCc1nc(C[NH2+]C(C)(C)c2c(C)noc2C)cs1 ZINC000285578757 1127238041 /nfs/dbraw/zinc/23/80/41/1127238041.db2.gz IMBJBLHIKMJPRG-UHFFFAOYSA-N 1 2 293.436 3.725 20 0 CHADLO Cc1csc(C[NH2+][C@H](c2ncc[nH]2)C2CCCCC2)n1 ZINC000285708004 1127241682 /nfs/dbraw/zinc/24/16/82/1127241682.db2.gz PKNUTJQRAXSUPD-AWEZNQCLSA-N 1 2 290.436 3.586 20 0 CHADLO c1ncc(C[N@@H+]2CC=C(c3cccc4ccccc43)CC2)[nH]1 ZINC000352948817 1127253139 /nfs/dbraw/zinc/25/31/39/1127253139.db2.gz UUFXFBNIXJLQJI-UHFFFAOYSA-N 1 2 289.382 3.852 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+][C@@H](C)c2cc(C)ccn2)o1 ZINC000286080282 1127257906 /nfs/dbraw/zinc/25/79/06/1127257906.db2.gz MHRZNOWFYMFPQQ-XJKSGUPXSA-N 1 2 288.391 3.584 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc2nc(C(F)F)sc2c1 ZINC000353099915 1127261042 /nfs/dbraw/zinc/26/10/42/1127261042.db2.gz KSRKEJAISOHZPI-UHFFFAOYSA-N 1 2 294.330 3.580 20 0 CHADLO Cc1cc(N2CC[C@H](C)C(C)(C)C2)nc(C2CC2)[nH+]1 ZINC000353281143 1127266381 /nfs/dbraw/zinc/26/63/81/1127266381.db2.gz HZPMUSBHTHCZHI-NSHDSACASA-N 1 2 259.397 3.535 20 0 CHADLO Oc1cccc(C[N@@H+]2CCCc3occc3C2)c1Cl ZINC000353552560 1127269051 /nfs/dbraw/zinc/26/90/51/1127269051.db2.gz YTBIHGPUHHFHSN-UHFFFAOYSA-N 1 2 277.751 3.587 20 0 CHADLO Oc1cccc(C[N@H+]2CCCc3occc3C2)c1Cl ZINC000353552560 1127269054 /nfs/dbraw/zinc/26/90/54/1127269054.db2.gz YTBIHGPUHHFHSN-UHFFFAOYSA-N 1 2 277.751 3.587 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@@H+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238150653 1131175168 /nfs/dbraw/zinc/17/51/68/1131175168.db2.gz WJETZBDSARLRNK-AOOOYVTPSA-N 1 2 271.763 3.663 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@H+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238150653 1131175173 /nfs/dbraw/zinc/17/51/73/1131175173.db2.gz WJETZBDSARLRNK-AOOOYVTPSA-N 1 2 271.763 3.663 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@@H+]2CC[C@@H](C)C(C)(C)C2)n1 ZINC000354004070 1127284697 /nfs/dbraw/zinc/28/46/97/1127284697.db2.gz WWJYAUYWYOEVBO-NEPJUHHUSA-N 1 2 297.468 3.752 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@H+]2CC[C@@H](C)C(C)(C)C2)n1 ZINC000354004070 1127284699 /nfs/dbraw/zinc/28/46/99/1127284699.db2.gz WWJYAUYWYOEVBO-NEPJUHHUSA-N 1 2 297.468 3.752 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C)c(C)s1 ZINC000354118577 1127294658 /nfs/dbraw/zinc/29/46/58/1127294658.db2.gz WGSNDCAVORWTBX-NSHDSACASA-N 1 2 283.437 3.527 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C)c(C)s1 ZINC000354118577 1127294659 /nfs/dbraw/zinc/29/46/59/1127294659.db2.gz WGSNDCAVORWTBX-NSHDSACASA-N 1 2 283.437 3.527 20 0 CHADLO C[C@@H](Nc1cc[nH+]c2c(Cl)cccc12)[C@@H]1CCOC1 ZINC000287156446 1127292927 /nfs/dbraw/zinc/29/29/27/1127292927.db2.gz FKTFFUHJBKZDOZ-GHMZBOCLSA-N 1 2 276.767 3.725 20 0 CHADLO CSC[C@@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000591943632 1127326253 /nfs/dbraw/zinc/32/62/53/1127326253.db2.gz RGJVLSOKFQHNEI-GFCCVEGCSA-N 1 2 290.407 3.953 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC000046055834 1127328742 /nfs/dbraw/zinc/32/87/42/1127328742.db2.gz ZTTFYLJHKGNJAV-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1nnc(C(C)(C)C)o1 ZINC000046055834 1127328745 /nfs/dbraw/zinc/32/87/45/1127328745.db2.gz ZTTFYLJHKGNJAV-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](CC(C)(C)C)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000355523332 1127346858 /nfs/dbraw/zinc/34/68/58/1127346858.db2.gz CRJNFRSZQLRORC-CQSZACIVSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1c[nH+]c(CCSCCOCC(C)C)c(C)c1 ZINC000592455772 1127350278 /nfs/dbraw/zinc/35/02/78/1127350278.db2.gz QDUKRBSCIWSVMN-UHFFFAOYSA-N 1 2 267.438 3.647 20 0 CHADLO Fc1cc(-c2ccccc2)ccc1C[NH2+]Cc1cc[nH]n1 ZINC000355678896 1127359013 /nfs/dbraw/zinc/35/90/13/1127359013.db2.gz WDIVZHSSJOTZSA-UHFFFAOYSA-N 1 2 281.334 3.506 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1CCCc2sccc2C1 ZINC000592983795 1127366175 /nfs/dbraw/zinc/36/61/75/1127366175.db2.gz RDKLTNVJYZYKRV-SNVBAGLBSA-N 1 2 289.404 3.518 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1CCCc2sccc2C1 ZINC000592983795 1127366179 /nfs/dbraw/zinc/36/61/79/1127366179.db2.gz RDKLTNVJYZYKRV-SNVBAGLBSA-N 1 2 289.404 3.518 20 0 CHADLO C[C@@H](c1noc([C@H](c2ccccc2)[NH+](C)C)n1)C(C)(C)C ZINC000574197163 1127372334 /nfs/dbraw/zinc/37/23/34/1127372334.db2.gz KCGSQMPCKXBKTH-JSGCOSHPSA-N 1 2 287.407 3.870 20 0 CHADLO C[C@@H](O)c1ncc(C[N@H+]([C@H](C)c2ccco2)C2CC2)s1 ZINC000289341935 1127381761 /nfs/dbraw/zinc/38/17/61/1127381761.db2.gz LYGYXELADCNEBC-GHMZBOCLSA-N 1 2 292.404 3.515 20 0 CHADLO C[C@@H](O)c1ncc(C[N@@H+]([C@H](C)c2ccco2)C2CC2)s1 ZINC000289341935 1127381764 /nfs/dbraw/zinc/38/17/64/1127381764.db2.gz LYGYXELADCNEBC-GHMZBOCLSA-N 1 2 292.404 3.515 20 0 CHADLO c1cnc2c(c1)CC[C@H]2Nc1ccc([NH+]2CCCC2)cc1 ZINC000226810887 1127426256 /nfs/dbraw/zinc/42/62/56/1127426256.db2.gz PVLULDCSOPLZLO-QGZVFWFLSA-N 1 2 279.387 3.781 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2ccsc2Cl)c(C)[nH+]1 ZINC000594675329 1127427254 /nfs/dbraw/zinc/42/72/54/1127427254.db2.gz BBWXEOBHPRHRRL-GOSISDBHSA-N 1 2 299.848 3.862 20 0 CHADLO CC1(C)CC[C@H](C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000358954556 1127440493 /nfs/dbraw/zinc/44/04/93/1127440493.db2.gz SKUSCWTYMWQYJK-ZDUSSCGKSA-N 1 2 283.375 3.637 20 0 CHADLO Fc1cccnc1C[NH+]1CC(Cc2ccccc2Cl)C1 ZINC001308748634 1127456870 /nfs/dbraw/zinc/45/68/70/1127456870.db2.gz UVNVBZHYJPWALO-UHFFFAOYSA-N 1 2 290.769 3.549 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@H+](C)CCC=C(C)C)n1 ZINC000595353501 1127460995 /nfs/dbraw/zinc/46/09/95/1127460995.db2.gz QJEBQOUHULZCTL-LBPRGKRZSA-N 1 2 283.441 3.672 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@@H+](C)CCC=C(C)C)n1 ZINC000595353501 1127460996 /nfs/dbraw/zinc/46/09/96/1127460996.db2.gz QJEBQOUHULZCTL-LBPRGKRZSA-N 1 2 283.441 3.672 20 0 CHADLO Cc1ccc(C[S@](=O)C[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000359400870 1127462012 /nfs/dbraw/zinc/46/20/12/1127462012.db2.gz DLQJERFRDFYKLR-ZUOKHONESA-N 1 2 287.428 3.751 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2noc3c2CCCC3)cc1 ZINC000595544448 1127466469 /nfs/dbraw/zinc/46/64/69/1127466469.db2.gz BLIFLTUVEMAHTC-UHFFFAOYSA-N 1 2 284.403 3.748 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2noc3c2CCCC3)cc1 ZINC000595544448 1127466471 /nfs/dbraw/zinc/46/64/71/1127466471.db2.gz BLIFLTUVEMAHTC-UHFFFAOYSA-N 1 2 284.403 3.748 20 0 CHADLO Brc1ccoc1C[NH2+][C@H]1CCCc2occc21 ZINC000227366378 1127473790 /nfs/dbraw/zinc/47/37/90/1127473790.db2.gz PFUMMZKPYFPJDD-NSHDSACASA-N 1 2 296.164 3.802 20 0 CHADLO CC[C@@H](C)n1ncc(NCc2c[nH+]c3ccc(C)cn23)c1C ZINC000359809636 1127478872 /nfs/dbraw/zinc/47/88/72/1127478872.db2.gz BUMXXJCXSDYIEQ-CYBMUJFWSA-N 1 2 297.406 3.731 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C(C)(C)c3cccs3)cc2[nH+]1 ZINC000359870010 1127481521 /nfs/dbraw/zinc/48/15/21/1127481521.db2.gz GRGMUWPYCCFWCY-UHFFFAOYSA-N 1 2 299.399 3.849 20 0 CHADLO Cc1c[nH+]c(CCSCC[C@H]2CCCCO2)c(C)c1 ZINC000596390120 1127495901 /nfs/dbraw/zinc/49/59/01/1127495901.db2.gz FHFIACACNUDFOO-OAHLLOKOSA-N 1 2 279.449 3.933 20 0 CHADLO Cc1c[nH+]c(CCSCc2cc(C)nc(C)n2)c(C)c1 ZINC000596373430 1127501300 /nfs/dbraw/zinc/50/13/00/1127501300.db2.gz NHZUBJGLHHIIKH-UHFFFAOYSA-N 1 2 287.432 3.581 20 0 CHADLO CO[C@H](CSCCc1[nH+]cc(C)cc1C)C1CCC1 ZINC000596416762 1127503465 /nfs/dbraw/zinc/50/34/65/1127503465.db2.gz CYKDKUUQZSTCBO-MRXNPFEDSA-N 1 2 279.449 3.789 20 0 CHADLO Cn1c[nH+]cc1CSc1ccc2ccccc2c1 ZINC000360724485 1127508282 /nfs/dbraw/zinc/50/82/82/1127508282.db2.gz CABRCPAFEGRBKK-UHFFFAOYSA-N 1 2 254.358 3.866 20 0 CHADLO CC(C)OCc1nc([C@H](C)[NH2+][C@@H]2C=CCCCCC2)no1 ZINC000293737020 1127516614 /nfs/dbraw/zinc/51/66/14/1127516614.db2.gz ZUHWDMPZBMJNPH-UONOGXRCSA-N 1 2 293.411 3.534 20 0 CHADLO Cc1nc(NCc2ccc(Oc3ccccc3)cc2)cc[nH+]1 ZINC000360986665 1127526428 /nfs/dbraw/zinc/52/64/28/1127526428.db2.gz LLKTZANUASAIPB-UHFFFAOYSA-N 1 2 291.354 3.611 20 0 CHADLO Cc1nc(N[C@@H](C)Cc2ccc(Cl)cc2Cl)cc[nH+]1 ZINC000360988121 1127526807 /nfs/dbraw/zinc/52/68/07/1127526807.db2.gz WOKABAMMWODVIZ-VIFPVBQESA-N 1 2 296.201 3.557 20 0 CHADLO Cc1cccc(NC(=O)[C@@H](C)[N@@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000361057113 1127533668 /nfs/dbraw/zinc/53/36/68/1127533668.db2.gz KQOFQMBQTLRBGV-HUUCEWRRSA-N 1 2 294.398 3.899 20 0 CHADLO Cc1cccc(NC(=O)[C@@H](C)[N@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000361057113 1127533670 /nfs/dbraw/zinc/53/36/70/1127533670.db2.gz KQOFQMBQTLRBGV-HUUCEWRRSA-N 1 2 294.398 3.899 20 0 CHADLO C[C@@H](C(=O)Nc1cccc(F)c1)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000361086294 1127537060 /nfs/dbraw/zinc/53/70/60/1127537060.db2.gz IDAAOATWNYIZHF-STQMWFEESA-N 1 2 298.361 3.730 20 0 CHADLO C[C@@H](C(=O)Nc1cccc(F)c1)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000361086294 1127537062 /nfs/dbraw/zinc/53/70/62/1127537062.db2.gz IDAAOATWNYIZHF-STQMWFEESA-N 1 2 298.361 3.730 20 0 CHADLO COc1cccc(C[N@@H+]2Cc3ccc(C)cc3C2)c1OC ZINC000361109897 1127538836 /nfs/dbraw/zinc/53/88/36/1127538836.db2.gz GBMXKKNAPGHEQQ-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cccc(C[N@H+]2Cc3ccc(C)cc3C2)c1OC ZINC000361109897 1127538839 /nfs/dbraw/zinc/53/88/39/1127538839.db2.gz GBMXKKNAPGHEQQ-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCOc2c(F)ccc(F)c21)c1ccccn1 ZINC000361186882 1127545277 /nfs/dbraw/zinc/54/52/77/1127545277.db2.gz HKXJBHJXDKJKIF-QMTHXVAHSA-N 1 2 290.313 3.534 20 0 CHADLO COC1(CNc2ccc(-n3c[nH+]c(C)c3C)cc2)CCCC1 ZINC000361238692 1127549022 /nfs/dbraw/zinc/54/90/22/1127549022.db2.gz QMXJQXWKAMUGQD-UHFFFAOYSA-N 1 2 299.418 3.860 20 0 CHADLO CCSCCNc1ccc(-n2c[nH+]c(C)c2C)cc1 ZINC000361238744 1127549480 /nfs/dbraw/zinc/54/94/80/1127549480.db2.gz VKQPEVFOKWKSCM-UHFFFAOYSA-N 1 2 275.421 3.654 20 0 CHADLO Cc1nc(N[C@@H](Cc2ccc(F)cc2)C(C)C)cc[nH+]1 ZINC000361275124 1127551994 /nfs/dbraw/zinc/55/19/94/1127551994.db2.gz HNGQMDIULOXJIC-HNNXBMFYSA-N 1 2 273.355 3.603 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(Cl)nc(Cl)n2C)CC1(C)C ZINC000761226907 1127552072 /nfs/dbraw/zinc/55/20/72/1127552072.db2.gz RPQUNGKJSQUIQA-SECBINFHSA-N 1 2 290.238 3.595 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(Cl)nc(Cl)n2C)CC1(C)C ZINC000761226907 1127552074 /nfs/dbraw/zinc/55/20/74/1127552074.db2.gz RPQUNGKJSQUIQA-SECBINFHSA-N 1 2 290.238 3.595 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nccn2-c2ccccc2)cc1 ZINC000361486105 1127566394 /nfs/dbraw/zinc/56/63/94/1127566394.db2.gz QFMNPUVJZXPMIC-UHFFFAOYSA-N 1 2 291.398 3.813 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nccn2-c2ccccc2)cc1 ZINC000361486105 1127566396 /nfs/dbraw/zinc/56/63/96/1127566396.db2.gz QFMNPUVJZXPMIC-UHFFFAOYSA-N 1 2 291.398 3.813 20 0 CHADLO C[N@H+](Cc1ccsc1Cl)Cc1ccc2c(c1)OCO2 ZINC000361495986 1127567378 /nfs/dbraw/zinc/56/73/78/1127567378.db2.gz ICWHIGKRFBXDHI-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO C[N@@H+](Cc1ccsc1Cl)Cc1ccc2c(c1)OCO2 ZINC000361495986 1127567381 /nfs/dbraw/zinc/56/73/81/1127567381.db2.gz ICWHIGKRFBXDHI-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO CC[C@H](C)CN(CC)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000361735415 1127585560 /nfs/dbraw/zinc/58/55/60/1127585560.db2.gz IWWROEMBFNVZSI-LBPRGKRZSA-N 1 2 288.395 3.543 20 0 CHADLO CCSCC[C@H](C)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000602556401 1127592917 /nfs/dbraw/zinc/59/29/17/1127592917.db2.gz FRBXDCZXYAIIOY-JSGCOSHPSA-N 1 2 296.484 3.547 20 0 CHADLO CCn1cc([C@H](C)[NH2+]Cc2ncc(C(C)(C)C)s2)cn1 ZINC000294929567 1127609158 /nfs/dbraw/zinc/60/91/58/1127609158.db2.gz UIFTZNRAHVIAMG-NSHDSACASA-N 1 2 292.452 3.508 20 0 CHADLO c1nc(C2CCCC2)sc1C[N@@H+]1CCO[C@@H]2CCC[C@@H]21 ZINC000362086781 1127610730 /nfs/dbraw/zinc/61/07/30/1127610730.db2.gz NGLMIAVIQMGVHM-LSDHHAIUSA-N 1 2 292.448 3.554 20 0 CHADLO c1nc(C2CCCC2)sc1C[N@H+]1CCO[C@@H]2CCC[C@@H]21 ZINC000362086781 1127610731 /nfs/dbraw/zinc/61/07/31/1127610731.db2.gz NGLMIAVIQMGVHM-LSDHHAIUSA-N 1 2 292.448 3.554 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2ccc(Cl)cc2Cl)c2nccn21 ZINC000295195905 1127627080 /nfs/dbraw/zinc/62/70/80/1127627080.db2.gz JQCDGIWLXORNEN-NOZJJQNGSA-N 1 2 296.201 3.986 20 0 CHADLO Nc1[nH+]cccc1CSCCCc1ccccc1 ZINC000603317984 1127641160 /nfs/dbraw/zinc/64/11/60/1127641160.db2.gz IZJYDXMDINWLHQ-UHFFFAOYSA-N 1 2 258.390 3.530 20 0 CHADLO CCC[N@H+](Cc1cnns1)[C@H](C)c1ccccc1OC ZINC000295471283 1127644057 /nfs/dbraw/zinc/64/40/57/1127644057.db2.gz IQIDXCCBTYMOKP-GFCCVEGCSA-N 1 2 291.420 3.520 20 0 CHADLO CCC[N@@H+](Cc1cnns1)[C@H](C)c1ccccc1OC ZINC000295471283 1127644058 /nfs/dbraw/zinc/64/40/58/1127644058.db2.gz IQIDXCCBTYMOKP-GFCCVEGCSA-N 1 2 291.420 3.520 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@@H+]2Cc2cnsc2)c1 ZINC001232231285 1127644258 /nfs/dbraw/zinc/64/42/58/1127644258.db2.gz MGFRLDNGQKJNFO-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@H+]2Cc2cnsc2)c1 ZINC001232231285 1127644260 /nfs/dbraw/zinc/64/42/60/1127644260.db2.gz MGFRLDNGQKJNFO-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2cccc(C)c2F)s1 ZINC000295774240 1127652663 /nfs/dbraw/zinc/65/26/63/1127652663.db2.gz QZIQDSJHZLMQFZ-UHFFFAOYSA-N 1 2 278.396 3.924 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(F)cc1CSC ZINC000599059745 1127653974 /nfs/dbraw/zinc/65/39/74/1127653974.db2.gz DLOYSOPVOZGZNI-UHFFFAOYSA-N 1 2 292.379 3.704 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@H](C)Oc2ccccc21)c1nccs1 ZINC000296277076 1127667355 /nfs/dbraw/zinc/66/73/55/1127667355.db2.gz DWRRRVQOURXIJI-NTZNESFSSA-N 1 2 274.389 3.706 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCn2ccnc21)c1cc(Cl)ccc1Cl ZINC000296621521 1127678400 /nfs/dbraw/zinc/67/84/00/1127678400.db2.gz ZFKHEWQBWRDYNL-RNCFNFMXSA-N 1 2 296.201 3.986 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1nc(CC(C)C)no1 ZINC000603942536 1127683928 /nfs/dbraw/zinc/68/39/28/1127683928.db2.gz YUQCUPIJEAALEG-INIZCTEOSA-N 1 2 299.418 3.778 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1nc(CC(C)C)no1 ZINC000603942536 1127683932 /nfs/dbraw/zinc/68/39/32/1127683932.db2.gz YUQCUPIJEAALEG-INIZCTEOSA-N 1 2 299.418 3.778 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000603881734 1127679798 /nfs/dbraw/zinc/67/97/98/1127679798.db2.gz HHKWMPGFKSLAIF-AWEZNQCLSA-N 1 2 285.391 3.879 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1csc2c1CCCC2 ZINC000603886228 1127680532 /nfs/dbraw/zinc/68/05/32/1127680532.db2.gz XKKASSHUIPNDEP-UHFFFAOYSA-N 1 2 297.383 3.527 20 0 CHADLO COc1cccc(C[N@H+]2CC=C(C(F)(F)F)CC2)c1F ZINC000669708791 1127691714 /nfs/dbraw/zinc/69/17/14/1127691714.db2.gz GHATYQLPNCIONM-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO COc1cccc(C[N@@H+]2CC=C(C(F)(F)F)CC2)c1F ZINC000669708791 1127691716 /nfs/dbraw/zinc/69/17/16/1127691716.db2.gz GHATYQLPNCIONM-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)CC(=O)OC(C)(C)C)c(C)c1 ZINC000604080857 1127692362 /nfs/dbraw/zinc/69/23/62/1127692362.db2.gz IYKRANZTKSXPQD-AWEZNQCLSA-N 1 2 277.408 3.638 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)CC(=O)OC(C)(C)C)c(C)c1 ZINC000604080857 1127692363 /nfs/dbraw/zinc/69/23/63/1127692363.db2.gz IYKRANZTKSXPQD-AWEZNQCLSA-N 1 2 277.408 3.638 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]Cc2ccc(C3CC3)cc2F)c2nccn21 ZINC000297288646 1127693225 /nfs/dbraw/zinc/69/32/25/1127693225.db2.gz LQRTYFXZFWRMIO-BZNIZROVSA-N 1 2 285.366 3.695 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2cc(C3CC3)ccc2F)c2nccn21 ZINC000297390294 1127695529 /nfs/dbraw/zinc/69/55/29/1127695529.db2.gz RTJUMXKMBHPENL-ZBEGNZNMSA-N 1 2 285.366 3.695 20 0 CHADLO C[C@H]([NH2+][C@H]1CCn2ccnc21)c1cccc(Cl)c1Cl ZINC000297950832 1127712135 /nfs/dbraw/zinc/71/21/35/1127712135.db2.gz YQGCXLDYDIOMFE-CABZTGNLSA-N 1 2 296.201 3.986 20 0 CHADLO CCCOCc1ccccc1CNc1cccc[nH+]1 ZINC000298682607 1127729752 /nfs/dbraw/zinc/72/97/52/1127729752.db2.gz AMCAESOTONVQCY-UHFFFAOYSA-N 1 2 256.349 3.620 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2cscn2)c(C)c1 ZINC000298868176 1127735586 /nfs/dbraw/zinc/73/55/86/1127735586.db2.gz WCNRBXWIFRWXDM-ZDUSSCGKSA-N 1 2 260.406 3.953 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2cscn2)c(C)c1 ZINC000298868176 1127735589 /nfs/dbraw/zinc/73/55/89/1127735589.db2.gz WCNRBXWIFRWXDM-ZDUSSCGKSA-N 1 2 260.406 3.953 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1CCC[C@H]1c1ccsc1 ZINC000298778078 1127732620 /nfs/dbraw/zinc/73/26/20/1127732620.db2.gz VCWPVQYFISQJEW-GWCFXTLKSA-N 1 2 289.404 3.907 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1CCC[C@H]1c1ccsc1 ZINC000298778078 1127732622 /nfs/dbraw/zinc/73/26/22/1127732622.db2.gz VCWPVQYFISQJEW-GWCFXTLKSA-N 1 2 289.404 3.907 20 0 CHADLO Cc1ccc([C@H](Nc2cccc[nH+]2)c2ccccn2)cc1 ZINC000366497105 1127746629 /nfs/dbraw/zinc/74/66/29/1127746629.db2.gz YRCNGCUTRDKCHW-SFHVURJKSA-N 1 2 275.355 3.987 20 0 CHADLO CN(C)c1ccc([NH2+]C2CCC3(CCCO3)CC2)cc1 ZINC000368962484 1127759585 /nfs/dbraw/zinc/75/95/85/1127759585.db2.gz AHSYDSWAZWUSAB-UHFFFAOYSA-N 1 2 274.408 3.656 20 0 CHADLO C[NH+](C)c1ccc(NC2CCC3(CCCO3)CC2)cc1 ZINC000368962484 1127759589 /nfs/dbraw/zinc/75/95/89/1127759589.db2.gz AHSYDSWAZWUSAB-UHFFFAOYSA-N 1 2 274.408 3.656 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2nccs2)ccc1F ZINC000372433157 1127799870 /nfs/dbraw/zinc/79/98/70/1127799870.db2.gz BMXNDAHDBIOARU-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2nccs2)ccc1F ZINC000372433157 1127799872 /nfs/dbraw/zinc/79/98/72/1127799872.db2.gz BMXNDAHDBIOARU-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Fc1cccc(F)c1C[NH2+][C@@H]1CCOc2c(F)cccc21 ZINC000372896826 1127818184 /nfs/dbraw/zinc/81/81/84/1127818184.db2.gz HSLZXJDWBBEBJB-OAHLLOKOSA-N 1 2 293.288 3.717 20 0 CHADLO Cc1c(Cl)nccc1C[N@H+](C)Cc1ccc(F)cc1F ZINC001232753757 1127836852 /nfs/dbraw/zinc/83/68/52/1127836852.db2.gz AIQCVRYGAVHRJW-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1c(Cl)nccc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC001232753757 1127836857 /nfs/dbraw/zinc/83/68/57/1127836857.db2.gz AIQCVRYGAVHRJW-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1nc(N2CCC[C@@H](c3cc4ccccc4[nH]3)C2)cc[nH+]1 ZINC000608080050 1127843828 /nfs/dbraw/zinc/84/38/28/1127843828.db2.gz KGBMDSMDXYMNPI-OAHLLOKOSA-N 1 2 292.386 3.650 20 0 CHADLO O=C(CCCC1CCCC1)Nc1ccc2[nH+]ccn2c1 ZINC000608133668 1127850431 /nfs/dbraw/zinc/85/04/31/1127850431.db2.gz OUVVWDXNFVELQZ-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2nnc(C)s2)cs1 ZINC000296438300 1129222770 /nfs/dbraw/zinc/22/27/70/1129222770.db2.gz MLVPXXFKZATJCA-IUCAKERBSA-N 1 2 267.423 3.628 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cccc(O)c2)c1Cl ZINC000659983327 1127870043 /nfs/dbraw/zinc/87/00/43/1127870043.db2.gz JNTQYXCISYSZFS-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cccc(O)c2)c1Cl ZINC000659983327 1127870047 /nfs/dbraw/zinc/87/00/47/1127870047.db2.gz JNTQYXCISYSZFS-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO CCc1noc(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccc(C)o2)n1 ZINC000608510764 1127874206 /nfs/dbraw/zinc/87/42/06/1127874206.db2.gz AXGULKPKKMFOFQ-YPMHNXCESA-N 1 2 289.379 3.507 20 0 CHADLO CCc1noc(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccc(C)o2)n1 ZINC000608510764 1127874208 /nfs/dbraw/zinc/87/42/08/1127874208.db2.gz AXGULKPKKMFOFQ-YPMHNXCESA-N 1 2 289.379 3.507 20 0 CHADLO FC[C@@H]1C[N@H+](Cc2ccc(Cl)cc2Cl)CCCO1 ZINC000411856888 1127876122 /nfs/dbraw/zinc/87/61/22/1127876122.db2.gz RVYHSVBNOXMLHP-GFCCVEGCSA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2Cl)CCCO1 ZINC000411856888 1127876127 /nfs/dbraw/zinc/87/61/27/1127876127.db2.gz RVYHSVBNOXMLHP-GFCCVEGCSA-N 1 2 292.181 3.554 20 0 CHADLO COCC[C@@H]1CCC[C@@H]1Nc1ccc([NH+](C)C)cc1C ZINC000800483661 1127880040 /nfs/dbraw/zinc/88/00/40/1127880040.db2.gz LQYOJWKYKLRQDS-YOEHRIQHSA-N 1 2 276.424 3.678 20 0 CHADLO COCC[C@@H]1CCC[C@@H]1[NH2+]c1ccc(N(C)C)cc1C ZINC000800483661 1127880048 /nfs/dbraw/zinc/88/00/48/1127880048.db2.gz LQYOJWKYKLRQDS-YOEHRIQHSA-N 1 2 276.424 3.678 20 0 CHADLO CCCOc1ccc(F)cc1Nc1c[nH+]ccc1OC ZINC001212195304 1127892440 /nfs/dbraw/zinc/89/24/40/1127892440.db2.gz JGDIFMBENAJESJ-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO Cc1csc(C[N@@H+]2CC[C@](F)(c3ccccc3)C2)n1 ZINC000374537281 1127902700 /nfs/dbraw/zinc/90/27/00/1127902700.db2.gz LVHMMYBUVMZPAA-OAHLLOKOSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1csc(C[N@H+]2CC[C@](F)(c3ccccc3)C2)n1 ZINC000374537281 1127902703 /nfs/dbraw/zinc/90/27/03/1127902703.db2.gz LVHMMYBUVMZPAA-OAHLLOKOSA-N 1 2 276.380 3.522 20 0 CHADLO Oc1cnc(Cl)cc1C[N@@H+]1CCC[C@H]2CCCC[C@@H]21 ZINC001233034639 1127912509 /nfs/dbraw/zinc/91/25/09/1127912509.db2.gz OSFHPWZFXYVRMM-YPMHNXCESA-N 1 2 280.799 3.595 20 0 CHADLO Oc1cnc(Cl)cc1C[N@H+]1CCC[C@H]2CCCC[C@@H]21 ZINC001233034639 1127912512 /nfs/dbraw/zinc/91/25/12/1127912512.db2.gz OSFHPWZFXYVRMM-YPMHNXCESA-N 1 2 280.799 3.595 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000374821008 1127914630 /nfs/dbraw/zinc/91/46/30/1127914630.db2.gz DHVHVGRNHSFBDT-INIZCTEOSA-N 1 2 292.329 3.501 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000374821008 1127914633 /nfs/dbraw/zinc/91/46/33/1127914633.db2.gz DHVHVGRNHSFBDT-INIZCTEOSA-N 1 2 292.329 3.501 20 0 CHADLO CC(C)(C)CCCNc1ccccc1C[NH+]1CCOCC1 ZINC000539710593 1127936960 /nfs/dbraw/zinc/93/69/60/1127936960.db2.gz UEDKFDNFXYCJLI-UHFFFAOYSA-N 1 2 290.451 3.757 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2ccc(Cl)c(F)c2F)[C@@H](C)C1 ZINC001233212853 1127938526 /nfs/dbraw/zinc/93/85/26/1127938526.db2.gz GKIPRDOZCAUAFF-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2ccc(Cl)c(F)c2F)[C@@H](C)C1 ZINC001233212853 1127938529 /nfs/dbraw/zinc/93/85/29/1127938529.db2.gz GKIPRDOZCAUAFF-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO FC(F)c1ccc(CNc2cc(NC3CC3)[nH+]cn2)cc1 ZINC000413244645 1127946079 /nfs/dbraw/zinc/94/60/79/1127946079.db2.gz ZKUGWSIEXWPCRZ-UHFFFAOYSA-N 1 2 290.317 3.601 20 0 CHADLO FC(F)c1ccc(CNc2cc(NC3CC3)nc[nH+]2)cc1 ZINC000413244645 1127946082 /nfs/dbraw/zinc/94/60/82/1127946082.db2.gz ZKUGWSIEXWPCRZ-UHFFFAOYSA-N 1 2 290.317 3.601 20 0 CHADLO CC1(C)C[C@H](CNc2cc(C(F)(F)F)cc[nH+]2)CCO1 ZINC000413339388 1127948197 /nfs/dbraw/zinc/94/81/97/1127948197.db2.gz QEGOKIDOIJMDMM-SNVBAGLBSA-N 1 2 288.313 3.718 20 0 CHADLO CCOC(=O)C1([N@H+](C)Cc2ccc(Cl)o2)CCCCC1 ZINC000539851454 1127948492 /nfs/dbraw/zinc/94/84/92/1127948492.db2.gz VTCOWAGIQJXYPA-UHFFFAOYSA-N 1 2 299.798 3.631 20 0 CHADLO CCOC(=O)C1([N@@H+](C)Cc2ccc(Cl)o2)CCCCC1 ZINC000539851454 1127948495 /nfs/dbraw/zinc/94/84/95/1127948495.db2.gz VTCOWAGIQJXYPA-UHFFFAOYSA-N 1 2 299.798 3.631 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2ccc(F)cc21)c1cc(F)ccc1F ZINC000467013667 1127953592 /nfs/dbraw/zinc/95/35/92/1127953592.db2.gz OHPJBFYZIAVPJX-RFAUZJTJSA-N 1 2 293.288 3.888 20 0 CHADLO CSc1cccc(Cl)c1C[NH+]1CC(C(F)F)C1 ZINC001233353631 1127955279 /nfs/dbraw/zinc/95/52/79/1127955279.db2.gz DDLDGIZNZFITGD-UHFFFAOYSA-N 1 2 277.767 3.759 20 0 CHADLO CC[N@H+](Cc1nc(CCC2CCCCC2)no1)C1CC1 ZINC000544142299 1127960475 /nfs/dbraw/zinc/96/04/75/1127960475.db2.gz PSGGLGSUMONPSC-UHFFFAOYSA-N 1 2 277.412 3.567 20 0 CHADLO CC[N@@H+](Cc1nc(CCC2CCCCC2)no1)C1CC1 ZINC000544142299 1127960478 /nfs/dbraw/zinc/96/04/78/1127960478.db2.gz PSGGLGSUMONPSC-UHFFFAOYSA-N 1 2 277.412 3.567 20 0 CHADLO FC(F)(F)Cc1cccc(Nc2[nH+]cnc3[nH]ccc32)c1 ZINC000462931930 1127961042 /nfs/dbraw/zinc/96/10/42/1127961042.db2.gz IPKCBBXODNAYQK-UHFFFAOYSA-N 1 2 292.264 3.806 20 0 CHADLO C(=C\c1ccccc1)\COc1cccc(-n2cc[nH+]c2)c1 ZINC000467802666 1127981099 /nfs/dbraw/zinc/98/10/99/1127981099.db2.gz IDYWWDYRQHQFGP-YVMONPNESA-N 1 2 276.339 3.965 20 0 CHADLO c1cn(-c2cccc(-c3noc(CC4CCCC4)n3)c2)c[nH+]1 ZINC000544762602 1127983959 /nfs/dbraw/zinc/98/39/59/1127983959.db2.gz DSGIYSAZXMUSLJ-UHFFFAOYSA-N 1 2 294.358 3.655 20 0 CHADLO CC(C)C[C@H](C)CNc1ccccc1C[NH+]1CCOCC1 ZINC000540809294 1127996175 /nfs/dbraw/zinc/99/61/75/1127996175.db2.gz BGDIKCSJFBEVHU-INIZCTEOSA-N 1 2 290.451 3.613 20 0 CHADLO C(=C\[C@@H]1CCC[N@H+]1Cc1nnc(C2CC2)o1)\c1ccccc1 ZINC000540944875 1128002731 /nfs/dbraw/zinc/00/27/31/1128002731.db2.gz IKTGQLYBJFVVJP-CLOOOTJHSA-N 1 2 295.386 3.625 20 0 CHADLO C(=C\[C@@H]1CCC[N@@H+]1Cc1nnc(C2CC2)o1)\c1ccccc1 ZINC000540944875 1128002736 /nfs/dbraw/zinc/00/27/36/1128002736.db2.gz IKTGQLYBJFVVJP-CLOOOTJHSA-N 1 2 295.386 3.625 20 0 CHADLO CNc1ccc(Nc2cccc(-c3ccccc3)n2)c[nH+]1 ZINC001203450101 1128003069 /nfs/dbraw/zinc/00/30/69/1128003069.db2.gz UCZXMVQQHMKPLF-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@H](CC(C)C)C2)no1 ZINC000469117175 1128035639 /nfs/dbraw/zinc/03/56/39/1128035639.db2.gz XYOBRNSQSUXKDO-CQSZACIVSA-N 1 2 279.428 3.670 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@H](CC(C)C)C2)no1 ZINC000469117175 1128035644 /nfs/dbraw/zinc/03/56/44/1128035644.db2.gz XYOBRNSQSUXKDO-CQSZACIVSA-N 1 2 279.428 3.670 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(-c3ccccc3)cc2)o1 ZINC000541686256 1128033497 /nfs/dbraw/zinc/03/34/97/1128033497.db2.gz IAJIDVPIHVOOIM-ZDUSSCGKSA-N 1 2 293.370 3.896 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1ncnn1C(C)(C)C ZINC000669929976 1128063694 /nfs/dbraw/zinc/06/36/94/1128063694.db2.gz VAYKUUCJUDPZBQ-INIZCTEOSA-N 1 2 298.434 3.543 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1ncnn1C(C)(C)C ZINC000669929976 1128063699 /nfs/dbraw/zinc/06/36/99/1128063699.db2.gz VAYKUUCJUDPZBQ-INIZCTEOSA-N 1 2 298.434 3.543 20 0 CHADLO Cc1ccc(-c2noc(C[NH2+][C@@H](C)c3ccsc3)n2)cc1 ZINC000669938499 1128076966 /nfs/dbraw/zinc/07/69/66/1128076966.db2.gz PXWPEUNLBIYOJZ-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccc(-c2nnc(C[NH2+][C@@H](C)c3ccsc3)o2)cc1 ZINC000669937641 1128075481 /nfs/dbraw/zinc/07/54/81/1128075481.db2.gz NQILCNMHZDVFEP-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470910425 1128080812 /nfs/dbraw/zinc/08/08/12/1128080812.db2.gz ZCFCFIBFFKTKOG-ZETOZRRWSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470910425 1128080815 /nfs/dbraw/zinc/08/08/15/1128080815.db2.gz ZCFCFIBFFKTKOG-ZETOZRRWSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470910323 1128081649 /nfs/dbraw/zinc/08/16/49/1128081649.db2.gz ZCFCFIBFFKTKOG-JRPNMDOOSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470910323 1128081653 /nfs/dbraw/zinc/08/16/53/1128081653.db2.gz ZCFCFIBFFKTKOG-JRPNMDOOSA-N 1 2 281.346 3.525 20 0 CHADLO CC(C)C[C@H](c1nc(CC2CCCC2)no1)n1cc[nH+]c1 ZINC000924083970 1128089880 /nfs/dbraw/zinc/08/98/80/1128089880.db2.gz OYYXEXHCUWBJKJ-CQSZACIVSA-N 1 2 288.395 3.634 20 0 CHADLO C[C@H](c1ccco1)c1noc([C@@H]2CC[N@@H+]2C2CCCC2)n1 ZINC000924124937 1128090671 /nfs/dbraw/zinc/09/06/71/1128090671.db2.gz OVNAEGCYTRSXTQ-YPMHNXCESA-N 1 2 287.363 3.504 20 0 CHADLO C[C@H](c1ccco1)c1noc([C@@H]2CC[N@H+]2C2CCCC2)n1 ZINC000924124937 1128090676 /nfs/dbraw/zinc/09/06/76/1128090676.db2.gz OVNAEGCYTRSXTQ-YPMHNXCESA-N 1 2 287.363 3.504 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc(F)c(F)c(F)c1)c1cscn1 ZINC000557262518 1128097739 /nfs/dbraw/zinc/09/77/39/1128097739.db2.gz MVDUQAMGPTWRTF-HTQZYQBOSA-N 1 2 286.322 3.972 20 0 CHADLO C[N@H+](Cc1nnc(-c2cccc(F)c2)o1)Cc1ccccc1 ZINC000030824907 1128106827 /nfs/dbraw/zinc/10/68/27/1128106827.db2.gz KJFUHGSGIYODHJ-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1nnc(-c2cccc(F)c2)o1)Cc1ccccc1 ZINC000030824907 1128106828 /nfs/dbraw/zinc/10/68/28/1128106828.db2.gz KJFUHGSGIYODHJ-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccncc1Cl)c1nc(C)cs1 ZINC000174881305 1128107971 /nfs/dbraw/zinc/10/79/71/1128107971.db2.gz YAGDZNHUPAVAEL-AWEZNQCLSA-N 1 2 295.839 3.915 20 0 CHADLO Cc1csc(CC[NH2+][C@H](C)C(F)(F)c2ccccc2)n1 ZINC000924642163 1128108888 /nfs/dbraw/zinc/10/88/88/1128108888.db2.gz BIGDKPHOCGMXJV-GFCCVEGCSA-N 1 2 296.386 3.764 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000924717414 1128110978 /nfs/dbraw/zinc/11/09/78/1128110978.db2.gz UNDXTMFKXKJLQL-GDLCADMTSA-N 1 2 286.375 3.867 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]Cc1cc2ccccc2o1 ZINC000924747597 1128111539 /nfs/dbraw/zinc/11/15/39/1128111539.db2.gz NAOHADXURNJHIR-AWEZNQCLSA-N 1 2 282.343 3.896 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccccc2Cl)s1 ZINC000134911382 1128115488 /nfs/dbraw/zinc/11/54/88/1128115488.db2.gz QOQDJNIDMOLVRF-JTQLQIEISA-N 1 2 266.797 3.956 20 0 CHADLO FC1=CCC[N@H+](CCc2cccc(OC(F)(F)F)c2)C1 ZINC000492971502 1128119014 /nfs/dbraw/zinc/11/90/14/1128119014.db2.gz GRXIBQDELTVDBU-UHFFFAOYSA-N 1 2 289.272 3.687 20 0 CHADLO FC1=CCC[N@@H+](CCc2cccc(OC(F)(F)F)c2)C1 ZINC000492971502 1128119015 /nfs/dbraw/zinc/11/90/15/1128119015.db2.gz GRXIBQDELTVDBU-UHFFFAOYSA-N 1 2 289.272 3.687 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]Cc1ccc(F)c(Cl)c1 ZINC000924902995 1128116107 /nfs/dbraw/zinc/11/61/07/1128116107.db2.gz RISLZUHHWHQTQU-ZDUSSCGKSA-N 1 2 294.757 3.943 20 0 CHADLO CCC[C@H]([NH2+]Cc1cc(C)no1)c1ncccc1Cl ZINC000924957932 1128117888 /nfs/dbraw/zinc/11/78/88/1128117888.db2.gz BPVVDPHDXNAFNS-ZDUSSCGKSA-N 1 2 279.771 3.662 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC1CC2(C1)COC(C)(C)C2 ZINC000925722781 1128138361 /nfs/dbraw/zinc/13/83/61/1128138361.db2.gz YTNXDQPGALFHJF-UHFFFAOYSA-N 1 2 288.435 3.821 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2cc(F)ccc21)c1ncco1 ZINC000925670132 1128136441 /nfs/dbraw/zinc/13/64/41/1128136441.db2.gz IOSXVUVXHJYRKD-IINYFYTJSA-N 1 2 260.312 3.542 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nnc(-c3ccccc3)[nH]2)s1 ZINC000777577037 1128144244 /nfs/dbraw/zinc/14/42/44/1128144244.db2.gz YNSCMWDWRUBMLU-LBPRGKRZSA-N 1 2 298.415 3.692 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)/C=C/c1[nH]cc[nH+]1 ZINC000493773794 1128149206 /nfs/dbraw/zinc/14/92/06/1128149206.db2.gz XSKADYBMCHZEMH-MDZDMXLPSA-N 1 2 283.375 3.650 20 0 CHADLO COc1ccnc([C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC000926052978 1128150878 /nfs/dbraw/zinc/15/08/78/1128150878.db2.gz UBGDMMXNWWBBRP-CYBMUJFWSA-N 1 2 285.391 3.638 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+](C)Cc1cccc2[nH]ccc21 ZINC000494116710 1128155944 /nfs/dbraw/zinc/15/59/44/1128155944.db2.gz NTHGXVLQQWTJBJ-LLVKDONJSA-N 1 2 296.374 3.621 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+](C)Cc1cccc2[nH]ccc21 ZINC000494116710 1128155947 /nfs/dbraw/zinc/15/59/47/1128155947.db2.gz NTHGXVLQQWTJBJ-LLVKDONJSA-N 1 2 296.374 3.621 20 0 CHADLO C[C@H]1CC[N@H+](CCCc2ccccc2)CC1(F)F ZINC000494222274 1128160333 /nfs/dbraw/zinc/16/03/33/1128160333.db2.gz DWZCXCKHPVWIOM-ZDUSSCGKSA-N 1 2 253.336 3.596 20 0 CHADLO C[C@H]1CC[N@@H+](CCCc2ccccc2)CC1(F)F ZINC000494222274 1128160335 /nfs/dbraw/zinc/16/03/35/1128160335.db2.gz DWZCXCKHPVWIOM-ZDUSSCGKSA-N 1 2 253.336 3.596 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@@H](C)c1cc2ccccc2o1 ZINC000926249904 1128163256 /nfs/dbraw/zinc/16/32/56/1128163256.db2.gz JJSSQWSDEGEPHW-UWVGGRQHSA-N 1 2 271.320 3.536 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+][C@@H]1C[C@H](C)n2ncnc21 ZINC000926264812 1128164160 /nfs/dbraw/zinc/16/41/60/1128164160.db2.gz AESWHSWPVFYUNJ-ZKYQVNSYSA-N 1 2 296.374 3.689 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@H](C)c2ncco2)cc1 ZINC000926353394 1128168815 /nfs/dbraw/zinc/16/88/15/1128168815.db2.gz UCQFKKNJXYMNNO-WDEREUQCSA-N 1 2 262.378 3.808 20 0 CHADLO COc1cc([C@H](C)[NH2+]Cc2ccc(C3CC3)cc2F)on1 ZINC000926469925 1128173258 /nfs/dbraw/zinc/17/32/58/1128173258.db2.gz NJZAXKUGOALPAA-JTQLQIEISA-N 1 2 290.338 3.551 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2c(F)cc(F)cc21)c1ncco1 ZINC000926496846 1128176370 /nfs/dbraw/zinc/17/63/70/1128176370.db2.gz NZYNJULMLWLCJW-LKFCYVNXSA-N 1 2 278.302 3.681 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000926511184 1128177142 /nfs/dbraw/zinc/17/71/42/1128177142.db2.gz UGDWVCDMOOVTBN-BYCMXARLSA-N 1 2 298.386 3.862 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@@H]1C[C@@H](C)Oc2ccccc21 ZINC000926511189 1128177183 /nfs/dbraw/zinc/17/71/83/1128177183.db2.gz UGDWVCDMOOVTBN-KCPJHIHWSA-N 1 2 298.386 3.862 20 0 CHADLO C[C@H](Cc1ccccn1)[NH2+]CC(F)(F)c1ccc(F)cc1 ZINC000926722228 1128190825 /nfs/dbraw/zinc/19/08/25/1128190825.db2.gz CGHOGESEDUPAHQ-GFCCVEGCSA-N 1 2 294.320 3.533 20 0 CHADLO Cc1noc(C)c1CNc1ccc([NH+]2CCCC2)cc1 ZINC000035043307 1128253388 /nfs/dbraw/zinc/25/33/88/1128253388.db2.gz PZZADMGTZUHMGR-UHFFFAOYSA-N 1 2 271.364 3.504 20 0 CHADLO CCc1onc(C)c1C[N@H+](Cc1ccccc1F)C1CC1 ZINC000929140808 1128260266 /nfs/dbraw/zinc/26/02/66/1128260266.db2.gz LEVFFFWUXZCSRC-UHFFFAOYSA-N 1 2 288.366 3.849 20 0 CHADLO CCc1onc(C)c1C[N@@H+](Cc1ccccc1F)C1CC1 ZINC000929140808 1128260268 /nfs/dbraw/zinc/26/02/68/1128260268.db2.gz LEVFFFWUXZCSRC-UHFFFAOYSA-N 1 2 288.366 3.849 20 0 CHADLO Cc1cn(C)nc1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000929176411 1128262528 /nfs/dbraw/zinc/26/25/28/1128262528.db2.gz ZCOKJSZOOZQLRG-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cn(C)nc1C[N@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000929176411 1128262530 /nfs/dbraw/zinc/26/25/30/1128262530.db2.gz ZCOKJSZOOZQLRG-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO CC[N@H+](Cc1ccccc1Cl)Cc1cc(C)nnc1C ZINC000929253441 1128265268 /nfs/dbraw/zinc/26/52/68/1128265268.db2.gz CBTZBMMZXATVKV-UHFFFAOYSA-N 1 2 289.810 3.769 20 0 CHADLO CC[N@@H+](Cc1ccccc1Cl)Cc1cc(C)nnc1C ZINC000929253441 1128265270 /nfs/dbraw/zinc/26/52/70/1128265270.db2.gz CBTZBMMZXATVKV-UHFFFAOYSA-N 1 2 289.810 3.769 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+](C)Cc1ccc(F)cc1F ZINC000929253686 1128265441 /nfs/dbraw/zinc/26/54/41/1128265441.db2.gz CMDURIBBPKYXTG-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+](C)Cc1ccc(F)cc1F ZINC000929253686 1128265443 /nfs/dbraw/zinc/26/54/43/1128265443.db2.gz CMDURIBBPKYXTG-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1)C1CC1 ZINC000777659138 1128234385 /nfs/dbraw/zinc/23/43/85/1128234385.db2.gz JDJSGUNAACAFPD-LBTNJELSSA-N 1 2 288.435 3.901 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cn2nc(C)n(C3CC3)c2=S)CC1 ZINC000153329091 1128236446 /nfs/dbraw/zinc/23/64/46/1128236446.db2.gz BPQWYSAQVRJUCO-CYBMUJFWSA-N 1 2 294.468 3.527 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cn2nc(C)n(C3CC3)c2=S)CC1 ZINC000153329091 1128236447 /nfs/dbraw/zinc/23/64/47/1128236447.db2.gz BPQWYSAQVRJUCO-CYBMUJFWSA-N 1 2 294.468 3.527 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cn2nc(C(C)C)n(C)c2=S)CC1 ZINC000153336067 1128237249 /nfs/dbraw/zinc/23/72/49/1128237249.db2.gz IEGPOISRVVNYBE-CYBMUJFWSA-N 1 2 296.484 3.544 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cn2nc(C(C)C)n(C)c2=S)CC1 ZINC000153336067 1128237251 /nfs/dbraw/zinc/23/72/51/1128237251.db2.gz IEGPOISRVVNYBE-CYBMUJFWSA-N 1 2 296.484 3.544 20 0 CHADLO COC1CCC(Nc2cc3ccccc3c[nH+]2)CC1 ZINC000631298535 1128276372 /nfs/dbraw/zinc/27/63/72/1128276372.db2.gz MMXJMIRGCLRCLR-UHFFFAOYSA-N 1 2 256.349 3.604 20 0 CHADLO Cc1ccc([C@H]2[C@@H](C)CCC[N@@H+]2Cc2nncs2)cc1 ZINC000929688640 1128282146 /nfs/dbraw/zinc/28/21/46/1128282146.db2.gz MZPRXMOMHGIQGP-XJKSGUPXSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@H]2[C@@H](C)CCC[N@H+]2Cc2nncs2)cc1 ZINC000929688640 1128282148 /nfs/dbraw/zinc/28/21/48/1128282148.db2.gz MZPRXMOMHGIQGP-XJKSGUPXSA-N 1 2 287.432 3.820 20 0 CHADLO O=C(N[C@@H](c1cccs1)C1CC1)c1cc2c[nH+]ccc2[nH]1 ZINC000929701178 1128282248 /nfs/dbraw/zinc/28/22/48/1128282248.db2.gz DVEMBSYYLZTACV-OAHLLOKOSA-N 1 2 297.383 3.506 20 0 CHADLO CCc1onc(C)c1C[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000929785971 1128287589 /nfs/dbraw/zinc/28/75/89/1128287589.db2.gz HAZIJCSGZAETKF-OAHLLOKOSA-N 1 2 274.339 3.632 20 0 CHADLO CCc1onc(C)c1C[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000929785971 1128287591 /nfs/dbraw/zinc/28/75/91/1128287591.db2.gz HAZIJCSGZAETKF-OAHLLOKOSA-N 1 2 274.339 3.632 20 0 CHADLO C(=C\[C@@H]1CCCCC[N@H+]1Cc1nncs1)\c1ccccc1 ZINC000929826658 1128288962 /nfs/dbraw/zinc/28/89/62/1128288962.db2.gz YHNOHDMXSSPDPT-SNGWPZLWSA-N 1 2 299.443 3.996 20 0 CHADLO C(=C\[C@@H]1CCCCC[N@@H+]1Cc1nncs1)\c1ccccc1 ZINC000929826658 1128288963 /nfs/dbraw/zinc/28/89/63/1128288963.db2.gz YHNOHDMXSSPDPT-SNGWPZLWSA-N 1 2 299.443 3.996 20 0 CHADLO CC(C)c1ccc([C@@H]2CCC[N@@H+]2Cc2nncs2)cc1 ZINC000930105744 1128300339 /nfs/dbraw/zinc/30/03/39/1128300339.db2.gz IVBZBHHQRSMLGZ-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1ccc([C@@H]2CCC[N@H+]2Cc2nncs2)cc1 ZINC000930105744 1128300344 /nfs/dbraw/zinc/30/03/44/1128300344.db2.gz IVBZBHHQRSMLGZ-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO CCSCC[C@H](C)[N@H+](C)Cc1nc(C(C)C)ns1 ZINC000930009322 1128298095 /nfs/dbraw/zinc/29/80/95/1128298095.db2.gz CNQIAEJXOSSOII-NSHDSACASA-N 1 2 287.498 3.625 20 0 CHADLO CCSCC[C@H](C)[N@@H+](C)Cc1nc(C(C)C)ns1 ZINC000930009322 1128298098 /nfs/dbraw/zinc/29/80/98/1128298098.db2.gz CNQIAEJXOSSOII-NSHDSACASA-N 1 2 287.498 3.625 20 0 CHADLO Cc1cn(C)nc1C[NH+]1CCC(=Cc2cccc(F)c2)CC1 ZINC000930052990 1128298901 /nfs/dbraw/zinc/29/89/01/1128298901.db2.gz TZTKRFWLXMEISS-UHFFFAOYSA-N 1 2 299.393 3.547 20 0 CHADLO C[C@@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)[C@@H]2CCCC[C@H]12 ZINC000930182620 1128304429 /nfs/dbraw/zinc/30/44/29/1128304429.db2.gz PHYNFTDNGGUWKR-SUYBPPKGSA-N 1 2 297.402 3.604 20 0 CHADLO COc1cccc([C@@H](C)[NH2+]Cc2nc(C(C)C)ns2)c1 ZINC000930190366 1128305454 /nfs/dbraw/zinc/30/54/54/1128305454.db2.gz NSDWVWJQFSLYNJ-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2nncs2)cc1 ZINC000930215282 1128307513 /nfs/dbraw/zinc/30/75/13/1128307513.db2.gz SDAAJVMVMRFVJG-JTQLQIEISA-N 1 2 279.434 3.501 20 0 CHADLO CC[N@H+](CCOC)Cc1c(Cl)cc(C)cc1Cl ZINC001235172212 1128311494 /nfs/dbraw/zinc/31/14/94/1128311494.db2.gz CVPZGTTWKASPFH-UHFFFAOYSA-N 1 2 276.207 3.770 20 0 CHADLO CC[N@@H+](CCOC)Cc1c(Cl)cc(C)cc1Cl ZINC001235172212 1128311498 /nfs/dbraw/zinc/31/14/98/1128311498.db2.gz CVPZGTTWKASPFH-UHFFFAOYSA-N 1 2 276.207 3.770 20 0 CHADLO CSc1ccccc1C[N@H+](C)[C@H](C)c1snnc1C ZINC000930273569 1128311507 /nfs/dbraw/zinc/31/15/07/1128311507.db2.gz OBEKRALGGYNNRE-LLVKDONJSA-N 1 2 293.461 3.761 20 0 CHADLO CSc1ccccc1C[N@@H+](C)[C@H](C)c1snnc1C ZINC000930273569 1128311511 /nfs/dbraw/zinc/31/15/11/1128311511.db2.gz OBEKRALGGYNNRE-LLVKDONJSA-N 1 2 293.461 3.761 20 0 CHADLO CC(C)c1cccc2c1CC[N@@H+]([C@@H](C)c1ncccn1)C2 ZINC000930256718 1128310915 /nfs/dbraw/zinc/31/09/15/1128310915.db2.gz XWEHXWRMDHWJLR-AWEZNQCLSA-N 1 2 281.403 3.719 20 0 CHADLO CC(C)c1cccc2c1CC[N@H+]([C@@H](C)c1ncccn1)C2 ZINC000930256718 1128310919 /nfs/dbraw/zinc/31/09/19/1128310919.db2.gz XWEHXWRMDHWJLR-AWEZNQCLSA-N 1 2 281.403 3.719 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC=C(c3ccco3)C2)co1 ZINC000930441039 1128319057 /nfs/dbraw/zinc/31/90/57/1128319057.db2.gz FOQRVEPBWYBTAA-UHFFFAOYSA-N 1 2 286.375 3.854 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC=C(c3ccco3)C2)co1 ZINC000930441039 1128319062 /nfs/dbraw/zinc/31/90/62/1128319062.db2.gz FOQRVEPBWYBTAA-UHFFFAOYSA-N 1 2 286.375 3.854 20 0 CHADLO CCc1onc(C)c1C[NH2+]C1(c2ccccc2Cl)CC1 ZINC000930814404 1128337047 /nfs/dbraw/zinc/33/70/47/1128337047.db2.gz MFQBSJOSONHYRC-UHFFFAOYSA-N 1 2 290.794 3.978 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CCC3(CC3(F)F)CC2)co1 ZINC000930852596 1128337447 /nfs/dbraw/zinc/33/74/47/1128337447.db2.gz FDQSVOCQHCIIFW-UHFFFAOYSA-N 1 2 284.350 3.593 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@@H](c2ccccn2)C2CCC2)co1 ZINC000931171323 1128351424 /nfs/dbraw/zinc/35/14/24/1128351424.db2.gz AELZTWRAKXKZDK-MRXNPFEDSA-N 1 2 299.418 3.998 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@@H](C)c2ccns2)c1F ZINC000931332354 1128357146 /nfs/dbraw/zinc/35/71/46/1128357146.db2.gz NMGKWKXFHSIWGF-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO CCCC[C@H](CC)[N@@H+]1Cc2occ(C(=O)OCC)c2C1 ZINC001257425371 1128358537 /nfs/dbraw/zinc/35/85/37/1128358537.db2.gz PMZPFHLWVNURSC-LBPRGKRZSA-N 1 2 279.380 3.741 20 0 CHADLO CCCC[C@H](CC)[N@H+]1Cc2occ(C(=O)OCC)c2C1 ZINC001257425371 1128358542 /nfs/dbraw/zinc/35/85/42/1128358542.db2.gz PMZPFHLWVNURSC-LBPRGKRZSA-N 1 2 279.380 3.741 20 0 CHADLO CCOc1cccc(C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)c1 ZINC000054834313 1128364837 /nfs/dbraw/zinc/36/48/37/1128364837.db2.gz NXHSZHSGXNLNQH-UHFFFAOYSA-N 1 2 295.342 3.522 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CC[C@](C)(C(F)(F)F)C2)co1 ZINC000931613062 1128372495 /nfs/dbraw/zinc/37/24/95/1128372495.db2.gz VYGUYKWDPQFQJH-ZDUSSCGKSA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CC[C@](C)(C(F)(F)F)C2)co1 ZINC000931613062 1128372499 /nfs/dbraw/zinc/37/24/99/1128372499.db2.gz VYGUYKWDPQFQJH-ZDUSSCGKSA-N 1 2 290.329 3.746 20 0 CHADLO C[C@H]1CCC[C@@H](OC(=O)c2cccc(Cn3cc[nH+]c3)c2)C1 ZINC000916301998 1128415530 /nfs/dbraw/zinc/41/55/30/1128415530.db2.gz LTGUCKKFHYAAJF-WMLDXEAASA-N 1 2 298.386 3.667 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccc1)c1nc(C(C)(C)C)no1 ZINC000107071547 1128378902 /nfs/dbraw/zinc/37/89/02/1128378902.db2.gz CFCSWAMVXNQHKZ-VXGBXAGGSA-N 1 2 273.380 3.779 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2CCc3cccc4cccc2c43)no1 ZINC000931810169 1128382692 /nfs/dbraw/zinc/38/26/92/1128382692.db2.gz XHQBDCNCHFNAJG-BDJLRTHQSA-N 1 2 293.370 3.869 20 0 CHADLO Cc1ncc(C[NH2+]Cc2cc(Cl)sc2Cl)o1 ZINC000397889345 1128387125 /nfs/dbraw/zinc/38/71/25/1128387125.db2.gz QSQVWOKBEGLJNG-UHFFFAOYSA-N 1 2 277.176 3.641 20 0 CHADLO FC1(F)C[C@@]2(CC[N@H+](C/C(Cl)=C/Cl)C2)C1(F)F ZINC000931920683 1128387356 /nfs/dbraw/zinc/38/73/56/1128387356.db2.gz ZGPLLTBGHNUEMW-LSFDQVSLSA-N 1 2 292.103 3.672 20 0 CHADLO FC1(F)C[C@@]2(CC[N@@H+](C/C(Cl)=C/Cl)C2)C1(F)F ZINC000931920683 1128387360 /nfs/dbraw/zinc/38/73/60/1128387360.db2.gz ZGPLLTBGHNUEMW-LSFDQVSLSA-N 1 2 292.103 3.672 20 0 CHADLO CC(C)(C)OC[C@H]1CCC[N@@H+]1Cc1ncc(Cl)s1 ZINC000932167542 1128397824 /nfs/dbraw/zinc/39/78/24/1128397824.db2.gz XBGVVRDWHMWGQE-SNVBAGLBSA-N 1 2 288.844 3.576 20 0 CHADLO CC(C)(C)OC[C@H]1CCC[N@H+]1Cc1ncc(Cl)s1 ZINC000932167542 1128397828 /nfs/dbraw/zinc/39/78/28/1128397828.db2.gz XBGVVRDWHMWGQE-SNVBAGLBSA-N 1 2 288.844 3.576 20 0 CHADLO Cc1nc(C(C)C)ccc1C[NH2+][C@H](c1ncccn1)C1CC1 ZINC000932355216 1128405417 /nfs/dbraw/zinc/40/54/17/1128405417.db2.gz CLJPVJQDFGVDPV-KRWDZBQOSA-N 1 2 296.418 3.544 20 0 CHADLO COc1ccc(C[C@H](C)Nc2ccc([NH+](C)C)cc2)cc1 ZINC000036335472 1128406055 /nfs/dbraw/zinc/40/60/55/1128406055.db2.gz SEAVRNCXHLSZTA-AWEZNQCLSA-N 1 2 284.403 3.804 20 0 CHADLO COc1ccc(C[C@H](C)[NH2+]c2ccc(N(C)C)cc2)cc1 ZINC000036335472 1128406057 /nfs/dbraw/zinc/40/60/57/1128406057.db2.gz SEAVRNCXHLSZTA-AWEZNQCLSA-N 1 2 284.403 3.804 20 0 CHADLO C[C@@H](CCc1ccco1)[NH2+]c1ccc(N(C)C)cc1 ZINC000036335470 1128406671 /nfs/dbraw/zinc/40/66/71/1128406671.db2.gz LYDSFDUDPSJVDI-ZDUSSCGKSA-N 1 2 258.365 3.779 20 0 CHADLO C[C@@H](CCc1ccco1)Nc1ccc([NH+](C)C)cc1 ZINC000036335470 1128406672 /nfs/dbraw/zinc/40/66/72/1128406672.db2.gz LYDSFDUDPSJVDI-ZDUSSCGKSA-N 1 2 258.365 3.779 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2ccc(Cl)cc21)c1csnn1 ZINC000562735976 1128419662 /nfs/dbraw/zinc/41/96/62/1128419662.db2.gz CHWNXVSUISIUPO-RNCFNFMXSA-N 1 2 293.823 3.920 20 0 CHADLO CC[N@@H+]1CCC[C@@H]1CN[C@@H](C)c1oc2ccccc2c1C ZINC000037213787 1128423310 /nfs/dbraw/zinc/42/33/10/1128423310.db2.gz JPDOGXRGRIWSSB-LSDHHAIUSA-N 1 2 286.419 3.876 20 0 CHADLO CC[N@H+]1CCC[C@@H]1CN[C@@H](C)c1oc2ccccc2c1C ZINC000037213787 1128423313 /nfs/dbraw/zinc/42/33/13/1128423313.db2.gz JPDOGXRGRIWSSB-LSDHHAIUSA-N 1 2 286.419 3.876 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@@H](c1ccccc1)C(C)C ZINC000037283331 1128430218 /nfs/dbraw/zinc/43/02/18/1128430218.db2.gz DNVQKQJYVPUUJR-HZPDHXFCSA-N 1 2 277.408 3.561 20 0 CHADLO CCc1ncc(COc2cc(C)[nH+]c3ccc(OC)cc32)o1 ZINC000916637474 1128434720 /nfs/dbraw/zinc/43/47/20/1128434720.db2.gz MXPWHFSKCRLUTM-UHFFFAOYSA-N 1 2 298.342 3.681 20 0 CHADLO c1nc(C[N@@H+]2CCC[C@@]3(C=Cc4ccccc4O3)C2)cs1 ZINC000933659943 1128439715 /nfs/dbraw/zinc/43/97/15/1128439715.db2.gz QZAXGEFTOIRCIP-QGZVFWFLSA-N 1 2 298.411 3.584 20 0 CHADLO c1nc(C[N@H+]2CCC[C@@]3(C=Cc4ccccc4O3)C2)cs1 ZINC000933659943 1128439718 /nfs/dbraw/zinc/43/97/18/1128439718.db2.gz QZAXGEFTOIRCIP-QGZVFWFLSA-N 1 2 298.411 3.584 20 0 CHADLO Clc1nc(C[N@@H+]2CCCSC[C@H]2C2CC2)cs1 ZINC000933918116 1128447347 /nfs/dbraw/zinc/44/73/47/1128447347.db2.gz QIFFEGQSECNYPL-NSHDSACASA-N 1 2 288.869 3.514 20 0 CHADLO Clc1nc(C[N@H+]2CCCSC[C@H]2C2CC2)cs1 ZINC000933918116 1128447348 /nfs/dbraw/zinc/44/73/48/1128447348.db2.gz QIFFEGQSECNYPL-NSHDSACASA-N 1 2 288.869 3.514 20 0 CHADLO CO[C@H]1CC[C@@H]1[N@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000934126505 1128451498 /nfs/dbraw/zinc/45/14/98/1128451498.db2.gz UWWPYTQIQCZDBM-GJZGRUSLSA-N 1 2 294.464 3.800 20 0 CHADLO CO[C@H]1CC[C@@H]1[N@@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000934126505 1128451502 /nfs/dbraw/zinc/45/15/02/1128451502.db2.gz UWWPYTQIQCZDBM-GJZGRUSLSA-N 1 2 294.464 3.800 20 0 CHADLO CCc1ccc([C@@H]([NH2+]Cc2noc(C3CC3)n2)C(C)C)cc1 ZINC000064931569 1128453833 /nfs/dbraw/zinc/45/38/33/1128453833.db2.gz WLEQBFSHZSSROO-KRWDZBQOSA-N 1 2 299.418 3.996 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccccc2)s1 ZINC000125910780 1128456397 /nfs/dbraw/zinc/45/63/97/1128456397.db2.gz AQQZWEOQHVZBME-MRXNPFEDSA-N 1 2 297.427 3.913 20 0 CHADLO CC1(C)Cc2occc2[C@@H]([NH2+]Cc2cscn2)C1 ZINC000127319492 1128475530 /nfs/dbraw/zinc/47/55/30/1128475530.db2.gz NEFVMKYRSPHODF-LBPRGKRZSA-N 1 2 262.378 3.539 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@]1(C)C=CCC1 ZINC000935759537 1128501733 /nfs/dbraw/zinc/50/17/33/1128501733.db2.gz LAZAYERUMMNVOC-QGZVFWFLSA-N 1 2 281.359 3.680 20 0 CHADLO CCCC[C@@H](COC)[NH2+]CC(F)(F)c1ccccc1 ZINC000564433266 1128508153 /nfs/dbraw/zinc/50/81/53/1128508153.db2.gz AZAUXNMMGQOWNT-AWEZNQCLSA-N 1 2 271.351 3.573 20 0 CHADLO Cc1cnccc1CC[NH2+][C@@H](c1ccccc1)C(F)F ZINC000564725441 1128513879 /nfs/dbraw/zinc/51/38/79/1128513879.db2.gz PYLRMIGRTFRROD-HNNXBMFYSA-N 1 2 276.330 3.529 20 0 CHADLO CCCCOC(=O)C[N@H+](C)[C@@H](C)c1ccc(C)cc1C ZINC000918023577 1128530399 /nfs/dbraw/zinc/53/03/99/1128530399.db2.gz DMIKBDJHQOVVDG-HNNXBMFYSA-N 1 2 277.408 3.640 20 0 CHADLO CCCCOC(=O)C[N@@H+](C)[C@@H](C)c1ccc(C)cc1C ZINC000918023577 1128530402 /nfs/dbraw/zinc/53/04/02/1128530402.db2.gz DMIKBDJHQOVVDG-HNNXBMFYSA-N 1 2 277.408 3.640 20 0 CHADLO Cc1ccc([C@H]2[C@H](C)CCC[N@@H+]2Cc2csnn2)cc1 ZINC000129083977 1128530501 /nfs/dbraw/zinc/53/05/01/1128530501.db2.gz QOQUKZSGYNTLNW-CZUORRHYSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@H]2[C@H](C)CCC[N@H+]2Cc2csnn2)cc1 ZINC000129083977 1128530502 /nfs/dbraw/zinc/53/05/02/1128530502.db2.gz QOQUKZSGYNTLNW-CZUORRHYSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1cccc(NC(=O)C[N@@H+](C)[C@H](C)c2ccco2)c1C ZINC000069070314 1128533264 /nfs/dbraw/zinc/53/32/64/1128533264.db2.gz DRZZZCLMXRYOIP-CQSZACIVSA-N 1 2 286.375 3.528 20 0 CHADLO Cc1cccc(NC(=O)C[N@H+](C)[C@H](C)c2ccco2)c1C ZINC000069070314 1128533268 /nfs/dbraw/zinc/53/32/68/1128533268.db2.gz DRZZZCLMXRYOIP-CQSZACIVSA-N 1 2 286.375 3.528 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccnc(Cl)c1Cl ZINC001212232003 1128535819 /nfs/dbraw/zinc/53/58/19/1128535819.db2.gz WHDFCXBOZYGCRM-UHFFFAOYSA-N 1 2 284.146 3.926 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cnc(-c3ccsc3)s2)C1 ZINC000566014691 1128536579 /nfs/dbraw/zinc/53/65/79/1128536579.db2.gz OYCNRECKQYLSIP-NSHDSACASA-N 1 2 282.409 3.806 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cnc(-c3ccsc3)s2)C1 ZINC000566014691 1128536582 /nfs/dbraw/zinc/53/65/82/1128536582.db2.gz OYCNRECKQYLSIP-NSHDSACASA-N 1 2 282.409 3.806 20 0 CHADLO c1n[nH]c([C@H]([NH2+]C2CCCCCC2)C2CCCCC2)n1 ZINC000638314880 1128540752 /nfs/dbraw/zinc/54/07/52/1128540752.db2.gz DVSXYMCODZVQDP-OAHLLOKOSA-N 1 2 276.428 3.739 20 0 CHADLO COc1cncc(/C=C/CC[N@@H+]2CCCC[C@H]2C(F)F)c1 ZINC000566558746 1128546570 /nfs/dbraw/zinc/54/65/70/1128546570.db2.gz MWBBMZBQYHCPLU-XCWSRWAASA-N 1 2 296.361 3.613 20 0 CHADLO COc1cncc(/C=C/CC[N@H+]2CCCC[C@H]2C(F)F)c1 ZINC000566558746 1128546572 /nfs/dbraw/zinc/54/65/72/1128546572.db2.gz MWBBMZBQYHCPLU-XCWSRWAASA-N 1 2 296.361 3.613 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@H+]2Cc2ccn(-c3ccccc3)n2)c1 ZINC000130002835 1128561062 /nfs/dbraw/zinc/56/10/62/1128561062.db2.gz UZNOIVCVPZRFHQ-SFHVURJKSA-N 1 2 292.386 3.538 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@@H+]2Cc2ccn(-c3ccccc3)n2)c1 ZINC000130002835 1128561063 /nfs/dbraw/zinc/56/10/63/1128561063.db2.gz UZNOIVCVPZRFHQ-SFHVURJKSA-N 1 2 292.386 3.538 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@@H+]1CCC[C@H]1CF ZINC000566810386 1128551835 /nfs/dbraw/zinc/55/18/35/1128551835.db2.gz JXRQKXFJEIHCBC-GWCFXTLKSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@H+]1CCC[C@H]1CF ZINC000566810386 1128551837 /nfs/dbraw/zinc/55/18/37/1128551837.db2.gz JXRQKXFJEIHCBC-GWCFXTLKSA-N 1 2 286.188 3.944 20 0 CHADLO CC[C@H]1CC[C@@H](C)[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000566980276 1128555632 /nfs/dbraw/zinc/55/56/32/1128555632.db2.gz PUIZDNMDZKRRFT-BDAKNGLRSA-N 1 2 278.343 3.925 20 0 CHADLO CC[C@H]1CC[C@@H](C)[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000566980276 1128555637 /nfs/dbraw/zinc/55/56/37/1128555637.db2.gz PUIZDNMDZKRRFT-BDAKNGLRSA-N 1 2 278.343 3.925 20 0 CHADLO Cc1cnccc1C[N@@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000568024402 1128576494 /nfs/dbraw/zinc/57/64/94/1128576494.db2.gz QOEOLJBPZJQIBA-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cnccc1C[N@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000568024402 1128576497 /nfs/dbraw/zinc/57/64/97/1128576497.db2.gz QOEOLJBPZJQIBA-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO CC(C)(C)OCCCn1c[nH+]c(Cc2ccccc2)c1 ZINC000919143826 1128592023 /nfs/dbraw/zinc/59/20/23/1128592023.db2.gz MMKMHICHXWPOKP-UHFFFAOYSA-N 1 2 272.392 3.679 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1sccc1Cl)CC2 ZINC000569710953 1128607616 /nfs/dbraw/zinc/60/76/16/1128607616.db2.gz DTEIWJLIJKDEQZ-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1sccc1Cl)CC2 ZINC000569710953 1128607618 /nfs/dbraw/zinc/60/76/18/1128607618.db2.gz DTEIWJLIJKDEQZ-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO C[C@H]1C[C@H](C)C[NH+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000131272040 1128612032 /nfs/dbraw/zinc/61/20/32/1128612032.db2.gz ITLUYOOHKMVMHT-IUCAKERBSA-N 1 2 278.343 3.640 20 0 CHADLO Oc1ccc(Cl)cc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000155947154 1128619956 /nfs/dbraw/zinc/61/99/56/1128619956.db2.gz ZSUBIHZXSMFEKF-UHFFFAOYSA-N 1 2 299.761 3.843 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)C1CC2(CCC2)C1 ZINC000571047857 1128636006 /nfs/dbraw/zinc/63/60/06/1128636006.db2.gz PBGLEEPSSNJDSW-UHFFFAOYSA-N 1 2 299.349 3.530 20 0 CHADLO OCC[N@H+](CC(F)F)CC1CCC(c2ccccc2)CC1 ZINC000573526876 1128655463 /nfs/dbraw/zinc/65/54/63/1128655463.db2.gz RKEXOLTVNGMZTQ-UHFFFAOYSA-N 1 2 297.389 3.520 20 0 CHADLO OCC[N@@H+](CC(F)F)CC1CCC(c2ccccc2)CC1 ZINC000573526876 1128655465 /nfs/dbraw/zinc/65/54/65/1128655465.db2.gz RKEXOLTVNGMZTQ-UHFFFAOYSA-N 1 2 297.389 3.520 20 0 CHADLO CCC/C(C)=C/C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000920219600 1128673027 /nfs/dbraw/zinc/67/30/27/1128673027.db2.gz UCIIMBMZOSLMEQ-ACCUITESSA-N 1 2 269.348 3.557 20 0 CHADLO Cc1ccc(CSCc2nc(C3CCC3)no2)c(C)[nH+]1 ZINC000601053382 1128693249 /nfs/dbraw/zinc/69/32/49/1128693249.db2.gz DLZLUIMUYMFEIR-UHFFFAOYSA-N 1 2 289.404 3.782 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCC[C@@H]2/C=C\c2ccccc2)on1 ZINC000578334334 1128714915 /nfs/dbraw/zinc/71/49/15/1128714915.db2.gz JMJISPRBRVVXJL-ZBTGYSDGSA-N 1 2 296.370 3.555 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCC[C@@H]2/C=C\c2ccccc2)on1 ZINC000578334334 1128714917 /nfs/dbraw/zinc/71/49/17/1128714917.db2.gz JMJISPRBRVVXJL-ZBTGYSDGSA-N 1 2 296.370 3.555 20 0 CHADLO CCN(C(=O)c1ccn2c(C)c[nH+]c2c1)[C@H]1CCCC[C@@H]1C ZINC000654699755 1117745167 /nfs/dbraw/zinc/74/51/67/1117745167.db2.gz OTLVTWPKPRDWBG-BBRMVZONSA-N 1 2 299.418 3.684 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2cnn(C)c21 ZINC001213499502 1117749866 /nfs/dbraw/zinc/74/98/66/1117749866.db2.gz LXNNVSQXZUPYBJ-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO Cc1cc(F)c(C(=O)Nc2c(C)cc(C)[nH+]c2C)c(F)c1 ZINC000622776983 1117766876 /nfs/dbraw/zinc/76/68/76/1117766876.db2.gz YPJZJYPCWZKDQC-UHFFFAOYSA-N 1 2 290.313 3.846 20 0 CHADLO CN(C[C@@H]1CCCO[C@H]1c1ccccc1)c1cccc[nH+]1 ZINC000622815306 1117773142 /nfs/dbraw/zinc/77/31/42/1117773142.db2.gz FWXNIIFUHVJLMC-WMZOPIPTSA-N 1 2 282.387 3.686 20 0 CHADLO c1cc2cc(CNc3ccc(N4CCCC4)[nH+]c3)ccc2[nH]1 ZINC000320055291 1117786005 /nfs/dbraw/zinc/78/60/05/1117786005.db2.gz UURGMRXKCLHGAX-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+]Cc2cocn2)cc1Cl ZINC000671298880 1117814352 /nfs/dbraw/zinc/81/43/52/1117814352.db2.gz ABOHYGULWCBVFX-LLVKDONJSA-N 1 2 294.782 3.966 20 0 CHADLO Cc1ccc(NC(=O)c2cccc3[nH+]ccn32)cc1Cl ZINC000082325020 1117814365 /nfs/dbraw/zinc/81/43/65/1117814365.db2.gz FCEQRCLPYWKTCD-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO Cc1cnc(F)c(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001211495999 1117853124 /nfs/dbraw/zinc/85/31/24/1117853124.db2.gz IGXYPVXBNPDCRZ-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO COc1cc(C)ccc1C[N@@H+]1CCC[C@@H]1c1nccs1 ZINC001206769957 1117855922 /nfs/dbraw/zinc/85/59/22/1117855922.db2.gz OQKPDRDCXAUHOQ-CQSZACIVSA-N 1 2 288.416 3.797 20 0 CHADLO COc1cc(C)ccc1C[N@H+]1CCC[C@@H]1c1nccs1 ZINC001206769957 1117855929 /nfs/dbraw/zinc/85/59/29/1117855929.db2.gz OQKPDRDCXAUHOQ-CQSZACIVSA-N 1 2 288.416 3.797 20 0 CHADLO c1cc2c([nH]1)[nH+]ccc2Nc1ccc2ncsc2c1 ZINC000114720903 1117857161 /nfs/dbraw/zinc/85/71/61/1117857161.db2.gz YDSXJRICRKZXCO-UHFFFAOYSA-N 1 2 266.329 3.916 20 0 CHADLO c1cc2c([nH]1)[nH+]ccc2Nc1ccc2ncccc2c1 ZINC000114720832 1117857568 /nfs/dbraw/zinc/85/75/68/1117857568.db2.gz HIUNAHSTSCXCLL-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO Cc1[nH]ncc1C[N@@H+]1C[C@@H]2CCCC[C@@]21c1ccccc1 ZINC000091963593 1117861799 /nfs/dbraw/zinc/86/17/99/1117861799.db2.gz XMWXMQSQEMCDLG-ZWKOTPCHSA-N 1 2 281.403 3.619 20 0 CHADLO Cc1[nH]ncc1C[N@H+]1C[C@@H]2CCCC[C@@]21c1ccccc1 ZINC000091963593 1117861801 /nfs/dbraw/zinc/86/18/01/1117861801.db2.gz XMWXMQSQEMCDLG-ZWKOTPCHSA-N 1 2 281.403 3.619 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(F)c(F)c2)cs1 ZINC000111407033 1117864741 /nfs/dbraw/zinc/86/47/41/1117864741.db2.gz UDUMHNRUUOMALP-VIFPVBQESA-N 1 2 282.359 3.835 20 0 CHADLO Cc1cc(C)c(Nc2ccccc2-n2ccnc2)c[nH+]1 ZINC001213513296 1117872470 /nfs/dbraw/zinc/87/24/70/1117872470.db2.gz AETHTWKHJAOWRN-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO COC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)c(C)c1 ZINC001213513573 1117874350 /nfs/dbraw/zinc/87/43/50/1117874350.db2.gz WOJKWQJIEMZZIY-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2ccoc2)cs1 ZINC000178099527 1117885788 /nfs/dbraw/zinc/88/57/88/1117885788.db2.gz HLYLODVMIPOABM-ZJUUUORDSA-N 1 2 250.367 3.710 20 0 CHADLO CCC(F)(F)C[NH2+]C/C(C)=C\c1ccccc1COC ZINC000623679389 1117888896 /nfs/dbraw/zinc/88/88/96/1117888896.db2.gz ULQWWDVYYFYNAW-LCYFTJDESA-N 1 2 283.362 3.871 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cc2c(cccc2C)[nH]1 ZINC000623678953 1117889163 /nfs/dbraw/zinc/88/91/63/1117889163.db2.gz CKZYKROVBHKBAE-UHFFFAOYSA-N 1 2 252.308 3.611 20 0 CHADLO CC(C)SCC[N@H+](C)Cc1c(F)cccc1F ZINC000339598941 1117902608 /nfs/dbraw/zinc/90/26/08/1117902608.db2.gz URHCOKOKKGXPKG-UHFFFAOYSA-N 1 2 259.365 3.538 20 0 CHADLO CC(C)SCC[N@@H+](C)Cc1c(F)cccc1F ZINC000339598941 1117902612 /nfs/dbraw/zinc/90/26/12/1117902612.db2.gz URHCOKOKKGXPKG-UHFFFAOYSA-N 1 2 259.365 3.538 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2CC[C@@H]2c2ccccc2)cc1F ZINC000471169453 1117911120 /nfs/dbraw/zinc/91/11/20/1117911120.db2.gz OGHMISDNIDKSRO-QGZVFWFLSA-N 1 2 298.361 3.520 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2CC[C@@H]2c2ccccc2)cc1F ZINC000471169453 1117911124 /nfs/dbraw/zinc/91/11/24/1117911124.db2.gz OGHMISDNIDKSRO-QGZVFWFLSA-N 1 2 298.361 3.520 20 0 CHADLO CC1(C)CCC([NH2+]CC(F)(F)Br)CC1 ZINC000306550455 1117916562 /nfs/dbraw/zinc/91/65/62/1117916562.db2.gz HIRVCCBFDDKYEP-UHFFFAOYSA-N 1 2 270.161 3.533 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)n1 ZINC000615320390 1128744021 /nfs/dbraw/zinc/74/40/21/1128744021.db2.gz LOTGWUDEZLQFQN-NWDGAFQWSA-N 1 2 289.379 3.577 20 0 CHADLO CCCN(C)c1ccccc1NCc1c[nH+]cn1C(C)C ZINC000623798648 1117936286 /nfs/dbraw/zinc/93/62/86/1117936286.db2.gz ACISYSXERJHLAC-UHFFFAOYSA-N 1 2 286.423 3.922 20 0 CHADLO CC(C)CCOCC[N@H+](C)Cc1c(F)cccc1Cl ZINC000615339988 1128744914 /nfs/dbraw/zinc/74/49/14/1128744914.db2.gz GIYXQTLRRLAKJU-UHFFFAOYSA-N 1 2 287.806 3.974 20 0 CHADLO CC(C)CCOCC[N@@H+](C)Cc1c(F)cccc1Cl ZINC000615339988 1128744917 /nfs/dbraw/zinc/74/49/17/1128744917.db2.gz GIYXQTLRRLAKJU-UHFFFAOYSA-N 1 2 287.806 3.974 20 0 CHADLO CCCN(C)c1ccccc1NCc1cccc2[nH+]ccn21 ZINC000623799129 1117938482 /nfs/dbraw/zinc/93/84/82/1117938482.db2.gz PWPWFFQYNBFQHF-UHFFFAOYSA-N 1 2 294.402 3.793 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)c1cccnc1 ZINC000036934885 1117941043 /nfs/dbraw/zinc/94/10/43/1117941043.db2.gz LWVBECCLSWPQCA-ZDUSSCGKSA-N 1 2 255.365 3.629 20 0 CHADLO Fc1cccc(F)c1C1([NH2+]Cc2ccccn2)CCC1 ZINC000623843769 1117944114 /nfs/dbraw/zinc/94/41/14/1117944114.db2.gz WQEGIMSNIAPSNW-UHFFFAOYSA-N 1 2 274.314 3.529 20 0 CHADLO CC[N@H+](Cc1ncoc1C(C)C)Cc1ccc(OC)cc1 ZINC000092794366 1117944626 /nfs/dbraw/zinc/94/46/26/1117944626.db2.gz OZTBGJBNUONQHI-UHFFFAOYSA-N 1 2 288.391 3.829 20 0 CHADLO CC[N@@H+](Cc1ncoc1C(C)C)Cc1ccc(OC)cc1 ZINC000092794366 1117944630 /nfs/dbraw/zinc/94/46/30/1117944630.db2.gz OZTBGJBNUONQHI-UHFFFAOYSA-N 1 2 288.391 3.829 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2COc3ccc(C)cc32)n1 ZINC000623920551 1117956829 /nfs/dbraw/zinc/95/68/29/1117956829.db2.gz QBNAHBMACWPBGY-WCQYABFASA-N 1 2 274.389 3.544 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2CCC[C@@H](F)C2)ccc1F ZINC001238461402 1117960530 /nfs/dbraw/zinc/96/05/30/1117960530.db2.gz VPYUPRAMZLOXQE-CYBMUJFWSA-N 1 2 269.335 3.547 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2CCC[C@@H](F)C2)ccc1F ZINC001238461402 1117960533 /nfs/dbraw/zinc/96/05/33/1117960533.db2.gz VPYUPRAMZLOXQE-CYBMUJFWSA-N 1 2 269.335 3.547 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H]2CCOc3c(F)cccc32)n1 ZINC000623966914 1117962977 /nfs/dbraw/zinc/96/29/77/1117962977.db2.gz FZZGSVRWXGAAOT-MFKMUULPSA-N 1 2 292.379 3.765 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccc3c(n2)CCCC3)n1 ZINC000623971638 1117963798 /nfs/dbraw/zinc/96/37/98/1117963798.db2.gz AUUBOGZMEZHMNT-GFCCVEGCSA-N 1 2 287.432 3.576 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H]2CCCc3scnc32)o1 ZINC000623988454 1117963936 /nfs/dbraw/zinc/96/39/36/1117963936.db2.gz KPZQGGQZTHGWFA-LLVKDONJSA-N 1 2 291.420 3.559 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccccc1-n1cccn1 ZINC000624147812 1117988840 /nfs/dbraw/zinc/98/88/40/1117988840.db2.gz LQZWZILICMPNEJ-LBPRGKRZSA-N 1 2 279.334 3.568 20 0 CHADLO CC[C@H]([NH2+]CC(F)(F)CC)c1ccc(OC)cc1 ZINC000624147827 1117989033 /nfs/dbraw/zinc/98/90/33/1117989033.db2.gz LWZAHRQRNXMHCU-ZDUSSCGKSA-N 1 2 257.324 3.781 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1cc2ccncc2s1 ZINC000624149017 1117990137 /nfs/dbraw/zinc/99/01/37/1117990137.db2.gz URGGOJDZLDGQPZ-SECBINFHSA-N 1 2 270.348 3.992 20 0 CHADLO CC1(C)CCN(c2[nH+]ccc3ccccc32)CCS1 ZINC000624167929 1117992673 /nfs/dbraw/zinc/99/26/73/1117992673.db2.gz RSIIWSGSXBLONL-UHFFFAOYSA-N 1 2 272.417 3.957 20 0 CHADLO Cc1nc(C[NH2+][C@]2(c3ccccc3)CC2(C)C)c(C)o1 ZINC000662341273 1117998348 /nfs/dbraw/zinc/99/83/48/1117998348.db2.gz RWUKXZGNVBMEGQ-KRWDZBQOSA-N 1 2 270.376 3.706 20 0 CHADLO Cc1cccc(C)c1CNc1ccc([NH+](C)C)cc1 ZINC000083399777 1118000578 /nfs/dbraw/zinc/00/05/78/1118000578.db2.gz CBFDDKLYIKPADH-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2ncc(C)o2)cc1 ZINC000182125874 1118013780 /nfs/dbraw/zinc/01/37/80/1118013780.db2.gz VJJPFPPDCRXGFK-LBPRGKRZSA-N 1 2 276.405 3.946 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2noc(-c3ccccc3)n2)cc1 ZINC000182342799 1118020638 /nfs/dbraw/zinc/02/06/38/1118020638.db2.gz MGYJLYPYVWPGKC-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO CCC(CC)N(CC)c1cc(CSC)cc[nH+]1 ZINC001167107174 1118022712 /nfs/dbraw/zinc/02/27/12/1118022712.db2.gz MQPRMAOUSHEOOG-UHFFFAOYSA-N 1 2 252.427 3.960 20 0 CHADLO COc1ccccc1[C@H](C)N(C)c1[nH+]ccc(F)c1C ZINC001167108843 1118024092 /nfs/dbraw/zinc/02/40/92/1118024092.db2.gz IYOVQTRMFONRSV-LBPRGKRZSA-N 1 2 274.339 3.735 20 0 CHADLO Cc1cc(N2Cc3ccccc3C(C)(C)C2)nc(C2CC2)[nH+]1 ZINC000340076820 1118043295 /nfs/dbraw/zinc/04/32/95/1118043295.db2.gz ARGOALKTORZDFL-UHFFFAOYSA-N 1 2 293.414 3.960 20 0 CHADLO CCC[C@H]1CCCCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000183896668 1118050650 /nfs/dbraw/zinc/05/06/50/1118050650.db2.gz HKLZOPXLNLYSGZ-INIZCTEOSA-N 1 2 297.402 3.667 20 0 CHADLO C[C@@H]([NH2+]Cc1cncc(Cl)c1)c1c(F)cccc1F ZINC000705808220 1118072764 /nfs/dbraw/zinc/07/27/64/1118072764.db2.gz MSVMIFZDBGDHEP-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO Fc1cccc(C2([NH2+]C/C(Cl)=C/Cl)CC2)c1 ZINC000179682648 1128754153 /nfs/dbraw/zinc/75/41/53/1128754153.db2.gz VMWDFWQNJKNXAY-YFHOEESVSA-N 1 2 260.139 3.723 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C)c3cc(C)ccc3C)ccn12 ZINC001125332858 1118101497 /nfs/dbraw/zinc/10/14/97/1118101497.db2.gz YLCAMIUXBDNZOU-UHFFFAOYSA-N 1 2 293.370 3.536 20 0 CHADLO CCc1ccc([C@H](CC)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398229941 1118102167 /nfs/dbraw/zinc/10/21/67/1118102167.db2.gz HUJDUWFUOVZPAG-FZMZJTMJSA-N 1 2 275.421 3.902 20 0 CHADLO Cc1cc(C)c([C@@H](C)[NH2+][C@@H](C)c2csnn2)cc1C ZINC000398234162 1118104612 /nfs/dbraw/zinc/10/46/12/1118104612.db2.gz KLMYVHHZHLVSHX-OLZOCXBDSA-N 1 2 275.421 3.875 20 0 CHADLO Cc1ccc(Cl)c(NC(=O)CCCn2cc[nH+]c2)c1C ZINC000683400275 1118107597 /nfs/dbraw/zinc/10/75/97/1118107597.db2.gz CSBQEMCNIJGKRB-UHFFFAOYSA-N 1 2 291.782 3.572 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc([C@H](C)OC)c1 ZINC001209947952 1118116137 /nfs/dbraw/zinc/11/61/37/1118116137.db2.gz UVAZJZDYSBVESJ-LBPRGKRZSA-N 1 2 272.348 3.931 20 0 CHADLO COc1cccc(-c2cc(C)cn3cc[nH+]c23)c1C ZINC001238982957 1118128837 /nfs/dbraw/zinc/12/88/37/1118128837.db2.gz JSEQUGLBMPVEIP-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CN(C)c1ccccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001209990442 1118129138 /nfs/dbraw/zinc/12/91/38/1118129138.db2.gz FTNGWFSRZFWMHL-UHFFFAOYSA-N 1 2 292.386 3.741 20 0 CHADLO CC(C)n1ccn(C[NH+]2CCC(C(F)F)CC2)c1=S ZINC000765145447 1118137168 /nfs/dbraw/zinc/13/71/68/1118137168.db2.gz DMCAIADIIWCJIM-UHFFFAOYSA-N 1 2 289.395 3.535 20 0 CHADLO CC(C)n1ccc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001239067703 1118137349 /nfs/dbraw/zinc/13/73/49/1118137349.db2.gz FUTBNXREFHORMO-UHFFFAOYSA-N 1 2 251.333 3.922 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCc3c2cc(F)cc3F)cs1 ZINC000121322562 1118148808 /nfs/dbraw/zinc/14/88/08/1118148808.db2.gz IAWBIRLEVCEUKR-AWEZNQCLSA-N 1 2 294.370 3.761 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCc3c2nccc3Cl)cc1 ZINC000926027803 1118154417 /nfs/dbraw/zinc/15/44/17/1118154417.db2.gz QIBQDEKMAFKHFF-OAHLLOKOSA-N 1 2 287.794 3.900 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ccc(F)cc2F)C2CC2)[nH]c1C ZINC001168543010 1118167781 /nfs/dbraw/zinc/16/77/81/1118167781.db2.gz RVJJKYBKNSAWNO-INIZCTEOSA-N 1 2 291.345 3.546 20 0 CHADLO Cc1[nH]c(CN[C@H](c2ccc(F)cc2F)C2CC2)[nH+]c1C ZINC001168543010 1118167785 /nfs/dbraw/zinc/16/77/85/1118167785.db2.gz RVJJKYBKNSAWNO-INIZCTEOSA-N 1 2 291.345 3.546 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(-c3ccsc3)cc2)n1 ZINC000683521645 1118168868 /nfs/dbraw/zinc/16/88/68/1118168868.db2.gz KBMGEWPVTHNMFY-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO CCN(CC)c1ccc(NCc2cc3cnccc3o2)c[nH+]1 ZINC000650236739 1118171121 /nfs/dbraw/zinc/17/11/21/1118171121.db2.gz GERKHHQONSVTHA-UHFFFAOYSA-N 1 2 296.374 3.681 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(C(C)C)cc2)c1 ZINC000650244549 1118192332 /nfs/dbraw/zinc/19/23/32/1118192332.db2.gz DHVWQKVPINQKJM-UHFFFAOYSA-N 1 2 270.376 3.964 20 0 CHADLO COCc1cc[nH+]c(NCc2ncc(C(C)(C)C)s2)c1 ZINC000650243873 1118193387 /nfs/dbraw/zinc/19/33/87/1118193387.db2.gz SIXNATPYSZFJLT-UHFFFAOYSA-N 1 2 291.420 3.594 20 0 CHADLO Clc1nc(C[N@@H+]2CCC[C@@H](C3CCC3)C2)cs1 ZINC000693095871 1118196291 /nfs/dbraw/zinc/19/62/91/1118196291.db2.gz DFBQRNWUOUNDOO-LLVKDONJSA-N 1 2 270.829 3.809 20 0 CHADLO Clc1nc(C[N@H+]2CCC[C@@H](C3CCC3)C2)cs1 ZINC000693095871 1118196295 /nfs/dbraw/zinc/19/62/95/1118196295.db2.gz DFBQRNWUOUNDOO-LLVKDONJSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@H]([NH2+]Cc1ccc2[nH]ccc2c1)c1nc(C(C)(C)C)no1 ZINC000655742846 1118199905 /nfs/dbraw/zinc/19/99/05/1118199905.db2.gz MWCHFYHQRKIKHJ-NSHDSACASA-N 1 2 298.390 3.699 20 0 CHADLO C[C@H](CF)[NH2+]Cc1oc2ccccc2c1Br ZINC000655773636 1118207508 /nfs/dbraw/zinc/20/75/08/1118207508.db2.gz AYCXBTPRGKKBRM-MRVPVSSYSA-N 1 2 286.144 3.643 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCc3cc(C)cc(C)c32)c1 ZINC000655781149 1118211397 /nfs/dbraw/zinc/21/13/97/1118211397.db2.gz WDTHPXABTOZOHL-INIZCTEOSA-N 1 2 282.387 3.944 20 0 CHADLO O=C(NCCCC1CCCC1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000031146750 1118220531 /nfs/dbraw/zinc/22/05/31/1118220531.db2.gz ZRUJDELCIUAUCM-UHFFFAOYSA-N 1 2 297.402 3.573 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1OCCC(C)C ZINC000655820487 1118224313 /nfs/dbraw/zinc/22/43/13/1118224313.db2.gz IEWZCNUCPAJTFN-UHFFFAOYSA-N 1 2 287.407 3.940 20 0 CHADLO CNc1ccc(Nc2ccccc2-c2cnco2)c[nH+]1 ZINC001203458481 1118224398 /nfs/dbraw/zinc/22/43/98/1118224398.db2.gz MSYNAMVPLWWDES-UHFFFAOYSA-N 1 2 266.304 3.522 20 0 CHADLO COc1c(C)cccc1C[NH2+]Cc1c(F)cc(C)cc1F ZINC000655833052 1118227267 /nfs/dbraw/zinc/22/72/67/1118227267.db2.gz IEHLHWFKEJHGDH-UHFFFAOYSA-N 1 2 291.341 3.880 20 0 CHADLO CNc1ccc(Nc2cc3nsnc3cc2Cl)c[nH+]1 ZINC001203458984 1118231519 /nfs/dbraw/zinc/23/15/19/1118231519.db2.gz BXRKLSIXHFQYLT-UHFFFAOYSA-N 1 2 291.767 3.525 20 0 CHADLO Cc1ccc(NC(=O)C[C@H](C)CC(C)(C)C)c(N(C)C)[nH+]1 ZINC001266516067 1118232278 /nfs/dbraw/zinc/23/22/78/1118232278.db2.gz PSZLXHPTCBYTBY-LBPRGKRZSA-N 1 2 291.439 3.857 20 0 CHADLO Cc1[nH+]cc(Nc2c(F)cccc2C(F)(F)F)cc1N ZINC001210288344 1118233884 /nfs/dbraw/zinc/23/38/84/1118233884.db2.gz NGKANMALACBHBH-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1cc([NH2+][C@@H](C2CC2)[C@@H]2CCCOC2)ccc1N(C)C ZINC000926053083 1118241328 /nfs/dbraw/zinc/24/13/28/1118241328.db2.gz VKTRQUZRLODYMD-QAPCUYQASA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@@H](C2CC2)[C@@H]2CCCOC2)ccc1[NH+](C)C ZINC000926053083 1118241330 /nfs/dbraw/zinc/24/13/30/1118241330.db2.gz VKTRQUZRLODYMD-QAPCUYQASA-N 1 2 288.435 3.678 20 0 CHADLO C=Cc1ccc(CNc2nc(C)[nH+]c3c2CCCC3)cc1 ZINC001168575523 1118244163 /nfs/dbraw/zinc/24/41/63/1118244163.db2.gz XEWWZHSZQLQVRX-UHFFFAOYSA-N 1 2 279.387 3.919 20 0 CHADLO CCCc1ccccc1Nc1ccc[nH+]c1N1CCOCC1 ZINC001212362231 1118266265 /nfs/dbraw/zinc/26/62/65/1118266265.db2.gz HAQUWTIRIRZBOC-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO O=C(NCCC1CCCCC1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000047511587 1118269500 /nfs/dbraw/zinc/26/95/00/1118269500.db2.gz YXYNCUAKWJHULQ-UHFFFAOYSA-N 1 2 297.402 3.573 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000080266739 1118279001 /nfs/dbraw/zinc/27/90/01/1118279001.db2.gz IQDWPQRUBPVVNX-NEPJUHHUSA-N 1 2 276.405 3.872 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1nc(-c2ccsc2)no1 ZINC000061760264 1118308585 /nfs/dbraw/zinc/30/85/85/1118308585.db2.gz NDUMUJVUZARYMO-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1nc(-c2ccsc2)no1 ZINC000061760264 1118308589 /nfs/dbraw/zinc/30/85/89/1118308589.db2.gz NDUMUJVUZARYMO-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2ccncc2F)c[nH+]1 ZINC000340513977 1118313450 /nfs/dbraw/zinc/31/34/50/1118313450.db2.gz DWHUFVAPUZBELV-GFCCVEGCSA-N 1 2 288.370 3.635 20 0 CHADLO CCc1nc(C[N@H+](C)CCO[C@@H]2CCCC[C@@H]2C)cs1 ZINC000063604363 1118318607 /nfs/dbraw/zinc/31/86/07/1118318607.db2.gz JVQGROYIWRSCAD-DZGCQCFKSA-N 1 2 296.480 3.733 20 0 CHADLO CCc1nc(C[N@@H+](C)CCO[C@@H]2CCCC[C@@H]2C)cs1 ZINC000063604363 1118318611 /nfs/dbraw/zinc/31/86/11/1118318611.db2.gz JVQGROYIWRSCAD-DZGCQCFKSA-N 1 2 296.480 3.733 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@H+](CC(F)F)C2)cc1 ZINC000671732821 1118321548 /nfs/dbraw/zinc/32/15/48/1118321548.db2.gz MRJNYCQAHCWAQV-CQSZACIVSA-N 1 2 253.336 3.694 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@@H+](CC(F)F)C2)cc1 ZINC000671732821 1118321554 /nfs/dbraw/zinc/32/15/54/1118321554.db2.gz MRJNYCQAHCWAQV-CQSZACIVSA-N 1 2 253.336 3.694 20 0 CHADLO CSc1ccc(F)cc1C[NH2+][C@H](C)c1cc(C)on1 ZINC000340539242 1118335608 /nfs/dbraw/zinc/33/56/08/1118335608.db2.gz ZPKBBTWOEAUEMS-SNVBAGLBSA-N 1 2 280.368 3.695 20 0 CHADLO CC[N@H+](Cc1nc(C)no1)[C@@H](C)c1cc2ccccc2o1 ZINC000066434194 1118345065 /nfs/dbraw/zinc/34/50/65/1118345065.db2.gz UZIBHEBMALSNRO-NSHDSACASA-N 1 2 285.347 3.707 20 0 CHADLO CC[N@@H+](Cc1nc(C)no1)[C@@H](C)c1cc2ccccc2o1 ZINC000066434194 1118345070 /nfs/dbraw/zinc/34/50/70/1118345070.db2.gz UZIBHEBMALSNRO-NSHDSACASA-N 1 2 285.347 3.707 20 0 CHADLO Cc1cc(N[C@H]2CSC[C@H]2C)[nH+]c2ccccc12 ZINC000397785780 1118348010 /nfs/dbraw/zinc/34/80/10/1118348010.db2.gz FVMQQIGPHTUUKA-RISCZKNCSA-N 1 2 258.390 3.707 20 0 CHADLO FC(F)(F)COc1cc(NCc2ccsc2)cc[nH+]1 ZINC000340560026 1118349869 /nfs/dbraw/zinc/34/98/69/1118349869.db2.gz WWSWTTZQCVQIEW-UHFFFAOYSA-N 1 2 288.294 3.696 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nnc(C(C)C)[nH]2)c(Cl)c1 ZINC000565484728 1118354325 /nfs/dbraw/zinc/35/43/25/1118354325.db2.gz APNZLPPSCYEBFY-NSHDSACASA-N 1 2 292.814 3.741 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2cc(F)ccc2F)c1 ZINC000083512469 1118355229 /nfs/dbraw/zinc/35/52/29/1118355229.db2.gz SJNORWSNBFHQQF-UHFFFAOYSA-N 1 2 269.241 3.533 20 0 CHADLO C[C@@H](O)c1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1 ZINC001210644945 1118358365 /nfs/dbraw/zinc/35/83/65/1118358365.db2.gz PLEZHZOSRCALCH-CQSZACIVSA-N 1 2 297.402 3.869 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc([C@@H](C)O)cc2)cc1 ZINC001210650099 1118359934 /nfs/dbraw/zinc/35/99/34/1118359934.db2.gz CJCYRTOLFXKAMJ-CYBMUJFWSA-N 1 2 270.376 3.940 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc([C@@H](C)O)cc2)cc1 ZINC001210650099 1118359940 /nfs/dbraw/zinc/35/99/40/1118359940.db2.gz CJCYRTOLFXKAMJ-CYBMUJFWSA-N 1 2 270.376 3.940 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(C(C)(C)C)cc2)n1 ZINC000069096223 1118367825 /nfs/dbraw/zinc/36/78/25/1118367825.db2.gz HGDJDGUCBKFFHG-LLVKDONJSA-N 1 2 273.380 3.526 20 0 CHADLO CN(CCc1ccccc1Cl)Cc1[nH+]cc2ccccn21 ZINC001207053196 1118371933 /nfs/dbraw/zinc/37/19/33/1118371933.db2.gz MRPGJGRUXUMPIT-UHFFFAOYSA-N 1 2 299.805 3.662 20 0 CHADLO CC(C)(C)C[N@H+](CCC#N)Cc1cccc(Cl)c1O ZINC000180059799 1128773897 /nfs/dbraw/zinc/77/38/97/1128773897.db2.gz XNMBGGDKYOJAHO-UHFFFAOYSA-N 1 2 280.799 3.807 20 0 CHADLO CC(C)(C)C[N@@H+](CCC#N)Cc1cccc(Cl)c1O ZINC000180059799 1128773901 /nfs/dbraw/zinc/77/39/01/1128773901.db2.gz XNMBGGDKYOJAHO-UHFFFAOYSA-N 1 2 280.799 3.807 20 0 CHADLO CC1(C[N@@H+]2Cc3cccc(Br)c3C2)CC1 ZINC000683838668 1118403416 /nfs/dbraw/zinc/40/34/16/1118403416.db2.gz QYXISYGUVQLCPB-UHFFFAOYSA-N 1 2 266.182 3.565 20 0 CHADLO CC1(C[N@H+]2Cc3cccc(Br)c3C2)CC1 ZINC000683838668 1118403417 /nfs/dbraw/zinc/40/34/17/1118403417.db2.gz QYXISYGUVQLCPB-UHFFFAOYSA-N 1 2 266.182 3.565 20 0 CHADLO C=C(Cl)C[NH+]1CCC(C(=O)c2ccc(Cl)cc2)CC1 ZINC000050071732 1118408114 /nfs/dbraw/zinc/40/81/14/1118408114.db2.gz XRROHNICMIWOAC-UHFFFAOYSA-N 1 2 298.213 3.987 20 0 CHADLO c1c2ccccc2[nH]c1CNc1ccc(N2CCCC2)[nH+]c1 ZINC000683922810 1118442859 /nfs/dbraw/zinc/44/28/59/1118442859.db2.gz MDZGWMXWRQHVBI-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO CCOc1ccc(F)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001211053148 1118448173 /nfs/dbraw/zinc/44/81/73/1118448173.db2.gz NJMRSIQSJYOEIY-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C(C)(C)C)s2)C2(CCC2)CO1 ZINC000651975615 1118495963 /nfs/dbraw/zinc/49/59/63/1118495963.db2.gz HZEVWHQTGOROIC-GFCCVEGCSA-N 1 2 294.464 3.584 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C(C)(C)C)s2)C2(CCC2)CO1 ZINC000651975615 1118495964 /nfs/dbraw/zinc/49/59/64/1118495964.db2.gz HZEVWHQTGOROIC-GFCCVEGCSA-N 1 2 294.464 3.584 20 0 CHADLO COc1ccc2[nH+]c(NCCCCC#N)c(C)cc2c1 ZINC000091619001 1118507978 /nfs/dbraw/zinc/50/79/78/1118507978.db2.gz SSJRAUYKRBLWDU-UHFFFAOYSA-N 1 2 269.348 3.658 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(=O)cc(C)oc3c2)c[nH+]1 ZINC001213518368 1118515583 /nfs/dbraw/zinc/51/55/83/1118515583.db2.gz KIAYURBUAUZVOG-UHFFFAOYSA-N 1 2 280.327 3.857 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1cc(C)c(F)c(C)c1 ZINC001143381484 1118516394 /nfs/dbraw/zinc/51/63/94/1118516394.db2.gz CTMQYLAMTDLELT-UHFFFAOYSA-N 1 2 295.398 3.606 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1cc(C)c(F)c(C)c1 ZINC001143381484 1118516398 /nfs/dbraw/zinc/51/63/98/1118516398.db2.gz CTMQYLAMTDLELT-UHFFFAOYSA-N 1 2 295.398 3.606 20 0 CHADLO Cc1c[nH+]cc(Nc2ncc(Cl)cc2F)c1C ZINC001213523067 1118525593 /nfs/dbraw/zinc/52/55/93/1118525593.db2.gz KYECPJDRNODFFU-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(Br)c(C)c2)c1C ZINC001213525071 1118528102 /nfs/dbraw/zinc/52/81/02/1118528102.db2.gz MTBIJGKKWSIGAX-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc2nc(C)sc2c1 ZINC000090204223 1118531238 /nfs/dbraw/zinc/53/12/38/1118531238.db2.gz XBKHHNYMQDPXSS-UHFFFAOYSA-N 1 2 286.404 3.823 20 0 CHADLO COc1ccc(C[N@H+](C)[C@@H](C)c2ccccn2)c(Cl)c1 ZINC000127974506 1118536351 /nfs/dbraw/zinc/53/63/51/1118536351.db2.gz DVAQJDAAXDCHIU-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@@H](C)c2ccccn2)c(Cl)c1 ZINC000127974506 1118536354 /nfs/dbraw/zinc/53/63/54/1118536354.db2.gz DVAQJDAAXDCHIU-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000048275077 1118544377 /nfs/dbraw/zinc/54/43/77/1118544377.db2.gz SQQQTDDIUXDGPC-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000048275077 1118544381 /nfs/dbraw/zinc/54/43/81/1118544381.db2.gz SQQQTDDIUXDGPC-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO COCCOc1ccc(F)cc1Nc1c[nH+]cc(C)c1C ZINC001213530806 1118545597 /nfs/dbraw/zinc/54/55/97/1118545597.db2.gz MEGZVDSFLUJELX-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+](Cc2ccc(Cl)cc2Cl)C1 ZINC000111689984 1118580735 /nfs/dbraw/zinc/58/07/35/1118580735.db2.gz LFHQXQGIGOEMBQ-LLVKDONJSA-N 1 2 286.202 3.794 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+](Cc2ccc(Cl)cc2Cl)C1 ZINC000111689984 1118580739 /nfs/dbraw/zinc/58/07/39/1118580739.db2.gz LFHQXQGIGOEMBQ-LLVKDONJSA-N 1 2 286.202 3.794 20 0 CHADLO C[C@H]1C[N@H+](C/C(Cl)=C/Cl)Cc2ccccc21 ZINC000763476439 1128787142 /nfs/dbraw/zinc/78/71/42/1128787142.db2.gz MXNLCSNNRBBAMF-OGGBREFQSA-N 1 2 256.176 3.925 20 0 CHADLO C[C@H]1C[N@@H+](C/C(Cl)=C/Cl)Cc2ccccc21 ZINC000763476439 1128787143 /nfs/dbraw/zinc/78/71/43/1128787143.db2.gz MXNLCSNNRBBAMF-OGGBREFQSA-N 1 2 256.176 3.925 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2c3ccccc3[nH]c21)C(F)F ZINC000389954982 1118590486 /nfs/dbraw/zinc/59/04/86/1118590486.db2.gz WNHINOWWUFIPCM-NOZJJQNGSA-N 1 2 264.319 3.789 20 0 CHADLO COC[C@H](Nc1cccc[nH+]1)c1cccc(Cl)c1 ZINC000341109649 1118603024 /nfs/dbraw/zinc/60/30/24/1118603024.db2.gz BVYZXFSXJSEQML-ZDUSSCGKSA-N 1 2 262.740 3.535 20 0 CHADLO Cc1c[nH+]c(CNc2ccc3oc(C(C)(C)C)nc3c2)n1C ZINC000341147989 1118606384 /nfs/dbraw/zinc/60/63/84/1118606384.db2.gz PHDKQBNYQMWZPD-UHFFFAOYSA-N 1 2 298.390 3.779 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC=C(c3ccco3)C2)cc1F ZINC000348669479 1118609005 /nfs/dbraw/zinc/60/90/05/1118609005.db2.gz GDOYHLKCJXCPEY-UHFFFAOYSA-N 1 2 293.288 3.986 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC=C(c3ccco3)C2)cc1F ZINC000348669479 1118609007 /nfs/dbraw/zinc/60/90/07/1118609007.db2.gz GDOYHLKCJXCPEY-UHFFFAOYSA-N 1 2 293.288 3.986 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(C(F)(F)F)c2C)n1C ZINC000341258585 1118643358 /nfs/dbraw/zinc/64/33/58/1118643358.db2.gz LVOJSDINUOGOSP-UHFFFAOYSA-N 1 2 283.297 3.668 20 0 CHADLO CC[C@H]([NH2+]Cc1nccc(C)n1)c1cccc(Cl)c1 ZINC000341288166 1118657397 /nfs/dbraw/zinc/65/73/97/1118657397.db2.gz MEJRVPQPXGPPAZ-AWEZNQCLSA-N 1 2 275.783 3.679 20 0 CHADLO CCc1nc(N2CCc3sccc3[C@H]2C)cc(C)[nH+]1 ZINC000341366880 1118676918 /nfs/dbraw/zinc/67/69/18/1118676918.db2.gz JKKMPPMJCLVSKB-LLVKDONJSA-N 1 2 273.405 3.533 20 0 CHADLO Clc1cccc2c(NCCc3cccnc3)cc[nH+]c12 ZINC000341373194 1118679073 /nfs/dbraw/zinc/67/90/73/1118679073.db2.gz XNSBNKNMPJJMGA-UHFFFAOYSA-N 1 2 283.762 3.938 20 0 CHADLO Fc1ccc(COc2cccc(-n3cc[nH+]c3)c2)c(F)c1 ZINC000341377084 1118680768 /nfs/dbraw/zinc/68/07/68/1118680768.db2.gz RITNOSFFBNBQKP-UHFFFAOYSA-N 1 2 286.281 3.730 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3sccc3[C@H]2C)cnc1F ZINC000891524328 1118702579 /nfs/dbraw/zinc/70/25/79/1118702579.db2.gz LOMBSTLQUNXZPV-LLVKDONJSA-N 1 2 276.380 3.710 20 0 CHADLO Cc1cc(C[N@H+]2CCc3sccc3[C@H]2C)cnc1F ZINC000891524328 1118702582 /nfs/dbraw/zinc/70/25/82/1118702582.db2.gz LOMBSTLQUNXZPV-LLVKDONJSA-N 1 2 276.380 3.710 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(Cl)n1)c1ccc2c(c1)CCO2 ZINC000933489698 1118705690 /nfs/dbraw/zinc/70/56/90/1118705690.db2.gz XYWAUKJKLIUZJM-SECBINFHSA-N 1 2 294.807 3.582 20 0 CHADLO CC(C)c1ccc(C(C)(C)NC(=O)CCc2c[nH]c[nH+]2)cc1 ZINC000684433460 1118709821 /nfs/dbraw/zinc/70/98/21/1118709821.db2.gz UPYQGIYPPSCNIY-UHFFFAOYSA-N 1 2 299.418 3.517 20 0 CHADLO CC(C)c1ccc(C(C)(C)NC(=O)CCc2c[nH+]c[nH]2)cc1 ZINC000684433460 1118709824 /nfs/dbraw/zinc/70/98/24/1118709824.db2.gz UPYQGIYPPSCNIY-UHFFFAOYSA-N 1 2 299.418 3.517 20 0 CHADLO COc1cccc(C=C2CCN(c3cccc[nH+]3)CC2)c1 ZINC000471743589 1118711739 /nfs/dbraw/zinc/71/17/39/1118711739.db2.gz HAGSAJAQZRCWKK-UHFFFAOYSA-N 1 2 280.371 3.774 20 0 CHADLO Cc1cc(N(Cc2ccccc2F)C2CC2)nc(C2CC2)[nH+]1 ZINC000341469141 1118715841 /nfs/dbraw/zinc/71/58/41/1118715841.db2.gz BEUYPANMVYDISS-UHFFFAOYSA-N 1 2 297.377 3.971 20 0 CHADLO CCN(CCc1ccccc1)c1cc(C)[nH+]c(C2CC2)n1 ZINC000341515700 1118717393 /nfs/dbraw/zinc/71/73/93/1118717393.db2.gz YAXGECQCZFIJCR-UHFFFAOYSA-N 1 2 281.403 3.731 20 0 CHADLO Cc1cc(N(C)[C@H]2CCCC[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000341510792 1118718092 /nfs/dbraw/zinc/71/80/92/1118718092.db2.gz YTVYSNYEKDMQJB-FZMZJTMJSA-N 1 2 259.397 3.677 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2nccn2C2CC2)c1 ZINC000891618959 1118722576 /nfs/dbraw/zinc/72/25/76/1118722576.db2.gz WRAPXNPAYRXVCN-INIZCTEOSA-N 1 2 285.366 3.694 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2nccn2C2CC2)c1 ZINC000891618959 1118722578 /nfs/dbraw/zinc/72/25/78/1118722578.db2.gz WRAPXNPAYRXVCN-INIZCTEOSA-N 1 2 285.366 3.694 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2cnc(C)s2)cs1 ZINC000090409279 1118728716 /nfs/dbraw/zinc/72/87/16/1118728716.db2.gz KPUIGMRHAMSVCQ-DTWKUNHWSA-N 1 2 281.450 3.882 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ccc(F)c(F)c2)C[C@@H](C)O1 ZINC000247419257 1118738860 /nfs/dbraw/zinc/73/88/60/1118738860.db2.gz DMBCVKQQVIJFPC-NTZNESFSSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ccc(F)c(F)c2)C[C@@H](C)O1 ZINC000247419257 1118738862 /nfs/dbraw/zinc/73/88/62/1118738862.db2.gz DMBCVKQQVIJFPC-NTZNESFSSA-N 1 2 269.335 3.525 20 0 CHADLO Cc1cn2cc(NC(=O)[C@@H](C)c3ccccc3C)ccc2[nH+]1 ZINC001125545710 1118751487 /nfs/dbraw/zinc/75/14/87/1118751487.db2.gz LAQCKUYUCIBCQG-AWEZNQCLSA-N 1 2 293.370 3.693 20 0 CHADLO CC[C@@H]1CCC[N@@H+]1Cc1csc(C(F)(F)F)n1 ZINC000891778772 1118768152 /nfs/dbraw/zinc/76/81/52/1118768152.db2.gz BXKMZWKJGWJNQO-SECBINFHSA-N 1 2 264.316 3.536 20 0 CHADLO CC[C@@H]1CCC[N@H+]1Cc1csc(C(F)(F)F)n1 ZINC000891778772 1118768155 /nfs/dbraw/zinc/76/81/55/1118768155.db2.gz BXKMZWKJGWJNQO-SECBINFHSA-N 1 2 264.316 3.536 20 0 CHADLO CCC[C@@H]1[C@H](C)CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000933697172 1118774074 /nfs/dbraw/zinc/77/40/74/1118774074.db2.gz OKDVLAOITCLRPI-MLGOLLRUSA-N 1 2 285.391 3.604 20 0 CHADLO C[C@@H](F)CC[N@@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000510618037 1118776335 /nfs/dbraw/zinc/77/63/35/1118776335.db2.gz JVPXVXUJACXBBE-QMTHXVAHSA-N 1 2 275.289 3.583 20 0 CHADLO C[C@@H](F)CC[N@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000510618037 1118776338 /nfs/dbraw/zinc/77/63/38/1118776338.db2.gz JVPXVXUJACXBBE-QMTHXVAHSA-N 1 2 275.289 3.583 20 0 CHADLO CC(C)(C)OC1CC[NH+](Cc2nc(C(C)(C)C)co2)CC1 ZINC000891794364 1118777122 /nfs/dbraw/zinc/77/71/22/1118777122.db2.gz ZJSNKBANTUDAIR-UHFFFAOYSA-N 1 2 294.439 3.752 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc(CC(F)(F)F)cc1 ZINC000631143119 1118782350 /nfs/dbraw/zinc/78/23/50/1118782350.db2.gz NCZKZKVTLREXFP-UHFFFAOYSA-N 1 2 267.241 3.536 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ccc(OC(F)F)c(F)c2)C1 ZINC000449352127 1118786145 /nfs/dbraw/zinc/78/61/45/1118786145.db2.gz SNQYWWMJSKZARH-AWEZNQCLSA-N 1 2 291.288 3.751 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ccc(OC(F)F)c(F)c2)C1 ZINC000449352127 1118786147 /nfs/dbraw/zinc/78/61/47/1118786147.db2.gz SNQYWWMJSKZARH-AWEZNQCLSA-N 1 2 291.288 3.751 20 0 CHADLO CC[C@@H]([NH2+]C/C=C/c1ccc(F)c(F)c1)C(F)F ZINC000449360051 1118794533 /nfs/dbraw/zinc/79/45/33/1118794533.db2.gz IRCVOSDFWMHGBM-AAOUONPWSA-N 1 2 261.262 3.611 20 0 CHADLO C[C@@H]1CC[N@H+](C[C@@H]2C[C@@H]2c2ccccc2)CC1(F)F ZINC000426369027 1118808323 /nfs/dbraw/zinc/80/83/23/1118808323.db2.gz CNNGORXVHYXRLF-VHDGCEQUSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]1CC[N@@H+](C[C@@H]2C[C@@H]2c2ccccc2)CC1(F)F ZINC000426369027 1118808329 /nfs/dbraw/zinc/80/83/29/1118808329.db2.gz CNNGORXVHYXRLF-VHDGCEQUSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@H](Cc1ccsc1)[N@H+](C)C/C(Cl)=C/Cl ZINC000129425181 1118818743 /nfs/dbraw/zinc/81/87/43/1118818743.db2.gz YHOUHFAPSBXKEV-NJQKBMNKSA-N 1 2 264.221 3.930 20 0 CHADLO C[C@H](Cc1ccsc1)[N@@H+](C)C/C(Cl)=C/Cl ZINC000129425181 1118818748 /nfs/dbraw/zinc/81/87/48/1118818748.db2.gz YHOUHFAPSBXKEV-NJQKBMNKSA-N 1 2 264.221 3.930 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+]Cc1csc3ccccc13)CCC2 ZINC000353392899 1128804197 /nfs/dbraw/zinc/80/41/97/1128804197.db2.gz HMFIAISLAQECBJ-CQSZACIVSA-N 1 2 283.400 3.792 20 0 CHADLO CC(C)n1nccc1CNc1[nH+]c2ccccc2n1C1CC1 ZINC000684563411 1118843614 /nfs/dbraw/zinc/84/36/14/1118843614.db2.gz MKUJUGGCSLOAMM-UHFFFAOYSA-N 1 2 295.390 3.761 20 0 CHADLO O=C1SCCN1C[N@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000077446918 1128810849 /nfs/dbraw/zinc/81/08/49/1128810849.db2.gz RHIPNZNPJQQQLQ-CYBMUJFWSA-N 1 2 296.823 3.603 20 0 CHADLO O=C1SCCN1C[N@@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000077446918 1128810854 /nfs/dbraw/zinc/81/08/54/1128810854.db2.gz RHIPNZNPJQQQLQ-CYBMUJFWSA-N 1 2 296.823 3.603 20 0 CHADLO CC1(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CCCCC1 ZINC000131816936 1118891786 /nfs/dbraw/zinc/89/17/86/1118891786.db2.gz BUZJNOQSAXWXFL-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO CC[N@@H+]1CCN(CC[C@H](C)CCC=C(C)C)C[C@H]1C ZINC000684613313 1118903617 /nfs/dbraw/zinc/90/36/17/1118903617.db2.gz OCWLEZVFZWZLOY-IAGOWNOFSA-N 1 2 266.473 3.785 20 0 CHADLO CC[N@H+]1CCN(CC[C@H](C)CCC=C(C)C)C[C@H]1C ZINC000684613313 1118903619 /nfs/dbraw/zinc/90/36/19/1118903619.db2.gz OCWLEZVFZWZLOY-IAGOWNOFSA-N 1 2 266.473 3.785 20 0 CHADLO C[C@H]1CCCC[C@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000036982134 1118923646 /nfs/dbraw/zinc/92/36/46/1118923646.db2.gz HZKNNHLEPBELDF-DZGCQCFKSA-N 1 2 259.397 3.672 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(CO)cc2F)cc1 ZINC001216277066 1118947061 /nfs/dbraw/zinc/94/70/61/1118947061.db2.gz QOKMOLNZEHWREK-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(CO)cc2F)cc1 ZINC001216277066 1118947065 /nfs/dbraw/zinc/94/70/65/1118947065.db2.gz QOKMOLNZEHWREK-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ncc(C)cc2C)c1 ZINC000657280042 1118951687 /nfs/dbraw/zinc/95/16/87/1118951687.db2.gz BJMJWQGFDWFXJU-UHFFFAOYSA-N 1 2 274.389 3.703 20 0 CHADLO CCc1cc(OCCCCCOC)c2ccccc2[nH+]1 ZINC000536418507 1118963390 /nfs/dbraw/zinc/96/33/90/1118963390.db2.gz CYFJQNTVFBKIHE-UHFFFAOYSA-N 1 2 273.376 3.993 20 0 CHADLO Fc1cc(Cl)cc(C[N@H+]2CCCC[C@H](F)C2)c1 ZINC001140536768 1118974234 /nfs/dbraw/zinc/97/42/34/1118974234.db2.gz JYMWIFLWFDUBTO-LBPRGKRZSA-N 1 2 259.727 3.803 20 0 CHADLO Fc1cc(Cl)cc(C[N@@H+]2CCCC[C@H](F)C2)c1 ZINC001140536768 1118974237 /nfs/dbraw/zinc/97/42/37/1118974237.db2.gz JYMWIFLWFDUBTO-LBPRGKRZSA-N 1 2 259.727 3.803 20 0 CHADLO Cc1nc(C)c([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)co2)s1 ZINC000724371267 1118978876 /nfs/dbraw/zinc/97/88/76/1118978876.db2.gz VLFUPZTZFPURHO-SECBINFHSA-N 1 2 293.436 3.896 20 0 CHADLO CCOc1ccc(C(C)=O)cc1C[NH+]1CCC(F)(F)CC1 ZINC000404822087 1118983589 /nfs/dbraw/zinc/98/35/89/1118983589.db2.gz SUKWCFNFLJBTPA-UHFFFAOYSA-N 1 2 297.345 3.519 20 0 CHADLO CC(C)[C@@H](O)CCNc1cc[nH+]c2c(Cl)cccc12 ZINC000342351454 1118987589 /nfs/dbraw/zinc/98/75/89/1118987589.db2.gz REWZPBRAOGMUDW-AWEZNQCLSA-N 1 2 278.783 3.707 20 0 CHADLO C[C@@H](CCc1cccc(F)c1)[N@H+](CC(F)F)C1CC1 ZINC000544022250 1118987995 /nfs/dbraw/zinc/98/79/95/1118987995.db2.gz LLRUUJQEFDBUTK-NSHDSACASA-N 1 2 271.326 3.876 20 0 CHADLO C[C@@H](CCc1cccc(F)c1)[N@@H+](CC(F)F)C1CC1 ZINC000544022250 1118987996 /nfs/dbraw/zinc/98/79/96/1118987996.db2.gz LLRUUJQEFDBUTK-NSHDSACASA-N 1 2 271.326 3.876 20 0 CHADLO CCCn1nccc1C[NH2+]Cc1c(Cl)cccc1Cl ZINC000657336970 1119009918 /nfs/dbraw/zinc/00/99/18/1119009918.db2.gz CHQTYIDGQOYEJO-UHFFFAOYSA-N 1 2 298.217 3.890 20 0 CHADLO C[N@H+](CCF)Cc1ccc(Cl)cc1OC(F)(F)F ZINC001143436626 1119013275 /nfs/dbraw/zinc/01/32/75/1119013275.db2.gz QABGPLVDMIITMZ-UHFFFAOYSA-N 1 2 285.668 3.640 20 0 CHADLO C[N@@H+](CCF)Cc1ccc(Cl)cc1OC(F)(F)F ZINC001143436626 1119013278 /nfs/dbraw/zinc/01/32/78/1119013278.db2.gz QABGPLVDMIITMZ-UHFFFAOYSA-N 1 2 285.668 3.640 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)cc1Br ZINC001140554593 1119019965 /nfs/dbraw/zinc/01/99/65/1119019965.db2.gz GYCAMRSCEGBZBS-RISCZKNCSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCC[C@@H]3C[C@@H]32)cc1Br ZINC001140554593 1119019969 /nfs/dbraw/zinc/01/99/69/1119019969.db2.gz GYCAMRSCEGBZBS-RISCZKNCSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCC[C@H]3C[C@H]32)cc1Br ZINC001140554594 1119020286 /nfs/dbraw/zinc/02/02/86/1119020286.db2.gz GYCAMRSCEGBZBS-SMDDNHRTSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCC[C@H]3C[C@H]32)cc1Br ZINC001140554594 1119020291 /nfs/dbraw/zinc/02/02/91/1119020291.db2.gz GYCAMRSCEGBZBS-SMDDNHRTSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@H](C)c2cscn2)cnn1C(C)(C)C ZINC000582192407 1119032101 /nfs/dbraw/zinc/03/21/01/1119032101.db2.gz IYRAJKQDWFNHLW-GHMZBOCLSA-N 1 2 292.452 3.815 20 0 CHADLO c1[nH+]c(SCCCC2CCOCC2)n2ccccc12 ZINC000582281403 1119045498 /nfs/dbraw/zinc/04/54/98/1119045498.db2.gz VJVLHZWGIGZEOF-UHFFFAOYSA-N 1 2 276.405 3.633 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CC=C(c3ccc(F)cc3)CC2)n1 ZINC000342507086 1119058108 /nfs/dbraw/zinc/05/81/08/1119058108.db2.gz COKIOEZUCBFTIV-UHFFFAOYSA-N 1 2 299.393 3.892 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CC=C(c3ccc(F)cc3)CC2)n1 ZINC000342507086 1119058111 /nfs/dbraw/zinc/05/81/11/1119058111.db2.gz COKIOEZUCBFTIV-UHFFFAOYSA-N 1 2 299.393 3.892 20 0 CHADLO Cc1[nH]c(CNc2ccc3c(cnn3C(C)C)c2)[nH+]c1C ZINC000657410132 1119066860 /nfs/dbraw/zinc/06/68/60/1119066860.db2.gz PRDJECQNANMAPS-UHFFFAOYSA-N 1 2 283.379 3.569 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+]Cc2cc3cccc(CC)c3o2)[nH]1 ZINC000657421053 1119072346 /nfs/dbraw/zinc/07/23/46/1119072346.db2.gz YPDAYGHNJZPHBS-LLVKDONJSA-N 1 2 298.390 3.527 20 0 CHADLO CSCCN(C)c1cc[nH+]c2c(Cl)cccc12 ZINC000342542847 1119075440 /nfs/dbraw/zinc/07/54/40/1119075440.db2.gz GECFRELXLCNRLS-UHFFFAOYSA-N 1 2 266.797 3.687 20 0 CHADLO CSc1cc(F)ccc1NCc1c[nH+]cn1C(C)C ZINC000647252680 1119098128 /nfs/dbraw/zinc/09/81/28/1119098128.db2.gz OHTZIMMYMSBECI-UHFFFAOYSA-N 1 2 279.384 3.937 20 0 CHADLO CCC(CC)(CC)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000152665854 1119104234 /nfs/dbraw/zinc/10/42/34/1119104234.db2.gz VPXUVGMUYWHIPE-UHFFFAOYSA-N 1 2 285.391 3.571 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccc(C(F)F)cc1)C1CCC1 ZINC000342639055 1119108369 /nfs/dbraw/zinc/10/83/69/1119108369.db2.gz VOCSTSXWCOCGCY-UHFFFAOYSA-N 1 2 297.345 3.542 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccc(C(F)F)cc1)C1CCC1 ZINC000342639055 1119108371 /nfs/dbraw/zinc/10/83/71/1119108371.db2.gz VOCSTSXWCOCGCY-UHFFFAOYSA-N 1 2 297.345 3.542 20 0 CHADLO Cc1nc[nH]c1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)s1 ZINC001204590525 1119113518 /nfs/dbraw/zinc/11/35/18/1119113518.db2.gz RQTMSKHBCWAEFC-LLVKDONJSA-N 1 2 281.812 3.770 20 0 CHADLO Cc1nc[nH]c1C[N@H+]1CCC[C@@H]1c1ccc(Cl)s1 ZINC001204590525 1119113520 /nfs/dbraw/zinc/11/35/20/1119113520.db2.gz RQTMSKHBCWAEFC-LLVKDONJSA-N 1 2 281.812 3.770 20 0 CHADLO Cc1ncsc1-c1ccc(C[NH2+]CC(C)(F)F)cc1 ZINC000657474672 1119118035 /nfs/dbraw/zinc/11/80/35/1119118035.db2.gz BUOUOLNUPRUQFL-UHFFFAOYSA-N 1 2 282.359 3.863 20 0 CHADLO FC(F)C[N@H+](CCC1CCC1)Cc1ccccc1 ZINC001167589337 1119130659 /nfs/dbraw/zinc/13/06/59/1119130659.db2.gz BWJLGBPYOZWNBP-UHFFFAOYSA-N 1 2 253.336 3.944 20 0 CHADLO FC(F)C[N@@H+](CCC1CCC1)Cc1ccccc1 ZINC001167589337 1119130661 /nfs/dbraw/zinc/13/06/61/1119130661.db2.gz BWJLGBPYOZWNBP-UHFFFAOYSA-N 1 2 253.336 3.944 20 0 CHADLO CCc1noc(C[N@@H+]2CCCCC[C@@H]2c2ccc(C)o2)n1 ZINC000158669565 1119139252 /nfs/dbraw/zinc/13/92/52/1119139252.db2.gz ZABGAIAWIMFEAD-CYBMUJFWSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1noc(C[N@H+]2CCCCC[C@@H]2c2ccc(C)o2)n1 ZINC000158669565 1119139254 /nfs/dbraw/zinc/13/92/54/1119139254.db2.gz ZABGAIAWIMFEAD-CYBMUJFWSA-N 1 2 289.379 3.651 20 0 CHADLO c1sc(C[NH2+][C@H]2CCCc3cccnc32)nc1C1CC1 ZINC000684960287 1119143426 /nfs/dbraw/zinc/14/34/26/1119143426.db2.gz WSEFDLCQLWAJMK-ZDUSSCGKSA-N 1 2 285.416 3.583 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccc(C)o2)n1 ZINC000628189132 1128825355 /nfs/dbraw/zinc/82/53/55/1128825355.db2.gz RQSLKIJGKONLCY-RISCZKNCSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccc(C)o2)n1 ZINC000628189132 1128825359 /nfs/dbraw/zinc/82/53/59/1128825359.db2.gz RQSLKIJGKONLCY-RISCZKNCSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1c[nH+]c(CN(C)Cc2ccc3ccccc3c2)n1C ZINC000342774739 1119161562 /nfs/dbraw/zinc/16/15/62/1119161562.db2.gz GRKOQXAGKABUBO-UHFFFAOYSA-N 1 2 279.387 3.514 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc3ccccc3c2)n1C ZINC000342774739 1119161564 /nfs/dbraw/zinc/16/15/64/1119161564.db2.gz GRKOQXAGKABUBO-UHFFFAOYSA-N 1 2 279.387 3.514 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc3ccccc3c2)n1C ZINC000342774739 1119161565 /nfs/dbraw/zinc/16/15/65/1119161565.db2.gz GRKOQXAGKABUBO-UHFFFAOYSA-N 1 2 279.387 3.514 20 0 CHADLO Cc1c[nH+]c(CN(C)[C@@H](C)c2ccc(Cl)cc2)n1C ZINC000342775133 1119162600 /nfs/dbraw/zinc/16/26/00/1119162600.db2.gz DVXVQWYMPHWOHO-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2ccc(Cl)cc2)n1C ZINC000342775133 1119162602 /nfs/dbraw/zinc/16/26/02/1119162602.db2.gz DVXVQWYMPHWOHO-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2ccc(Cl)cc2)n1C ZINC000342775133 1119162604 /nfs/dbraw/zinc/16/26/04/1119162604.db2.gz DVXVQWYMPHWOHO-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO CSCCC[N@@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC000075023460 1119170509 /nfs/dbraw/zinc/17/05/09/1119170509.db2.gz ACNJFHSZMCJONU-SNVBAGLBSA-N 1 2 291.869 3.528 20 0 CHADLO CSCCC[N@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC000075023460 1119170511 /nfs/dbraw/zinc/17/05/11/1119170511.db2.gz ACNJFHSZMCJONU-SNVBAGLBSA-N 1 2 291.869 3.528 20 0 CHADLO FC(F)(F)COc1ccc(COc2cc[nH+]cc2)cc1 ZINC000431477983 1119171210 /nfs/dbraw/zinc/17/12/10/1119171210.db2.gz PHMWNNWWJOPREF-UHFFFAOYSA-N 1 2 283.249 3.602 20 0 CHADLO Cc1c[nH+]c(CN2CCC[C@@H]2c2cccc(Cl)c2)n1C ZINC000342793706 1119172692 /nfs/dbraw/zinc/17/26/92/1119172692.db2.gz SEESXCNOSBYYBK-OAHLLOKOSA-N 1 2 289.810 3.719 20 0 CHADLO C[C@@H]1CO[C@@H]2C[N@H+](Cc3cc(Cl)sc3Cl)C[C@H]12 ZINC001140589771 1119175327 /nfs/dbraw/zinc/17/53/27/1119175327.db2.gz SCTPDYALAZEPCQ-SZEHBUNVSA-N 1 2 292.231 3.522 20 0 CHADLO C[C@@H]1CO[C@@H]2C[N@@H+](Cc3cc(Cl)sc3Cl)C[C@H]12 ZINC001140589771 1119175329 /nfs/dbraw/zinc/17/53/29/1119175329.db2.gz SCTPDYALAZEPCQ-SZEHBUNVSA-N 1 2 292.231 3.522 20 0 CHADLO CCc1cnc(C[N@@H+]2CCCCC[C@H]2c2ccco2)o1 ZINC000075750139 1119178699 /nfs/dbraw/zinc/17/86/99/1119178699.db2.gz PLPAUMRNMRGMQX-AWEZNQCLSA-N 1 2 274.364 3.947 20 0 CHADLO CCc1cnc(C[N@H+]2CCCCC[C@H]2c2ccco2)o1 ZINC000075750139 1119178700 /nfs/dbraw/zinc/17/87/00/1119178700.db2.gz PLPAUMRNMRGMQX-AWEZNQCLSA-N 1 2 274.364 3.947 20 0 CHADLO CCc1cnc(C[N@@H+](CC)[C@@H](C)c2ccc(F)cc2)o1 ZINC000075645824 1119178893 /nfs/dbraw/zinc/17/88/93/1119178893.db2.gz DRBIRHFPXAZFLI-LBPRGKRZSA-N 1 2 276.355 3.959 20 0 CHADLO CCc1cnc(C[N@H+](CC)[C@@H](C)c2ccc(F)cc2)o1 ZINC000075645824 1119178894 /nfs/dbraw/zinc/17/88/94/1119178894.db2.gz DRBIRHFPXAZFLI-LBPRGKRZSA-N 1 2 276.355 3.959 20 0 CHADLO COc1cccc(F)c1CNc1cc2cc(C)[nH]c2c[nH+]1 ZINC001167606735 1119180494 /nfs/dbraw/zinc/18/04/94/1119180494.db2.gz PEQFJSFDQTZEBB-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO Cc1c[nH+]c(CN2CCCC[C@H]2c2ccc(F)cc2)n1C ZINC000342806543 1119183324 /nfs/dbraw/zinc/18/33/24/1119183324.db2.gz JYXXRLBRUULBQE-INIZCTEOSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1cnc(C[N@@H+]2CCCC[C@H]2c2ccc(F)cc2)n1C ZINC000342806543 1119183326 /nfs/dbraw/zinc/18/33/26/1119183326.db2.gz JYXXRLBRUULBQE-INIZCTEOSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1cnc(C[N@H+]2CCCC[C@H]2c2ccc(F)cc2)n1C ZINC000342806543 1119183328 /nfs/dbraw/zinc/18/33/28/1119183328.db2.gz JYXXRLBRUULBQE-INIZCTEOSA-N 1 2 287.382 3.595 20 0 CHADLO CCC(C)(CC)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000130716048 1119205352 /nfs/dbraw/zinc/20/53/52/1119205352.db2.gz VJZSIPHIFHTBBG-UHFFFAOYSA-N 1 2 271.364 3.842 20 0 CHADLO Cc1csc([C@@H](C)Nc2cc(C)[nH+]c(C3CCC3)n2)n1 ZINC000892302541 1119210174 /nfs/dbraw/zinc/21/01/74/1119210174.db2.gz IXCXRJLZWBJVLS-LLVKDONJSA-N 1 2 288.420 3.991 20 0 CHADLO Cc1ccc2oc(C[NH2+]Cc3cc4n(n3)CCCC4)cc2c1 ZINC000657652781 1119224629 /nfs/dbraw/zinc/22/46/29/1119224629.db2.gz PNEDREZNZHXVFP-UHFFFAOYSA-N 1 2 295.386 3.564 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1cncc(F)c1 ZINC000153414283 1119225890 /nfs/dbraw/zinc/22/58/90/1119225890.db2.gz AUHRISMKSYXOOO-SNVBAGLBSA-N 1 2 293.411 3.826 20 0 CHADLO COC(=O)c1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)s1 ZINC001213085821 1119231529 /nfs/dbraw/zinc/23/15/29/1119231529.db2.gz YLYKJAMFAHUWHQ-UHFFFAOYSA-N 1 2 299.355 3.668 20 0 CHADLO COCOc1ccccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001211561044 1119240951 /nfs/dbraw/zinc/24/09/51/1119240951.db2.gz QJNALNYPPCAWDX-UHFFFAOYSA-N 1 2 295.342 3.599 20 0 CHADLO COCOc1ccccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001211561188 1119242896 /nfs/dbraw/zinc/24/28/96/1119242896.db2.gz UQINTRPFSGTIFS-UHFFFAOYSA-N 1 2 295.342 3.599 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(Cl)ncc2F)cc1 ZINC001140607053 1119259824 /nfs/dbraw/zinc/25/98/24/1119259824.db2.gz WZJKMNFGOKCGGO-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(Cl)ncc2F)cc1 ZINC001140607053 1119259826 /nfs/dbraw/zinc/25/98/26/1119259826.db2.gz WZJKMNFGOKCGGO-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CCOc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001213086411 1119267788 /nfs/dbraw/zinc/26/77/88/1119267788.db2.gz PPKIFHRNBSEHTI-UHFFFAOYSA-N 1 2 280.331 3.614 20 0 CHADLO CCC(CC)COC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835391238 1119287752 /nfs/dbraw/zinc/28/77/52/1119287752.db2.gz ZLANXKMPIGYQMK-UHFFFAOYSA-N 1 2 286.375 3.524 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(F)cc2Cl)cn1 ZINC000153880189 1119293255 /nfs/dbraw/zinc/29/32/55/1119293255.db2.gz FODWYWWUTOAEKJ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COc1cc(C)c([C@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1OC ZINC000672622571 1119298874 /nfs/dbraw/zinc/29/88/74/1119298874.db2.gz MZUKSZKSAPAREO-XHDPSFHLSA-N 1 2 299.361 3.851 20 0 CHADLO Cc1ccsc1C[N@@H+]1CCOC[C@@H]1c1ccc(F)cc1 ZINC000449590625 1119312391 /nfs/dbraw/zinc/31/23/91/1119312391.db2.gz LLNBBCDNGXVQEG-OAHLLOKOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccsc1C[N@H+]1CCOC[C@@H]1c1ccc(F)cc1 ZINC000449590625 1119312393 /nfs/dbraw/zinc/31/23/93/1119312393.db2.gz LLNBBCDNGXVQEG-OAHLLOKOSA-N 1 2 291.391 3.769 20 0 CHADLO OC1(CCNc2cc[nH+]c3c(Cl)cccc23)CCC1 ZINC000343139932 1119326305 /nfs/dbraw/zinc/32/63/05/1119326305.db2.gz ICPPMJQYOCWPOF-UHFFFAOYSA-N 1 2 276.767 3.605 20 0 CHADLO CC(C)c1ncc(C[NH2+][C@@H](C)c2cscn2)s1 ZINC000566499936 1119347428 /nfs/dbraw/zinc/34/74/28/1119347428.db2.gz BPLNJCFEONYVCC-VIFPVBQESA-N 1 2 267.423 3.574 20 0 CHADLO Cc1cccc(CCCNc2ncc(Cl)cc2F)[nH+]1 ZINC000343221147 1119356105 /nfs/dbraw/zinc/35/61/05/1119356105.db2.gz CDEXEGKSXNSSOX-UHFFFAOYSA-N 1 2 279.746 3.622 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)[C@H](C)c2ccc(F)cc2)c1 ZINC000536033687 1119361015 /nfs/dbraw/zinc/36/10/15/1119361015.db2.gz ALDDPKZOCDRUKC-CYBMUJFWSA-N 1 2 288.366 3.731 20 0 CHADLO C[C@@H](CC(=O)N1CC[C@H](C(C)(C)C)C[C@H]1C)n1cc[nH+]c1 ZINC000583503042 1119368149 /nfs/dbraw/zinc/36/81/49/1119368149.db2.gz OGKJQILHYNQSGZ-ZNMIVQPWSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1ccccc1C(F)(F)C[NH2+][C@H]1CCCSC1 ZINC000657770441 1119376288 /nfs/dbraw/zinc/37/62/88/1119376288.db2.gz VHILZPCYIQTGIJ-LBPRGKRZSA-N 1 2 271.376 3.572 20 0 CHADLO Clc1ccsc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000449708903 1119376380 /nfs/dbraw/zinc/37/63/80/1119376380.db2.gz WWTSXOKFIODYEK-UHFFFAOYSA-N 1 2 268.769 3.557 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)cn2)[C@@H]1c1ccccc1 ZINC000563736640 1119388831 /nfs/dbraw/zinc/38/88/31/1119388831.db2.gz JSWHTWBTYWJFOV-WBMJQRKESA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)cn2)[C@@H]1c1ccccc1 ZINC000563736640 1119388833 /nfs/dbraw/zinc/38/88/33/1119388833.db2.gz JSWHTWBTYWJFOV-WBMJQRKESA-N 1 2 272.779 3.928 20 0 CHADLO O=C1CCc2cccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)c21 ZINC001213089965 1119392367 /nfs/dbraw/zinc/39/23/67/1119392367.db2.gz NGJOUKFCNPVIAS-UHFFFAOYSA-N 1 2 289.338 3.949 20 0 CHADLO Cc1nc2ccc(Nc3cccn4cc[nH+]c34)cc2o1 ZINC001213063851 1119397837 /nfs/dbraw/zinc/39/78/37/1119397837.db2.gz HNTUTKSQJIRXIG-UHFFFAOYSA-N 1 2 264.288 3.528 20 0 CHADLO Cc1nc2ccc(Nc3c[nH+]c(C)cc3C)cc2o1 ZINC001213064138 1119411486 /nfs/dbraw/zinc/41/14/86/1119411486.db2.gz XOLDLMYHEWQMDY-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO COc1ccc2c(c1)[C@@H]([NH2+][C@@H](C)c1ccon1)CCS2 ZINC000343440829 1119421605 /nfs/dbraw/zinc/42/16/05/1119421605.db2.gz JWRWZDCSUZINFY-HZMBPMFUSA-N 1 2 290.388 3.571 20 0 CHADLO CCC[C@H]1C[N@@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000536175108 1119444117 /nfs/dbraw/zinc/44/41/17/1119444117.db2.gz NISSILWBKOJHBW-STQMWFEESA-N 1 2 267.800 3.902 20 0 CHADLO CCC[C@H]1C[N@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000536175108 1119444120 /nfs/dbraw/zinc/44/41/20/1119444120.db2.gz NISSILWBKOJHBW-STQMWFEESA-N 1 2 267.800 3.902 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2Cc3ccccc3C(C)(C)C2)n1 ZINC000536181037 1119447509 /nfs/dbraw/zinc/44/75/09/1119447509.db2.gz MMTCNCLEJBRCSE-UHFFFAOYSA-N 1 2 283.419 3.757 20 0 CHADLO CC(C)n1ccc(C[N@H+]2Cc3ccccc3C(C)(C)C2)n1 ZINC000536181037 1119447513 /nfs/dbraw/zinc/44/75/13/1119447513.db2.gz MMTCNCLEJBRCSE-UHFFFAOYSA-N 1 2 283.419 3.757 20 0 CHADLO COc1ccccc1/C=C\C[N@H+](C)Cc1csc(C)n1 ZINC000349989324 1119476501 /nfs/dbraw/zinc/47/65/01/1119476501.db2.gz IEOONWXQULRLPG-VURMDHGXSA-N 1 2 288.416 3.605 20 0 CHADLO COc1ccccc1/C=C\C[N@@H+](C)Cc1csc(C)n1 ZINC000349989324 1119476503 /nfs/dbraw/zinc/47/65/03/1119476503.db2.gz IEOONWXQULRLPG-VURMDHGXSA-N 1 2 288.416 3.605 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)n1 ZINC000343671997 1119484627 /nfs/dbraw/zinc/48/46/27/1119484627.db2.gz GDNDUFCCAXKOBW-JTQLQIEISA-N 1 2 292.370 3.870 20 0 CHADLO CCCc1csc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)n1 ZINC000343671997 1119484629 /nfs/dbraw/zinc/48/46/29/1119484629.db2.gz GDNDUFCCAXKOBW-JTQLQIEISA-N 1 2 292.370 3.870 20 0 CHADLO Cn1c[nH+]cc1CNc1cc(Cl)cc(C(F)(F)F)c1 ZINC000169003940 1119488791 /nfs/dbraw/zinc/48/87/91/1119488791.db2.gz YMOGGQQRJCLFEL-UHFFFAOYSA-N 1 2 289.688 3.704 20 0 CHADLO Cn1ccnc1C[N@H+](C)Cc1ccc(C2CCCC2)cc1 ZINC000343706330 1119491205 /nfs/dbraw/zinc/49/12/05/1119491205.db2.gz GTQQGRYALRIJKL-UHFFFAOYSA-N 1 2 283.419 3.710 20 0 CHADLO Cn1ccnc1C[N@@H+](C)Cc1ccc(C2CCCC2)cc1 ZINC000343706330 1119491206 /nfs/dbraw/zinc/49/12/06/1119491206.db2.gz GTQQGRYALRIJKL-UHFFFAOYSA-N 1 2 283.419 3.710 20 0 CHADLO CCCC[C@H](CC)CC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000343722641 1119494971 /nfs/dbraw/zinc/49/49/71/1119494971.db2.gz XFXWWECEPMXULO-GJZGRUSLSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2nc(C)c(C)[nH]2)o1 ZINC000628189306 1119504811 /nfs/dbraw/zinc/50/48/11/1119504811.db2.gz XHWUHEMFVFXFMX-NHYWBVRUSA-N 1 2 287.407 3.901 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2nc(C)c(C)[nH]2)o1 ZINC000628189306 1119504813 /nfs/dbraw/zinc/50/48/13/1119504813.db2.gz XHWUHEMFVFXFMX-NHYWBVRUSA-N 1 2 287.407 3.901 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CCN2Cc2[nH]c(C)c(C)[nH+]2)o1 ZINC000628189306 1119504816 /nfs/dbraw/zinc/50/48/16/1119504816.db2.gz XHWUHEMFVFXFMX-NHYWBVRUSA-N 1 2 287.407 3.901 20 0 CHADLO CCc1cnc(C[N@@H+](CC)[C@H](C)c2cccc(O)c2)o1 ZINC000080931422 1119505414 /nfs/dbraw/zinc/50/54/14/1119505414.db2.gz YOQBISOYEFFWGU-GFCCVEGCSA-N 1 2 274.364 3.526 20 0 CHADLO CCc1cnc(C[N@H+](CC)[C@H](C)c2cccc(O)c2)o1 ZINC000080931422 1119505417 /nfs/dbraw/zinc/50/54/17/1119505417.db2.gz YOQBISOYEFFWGU-GFCCVEGCSA-N 1 2 274.364 3.526 20 0 CHADLO CCn1c(C[N@H+](C)[C@@H](C)c2cccnc2)nc2ccccc21 ZINC000080989785 1119508495 /nfs/dbraw/zinc/50/84/95/1119508495.db2.gz FMYZDFCBHRUESQ-AWEZNQCLSA-N 1 2 294.402 3.644 20 0 CHADLO CCn1c(C[N@@H+](C)[C@@H](C)c2cccnc2)nc2ccccc21 ZINC000080989785 1119508500 /nfs/dbraw/zinc/50/85/00/1119508500.db2.gz FMYZDFCBHRUESQ-AWEZNQCLSA-N 1 2 294.402 3.644 20 0 CHADLO CC[C@H]1CCCC[N@@H+]1Cc1noc(Cc2ccccc2C)n1 ZINC000083126943 1119524607 /nfs/dbraw/zinc/52/46/07/1119524607.db2.gz GLOZTDNWLPYFSB-INIZCTEOSA-N 1 2 299.418 3.733 20 0 CHADLO CC[C@H]1CCCC[N@H+]1Cc1noc(Cc2ccccc2C)n1 ZINC000083126943 1119524609 /nfs/dbraw/zinc/52/46/09/1119524609.db2.gz GLOZTDNWLPYFSB-INIZCTEOSA-N 1 2 299.418 3.733 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](C)C2CCCCC2)no1 ZINC000083126988 1119524924 /nfs/dbraw/zinc/52/49/24/1119524924.db2.gz ZFMKQECVRIQTNR-UHFFFAOYSA-N 1 2 299.418 3.733 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](C)C2CCCCC2)no1 ZINC000083126988 1119524928 /nfs/dbraw/zinc/52/49/28/1119524928.db2.gz ZFMKQECVRIQTNR-UHFFFAOYSA-N 1 2 299.418 3.733 20 0 CHADLO Cc1nc(N2C[C@@H](c3ccccc3)C3(CCC3)C2)cc[nH+]1 ZINC000413539568 1119543761 /nfs/dbraw/zinc/54/37/61/1119543761.db2.gz VKUGEBAPWDLCKP-INIZCTEOSA-N 1 2 279.387 3.559 20 0 CHADLO Fc1ccc(C2(CNc3cccc[nH+]3)CC2)c(F)c1 ZINC000090149049 1119546529 /nfs/dbraw/zinc/54/65/29/1119546529.db2.gz YDFBYMDMFOZRSJ-UHFFFAOYSA-N 1 2 260.287 3.504 20 0 CHADLO Nc1cc(Nc2[nH+]ccc3ccccc32)ccc1F ZINC001212328034 1128854457 /nfs/dbraw/zinc/85/44/57/1128854457.db2.gz IBYOFJZBQXQHJH-UHFFFAOYSA-N 1 2 253.280 3.700 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2cc(Cl)cn2C)s1 ZINC000091930579 1119560625 /nfs/dbraw/zinc/56/06/25/1119560625.db2.gz XWHGRBWOIQBMMX-UHFFFAOYSA-N 1 2 297.855 3.890 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2cc(Cl)cn2C)s1 ZINC000091930579 1119560626 /nfs/dbraw/zinc/56/06/26/1119560626.db2.gz XWHGRBWOIQBMMX-UHFFFAOYSA-N 1 2 297.855 3.890 20 0 CHADLO Cc1cccnc1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000093178759 1119570289 /nfs/dbraw/zinc/57/02/89/1119570289.db2.gz CMKIFWIUCLVWTP-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cccnc1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000093178759 1119570290 /nfs/dbraw/zinc/57/02/90/1119570290.db2.gz CMKIFWIUCLVWTP-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1nc(C)c(C)o1 ZINC000139621103 1119602070 /nfs/dbraw/zinc/60/20/70/1119602070.db2.gz VMZUNUUAVKUUCB-ZDUSSCGKSA-N 1 2 276.405 3.862 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1nc(C)c(C)o1 ZINC000139621103 1119602072 /nfs/dbraw/zinc/60/20/72/1119602072.db2.gz VMZUNUUAVKUUCB-ZDUSSCGKSA-N 1 2 276.405 3.862 20 0 CHADLO CC(C)[C@@H]1CCC[C@@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000685447574 1119610131 /nfs/dbraw/zinc/61/01/31/1119610131.db2.gz IOBPXHQQMVRBSW-HOTGVXAUSA-N 1 2 284.407 3.563 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC[C@H]2C2CC2)cc(C(F)(F)F)c1 ZINC001143458085 1119615580 /nfs/dbraw/zinc/61/55/80/1119615580.db2.gz MSFVTTUCTOAWLF-HNNXBMFYSA-N 1 2 299.336 3.625 20 0 CHADLO Cc1cc(C[N@H+]2CCOC[C@H]2C2CC2)cc(C(F)(F)F)c1 ZINC001143458085 1119615582 /nfs/dbraw/zinc/61/55/82/1119615582.db2.gz MSFVTTUCTOAWLF-HNNXBMFYSA-N 1 2 299.336 3.625 20 0 CHADLO C[C@H]([NH2+]Cc1nc2ccccc2o1)c1ccc(F)cc1F ZINC000172678624 1119626628 /nfs/dbraw/zinc/62/66/28/1119626628.db2.gz PFRDOGBPPKQRIV-JTQLQIEISA-N 1 2 288.297 3.957 20 0 CHADLO Cc1cc(OCc2cccnc2C)c2ccccc2[nH+]1 ZINC000685580993 1119634331 /nfs/dbraw/zinc/63/43/31/1119634331.db2.gz QXSFACTZBRSFTL-UHFFFAOYSA-N 1 2 264.328 3.826 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CC[C@@H](CC(F)(F)F)C2)no1 ZINC000685576092 1119634414 /nfs/dbraw/zinc/63/44/14/1119634414.db2.gz YNLHNCXLTLYALJ-JTQLQIEISA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CC[C@@H](CC(F)(F)F)C2)no1 ZINC000685576092 1119634416 /nfs/dbraw/zinc/63/44/16/1119634416.db2.gz YNLHNCXLTLYALJ-JTQLQIEISA-N 1 2 290.329 3.746 20 0 CHADLO Cc1c(C)c(C)c(CO[C@@H]2C[NH2+]CC(F)(F)C2)c(C)c1C ZINC001217857205 1119645956 /nfs/dbraw/zinc/64/59/56/1119645956.db2.gz UUWPCFJXYZNPFM-HNNXBMFYSA-N 1 2 297.389 3.743 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@@H](C)c2ccccc2Cl)on1 ZINC000924525085 1119661297 /nfs/dbraw/zinc/66/12/97/1119661297.db2.gz MEMUZWZHFUKORF-VHSXEESVSA-N 1 2 280.755 3.748 20 0 CHADLO FCC1(CF)CC([NH2+]CC(F)(F)c2cccc(F)c2)C1 ZINC000658115851 1119684891 /nfs/dbraw/zinc/68/48/91/1119684891.db2.gz FDCNBRSXRGKVDR-UHFFFAOYSA-N 1 2 293.279 3.595 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2)o1)[N@H+](C)CCC(F)(F)F ZINC000101731157 1119715213 /nfs/dbraw/zinc/71/52/13/1119715213.db2.gz YZZFUOCNXYOAAU-SNVBAGLBSA-N 1 2 299.296 3.682 20 0 CHADLO C[C@H](c1nnc(-c2ccccc2)o1)[N@@H+](C)CCC(F)(F)F ZINC000101731157 1119715215 /nfs/dbraw/zinc/71/52/15/1119715215.db2.gz YZZFUOCNXYOAAU-SNVBAGLBSA-N 1 2 299.296 3.682 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]CC(F)(F)C(C)(C)C ZINC000658336680 1119726803 /nfs/dbraw/zinc/72/68/03/1119726803.db2.gz HBEPRQBYPHUXDU-LLVKDONJSA-N 1 2 256.340 3.722 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H]1CCCc2c(C)cccc21 ZINC000658338329 1119727549 /nfs/dbraw/zinc/72/75/49/1119727549.db2.gz KVBLDMRRJZRBBK-SJKOYZFVSA-N 1 2 269.392 3.755 20 0 CHADLO Cc1ccccc1[C@H]([NH2+][C@H]1CCCc2[nH]ncc21)C1CC1 ZINC000375806996 1119758009 /nfs/dbraw/zinc/75/80/09/1119758009.db2.gz QDVPCVOITHYHTN-FUHWJXTLSA-N 1 2 281.403 3.836 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cnc(C3CC3)o2)c1 ZINC000628253162 1119762765 /nfs/dbraw/zinc/76/27/65/1119762765.db2.gz WRSHXPJHJODNEJ-UHFFFAOYSA-N 1 2 274.339 3.632 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cnc(C3CC3)o2)c1 ZINC000628253162 1119762770 /nfs/dbraw/zinc/76/27/70/1119762770.db2.gz WRSHXPJHJODNEJ-UHFFFAOYSA-N 1 2 274.339 3.632 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1ccc(C)cc1Cl ZINC000584474175 1119777605 /nfs/dbraw/zinc/77/76/05/1119777605.db2.gz GRHJZMLHGHIQMO-GFCCVEGCSA-N 1 2 277.799 3.716 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+][C@H]2CCCc3[nH]ncc32)cc1 ZINC000375895725 1119779541 /nfs/dbraw/zinc/77/95/41/1119779541.db2.gz PGNPQFHKKDBSKV-INIZCTEOSA-N 1 2 283.419 3.874 20 0 CHADLO Cc1cc([C@@H]([NH2+][C@H]2CCCc3[nH]ncc32)C2CC2)ccc1F ZINC000375895270 1119780044 /nfs/dbraw/zinc/78/00/44/1119780044.db2.gz LBSASWLABRDZIE-WMZOPIPTSA-N 1 2 299.393 3.976 20 0 CHADLO Clc1ccnc2c1CC[C@H]2[NH2+]Cc1noc2ccccc12 ZINC000926680108 1119798731 /nfs/dbraw/zinc/79/87/31/1119798731.db2.gz YRPZILRYSVYBOY-CYBMUJFWSA-N 1 2 299.761 3.653 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)c1ccc(-c2cccs2)o1 ZINC001625883916 1119806826 /nfs/dbraw/zinc/80/68/26/1119806826.db2.gz HBPTVAVOZSWVGW-UHFFFAOYSA-N 1 2 298.367 3.694 20 0 CHADLO Cc1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)cc(Cl)n1 ZINC000154011253 1119816224 /nfs/dbraw/zinc/81/62/24/1119816224.db2.gz ZYOCEJNWCLPSCT-UHFFFAOYSA-N 1 2 289.766 3.616 20 0 CHADLO CC(C)(C)CCCCC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000376224582 1119887321 /nfs/dbraw/zinc/88/73/21/1119887321.db2.gz BMNPZCWTCBXYQK-UHFFFAOYSA-N 1 2 291.439 3.722 20 0 CHADLO CC(C)(C)CCCCC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000376224582 1119887325 /nfs/dbraw/zinc/88/73/25/1119887325.db2.gz BMNPZCWTCBXYQK-UHFFFAOYSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1cc(NCc2cc(C(C)C)no2)nc(C2CCC2)[nH+]1 ZINC000892534586 1119891064 /nfs/dbraw/zinc/89/10/64/1119891064.db2.gz PBHFFDOJGAHEEO-UHFFFAOYSA-N 1 2 286.379 3.776 20 0 CHADLO CC(C)CCOC1CC[NH+](C/C(Cl)=C\Cl)CC1 ZINC000763493674 1128878518 /nfs/dbraw/zinc/87/85/18/1128878518.db2.gz WNKOGJLJPGCRIT-FMIVXFBMSA-N 1 2 280.239 3.833 20 0 CHADLO CC[C@H](CC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C(C)(C)C ZINC000630863441 1128879216 /nfs/dbraw/zinc/87/92/16/1128879216.db2.gz LYXGNNIDEHXZJW-CQSZACIVSA-N 1 2 291.439 3.578 20 0 CHADLO COc1ncc([C@H](C)[NH2+][C@@H](C)c2nccs2)cc1Cl ZINC000414228238 1119949526 /nfs/dbraw/zinc/94/95/26/1119949526.db2.gz XQVCYOVIGNSWKX-IUCAKERBSA-N 1 2 297.811 3.612 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2cccc(Cl)n2)C1 ZINC000801587767 1128881633 /nfs/dbraw/zinc/88/16/33/1128881633.db2.gz AJIMFLOEMFBJEE-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2cccc(Cl)n2)C1 ZINC000801587767 1128881636 /nfs/dbraw/zinc/88/16/36/1128881636.db2.gz AJIMFLOEMFBJEE-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccsc1 ZINC000414325884 1119983782 /nfs/dbraw/zinc/98/37/82/1119983782.db2.gz MYARKFUKAFKPDO-NSHDSACASA-N 1 2 279.434 3.718 20 0 CHADLO COc1cccc2c(N3CCC(F)(F)[C@@H](C)C3)cc[nH+]c12 ZINC000685921580 1120001962 /nfs/dbraw/zinc/00/19/62/1120001962.db2.gz DDTVOJDSIJMTAV-NSHDSACASA-N 1 2 292.329 3.725 20 0 CHADLO Cc1occc1C[N@@H+]1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000414370429 1120001977 /nfs/dbraw/zinc/00/19/77/1120001977.db2.gz LOYOZXXQEMTJOX-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1occc1C[N@H+]1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000414370429 1120001980 /nfs/dbraw/zinc/00/19/80/1120001980.db2.gz LOYOZXXQEMTJOX-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO C[C@@H](C(=O)Nc1cscc1Cl)[NH+]1CCCCCC1 ZINC000636438879 1120006634 /nfs/dbraw/zinc/00/66/34/1120006634.db2.gz NXRJNBQPGJFHNY-JTQLQIEISA-N 1 2 286.828 3.605 20 0 CHADLO CCc1ccc([N@H+](CC)C[C@@H]2C[C@@]23CCOC3)cc1 ZINC001167705551 1120014585 /nfs/dbraw/zinc/01/45/85/1120014585.db2.gz MRRKCYWPMVLYGU-DOTOQJQBSA-N 1 2 259.393 3.502 20 0 CHADLO CCc1ccc([N@@H+](CC)C[C@@H]2C[C@@]23CCOC3)cc1 ZINC001167705551 1120014588 /nfs/dbraw/zinc/01/45/88/1120014588.db2.gz MRRKCYWPMVLYGU-DOTOQJQBSA-N 1 2 259.393 3.502 20 0 CHADLO Fc1cccc(F)c1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001239574892 1120034810 /nfs/dbraw/zinc/03/48/10/1120034810.db2.gz XTYQHBNINURCEP-UHFFFAOYSA-N 1 2 256.255 3.818 20 0 CHADLO Nc1ccc(Nc2ccc(Cl)cc2Br)c[nH+]1 ZINC000088122258 1120043081 /nfs/dbraw/zinc/04/30/81/1120043081.db2.gz SFFAKKQZUGOZGO-UHFFFAOYSA-N 1 2 298.571 3.823 20 0 CHADLO Cc1cccn2c(CNc3ccc(F)cc3C)c[nH+]c12 ZINC000085303618 1120046337 /nfs/dbraw/zinc/04/63/37/1120046337.db2.gz MRYDFOVEBKKPJE-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc(C(C)(C)C)co2)cc(C)c1O ZINC000921654424 1120054804 /nfs/dbraw/zinc/05/48/04/1120054804.db2.gz MPAHXHMJVIQTNZ-UHFFFAOYSA-N 1 2 288.391 3.584 20 0 CHADLO CC[N@H+](CCC[C@@H]1CCOC1)c1cc(C)cc(C)c1 ZINC001167709172 1120055877 /nfs/dbraw/zinc/05/58/77/1120055877.db2.gz UCUVXZBQVHTZBQ-MRXNPFEDSA-N 1 2 261.409 3.946 20 0 CHADLO CC[N@@H+](CCC[C@@H]1CCOC1)c1cc(C)cc(C)c1 ZINC001167709172 1120055879 /nfs/dbraw/zinc/05/58/79/1120055879.db2.gz UCUVXZBQVHTZBQ-MRXNPFEDSA-N 1 2 261.409 3.946 20 0 CHADLO C/C=C(/C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921660106 1120058917 /nfs/dbraw/zinc/05/89/17/1120058917.db2.gz JTOIDHROSIZMIY-YHYXMXQVSA-N 1 2 269.348 3.598 20 0 CHADLO CC=C(C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921660106 1120058919 /nfs/dbraw/zinc/05/89/19/1120058919.db2.gz JTOIDHROSIZMIY-YHYXMXQVSA-N 1 2 269.348 3.598 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)CCC3CC3)cc2)[nH+]c1C ZINC000921662434 1120058998 /nfs/dbraw/zinc/05/89/98/1120058998.db2.gz NEPDHXNWDBWUNG-UHFFFAOYSA-N 1 2 283.375 3.822 20 0 CHADLO COc1ccnc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)c1 ZINC000661447268 1120083778 /nfs/dbraw/zinc/08/37/78/1120083778.db2.gz VGKRVTOMHNFLGM-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ccnc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)c1 ZINC000661447268 1120083781 /nfs/dbraw/zinc/08/37/81/1120083781.db2.gz VGKRVTOMHNFLGM-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)c(C)o1)c1ccc(OC)cc1 ZINC000181833510 1120109276 /nfs/dbraw/zinc/10/92/76/1120109276.db2.gz LHGCEKOPRLWECJ-OAHLLOKOSA-N 1 2 274.364 3.541 20 0 CHADLO CCCc1ccc(CNc2cc(N3CCCC3)nc[nH+]2)cc1 ZINC001168634897 1120110578 /nfs/dbraw/zinc/11/05/78/1120110578.db2.gz DJFFAZMAZKAUOK-UHFFFAOYSA-N 1 2 296.418 3.641 20 0 CHADLO CCCc1ccc(CNc2cc(N3CCCC3)[nH+]cn2)cc1 ZINC001168634897 1120110580 /nfs/dbraw/zinc/11/05/80/1120110580.db2.gz DJFFAZMAZKAUOK-UHFFFAOYSA-N 1 2 296.418 3.641 20 0 CHADLO Cc1nccnc1C[N@@H+]1CCC[C@H]1c1ccc(Cl)s1 ZINC001140938049 1120112197 /nfs/dbraw/zinc/11/21/97/1120112197.db2.gz REQOBTDHJKZKJJ-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1nccnc1C[N@H+]1CCC[C@H]1c1ccc(Cl)s1 ZINC001140938049 1120112201 /nfs/dbraw/zinc/11/22/01/1120112201.db2.gz REQOBTDHJKZKJJ-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Fc1ccc(/C=C\C[NH+]2Cc3ccccc3C2)c(F)c1 ZINC000542703298 1120124241 /nfs/dbraw/zinc/12/42/41/1120124241.db2.gz LUXBTNWSXIPLNK-UTCJRWHESA-N 1 2 271.310 3.994 20 0 CHADLO c1ccn(-c2ccc(N[C@@H]3CCNc4ccccc43)[nH+]c2)c1 ZINC001168640419 1120127706 /nfs/dbraw/zinc/12/77/06/1120127706.db2.gz IGTZKFIHQZYWLZ-QGZVFWFLSA-N 1 2 290.370 3.841 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)c1cccc(F)c1)c1ncc[nH]1 ZINC000353394245 1120128627 /nfs/dbraw/zinc/12/86/27/1120128627.db2.gz LWYPLEATVTZMBJ-GUYCJALGSA-N 1 2 295.361 3.989 20 0 CHADLO COC(=O)c1sccc1C[NH2+][C@@H](C)c1cc(C)oc1C ZINC000183778831 1120141038 /nfs/dbraw/zinc/14/10/38/1120141038.db2.gz KVWUENJJOIFNMG-JTQLQIEISA-N 1 2 293.388 3.595 20 0 CHADLO Cc1ccnc(C[NH2+]C2(c3c(F)cccc3F)CCC2)c1 ZINC000626178835 1120145419 /nfs/dbraw/zinc/14/54/19/1120145419.db2.gz LIXKBHNVEQWUGJ-UHFFFAOYSA-N 1 2 288.341 3.837 20 0 CHADLO CCC1CC[NH+](Cc2noc(-c3ccccc3C)n2)CC1 ZINC000472742712 1120157411 /nfs/dbraw/zinc/15/74/11/1120157411.db2.gz ABNSEIXROABIFW-UHFFFAOYSA-N 1 2 285.391 3.667 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](c1ccccc1)c1ccccn1 ZINC000313984654 1120162547 /nfs/dbraw/zinc/16/25/47/1120162547.db2.gz JNHYHKLVCHJQJX-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO CO[C@H]1C[C@@H](CNc2cc[nH+]c3c(Cl)cccc23)C1 ZINC000624755920 1120164450 /nfs/dbraw/zinc/16/44/50/1120164450.db2.gz AZZJEZONDOFCCA-PHIMTYICSA-N 1 2 276.767 3.725 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCOc2ccccc2C1 ZINC000108721590 1120170028 /nfs/dbraw/zinc/17/00/28/1120170028.db2.gz BRPKSUNXDVOINB-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCOc2ccccc2C1 ZINC000108721590 1120170030 /nfs/dbraw/zinc/17/00/30/1120170030.db2.gz BRPKSUNXDVOINB-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO c1sc(C2CC2)nc1C[NH2+]Cc1nccc2c1CCCC2 ZINC000921728894 1120176844 /nfs/dbraw/zinc/17/68/44/1120176844.db2.gz GOUDBYOMRCTDIH-UHFFFAOYSA-N 1 2 299.443 3.584 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@H](C)c2ccc(C(C)(C)C)cc2)o1 ZINC000661529106 1120179641 /nfs/dbraw/zinc/17/96/41/1120179641.db2.gz MLIDZXXVBFENOH-GFCCVEGCSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@H](C)c2ccc(C(C)(C)C)cc2)o1 ZINC000661529106 1120179643 /nfs/dbraw/zinc/17/96/43/1120179643.db2.gz MLIDZXXVBFENOH-GFCCVEGCSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H]2CSc3ccccc32)n1 ZINC000156226304 1120186400 /nfs/dbraw/zinc/18/64/00/1120186400.db2.gz LIDQXXQRSAEKQY-ZYHUDNBSSA-N 1 2 276.430 3.949 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2CSc3ccccc32)n1 ZINC000156226433 1120186957 /nfs/dbraw/zinc/18/69/57/1120186957.db2.gz LIDQXXQRSAEKQY-CMPLNLGQSA-N 1 2 276.430 3.949 20 0 CHADLO CCc1cc(N[C@H](c2cccnc2)C2CC2)nc(CC)[nH+]1 ZINC000893014352 1120197123 /nfs/dbraw/zinc/19/71/23/1120197123.db2.gz VXJOKAPSDLRGLX-KRWDZBQOSA-N 1 2 282.391 3.560 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)o1 ZINC000893010117 1120197567 /nfs/dbraw/zinc/19/75/67/1120197567.db2.gz GCGFVQBIWKAIIG-VIFPVBQESA-N 1 2 283.730 3.932 20 0 CHADLO CCc1ccccc1C[NH2+]Cc1nnc(C(C)C)s1 ZINC000893038032 1120205094 /nfs/dbraw/zinc/20/50/94/1120205094.db2.gz VCMRJHZSOCOZRR-UHFFFAOYSA-N 1 2 275.421 3.514 20 0 CHADLO CCc1cnc(C[N@H+](C)CCOC2CCCCCC2)s1 ZINC000475736689 1120210292 /nfs/dbraw/zinc/21/02/92/1120210292.db2.gz BODHLFBXFSDWSP-UHFFFAOYSA-N 1 2 296.480 3.877 20 0 CHADLO CCc1cnc(C[N@@H+](C)CCOC2CCCCCC2)s1 ZINC000475736689 1120210297 /nfs/dbraw/zinc/21/02/97/1120210297.db2.gz BODHLFBXFSDWSP-UHFFFAOYSA-N 1 2 296.480 3.877 20 0 CHADLO COc1ccc2ccc(N[C@@H]3C[C@@H](C)O[C@@H](C)C3)[nH+]c2c1 ZINC000893070706 1120213566 /nfs/dbraw/zinc/21/35/66/1120213566.db2.gz IRFUEDSGTQQLBY-DABQJJPHSA-N 1 2 286.375 3.611 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccccc2Cl)s1 ZINC000177379993 1120217027 /nfs/dbraw/zinc/21/70/27/1120217027.db2.gz LJZVZVGSQDLPBV-SNVBAGLBSA-N 1 2 266.797 3.956 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OC1CCC1)c1cscn1 ZINC000540169076 1120224614 /nfs/dbraw/zinc/22/46/14/1120224614.db2.gz JSCQTHKTBNYWBC-GFCCVEGCSA-N 1 2 288.416 3.925 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)C[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659875761 1120235350 /nfs/dbraw/zinc/23/53/50/1120235350.db2.gz YULQJLXUTQOFDS-FZKQIMNGSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)C[N@H+]1Cc1cc(C2CC2)no1 ZINC000659875761 1120235355 /nfs/dbraw/zinc/23/53/55/1120235355.db2.gz YULQJLXUTQOFDS-FZKQIMNGSA-N 1 2 298.386 3.514 20 0 CHADLO Cc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1F ZINC000672157616 1120245818 /nfs/dbraw/zinc/24/58/18/1120245818.db2.gz GDRVWYHQFJZNNS-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO Fc1cccc(F)c1C[NH2+]Cc1nccc2c1CCCC2 ZINC000921851434 1120249937 /nfs/dbraw/zinc/24/99/37/1120249937.db2.gz YMMILQMSPQLISE-UHFFFAOYSA-N 1 2 288.341 3.528 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC001168690346 1120255592 /nfs/dbraw/zinc/25/55/92/1120255592.db2.gz ODAOWWPTTCNEQB-UONOGXRCSA-N 1 2 272.396 3.563 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ccco2)c2cccnc2)cc1 ZINC000106471260 1120267771 /nfs/dbraw/zinc/26/77/71/1120267771.db2.gz HIOLHMWXQHFSMC-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO CCCCNc1cc(N[C@@H](C)c2ccsc2)nc(N)[nH+]1 ZINC000672277241 1120272658 /nfs/dbraw/zinc/27/26/58/1120272658.db2.gz CFEFWNPLKNRGBZ-JTQLQIEISA-N 1 2 291.424 3.505 20 0 CHADLO CCCCNc1cc(N[C@@H](C)c2ccsc2)[nH+]c(N)n1 ZINC000672277241 1120272662 /nfs/dbraw/zinc/27/26/62/1120272662.db2.gz CFEFWNPLKNRGBZ-JTQLQIEISA-N 1 2 291.424 3.505 20 0 CHADLO Cc1cc(C)c(NC(=O)CO[C@@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC000112568969 1120287248 /nfs/dbraw/zinc/28/72/48/1120287248.db2.gz JKSLXZPYGPOOPS-XHDPSFHLSA-N 1 2 290.407 3.541 20 0 CHADLO Cc1cccc(CCCNc2ncnc3ccc(F)cc32)[nH+]1 ZINC000672320205 1120288817 /nfs/dbraw/zinc/28/88/17/1120288817.db2.gz QFXAPRLWBIEEOD-UHFFFAOYSA-N 1 2 296.349 3.517 20 0 CHADLO COc1ccc2ccc(N[C@H]3CCO[C@@H](C4CC4)C3)[nH+]c2c1 ZINC000893194084 1120294253 /nfs/dbraw/zinc/29/42/53/1120294253.db2.gz CTTFCOFMDVXRSF-WMLDXEAASA-N 1 2 298.386 3.613 20 0 CHADLO Cc1nc(C[NH+]2CCC(c3ccccc3F)CC2)co1 ZINC000659937978 1120296095 /nfs/dbraw/zinc/29/60/95/1120296095.db2.gz HIKBYDLPZKZIGS-UHFFFAOYSA-N 1 2 274.339 3.502 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000921961479 1120307214 /nfs/dbraw/zinc/30/72/14/1120307214.db2.gz RBNVQAOPCMYGHW-LBPRGKRZSA-N 1 2 273.380 3.526 20 0 CHADLO CC(C)(C)c1ncc(C[NH2+]C(C)(C)c2nccs2)s1 ZINC000116380877 1120333103 /nfs/dbraw/zinc/33/31/03/1120333103.db2.gz IQLXNNPUQRBIST-UHFFFAOYSA-N 1 2 295.477 3.922 20 0 CHADLO CCc1nc2c(s1)[C@@H]([NH2+][C@H]1CCCC1(F)F)CCC2 ZINC000672620320 1120334388 /nfs/dbraw/zinc/33/43/88/1120334388.db2.gz LEHLRBCHLRBSFW-ONGXEEELSA-N 1 2 286.391 3.860 20 0 CHADLO Cc1cccc2c1CC[C@H]2[NH2+][C@H]1CCCC1(F)F ZINC000672622409 1120335133 /nfs/dbraw/zinc/33/51/33/1120335133.db2.gz HUCDJBARQNLVMV-KGLIPLIRSA-N 1 2 251.320 3.760 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccccc1N(C)C ZINC000672620039 1120335420 /nfs/dbraw/zinc/33/54/20/1120335420.db2.gz IJJWGBUFQJCTCF-RISCZKNCSA-N 1 2 268.351 3.591 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccc2c(c1)OCCO2 ZINC000672620666 1120335515 /nfs/dbraw/zinc/33/55/15/1120335515.db2.gz PRPUZERRKBFCNY-DOMZBBRYSA-N 1 2 297.345 3.686 20 0 CHADLO COc1cc2c(cc1F)CC[C@H]2[NH2+][C@H]1CCCC1(F)F ZINC000672621912 1120336502 /nfs/dbraw/zinc/33/65/02/1120336502.db2.gz NUINDYFDLVWMCK-OCCSQVGLSA-N 1 2 285.309 3.599 20 0 CHADLO COc1cc2c(cc1F)CC[C@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000672621913 1120336584 /nfs/dbraw/zinc/33/65/84/1120336584.db2.gz NUINDYFDLVWMCK-TZMCWYRMSA-N 1 2 285.309 3.599 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCC1(F)F)c1cccc(OC)c1 ZINC000672622876 1120337729 /nfs/dbraw/zinc/33/77/29/1120337729.db2.gz WNXAZBSXRQCCJZ-UONOGXRCSA-N 1 2 269.335 3.924 20 0 CHADLO C[C@H](c1ccccc1)[C@H](C)[NH2+]CC(F)(F)C(F)F ZINC000183336236 1120339991 /nfs/dbraw/zinc/33/99/91/1120339991.db2.gz YUFHYPJGXASVEV-UWVGGRQHSA-N 1 2 263.278 3.669 20 0 CHADLO C[NH2+]c1ccc(NCc2csc(C3CC3)n2)cc1 ZINC000629388421 1128910292 /nfs/dbraw/zinc/91/02/92/1128910292.db2.gz ACWKUQQWOUZYAW-UHFFFAOYSA-N 1 2 259.378 3.674 20 0 CHADLO C[NH2+]c1ccc(NCc2cc(C)c(OC)c(C)c2)cc1 ZINC000629388472 1128910343 /nfs/dbraw/zinc/91/03/43/1128910343.db2.gz CGKKOSDHSHRTSZ-UHFFFAOYSA-N 1 2 270.376 3.966 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1cc(C2CC2)no1)C1CC1 ZINC000660097027 1120373471 /nfs/dbraw/zinc/37/34/71/1120373471.db2.gz GWZRRRXKAAUUPU-NSHDSACASA-N 1 2 272.348 3.871 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1cc(C2CC2)no1)C1CC1 ZINC000660097027 1120373476 /nfs/dbraw/zinc/37/34/76/1120373476.db2.gz GWZRRRXKAAUUPU-NSHDSACASA-N 1 2 272.348 3.871 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2cccc(Cl)n2)C2CC2)s1 ZINC000185345413 1120375246 /nfs/dbraw/zinc/37/52/46/1120375246.db2.gz NVJKMFHBAGCHLK-CYBMUJFWSA-N 1 2 293.823 3.741 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+](C)CC(=O)OC(C)(C)C ZINC000312936556 1120379123 /nfs/dbraw/zinc/37/91/23/1120379123.db2.gz XKMOIZNDOARAQS-LLVKDONJSA-N 1 2 283.799 3.675 20 0 CHADLO CC(C)c1cc(C[NH2+]Cc2ccc([C@@H]3C[C@H]3C)o2)on1 ZINC000114510635 1120385530 /nfs/dbraw/zinc/38/55/30/1120385530.db2.gz CQDAKEQBOGAQFX-BXUZGUMPSA-N 1 2 274.364 3.804 20 0 CHADLO CCC1(CC)CC[NH+](Cn2ncn(C3CC3)c2=S)CC1 ZINC000186110769 1120392108 /nfs/dbraw/zinc/39/21/08/1120392108.db2.gz QODHPFLERFQPTN-UHFFFAOYSA-N 1 2 294.468 3.609 20 0 CHADLO c1ccc2c(C[N@H+](Cc3ccncc3)C3CC3)nsc2c1 ZINC000660138559 1120392454 /nfs/dbraw/zinc/39/24/54/1120392454.db2.gz WFPLVBKUSNKAFN-UHFFFAOYSA-N 1 2 295.411 3.856 20 0 CHADLO c1ccc2c(C[N@@H+](Cc3ccncc3)C3CC3)nsc2c1 ZINC000660138559 1120392460 /nfs/dbraw/zinc/39/24/60/1120392460.db2.gz WFPLVBKUSNKAFN-UHFFFAOYSA-N 1 2 295.411 3.856 20 0 CHADLO Cn1cc(Nc2ccc[nH+]c2N2CCCC2)c2ccccc21 ZINC001203415267 1120392779 /nfs/dbraw/zinc/39/27/79/1120392779.db2.gz CWKUEOARIFTGSI-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO Cc1nc(N[C@@H]2CC(C)(C)Oc3ccc(F)cc32)cc[nH+]1 ZINC000651415987 1120393339 /nfs/dbraw/zinc/39/33/39/1120393339.db2.gz SOHZRDUALMGXBC-CYBMUJFWSA-N 1 2 287.338 3.638 20 0 CHADLO Cc1cc(C)c(/C=C/C[NH2+][C@H](C)c2csnn2)c(C)c1 ZINC000631158457 1120396819 /nfs/dbraw/zinc/39/68/19/1120396819.db2.gz AXKQMFTYAZECJQ-VBROQKIQSA-N 1 2 287.432 3.827 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(Br)cc2)co1 ZINC000660190838 1120426773 /nfs/dbraw/zinc/42/67/73/1120426773.db2.gz CDWOQAUNXRDRFB-VIFPVBQESA-N 1 2 295.180 3.596 20 0 CHADLO CC[C@H]([NH2+]CC(F)(F)CC)c1cccc(OC)c1 ZINC000624147708 1120428951 /nfs/dbraw/zinc/42/89/51/1120428951.db2.gz IVNPBWDHHSAPTF-ZDUSSCGKSA-N 1 2 257.324 3.781 20 0 CHADLO COc1ccc2cc(NC3(C4CCOCC4)CC3)[nH+]cc2c1 ZINC001167743608 1120444229 /nfs/dbraw/zinc/44/42/29/1120444229.db2.gz BAUGGLALLKCALD-UHFFFAOYSA-N 1 2 298.386 3.615 20 0 CHADLO Cc1cc(N[C@@H](C)CCCC(C)(C)O)[nH+]c2cc[nH]c21 ZINC001168709065 1120447110 /nfs/dbraw/zinc/44/71/10/1120447110.db2.gz TWTHPEQSRULKDA-LBPRGKRZSA-N 1 2 275.396 3.613 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cnn(C2CCC2)c1 ZINC000922196436 1120461346 /nfs/dbraw/zinc/46/13/46/1120461346.db2.gz WNFITSMLDAQTDN-UHFFFAOYSA-N 1 2 295.390 3.590 20 0 CHADLO CC[C@H]([NH2+]Cc1cnc2ccccc2n1)c1nc(C)cs1 ZINC000660252286 1120465851 /nfs/dbraw/zinc/46/58/51/1120465851.db2.gz GNNOOYSGUFBXJB-ZDUSSCGKSA-N 1 2 298.415 3.636 20 0 CHADLO Cc1cccc([C@H]2CC[N@H+](Cc3noc(C(C)(C)C)n3)C2)c1 ZINC000543249053 1120474774 /nfs/dbraw/zinc/47/47/74/1120474774.db2.gz CUEJFQXFXUKVSB-HNNXBMFYSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1cccc([C@H]2CC[N@@H+](Cc3noc(C(C)(C)C)n3)C2)c1 ZINC000543249053 1120474775 /nfs/dbraw/zinc/47/47/75/1120474775.db2.gz CUEJFQXFXUKVSB-HNNXBMFYSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1cc(NCc2ccc(-n3cc[nH+]c3)cc2)cnc1Cl ZINC000181983359 1128918938 /nfs/dbraw/zinc/91/89/38/1128918938.db2.gz JUBCUYSSGJPUSB-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO CCn1cncc1C[N@H+](C)Cc1ccc(Cl)c(Cl)c1 ZINC000417737403 1120478045 /nfs/dbraw/zinc/47/80/45/1120478045.db2.gz CSOXSSRXUBOKQP-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCn1cncc1C[N@@H+](C)Cc1ccc(Cl)c(Cl)c1 ZINC000417737403 1120478048 /nfs/dbraw/zinc/47/80/48/1120478048.db2.gz CSOXSSRXUBOKQP-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(Cl)cc2F)s1 ZINC000189364050 1120480487 /nfs/dbraw/zinc/48/04/87/1120480487.db2.gz FWSWAVXCOCKYJV-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO CCSc1cccc(NCc2c[nH+]cn2CC)c1 ZINC000417845672 1120486354 /nfs/dbraw/zinc/48/63/54/1120486354.db2.gz XVWGZUMHBANMFE-UHFFFAOYSA-N 1 2 261.394 3.627 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C(F)(F)F)c(OC)c1 ZINC000418035530 1120508732 /nfs/dbraw/zinc/50/87/32/1120508732.db2.gz VEXRCXMTWPZMIY-UHFFFAOYSA-N 1 2 299.296 3.543 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCC1(F)F)CC2 ZINC000397967963 1120537967 /nfs/dbraw/zinc/53/79/67/1120537967.db2.gz RYSVGEPSUJXXQF-KBPBESRZSA-N 1 2 251.320 3.760 20 0 CHADLO c1nc(C[NH2+][C@H](COc2ccccc2)c2ccccc2)co1 ZINC000428867938 1120544309 /nfs/dbraw/zinc/54/43/09/1120544309.db2.gz RHKJCGWWOIWYOF-GOSISDBHSA-N 1 2 294.354 3.585 20 0 CHADLO Cc1[nH]c(CNc2ccccc2COC(C)(C)C)[nH+]c1C ZINC000922553796 1120551663 /nfs/dbraw/zinc/55/16/63/1120551663.db2.gz YFYPPMWBJGQLQI-UHFFFAOYSA-N 1 2 287.407 3.954 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1COC(C)(C)C ZINC000922553968 1120551781 /nfs/dbraw/zinc/55/17/81/1120551781.db2.gz ZSAOYIGZVZPCJZ-UHFFFAOYSA-N 1 2 287.407 3.830 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)ccc1F ZINC000398010721 1120553778 /nfs/dbraw/zinc/55/37/78/1120553778.db2.gz SNBRACZSUBEEKH-NOZJJQNGSA-N 1 2 273.298 3.673 20 0 CHADLO c1sc(C2CC2)nc1C[NH2+][C@@H](c1ccccn1)C1CC1 ZINC000922586889 1120558651 /nfs/dbraw/zinc/55/86/51/1120558651.db2.gz SUWKLVACBNZEGL-OAHLLOKOSA-N 1 2 285.416 3.656 20 0 CHADLO Cc1cc(Cl)ccc1C[NH2+][C@H]1CCCC1(F)F ZINC000398031891 1120559240 /nfs/dbraw/zinc/55/92/40/1120559240.db2.gz NSULNYFTNNCCQW-LBPRGKRZSA-N 1 2 259.727 3.926 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+][C@H]1CCCc2sccc21 ZINC000398045272 1120559778 /nfs/dbraw/zinc/55/97/78/1120559778.db2.gz VHYDCICQEACBFH-JQWIXIFHSA-N 1 2 257.349 3.903 20 0 CHADLO Cc1nn(C)c(Cl)c1C[NH2+][C@H](C)c1cccc(F)c1F ZINC001168733303 1120588900 /nfs/dbraw/zinc/58/89/00/1120588900.db2.gz HOHLZWUHARTIDJ-MRVPVSSYSA-N 1 2 299.752 3.511 20 0 CHADLO Cn1cnc(C[N@@H+]2CCCC[C@H]2c2cccc(Cl)c2)c1 ZINC000660423115 1120596134 /nfs/dbraw/zinc/59/61/34/1120596134.db2.gz ITTSUJIMVITJLO-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cnc(C[N@H+]2CCCC[C@H]2c2cccc(Cl)c2)c1 ZINC000660423115 1120596144 /nfs/dbraw/zinc/59/61/44/1120596144.db2.gz ITTSUJIMVITJLO-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1OCC ZINC000673617419 1120599677 /nfs/dbraw/zinc/59/96/77/1120599677.db2.gz AYWRRIPUYQJZGI-OAHLLOKOSA-N 1 2 299.361 3.761 20 0 CHADLO Fc1ccc(/C=C\C[NH2+][C@H]2CCCC2(F)F)cc1F ZINC000673617782 1120600586 /nfs/dbraw/zinc/60/05/86/1120600586.db2.gz IQHCMSCWBFRBAJ-ZRMMWKCHSA-N 1 2 273.273 3.755 20 0 CHADLO C[C@H](CF)[NH2+]Cc1csc(-c2ccc(Cl)cc2)n1 ZINC000673619117 1120602940 /nfs/dbraw/zinc/60/29/40/1120602940.db2.gz BNVHFOHQWMSUMP-SECBINFHSA-N 1 2 284.787 3.911 20 0 CHADLO Fc1ccc(/C=C/C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000673618683 1120607889 /nfs/dbraw/zinc/60/78/89/1120607889.db2.gz YZDAWFNVMMTBPT-IBUXWKBASA-N 1 2 255.283 3.616 20 0 CHADLO CCCc1ccc(C[NH2+]Cc2nccc(C(F)F)n2)cc1 ZINC000673619622 1120614036 /nfs/dbraw/zinc/61/40/36/1120614036.db2.gz UYMHNCHRWZRLJU-UHFFFAOYSA-N 1 2 291.345 3.657 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@@H]1c1ccc(-c2ccccc2F)cc1 ZINC000629709666 1128928535 /nfs/dbraw/zinc/92/85/35/1128928535.db2.gz DGSAQVCSUARVOM-GOSISDBHSA-N 1 2 283.346 3.829 20 0 CHADLO C[N@H+]1CCC(=O)C[C@@H]1c1ccc(-c2ccccc2F)cc1 ZINC000629709666 1128928537 /nfs/dbraw/zinc/92/85/37/1128928537.db2.gz DGSAQVCSUARVOM-GOSISDBHSA-N 1 2 283.346 3.829 20 0 CHADLO C[N@H+](Cc1ccccc1F)Cc1cccnc1C(F)(F)F ZINC000625046642 1120624245 /nfs/dbraw/zinc/62/42/45/1120624245.db2.gz ATBCBBSTVAUIJU-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccccc1F)Cc1cccnc1C(F)(F)F ZINC000625046642 1120624249 /nfs/dbraw/zinc/62/42/49/1120624249.db2.gz ATBCBBSTVAUIJU-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO CCN(Cc1ccc(OC)cc1)c1cc(C)[nH+]c(C2CC2)n1 ZINC000432050655 1120629738 /nfs/dbraw/zinc/62/97/38/1120629738.db2.gz QDGZUHIUIFIWAM-UHFFFAOYSA-N 1 2 297.402 3.698 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1nc2c(s1)CCC2 ZINC000158906462 1120630760 /nfs/dbraw/zinc/63/07/60/1120630760.db2.gz HQQXMAXYEPMGME-UHFFFAOYSA-N 1 2 298.415 3.614 20 0 CHADLO C[C@@]1(c2ccccc2)CC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625221269 1120650745 /nfs/dbraw/zinc/65/07/45/1120650745.db2.gz AXGCERWGHYTBFL-GOSISDBHSA-N 1 2 297.402 3.501 20 0 CHADLO C[C@@]1(c2ccccc2)CC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625221269 1120650746 /nfs/dbraw/zinc/65/07/46/1120650746.db2.gz AXGCERWGHYTBFL-GOSISDBHSA-N 1 2 297.402 3.501 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cc(O)ccc1Cl)c1nccs1 ZINC000625253265 1120654869 /nfs/dbraw/zinc/65/48/69/1120654869.db2.gz FSLCAKUZLQXSIF-CQSZACIVSA-N 1 2 296.823 3.917 20 0 CHADLO COc1cc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)sn1 ZINC000660557964 1120657394 /nfs/dbraw/zinc/65/73/94/1120657394.db2.gz PIYJALRKMDGRDF-ZDUSSCGKSA-N 1 2 294.445 3.796 20 0 CHADLO COc1cc(C[N@H+]2CC(C)(C)[C@@H]2c2cccs2)sn1 ZINC000660557964 1120657395 /nfs/dbraw/zinc/65/73/95/1120657395.db2.gz PIYJALRKMDGRDF-ZDUSSCGKSA-N 1 2 294.445 3.796 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625268472 1120657620 /nfs/dbraw/zinc/65/76/20/1120657620.db2.gz DFCQBTFDMJIKDL-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625268472 1120657623 /nfs/dbraw/zinc/65/76/23/1120657623.db2.gz DFCQBTFDMJIKDL-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@H+](C)Cc1nc(C)oc1C ZINC000660556012 1120657929 /nfs/dbraw/zinc/65/79/29/1120657929.db2.gz CIIDTFHAMWAVLN-MRXNPFEDSA-N 1 2 288.391 3.883 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@@H+](C)Cc1nc(C)oc1C ZINC000660556012 1120657931 /nfs/dbraw/zinc/65/79/31/1120657931.db2.gz CIIDTFHAMWAVLN-MRXNPFEDSA-N 1 2 288.391 3.883 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@H](C)O1 ZINC000511842442 1120659885 /nfs/dbraw/zinc/65/98/85/1120659885.db2.gz KDNJOWUZIAEZML-FZMZJTMJSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@H](C)O1 ZINC000511842442 1120659887 /nfs/dbraw/zinc/65/98/87/1120659887.db2.gz KDNJOWUZIAEZML-FZMZJTMJSA-N 1 2 287.325 3.705 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)nc1)Cc1ccc(F)cc1F ZINC000073894223 1120663847 /nfs/dbraw/zinc/66/38/47/1120663847.db2.gz JMCURVRCSDJNSA-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@H+](Cc1ccc(Cl)nc1)Cc1ccc(F)cc1F ZINC000073894223 1120663842 /nfs/dbraw/zinc/66/38/42/1120663842.db2.gz JMCURVRCSDJNSA-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)N1CCn2c[nH+]cc2C1 ZINC000660580920 1120664600 /nfs/dbraw/zinc/66/46/00/1120664600.db2.gz WEPLEFCMAQEZAS-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H](c1cc(Cl)ccc1Cl)N1CCc2[nH+]c[nH]c2C1 ZINC000625437850 1120680255 /nfs/dbraw/zinc/68/02/55/1120680255.db2.gz VFPJXGGUZHGEGQ-VIFPVBQESA-N 1 2 296.201 3.836 20 0 CHADLO O=C(C/C=C/c1ccc(F)cc1)Nc1ccc2[nH+]ccn2c1 ZINC000673838386 1120683532 /nfs/dbraw/zinc/68/35/32/1120683532.db2.gz LZUZZQRTQRVALO-OWOJBTEDSA-N 1 2 295.317 3.515 20 0 CHADLO C[C@@H]1CCC(C)(C)[N@@H+]1Cc1ncsc1Br ZINC000660754735 1120684647 /nfs/dbraw/zinc/68/46/47/1120684647.db2.gz KITFOZPYEQQTAO-MRVPVSSYSA-N 1 2 289.242 3.669 20 0 CHADLO C[C@@H]1CCC(C)(C)[N@H+]1Cc1ncsc1Br ZINC000660754735 1120684648 /nfs/dbraw/zinc/68/46/48/1120684648.db2.gz KITFOZPYEQQTAO-MRVPVSSYSA-N 1 2 289.242 3.669 20 0 CHADLO C[N@H+](Cc1ncc[nH]1)C1c2ccccc2-c2ccccc21 ZINC000673915591 1120692104 /nfs/dbraw/zinc/69/21/04/1120692104.db2.gz MTLWVVHJODKDNI-UHFFFAOYSA-N 1 2 275.355 3.612 20 0 CHADLO C[N@@H+](Cc1ncc[nH]1)C1c2ccccc2-c2ccccc21 ZINC000673915591 1120692107 /nfs/dbraw/zinc/69/21/07/1120692107.db2.gz MTLWVVHJODKDNI-UHFFFAOYSA-N 1 2 275.355 3.612 20 0 CHADLO Cc1cc(C)c(C(=O)N2CCc3c(C)cccc3C2)c(C)[nH+]1 ZINC001125996847 1120694178 /nfs/dbraw/zinc/69/41/78/1120694178.db2.gz FDAZKNGKZXDNNT-UHFFFAOYSA-N 1 2 294.398 3.514 20 0 CHADLO Cc1cccc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)c1 ZINC000925721708 1120708046 /nfs/dbraw/zinc/70/80/46/1120708046.db2.gz DPOZFSZHGHVDDF-ZDUSSCGKSA-N 1 2 255.365 3.701 20 0 CHADLO C[N@H+](Cc1nnc(C(C)(C)C)o1)[C@@H]1CCCc2ccccc21 ZINC000027224188 1120711503 /nfs/dbraw/zinc/71/15/03/1120711503.db2.gz KQASPLTWQZZVAN-OAHLLOKOSA-N 1 2 299.418 3.877 20 0 CHADLO C[N@@H+](Cc1nnc(C(C)(C)C)o1)[C@@H]1CCCc2ccccc21 ZINC000027224188 1120711505 /nfs/dbraw/zinc/71/15/05/1120711505.db2.gz KQASPLTWQZZVAN-OAHLLOKOSA-N 1 2 299.418 3.877 20 0 CHADLO CC(C)c1noc([C@H](C)[NH+]2CCC(c3ccco3)CC2)n1 ZINC000661163909 1120730191 /nfs/dbraw/zinc/73/01/91/1120730191.db2.gz RFHSFESWUUXOIZ-LBPRGKRZSA-N 1 2 289.379 3.727 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH+]2CCC(c3ccco3)CC2)n1 ZINC000661163904 1120730277 /nfs/dbraw/zinc/73/02/77/1120730277.db2.gz RFHSFESWUUXOIZ-GFCCVEGCSA-N 1 2 289.379 3.727 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169675 1120731768 /nfs/dbraw/zinc/73/17/68/1120731768.db2.gz NEBBIBGLEIEJAU-ZBEGNZNMSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169675 1120731771 /nfs/dbraw/zinc/73/17/71/1120731771.db2.gz NEBBIBGLEIEJAU-ZBEGNZNMSA-N 1 2 281.346 3.527 20 0 CHADLO Cc1csc(SCc2cn3cc(C)ccc3[nH+]2)n1 ZINC000078457788 1128937149 /nfs/dbraw/zinc/93/71/49/1128937149.db2.gz XPZYVUBDTHFXPA-UHFFFAOYSA-N 1 2 275.402 3.700 20 0 CHADLO CCc1cnc(C[N@@H+]2CCc3ccc(C(C)C)cc3C2)o1 ZINC000661373420 1120758142 /nfs/dbraw/zinc/75/81/42/1120758142.db2.gz JOSXGTIKJPLLIR-UHFFFAOYSA-N 1 2 284.403 3.919 20 0 CHADLO CCc1cnc(C[N@H+]2CCc3ccc(C(C)C)cc3C2)o1 ZINC000661373420 1120758145 /nfs/dbraw/zinc/75/81/45/1120758145.db2.gz JOSXGTIKJPLLIR-UHFFFAOYSA-N 1 2 284.403 3.919 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@H+]([C@H]1CCCOC1)CC2 ZINC000661372618 1120758220 /nfs/dbraw/zinc/75/82/20/1120758220.db2.gz FKGBKNZSACDIMZ-NSHDSACASA-N 1 2 286.202 3.531 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@@H+]([C@H]1CCCOC1)CC2 ZINC000661372618 1120758224 /nfs/dbraw/zinc/75/82/24/1120758224.db2.gz FKGBKNZSACDIMZ-NSHDSACASA-N 1 2 286.202 3.531 20 0 CHADLO Cc1nc(C[N@H+]2CCc3ccc(C(C)C)cc3C2)oc1C ZINC000661373042 1120758919 /nfs/dbraw/zinc/75/89/19/1120758919.db2.gz FRPXLTULULCANH-UHFFFAOYSA-N 1 2 284.403 3.973 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3ccc(C(C)C)cc3C2)oc1C ZINC000661373042 1120758922 /nfs/dbraw/zinc/75/89/22/1120758922.db2.gz FRPXLTULULCANH-UHFFFAOYSA-N 1 2 284.403 3.973 20 0 CHADLO C[C@H](c1cnccn1)[N@@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661435781 1120765831 /nfs/dbraw/zinc/76/58/31/1120765831.db2.gz HMSQNEPHRLTFKH-CJNGLKHVSA-N 1 2 283.375 3.748 20 0 CHADLO C[C@H](c1cnccn1)[N@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661435781 1120765835 /nfs/dbraw/zinc/76/58/35/1120765835.db2.gz HMSQNEPHRLTFKH-CJNGLKHVSA-N 1 2 283.375 3.748 20 0 CHADLO COc1ccc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)nc1 ZINC000661437369 1120765932 /nfs/dbraw/zinc/76/59/32/1120765932.db2.gz KYBNETLTQLWXMT-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ccc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)nc1 ZINC000661437369 1120765935 /nfs/dbraw/zinc/76/59/35/1120765935.db2.gz KYBNETLTQLWXMT-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ccc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)cn1 ZINC000661446870 1120767131 /nfs/dbraw/zinc/76/71/31/1120767131.db2.gz SEWLYITWLQWBMT-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ccc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)cn1 ZINC000661446870 1120767134 /nfs/dbraw/zinc/76/71/34/1120767134.db2.gz SEWLYITWLQWBMT-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2ccccc2F)C(C)C)c(C)o1 ZINC000661483560 1120770085 /nfs/dbraw/zinc/77/00/85/1120770085.db2.gz HBMHENUWCLQTGR-MRXNPFEDSA-N 1 2 276.355 3.917 20 0 CHADLO Cc1nc(C[NH2+][C@H](c2ccccc2F)C(C)C)co1 ZINC000661486494 1120771136 /nfs/dbraw/zinc/77/11/36/1120771136.db2.gz WTUIWSBIGHMABZ-HNNXBMFYSA-N 1 2 262.328 3.609 20 0 CHADLO Cc1nnc(C[N@@H+](C)Cc2c(C)c(C)c(C)c(C)c2C)o1 ZINC000661530043 1120774624 /nfs/dbraw/zinc/77/46/24/1120774624.db2.gz JMGGSWRUFYHJTA-UHFFFAOYSA-N 1 2 287.407 3.552 20 0 CHADLO Cc1nnc(C[N@H+](C)Cc2c(C)c(C)c(C)c(C)c2C)o1 ZINC000661530043 1120774626 /nfs/dbraw/zinc/77/46/26/1120774626.db2.gz JMGGSWRUFYHJTA-UHFFFAOYSA-N 1 2 287.407 3.552 20 0 CHADLO C/C=C/C[C@H]([NH2+][C@@H](CC)Cc1cccs1)C(=O)OCC ZINC000617856399 1120787826 /nfs/dbraw/zinc/78/78/26/1120787826.db2.gz GTFSICYSOZRKMN-BPFGOOJPSA-N 1 2 295.448 3.557 20 0 CHADLO Cc1cccc(CC[N@@H+]2CCCCC(F)(F)C2)c1 ZINC001207532765 1120790175 /nfs/dbraw/zinc/79/01/75/1120790175.db2.gz FLQIPCNBLVJMQO-UHFFFAOYSA-N 1 2 253.336 3.659 20 0 CHADLO Cc1cccc(CC[N@H+]2CCCCC(F)(F)C2)c1 ZINC001207532765 1120790178 /nfs/dbraw/zinc/79/01/78/1120790178.db2.gz FLQIPCNBLVJMQO-UHFFFAOYSA-N 1 2 253.336 3.659 20 0 CHADLO C[C@H]([NH2+]C1(c2ccccc2Cl)CCC1)c1nccn1C ZINC000652216326 1120799935 /nfs/dbraw/zinc/79/99/35/1120799935.db2.gz QGACNZACYRRFJP-LBPRGKRZSA-N 1 2 289.810 3.804 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2csc(C)c2)c1 ZINC000652330255 1120828023 /nfs/dbraw/zinc/82/80/23/1120828023.db2.gz AIUXLXXVZKKIOI-NSHDSACASA-N 1 2 262.378 3.771 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCC[C@H]2CCCF)c1 ZINC000652330826 1120828621 /nfs/dbraw/zinc/82/86/21/1120828621.db2.gz QKYYSLQUXGPNGG-KBPBESRZSA-N 1 2 266.360 3.558 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000048812036 1120843550 /nfs/dbraw/zinc/84/35/50/1120843550.db2.gz KRCFIUKCDKHUNQ-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000048812036 1120843552 /nfs/dbraw/zinc/84/35/52/1120843552.db2.gz KRCFIUKCDKHUNQ-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO CC(C)c1[nH+]cc(-c2cncc(C(F)(F)F)c2)n1C ZINC000630052109 1128945786 /nfs/dbraw/zinc/94/57/86/1128945786.db2.gz FQYASPZLFDRFCJ-UHFFFAOYSA-N 1 2 269.270 3.624 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2csc3ccccc23)nn1C ZINC000487376023 1120869495 /nfs/dbraw/zinc/86/94/95/1120869495.db2.gz IEWRIHGLMHEZBI-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2csc3ccccc23)nn1C ZINC000487376023 1120869498 /nfs/dbraw/zinc/86/94/98/1120869498.db2.gz IEWRIHGLMHEZBI-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+](Cc1csnn1)C(C)C ZINC000662108154 1120877537 /nfs/dbraw/zinc/87/75/37/1120877537.db2.gz WZCJHJSPKABSCA-OAHLLOKOSA-N 1 2 275.421 3.900 20 0 CHADLO CC[C@H](c1ccccc1)[N@@H+](Cc1csnn1)C(C)C ZINC000662108154 1120877541 /nfs/dbraw/zinc/87/75/41/1120877541.db2.gz WZCJHJSPKABSCA-OAHLLOKOSA-N 1 2 275.421 3.900 20 0 CHADLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)Nc1ccc2c(c1)C=CCCC2 ZINC000618850536 1128946605 /nfs/dbraw/zinc/94/66/05/1128946605.db2.gz GFIYLHAQWGBBFV-ZDUSSCGKSA-N 1 2 295.386 3.577 20 0 CHADLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1ccc2c(c1)C=CCCC2 ZINC000618850536 1128946609 /nfs/dbraw/zinc/94/66/09/1128946609.db2.gz GFIYLHAQWGBBFV-ZDUSSCGKSA-N 1 2 295.386 3.577 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000652565426 1120885756 /nfs/dbraw/zinc/88/57/56/1120885756.db2.gz SOCJVFZQFXWJKL-ZDUSSCGKSA-N 1 2 286.379 3.591 20 0 CHADLO Cc1sc(Cn2cc[nH+]c2)nc1-c1cc(C)ccc1C ZINC000663221142 1120892944 /nfs/dbraw/zinc/89/29/44/1120892944.db2.gz YXSGWVVCLXIQPL-UHFFFAOYSA-N 1 2 283.400 3.980 20 0 CHADLO Cc1scc(C[N@@H+]2CCO[C@H](CC(F)(F)F)C2)c1C ZINC000630072572 1128948953 /nfs/dbraw/zinc/94/89/53/1128948953.db2.gz GIQGSJJGAIVAKT-GFCCVEGCSA-N 1 2 293.354 3.518 20 0 CHADLO Cc1scc(C[N@H+]2CCO[C@H](CC(F)(F)F)C2)c1C ZINC000630072572 1128948958 /nfs/dbraw/zinc/94/89/58/1128948958.db2.gz GIQGSJJGAIVAKT-GFCCVEGCSA-N 1 2 293.354 3.518 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)n1 ZINC000628305317 1120925822 /nfs/dbraw/zinc/92/58/22/1120925822.db2.gz WKDMORUPHRRESE-KRWDZBQOSA-N 1 2 281.403 3.835 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)n1 ZINC000628305317 1120925826 /nfs/dbraw/zinc/92/58/26/1120925826.db2.gz WKDMORUPHRRESE-KRWDZBQOSA-N 1 2 281.403 3.835 20 0 CHADLO CC1(C)C[C@@]1([NH2+]Cc1noc2c1CCCC2)c1ccccc1 ZINC000662343761 1120928648 /nfs/dbraw/zinc/92/86/48/1120928648.db2.gz NRINKWJPBMUYSX-LJQANCHMSA-N 1 2 296.414 3.968 20 0 CHADLO Cc1nc(C[NH2+][C@]2(c3ccccc3)CC2(C)C)oc1C ZINC000662345311 1120929738 /nfs/dbraw/zinc/92/97/38/1120929738.db2.gz YFBSXDATTJVNDT-KRWDZBQOSA-N 1 2 270.376 3.706 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662365642 1120930864 /nfs/dbraw/zinc/93/08/64/1120930864.db2.gz SQCPXQYKOXVEFZ-ZETOZRRWSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662365642 1120930866 /nfs/dbraw/zinc/93/08/66/1120930866.db2.gz SQCPXQYKOXVEFZ-ZETOZRRWSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@@]1(C(F)F)C[N@@H+]([C@@H]2CCCc3ccc(F)cc32)CCO1 ZINC000662370996 1120932043 /nfs/dbraw/zinc/93/20/43/1120932043.db2.gz GAWKQVGBTITTJZ-ZBFHGGJFSA-N 1 2 299.336 3.559 20 0 CHADLO C[C@@]1(C(F)F)C[N@H+]([C@@H]2CCCc3ccc(F)cc32)CCO1 ZINC000662370996 1120932046 /nfs/dbraw/zinc/93/20/46/1120932046.db2.gz GAWKQVGBTITTJZ-ZBFHGGJFSA-N 1 2 299.336 3.559 20 0 CHADLO Fc1ccc(-c2ccc(C[N@@H+]3CCO[C@@H]4C[C@@H]43)s2)cc1 ZINC000644836641 1120952593 /nfs/dbraw/zinc/95/25/93/1120952593.db2.gz BEKWWSIMTLQYBO-LSDHHAIUSA-N 1 2 289.375 3.527 20 0 CHADLO Fc1ccc(-c2ccc(C[N@H+]3CCO[C@@H]4C[C@@H]43)s2)cc1 ZINC000644836641 1120952598 /nfs/dbraw/zinc/95/25/98/1120952598.db2.gz BEKWWSIMTLQYBO-LSDHHAIUSA-N 1 2 289.375 3.527 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2cc(Cl)ccc2F)o1 ZINC000291706070 1120955831 /nfs/dbraw/zinc/95/58/31/1120955831.db2.gz KRTVXYDVOAZWLZ-UHFFFAOYSA-N 1 2 282.746 3.628 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)CC(=O)OC(C)(C)C)c(C)c1 ZINC000604080869 1120976947 /nfs/dbraw/zinc/97/69/47/1120976947.db2.gz IYKRANZTKSXPQD-CQSZACIVSA-N 1 2 277.408 3.638 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)CC(=O)OC(C)(C)C)c(C)c1 ZINC000604080869 1120976952 /nfs/dbraw/zinc/97/69/52/1120976952.db2.gz IYKRANZTKSXPQD-CQSZACIVSA-N 1 2 277.408 3.638 20 0 CHADLO CCC[N@H+](CCc1ccccc1Cl)CC(F)F ZINC000303057188 1120988892 /nfs/dbraw/zinc/98/88/92/1120988892.db2.gz XCTAPAJNILKRND-UHFFFAOYSA-N 1 2 261.743 3.860 20 0 CHADLO CCC[N@@H+](CCc1ccccc1Cl)CC(F)F ZINC000303057188 1120988896 /nfs/dbraw/zinc/98/88/96/1120988896.db2.gz XCTAPAJNILKRND-UHFFFAOYSA-N 1 2 261.743 3.860 20 0 CHADLO COc1cncc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)c1 ZINC000343963698 1121001899 /nfs/dbraw/zinc/00/18/99/1121001899.db2.gz DIBRCWQTGHBYEC-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cncc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)c1 ZINC000343963698 1121001902 /nfs/dbraw/zinc/00/19/02/1121001902.db2.gz DIBRCWQTGHBYEC-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc(C(F)(F)F)cc1 ZINC001174773250 1121026515 /nfs/dbraw/zinc/02/65/15/1121026515.db2.gz QSPGRXNBKSJSCW-UHFFFAOYSA-N 1 2 281.281 3.910 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@@H+]1CC[C@H](C)[C@@H](C)C1 ZINC001307589492 1121041142 /nfs/dbraw/zinc/04/11/42/1121041142.db2.gz OHAZTGOLJYCQCE-HUBLWGQQSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@H+]1CC[C@H](C)[C@@H](C)C1 ZINC001307589492 1121041149 /nfs/dbraw/zinc/04/11/49/1121041149.db2.gz OHAZTGOLJYCQCE-HUBLWGQQSA-N 1 2 295.810 3.532 20 0 CHADLO CCOC(=O)Cc1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001212518404 1121041924 /nfs/dbraw/zinc/04/19/24/1121041924.db2.gz DBTBSWZJEFWCFL-UHFFFAOYSA-N 1 2 296.370 3.808 20 0 CHADLO COc1ccc(Nc2cc[nH+]c(SC)c2)cc1C ZINC001174829130 1121048606 /nfs/dbraw/zinc/04/86/06/1121048606.db2.gz YFNGBVNUHZOZQM-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO CNc1ccc(Nc2cc(Cl)cc(Cl)n2)c[nH+]1 ZINC001203448933 1121064703 /nfs/dbraw/zinc/06/47/03/1121064703.db2.gz RKJGVRNSHFSCTB-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2Cc3ccc(O)cc3C2)c1 ZINC000625726506 1121068825 /nfs/dbraw/zinc/06/88/25/1121068825.db2.gz LRKMXIKDGXGEGZ-UHFFFAOYSA-N 1 2 283.371 3.695 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2Cc3ccc(O)cc3C2)c1 ZINC000625726506 1121068833 /nfs/dbraw/zinc/06/88/33/1121068833.db2.gz LRKMXIKDGXGEGZ-UHFFFAOYSA-N 1 2 283.371 3.695 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H](C)C3CCCC3)cc2[nH+]1 ZINC000674079943 1121076175 /nfs/dbraw/zinc/07/61/75/1121076175.db2.gz YONQFBVJOXOUSZ-SNVBAGLBSA-N 1 2 271.364 3.636 20 0 CHADLO Cc1cn(-c2ccc([NH+](C)C)cc2)c2cccc(N)c12 ZINC001174878856 1121077813 /nfs/dbraw/zinc/07/78/13/1121077813.db2.gz LHDMZADAIPHCGO-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO Cc1cc2c(ccc(N)c2F)n1-c1ccc([NH+](C)C)cc1 ZINC001174879900 1121079887 /nfs/dbraw/zinc/07/98/87/1121079887.db2.gz FWWCHMOKTKCRQL-UHFFFAOYSA-N 1 2 283.350 3.726 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]Cc2ncc(C)o2)cc1 ZINC000223667478 1121082652 /nfs/dbraw/zinc/08/26/52/1121082652.db2.gz QACLYRJZMDVOLO-NSHDSACASA-N 1 2 262.378 3.556 20 0 CHADLO CCc1cccc(Nc2cccc(C(=O)OC(C)C)c2)[nH+]1 ZINC001174915808 1121092012 /nfs/dbraw/zinc/09/20/12/1121092012.db2.gz PHIITJGGLOPUKJ-UHFFFAOYSA-N 1 2 284.359 3.953 20 0 CHADLO COCC(C)(C)C[NH2+]c1ccc(N2CCCCC2)cc1 ZINC000700600538 1121111886 /nfs/dbraw/zinc/11/18/86/1121111886.db2.gz NVMAEUOURXJOLM-UHFFFAOYSA-N 1 2 276.424 3.761 20 0 CHADLO CN(C)c1cccc(Nc2[nH+]cccc2N2CCCCC2)c1 ZINC001174965306 1121116452 /nfs/dbraw/zinc/11/64/52/1121116452.db2.gz VYTISPCRBFNYBD-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO Cc1cc(C)c(Nc2ccc(F)c(F)c2F)c[nH+]1 ZINC001174969758 1121120014 /nfs/dbraw/zinc/12/00/14/1121120014.db2.gz SBFVTKQACDXFOF-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1[nH+]c(C)nc3[nH]ccc31)CC2 ZINC000674336792 1121120376 /nfs/dbraw/zinc/12/03/76/1121120376.db2.gz AILBXARDURLNIM-HNNXBMFYSA-N 1 2 278.359 3.674 20 0 CHADLO CCc1cnc(C[NH2+]C2(c3cccc(F)c3)CC2)s1 ZINC000344212073 1121121713 /nfs/dbraw/zinc/12/17/13/1121121713.db2.gz IQYCQKQCTKQIHC-UHFFFAOYSA-N 1 2 276.380 3.624 20 0 CHADLO COc1ccc(Nc2[nH+]c3ccccc3n2C)c(F)c1F ZINC001175021601 1121137622 /nfs/dbraw/zinc/13/76/22/1121137622.db2.gz VJWCBFUFRZROEZ-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO Cc1ccc([N@H+]2C(=N)C=CC(Cl)=C2N)c2ccccc12 ZINC001174988293 1121143589 /nfs/dbraw/zinc/14/35/89/1121143589.db2.gz MYYBQVXUNIHWMY-UHFFFAOYSA-N 1 2 283.762 3.654 20 0 CHADLO Cc1ccc([N@@H+]2C(=N)C=CC(Cl)=C2N)c2ccccc12 ZINC001174988293 1121143595 /nfs/dbraw/zinc/14/35/95/1121143595.db2.gz MYYBQVXUNIHWMY-UHFFFAOYSA-N 1 2 283.762 3.654 20 0 CHADLO CCOc1ccc(Nc2cccc(CC)[nH+]2)cc1F ZINC001175067589 1121147491 /nfs/dbraw/zinc/14/74/91/1121147491.db2.gz XNFVKZYWSLYPMF-UHFFFAOYSA-N 1 2 260.312 3.925 20 0 CHADLO CCOc1ccc(Nc2ccc3c(c2)[nH+]cn3C)cc1F ZINC001175068293 1121148279 /nfs/dbraw/zinc/14/82/79/1121148279.db2.gz KHQUODPTMYMQEU-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO FC[C@H]([NH2+]Cc1cnc(C2CC2)s1)c1ccc(F)cc1 ZINC000631283117 1121159966 /nfs/dbraw/zinc/15/99/66/1121159966.db2.gz IJOUFVWZKJGNMN-AWEZNQCLSA-N 1 2 294.370 3.960 20 0 CHADLO CCOc1cc(Nc2cccn3cc(C)[nH+]c23)ccc1F ZINC001175050772 1121160131 /nfs/dbraw/zinc/16/01/31/1121160131.db2.gz MKTMABRVXSVBNM-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(F)c(OCC)c1 ZINC001175050421 1121160264 /nfs/dbraw/zinc/16/02/64/1121160264.db2.gz HRBSCHIYSBRIBK-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCC(F)(F)F ZINC000063106201 1121163353 /nfs/dbraw/zinc/16/33/53/1121163353.db2.gz CWJPSUFFMUMFIJ-UHFFFAOYSA-N 1 2 254.255 3.908 20 0 CHADLO [NH2+]=CNc1cccc(-c2ccccc2OC(F)(F)F)n1 ZINC001167864614 1121166483 /nfs/dbraw/zinc/16/64/83/1121166483.db2.gz HAAJRGMTABOVRQ-UHFFFAOYSA-N 1 2 281.237 3.666 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)cc1C(F)(F)F ZINC001175101370 1121166552 /nfs/dbraw/zinc/16/65/52/1121166552.db2.gz GTVUREPQWWJFFO-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO COc1cc(C)c(Nc2ccc3c(c2)[nH+]cn3C)cc1C ZINC001175114762 1121171119 /nfs/dbraw/zinc/17/11/19/1121171119.db2.gz KSTXGNNJBZRGIF-UHFFFAOYSA-N 1 2 281.359 3.942 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(Cl)cc2F)cn1 ZINC000674757619 1121177125 /nfs/dbraw/zinc/17/71/25/1121177125.db2.gz FQXUTENAZOKFMV-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(Cl)cc2F)cn1 ZINC000674757619 1121177126 /nfs/dbraw/zinc/17/71/26/1121177126.db2.gz FQXUTENAZOKFMV-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cc(CO)ccc1F ZINC001175130624 1121195227 /nfs/dbraw/zinc/19/52/27/1121195227.db2.gz LADUKNWOZQWYLK-UHFFFAOYSA-N 1 2 288.366 3.964 20 0 CHADLO Cc1cn2c(cccc2Nc2c(F)cc(F)cc2F)[nH+]1 ZINC001175145519 1121201869 /nfs/dbraw/zinc/20/18/69/1121201869.db2.gz NDPQLKSXKYGUSJ-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO CSc1ccccc1OC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001128918520 1121207413 /nfs/dbraw/zinc/20/74/13/1121207413.db2.gz PJXXHGQTUGKYPB-UHFFFAOYSA-N 1 2 298.367 3.584 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cn2ccc(C(F)(F)F)n2)c1 ZINC000766089224 1121211742 /nfs/dbraw/zinc/21/17/42/1121211742.db2.gz CTAMZULTXMJLMQ-UHFFFAOYSA-N 1 2 297.324 3.608 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cn2ccc(C(F)(F)F)n2)c1 ZINC000766089224 1121211745 /nfs/dbraw/zinc/21/17/45/1121211745.db2.gz CTAMZULTXMJLMQ-UHFFFAOYSA-N 1 2 297.324 3.608 20 0 CHADLO CCc1cnc(C[NH2+]Cc2cccc(Cl)c2F)s1 ZINC000230155865 1121216940 /nfs/dbraw/zinc/21/69/40/1121216940.db2.gz WREGGEMENBOAQE-UHFFFAOYSA-N 1 2 284.787 3.788 20 0 CHADLO Cc1ccc(NCc2ccccc2OC(F)F)c(C)[nH+]1 ZINC000094664870 1121219704 /nfs/dbraw/zinc/21/97/04/1121219704.db2.gz PWQBRTLXLNDBAQ-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000675125074 1121221272 /nfs/dbraw/zinc/22/12/72/1121221272.db2.gz UNOWDIDVAWFXTD-MRXNPFEDSA-N 1 2 274.339 3.632 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000675125074 1121221279 /nfs/dbraw/zinc/22/12/79/1121221279.db2.gz UNOWDIDVAWFXTD-MRXNPFEDSA-N 1 2 274.339 3.632 20 0 CHADLO Cc1cc(N2CC(Cc3ccccc3C)C2)nc(C2CC2)[nH+]1 ZINC000675153058 1121222089 /nfs/dbraw/zinc/22/20/89/1121222089.db2.gz WLCUTRVBUYPAQY-UHFFFAOYSA-N 1 2 293.414 3.650 20 0 CHADLO CC(C)c1nc(C[N@H+](C)Cc2ccccc2)cs1 ZINC000051122450 1121223689 /nfs/dbraw/zinc/22/36/89/1121223689.db2.gz SHWJYANLXOXAGG-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO CC(C)c1nc(C[N@@H+](C)Cc2ccccc2)cs1 ZINC000051122450 1121223692 /nfs/dbraw/zinc/22/36/92/1121223692.db2.gz SHWJYANLXOXAGG-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO Cc1noc(C[N@@H+](C)Cc2ccccc2-c2ccccc2)n1 ZINC000337674337 1121225362 /nfs/dbraw/zinc/22/53/62/1121225362.db2.gz KWZAJGWFSFFMDK-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1noc(C[N@H+](C)Cc2ccccc2-c2ccccc2)n1 ZINC000337674337 1121225367 /nfs/dbraw/zinc/22/53/67/1121225367.db2.gz KWZAJGWFSFFMDK-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO CC[C@@H](CC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)C(C)(C)C ZINC000630909758 1128974986 /nfs/dbraw/zinc/97/49/86/1128974986.db2.gz ATXUGHGXMZTEPO-KGLIPLIRSA-N 1 2 291.439 3.578 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)ccc1F ZINC001175253523 1121235506 /nfs/dbraw/zinc/23/55/06/1121235506.db2.gz UGXGYAAQJQPGHB-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO CCOc1cccc(Nc2cccc3[nH+]ccn32)c1F ZINC001175234467 1121236804 /nfs/dbraw/zinc/23/68/04/1121236804.db2.gz LYYUDSGYKOUZKX-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOc1cccc(Nc2cccc3[nH+]c(C)cn32)c1F ZINC001175234453 1121237251 /nfs/dbraw/zinc/23/72/51/1121237251.db2.gz LHZQOAQIXDYGOF-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)ccc1F ZINC001175299368 1121261870 /nfs/dbraw/zinc/26/18/70/1121261870.db2.gz KSDKMNIQPSNTCL-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO Cc1ccncc1C[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000123234370 1121263289 /nfs/dbraw/zinc/26/32/89/1121263289.db2.gz HDJSBUNFTYSZTM-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO CCc1ccc[nH+]c1Nc1c(C)cccc1COC(C)=O ZINC001212768730 1121269411 /nfs/dbraw/zinc/26/94/11/1121269411.db2.gz VQNZPLBHVHDDJQ-UHFFFAOYSA-N 1 2 284.359 3.759 20 0 CHADLO CN(C)c1ccc(Nc2cnccc2-c2ccccc2)c[nH+]1 ZINC001175341967 1121272160 /nfs/dbraw/zinc/27/21/60/1121272160.db2.gz XWZCTGFJMLKDBR-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CN(C)c1ccc(Nc2ccc(-c3ccncc3)cc2)c[nH+]1 ZINC001175343360 1121272405 /nfs/dbraw/zinc/27/24/05/1121272405.db2.gz GTWAISPICLYAEY-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CN(C)c1ccc(Nc2c(N)cc(Cl)cc2Cl)c[nH+]1 ZINC001175344217 1121274397 /nfs/dbraw/zinc/27/43/97/1121274397.db2.gz BMMAZOUDQLMEMC-UHFFFAOYSA-N 1 2 297.189 3.780 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccccc1[S@@](C)=O ZINC001175303473 1121276761 /nfs/dbraw/zinc/27/67/61/1121276761.db2.gz DONQWAQZVRPEKU-HXUWFJFHSA-N 1 2 288.416 3.994 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccccc2OC(F)F)s1 ZINC000124029483 1121286180 /nfs/dbraw/zinc/28/61/80/1121286180.db2.gz BYARSJYVHXJRRF-JTQLQIEISA-N 1 2 298.358 3.904 20 0 CHADLO COc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)ccc1F ZINC001175395866 1121290501 /nfs/dbraw/zinc/29/05/01/1121290501.db2.gz RGYRNJGVHPYUSZ-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO C[C@@H](C1CC1)[N@H+](CC1CCOCC1)c1ccccc1 ZINC001175352794 1121293486 /nfs/dbraw/zinc/29/34/86/1121293486.db2.gz RVYPFVDNRRIEMI-AWEZNQCLSA-N 1 2 259.393 3.718 20 0 CHADLO C[C@@H](C1CC1)[N@@H+](CC1CCOCC1)c1ccccc1 ZINC001175352794 1121293494 /nfs/dbraw/zinc/29/34/94/1121293494.db2.gz RVYPFVDNRRIEMI-AWEZNQCLSA-N 1 2 259.393 3.718 20 0 CHADLO Cn1ncc2c1cccc2Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001175435206 1121322347 /nfs/dbraw/zinc/32/23/47/1121322347.db2.gz NWYNDSUOGFVGNP-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO COc1nc(C)ccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491843 1121343959 /nfs/dbraw/zinc/34/39/59/1121343959.db2.gz SADHUOPHOXROEX-UHFFFAOYSA-N 1 2 269.348 3.723 20 0 CHADLO OCc1cccc(Nc2cccc(C3CCC3)[nH+]2)c1 ZINC001175514517 1121355994 /nfs/dbraw/zinc/35/59/94/1121355994.db2.gz ZLFBDIKWDYJUOP-UHFFFAOYSA-N 1 2 254.333 3.585 20 0 CHADLO CSc1cc(Nc2cc3c[nH]nc3c(C)c2)cc[nH+]1 ZINC001175517489 1121357632 /nfs/dbraw/zinc/35/76/32/1121357632.db2.gz NJVTXKMGJWPWLV-UHFFFAOYSA-N 1 2 270.361 3.732 20 0 CHADLO Cc1cc(Nc2cccc(-n3cc[nH+]c3)c2)cc2c[nH]nc21 ZINC001175517110 1121358708 /nfs/dbraw/zinc/35/87/08/1121358708.db2.gz CMYMYIWDYDXZLT-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1ccc2n[nH]cc2c1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001175526243 1121367615 /nfs/dbraw/zinc/36/76/15/1121367615.db2.gz PKAXUNQXNWGQBM-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)cc(OC)c2)c[nH+]1 ZINC001211726516 1121372567 /nfs/dbraw/zinc/37/25/67/1121372567.db2.gz FYMACBLKFNHYCK-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO COc1cc[nH+]cc1NC=Cc1ccc(Cl)cc1 ZINC001175593938 1121376123 /nfs/dbraw/zinc/37/61/23/1121376123.db2.gz LSMYDQFMHSDNGZ-RMKNXTFCSA-N 1 2 260.724 3.826 20 0 CHADLO Fc1cc(Nc2cc[nH+]c3ccc(Cl)cc23)cc(F)n1 ZINC001213078173 1121376076 /nfs/dbraw/zinc/37/60/76/1121376076.db2.gz MUXWLMMOXVTARG-UHFFFAOYSA-N 1 2 291.688 3.727 20 0 CHADLO Clc1ccc2c(c1Cl)CC[C@H]2[NH2+]Cc1ccon1 ZINC000675927568 1121384692 /nfs/dbraw/zinc/38/46/92/1121384692.db2.gz JZKSDGSHHXHIQM-GFCCVEGCSA-N 1 2 283.158 3.759 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1csc(C(C)(C)C)n1 ZINC000676042355 1121399094 /nfs/dbraw/zinc/39/90/94/1121399094.db2.gz WLBIKEKSDLKDCP-UHFFFAOYSA-N 1 2 284.469 3.688 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1csc(C(C)(C)C)n1 ZINC000676042355 1121399104 /nfs/dbraw/zinc/39/91/04/1121399104.db2.gz WLBIKEKSDLKDCP-UHFFFAOYSA-N 1 2 284.469 3.688 20 0 CHADLO COc1ccc2c(c1F)CC[C@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000676045933 1121401388 /nfs/dbraw/zinc/40/13/88/1121401388.db2.gz AYTWBXCBFFQWHV-DGCLKSJQSA-N 1 2 285.309 3.599 20 0 CHADLO Cc1n[nH]cc1[C@@H](C)[NH2+][C@H](c1ccccc1)c1ccccn1 ZINC000676159528 1121410711 /nfs/dbraw/zinc/41/07/11/1121410711.db2.gz DQDRXMARKAXERP-FZKQIMNGSA-N 1 2 292.386 3.553 20 0 CHADLO COc1cc(Nc2ccc3ccncc3c2)cc(C)[nH+]1 ZINC001175701979 1121419102 /nfs/dbraw/zinc/41/91/02/1121419102.db2.gz OIMQQEGZDXZMJD-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Cc1cc(N2CCCC[C@@H]2c2cc[nH]n2)nc(C2CCC2)[nH+]1 ZINC000893819063 1121429084 /nfs/dbraw/zinc/42/90/84/1121429084.db2.gz HCZIBAMKXHTARY-OAHLLOKOSA-N 1 2 297.406 3.507 20 0 CHADLO Cc1ccc(N)c(Nc2c[nH+]c(N)cc2C(F)(F)F)c1C ZINC001175712520 1121434610 /nfs/dbraw/zinc/43/46/10/1121434610.db2.gz CNDNPSDSLLYDDT-UHFFFAOYSA-N 1 2 296.296 3.625 20 0 CHADLO CCc1noc(CC)c1C[NH2+][C@H](C)c1nc(C)sc1C ZINC000676492316 1121436266 /nfs/dbraw/zinc/43/62/66/1121436266.db2.gz MFXGPPIIBRATBH-SECBINFHSA-N 1 2 293.436 3.724 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2CCC[C@H]2c2ccccc2C)c1C ZINC000893943654 1121442949 /nfs/dbraw/zinc/44/29/49/1121442949.db2.gz AYXVGIGDKCPSMP-KRWDZBQOSA-N 1 2 269.392 3.672 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CCC[C@H]2c2ccccc2C)c1C ZINC000893943654 1121442950 /nfs/dbraw/zinc/44/29/50/1121442950.db2.gz AYXVGIGDKCPSMP-KRWDZBQOSA-N 1 2 269.392 3.672 20 0 CHADLO CCCn1nc(C)cc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001175797974 1121451274 /nfs/dbraw/zinc/45/12/74/1121451274.db2.gz BBAZGPZTTHYWEA-UHFFFAOYSA-N 1 2 299.422 3.730 20 0 CHADLO C[C@@H]1CN(c2ccc(NC3=CCCCC3)c[nH+]2)C[C@H](C)O1 ZINC001175753449 1121452338 /nfs/dbraw/zinc/45/23/38/1121452338.db2.gz YMGQNDOTSFDOOK-OKILXGFUSA-N 1 2 287.407 3.565 20 0 CHADLO CSc1ccc(C[N@H+]2CC=C(C(F)(F)F)CC2)o1 ZINC000894049329 1121460291 /nfs/dbraw/zinc/46/02/91/1121460291.db2.gz GCFWIZFLSHCJEK-UHFFFAOYSA-N 1 2 277.311 3.696 20 0 CHADLO CSc1ccc(C[N@@H+]2CC=C(C(F)(F)F)CC2)o1 ZINC000894049329 1121460294 /nfs/dbraw/zinc/46/02/94/1121460294.db2.gz GCFWIZFLSHCJEK-UHFFFAOYSA-N 1 2 277.311 3.696 20 0 CHADLO C[C@@H](CCC(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000676725275 1121460420 /nfs/dbraw/zinc/46/04/20/1121460420.db2.gz MMSADNCLXARCCO-AWEZNQCLSA-N 1 2 293.370 3.857 20 0 CHADLO c1sc(C2CC2)nc1C[NH2+][C@@H]1CCCc2cccnc21 ZINC000708228042 1121464071 /nfs/dbraw/zinc/46/40/71/1121464071.db2.gz OIMRTLQJLDATIF-CQSZACIVSA-N 1 2 285.416 3.583 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2c(C)noc2C)c[nH+]1 ZINC000925932660 1121467635 /nfs/dbraw/zinc/46/76/35/1121467635.db2.gz NERVYJBSMLXOBJ-LLVKDONJSA-N 1 2 288.395 3.706 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2ccc(C)cc2F)[nH]1 ZINC000631119840 1128986316 /nfs/dbraw/zinc/98/63/16/1128986316.db2.gz DNTZWYZTCYSRGN-RYUDHWBXSA-N 1 2 290.386 3.617 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(-c2ccccc2)nc1 ZINC001175833235 1121469543 /nfs/dbraw/zinc/46/95/43/1121469543.db2.gz SXCYKLXNEHWNPG-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO CCn1ccc(C[N@H+](C)Cc2cc(-c3ccccc3)on2)c1 ZINC000671627730 1121479719 /nfs/dbraw/zinc/47/97/19/1121479719.db2.gz HMBPUQUTWNZPAH-UHFFFAOYSA-N 1 2 295.386 3.795 20 0 CHADLO CCn1ccc(C[N@@H+](C)Cc2cc(-c3ccccc3)on2)c1 ZINC000671627730 1121479724 /nfs/dbraw/zinc/47/97/24/1121479724.db2.gz HMBPUQUTWNZPAH-UHFFFAOYSA-N 1 2 295.386 3.795 20 0 CHADLO CC1(C)C[N@H+](Cc2csc(Cl)c2Cl)CC[C@@H]1O ZINC000432921440 1121481291 /nfs/dbraw/zinc/48/12/91/1121481291.db2.gz BWYGDWMDHOBJGV-VIFPVBQESA-N 1 2 294.247 3.648 20 0 CHADLO CC1(C)C[N@@H+](Cc2csc(Cl)c2Cl)CC[C@@H]1O ZINC000432921440 1121481294 /nfs/dbraw/zinc/48/12/94/1121481294.db2.gz BWYGDWMDHOBJGV-VIFPVBQESA-N 1 2 294.247 3.648 20 0 CHADLO Cc1ccc(Nc2cccc3c2ccn3C)c(C)[nH+]1 ZINC001175863398 1121483134 /nfs/dbraw/zinc/48/31/34/1121483134.db2.gz SHZYQKPHVJVVFM-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2nnc(C(C)(C)C)[nH]2)c1 ZINC000432930468 1121484693 /nfs/dbraw/zinc/48/46/93/1121484693.db2.gz YAGUIXWPUJUVLH-OAHLLOKOSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2nnc(C(C)(C)C)[nH]2)c1 ZINC000432930468 1121484697 /nfs/dbraw/zinc/48/46/97/1121484697.db2.gz YAGUIXWPUJUVLH-OAHLLOKOSA-N 1 2 298.434 3.748 20 0 CHADLO CC[C@H](C)[C@H](C)[NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1 ZINC000246062048 1121489405 /nfs/dbraw/zinc/48/94/05/1121489405.db2.gz CQCCNCDYJCBXBF-KKUMJFAQSA-N 1 2 276.424 3.758 20 0 CHADLO COC(=O)C1(c2ccc(Nc3c[nH+]c(C)cc3C)cc2)CC1 ZINC001175916360 1121497822 /nfs/dbraw/zinc/49/78/22/1121497822.db2.gz BUUXSQCXUGHHFE-UHFFFAOYSA-N 1 2 296.370 3.647 20 0 CHADLO CCc1cccc(Nc2cccc(-c3nnc(C)o3)c2)[nH+]1 ZINC001175992474 1121514661 /nfs/dbraw/zinc/51/46/61/1121514661.db2.gz XZSJSXHKEZQZBQ-UHFFFAOYSA-N 1 2 280.331 3.746 20 0 CHADLO C[C@]1([NH2+]Cc2nc3ccccc3o2)CCOc2ccccc21 ZINC000923026987 1121515217 /nfs/dbraw/zinc/51/52/17/1121515217.db2.gz YKLACILUBQWAKP-SFHVURJKSA-N 1 2 294.354 3.615 20 0 CHADLO COC1(C[C@H](C)Nc2ccc([NH+](C)C)cc2C)CCC1 ZINC000759997661 1121517257 /nfs/dbraw/zinc/51/72/57/1121517257.db2.gz DRQOUTWFFBLYGQ-AWEZNQCLSA-N 1 2 276.424 3.821 20 0 CHADLO COC1(C[C@H](C)[NH2+]c2ccc(N(C)C)cc2C)CCC1 ZINC000759997661 1121517264 /nfs/dbraw/zinc/51/72/64/1121517264.db2.gz DRQOUTWFFBLYGQ-AWEZNQCLSA-N 1 2 276.424 3.821 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CCC[C@@H](OC)C2)c1 ZINC000619427966 1128990929 /nfs/dbraw/zinc/99/09/29/1128990929.db2.gz NTDRXCBJXRJLCV-ZIAGYGMSSA-N 1 2 280.437 3.704 20 0 CHADLO C[C@H]([NH2+]Cc1ncnn1C(C)(C)C)c1cc2ccccc2o1 ZINC000282536352 1121531168 /nfs/dbraw/zinc/53/11/68/1121531168.db2.gz QZPZPIFEUQFEOX-LBPRGKRZSA-N 1 2 298.390 3.630 20 0 CHADLO Fc1cccc(C[NH2+]Cc2nc3c(s2)CCCC3)c1F ZINC000631206972 1128992290 /nfs/dbraw/zinc/99/22/90/1128992290.db2.gz PZQAQBKRQGOYRJ-UHFFFAOYSA-N 1 2 294.370 3.590 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC[C@H](c3ccccc3)C2)no1 ZINC000433058990 1121545081 /nfs/dbraw/zinc/54/50/81/1121545081.db2.gz BXVPSVRQDBWYFX-HNNXBMFYSA-N 1 2 299.418 3.747 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC[C@H](c3ccccc3)C2)no1 ZINC000433058990 1121545083 /nfs/dbraw/zinc/54/50/83/1121545083.db2.gz BXVPSVRQDBWYFX-HNNXBMFYSA-N 1 2 299.418 3.747 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(OC)c(F)cc1F ZINC001176108218 1121552559 /nfs/dbraw/zinc/55/25/59/1121552559.db2.gz AXSINNZCHAJDLC-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO COc1cc(Nc2cccn3cc(C)[nH+]c23)c(F)cc1F ZINC001176109068 1121553020 /nfs/dbraw/zinc/55/30/20/1121553020.db2.gz KOSMFVUGOLDCMZ-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CCCc1nc(C)c(COc2cccc(-n3cc[nH+]c3)c2)o1 ZINC000663980515 1121555541 /nfs/dbraw/zinc/55/55/41/1121555541.db2.gz RHBSKRDCLUEWLE-UHFFFAOYSA-N 1 2 297.358 3.700 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(OC(F)(F)F)c1 ZINC001176120666 1121556328 /nfs/dbraw/zinc/55/63/28/1121556328.db2.gz HZGRBJGNUOLYNF-UHFFFAOYSA-N 1 2 284.237 3.732 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc(Cl)c(Cl)c1 ZINC001176116722 1121557369 /nfs/dbraw/zinc/55/73/69/1121557369.db2.gz KDTLMHWLXXEAAO-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO COc1cc[nH+]cc1Nc1c(C)ccnc1C(C)C ZINC001176120939 1121557504 /nfs/dbraw/zinc/55/75/04/1121557504.db2.gz BGBFVEYMSRSSGP-UHFFFAOYSA-N 1 2 257.337 3.661 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(F)c1Cl ZINC001176124121 1121558388 /nfs/dbraw/zinc/55/83/88/1121558388.db2.gz IKBKMUDGEGYNDY-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(-c2ccccn2)c1 ZINC001176123069 1121559183 /nfs/dbraw/zinc/55/91/83/1121559183.db2.gz LWJLDYFCZIRYSH-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(C(F)(F)F)c1OC ZINC001176122625 1121559266 /nfs/dbraw/zinc/55/92/66/1121559266.db2.gz DWKCPKYHEZFBIG-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2c(C)ccnc2c1 ZINC001176124184 1121559330 /nfs/dbraw/zinc/55/93/30/1121559330.db2.gz KLFJXYHRSGBFGO-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C)cc1Br ZINC001176121141 1121559513 /nfs/dbraw/zinc/55/95/13/1121559513.db2.gz PRUYTJCBFJGXRI-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO c1ccc(Oc2ccccc2C[N@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000466714701 1121563862 /nfs/dbraw/zinc/56/38/62/1121563862.db2.gz BDNWNCIKXRVDNK-HDICACEKSA-N 1 2 295.382 3.842 20 0 CHADLO c1ccc(Oc2ccccc2C[N@@H+]2C[C@H]3CC[C@@H](C2)O3)cc1 ZINC000466714701 1121563866 /nfs/dbraw/zinc/56/38/66/1121563866.db2.gz BDNWNCIKXRVDNK-HDICACEKSA-N 1 2 295.382 3.842 20 0 CHADLO CCc1cc(OCc2ncnn2C(C)C)c2ccccc2[nH+]1 ZINC000663997602 1121564907 /nfs/dbraw/zinc/56/49/07/1121564907.db2.gz ASGBCYUARSXALE-UHFFFAOYSA-N 1 2 296.374 3.549 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OCOC)c(F)c1 ZINC001176188013 1121566729 /nfs/dbraw/zinc/56/67/29/1121566729.db2.gz CHJJSPOTPTVEFU-UHFFFAOYSA-N 1 2 290.338 3.818 20 0 CHADLO COCOc1ccc(Nc2c[nH+]c(C)cc2C)cc1F ZINC001176188553 1121568848 /nfs/dbraw/zinc/56/88/48/1121568848.db2.gz KVFRAPXTUKSDFT-UHFFFAOYSA-N 1 2 276.311 3.564 20 0 CHADLO O=C1CCc2cc(Nc3ccc(-n4cc[nH+]c4)cc3)ccc21 ZINC001176231550 1121581282 /nfs/dbraw/zinc/58/12/82/1121581282.db2.gz GOFUMJDLGCQDFN-UHFFFAOYSA-N 1 2 289.338 3.745 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CCc2c(O)cccc2C1 ZINC000626028540 1121584890 /nfs/dbraw/zinc/58/48/90/1121584890.db2.gz NHEWMFQJFOANFU-LBPRGKRZSA-N 1 2 294.354 3.653 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CCc2c(O)cccc2C1 ZINC000626028540 1121584896 /nfs/dbraw/zinc/58/48/96/1121584896.db2.gz NHEWMFQJFOANFU-LBPRGKRZSA-N 1 2 294.354 3.653 20 0 CHADLO COc1cc(Cl)cc(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001176344756 1121602689 /nfs/dbraw/zinc/60/26/89/1121602689.db2.gz RIEMKJDFFUIPTG-UHFFFAOYSA-N 1 2 287.750 3.979 20 0 CHADLO Cc1ncc(Nc2cc[nH+]c3cc(Cl)ccc23)cn1 ZINC001176394045 1121611517 /nfs/dbraw/zinc/61/15/17/1121611517.db2.gz OPXWWLKPTVZJQE-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO CCOc1c(Cl)cccc1C[NH2+][C@@H](C)CN(CC)CC ZINC000487300052 1121617699 /nfs/dbraw/zinc/61/76/99/1121617699.db2.gz VDSQIHFFZZYZTF-ZDUSSCGKSA-N 1 2 298.858 3.559 20 0 CHADLO Cc1cc(OC(C)C)ccc1Nc1[nH+]cccc1N(C)C ZINC001211812675 1121625625 /nfs/dbraw/zinc/62/56/25/1121625625.db2.gz GYDOZXJUBGSQSD-UHFFFAOYSA-N 1 2 285.391 3.987 20 0 CHADLO c1ccc2cc(N3CCC[C@@H]3[C@@H]3CCCOC3)[nH+]cc2c1 ZINC000631319281 1128998455 /nfs/dbraw/zinc/99/84/55/1128998455.db2.gz MFRWJVCJGDOWLX-IAGOWNOFSA-N 1 2 282.387 3.630 20 0 CHADLO C[C@@H](O)[C@@H](C)n1c2ccccc2[nH+]c1NCc1ccccc1 ZINC000268049354 1121633838 /nfs/dbraw/zinc/63/38/38/1121633838.db2.gz NZIVXTVZOZEDQY-ZIAGYGMSSA-N 1 2 295.386 3.590 20 0 CHADLO Cc1cccc(Nc2cccc(OCC3CC3)c2)[nH+]1 ZINC001176490417 1121637506 /nfs/dbraw/zinc/63/75/06/1121637506.db2.gz KVLLHSMTNCZLGT-UHFFFAOYSA-N 1 2 254.333 3.922 20 0 CHADLO FC(F)(F)Oc1cccc(Nc2cccn3cc[nH+]c23)c1 ZINC001176535363 1121645015 /nfs/dbraw/zinc/64/50/15/1121645015.db2.gz MJFWAMVJZZGECO-UHFFFAOYSA-N 1 2 293.248 3.977 20 0 CHADLO FC(F)(F)Oc1cccc(Nc2cccc3[nH+]ccn32)c1 ZINC001176535854 1121645309 /nfs/dbraw/zinc/64/53/09/1121645309.db2.gz RNJALSKMECHWGV-UHFFFAOYSA-N 1 2 293.248 3.977 20 0 CHADLO CSCCCNc1[nH+]cccc1-c1ccccc1 ZINC001163869264 1121659914 /nfs/dbraw/zinc/65/99/14/1121659914.db2.gz QUZIPHPMYSNNBT-UHFFFAOYSA-N 1 2 258.390 3.914 20 0 CHADLO Oc1cc(Cl)cc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001176625326 1121664618 /nfs/dbraw/zinc/66/46/18/1121664618.db2.gz PMURALZDUMXUND-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccnc1N1CCCC1 ZINC001176742879 1121687026 /nfs/dbraw/zinc/68/70/26/1121687026.db2.gz AIRYIPLGBVWDOY-UHFFFAOYSA-N 1 2 282.391 3.691 20 0 CHADLO Cc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(O)c1 ZINC001206282653 1121687042 /nfs/dbraw/zinc/68/70/42/1121687042.db2.gz QTNJSCPXSPOSMD-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)cc(Cl)n1 ZINC001176749392 1121687047 /nfs/dbraw/zinc/68/70/47/1121687047.db2.gz FMNYXWLQLRHOQI-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO CC(=O)c1sccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176750168 1121688183 /nfs/dbraw/zinc/68/81/83/1121688183.db2.gz SBDOCROZZHKQDN-UHFFFAOYSA-N 1 2 287.388 3.690 20 0 CHADLO CCc1ccc(C[N@@H+]2Cc3ccc(F)cc3C2)cc1 ZINC001204178141 1121692553 /nfs/dbraw/zinc/69/25/53/1121692553.db2.gz PFPRKSSGRGCHPO-UHFFFAOYSA-N 1 2 255.336 3.904 20 0 CHADLO CCc1ccc(C[N@H+]2Cc3ccc(F)cc3C2)cc1 ZINC001204178141 1121692559 /nfs/dbraw/zinc/69/25/59/1121692559.db2.gz PFPRKSSGRGCHPO-UHFFFAOYSA-N 1 2 255.336 3.904 20 0 CHADLO CCc1ccc(C[N@@H+]2Cc3cccc(F)c3C2)cc1 ZINC001204177713 1121692918 /nfs/dbraw/zinc/69/29/18/1121692918.db2.gz BXNZOGJSHSKZDU-UHFFFAOYSA-N 1 2 255.336 3.904 20 0 CHADLO CCc1ccc(C[N@H+]2Cc3cccc(F)c3C2)cc1 ZINC001204177713 1121692922 /nfs/dbraw/zinc/69/29/22/1121692922.db2.gz BXNZOGJSHSKZDU-UHFFFAOYSA-N 1 2 255.336 3.904 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](C)c2cccc(F)c2F)o1 ZINC000291797241 1121696278 /nfs/dbraw/zinc/69/62/78/1121696278.db2.gz BRBXERBDNCDZFI-VIFPVBQESA-N 1 2 280.318 3.674 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3ncc(Cl)cc3C2)cc1 ZINC001204179273 1121698403 /nfs/dbraw/zinc/69/84/03/1121698403.db2.gz LRLIGEYXPOUMSP-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3ncc(Cl)cc3C2)cc1 ZINC001204179273 1121698407 /nfs/dbraw/zinc/69/84/07/1121698407.db2.gz LRLIGEYXPOUMSP-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO Cc1cccnc1[C@@H](C)[NH2+]Cc1cc(O)ccc1Cl ZINC001176898361 1121702506 /nfs/dbraw/zinc/70/25/06/1121702506.db2.gz RTNGZPKKDHYMGI-LLVKDONJSA-N 1 2 276.767 3.600 20 0 CHADLO CCCN(C(=O)CCc1c[nH]c[nH+]1)[C@@H](CC)c1ccccc1 ZINC000279679096 1121709906 /nfs/dbraw/zinc/70/99/06/1121709906.db2.gz OKUXMBGCQRCWAE-KRWDZBQOSA-N 1 2 299.418 3.732 20 0 CHADLO CCCN(C(=O)CCc1c[nH+]c[nH]1)[C@@H](CC)c1ccccc1 ZINC000279679096 1121709913 /nfs/dbraw/zinc/70/99/13/1121709913.db2.gz OKUXMBGCQRCWAE-KRWDZBQOSA-N 1 2 299.418 3.732 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2cc(F)ccc2F)c(C)s1 ZINC000111405285 1121712419 /nfs/dbraw/zinc/71/24/19/1121712419.db2.gz AMKVHMCKISHLGK-MRVPVSSYSA-N 1 2 282.359 3.889 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCC[C@@H](C(F)(F)F)C1 ZINC000631517874 1129004635 /nfs/dbraw/zinc/00/46/35/1129004635.db2.gz XBOCLDCATKLUCX-SNVBAGLBSA-N 1 2 295.308 3.596 20 0 CHADLO C[C@@H](CNCc1ccc(Cl)s1)[N@@H+]1CCCC[C@@H]1C ZINC001177278163 1121722880 /nfs/dbraw/zinc/72/28/80/1121722880.db2.gz TWTOCHVJKMYNCJ-RYUDHWBXSA-N 1 2 286.872 3.754 20 0 CHADLO C[C@@H](CNCc1ccc(Cl)s1)[N@H+]1CCCC[C@@H]1C ZINC001177278163 1121722882 /nfs/dbraw/zinc/72/28/82/1121722882.db2.gz TWTOCHVJKMYNCJ-RYUDHWBXSA-N 1 2 286.872 3.754 20 0 CHADLO C[C@H](Oc1cc[nH+]cc1)c1ccc(Br)cc1 ZINC001225291234 1121723643 /nfs/dbraw/zinc/72/36/43/1121723643.db2.gz QHEIDFOSPQTUMT-JTQLQIEISA-N 1 2 278.149 3.984 20 0 CHADLO Cc1nn(-c2ccc(Cl)cc2)cc1CNc1cccc[nH+]1 ZINC001177370801 1121725891 /nfs/dbraw/zinc/72/58/91/1121725891.db2.gz OLWJZQRIAUBMHJ-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Cc1cc(Nc2c(Cl)ccc(O)c2Cl)c[nH+]c1N ZINC001249788298 1121734576 /nfs/dbraw/zinc/73/45/76/1121734576.db2.gz CKBFZYDUYZQYSL-UHFFFAOYSA-N 1 2 284.146 3.728 20 0 CHADLO CCC[N@H+](CC)Cn1nc(-c2ccc(F)cc2)oc1=S ZINC000727820564 1121750192 /nfs/dbraw/zinc/75/01/92/1121750192.db2.gz JYSKSEQCLYWEFB-UHFFFAOYSA-N 1 2 295.383 3.701 20 0 CHADLO CCC[N@@H+](CC)Cn1nc(-c2ccc(F)cc2)oc1=S ZINC000727820564 1121750199 /nfs/dbraw/zinc/75/01/99/1121750199.db2.gz JYSKSEQCLYWEFB-UHFFFAOYSA-N 1 2 295.383 3.701 20 0 CHADLO CCC[N@H+](CC)Cn1nc(-c2ccccc2F)oc1=S ZINC000727822455 1121750725 /nfs/dbraw/zinc/75/07/25/1121750725.db2.gz ZJGLKEQLALQXLI-UHFFFAOYSA-N 1 2 295.383 3.701 20 0 CHADLO CCC[N@@H+](CC)Cn1nc(-c2ccccc2F)oc1=S ZINC000727822455 1121750731 /nfs/dbraw/zinc/75/07/31/1121750731.db2.gz ZJGLKEQLALQXLI-UHFFFAOYSA-N 1 2 295.383 3.701 20 0 CHADLO CN(C)c1ccc(NCc2cccc(OC(C)(C)C)c2)[nH+]c1 ZINC001178059786 1121763486 /nfs/dbraw/zinc/76/34/86/1121763486.db2.gz KISVQZUWQYHGEG-UHFFFAOYSA-N 1 2 299.418 3.937 20 0 CHADLO CC[C@H](C)c1ccc(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)cc1 ZINC001178100498 1121764798 /nfs/dbraw/zinc/76/47/98/1121764798.db2.gz VSANPLOOTCUYGK-DZGCQCFKSA-N 1 2 297.402 3.598 20 0 CHADLO Cc1cc(CNc2ccccc2OCC(F)F)cc(C)[nH+]1 ZINC001178139123 1121766768 /nfs/dbraw/zinc/76/67/68/1121766768.db2.gz NLMXUOBAKGACPV-UHFFFAOYSA-N 1 2 292.329 3.954 20 0 CHADLO O=C(/C=C/c1cccs1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000047514595 1121768731 /nfs/dbraw/zinc/76/87/31/1121768731.db2.gz LAWZXRWAHLRLCH-VOTSOKGWSA-N 1 2 295.367 3.586 20 0 CHADLO NC(Cc1ccc(Cl)cc1)=[NH+]OCc1ccc(F)cc1 ZINC000729986159 1121788837 /nfs/dbraw/zinc/78/88/37/1121788837.db2.gz WANNYQZDGGDFLX-UHFFFAOYSA-N 1 2 292.741 3.720 20 0 CHADLO CCCn1c[nH+]cc1CNc1cc(OC)c(C)cc1C ZINC001178556597 1121796977 /nfs/dbraw/zinc/79/69/77/1121796977.db2.gz CZUYWPDZPUVUFF-UHFFFAOYSA-N 1 2 273.380 3.531 20 0 CHADLO CCC[N@H+](CC)Cn1nc(-c2sccc2C)oc1=S ZINC000730250980 1121800231 /nfs/dbraw/zinc/80/02/31/1121800231.db2.gz FJSFUYCHXVMBMB-UHFFFAOYSA-N 1 2 297.449 3.932 20 0 CHADLO CCC[N@@H+](CC)Cn1nc(-c2sccc2C)oc1=S ZINC000730250980 1121800239 /nfs/dbraw/zinc/80/02/39/1121800239.db2.gz FJSFUYCHXVMBMB-UHFFFAOYSA-N 1 2 297.449 3.932 20 0 CHADLO CCS[C@H]1CCCC[C@@H]1Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000433792029 1121811489 /nfs/dbraw/zinc/81/14/89/1121811489.db2.gz KXQSENGTJTZBAG-STQMWFEESA-N 1 2 290.436 3.743 20 0 CHADLO Cc1cc(C)c(/C=C/C[NH2+]C(CF)CF)c(C)c1 ZINC001178787098 1121815528 /nfs/dbraw/zinc/81/55/28/1121815528.db2.gz JMQVFBQRPQAXJR-SNAWJCMRSA-N 1 2 253.336 3.522 20 0 CHADLO CC[C@@](C)([NH2+]Cc1n[nH]c2ccccc21)c1ccccc1 ZINC000730817107 1121830283 /nfs/dbraw/zinc/83/02/83/1121830283.db2.gz HMHUVEBZPVTXOH-GOSISDBHSA-N 1 2 279.387 3.978 20 0 CHADLO O=c1cc(CNc2ccc(Nc3ccccc3)c[nH+]2)cc[nH]1 ZINC001179205569 1121858513 /nfs/dbraw/zinc/85/85/13/1121858513.db2.gz QKXBPGLBVHKSIL-UHFFFAOYSA-N 1 2 292.342 3.538 20 0 CHADLO Cc1ccc2[nH+]c(NCc3ccc4c(c3)C[C@@H](C)O4)[nH]c2c1 ZINC001179443246 1121877512 /nfs/dbraw/zinc/87/75/12/1121877512.db2.gz MONCFOQEHMWQES-GFCCVEGCSA-N 1 2 293.370 3.807 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2CC(C)(C)C[C@@H]2c2ccccc2)c1C ZINC001206385506 1121888423 /nfs/dbraw/zinc/88/84/23/1121888423.db2.gz VTEOIRLPIJHCEG-QGZVFWFLSA-N 1 2 283.419 4.000 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CC(C)(C)C[C@@H]2c2ccccc2)c1C ZINC001206385506 1121888431 /nfs/dbraw/zinc/88/84/31/1121888431.db2.gz VTEOIRLPIJHCEG-QGZVFWFLSA-N 1 2 283.419 4.000 20 0 CHADLO CCc1ccc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)cc1 ZINC001179579082 1121889246 /nfs/dbraw/zinc/88/92/46/1121889246.db2.gz IWENGKRGYTXXIC-FUHWJXTLSA-N 1 2 285.362 3.810 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+][C@H]1CCCc2ncccc21 ZINC000631648802 1129017772 /nfs/dbraw/zinc/01/77/72/1129017772.db2.gz RCXZMUAHPFZWMA-ZDUSSCGKSA-N 1 2 268.351 3.730 20 0 CHADLO Cn1c2ccc(Cl)cc2[nH+]c1NC[C@H]1CC=CCC1 ZINC001179809538 1121913290 /nfs/dbraw/zinc/91/32/90/1121913290.db2.gz BNCQQFRHIUVNFT-NSHDSACASA-N 1 2 275.783 3.995 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1cn(C2CC2)cn1)C1CC1 ZINC001180064203 1121945824 /nfs/dbraw/zinc/94/58/24/1121945824.db2.gz CIYKTCDMJIEVDK-LBPRGKRZSA-N 1 2 271.364 3.537 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1cn(C2CC2)cn1)C1CC1 ZINC001180064203 1121945831 /nfs/dbraw/zinc/94/58/31/1121945831.db2.gz CIYKTCDMJIEVDK-LBPRGKRZSA-N 1 2 271.364 3.537 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)on1 ZINC000732989424 1121950230 /nfs/dbraw/zinc/95/02/30/1121950230.db2.gz QEBRGGOQXXMTQX-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)on1 ZINC000732989424 1121950237 /nfs/dbraw/zinc/95/02/37/1121950237.db2.gz QEBRGGOQXXMTQX-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1Cn1cc[nH+]c1 ZINC000072558629 1121954954 /nfs/dbraw/zinc/95/49/54/1121954954.db2.gz HXVNZEWPLHEDIW-UHFFFAOYSA-N 1 2 266.344 3.872 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ncc(C(C)C)o1 ZINC000733305131 1121971478 /nfs/dbraw/zinc/97/14/78/1121971478.db2.gz ZYYFKZMLDBTEOD-UHFFFAOYSA-N 1 2 264.394 3.882 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ncc(C(C)C)o1 ZINC000733305131 1121971484 /nfs/dbraw/zinc/97/14/84/1121971484.db2.gz ZYYFKZMLDBTEOD-UHFFFAOYSA-N 1 2 264.394 3.882 20 0 CHADLO CC[C@H]1C[C@H](Nc2ccc3ccc(OC)cc3[nH+]2)CCO1 ZINC000894246361 1121974421 /nfs/dbraw/zinc/97/44/21/1121974421.db2.gz AUJBCHWOCHEZBA-KGLIPLIRSA-N 1 2 286.375 3.613 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ncc(C(C)C)o2)c1 ZINC000733308999 1121974561 /nfs/dbraw/zinc/97/45/61/1121974561.db2.gz WSDMIFXYDQUANT-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ncc(C(C)C)o2)c1 ZINC000733308999 1121974571 /nfs/dbraw/zinc/97/45/71/1121974571.db2.gz WSDMIFXYDQUANT-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO CC(C)CCOC1CC[NH+](Cc2ncc(C(C)C)o2)CC1 ZINC000733307996 1121974681 /nfs/dbraw/zinc/97/46/81/1121974681.db2.gz FSPUCKQKOLFPGX-UHFFFAOYSA-N 1 2 294.439 3.825 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cncc(Cl)c2Cl)CCS1 ZINC001180865472 1122018970 /nfs/dbraw/zinc/01/89/70/1122018970.db2.gz LJBVPWIPQZYCDT-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cncc(Cl)c2Cl)CCS1 ZINC001180865472 1122018978 /nfs/dbraw/zinc/01/89/78/1122018978.db2.gz LJBVPWIPQZYCDT-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO Fc1ccc(Cc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001249992474 1122030179 /nfs/dbraw/zinc/03/01/79/1122030179.db2.gz CATFOPLTCWGVDQ-UHFFFAOYSA-N 1 2 266.319 3.661 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H](C)c2cccc3c2OCO3)n1 ZINC000924927584 1122038187 /nfs/dbraw/zinc/03/81/87/1122038187.db2.gz WWTMTUKSACHKJZ-QWRGUYRKSA-N 1 2 290.388 3.592 20 0 CHADLO CC[N@@H+](Cc1nn(C)cc1Cl)[C@H](C)Cc1ccsc1 ZINC000420920212 1122042801 /nfs/dbraw/zinc/04/28/01/1122042801.db2.gz JMAAJTSBYGUVRH-LLVKDONJSA-N 1 2 297.855 3.588 20 0 CHADLO CC[N@H+](Cc1nn(C)cc1Cl)[C@H](C)Cc1ccsc1 ZINC000420920212 1122042794 /nfs/dbraw/zinc/04/27/94/1122042794.db2.gz JMAAJTSBYGUVRH-LLVKDONJSA-N 1 2 297.855 3.588 20 0 CHADLO CC[N@H+](Cc1nn(C)cc1Cl)[C@@H](C)Cc1ccsc1 ZINC000420920213 1122042834 /nfs/dbraw/zinc/04/28/34/1122042834.db2.gz JMAAJTSBYGUVRH-NSHDSACASA-N 1 2 297.855 3.588 20 0 CHADLO CC[N@@H+](Cc1nn(C)cc1Cl)[C@@H](C)Cc1ccsc1 ZINC000420920213 1122042840 /nfs/dbraw/zinc/04/28/40/1122042840.db2.gz JMAAJTSBYGUVRH-NSHDSACASA-N 1 2 297.855 3.588 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CCC[C@H](CC(F)(F)F)C2)s1 ZINC000420942657 1122052678 /nfs/dbraw/zinc/05/26/78/1122052678.db2.gz HGIXGFCUXRCNOJ-WCBMZHEXSA-N 1 2 293.358 3.572 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CCC[C@H](CC(F)(F)F)C2)s1 ZINC000420942657 1122052681 /nfs/dbraw/zinc/05/26/81/1122052681.db2.gz HGIXGFCUXRCNOJ-WCBMZHEXSA-N 1 2 293.358 3.572 20 0 CHADLO Cc1nc(C[NH2+][C@@H](CC(F)F)c2ccccc2)oc1C ZINC000421002386 1122058734 /nfs/dbraw/zinc/05/87/34/1122058734.db2.gz XYMDGSYGMROVKP-ZDUSSCGKSA-N 1 2 280.318 3.778 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@@H](CF)c2ccc(F)cc2)ccn1 ZINC000631798337 1129029246 /nfs/dbraw/zinc/02/92/46/1129029246.db2.gz RDGDZDZRTLFFIA-ABAIWWIYSA-N 1 2 292.329 3.591 20 0 CHADLO CCC[C@@H](CC1CCCCC1)c1nc(C[NH2+]C)no1 ZINC000414502166 1122076937 /nfs/dbraw/zinc/07/69/37/1122076937.db2.gz OVPHMZZTXWMEJS-ZDUSSCGKSA-N 1 2 265.401 3.643 20 0 CHADLO CCN(CC)c1ccc(N[C@@H]2CCC[C@@H]2CCOC)c[nH+]1 ZINC000502409796 1122081040 /nfs/dbraw/zinc/08/10/40/1122081040.db2.gz YJYQURDTSGWSKN-GDBMZVCRSA-N 1 2 291.439 3.545 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH+]2Cc3ccccc3C2)o1 ZINC000353388887 1122083355 /nfs/dbraw/zinc/08/33/55/1122083355.db2.gz SJVDBWNBIOQYLU-WBMJQRKESA-N 1 2 253.345 3.919 20 0 CHADLO Cn1c[nH+]c2cccc(Nc3cc(Cl)c(O)cc3F)c21 ZINC001250025910 1122092715 /nfs/dbraw/zinc/09/27/15/1122092715.db2.gz IGGNXOITSDOHHF-UHFFFAOYSA-N 1 2 291.713 3.815 20 0 CHADLO CC(C)c1cc(N2CC(CC(F)F)C2)nc(C(C)C)[nH+]1 ZINC000425290084 1122099487 /nfs/dbraw/zinc/09/94/87/1122099487.db2.gz WWJXVSCPMOUCBJ-UHFFFAOYSA-N 1 2 283.366 3.815 20 0 CHADLO C[C@@H](C1CCCCC1)[N@H+](C)CC(F)(F)C(F)F ZINC000773977919 1122111719 /nfs/dbraw/zinc/11/17/19/1122111719.db2.gz QHUWVQWIDVKBRT-VIFPVBQESA-N 1 2 255.299 3.787 20 0 CHADLO C[C@@H](C1CCCCC1)[N@@H+](C)CC(F)(F)C(F)F ZINC000773977919 1122111722 /nfs/dbraw/zinc/11/17/22/1122111722.db2.gz QHUWVQWIDVKBRT-VIFPVBQESA-N 1 2 255.299 3.787 20 0 CHADLO COc1cccc(-n2nccc2Nc2ccc(C)[nH+]c2C)c1 ZINC001203369442 1122112180 /nfs/dbraw/zinc/11/21/80/1122112180.db2.gz QEYKHSUKCDHXBA-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO Cc1ccc(Nc2ncc(F)cc2Cl)c(C)[nH+]1 ZINC001203369883 1122116306 /nfs/dbraw/zinc/11/63/06/1122116306.db2.gz DQUQSZSKCZZKIC-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Oc1ccc(C[N@@H+]2CCc3cccc(Cl)c3C2)cc1F ZINC001182270982 1122126635 /nfs/dbraw/zinc/12/66/35/1122126635.db2.gz CIQBQQUGEURBMA-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc(C[N@H+]2CCc3cccc(Cl)c3C2)cc1F ZINC001182270982 1122126636 /nfs/dbraw/zinc/12/66/36/1122126636.db2.gz CIQBQQUGEURBMA-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Cc1cc(CNC(=O)C(C)(C)C2CCCC2)cc(C)[nH+]1 ZINC000421573392 1122138762 /nfs/dbraw/zinc/13/87/62/1122138762.db2.gz AOVNPQBLGJQUJU-UHFFFAOYSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(CNC(=O)[C@@H](C)CC2CCCCC2)cc(C)[nH+]1 ZINC000421573381 1122138805 /nfs/dbraw/zinc/13/88/05/1122138805.db2.gz ALIBNOAPJFNQGV-ZDUSSCGKSA-N 1 2 288.435 3.921 20 0 CHADLO Cc1cc(CNC(=O)[C@@H]2CCCCC2(C)C)cc(C)[nH+]1 ZINC000421580952 1122139592 /nfs/dbraw/zinc/13/95/92/1122139592.db2.gz XHXYOIXUQUNZNU-HNNXBMFYSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(Cl)cc(C(=O)NCc2cc(C)[nH+]c(C)c2)c1 ZINC000421576391 1122139603 /nfs/dbraw/zinc/13/96/03/1122139603.db2.gz MDYKFSNIZHQNHE-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO CC[C@H]1CC[C@H](C(=O)NCc2cc(C)[nH+]c(C)c2)CC1 ZINC000421581329 1122139842 /nfs/dbraw/zinc/13/98/42/1122139842.db2.gz YDZSWIQEOOAVNH-KOMQPUFPSA-N 1 2 274.408 3.531 20 0 CHADLO CC[C@@H](C)C[N@@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503179467 1122171402 /nfs/dbraw/zinc/17/14/02/1122171402.db2.gz DYTUVDVLHNZNPP-HIFRSBDPSA-N 1 2 284.407 3.655 20 0 CHADLO CC[C@@H](C)C[N@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503179467 1122171406 /nfs/dbraw/zinc/17/14/06/1122171406.db2.gz DYTUVDVLHNZNPP-HIFRSBDPSA-N 1 2 284.407 3.655 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2c(F)cccc2Cl)nc1 ZINC000272011488 1122186727 /nfs/dbraw/zinc/18/67/27/1122186727.db2.gz JXVGECXKQNGFPY-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO COc1c(F)cc(Nc2ccc(C)[nH+]c2C)cc1F ZINC001203373867 1122188149 /nfs/dbraw/zinc/18/81/49/1122188149.db2.gz CFZCOZSFLHVUFH-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccc(F)cn1 ZINC000272077729 1122189208 /nfs/dbraw/zinc/18/92/08/1122189208.db2.gz DZGWDBYRQULNGI-LBPRGKRZSA-N 1 2 285.366 3.776 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccc(F)cn1 ZINC000272077729 1122189210 /nfs/dbraw/zinc/18/92/10/1122189210.db2.gz DZGWDBYRQULNGI-LBPRGKRZSA-N 1 2 285.366 3.776 20 0 CHADLO Cc1ccc(F)cc1C[NH2+]Cc1nnc(C(C)(C)C)s1 ZINC000272220452 1122194978 /nfs/dbraw/zinc/19/49/78/1122194978.db2.gz DNMNTZIGWVMFCQ-UHFFFAOYSA-N 1 2 293.411 3.573 20 0 CHADLO Fc1ccc([C@@H]2C[C@@H](Nc3cccc[nH+]3)CCO2)cc1 ZINC000273282909 1122226648 /nfs/dbraw/zinc/22/66/48/1122226648.db2.gz JESUCBLTGOAKOC-GJZGRUSLSA-N 1 2 272.323 3.553 20 0 CHADLO C[N@H+](Cc1ccccn1)Cc1cc(C(F)(F)F)ccc1F ZINC000273360252 1122229921 /nfs/dbraw/zinc/22/99/21/1122229921.db2.gz DBULXTKNRZRTQT-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccccn1)Cc1cc(C(F)(F)F)ccc1F ZINC000273360252 1122229928 /nfs/dbraw/zinc/22/99/28/1122229928.db2.gz DBULXTKNRZRTQT-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cc(-c3ccccc3)no2)s1 ZINC000273441079 1122232883 /nfs/dbraw/zinc/23/28/83/1122232883.db2.gz ZNASMMIFFUYRNO-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CC[C@@H](C)c1ccc(NC(=O)CCc2ccc(N)[nH+]c2)cc1 ZINC001183931423 1122244915 /nfs/dbraw/zinc/24/49/15/1122244915.db2.gz BBCGANWERNNNRH-CYBMUJFWSA-N 1 2 297.402 3.749 20 0 CHADLO Cc1ccnc(Nc2c[nH+]c(N3CCCC[C@@H]3C)c(C)c2)n1 ZINC000503286831 1122254867 /nfs/dbraw/zinc/25/48/67/1122254867.db2.gz UQZZEVALIUUIHV-AWEZNQCLSA-N 1 2 297.406 3.611 20 0 CHADLO CO[C@@H]1CCC[C@H]([NH2+][C@@H](c2ccccc2)C(F)F)C1 ZINC001184462226 1122278190 /nfs/dbraw/zinc/27/81/90/1122278190.db2.gz IFHUUDGXTDHJJV-MJBXVCDLSA-N 1 2 269.335 3.540 20 0 CHADLO CO[C@@H]1CCC[C@H]([NH2+][C@H](c2ccccc2)C(F)F)C1 ZINC001184462223 1122278685 /nfs/dbraw/zinc/27/86/85/1122278685.db2.gz IFHUUDGXTDHJJV-BFHYXJOUSA-N 1 2 269.335 3.540 20 0 CHADLO Cc1noc2ccc(Nc3ccc[nH+]c3N3CCCC3)cc12 ZINC001184969078 1122304431 /nfs/dbraw/zinc/30/44/31/1122304431.db2.gz WMGLEFGYOOGSTK-UHFFFAOYSA-N 1 2 294.358 3.875 20 0 CHADLO Nc1ccc(Nc2ccc[nH+]c2N2CCCC2)c(Cl)c1 ZINC001184969014 1122304882 /nfs/dbraw/zinc/30/48/82/1122304882.db2.gz VFQIRLOKGBHUKX-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO CCN(C)c1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1 ZINC001185043407 1122313088 /nfs/dbraw/zinc/31/30/88/1122313088.db2.gz NPZPXYCCMRZNQA-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO Cc1cn(-c2ccc[nH+]c2N2CCCC2)c2cccc(N)c12 ZINC001185044649 1122313794 /nfs/dbraw/zinc/31/37/94/1122313794.db2.gz YJFRKCRDJLWFQH-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO CO[C@H]1CCC[C@@H]1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001185947876 1122366887 /nfs/dbraw/zinc/36/68/87/1122366887.db2.gz DRUUZEYSPRZXCI-HIFRSBDPSA-N 1 2 291.439 3.950 20 0 CHADLO Cc1nc(N[C@H]2CC23CCCCC3)c2c([nH+]1)CCCC2 ZINC001185969525 1122369182 /nfs/dbraw/zinc/36/91/82/1122369182.db2.gz NCYSNEOAHBWKPX-HNNXBMFYSA-N 1 2 271.408 3.799 20 0 CHADLO Cc1nc(NC23CCC(CC2)C3)c(Br)c(C)[nH+]1 ZINC001186088582 1122374412 /nfs/dbraw/zinc/37/44/12/1122374412.db2.gz FKHLHKZKUSCFOD-UHFFFAOYSA-N 1 2 296.212 3.601 20 0 CHADLO Cc1cc(C)cc(CN(C)c2nc(C)[nH+]c(C)c2C)c1 ZINC001186368734 1122385995 /nfs/dbraw/zinc/38/59/95/1122385995.db2.gz WUYSNBYIDCMODP-UHFFFAOYSA-N 1 2 269.392 3.655 20 0 CHADLO C[C@H](CC(C)(C)C)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC001186655228 1122402752 /nfs/dbraw/zinc/40/27/52/1122402752.db2.gz WYKLOOZUVLTBQR-LLVKDONJSA-N 1 2 289.427 3.818 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCCC[C@H](F)C2)c1 ZINC001138671404 1129052416 /nfs/dbraw/zinc/05/24/16/1129052416.db2.gz AALHFHMXMYOISI-LBPRGKRZSA-N 1 2 259.727 3.803 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCCC[C@H](F)C2)c1 ZINC001138671404 1129052418 /nfs/dbraw/zinc/05/24/18/1129052418.db2.gz AALHFHMXMYOISI-LBPRGKRZSA-N 1 2 259.727 3.803 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@@H+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000434832148 1122415696 /nfs/dbraw/zinc/41/56/96/1122415696.db2.gz CCISUVRYTHQPMO-DTORHVGOSA-N 1 2 278.343 3.640 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@H+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000434832148 1122415698 /nfs/dbraw/zinc/41/56/98/1122415698.db2.gz CCISUVRYTHQPMO-DTORHVGOSA-N 1 2 278.343 3.640 20 0 CHADLO COC(=O)c1csc(C)c1Nc1cc(C)c[nH+]c1C ZINC001203656880 1122423753 /nfs/dbraw/zinc/42/37/53/1122423753.db2.gz BANBEBYUNFKYCE-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(-c3ccco3)no2)c1 ZINC001203656970 1122423820 /nfs/dbraw/zinc/42/38/20/1122423820.db2.gz FXQSPAZSIKFPDD-UHFFFAOYSA-N 1 2 255.277 3.690 20 0 CHADLO Cc1cnc(C)c(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001203656990 1122423884 /nfs/dbraw/zinc/42/38/84/1122423884.db2.gz GCMBNCWIWHRHMF-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(-c3ccncn3)cs2)c1 ZINC001203656912 1122423927 /nfs/dbraw/zinc/42/39/27/1122423927.db2.gz CKUBSVCNRSBRPC-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO Cc1scc(CNc2cc(C)[nH+]c(C3CC3)n2)c1C ZINC001187279089 1122424768 /nfs/dbraw/zinc/42/47/68/1122424768.db2.gz ACGJDYWSMITJAB-UHFFFAOYSA-N 1 2 273.405 3.953 20 0 CHADLO Cc1nc(NCC[C@@H]2CC=CCC2)c2c([nH+]1)CCCC2 ZINC001187314659 1122425724 /nfs/dbraw/zinc/42/57/24/1122425724.db2.gz AZENEXQZEIPIBG-CQSZACIVSA-N 1 2 271.408 3.822 20 0 CHADLO COc1nc(Nc2cc(C)c[nH+]c2C)cc2ccccc21 ZINC001203660367 1122426995 /nfs/dbraw/zinc/42/69/95/1122426995.db2.gz GSWHDYSJPYTVCB-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1ccsc1CN1CC[N@@H+](C)C[C@@H]1c1ccccc1 ZINC000434991243 1122427766 /nfs/dbraw/zinc/42/77/66/1122427766.db2.gz LRWCQQFTDMNTLG-MRXNPFEDSA-N 1 2 286.444 3.545 20 0 CHADLO Cc1ccsc1CN1CC[N@H+](C)C[C@@H]1c1ccccc1 ZINC000434991243 1122427769 /nfs/dbraw/zinc/42/77/69/1122427769.db2.gz LRWCQQFTDMNTLG-MRXNPFEDSA-N 1 2 286.444 3.545 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1cnc(C(C)C)s1 ZINC000505591397 1122428709 /nfs/dbraw/zinc/42/87/09/1122428709.db2.gz RUBDPSLPZFKSRJ-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1cnc(C(C)C)s1 ZINC000505591397 1122428711 /nfs/dbraw/zinc/42/87/11/1122428711.db2.gz RUBDPSLPZFKSRJ-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO COC(=O)C1(c2ccc(Nc3cc(C)c[nH+]c3C)cc2)CC1 ZINC001203663420 1122429467 /nfs/dbraw/zinc/42/94/67/1122429467.db2.gz JVCCOQSNTRSOQT-UHFFFAOYSA-N 1 2 296.370 3.647 20 0 CHADLO Cn1c[nH+]cc1CN(c1ccccc1F)C1CCCC1 ZINC000435031525 1122429811 /nfs/dbraw/zinc/42/98/11/1122429811.db2.gz BIMAVJFGMPYRKQ-UHFFFAOYSA-N 1 2 273.355 3.508 20 0 CHADLO CC[C@@H]1C[C@H](C)C[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435131326 1122437418 /nfs/dbraw/zinc/43/74/18/1122437418.db2.gz YVIVWMRMXFCUPF-DTWKUNHWSA-N 1 2 278.343 3.782 20 0 CHADLO CC[C@@H]1C[C@H](C)C[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435131326 1122437420 /nfs/dbraw/zinc/43/74/20/1122437420.db2.gz YVIVWMRMXFCUPF-DTWKUNHWSA-N 1 2 278.343 3.782 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCC[C@H]3CCC[C@@H]32)s1 ZINC000435134212 1122437509 /nfs/dbraw/zinc/43/75/09/1122437509.db2.gz WHVHPKNKTXXTSR-ZJUUUORDSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCC[C@H]3CCC[C@@H]32)s1 ZINC000435134212 1122437510 /nfs/dbraw/zinc/43/75/10/1122437510.db2.gz WHVHPKNKTXXTSR-ZJUUUORDSA-N 1 2 290.354 3.926 20 0 CHADLO CC(C)C[C@@H](NC(=O)CCCn1cc[nH+]c1)c1ccccc1 ZINC000620411002 1129055197 /nfs/dbraw/zinc/05/51/97/1129055197.db2.gz VGLHKEOPJOGSHE-QGZVFWFLSA-N 1 2 299.418 3.567 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1[C@H](c1nccn1C)C1CC1 ZINC000638085575 1122448652 /nfs/dbraw/zinc/44/86/52/1122448652.db2.gz RHTSRWJEGRWXEI-SJCJKPOMSA-N 1 2 299.393 3.630 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1[C@H](c1nccn1C)C1CC1 ZINC000638085575 1122448653 /nfs/dbraw/zinc/44/86/53/1122448653.db2.gz RHTSRWJEGRWXEI-SJCJKPOMSA-N 1 2 299.393 3.630 20 0 CHADLO CSc1ccc(NC(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC001188073410 1122452742 /nfs/dbraw/zinc/45/27/42/1122452742.db2.gz HKXZHNALQIWEDH-UHFFFAOYSA-N 1 2 298.371 3.700 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cc2cc(F)ccc2o1 ZINC000505665631 1122458155 /nfs/dbraw/zinc/45/81/55/1122458155.db2.gz WYJHGQOLGCEZTH-LBPRGKRZSA-N 1 2 284.334 3.950 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cc2cc(F)ccc2o1 ZINC000505665631 1122458156 /nfs/dbraw/zinc/45/81/56/1122458156.db2.gz WYJHGQOLGCEZTH-LBPRGKRZSA-N 1 2 284.334 3.950 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)[N@@H+]2CCC=C(C)C2)c(Cl)c1 ZINC000436257377 1122528770 /nfs/dbraw/zinc/52/87/70/1122528770.db2.gz GAMFACRBAVSGBE-ZDUSSCGKSA-N 1 2 292.810 3.627 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)[N@H+]2CCC=C(C)C2)c(Cl)c1 ZINC000436257377 1122528774 /nfs/dbraw/zinc/52/87/74/1122528774.db2.gz GAMFACRBAVSGBE-ZDUSSCGKSA-N 1 2 292.810 3.627 20 0 CHADLO CC1=CCC[N@H+](Cc2ccc(Br)cc2F)C1 ZINC000436263765 1122529738 /nfs/dbraw/zinc/52/97/38/1122529738.db2.gz OGCBDBHJEHVUBH-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CCC[N@@H+](Cc2ccc(Br)cc2F)C1 ZINC000436263765 1122529741 /nfs/dbraw/zinc/52/97/41/1122529741.db2.gz OGCBDBHJEHVUBH-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CCc1nc(C[N@@H+]2CC(C)(C)C[C@@H]2c2ccccc2)no1 ZINC000425540301 1122546199 /nfs/dbraw/zinc/54/61/99/1122546199.db2.gz LLGFYPYVYPKLPD-CQSZACIVSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1nc(C[N@H+]2CC(C)(C)C[C@@H]2c2ccccc2)no1 ZINC000425540301 1122546203 /nfs/dbraw/zinc/54/62/03/1122546203.db2.gz LLGFYPYVYPKLPD-CQSZACIVSA-N 1 2 285.391 3.605 20 0 CHADLO Oc1cccc2ccc(/C=C\c3cccc4[nH+]ccn43)nc12 ZINC000436523328 1122557209 /nfs/dbraw/zinc/55/72/09/1122557209.db2.gz AGKHPYHWESGAAZ-KTKRTIGZSA-N 1 2 287.322 3.759 20 0 CHADLO CCCCC[C@H]1CCCCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000620565918 1129064455 /nfs/dbraw/zinc/06/44/55/1129064455.db2.gz BPSNDUYTJMEUMG-CVEARBPZSA-N 1 2 291.439 3.796 20 0 CHADLO CCCCC[C@@H]1CCCCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000620565920 1129064611 /nfs/dbraw/zinc/06/46/11/1129064611.db2.gz BPSNDUYTJMEUMG-HZPDHXFCSA-N 1 2 291.439 3.796 20 0 CHADLO COc1ccc(C[C@@H]2CC[N@@H+]2C/C(Cl)=C\Cl)cc1 ZINC001191497888 1122602338 /nfs/dbraw/zinc/60/23/38/1122602338.db2.gz VVWUHOOTKWBURJ-SRXBQZRASA-N 1 2 286.202 3.631 20 0 CHADLO COc1ccc(C[C@@H]2CC[N@H+]2C/C(Cl)=C\Cl)cc1 ZINC001191497888 1122602342 /nfs/dbraw/zinc/60/23/42/1122602342.db2.gz VVWUHOOTKWBURJ-SRXBQZRASA-N 1 2 286.202 3.631 20 0 CHADLO CC(C)c1ccc2c(c1)[C@H]([NH2+][C@H](C)c1ncn(C)n1)CCC2 ZINC000437054291 1122609543 /nfs/dbraw/zinc/60/95/43/1122609543.db2.gz BGDZXIVRHDKITR-CXAGYDPISA-N 1 2 298.434 3.667 20 0 CHADLO Cc1cccc2c1CCC[C@@H]2Nc1cc[nH+]c(C)n1 ZINC000664617483 1122621924 /nfs/dbraw/zinc/62/19/24/1122621924.db2.gz MCRWQFWZLCNUQN-HNNXBMFYSA-N 1 2 253.349 3.583 20 0 CHADLO CC(=O)c1cc(C[N@@H+]([C@@H](C)c2ccccc2)C2CC2)on1 ZINC000516781805 1122627402 /nfs/dbraw/zinc/62/74/02/1122627402.db2.gz VWBSJAVDCCRFQY-LBPRGKRZSA-N 1 2 284.359 3.603 20 0 CHADLO CC(=O)c1cc(C[N@H+]([C@@H](C)c2ccccc2)C2CC2)on1 ZINC000516781805 1122627405 /nfs/dbraw/zinc/62/74/05/1122627405.db2.gz VWBSJAVDCCRFQY-LBPRGKRZSA-N 1 2 284.359 3.603 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccco2)c(Br)c1 ZINC000506100513 1122648850 /nfs/dbraw/zinc/64/88/50/1122648850.db2.gz CHARFIFNDRLWFW-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccco2)c(Br)c1 ZINC000506100513 1122648852 /nfs/dbraw/zinc/64/88/52/1122648852.db2.gz CHARFIFNDRLWFW-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO CN(C)c1ccc(C2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000775547892 1122658676 /nfs/dbraw/zinc/65/86/76/1122658676.db2.gz RFQLKVKWKWTXFM-UHFFFAOYSA-N 1 2 281.403 3.532 20 0 CHADLO CCc1cccc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001192952410 1122663733 /nfs/dbraw/zinc/66/37/33/1122663733.db2.gz AMYFNNRPMUAZRN-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO Fc1cccc(CC[N@@H+]2CCc3c4ccccc4[nH]c3C2)c1 ZINC001193003204 1122668157 /nfs/dbraw/zinc/66/81/57/1122668157.db2.gz PQTQCUSNTWHKFN-UHFFFAOYSA-N 1 2 294.373 3.908 20 0 CHADLO Fc1cccc(CC[N@H+]2CCc3c4ccccc4[nH]c3C2)c1 ZINC001193003204 1122668159 /nfs/dbraw/zinc/66/81/59/1122668159.db2.gz PQTQCUSNTWHKFN-UHFFFAOYSA-N 1 2 294.373 3.908 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)[C@@H](C)[C@H](C)O1 ZINC000438363797 1122694836 /nfs/dbraw/zinc/69/48/36/1122694836.db2.gz HXARBUGXWFVHPP-WOPDTQHZSA-N 1 2 287.325 3.703 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)[C@@H](C)[C@H](C)O1 ZINC000438363797 1122694840 /nfs/dbraw/zinc/69/48/40/1122694840.db2.gz HXARBUGXWFVHPP-WOPDTQHZSA-N 1 2 287.325 3.703 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCc2ncsc2C1 ZINC000438374690 1122697281 /nfs/dbraw/zinc/69/72/81/1122697281.db2.gz LQSKWSCCQGLSFT-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCc2ncsc2C1 ZINC000438374690 1122697283 /nfs/dbraw/zinc/69/72/83/1122697283.db2.gz LQSKWSCCQGLSFT-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC12CC(C1)CN2c1cc[nH+]c2c(Cl)cccc12 ZINC000664694259 1122698192 /nfs/dbraw/zinc/69/81/92/1122698192.db2.gz DFQJFKOCSRSUEU-UHFFFAOYSA-N 1 2 258.752 3.877 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[N@@H+]1CCO[C@@H](C)C1 ZINC000506313895 1122716646 /nfs/dbraw/zinc/71/66/46/1122716646.db2.gz ZRMUWFSQABETDB-HNNXBMFYSA-N 1 2 297.398 3.583 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[N@H+]1CCO[C@@H](C)C1 ZINC000506313895 1122716649 /nfs/dbraw/zinc/71/66/49/1122716649.db2.gz ZRMUWFSQABETDB-HNNXBMFYSA-N 1 2 297.398 3.583 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(OC)c1F)c1nc(C)cs1 ZINC000192680536 1122729281 /nfs/dbraw/zinc/72/92/81/1122729281.db2.gz RIVILFFFQIZZRC-LBPRGKRZSA-N 1 2 294.395 3.840 20 0 CHADLO CCCC[C@H](CC)COC(=O)NCc1c[nH+]cn1C(C)C ZINC001193983967 1122734298 /nfs/dbraw/zinc/73/42/98/1122734298.db2.gz PKFBOTYEJOQWLZ-AWEZNQCLSA-N 1 2 295.427 3.907 20 0 CHADLO Cc1cccc2c1[nH+]c(CCc1ccccc1F)n2C ZINC001250553189 1122748286 /nfs/dbraw/zinc/74/82/86/1122748286.db2.gz UBCTUHKLENCETM-UHFFFAOYSA-N 1 2 268.335 3.806 20 0 CHADLO c1ccc(CCCc2[nH]c3cc4c(cc3[nH+]2)OCC4)cc1 ZINC001250556811 1122754726 /nfs/dbraw/zinc/75/47/26/1122754726.db2.gz UDARNJNHPBKEKF-UHFFFAOYSA-N 1 2 278.355 3.673 20 0 CHADLO Cc1nc2ccc(C[N@@H+]3CCC[C@H]3c3ncc[nH]3)cc2s1 ZINC000426352989 1122771675 /nfs/dbraw/zinc/77/16/75/1122771675.db2.gz SLJMBHINLPUPNN-AWEZNQCLSA-N 1 2 298.415 3.665 20 0 CHADLO Cc1nc2ccc(C[N@H+]3CCC[C@H]3c3ncc[nH]3)cc2s1 ZINC000426352989 1122771679 /nfs/dbraw/zinc/77/16/79/1122771679.db2.gz SLJMBHINLPUPNN-AWEZNQCLSA-N 1 2 298.415 3.665 20 0 CHADLO Cn1c[nH+]cc1COc1ccc(OCc2ccccc2)cc1 ZINC000439553565 1122790343 /nfs/dbraw/zinc/79/03/43/1122790343.db2.gz YBCGRVFLUFPDSA-UHFFFAOYSA-N 1 2 294.354 3.578 20 0 CHADLO CCC(CC)COC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC001194679389 1122790850 /nfs/dbraw/zinc/79/08/50/1122790850.db2.gz MFSDRENJFCIKLK-UHFFFAOYSA-N 1 2 287.363 3.857 20 0 CHADLO Cn1c[nH+]cc1COc1ccc2oc3c(c2c1)CCCC3 ZINC000439561988 1122790988 /nfs/dbraw/zinc/79/09/88/1122790988.db2.gz QFYHQCYGXUBIPN-UHFFFAOYSA-N 1 2 282.343 3.624 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3sccc3C2)c(Cl)c1 ZINC000506619006 1122792413 /nfs/dbraw/zinc/79/24/13/1122792413.db2.gz OWHBYJOLVOEJFX-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc(C[N@H+]2CCc3sccc3C2)c(Cl)c1 ZINC000506619006 1122792416 /nfs/dbraw/zinc/79/24/16/1122792416.db2.gz OWHBYJOLVOEJFX-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@@H+]1Cc1ccc(F)cc1Cl ZINC001195852057 1122890297 /nfs/dbraw/zinc/89/02/97/1122890297.db2.gz UYDOOZGBEZHHPV-CYBMUJFWSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@H+]1Cc1ccc(F)cc1Cl ZINC001195852057 1122890302 /nfs/dbraw/zinc/89/03/02/1122890302.db2.gz UYDOOZGBEZHHPV-CYBMUJFWSA-N 1 2 285.790 3.869 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2ccc(F)c(F)c2)cn1 ZINC000440464601 1122890953 /nfs/dbraw/zinc/89/09/53/1122890953.db2.gz IKJCPASDJSXQNB-UHFFFAOYSA-N 1 2 291.345 3.510 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2ccc(F)c(F)c2)cn1 ZINC000440464601 1122890960 /nfs/dbraw/zinc/89/09/60/1122890960.db2.gz IKJCPASDJSXQNB-UHFFFAOYSA-N 1 2 291.345 3.510 20 0 CHADLO FC(F)(F)[C@H]1CC=C(Nc2cccc3[nH+]ccn32)CC1 ZINC001195833319 1122892000 /nfs/dbraw/zinc/89/20/00/1122892000.db2.gz CIFQEBWZQACRRR-JTQLQIEISA-N 1 2 281.281 3.993 20 0 CHADLO FCCC1CC[NH+](Cc2cc(Cl)nc(Cl)c2)CC1 ZINC001196759298 1122931145 /nfs/dbraw/zinc/93/11/45/1122931145.db2.gz NJIJJMMEIUFQBG-UHFFFAOYSA-N 1 2 291.197 3.960 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cccc(O)c2Cl)c1 ZINC000507187268 1122934852 /nfs/dbraw/zinc/93/48/52/1122934852.db2.gz JQKWXKVYQKWXRU-UHFFFAOYSA-N 1 2 275.779 3.986 20 0 CHADLO Cc1ccccc1[C@@H]1CC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000507184810 1122935134 /nfs/dbraw/zinc/93/51/34/1122935134.db2.gz WYHLWFRJSRMUBY-CQSZACIVSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1ccccc1[C@@H]1CC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000507184810 1122935135 /nfs/dbraw/zinc/93/51/35/1122935135.db2.gz WYHLWFRJSRMUBY-CQSZACIVSA-N 1 2 299.418 3.665 20 0 CHADLO COc1nscc1C[N@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC001197030361 1122943225 /nfs/dbraw/zinc/94/32/25/1122943225.db2.gz AKJWCNMGDHJINA-JTQLQIEISA-N 1 2 296.823 3.998 20 0 CHADLO COc1nscc1C[N@@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC001197030361 1122943229 /nfs/dbraw/zinc/94/32/29/1122943229.db2.gz AKJWCNMGDHJINA-JTQLQIEISA-N 1 2 296.823 3.998 20 0 CHADLO FC[C@@H]([NH2+]Cc1ccnc(Cl)c1)c1ccc(F)cc1 ZINC001197135271 1122945582 /nfs/dbraw/zinc/94/55/82/1122945582.db2.gz JJZTVHYLLMLFCE-CYBMUJFWSA-N 1 2 282.721 3.675 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(C)cc(Cl)c2)no1 ZINC000507374550 1122950163 /nfs/dbraw/zinc/95/01/63/1122950163.db2.gz YQCOUKJMDCLOIK-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(C)cc(Cl)c2)no1 ZINC000507374550 1122950166 /nfs/dbraw/zinc/95/01/66/1122950166.db2.gz YQCOUKJMDCLOIK-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO FC(F)C1CC[NH+](Cc2csc(-c3ccco3)n2)CC1 ZINC000353682721 1122956083 /nfs/dbraw/zinc/95/60/83/1122956083.db2.gz OKDIEQCQHCONFN-UHFFFAOYSA-N 1 2 298.358 3.880 20 0 CHADLO C[C@@]1(C(N)=O)CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000334223269 1122967575 /nfs/dbraw/zinc/96/75/75/1122967575.db2.gz ZJKOADKAWDWWFX-OAHLLOKOSA-N 1 2 289.766 3.640 20 0 CHADLO CC(C)[C@@H](C)[N@H+](CC[C@@H]1CCOC1)c1ccccc1 ZINC001197719709 1122967945 /nfs/dbraw/zinc/96/79/45/1122967945.db2.gz LGICLYFIRWCAFJ-HZPDHXFCSA-N 1 2 261.409 3.964 20 0 CHADLO CC(C)[C@@H](C)[N@@H+](CC[C@@H]1CCOC1)c1ccccc1 ZINC001197719709 1122967948 /nfs/dbraw/zinc/96/79/48/1122967948.db2.gz LGICLYFIRWCAFJ-HZPDHXFCSA-N 1 2 261.409 3.964 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H](C(F)(F)F)C3(CCC3)C2)no1 ZINC000334201209 1122969810 /nfs/dbraw/zinc/96/98/10/1122969810.db2.gz NYVMUBPFFRYUNV-GFCCVEGCSA-N 1 2 288.313 3.538 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H](C(F)(F)F)C3(CCC3)C2)no1 ZINC000334201209 1122969813 /nfs/dbraw/zinc/96/98/13/1122969813.db2.gz NYVMUBPFFRYUNV-GFCCVEGCSA-N 1 2 288.313 3.538 20 0 CHADLO CC(C)C(=O)Nc1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001197962286 1122976551 /nfs/dbraw/zinc/97/65/51/1122976551.db2.gz OEDQLXHAMOVXIX-UHFFFAOYSA-N 1 2 294.358 3.672 20 0 CHADLO Clc1ccc2c(c1Cl)OC[C@H]2[NH2+]Cc1ccccn1 ZINC000334398909 1123005788 /nfs/dbraw/zinc/00/57/88/1123005788.db2.gz DOJNEBHCTDZMRG-GFCCVEGCSA-N 1 2 295.169 3.612 20 0 CHADLO CCc1ccc2c(c1)CC[N@@H+](Cc1ccn(C(C)C)n1)C2 ZINC001198820123 1123012529 /nfs/dbraw/zinc/01/25/29/1123012529.db2.gz IRXQKICQCWEBOS-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO CCc1ccc2c(c1)CC[N@H+](Cc1ccn(C(C)C)n1)C2 ZINC001198820123 1123012530 /nfs/dbraw/zinc/01/25/30/1123012530.db2.gz IRXQKICQCWEBOS-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCCOc3ccc(F)cc32)cs1 ZINC000334433289 1123014837 /nfs/dbraw/zinc/01/48/37/1123014837.db2.gz MGJMLJIDEJINIF-CQSZACIVSA-N 1 2 292.379 3.594 20 0 CHADLO C[N@H+](Cc1ccccc1Br)C1CC(F)(F)C1 ZINC001203318426 1123017935 /nfs/dbraw/zinc/01/79/35/1123017935.db2.gz GCZKHVMHROVUOC-UHFFFAOYSA-N 1 2 290.151 3.679 20 0 CHADLO C[N@@H+](Cc1ccccc1Br)C1CC(F)(F)C1 ZINC001203318426 1123017937 /nfs/dbraw/zinc/01/79/37/1123017937.db2.gz GCZKHVMHROVUOC-UHFFFAOYSA-N 1 2 290.151 3.679 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](Cc1ccc(F)cc1)C1CC1 ZINC000519728291 1123019303 /nfs/dbraw/zinc/01/93/03/1123019303.db2.gz QSDHWWQSLSTQMK-LBPRGKRZSA-N 1 2 293.382 3.520 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](Cc1ccc(F)cc1)C1CC1 ZINC000519728291 1123019305 /nfs/dbraw/zinc/01/93/05/1123019305.db2.gz QSDHWWQSLSTQMK-LBPRGKRZSA-N 1 2 293.382 3.520 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](Cc1cnn(C)c1)C1CC1 ZINC000154907039 1129093773 /nfs/dbraw/zinc/09/37/73/1129093773.db2.gz VIJDHMVXCYBLHK-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](Cc1cnn(C)c1)C1CC1 ZINC000154907039 1129093776 /nfs/dbraw/zinc/09/37/76/1129093776.db2.gz VIJDHMVXCYBLHK-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO Cc1ccc(-c2nnc([C@@H](C)[N@@H+]3CC[C@](C)(F)C3)o2)cc1 ZINC000334449267 1123021067 /nfs/dbraw/zinc/02/10/67/1123021067.db2.gz JRZSOIRCZBWBFA-WBMJQRKESA-N 1 2 289.354 3.540 20 0 CHADLO Cc1ccc(-c2nnc([C@@H](C)[N@H+]3CC[C@](C)(F)C3)o2)cc1 ZINC000334449267 1123021070 /nfs/dbraw/zinc/02/10/70/1123021070.db2.gz JRZSOIRCZBWBFA-WBMJQRKESA-N 1 2 289.354 3.540 20 0 CHADLO COc1cccc2c(N[C@@H](C)[C@H]3CCCCO3)cc[nH+]c12 ZINC000440664969 1123027082 /nfs/dbraw/zinc/02/70/82/1123027082.db2.gz MIMKLZLPDWGQMO-SWLSCSKDSA-N 1 2 286.375 3.613 20 0 CHADLO Cn1ccc(C[NH2+][C@H]2CCc3cc(Cl)cc(Cl)c32)n1 ZINC000334464772 1123032904 /nfs/dbraw/zinc/03/29/04/1123032904.db2.gz SVNIWLWBRXMRGI-ZDUSSCGKSA-N 1 2 296.201 3.504 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)CCOC(C)(C)C ZINC000519904019 1123039176 /nfs/dbraw/zinc/03/91/76/1123039176.db2.gz MZAREPKMVIXJNN-NSHDSACASA-N 1 2 271.351 3.773 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)CCOC(C)(C)C ZINC000519904019 1123039179 /nfs/dbraw/zinc/03/91/79/1123039179.db2.gz MZAREPKMVIXJNN-NSHDSACASA-N 1 2 271.351 3.773 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000440790999 1123040726 /nfs/dbraw/zinc/04/07/26/1123040726.db2.gz HLVDPRRKRODBKC-NVXWUHKLSA-N 1 2 272.367 3.641 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000440790999 1123040731 /nfs/dbraw/zinc/04/07/31/1123040731.db2.gz HLVDPRRKRODBKC-NVXWUHKLSA-N 1 2 272.367 3.641 20 0 CHADLO Cc1nn(C)c(Cl)c1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000776031373 1123045288 /nfs/dbraw/zinc/04/52/88/1123045288.db2.gz DSJUXSYRRKYZFJ-VIFPVBQESA-N 1 2 299.752 3.511 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+][C@H](C)c1cc(F)cc(F)c1 ZINC000164810321 1123053980 /nfs/dbraw/zinc/05/39/80/1123053980.db2.gz YDUBIMWJGBKKKK-GFCCVEGCSA-N 1 2 293.361 3.668 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H]2CCc3ccc(Cl)cc32)o1 ZINC000334492681 1123059528 /nfs/dbraw/zinc/05/95/28/1123059528.db2.gz ZEMNGTAJYHTHKB-YGRLFVJLSA-N 1 2 276.767 3.975 20 0 CHADLO Cc1cc(C(=O)OC(C)(C)C)ccc1Nc1ccc(N)[nH+]c1 ZINC001201286053 1123080985 /nfs/dbraw/zinc/08/09/85/1123080985.db2.gz VNZQBRGHWXPDEP-UHFFFAOYSA-N 1 2 299.374 3.671 20 0 CHADLO Nc1ccc(Nc2ccccc2-c2ccccc2N)c[nH+]1 ZINC001201285952 1123081076 /nfs/dbraw/zinc/08/10/76/1123081076.db2.gz SOYISRISELTDBL-UHFFFAOYSA-N 1 2 276.343 3.657 20 0 CHADLO Nc1ccc(Nc2c(Cl)cccc2Br)c[nH+]1 ZINC001201284732 1123081488 /nfs/dbraw/zinc/08/14/88/1123081488.db2.gz YVFIEYLZZBJQAJ-UHFFFAOYSA-N 1 2 298.571 3.823 20 0 CHADLO Nc1ccc(Nc2cccc(C(=O)c3ccccc3)c2)c[nH+]1 ZINC001201286918 1123082005 /nfs/dbraw/zinc/08/20/05/1123082005.db2.gz HSXFWKYXLCSPOO-UHFFFAOYSA-N 1 2 289.338 3.638 20 0 CHADLO Nc1cccc(Cc2cccc(Nc3ccc(N)[nH+]c3)c2)c1 ZINC001201292242 1123083489 /nfs/dbraw/zinc/08/34/89/1123083489.db2.gz MAPZHBLCEAXHLL-UHFFFAOYSA-N 1 2 290.370 3.580 20 0 CHADLO Cc1cccc(CNc2ccc(-n3cc[nH+]c3)c(F)c2)c1 ZINC001201257700 1123083548 /nfs/dbraw/zinc/08/35/48/1123083548.db2.gz UKGSQAHUBZKGEO-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO Nc1ccc(Nc2cc(Cl)nc3ccccc32)c[nH+]1 ZINC001201275362 1123085041 /nfs/dbraw/zinc/08/50/41/1123085041.db2.gz CVKPWVXJSXIQKD-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Cc1c(Nc2cccn3cc[nH+]c23)cnn1-c1ccccc1 ZINC001201367047 1123088482 /nfs/dbraw/zinc/08/84/82/1123088482.db2.gz JTOBMJRCFWMCCG-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO CCCOc1cc(F)ccc1NCc1cccc2[nH+]ccn21 ZINC001201373337 1123088949 /nfs/dbraw/zinc/08/89/49/1123088949.db2.gz WCKDVQRSKKGULD-UHFFFAOYSA-N 1 2 299.349 3.874 20 0 CHADLO Cc1ccc2[nH+]c(NCc3cc(F)cc(F)c3)[nH]c2c1 ZINC000082741390 1123094063 /nfs/dbraw/zinc/09/40/63/1123094063.db2.gz KUUTYBVTJCIDAD-UHFFFAOYSA-N 1 2 273.286 3.762 20 0 CHADLO CC1(C)CCC[N@H+](CC(=O)Nc2ccc(F)cc2Cl)C1 ZINC000112701695 1123094604 /nfs/dbraw/zinc/09/46/04/1123094604.db2.gz XPYLHZBBYGAUGT-UHFFFAOYSA-N 1 2 298.789 3.540 20 0 CHADLO CC1(C)CCC[N@@H+](CC(=O)Nc2ccc(F)cc2Cl)C1 ZINC000112701695 1123094608 /nfs/dbraw/zinc/09/46/08/1123094608.db2.gz XPYLHZBBYGAUGT-UHFFFAOYSA-N 1 2 298.789 3.540 20 0 CHADLO COc1nc(C(C)C)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001201438032 1123104008 /nfs/dbraw/zinc/10/40/08/1123104008.db2.gz NWMCALDWSBWDLF-UHFFFAOYSA-N 1 2 296.374 3.844 20 0 CHADLO C[C@@H]1CC[N@@H+]1C/C(Br)=C/c1ccccc1 ZINC001201451186 1123106906 /nfs/dbraw/zinc/10/69/06/1123106906.db2.gz RHKVWJNVMDISFT-NASPGAHYSA-N 1 2 266.182 3.517 20 0 CHADLO C[C@@H]1CC[N@H+]1C/C(Br)=C/c1ccccc1 ZINC001201451186 1123106909 /nfs/dbraw/zinc/10/69/09/1123106909.db2.gz RHKVWJNVMDISFT-NASPGAHYSA-N 1 2 266.182 3.517 20 0 CHADLO COc1ncccc1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201489032 1123108813 /nfs/dbraw/zinc/10/88/13/1123108813.db2.gz XSEHPJJJEBUTHZ-UHFFFAOYSA-N 1 2 257.337 3.654 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnn3c2OCC2(CC2)C3)cc1 ZINC001201491080 1123109315 /nfs/dbraw/zinc/10/93/15/1123109315.db2.gz LPQHYAZVKFEPMH-UHFFFAOYSA-N 1 2 298.390 3.620 20 0 CHADLO CCOc1ncc(Cl)cc1Nc1cccc2[nH+]ccn21 ZINC001201504696 1123113146 /nfs/dbraw/zinc/11/31/46/1123113146.db2.gz HGSNVJYQVGJCKX-UHFFFAOYSA-N 1 2 288.738 3.525 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCCC[C@@]1(C)C(N)=O ZINC000330449976 1123118891 /nfs/dbraw/zinc/11/88/91/1123118891.db2.gz XWPUFNONTQKOOP-HNNXBMFYSA-N 1 2 296.798 3.628 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCCC[C@@]1(C)C(N)=O ZINC000330449976 1123118893 /nfs/dbraw/zinc/11/88/93/1123118893.db2.gz XWPUFNONTQKOOP-HNNXBMFYSA-N 1 2 296.798 3.628 20 0 CHADLO CCC[N@@H+]1CC[C@H](Cc2ccccc2)C(F)(F)C1 ZINC001201652597 1123136005 /nfs/dbraw/zinc/13/60/05/1123136005.db2.gz RIGAZGZEOZCNCK-CQSZACIVSA-N 1 2 253.336 3.596 20 0 CHADLO CCC[N@H+]1CC[C@H](Cc2ccccc2)C(F)(F)C1 ZINC001201652597 1123136007 /nfs/dbraw/zinc/13/60/07/1123136007.db2.gz RIGAZGZEOZCNCK-CQSZACIVSA-N 1 2 253.336 3.596 20 0 CHADLO CCCCOc1cc(F)ccc1NCCCn1cc[nH+]c1 ZINC001161684864 1123136555 /nfs/dbraw/zinc/13/65/55/1123136555.db2.gz UBABQSJPFVGOMJ-UHFFFAOYSA-N 1 2 291.370 3.703 20 0 CHADLO Cc1cn2cccc(Nc3ccc(C4CC4)nc3)c2[nH+]1 ZINC001201702074 1123137726 /nfs/dbraw/zinc/13/77/26/1123137726.db2.gz DXVIZYAYUPWMEY-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnn(C(F)F)c3)ccc12 ZINC001201741722 1123143559 /nfs/dbraw/zinc/14/35/59/1123143559.db2.gz HMDWMWUFUMVJBW-UHFFFAOYSA-N 1 2 274.274 3.878 20 0 CHADLO CCCCCCN1CC2(CC[N@@H+]2Cc2ccccc2)C1 ZINC001201755408 1123146402 /nfs/dbraw/zinc/14/64/02/1123146402.db2.gz ZORUOLWKXFPBCZ-UHFFFAOYSA-N 1 2 272.436 3.527 20 0 CHADLO CCCCCCN1CC2(CC[N@H+]2Cc2ccccc2)C1 ZINC001201755408 1123146404 /nfs/dbraw/zinc/14/64/04/1123146404.db2.gz ZORUOLWKXFPBCZ-UHFFFAOYSA-N 1 2 272.436 3.527 20 0 CHADLO Cc1cc(CNc2ccc(N(C)C)[nH+]c2)ccc1Cl ZINC000507779801 1123177661 /nfs/dbraw/zinc/17/76/61/1123177661.db2.gz VCYOWHZBIQGHRD-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO C[C@@H]1CC[C@H](C)[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000155517334 1129103800 /nfs/dbraw/zinc/10/38/00/1129103800.db2.gz PWLHCLNXASGOPY-OCAPTIKFSA-N 1 2 264.316 3.535 20 0 CHADLO C[C@@H]1CC[C@H](C)[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000155517334 1129103803 /nfs/dbraw/zinc/10/38/03/1129103803.db2.gz PWLHCLNXASGOPY-OCAPTIKFSA-N 1 2 264.316 3.535 20 0 CHADLO O=C(Nc1cccc(Cl)c1)Nc1cccc2[nH+]ccn21 ZINC001202238039 1123192431 /nfs/dbraw/zinc/19/24/31/1123192431.db2.gz UWOXKDLXKIDBKK-UHFFFAOYSA-N 1 2 286.722 3.632 20 0 CHADLO C[C@@H]1C[C@@H]1[C@H]([NH2+]Cc1cnsn1)c1ccc(Cl)cc1 ZINC000846849809 1123197139 /nfs/dbraw/zinc/19/71/39/1123197139.db2.gz OAUNJPVNOLXTRL-BIGNPOOSSA-N 1 2 293.823 3.678 20 0 CHADLO Cc1ccc(NC(=O)Nc2cc[nH+]c(N3CCCC3)c2)cc1 ZINC001202422409 1123205900 /nfs/dbraw/zinc/20/59/00/1123205900.db2.gz NLSNAMBLDHOAMJ-UHFFFAOYSA-N 1 2 296.374 3.634 20 0 CHADLO CCCN(CC(C)C)C(=O)c1c(C)cc(C)[nH+]c1C ZINC001124664287 1129106092 /nfs/dbraw/zinc/10/60/92/1129106092.db2.gz VLLFPDPDHQZXFC-UHFFFAOYSA-N 1 2 262.397 3.515 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000579588918 1123214231 /nfs/dbraw/zinc/21/42/31/1123214231.db2.gz NCAMWVFLRCOYEH-RYUDHWBXSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000579588918 1123214229 /nfs/dbraw/zinc/21/42/29/1123214229.db2.gz NCAMWVFLRCOYEH-RYUDHWBXSA-N 1 2 281.346 3.669 20 0 CHADLO Cc1csc(C[N@H+](Cc2ccc(F)cc2)C2CC2)n1 ZINC000173179429 1123232278 /nfs/dbraw/zinc/23/22/78/1123232278.db2.gz MGFOOCKINLBEKC-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1csc(C[N@@H+](Cc2ccc(F)cc2)C2CC2)n1 ZINC000173179429 1123232282 /nfs/dbraw/zinc/23/22/82/1123232282.db2.gz MGFOOCKINLBEKC-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1[nH]c(CNc2ccc(OC(C)C)cc2F)[nH+]c1C ZINC000850230703 1123248181 /nfs/dbraw/zinc/24/81/81/1123248181.db2.gz VCPKVYURLWADRG-UHFFFAOYSA-N 1 2 277.343 3.565 20 0 CHADLO Cc1c(C(=O)Nc2c(C)cc(C)[nH+]c2C)ccn1C(C)C ZINC000334554000 1123252045 /nfs/dbraw/zinc/25/20/45/1123252045.db2.gz OXGLCPCUVVFTBG-UHFFFAOYSA-N 1 2 285.391 3.950 20 0 CHADLO Cc1cc([NH2+][C@H]2CCCSCC2)ccc1N(C)C ZINC000850455893 1123270404 /nfs/dbraw/zinc/27/04/04/1123270404.db2.gz VDMIGTITBRMFLF-ZDUSSCGKSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1cc(N[C@H]2CCCSCC2)ccc1[NH+](C)C ZINC000850455893 1123270405 /nfs/dbraw/zinc/27/04/05/1123270405.db2.gz VDMIGTITBRMFLF-ZDUSSCGKSA-N 1 2 264.438 3.759 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc2c(c1)CCCO2)C(F)F ZINC000850471233 1123271828 /nfs/dbraw/zinc/27/18/28/1123271828.db2.gz ONXXADXXWFOELA-GXFFZTMASA-N 1 2 269.335 3.706 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CCc3c(Cl)cccc3C2)o1 ZINC000428233776 1123284869 /nfs/dbraw/zinc/28/48/69/1123284869.db2.gz UGZKTXNGMAQNDR-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CCc3c(Cl)cccc3C2)o1 ZINC000428233776 1123284871 /nfs/dbraw/zinc/28/48/71/1123284871.db2.gz UGZKTXNGMAQNDR-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccc1C(F)(F)F)c1ncccn1 ZINC000930225644 1123288615 /nfs/dbraw/zinc/28/86/15/1123288615.db2.gz IXOMXYOLJQKRHF-GHMZBOCLSA-N 1 2 295.308 3.907 20 0 CHADLO c1cc2c(o1)CCC[C@@H]2[NH2+]Cc1csc(C2CC2)n1 ZINC000113320805 1123298803 /nfs/dbraw/zinc/29/88/03/1123298803.db2.gz FZYXDOKKCHSZHJ-ZDUSSCGKSA-N 1 2 274.389 3.781 20 0 CHADLO c1cn2ccc(Nc3ccccc3-c3cnco3)cc2[nH+]1 ZINC001204982756 1123343889 /nfs/dbraw/zinc/34/38/89/1123343889.db2.gz IEQGVAXMOFWJAG-UHFFFAOYSA-N 1 2 276.299 3.733 20 0 CHADLO CC[C@@]1(C)C[N@H+](Cc2cccc(C(F)(F)F)c2)CCO1 ZINC000442883679 1123346371 /nfs/dbraw/zinc/34/63/71/1123346371.db2.gz DOEDHKXCZNKWAX-AWEZNQCLSA-N 1 2 287.325 3.706 20 0 CHADLO CC[C@@]1(C)C[N@@H+](Cc2cccc(C(F)(F)F)c2)CCO1 ZINC000442883679 1123346374 /nfs/dbraw/zinc/34/63/74/1123346374.db2.gz DOEDHKXCZNKWAX-AWEZNQCLSA-N 1 2 287.325 3.706 20 0 CHADLO Fc1cccc(C[N@@H+]2CCSC[C@H]2c2ccccc2)n1 ZINC000851775508 1123379397 /nfs/dbraw/zinc/37/93/97/1123379397.db2.gz VORYEAABKIDXCB-HNNXBMFYSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1cccc(C[N@H+]2CCSC[C@H]2c2ccccc2)n1 ZINC000851775508 1123379401 /nfs/dbraw/zinc/37/94/01/1123379401.db2.gz VORYEAABKIDXCB-HNNXBMFYSA-N 1 2 288.391 3.511 20 0 CHADLO CC(C)COC[C@@H]([NH2+]CC=C(Cl)Cl)c1ccco1 ZINC000851924450 1123388891 /nfs/dbraw/zinc/38/88/91/1123388891.db2.gz KWZFYMJKCFDKAM-LLVKDONJSA-N 1 2 292.206 3.902 20 0 CHADLO Cn1c2ccccc2nc1C(C)(C)[NH2+]CC=C(Cl)Cl ZINC000851944714 1123391455 /nfs/dbraw/zinc/39/14/55/1123391455.db2.gz RQRAOVDWUGRNGZ-UHFFFAOYSA-N 1 2 298.217 3.717 20 0 CHADLO Clc1cnc(C[NH2+][C@@H]2CCCOc3ccccc32)s1 ZINC000335677104 1123392957 /nfs/dbraw/zinc/39/29/57/1123392957.db2.gz BERQBCYCXAMTKC-LLVKDONJSA-N 1 2 294.807 3.800 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2cccc(O)c2)sc1C ZINC000173214451 1123394730 /nfs/dbraw/zinc/39/47/30/1123394730.db2.gz JAPUQIQMMUZFSM-LLVKDONJSA-N 1 2 276.405 3.659 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2cccc(O)c2)sc1C ZINC000173214451 1123394732 /nfs/dbraw/zinc/39/47/32/1123394732.db2.gz JAPUQIQMMUZFSM-LLVKDONJSA-N 1 2 276.405 3.659 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2c2nc3ccccc3s2)[nH+]c1C ZINC000895194164 1123404509 /nfs/dbraw/zinc/40/45/09/1123404509.db2.gz XEVYFOQZTKKLCB-CYBMUJFWSA-N 1 2 298.415 3.978 20 0 CHADLO CC(C)(C)O[C@@H]1C[C@@H]([NH2+]CC(F)(F)C(F)F)C12CCC2 ZINC000852586597 1123409739 /nfs/dbraw/zinc/40/97/39/1123409739.db2.gz RQKOHUYJKDHXLO-NXEZZACHSA-N 1 2 297.336 3.603 20 0 CHADLO Cc1cc([NH2+]CC(C)(C)c2ccccc2)ccc1N ZINC000853249841 1123430060 /nfs/dbraw/zinc/43/00/60/1123430060.db2.gz JALDIUNSYOBXDM-UHFFFAOYSA-N 1 2 254.377 3.967 20 0 CHADLO CCc1nn(C[N@H+](C)[C@H](C)C(C)(C)C)c(=S)s1 ZINC000853490237 1123442878 /nfs/dbraw/zinc/44/28/78/1123442878.db2.gz QZSWACDTGNXVDW-SECBINFHSA-N 1 2 273.471 3.560 20 0 CHADLO CCc1nn(C[N@@H+](C)[C@H](C)C(C)(C)C)c(=S)s1 ZINC000853490237 1123442882 /nfs/dbraw/zinc/44/28/82/1123442882.db2.gz QZSWACDTGNXVDW-SECBINFHSA-N 1 2 273.471 3.560 20 0 CHADLO C[C@@H](CCC1CC1)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000469795755 1123469621 /nfs/dbraw/zinc/46/96/21/1123469621.db2.gz AUJWDBDMHHYJAS-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO C[N@H+](Cc1ccns1)Cc1cc(F)cc(Cl)c1 ZINC000895453204 1123480317 /nfs/dbraw/zinc/48/03/17/1123480317.db2.gz FPSPZYKJHYPLLX-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1ccns1)Cc1cc(F)cc(Cl)c1 ZINC000895453204 1123480319 /nfs/dbraw/zinc/48/03/19/1123480319.db2.gz FPSPZYKJHYPLLX-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO Cc1ncoc1C[NH2+]Cc1ccc(Cl)cc1OC(C)C ZINC000895539096 1123489503 /nfs/dbraw/zinc/48/95/03/1123489503.db2.gz OKVQSWCZTJSZEW-UHFFFAOYSA-N 1 2 294.782 3.713 20 0 CHADLO Cc1ncc([C@H](C)Nc2ccc([NH+]3CCCC3)cc2)c(C)n1 ZINC000776802901 1123515651 /nfs/dbraw/zinc/51/56/51/1123515651.db2.gz OQKRZKAJHDDMBK-AWEZNQCLSA-N 1 2 296.418 3.867 20 0 CHADLO C[C@H]1CCC[C@H](C2C[NH+](Cc3nnc(C4CC4)s3)C2)C1 ZINC000895862098 1123520682 /nfs/dbraw/zinc/52/06/82/1123520682.db2.gz VLYCRXHGTYZCIF-AAEUAGOBSA-N 1 2 291.464 3.674 20 0 CHADLO Cc1cc(C)c2cc(N[C@@H](C)[C@@H]3CCOC3)ccc2[nH+]1 ZINC000895873479 1123523783 /nfs/dbraw/zinc/52/37/83/1123523783.db2.gz BLTNNQZBRTZDBP-UONOGXRCSA-N 1 2 270.376 3.689 20 0 CHADLO CCSc1ccc(C[NH2+]Cc2nnc(CC)s2)cc1 ZINC000895995096 1123540999 /nfs/dbraw/zinc/54/09/99/1123540999.db2.gz OWOBYMRHQKEYGY-UHFFFAOYSA-N 1 2 293.461 3.502 20 0 CHADLO CCSCc1ccc[nH+]c1NC1CC2(C1)CO[C@@H](C)C2 ZINC000896031693 1123543141 /nfs/dbraw/zinc/54/31/41/1123543141.db2.gz SUAASECWVLIJQQ-YGONEPDPSA-N 1 2 292.448 3.704 20 0 CHADLO Cc1cc(N[C@H]2CCSC3(CCC3)C2)nc(C2CC2)[nH+]1 ZINC000896255129 1123566175 /nfs/dbraw/zinc/56/61/75/1123566175.db2.gz VRVXPGWXBOBPTG-ZDUSSCGKSA-N 1 2 289.448 3.893 20 0 CHADLO CCOc1cncc(C[NH2+][C@@H](C)c2c(F)cccc2F)c1 ZINC000856766238 1123566955 /nfs/dbraw/zinc/56/69/55/1123566955.db2.gz SZYWHBHZBZYKJH-NSHDSACASA-N 1 2 292.329 3.609 20 0 CHADLO CC(C)(C)n1cc(C[NH2+][C@H](CF)c2ccc(F)cc2)cn1 ZINC000631284728 1123598225 /nfs/dbraw/zinc/59/82/25/1123598225.db2.gz SOCOASYNEUGNIF-OAHLLOKOSA-N 1 2 293.361 3.578 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(CF)cc2)c(C)[nH+]1 ZINC000857575621 1123608254 /nfs/dbraw/zinc/60/82/54/1123608254.db2.gz VYZRSGDOZXASTC-UHFFFAOYSA-N 1 2 272.323 3.729 20 0 CHADLO Cc1nc(N[C@H]2CCCc3cn(C4CCCC4)nc32)cc[nH+]1 ZINC000329495876 1123616105 /nfs/dbraw/zinc/61/61/05/1123616105.db2.gz IUKUTRLLXXDESO-HNNXBMFYSA-N 1 2 297.406 3.586 20 0 CHADLO Clc1ccc(C[N@@H+]2CCO[C@@H](c3cccs3)C2)cc1 ZINC000157838793 1129133977 /nfs/dbraw/zinc/13/39/77/1129133977.db2.gz VXQCNSLZKAPDFK-CQSZACIVSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccc(C[N@H+]2CCO[C@@H](c3cccs3)C2)cc1 ZINC000157838793 1129133978 /nfs/dbraw/zinc/13/39/78/1129133978.db2.gz VXQCNSLZKAPDFK-CQSZACIVSA-N 1 2 293.819 3.975 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C2CC2)cs1)c1c(C)noc1C ZINC000329642214 1123638742 /nfs/dbraw/zinc/63/87/42/1123638742.db2.gz ADKSFDCXHVVLCD-LBPRGKRZSA-N 1 2 291.420 3.866 20 0 CHADLO CCCOc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1F ZINC000858310695 1123643329 /nfs/dbraw/zinc/64/33/29/1123643329.db2.gz RTKDKCOEKMGGPE-UHFFFAOYSA-N 1 2 277.343 3.567 20 0 CHADLO Cc1cccc(F)c1C[NH2+][C@H]1CCCc2scnc21 ZINC000858366716 1123648530 /nfs/dbraw/zinc/64/85/30/1123648530.db2.gz LAHWDAMSPGYATH-ZDUSSCGKSA-N 1 2 276.380 3.758 20 0 CHADLO CC(C)(C)c1ncc(C[NH+]2CC3(CCC3(F)F)C2)s1 ZINC000335908147 1123651879 /nfs/dbraw/zinc/65/18/79/1123651879.db2.gz LEYQUNINCDENKL-UHFFFAOYSA-N 1 2 286.391 3.672 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc2c(c1)CCCO2 ZINC000858507526 1123659413 /nfs/dbraw/zinc/65/94/13/1123659413.db2.gz OPTPANUNACWCJV-LLVKDONJSA-N 1 2 269.335 3.708 20 0 CHADLO COc1ccc([C@H](C)Oc2c(C)cc[nH+]c2C)c(F)c1 ZINC001227261986 1123659532 /nfs/dbraw/zinc/65/95/32/1123659532.db2.gz IROMRCONYHTPLF-LBPRGKRZSA-N 1 2 275.323 3.986 20 0 CHADLO Cc1cc(CNc2cc(C)[nH+]c(C3CC3)n2)cc(Cl)n1 ZINC000858593636 1123666644 /nfs/dbraw/zinc/66/66/44/1123666644.db2.gz GPYILBUOYMOYPA-UHFFFAOYSA-N 1 2 288.782 3.631 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)nc1 ZINC000339012737 1123669090 /nfs/dbraw/zinc/66/90/90/1123669090.db2.gz VZKPYWUKJLFOLP-HNAYVOBHSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)nc1 ZINC000339012737 1123669093 /nfs/dbraw/zinc/66/90/93/1123669093.db2.gz VZKPYWUKJLFOLP-HNAYVOBHSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1cnc(C[N@@H+]2CCCC[C@H]2c2cccn2C)s1 ZINC000346173015 1123669842 /nfs/dbraw/zinc/66/98/42/1123669842.db2.gz PCJZIRCDOVMJFJ-HNNXBMFYSA-N 1 2 289.448 3.771 20 0 CHADLO CCc1cnc(C[N@H+]2CCCC[C@H]2c2cccn2C)s1 ZINC000346173015 1123669845 /nfs/dbraw/zinc/66/98/45/1123669845.db2.gz PCJZIRCDOVMJFJ-HNNXBMFYSA-N 1 2 289.448 3.771 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@@H](C)c2cccc(O)c2)s1 ZINC000346204128 1123672101 /nfs/dbraw/zinc/67/21/01/1123672101.db2.gz OWGCLNNKRGJGPZ-NSHDSACASA-N 1 2 276.405 3.604 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@@H](C)c2cccc(O)c2)s1 ZINC000346204128 1123672103 /nfs/dbraw/zinc/67/21/03/1123672103.db2.gz OWGCLNNKRGJGPZ-NSHDSACASA-N 1 2 276.405 3.604 20 0 CHADLO C[C@H](CCC(C)(C)C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000346261059 1123676037 /nfs/dbraw/zinc/67/60/37/1123676037.db2.gz UWKRVAOPFPKLSY-CQSZACIVSA-N 1 2 299.418 3.817 20 0 CHADLO CC(C)[N@H+](Cc1cc(Cl)n(C)n1)Cc1cccc(F)c1 ZINC000859089812 1123683419 /nfs/dbraw/zinc/68/34/19/1123683419.db2.gz CCCJNHBHXACZLE-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC(C)[N@@H+](Cc1cc(Cl)n(C)n1)Cc1cccc(F)c1 ZINC000859089812 1123683423 /nfs/dbraw/zinc/68/34/23/1123683423.db2.gz CCCJNHBHXACZLE-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1cc(Cl)n(C)n1 ZINC000859142034 1123686793 /nfs/dbraw/zinc/68/67/93/1123686793.db2.gz OSEOQNCHFOBYRN-OAHLLOKOSA-N 1 2 289.810 3.583 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1cc(Cl)n(C)n1 ZINC000859142034 1123686792 /nfs/dbraw/zinc/68/67/92/1123686792.db2.gz OSEOQNCHFOBYRN-OAHLLOKOSA-N 1 2 289.810 3.583 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1Cc1ccccc1OC ZINC000281181523 1123687556 /nfs/dbraw/zinc/68/75/56/1123687556.db2.gz RMDSJYNTJKUCQM-MRXNPFEDSA-N 1 2 299.418 3.639 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1Cc1ccccc1OC ZINC000281181523 1123687557 /nfs/dbraw/zinc/68/75/57/1123687557.db2.gz RMDSJYNTJKUCQM-MRXNPFEDSA-N 1 2 299.418 3.639 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ccsc2)c2cnccn2)cc1 ZINC000346450375 1123687615 /nfs/dbraw/zinc/68/76/15/1123687615.db2.gz VOFBALSTXGQLTB-INIZCTEOSA-N 1 2 299.374 3.556 20 0 CHADLO Cc1cc(CNC(=O)OCC2CCCCC2)cc(C)[nH+]1 ZINC000859194207 1123689530 /nfs/dbraw/zinc/68/95/30/1123689530.db2.gz KMWLVTKGNJAYDZ-UHFFFAOYSA-N 1 2 276.380 3.505 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)CCOC1CCC1 ZINC000346834987 1123725485 /nfs/dbraw/zinc/72/54/85/1123725485.db2.gz LOZVDCQTDOZZHL-NSHDSACASA-N 1 2 269.335 3.527 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)CCOC1CCC1 ZINC000346834987 1123725487 /nfs/dbraw/zinc/72/54/87/1123725487.db2.gz LOZVDCQTDOZZHL-NSHDSACASA-N 1 2 269.335 3.527 20 0 CHADLO CC(C)c1ccccc1NC(=O)c1cccc2[nH+]ccn21 ZINC000082323609 1129142944 /nfs/dbraw/zinc/14/29/44/1129142944.db2.gz SFNSBXOOCKVDAG-UHFFFAOYSA-N 1 2 279.343 3.710 20 0 CHADLO C[C@@H]1CC(F)(F)CCN1c1cc2ccccc2c[nH+]1 ZINC000631402125 1123752700 /nfs/dbraw/zinc/75/27/00/1123752700.db2.gz MLDIQYRTIYGATL-LLVKDONJSA-N 1 2 262.303 3.859 20 0 CHADLO CCO[C@H](CCNc1cc(C(F)(F)F)cc[nH+]1)C(C)C ZINC000339053587 1123858169 /nfs/dbraw/zinc/85/81/69/1123858169.db2.gz ASMKOPZYTCRTGU-GFCCVEGCSA-N 1 2 290.329 3.964 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)s1 ZINC001142018890 1123861275 /nfs/dbraw/zinc/86/12/75/1123861275.db2.gz ZYBISKWGNKZBOL-STQMWFEESA-N 1 2 295.473 3.804 20 0 CHADLO CSc1ccc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)s1 ZINC001142018890 1123861279 /nfs/dbraw/zinc/86/12/79/1123861279.db2.gz ZYBISKWGNKZBOL-STQMWFEESA-N 1 2 295.473 3.804 20 0 CHADLO FC(F)(F)c1ccc(CCNc2cccc[nH+]2)cc1 ZINC000301058941 1123870374 /nfs/dbraw/zinc/87/03/74/1123870374.db2.gz OFVUAAIXECZZDB-UHFFFAOYSA-N 1 2 266.266 3.755 20 0 CHADLO Fc1cccc(CC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000301064556 1123871848 /nfs/dbraw/zinc/87/18/48/1123871848.db2.gz FORGISNQQJBSPE-UHFFFAOYSA-N 1 2 270.351 3.680 20 0 CHADLO Cc1cc(N(C)Cc2ccsc2)nc(C(C)C)[nH+]1 ZINC000301085833 1123873125 /nfs/dbraw/zinc/87/31/25/1123873125.db2.gz XCKJJBUVHDWZNV-UHFFFAOYSA-N 1 2 261.394 3.606 20 0 CHADLO Cc1cc(NCc2cc(C(C)C)no2)nc(C(C)C)[nH+]1 ZINC000301265725 1123885812 /nfs/dbraw/zinc/88/58/12/1123885812.db2.gz IENGNLWWNPXTPB-UHFFFAOYSA-N 1 2 274.368 3.632 20 0 CHADLO Cc1cc(N[C@@H](CCCO)c2ccccc2)nc(C(C)C)[nH+]1 ZINC000301346594 1123893600 /nfs/dbraw/zinc/89/36/00/1123893600.db2.gz JVULXHKNTOUVGI-INIZCTEOSA-N 1 2 299.418 3.834 20 0 CHADLO C[C@@H]1CCSCC[N@@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000794570542 1123903218 /nfs/dbraw/zinc/90/32/18/1123903218.db2.gz MTOCJJORCOZHST-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CCSCC[N@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000794570542 1123903220 /nfs/dbraw/zinc/90/32/20/1123903220.db2.gz MTOCJJORCOZHST-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO Cc1cc(C)c(CNc2[nH+]c3ccccc3cc2C)c(=O)[nH]1 ZINC000301581947 1123914828 /nfs/dbraw/zinc/91/48/28/1123914828.db2.gz SYWTXGHYUFRBRM-UHFFFAOYSA-N 1 2 293.370 3.873 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@](C)(O)c1ccccc1 ZINC000301701641 1123924902 /nfs/dbraw/zinc/92/49/02/1123924902.db2.gz DTRNFGVMMWXMPU-IBGZPJMESA-N 1 2 292.382 3.863 20 0 CHADLO Cc1cccn2cc(C[S@](=O)C[C@@H](C)C(C)(C)C)[nH+]c12 ZINC000864471453 1123930158 /nfs/dbraw/zinc/93/01/58/1123930158.db2.gz AGXYOHZTLRKTTA-ZUOKHONESA-N 1 2 292.448 3.574 20 0 CHADLO Cc1nc(N2CCS[C@@H](c3ccccc3)C2)c(C)c(C)[nH+]1 ZINC000301785452 1123932190 /nfs/dbraw/zinc/93/21/90/1123932190.db2.gz KXUJWUYHCJJNER-MRXNPFEDSA-N 1 2 299.443 3.696 20 0 CHADLO c1ccc([C@H]2CCCN2c2cc(NCC3CC3)[nH+]cn2)cc1 ZINC000301838386 1123937276 /nfs/dbraw/zinc/93/72/76/1123937276.db2.gz WRPFTNXMVZUOAA-MRXNPFEDSA-N 1 2 294.402 3.640 20 0 CHADLO c1ccc([C@H]2CCCN2c2cc(NCC3CC3)nc[nH+]2)cc1 ZINC000301838386 1123937279 /nfs/dbraw/zinc/93/72/79/1123937279.db2.gz WRPFTNXMVZUOAA-MRXNPFEDSA-N 1 2 294.402 3.640 20 0 CHADLO CCc1ccc(C2=CCN(c3cccc[nH+]3)CC2)cc1 ZINC000301959674 1123946657 /nfs/dbraw/zinc/94/66/57/1123946657.db2.gz PKTZPXWTLWZCDP-UHFFFAOYSA-N 1 2 264.372 3.938 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ccc3c(c2)CCO3)c1 ZINC000665687330 1123946987 /nfs/dbraw/zinc/94/69/87/1123946987.db2.gz LDMKXGZQOMUMSD-UHFFFAOYSA-N 1 2 287.384 3.626 20 0 CHADLO CCc1cc(N2CC[C@@H](C)C[C@@H]2c2ccco2)nc(C)[nH+]1 ZINC000302061217 1123952688 /nfs/dbraw/zinc/95/26/88/1123952688.db2.gz BOGSPNOKDNYZNQ-IUODEOHRSA-N 1 2 285.391 3.918 20 0 CHADLO Cc1cc(NCCC[C@@H]2CCCCO2)nc(C(C)C)[nH+]1 ZINC000302215776 1123961195 /nfs/dbraw/zinc/96/11/95/1123961195.db2.gz JBPZBMGVNABSMN-AWEZNQCLSA-N 1 2 277.412 3.670 20 0 CHADLO COc1cccc2c(N3C[C@@H](C)S[C@H](C)C3)cc[nH+]c12 ZINC000302500939 1123970071 /nfs/dbraw/zinc/97/00/71/1123970071.db2.gz CRWXBYPUYACTQT-VXGBXAGGSA-N 1 2 288.416 3.574 20 0 CHADLO CC(C)C(C)(C)CNc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000302505671 1123970258 /nfs/dbraw/zinc/97/02/58/1123970258.db2.gz QIHIRYYVAGFHAX-UHFFFAOYSA-N 1 2 289.427 3.675 20 0 CHADLO COc1cccc2c(NC3C[C@@H](C)O[C@H](C)C3)cc[nH+]c12 ZINC000302534098 1123971237 /nfs/dbraw/zinc/97/12/37/1123971237.db2.gz MAFYDLMXVZMXLQ-VXGBXAGGSA-N 1 2 286.375 3.611 20 0 CHADLO CO[C@H](C)[C@H](C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000302618181 1123974612 /nfs/dbraw/zinc/97/46/12/1123974612.db2.gz DMBYBVIGMWPAJI-NWDGAFQWSA-N 1 2 265.401 3.559 20 0 CHADLO CC(C)(C)c1cn(Cc2coc(-c3cccs3)n2)c[nH+]1 ZINC000865376100 1123976168 /nfs/dbraw/zinc/97/61/68/1123976168.db2.gz ZDIPVHHDEQIHOK-UHFFFAOYSA-N 1 2 287.388 3.945 20 0 CHADLO COc1cc(Cn2c[nH+]c(C(C)(C)C)c2)ccc1SC ZINC000865375755 1123976445 /nfs/dbraw/zinc/97/64/45/1123976445.db2.gz NCAYZOWLLRYGNB-UHFFFAOYSA-N 1 2 290.432 3.959 20 0 CHADLO CC(C)(C)c1cn(Cc2csc(-c3ccccn3)n2)c[nH+]1 ZINC000865376121 1123976507 /nfs/dbraw/zinc/97/65/07/1123976507.db2.gz ZWPWOMCRQPLFNZ-UHFFFAOYSA-N 1 2 298.415 3.747 20 0 CHADLO CC(C)(C)c1cn(Cc2cc(-c3ccccc3)on2)c[nH+]1 ZINC000865375266 1123976526 /nfs/dbraw/zinc/97/65/26/1123976526.db2.gz HTJCVKYUEIUZEE-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO COc1cncc(/C=C\CCn2c[nH+]c(C(C)(C)C)c2)c1 ZINC000865376300 1123976792 /nfs/dbraw/zinc/97/67/92/1123976792.db2.gz IEGABIAIJDDKQZ-ALCCZGGFSA-N 1 2 285.391 3.688 20 0 CHADLO Cc1cc(NC[C@](C)(O)C2CCCCC2)nc(C(C)C)[nH+]1 ZINC000302713842 1123979296 /nfs/dbraw/zinc/97/92/96/1123979296.db2.gz LQFARODNCINTSG-KRWDZBQOSA-N 1 2 291.439 3.652 20 0 CHADLO COc1cncc(CNc2ccc([NH+]3CCCC3)cc2)c1C ZINC000865420478 1123983349 /nfs/dbraw/zinc/98/33/49/1123983349.db2.gz FVUSWJFIQWPUCP-UHFFFAOYSA-N 1 2 297.402 3.611 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](C)c2c(F)cccc2OC)o1 ZINC000314716613 1123993393 /nfs/dbraw/zinc/99/33/93/1123993393.db2.gz INQOSOKHNRYVMS-LLVKDONJSA-N 1 2 292.354 3.544 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1cncc(Cl)c1 ZINC000621275594 1129159858 /nfs/dbraw/zinc/15/98/58/1129159858.db2.gz ACLPELHJNDXMQT-ONGXEEELSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1cncc(Cl)c1 ZINC000621275594 1129159862 /nfs/dbraw/zinc/15/98/62/1129159862.db2.gz ACLPELHJNDXMQT-ONGXEEELSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(CC2CCC2)c1)c1csnn1 ZINC000865604152 1123997818 /nfs/dbraw/zinc/99/78/18/1123997818.db2.gz QJPSECKFXGTOAH-GFCCVEGCSA-N 1 2 287.432 3.732 20 0 CHADLO Cc1c(Cl)cccc1C[NH2+]Cc1nnc(C2CC2)s1 ZINC000865603198 1123998021 /nfs/dbraw/zinc/99/80/21/1123998021.db2.gz CCBMAJGIFJZYKM-UHFFFAOYSA-N 1 2 293.823 3.667 20 0 CHADLO COc1ccc(C2CC2)c(NCc2[nH]c(C)c(C)[nH+]2)c1 ZINC000865854745 1124021697 /nfs/dbraw/zinc/02/16/97/1124021697.db2.gz VRLZWPRCTAPXLX-UHFFFAOYSA-N 1 2 271.364 3.525 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(OC)cc1 ZINC000389472266 1124028522 /nfs/dbraw/zinc/02/85/22/1124028522.db2.gz SPMVPPVOVFIWCZ-ZWNOBZJWSA-N 1 2 257.324 3.780 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc2c(c1)OCCCO2 ZINC000389471653 1124029479 /nfs/dbraw/zinc/02/94/79/1124029479.db2.gz RWPHKHAAEBLETJ-MNOVXSKESA-N 1 2 285.334 3.542 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@H]1F)c1ccc(F)cc1 ZINC000866216312 1124038819 /nfs/dbraw/zinc/03/88/19/1124038819.db2.gz QFLSSMOWTLKCEO-UXEPBGEESA-N 1 2 289.325 3.948 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2cc(C)oc2C)o1 ZINC000120964296 1124041583 /nfs/dbraw/zinc/04/15/83/1124041583.db2.gz HUFNOIBNBBQZAD-HNNXBMFYSA-N 1 2 277.364 3.529 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2c3ccccc3OC[C@@H]2F)cc1 ZINC000866330000 1124052649 /nfs/dbraw/zinc/05/26/49/1124052649.db2.gz JOTNLUWSEATVKG-RDJZCZTQSA-N 1 2 286.350 3.636 20 0 CHADLO Cc1ccc(N[C@H](C)CCc2cccnc2)c(C)[nH+]1 ZINC000866325239 1124053029 /nfs/dbraw/zinc/05/30/29/1124053029.db2.gz ZUPAGDCYVNQGLW-CYBMUJFWSA-N 1 2 255.365 3.527 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2nccn2C(C)C)c1 ZINC000444690039 1124053091 /nfs/dbraw/zinc/05/30/91/1124053091.db2.gz IFRUDJBZZVNTNG-UHFFFAOYSA-N 1 2 271.408 3.713 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2nccn2C(C)C)c1 ZINC000444690039 1124053095 /nfs/dbraw/zinc/05/30/95/1124053095.db2.gz IFRUDJBZZVNTNG-UHFFFAOYSA-N 1 2 271.408 3.713 20 0 CHADLO CC(C)c1nnc(C[N@H+](C2CC2)[C@@H]2CCc3ccccc32)[nH]1 ZINC000428373969 1124053821 /nfs/dbraw/zinc/05/38/21/1124053821.db2.gz MMYIFYVNCVQIOF-MRXNPFEDSA-N 1 2 296.418 3.580 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C2CC2)[C@@H]2CCc3ccccc32)[nH]1 ZINC000428373969 1124053824 /nfs/dbraw/zinc/05/38/24/1124053824.db2.gz MMYIFYVNCVQIOF-MRXNPFEDSA-N 1 2 296.418 3.580 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2CC[C@H](CC(F)(F)F)C2)n1 ZINC000444711230 1124055780 /nfs/dbraw/zinc/05/57/80/1124055780.db2.gz UECNVCUWKPPQPW-NXEZZACHSA-N 1 2 291.317 3.528 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2CC[C@H](CC(F)(F)F)C2)n1 ZINC000444711230 1124055784 /nfs/dbraw/zinc/05/57/84/1124055784.db2.gz UECNVCUWKPPQPW-NXEZZACHSA-N 1 2 291.317 3.528 20 0 CHADLO Cc1scc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)c1C ZINC000866428973 1124065459 /nfs/dbraw/zinc/06/54/59/1124065459.db2.gz HDHATVIDOUCCJM-GOEBONIOSA-N 1 2 291.391 3.926 20 0 CHADLO CCn1cnc2c1CC[N@H+](Cc1c(C)oc3ccccc31)C2 ZINC000897333100 1124069761 /nfs/dbraw/zinc/06/97/61/1124069761.db2.gz BRQNLZHWBVPDGK-UHFFFAOYSA-N 1 2 295.386 3.516 20 0 CHADLO CCn1cnc2c1CC[N@@H+](Cc1c(C)oc3ccccc31)C2 ZINC000897333100 1124069763 /nfs/dbraw/zinc/06/97/63/1124069763.db2.gz BRQNLZHWBVPDGK-UHFFFAOYSA-N 1 2 295.386 3.516 20 0 CHADLO c1coc([C@H]2[N@H+](Cc3ccns3)CC23CCCC3)c1 ZINC000639799020 1124079544 /nfs/dbraw/zinc/07/95/44/1124079544.db2.gz UPEPZKGDIGJLIE-CQSZACIVSA-N 1 2 274.389 3.853 20 0 CHADLO c1coc([C@H]2[N@@H+](Cc3ccns3)CC23CCCC3)c1 ZINC000639799020 1124079551 /nfs/dbraw/zinc/07/95/51/1124079551.db2.gz UPEPZKGDIGJLIE-CQSZACIVSA-N 1 2 274.389 3.853 20 0 CHADLO CCc1c[nH]c(SCc2c[nH+]cn2Cc2ccccc2)n1 ZINC000445590802 1124092179 /nfs/dbraw/zinc/09/21/79/1124092179.db2.gz HQICLNHJUJHSGR-UHFFFAOYSA-N 1 2 298.415 3.509 20 0 CHADLO CCCCOc1ccccc1C[NH2+]Cc1ncc(C)s1 ZINC000129880693 1124094325 /nfs/dbraw/zinc/09/43/25/1124094325.db2.gz NUHVNWRGBRMFPA-UHFFFAOYSA-N 1 2 290.432 3.920 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCC[C@H]2CC2(F)F)c1 ZINC000621345568 1129166138 /nfs/dbraw/zinc/16/61/38/1129166138.db2.gz PWARCOCGWPMXAT-QMMMGPOBSA-N 1 2 266.213 3.558 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+][C@@H](CF)c2ccc(F)cc2)cn1 ZINC000631795673 1124106974 /nfs/dbraw/zinc/10/69/74/1124106974.db2.gz LXEIRDHSUQBSNL-WBMJQRKESA-N 1 2 293.361 3.965 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(C(C)(C)C)n2)C[C@H](C)S1 ZINC000525272984 1124119326 /nfs/dbraw/zinc/11/93/26/1124119326.db2.gz INLWLNFFVQFAAA-PHIMTYICSA-N 1 2 284.494 3.766 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(C(C)(C)C)n2)C[C@H](C)S1 ZINC000525272984 1124119327 /nfs/dbraw/zinc/11/93/27/1124119327.db2.gz INLWLNFFVQFAAA-PHIMTYICSA-N 1 2 284.494 3.766 20 0 CHADLO CCCCc1nc(C[N@@H+]2CC[C@](C)(c3ccccc3)C2)no1 ZINC000446732237 1124119473 /nfs/dbraw/zinc/11/94/73/1124119473.db2.gz PQJYVXTWGWTPQJ-SFHVURJKSA-N 1 2 299.418 3.576 20 0 CHADLO CCCCc1nc(C[N@H+]2CC[C@](C)(c3ccccc3)C2)no1 ZINC000446732237 1124119475 /nfs/dbraw/zinc/11/94/75/1124119475.db2.gz PQJYVXTWGWTPQJ-SFHVURJKSA-N 1 2 299.418 3.576 20 0 CHADLO Cc1ccc(O)c(C[N@H+](C)Cc2cc(Cl)ccc2F)n1 ZINC000446974089 1124130268 /nfs/dbraw/zinc/13/02/68/1124130268.db2.gz GYBRGBVXPGHKPQ-UHFFFAOYSA-N 1 2 294.757 3.520 20 0 CHADLO Cc1ccc(O)c(C[N@@H+](C)Cc2cc(Cl)ccc2F)n1 ZINC000446974089 1124130270 /nfs/dbraw/zinc/13/02/70/1124130270.db2.gz GYBRGBVXPGHKPQ-UHFFFAOYSA-N 1 2 294.757 3.520 20 0 CHADLO Cc1cc(N)nc(SCc2ccc(C3CCC3)cc2)[nH+]1 ZINC000447117158 1124132837 /nfs/dbraw/zinc/13/28/37/1124132837.db2.gz ASBIYDCZCOTOOK-UHFFFAOYSA-N 1 2 285.416 3.757 20 0 CHADLO Cc1ccncc1C[NH2+][C@H](C(F)F)C1CCCCC1 ZINC000621402184 1129173384 /nfs/dbraw/zinc/17/33/84/1129173384.db2.gz WMNCXJWXBIUSTO-AWEZNQCLSA-N 1 2 268.351 3.694 20 0 CHADLO Cc1ncccc1C[NH2+][C@@H](C(F)F)C1CCCCC1 ZINC000621400703 1129172733 /nfs/dbraw/zinc/17/27/33/1129172733.db2.gz PHAIKFQBYMKYIL-CQSZACIVSA-N 1 2 268.351 3.694 20 0 CHADLO C[C@@H]([NH2+][C@@H](C(F)F)C1CCCCC1)c1cccnc1 ZINC000621404359 1129173639 /nfs/dbraw/zinc/17/36/39/1129173639.db2.gz QHMUEOGLXRKSHQ-BXUZGUMPSA-N 1 2 268.351 3.946 20 0 CHADLO C[C@@H]([NH2+][C@H](C(F)F)C1CCCCC1)c1cccnc1 ZINC000621404361 1129173935 /nfs/dbraw/zinc/17/39/35/1129173935.db2.gz QHMUEOGLXRKSHQ-RISCZKNCSA-N 1 2 268.351 3.946 20 0 CHADLO Cc1ccc([C@H](C)NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)cc1 ZINC000635224848 1129176451 /nfs/dbraw/zinc/17/64/51/1129176451.db2.gz DZKRQUXOIINZHJ-DOTOQJQBSA-N 1 2 299.418 3.656 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC[C@@H](O)c1ccccc1C ZINC000819409704 1131245223 /nfs/dbraw/zinc/24/52/23/1131245223.db2.gz UECSGIUUNBPJIX-GOSISDBHSA-N 1 2 284.403 3.515 20 0 CHADLO Cc1cc(NC[C@H](O)[C@H](C)c2ccccc2)ccc1[NH+](C)C ZINC000819407847 1131245373 /nfs/dbraw/zinc/24/53/73/1131245373.db2.gz CXCZAMSEZVXPQS-BEFAXECRSA-N 1 2 298.430 3.638 20 0 CHADLO COC(=[NH2+])c1cccc(-c2cnc(OC(C)C)cc2C)c1 ZINC001238827197 1131251013 /nfs/dbraw/zinc/25/10/13/1131251013.db2.gz YZJNUQOVFYQMOW-UHFFFAOYSA-N 1 2 284.359 3.816 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccnc(Oc2ccccc2)c1 ZINC001238843870 1131255425 /nfs/dbraw/zinc/25/54/25/1131255425.db2.gz ZYAYITQFNBJJDL-OTSXPSDFSA-N 1 2 252.317 3.750 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccccc2CC)cs1 ZINC000525833261 1124469729 /nfs/dbraw/zinc/46/97/29/1124469729.db2.gz VGPWNBVVHZVANB-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccccc2CC)cs1 ZINC000525833261 1124469733 /nfs/dbraw/zinc/46/97/33/1124469733.db2.gz VGPWNBVVHZVANB-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO O=c1[nH]c2ccccc2cc1-c1cc(N2CCCC2)cc[nH+]1 ZINC001238875128 1131262087 /nfs/dbraw/zinc/26/20/87/1131262087.db2.gz GAPHWEPLDQBOAR-UHFFFAOYSA-N 1 2 291.354 3.603 20 0 CHADLO CCc1ncc(C[NH2+]C2(c3ccc(F)cc3F)CCC2)o1 ZINC001119775739 1131263520 /nfs/dbraw/zinc/26/35/20/1131263520.db2.gz BVBSLPBSJTYKEF-UHFFFAOYSA-N 1 2 292.329 3.684 20 0 CHADLO COc1cc(C[N@@H+]2CCOC[C@@H]2c2ccccc2)ccc1C ZINC000447730355 1124514779 /nfs/dbraw/zinc/51/47/79/1124514779.db2.gz PZCIMTULPXDCRQ-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO COc1cc(C[N@H+]2CCOC[C@@H]2c2ccccc2)ccc1C ZINC000447730355 1124514785 /nfs/dbraw/zinc/51/47/85/1124514785.db2.gz PZCIMTULPXDCRQ-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@H+](C)CC1(F)CC1 ZINC000526970122 1124533949 /nfs/dbraw/zinc/53/39/49/1124533949.db2.gz NOCNSGGIPCIYEZ-JTQLQIEISA-N 1 2 264.369 3.791 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@@H+](C)CC1(F)CC1 ZINC000526970122 1124533958 /nfs/dbraw/zinc/53/39/58/1124533958.db2.gz NOCNSGGIPCIYEZ-JTQLQIEISA-N 1 2 264.369 3.791 20 0 CHADLO CCC(=CC(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1)CC ZINC000123062178 1124558098 /nfs/dbraw/zinc/55/80/98/1124558098.db2.gz WTXKINSDQRDBOB-UHFFFAOYSA-N 1 2 287.338 3.696 20 0 CHADLO c1sc2ccccc2c1-c1c[nH+]c2c(c1)CCCN2 ZINC001238895357 1131268636 /nfs/dbraw/zinc/26/86/36/1131268636.db2.gz XCPQJDFGIYHUBH-UHFFFAOYSA-N 1 2 266.369 3.964 20 0 CHADLO CC(C)OC(=O)[C@@H](C)[N@H+](C)C/C=C/c1ccc(Cl)cc1 ZINC000527297603 1124566482 /nfs/dbraw/zinc/56/64/82/1124566482.db2.gz RFVSSAYZUHXUJG-URWSZGRFSA-N 1 2 295.810 3.625 20 0 CHADLO CC(C)OC(=O)[C@@H](C)[N@@H+](C)C/C=C/c1ccc(Cl)cc1 ZINC000527297603 1124566488 /nfs/dbraw/zinc/56/64/88/1124566488.db2.gz RFVSSAYZUHXUJG-URWSZGRFSA-N 1 2 295.810 3.625 20 0 CHADLO CCC(CC)n1ccc(C[N@H+](C)Cc2ccccc2)n1 ZINC000448518565 1124582997 /nfs/dbraw/zinc/58/29/97/1124582997.db2.gz DVEZIVKXNCKIBT-UHFFFAOYSA-N 1 2 271.408 3.876 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000448518565 1124582999 /nfs/dbraw/zinc/58/29/99/1124582999.db2.gz DVEZIVKXNCKIBT-UHFFFAOYSA-N 1 2 271.408 3.876 20 0 CHADLO Cc1ccoc1CNc1ccc2c(c1)CCC[N@H+]2C ZINC000123332305 1124588241 /nfs/dbraw/zinc/58/82/41/1124588241.db2.gz IGSUIRBCRCBNOR-UHFFFAOYSA-N 1 2 256.349 3.583 20 0 CHADLO Cc1ccoc1CNc1ccc2c(c1)CCC[N@@H+]2C ZINC000123332305 1124588250 /nfs/dbraw/zinc/58/82/50/1124588250.db2.gz IGSUIRBCRCBNOR-UHFFFAOYSA-N 1 2 256.349 3.583 20 0 CHADLO COc1ccc2ccc(NC3CC4(CC4(F)F)C3)[nH+]c2c1 ZINC001120053825 1131271871 /nfs/dbraw/zinc/27/18/71/1131271871.db2.gz OAEXNERXIUWODG-UHFFFAOYSA-N 1 2 290.313 3.843 20 0 CHADLO COc1cc(C)[nH+]c(CSC[C@@H]2CC[C@@H](C3CC3)O2)c1 ZINC001120250664 1131272223 /nfs/dbraw/zinc/27/22/23/1131272223.db2.gz CZIIMXZIJNKXSI-HOCLYGCPSA-N 1 2 293.432 3.589 20 0 CHADLO CCOCc1cncc(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001238937942 1131273513 /nfs/dbraw/zinc/27/35/13/1131273513.db2.gz DSWGBCODRFOANG-UHFFFAOYSA-N 1 2 293.370 3.686 20 0 CHADLO CC(C)(C)c1cn(Cc2cccc3ncccc23)c[nH+]1 ZINC000871680952 1124626307 /nfs/dbraw/zinc/62/63/07/1124626307.db2.gz PGSNVFDGXNNAQT-UHFFFAOYSA-N 1 2 265.360 3.777 20 0 CHADLO Cc1cccc(C[N@@H+]2CCO[C@@H](c3cccs3)C2)c1F ZINC000449017072 1124629429 /nfs/dbraw/zinc/62/94/29/1124629429.db2.gz GQKOBEQULDAUCF-CQSZACIVSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1cccc(C[N@H+]2CCO[C@@H](c3cccs3)C2)c1F ZINC000449017072 1124629433 /nfs/dbraw/zinc/62/94/33/1124629433.db2.gz GQKOBEQULDAUCF-CQSZACIVSA-N 1 2 291.391 3.769 20 0 CHADLO C[C@H](O)[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000449017151 1124630157 /nfs/dbraw/zinc/63/01/57/1124630157.db2.gz JGKOAAIZJVHUGG-WPRPVWTQSA-N 1 2 294.247 3.790 20 0 CHADLO C[C@H](O)[C@@H]1CCCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000449017151 1124630160 /nfs/dbraw/zinc/63/01/60/1124630160.db2.gz JGKOAAIZJVHUGG-WPRPVWTQSA-N 1 2 294.247 3.790 20 0 CHADLO FC(F)(F)c1nn(CCCC2CC2)c2cc[nH+]cc21 ZINC000871737901 1124634956 /nfs/dbraw/zinc/63/49/56/1124634956.db2.gz NOPQINMUFIRODA-UHFFFAOYSA-N 1 2 269.270 3.640 20 0 CHADLO CC(C)[N@@H+](Cc1cccc2ccoc21)CC(F)F ZINC000449052286 1124642634 /nfs/dbraw/zinc/64/26/34/1124642634.db2.gz YZLUGGZQAJOBFQ-UHFFFAOYSA-N 1 2 253.292 3.908 20 0 CHADLO CC(C)[N@H+](Cc1cccc2ccoc21)CC(F)F ZINC000449052286 1124642636 /nfs/dbraw/zinc/64/26/36/1124642636.db2.gz YZLUGGZQAJOBFQ-UHFFFAOYSA-N 1 2 253.292 3.908 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+]Cc1c(F)cccc1OC ZINC001120363053 1131274536 /nfs/dbraw/zinc/27/45/36/1131274536.db2.gz DZVFSBPRGVMMNA-JTQLQIEISA-N 1 2 292.354 3.544 20 0 CHADLO COc1cccc(F)c1C[NH2+][C@@H](C)c1ccc(C)o1 ZINC001120366925 1131275239 /nfs/dbraw/zinc/27/52/39/1131275239.db2.gz YOQKCHLSMLQORV-NSHDSACASA-N 1 2 263.312 3.587 20 0 CHADLO CC(C)(C)c1cn(C[C@H](O)c2ccc(F)cc2Cl)c[nH+]1 ZINC000871988340 1124655866 /nfs/dbraw/zinc/65/58/66/1124655866.db2.gz ADIFDUFIALBQSM-ZDUSSCGKSA-N 1 2 296.773 3.707 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000528415489 1124658123 /nfs/dbraw/zinc/65/81/23/1124658123.db2.gz UTRKVKJALCRYRS-IZZDOVSWSA-N 1 2 260.768 3.669 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000528415489 1124658126 /nfs/dbraw/zinc/65/81/26/1124658126.db2.gz UTRKVKJALCRYRS-IZZDOVSWSA-N 1 2 260.768 3.669 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1ncccc1Cl ZINC000528433639 1124663060 /nfs/dbraw/zinc/66/30/60/1124663060.db2.gz RFUBQQUYMLEMSG-LBPRGKRZSA-N 1 2 290.794 3.903 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1ncccc1Cl ZINC000528434458 1124665380 /nfs/dbraw/zinc/66/53/80/1124665380.db2.gz UVDCALCBDKDCBW-OAHLLOKOSA-N 1 2 275.783 3.713 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1ncccc1Cl ZINC000528434458 1124665382 /nfs/dbraw/zinc/66/53/82/1124665382.db2.gz UVDCALCBDKDCBW-OAHLLOKOSA-N 1 2 275.783 3.713 20 0 CHADLO Cc1ccc(N[C@H]2CCOC3(CCCC3)C2)c(C)[nH+]1 ZINC000872058657 1124669075 /nfs/dbraw/zinc/66/90/75/1124669075.db2.gz WQIFDQLHSCUVLO-AWEZNQCLSA-N 1 2 260.381 3.602 20 0 CHADLO COc1ccc(F)cc1C[NH2+][C@H](C)c1cccc(O)c1F ZINC000872062917 1124671006 /nfs/dbraw/zinc/67/10/06/1124671006.db2.gz LTOVBRPFLKNKEO-SNVBAGLBSA-N 1 2 293.313 3.530 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCCc3occc32)cc1 ZINC000123940094 1124676653 /nfs/dbraw/zinc/67/66/53/1124676653.db2.gz BXMGDYQFBVUORC-HNNXBMFYSA-N 1 2 256.349 3.835 20 0 CHADLO CC[C@@H](CCC(F)(F)F)Nc1cc(COC)cc[nH+]1 ZINC000872084667 1124679793 /nfs/dbraw/zinc/67/97/93/1124679793.db2.gz TZHMOOGLPAZXBF-NSHDSACASA-N 1 2 276.302 3.761 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000528516544 1124681595 /nfs/dbraw/zinc/68/15/95/1124681595.db2.gz FTYPYMJGGIHKHO-KOTGUFOOSA-N 1 2 275.783 3.842 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000528516544 1124681600 /nfs/dbraw/zinc/68/16/00/1124681600.db2.gz FTYPYMJGGIHKHO-KOTGUFOOSA-N 1 2 275.783 3.842 20 0 CHADLO COc1cccc2c(C[NH2+]C3(C(F)F)CC3)cccc12 ZINC000449308300 1124688714 /nfs/dbraw/zinc/68/87/14/1124688714.db2.gz WCOOTWCMOROKFC-UHFFFAOYSA-N 1 2 277.314 3.736 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc2nc(C)sc2c1)C(F)F ZINC000449361735 1124712269 /nfs/dbraw/zinc/71/22/69/1124712269.db2.gz LHQJGGJZMSAPLK-JTQLQIEISA-N 1 2 270.348 3.738 20 0 CHADLO CCCCOc1ncc(-c2ccc(C)c(N)[nH+]2)cc1C ZINC001238960205 1131279088 /nfs/dbraw/zinc/27/90/88/1131279088.db2.gz AELGLQFGPKXGKY-UHFFFAOYSA-N 1 2 271.364 3.522 20 0 CHADLO Cc1nccnc1[C@H](C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000872768832 1124729260 /nfs/dbraw/zinc/72/92/60/1124729260.db2.gz NQOLEQSSBCCGTA-ZDUSSCGKSA-N 1 2 299.422 3.995 20 0 CHADLO CCCOc1cc(C[NH2+][C@@H](C)C(C)(F)F)ccc1OC ZINC000449412456 1124731697 /nfs/dbraw/zinc/73/16/97/1124731697.db2.gz FQBCQKWSBGTSBQ-NSHDSACASA-N 1 2 287.350 3.617 20 0 CHADLO CC(C)c1ccc([C@H](NC(=O)Cc2[nH]cc[nH+]2)C(C)C)cc1 ZINC000820217449 1131280287 /nfs/dbraw/zinc/28/02/87/1131280287.db2.gz LNEDHKQQWFRRMY-GOSISDBHSA-N 1 2 299.418 3.589 20 0 CHADLO CC(C)c1ccc([C@@H](NC(=O)Cc2[nH]cc[nH+]2)C(C)C)cc1 ZINC000820217450 1131280693 /nfs/dbraw/zinc/28/06/93/1131280693.db2.gz LNEDHKQQWFRRMY-SFHVURJKSA-N 1 2 299.418 3.589 20 0 CHADLO CCCCc1ccc(OC(=O)CCCn2cc[nH+]c2)cc1 ZINC000124499173 1124765321 /nfs/dbraw/zinc/76/53/21/1124765321.db2.gz XMYVLYHRRMUCER-UHFFFAOYSA-N 1 2 286.375 3.612 20 0 CHADLO CCc1ccc(N2C[C@H](C)C[C@H]2c2cccnc2)[nH+]c1 ZINC001120833324 1131284578 /nfs/dbraw/zinc/28/45/78/1131284578.db2.gz SUWOBTSJMLSPGJ-CJNGLKHVSA-N 1 2 267.376 3.627 20 0 CHADLO COc1cccc(-c2ccc(-n3cc[nH+]c3)cc2OC)c1C ZINC001238994247 1131288152 /nfs/dbraw/zinc/28/81/52/1131288152.db2.gz SJARLPZCEAOPQZ-UHFFFAOYSA-N 1 2 294.354 3.865 20 0 CHADLO c1cn(-c2cc[nH+]c(-c3coc4ccccc43)c2)cn1 ZINC001239055607 1131296702 /nfs/dbraw/zinc/29/67/02/1131296702.db2.gz HZXVRGVORCILKS-UHFFFAOYSA-N 1 2 261.284 3.681 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nc(C)no1)c1cc(C)ccc1C ZINC000528800706 1124809031 /nfs/dbraw/zinc/80/90/31/1124809031.db2.gz LOBOVCOHCXPUAO-WFASDCNBSA-N 1 2 273.380 3.797 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccc([C@H]3C[C@H]3C)o2)o1 ZINC000449610840 1124816000 /nfs/dbraw/zinc/81/60/00/1124816000.db2.gz IAKVHSGEBJPWRU-RISCZKNCSA-N 1 2 274.364 3.633 20 0 CHADLO CCCC1(CCC)CCCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000874627946 1124847672 /nfs/dbraw/zinc/84/76/72/1124847672.db2.gz VNMQITXTPSYUEV-OAHLLOKOSA-N 1 2 291.439 3.796 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000449819811 1124877511 /nfs/dbraw/zinc/87/75/11/1124877511.db2.gz JUXVYBZUGSSPQB-HNNXBMFYSA-N 1 2 291.439 3.578 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc(-c2ccccn2)c1 ZINC000120243584 1124907635 /nfs/dbraw/zinc/90/76/35/1124907635.db2.gz RCVDYRLJDGERBR-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc(-c2ccccn2)c1 ZINC000120243584 1124907642 /nfs/dbraw/zinc/90/76/42/1124907642.db2.gz RCVDYRLJDGERBR-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc(-c2ccncc2)c1 ZINC000120237371 1124908093 /nfs/dbraw/zinc/90/80/93/1124908093.db2.gz USBIOSGZHUXVSU-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc(-c2ccncc2)c1 ZINC000120237371 1124908099 /nfs/dbraw/zinc/90/80/99/1124908099.db2.gz USBIOSGZHUXVSU-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)[C@H]1CC1(F)F ZINC000876817943 1124979292 /nfs/dbraw/zinc/97/92/92/1124979292.db2.gz HVZNIYQMNJMFMC-CMPLNLGQSA-N 1 2 254.324 3.517 20 0 CHADLO c1cc(N2CCCCC2)ccc1[NH2+]CCC[C@H]1CCO1 ZINC000876819104 1124981050 /nfs/dbraw/zinc/98/10/50/1124981050.db2.gz AGQSAOJENJXPMB-KRWDZBQOSA-N 1 2 274.408 3.658 20 0 CHADLO c1c(CNc2ccc([NH+]3CCCC3)cc2)onc1C1CC1 ZINC000876820949 1124981079 /nfs/dbraw/zinc/98/10/79/1124981079.db2.gz XHCUUTSONCDDDT-UHFFFAOYSA-N 1 2 283.375 3.764 20 0 CHADLO Cc1cc(N[C@H](C)[C@H]2CC2(F)F)ccc1[NH+](C)C ZINC000876844941 1124985329 /nfs/dbraw/zinc/98/53/29/1124985329.db2.gz LYRLTLSEVAQSRK-ZYHUDNBSSA-N 1 2 254.324 3.517 20 0 CHADLO Clc1csc(C[NH2+][C@@H]2CSCc3ccccc32)n1 ZINC000876974477 1124994962 /nfs/dbraw/zinc/99/49/62/1124994962.db2.gz ITPCFWQGDXEYJQ-LLVKDONJSA-N 1 2 296.848 3.874 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2C[C@@H]2CCCCC2(F)F)o1 ZINC000450420638 1125020800 /nfs/dbraw/zinc/02/08/00/1125020800.db2.gz QNULLQKJDLKABP-KBPBESRZSA-N 1 2 299.361 3.787 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2C[C@@H]2CCCCC2(F)F)o1 ZINC000450420638 1125020807 /nfs/dbraw/zinc/02/08/07/1125020807.db2.gz QNULLQKJDLKABP-KBPBESRZSA-N 1 2 299.361 3.787 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000877344580 1125024621 /nfs/dbraw/zinc/02/46/21/1125024621.db2.gz JKSSCRAGQCHRIT-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000877344580 1125024627 /nfs/dbraw/zinc/02/46/27/1125024627.db2.gz JKSSCRAGQCHRIT-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000450453690 1125032784 /nfs/dbraw/zinc/03/27/84/1125032784.db2.gz MNPPBSUPMNJBDV-CYBMUJFWSA-N 1 2 265.356 3.966 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000450453690 1125032792 /nfs/dbraw/zinc/03/27/92/1125032792.db2.gz MNPPBSUPMNJBDV-CYBMUJFWSA-N 1 2 265.356 3.966 20 0 CHADLO C1=C(C[NH2+]C2(c3noc(C4CC4)n3)CCCC2)CCCC1 ZINC000450458913 1125035506 /nfs/dbraw/zinc/03/55/06/1125035506.db2.gz JQGYDYNCGXBWGV-UHFFFAOYSA-N 1 2 287.407 3.806 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cnc2c(F)cccc2c1 ZINC000877552559 1125044292 /nfs/dbraw/zinc/04/42/92/1125044292.db2.gz QJRAPOLHJIIAAW-UHFFFAOYSA-N 1 2 299.349 3.556 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cnc2c(F)cccc2c1 ZINC000877552559 1125044301 /nfs/dbraw/zinc/04/43/01/1125044301.db2.gz QJRAPOLHJIIAAW-UHFFFAOYSA-N 1 2 299.349 3.556 20 0 CHADLO Cc1ccc(CNc2ccc([NH+]3CCCC3)cc2)nc1 ZINC000126592954 1125044941 /nfs/dbraw/zinc/04/49/41/1125044941.db2.gz MFMYLBJUGVIJEZ-UHFFFAOYSA-N 1 2 267.376 3.602 20 0 CHADLO C[C@H](O)CCCNc1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450484246 1125045143 /nfs/dbraw/zinc/04/51/43/1125045143.db2.gz LOLOKPRCMSYCAG-JTQLQIEISA-N 1 2 298.308 3.827 20 0 CHADLO Clc1csc(C[N@@H+]2CCSC[C@@H]2C2CCC2)n1 ZINC000877594563 1125050861 /nfs/dbraw/zinc/05/08/61/1125050861.db2.gz VFXHDGDNVAEHLW-SNVBAGLBSA-N 1 2 288.869 3.514 20 0 CHADLO Clc1csc(C[N@H+]2CCSC[C@@H]2C2CCC2)n1 ZINC000877594563 1125050869 /nfs/dbraw/zinc/05/08/69/1125050869.db2.gz VFXHDGDNVAEHLW-SNVBAGLBSA-N 1 2 288.869 3.514 20 0 CHADLO F[C@H]1CCN(c2[nH+]ccc3cc(C(F)(F)F)ccc32)C1 ZINC000450604111 1125064871 /nfs/dbraw/zinc/06/48/71/1125064871.db2.gz JJEGQFDDXWMXSU-NSHDSACASA-N 1 2 284.256 3.802 20 0 CHADLO CCCOc1cccc2c(NCC3=CCOCC3)cc[nH+]c12 ZINC000450618544 1125073080 /nfs/dbraw/zinc/07/30/80/1125073080.db2.gz FEJMJGIGFXMGGK-UHFFFAOYSA-N 1 2 298.386 3.782 20 0 CHADLO COc1ccnc(C[NH2+][C@H](C)c2ccc(CC(C)C)cc2)n1 ZINC000450655392 1125080790 /nfs/dbraw/zinc/08/07/90/1125080790.db2.gz WXVJVVRMULQVQW-CQSZACIVSA-N 1 2 299.418 3.535 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@H+](Cc2csc(C3CC3)n2)C1 ZINC000877812403 1125086767 /nfs/dbraw/zinc/08/67/67/1125086767.db2.gz OZCFLCKJZFLJLK-LBPRGKRZSA-N 1 2 290.354 3.795 20 0 CHADLO C[C@]1(C(F)(F)F)CC[N@@H+](Cc2csc(C3CC3)n2)C1 ZINC000877812403 1125086777 /nfs/dbraw/zinc/08/67/77/1125086777.db2.gz OZCFLCKJZFLJLK-LBPRGKRZSA-N 1 2 290.354 3.795 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(C)c(Cl)n2)on1 ZINC000877970940 1125108930 /nfs/dbraw/zinc/10/89/30/1125108930.db2.gz DVJYSIXVMSRZTO-ZDUSSCGKSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(C)c(Cl)n2)on1 ZINC000877970940 1125108933 /nfs/dbraw/zinc/10/89/33/1125108933.db2.gz DVJYSIXVMSRZTO-ZDUSSCGKSA-N 1 2 291.782 3.677 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877971554 1125109216 /nfs/dbraw/zinc/10/92/16/1125109216.db2.gz XMXQJXWVXIIOLS-LLVKDONJSA-N 1 2 276.405 3.808 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877971554 1125109220 /nfs/dbraw/zinc/10/92/20/1125109220.db2.gz XMXQJXWVXIIOLS-LLVKDONJSA-N 1 2 276.405 3.808 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000878090445 1125118830 /nfs/dbraw/zinc/11/88/30/1125118830.db2.gz VZJZDCIKLHWHHM-UHFFFAOYSA-N 1 2 290.310 3.695 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000878090445 1125118834 /nfs/dbraw/zinc/11/88/34/1125118834.db2.gz VZJZDCIKLHWHHM-UHFFFAOYSA-N 1 2 290.310 3.695 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2nc3c(o2)CCCC3)n1 ZINC000878198395 1125131300 /nfs/dbraw/zinc/13/13/00/1125131300.db2.gz NILZYPVEVCAWDK-MRXNPFEDSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2nc3c(o2)CCCC3)n1 ZINC000878198395 1125131303 /nfs/dbraw/zinc/13/13/03/1125131303.db2.gz NILZYPVEVCAWDK-MRXNPFEDSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1conc1C[N@@H+]1[C@@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000878337761 1125141863 /nfs/dbraw/zinc/14/18/63/1125141863.db2.gz HFKBXXOTPWNRCP-RYUDHWBXSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1conc1C[N@H+]1[C@@H](C)Cc2cc(F)ccc2[C@@H]1C ZINC000878337761 1125141866 /nfs/dbraw/zinc/14/18/66/1125141866.db2.gz HFKBXXOTPWNRCP-RYUDHWBXSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+]Cc1nc2c(o1)CCCC2 ZINC000878345605 1125143791 /nfs/dbraw/zinc/14/37/91/1125143791.db2.gz QHBIROPMXRCOHM-CYBMUJFWSA-N 1 2 270.376 3.713 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C2CCC2)no1)c1ccccc1 ZINC000878362656 1125144457 /nfs/dbraw/zinc/14/44/57/1125144457.db2.gz ZFPPUDZSPLSGEU-QGZVFWFLSA-N 1 2 285.391 3.752 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2cc(C(F)(F)F)co2)n1 ZINC000878420901 1125151963 /nfs/dbraw/zinc/15/19/63/1125151963.db2.gz RJEISHVLLGAVMF-QMMMGPOBSA-N 1 2 290.310 3.914 20 0 CHADLO Fc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000121825520 1125156732 /nfs/dbraw/zinc/15/67/32/1125156732.db2.gz CKXIGQMGZFJBGJ-UHFFFAOYSA-N 1 2 267.307 3.624 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1nocc1C ZINC000878555339 1125161449 /nfs/dbraw/zinc/16/14/49/1125161449.db2.gz UWDDWRKADBXJCC-NSHDSACASA-N 1 2 262.378 3.556 20 0 CHADLO CC(C)(C)OCCCCOc1ccccc1-n1cc[nH+]c1 ZINC000530542432 1125161732 /nfs/dbraw/zinc/16/17/32/1125161732.db2.gz XMCRNLQYOJROTJ-UHFFFAOYSA-N 1 2 288.391 3.846 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@@H]1C[C@@H]3CCC[C@H]3O1)C2 ZINC000878619269 1125165608 /nfs/dbraw/zinc/16/56/08/1125165608.db2.gz VCFVFIQUCBHGJI-DETPVDSQSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@@H]1C[C@@H]3CCC[C@H]3O1)C2 ZINC000878619269 1125165609 /nfs/dbraw/zinc/16/56/09/1125165609.db2.gz VCFVFIQUCBHGJI-DETPVDSQSA-N 1 2 277.795 3.613 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCc3occc3C2)nc1Cl ZINC000878626825 1125167523 /nfs/dbraw/zinc/16/75/23/1125167523.db2.gz XUYMHLOPSSNNQS-UHFFFAOYSA-N 1 2 276.767 3.585 20 0 CHADLO Cc1ccc(C[N@H+]2CCCc3occc3C2)nc1Cl ZINC000878626825 1125167529 /nfs/dbraw/zinc/16/75/29/1125167529.db2.gz XUYMHLOPSSNNQS-UHFFFAOYSA-N 1 2 276.767 3.585 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000878644582 1125169204 /nfs/dbraw/zinc/16/92/04/1125169204.db2.gz ZEAIKWYFLGXLRP-UHFFFAOYSA-N 1 2 273.254 3.832 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000878644582 1125169208 /nfs/dbraw/zinc/16/92/08/1125169208.db2.gz ZEAIKWYFLGXLRP-UHFFFAOYSA-N 1 2 273.254 3.832 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@@H]1CC3(CCC3)CO1)C2 ZINC000878703902 1125173027 /nfs/dbraw/zinc/17/30/27/1125173027.db2.gz LZPUSPPTFAUTFC-HNNXBMFYSA-N 1 2 277.795 3.615 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@@H]1CC3(CCC3)CO1)C2 ZINC000878703902 1125173031 /nfs/dbraw/zinc/17/30/31/1125173031.db2.gz LZPUSPPTFAUTFC-HNNXBMFYSA-N 1 2 277.795 3.615 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@H]1CC3(CCC3)CO1)C2 ZINC000878703903 1125173774 /nfs/dbraw/zinc/17/37/74/1125173774.db2.gz LZPUSPPTFAUTFC-OAHLLOKOSA-N 1 2 277.795 3.615 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@H]1CC3(CCC3)CO1)C2 ZINC000878703903 1125173779 /nfs/dbraw/zinc/17/37/79/1125173779.db2.gz LZPUSPPTFAUTFC-OAHLLOKOSA-N 1 2 277.795 3.615 20 0 CHADLO COc1ccc(Cl)cc1C(C)(C)[NH2+]Cc1nocc1C ZINC000878727248 1125175682 /nfs/dbraw/zinc/17/56/82/1125175682.db2.gz QRAJPKJOKHEREG-UHFFFAOYSA-N 1 2 294.782 3.670 20 0 CHADLO CN(Cc1c[nH+]cn1C)c1ccc(-c2ccccc2)cc1 ZINC000530963022 1125189316 /nfs/dbraw/zinc/18/93/16/1125189316.db2.gz RXNUAEXDCWIAGH-UHFFFAOYSA-N 1 2 277.371 3.724 20 0 CHADLO Fc1ccc(C2CC[NH+](C/C(Cl)=C/Cl)CC2)nc1 ZINC000879004512 1125190126 /nfs/dbraw/zinc/19/01/26/1125190126.db2.gz DFMPQBQLYAHNES-XFFZJAGNSA-N 1 2 289.181 3.719 20 0 CHADLO Cc1[nH]c2c(cccc2C(=O)Nc2cc[nH+]c(C)c2)c1C ZINC000122406317 1125191237 /nfs/dbraw/zinc/19/12/37/1125191237.db2.gz AZXLCSHXODDMIR-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO CC(C)=CC[N@@H+]1CCc2c(F)cc(Br)cc2C1 ZINC001168135258 1125193036 /nfs/dbraw/zinc/19/30/36/1125193036.db2.gz VSZQKNDDINRSQZ-UHFFFAOYSA-N 1 2 298.199 3.913 20 0 CHADLO CC(C)=CC[N@H+]1CCc2c(F)cc(Br)cc2C1 ZINC001168135258 1125193039 /nfs/dbraw/zinc/19/30/39/1125193039.db2.gz VSZQKNDDINRSQZ-UHFFFAOYSA-N 1 2 298.199 3.913 20 0 CHADLO C[C@@H]([NH2+]Cc1c(Cl)cncc1Cl)c1ccns1 ZINC000879061570 1125195450 /nfs/dbraw/zinc/19/54/50/1125195450.db2.gz DRBGVIGOTPYTFS-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@]3(CC3(F)F)C2)c(F)c1F ZINC000826142806 1125249388 /nfs/dbraw/zinc/24/93/88/1125249388.db2.gz LOUDSRWZMRRJQL-CYBMUJFWSA-N 1 2 291.263 3.725 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@]3(CC3(F)F)C2)c(F)c1F ZINC000826142806 1125249394 /nfs/dbraw/zinc/24/93/94/1125249394.db2.gz LOUDSRWZMRRJQL-CYBMUJFWSA-N 1 2 291.263 3.725 20 0 CHADLO Cc1ccc2nc(NCc3c[nH+]cn3C(C)C)ccc2c1 ZINC001161563307 1125265409 /nfs/dbraw/zinc/26/54/09/1125265409.db2.gz YEABRHRTJSOBCG-UHFFFAOYSA-N 1 2 280.375 3.933 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ncc(F)c2ccccc21 ZINC001161565938 1125296611 /nfs/dbraw/zinc/29/66/11/1125296611.db2.gz WJLXCIHHPPCQDV-UHFFFAOYSA-N 1 2 284.338 3.763 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)C1CCC2(CC2)CC1 ZINC000666177366 1125307920 /nfs/dbraw/zinc/30/79/20/1125307920.db2.gz ULNILJSMQFBNJB-UHFFFAOYSA-N 1 2 295.386 3.781 20 0 CHADLO Cc1nc(C[N@H+]2CCc3c(cccc3C(C)C)C2)oc1C ZINC000191891423 1125328653 /nfs/dbraw/zinc/32/86/53/1125328653.db2.gz FAZSJBDHPJGPNE-UHFFFAOYSA-N 1 2 284.403 3.973 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3c(cccc3C(C)C)C2)oc1C ZINC000191891423 1125328656 /nfs/dbraw/zinc/32/86/56/1125328656.db2.gz FAZSJBDHPJGPNE-UHFFFAOYSA-N 1 2 284.403 3.973 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2ncccn2)o1 ZINC000192036228 1125330063 /nfs/dbraw/zinc/33/00/63/1125330063.db2.gz XYRCVUNLYTVEFW-HNNXBMFYSA-N 1 2 285.391 3.749 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2ncccn2)o1 ZINC000192036228 1125330066 /nfs/dbraw/zinc/33/00/66/1125330066.db2.gz XYRCVUNLYTVEFW-HNNXBMFYSA-N 1 2 285.391 3.749 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)cn1 ZINC001137169610 1125330662 /nfs/dbraw/zinc/33/06/62/1125330662.db2.gz JXHXAHUQUSNUTQ-OAHLLOKOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)cn1 ZINC001137169610 1125330665 /nfs/dbraw/zinc/33/06/65/1125330665.db2.gz JXHXAHUQUSNUTQ-OAHLLOKOSA-N 1 2 294.826 3.516 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)c(C)s1 ZINC000193130388 1125336269 /nfs/dbraw/zinc/33/62/69/1125336269.db2.gz CYJUUAYJWWXMNX-JTQLQIEISA-N 1 2 293.436 3.896 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCC[C@@H]2c2ccccc2)[nH]1 ZINC000428436656 1125359083 /nfs/dbraw/zinc/35/90/83/1125359083.db2.gz QRSIZTQCVJWYFJ-OAHLLOKOSA-N 1 2 284.407 3.655 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCC[C@@H]2c2ccccc2)[nH]1 ZINC000428436656 1125359084 /nfs/dbraw/zinc/35/90/84/1125359084.db2.gz QRSIZTQCVJWYFJ-OAHLLOKOSA-N 1 2 284.407 3.655 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000882880900 1125359987 /nfs/dbraw/zinc/35/99/87/1125359987.db2.gz NWWOTWMUMKMZEU-CYBMUJFWSA-N 1 2 287.407 3.701 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000882899120 1125360677 /nfs/dbraw/zinc/36/06/77/1125360677.db2.gz FMPRRUKGJYBKTM-LLVKDONJSA-N 1 2 291.370 3.532 20 0 CHADLO CCCOc1ccc(NCc2cc(OC)cc(C)[nH+]2)cc1 ZINC000213498488 1125362689 /nfs/dbraw/zinc/36/26/89/1125362689.db2.gz CEFUCRQVVNXOMU-UHFFFAOYSA-N 1 2 286.375 3.800 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000882971847 1125364799 /nfs/dbraw/zinc/36/47/99/1125364799.db2.gz VFFPDZPBISDPBH-UHFFFAOYSA-N 1 2 287.407 3.567 20 0 CHADLO CC(C)c1c[nH]c(CNc2ccc(N3CCCCC3)[nH+]c2)n1 ZINC000883071793 1125370814 /nfs/dbraw/zinc/37/08/14/1125370814.db2.gz NNNRTOLXUFECGV-UHFFFAOYSA-N 1 2 299.422 3.531 20 0 CHADLO Cc1cnc(=S)n(C[N@H+](Cc2cccs2)C2CC2)c1 ZINC000131047395 1125373076 /nfs/dbraw/zinc/37/30/76/1125373076.db2.gz WSSKKIWROUVPQA-UHFFFAOYSA-N 1 2 291.445 3.605 20 0 CHADLO Cc1cnc(=S)n(C[N@@H+](Cc2cccs2)C2CC2)c1 ZINC000131047395 1125373080 /nfs/dbraw/zinc/37/30/80/1125373080.db2.gz WSSKKIWROUVPQA-UHFFFAOYSA-N 1 2 291.445 3.605 20 0 CHADLO c1ccc(C2CC2)c(C[NH2+]Cc2nnc(C3CC3)s2)c1 ZINC000666471868 1125373325 /nfs/dbraw/zinc/37/33/25/1125373325.db2.gz KKDNYKKOGJZOSI-UHFFFAOYSA-N 1 2 285.416 3.583 20 0 CHADLO C[C@H](Cc1nc([C@@H]2CCCC(C)(C)C2)no1)n1cc[nH+]c1 ZINC000351463781 1125382499 /nfs/dbraw/zinc/38/24/99/1125382499.db2.gz PLRRHSWHCQNVKO-CHWSQXEVSA-N 1 2 288.395 3.754 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+]Cc1ncc(C)s1 ZINC000883258224 1125386686 /nfs/dbraw/zinc/38/66/86/1125386686.db2.gz USQLMKFTLZHCGJ-UHFFFAOYSA-N 1 2 288.416 3.627 20 0 CHADLO C/C=C/C[C@H]1CCC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000626164623 1125389537 /nfs/dbraw/zinc/38/95/37/1125389537.db2.gz MDWMWJUDVHUVSZ-IBUXWKBASA-N 1 2 275.396 3.515 20 0 CHADLO C/C=C/C[C@H]1CCC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000626164623 1125389538 /nfs/dbraw/zinc/38/95/38/1125389538.db2.gz MDWMWJUDVHUVSZ-IBUXWKBASA-N 1 2 275.396 3.515 20 0 CHADLO CC(C)c1noc(C[NH2+][C@@H](c2ccccc2)C2CCC2)n1 ZINC000170919631 1125390758 /nfs/dbraw/zinc/39/07/58/1125390758.db2.gz OFFSAFICWBUBHU-INIZCTEOSA-N 1 2 285.391 3.824 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2ccncc2Cl)c(Cl)n1 ZINC000883301739 1125392159 /nfs/dbraw/zinc/39/21/59/1125392159.db2.gz HSIGTHYTXTZBDI-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO c1cc2c(s1)CCC[C@@H]2[NH2+]C1(c2ncccn2)CCC1 ZINC000883314252 1125394421 /nfs/dbraw/zinc/39/44/21/1125394421.db2.gz YZIJSOIMTUQRCI-ZDUSSCGKSA-N 1 2 285.416 3.585 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc([C@@H](F)C(F)(F)F)cc1 ZINC000883368796 1125403199 /nfs/dbraw/zinc/40/31/99/1125403199.db2.gz BIKGAFFYCNSZFL-GFCCVEGCSA-N 1 2 287.260 3.605 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccnn1C(C)C ZINC000883378008 1125405010 /nfs/dbraw/zinc/40/50/10/1125405010.db2.gz XNKZWMIKCJYMAB-UHFFFAOYSA-N 1 2 290.436 3.724 20 0 CHADLO Cc1ccc2c(Nc3cc(F)ccn3)cccc2[nH+]1 ZINC001212794253 1125412319 /nfs/dbraw/zinc/41/23/19/1125412319.db2.gz QRMIVHOZXFCHBG-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1ccc2c(Nc3cncc(Cl)n3)cccc2[nH+]1 ZINC001212795237 1125416980 /nfs/dbraw/zinc/41/69/80/1125416980.db2.gz WOMUZUPDWCKORA-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO Cc1ccc2c(Nc3ccc(F)nc3F)cccc2[nH+]1 ZINC001212795797 1125417433 /nfs/dbraw/zinc/41/74/33/1125417433.db2.gz BVFQDVKECSGIDB-UHFFFAOYSA-N 1 2 271.270 3.960 20 0 CHADLO Cc1ccc2c(Nc3cc(F)nc(F)c3)cccc2[nH+]1 ZINC001212796392 1125419941 /nfs/dbraw/zinc/41/99/41/1125419941.db2.gz XUMSKTXSSFOVAZ-UHFFFAOYSA-N 1 2 271.270 3.960 20 0 CHADLO CCCc1cc(NC[C@H]2C[C@H](F)C2)nc(CCC)[nH+]1 ZINC001168237270 1125424224 /nfs/dbraw/zinc/42/42/24/1125424224.db2.gz OAAWZYQNFFKKSM-HAQNSBGRSA-N 1 2 265.376 3.542 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1csc(C2CC2)n1 ZINC000348244845 1125429422 /nfs/dbraw/zinc/42/94/22/1125429422.db2.gz NUFOINXTEDHSRK-KWQFWETISA-N 1 2 290.354 3.793 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1csc(C2CC2)n1 ZINC000348244845 1125429423 /nfs/dbraw/zinc/42/94/23/1125429423.db2.gz NUFOINXTEDHSRK-KWQFWETISA-N 1 2 290.354 3.793 20 0 CHADLO c1cn(CCOc2ccc(Oc3ccccc3)cc2)c[nH+]1 ZINC000041435653 1125433737 /nfs/dbraw/zinc/43/37/37/1125433737.db2.gz HTNUVAFFINHOCC-UHFFFAOYSA-N 1 2 280.327 3.754 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2cn(C(C)(C)C)nc2C)no1 ZINC000282861147 1125449107 /nfs/dbraw/zinc/44/91/07/1125449107.db2.gz URZFLNJOUDPPJX-AAEUAGOBSA-N 1 2 290.411 3.655 20 0 CHADLO CC(C)C[C@H](C(=O)N([C@H](C)C(C)C)C1CC1)n1cc[nH+]c1 ZINC000635418150 1129181921 /nfs/dbraw/zinc/18/19/21/1129181921.db2.gz UVTDILQUWMVRNW-GDBMZVCRSA-N 1 2 291.439 3.506 20 0 CHADLO CCOc1cncc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)c1 ZINC000621730312 1129187498 /nfs/dbraw/zinc/18/74/98/1129187498.db2.gz HXMHKUNCBHNALJ-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO CCOc1cncc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)c1 ZINC000621730312 1129187499 /nfs/dbraw/zinc/18/74/99/1129187499.db2.gz HXMHKUNCBHNALJ-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccnc2Cl)[C@H]1c1ccccc1 ZINC000245440719 1129207666 /nfs/dbraw/zinc/20/76/66/1129207666.db2.gz UUVVVORLUXRTGI-IUODEOHRSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccnc2Cl)[C@H]1c1ccccc1 ZINC000245440719 1129207670 /nfs/dbraw/zinc/20/76/70/1129207670.db2.gz UUVVVORLUXRTGI-IUODEOHRSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]([NH2+]Cc1cnns1)c1cc(Cl)ccc1Cl ZINC000295627421 1129219248 /nfs/dbraw/zinc/21/92/48/1129219248.db2.gz AWKXCWIHKCLMJY-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc(C(C)(C)O)cc1 ZINC000296680993 1129223621 /nfs/dbraw/zinc/22/36/21/1129223621.db2.gz IHMIOIYXLXEEDQ-UHFFFAOYSA-N 1 2 298.430 3.901 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C)c(Cl)c2Cl)cc[nH+]1 ZINC000622368241 1129253590 /nfs/dbraw/zinc/25/35/90/1129253590.db2.gz OFRLKUOATZCTPC-UHFFFAOYSA-N 1 2 295.169 3.679 20 0 CHADLO c1nnc([C@H]([NH2+][C@H]2CCc3ccccc32)C2CCCCC2)[nH]1 ZINC000638317859 1129253814 /nfs/dbraw/zinc/25/38/14/1129253814.db2.gz UVVFGOKEBXPQPU-DLBZAZTESA-N 1 2 296.418 3.703 20 0 CHADLO CC(C)([NH2+][C@@H](c1nnc[nH]1)C1CCCCC1)c1ccccc1 ZINC000638428420 1129258913 /nfs/dbraw/zinc/25/89/13/1129258913.db2.gz VCDXWLQAEYUUOC-MRXNPFEDSA-N 1 2 298.434 3.951 20 0 CHADLO CCC(C)(CC)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000638610828 1129263741 /nfs/dbraw/zinc/26/37/41/1129263741.db2.gz LBCDYIAAZZJNEM-ZDUSSCGKSA-N 1 2 264.417 3.594 20 0 CHADLO Cc1cc(C[NH2+]Cc2coc(-c3ccc(C)cc3)n2)sn1 ZINC000638699447 1129266828 /nfs/dbraw/zinc/26/68/28/1129266828.db2.gz ASCYJZIPRBZQEW-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)[C@H]1CC1(F)F ZINC000638888069 1129274244 /nfs/dbraw/zinc/27/42/44/1129274244.db2.gz GZPMNSOQJDGWAD-DGCLKSJQSA-N 1 2 281.350 3.528 20 0 CHADLO CCc1nnc([C@H](C)[N@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000348056818 1129278275 /nfs/dbraw/zinc/27/82/75/1129278275.db2.gz WDTYDCKOMMXYAE-ZDUSSCGKSA-N 1 2 295.386 3.978 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000348056818 1129278277 /nfs/dbraw/zinc/27/82/77/1129278277.db2.gz WDTYDCKOMMXYAE-ZDUSSCGKSA-N 1 2 295.386 3.978 20 0 CHADLO C/C(=C\c1ccc(F)cc1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000750198918 1129281892 /nfs/dbraw/zinc/28/18/92/1129281892.db2.gz XFGBGJABDRDWFB-VAWYXSNFSA-N 1 2 295.317 3.515 20 0 CHADLO C/C(=C/c1ccc(F)cc1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000750198917 1129282199 /nfs/dbraw/zinc/28/21/99/1129282199.db2.gz XFGBGJABDRDWFB-QXMHVHEDSA-N 1 2 295.317 3.515 20 0 CHADLO c1c(CNc2ccc([NH+]3CCCC3)cc2)nc2ccccn12 ZINC000378909360 1129283916 /nfs/dbraw/zinc/28/39/16/1129283916.db2.gz HNOODIIBNHUOAU-UHFFFAOYSA-N 1 2 292.386 3.547 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccccc2)o1 ZINC000639207232 1129293192 /nfs/dbraw/zinc/29/31/92/1129293192.db2.gz NARSZLMMUJGDBF-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CCC3)[C@H]2c2ccccc2)o1 ZINC000639207232 1129293196 /nfs/dbraw/zinc/29/31/96/1129293196.db2.gz NARSZLMMUJGDBF-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2ccns2)c(F)c1 ZINC000639239993 1129296020 /nfs/dbraw/zinc/29/60/20/1129296020.db2.gz PJZNJHXWGFZLBZ-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2ccns2)c(F)c1 ZINC000639239993 1129296022 /nfs/dbraw/zinc/29/60/22/1129296022.db2.gz PJZNJHXWGFZLBZ-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]C2(C3CCC3)CCC2)C2CCCCC2)n1 ZINC000639258663 1129297831 /nfs/dbraw/zinc/29/78/31/1129297831.db2.gz CVGHFUURGXQGIN-HNNXBMFYSA-N 1 2 288.439 3.739 20 0 CHADLO Cc1ncc([C@@H](C)Nc2c[nH+]cc3c2CCCC3)c(C)n1 ZINC000639302157 1129300503 /nfs/dbraw/zinc/30/05/03/1129300503.db2.gz DAKYFYGYGJWJNV-GFCCVEGCSA-N 1 2 282.391 3.540 20 0 CHADLO Fc1cccc(F)c1C1([NH2+]Cc2ccns2)CCC1 ZINC000639356381 1129305793 /nfs/dbraw/zinc/30/57/93/1129305793.db2.gz CUAKTCABTNNEGT-UHFFFAOYSA-N 1 2 280.343 3.590 20 0 CHADLO CCCCC[C@@H](Nc1[nH+]cnc2c1cnn2C)C(C)(C)C ZINC000734484982 1129310069 /nfs/dbraw/zinc/31/00/69/1129310069.db2.gz OZUJMHOMPXFCAU-CYBMUJFWSA-N 1 2 289.427 3.770 20 0 CHADLO C[N@H+](Cc1nc(C2CCC2)no1)[C@H]1CCCc2ccccc21 ZINC000639433159 1129313869 /nfs/dbraw/zinc/31/38/69/1129313869.db2.gz GEWHZGWPPXDTFZ-INIZCTEOSA-N 1 2 297.402 3.847 20 0 CHADLO C[N@@H+](Cc1nc(C2CCC2)no1)[C@H]1CCCc2ccccc21 ZINC000639433159 1129313873 /nfs/dbraw/zinc/31/38/73/1129313873.db2.gz GEWHZGWPPXDTFZ-INIZCTEOSA-N 1 2 297.402 3.847 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@H]2[NH2+][C@@H](C)c1nonc1C ZINC000825151524 1129314135 /nfs/dbraw/zinc/31/41/35/1129314135.db2.gz WPSUIDREAXHPDI-GXFFZTMASA-N 1 2 289.379 3.644 20 0 CHADLO c1cc([C@H]2CCC[N@H+]2Cc2nc(C3CCC3)no2)cs1 ZINC000639452119 1129316827 /nfs/dbraw/zinc/31/68/27/1129316827.db2.gz XFPQQJYUZRBPNA-CYBMUJFWSA-N 1 2 289.404 3.736 20 0 CHADLO c1cc([C@H]2CCC[N@@H+]2Cc2nc(C3CCC3)no2)cs1 ZINC000639452119 1129316830 /nfs/dbraw/zinc/31/68/30/1129316830.db2.gz XFPQQJYUZRBPNA-CYBMUJFWSA-N 1 2 289.404 3.736 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc(NC(=O)C2CC2)cc1 ZINC000639561033 1129324142 /nfs/dbraw/zinc/32/41/42/1129324142.db2.gz GJEHYYJMSXPCOD-LLVKDONJSA-N 1 2 296.361 3.731 20 0 CHADLO Cc1cccc(OCCCNc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000734835559 1129324358 /nfs/dbraw/zinc/32/43/58/1129324358.db2.gz YPXDPGQEYVVOLG-UHFFFAOYSA-N 1 2 297.402 3.852 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2nc(C3CCC3)no2)c1 ZINC000639613268 1129326467 /nfs/dbraw/zinc/32/64/67/1129326467.db2.gz RXKIBFUCMXOQTN-UHFFFAOYSA-N 1 2 285.391 3.586 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2nc(C3CCC3)no2)c1 ZINC000639613268 1129326472 /nfs/dbraw/zinc/32/64/72/1129326472.db2.gz RXKIBFUCMXOQTN-UHFFFAOYSA-N 1 2 285.391 3.586 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)/C=C/C(C)(C)C)n1 ZINC000735040782 1129328781 /nfs/dbraw/zinc/32/87/81/1129328781.db2.gz VBDFUKVGQIQCTI-CMDGGOBGSA-N 1 2 295.452 3.526 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@H+](C)Cc1ccns1 ZINC000639807704 1129335535 /nfs/dbraw/zinc/33/55/35/1129335535.db2.gz KPURTYILQAOQIY-UHFFFAOYSA-N 1 2 289.448 3.856 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@@H+](C)Cc1ccns1 ZINC000639807704 1129335538 /nfs/dbraw/zinc/33/55/38/1129335538.db2.gz KPURTYILQAOQIY-UHFFFAOYSA-N 1 2 289.448 3.856 20 0 CHADLO COc1ccc([C@H]2CCC[N@@H+]2Cc2ccns2)cc1F ZINC000639815397 1129336161 /nfs/dbraw/zinc/33/61/61/1129336161.db2.gz WRGKIJKBODYWOB-CQSZACIVSA-N 1 2 292.379 3.628 20 0 CHADLO COc1ccc([C@H]2CCC[N@H+]2Cc2ccns2)cc1F ZINC000639815397 1129336164 /nfs/dbraw/zinc/33/61/64/1129336164.db2.gz WRGKIJKBODYWOB-CQSZACIVSA-N 1 2 292.379 3.628 20 0 CHADLO CC[N@H+](C)c1ccc(NC2=CC[C@@H](OC)CC2)cc1 ZINC001212886191 1129365655 /nfs/dbraw/zinc/36/56/55/1129365655.db2.gz KSWAQKRMMKVGRP-MRXNPFEDSA-N 1 2 260.381 3.637 20 0 CHADLO CC[N@@H+](C)c1ccc(NC2=CC[C@@H](OC)CC2)cc1 ZINC001212886191 1129365659 /nfs/dbraw/zinc/36/56/59/1129365659.db2.gz KSWAQKRMMKVGRP-MRXNPFEDSA-N 1 2 260.381 3.637 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(CO)c(Cl)c2)[nH+]1 ZINC001212888637 1129369688 /nfs/dbraw/zinc/36/96/88/1129369688.db2.gz XWNGKFGZCNZZKV-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO Cc1[nH]c2c(cc(C)cc2CNc2cc(CO)cc[nH+]2)c1C ZINC000641311411 1129378341 /nfs/dbraw/zinc/37/83/41/1129378341.db2.gz OTSXRJIKXAUSGC-UHFFFAOYSA-N 1 2 295.386 3.593 20 0 CHADLO CC1(C)CN(c2cc[nH+]cc2F)CC[C@H]1C(F)(F)F ZINC000641530537 1129383896 /nfs/dbraw/zinc/38/38/96/1129383896.db2.gz PTUCJDLTSJTZOJ-LLVKDONJSA-N 1 2 276.277 3.636 20 0 CHADLO CCn1c(C2CC2)nn(C[N@@H+]2CCCCC[C@@H]2C)c1=S ZINC000738225092 1129391059 /nfs/dbraw/zinc/39/10/59/1129391059.db2.gz RFOIELRAEKWRKE-LBPRGKRZSA-N 1 2 294.468 3.533 20 0 CHADLO CCn1c(C2CC2)nn(C[N@H+]2CCCCC[C@@H]2C)c1=S ZINC000738225092 1129391061 /nfs/dbraw/zinc/39/10/61/1129391061.db2.gz RFOIELRAEKWRKE-LBPRGKRZSA-N 1 2 294.468 3.533 20 0 CHADLO CC[C@H](C)[C@H]1CCCC[N@@H+]1CC(F)(F)C(F)F ZINC000738315754 1129392628 /nfs/dbraw/zinc/39/26/28/1129392628.db2.gz ZWORZIOWUJFVTQ-VHSXEESVSA-N 1 2 255.299 3.787 20 0 CHADLO CC[C@H](C)[C@H]1CCCC[N@H+]1CC(F)(F)C(F)F ZINC000738315754 1129392632 /nfs/dbraw/zinc/39/26/32/1129392632.db2.gz ZWORZIOWUJFVTQ-VHSXEESVSA-N 1 2 255.299 3.787 20 0 CHADLO CC(C)c1ccc(CC[NH2+][C@@H](C)C(=O)OC(C)(C)C)cc1 ZINC000738416797 1129393320 /nfs/dbraw/zinc/39/33/20/1129393320.db2.gz MBSMWIZPKVLUGR-AWEZNQCLSA-N 1 2 291.435 3.672 20 0 CHADLO C[C@@H]1CC[C@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C[C@@H]1C ZINC001125083314 1131372492 /nfs/dbraw/zinc/37/24/92/1131372492.db2.gz CQYRAMHFAOUEDR-ILXRZTDVSA-N 1 2 297.402 3.883 20 0 CHADLO CC[N@H+](Cc1nc(C(C)(C)C)no1)Cc1ccccc1C ZINC000642253101 1129411773 /nfs/dbraw/zinc/41/17/73/1129411773.db2.gz XWRHNPVDNBHRAS-UHFFFAOYSA-N 1 2 287.407 3.698 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)(C)C)no1)Cc1ccccc1C ZINC000642253101 1129411774 /nfs/dbraw/zinc/41/17/74/1129411774.db2.gz XWRHNPVDNBHRAS-UHFFFAOYSA-N 1 2 287.407 3.698 20 0 CHADLO CC[C@H]1CCC[C@H]1CNC(=O)c1c(C)cc(C)[nH+]c1C ZINC001273370309 1129414306 /nfs/dbraw/zinc/41/43/06/1129414306.db2.gz RNCGOJCGQMIKCV-GJZGRUSLSA-N 1 2 274.408 3.563 20 0 CHADLO CC[N@H+](Cc1ccon1)Cc1ccc(C(F)(F)F)cc1 ZINC000740876190 1129422278 /nfs/dbraw/zinc/42/22/78/1129422278.db2.gz QJATWTVZYMAKLN-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO CC[N@@H+](Cc1ccon1)Cc1ccc(C(F)(F)F)cc1 ZINC000740876190 1129422280 /nfs/dbraw/zinc/42/22/80/1129422280.db2.gz QJATWTVZYMAKLN-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO Clc1cccc(C2([NH2+]Cc3coc(C4CC4)n3)CC2)c1 ZINC000740900170 1129423933 /nfs/dbraw/zinc/42/39/33/1129423933.db2.gz GURMDOICIBOBSX-UHFFFAOYSA-N 1 2 288.778 3.984 20 0 CHADLO C[N@H+](Cc1ccc(C(C)(C)C)cc1)[C@H]1CC(C)(C)OC1=O ZINC000795676088 1129425622 /nfs/dbraw/zinc/42/56/22/1129425622.db2.gz LWVFBKGCBKSBPQ-HNNXBMFYSA-N 1 2 289.419 3.510 20 0 CHADLO C[N@@H+](Cc1ccc(C(C)(C)C)cc1)[C@H]1CC(C)(C)OC1=O ZINC000795676088 1129425623 /nfs/dbraw/zinc/42/56/23/1129425623.db2.gz LWVFBKGCBKSBPQ-HNNXBMFYSA-N 1 2 289.419 3.510 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2C(=O)C2=CCCCCC2)[nH+]c1C ZINC001155176025 1129442576 /nfs/dbraw/zinc/44/25/76/1129442576.db2.gz ZQSNOUMBFNHVEQ-HNNXBMFYSA-N 1 2 287.407 3.581 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cn[nH]c1C)c1ccc(OC)cc1 ZINC000180001552 1129443125 /nfs/dbraw/zinc/44/31/25/1129443125.db2.gz DRUBBDJGAUKGAU-BDJLRTHQSA-N 1 2 273.380 3.529 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cnc2cccc(Cl)c2n1 ZINC001155181768 1129444661 /nfs/dbraw/zinc/44/46/61/1129444661.db2.gz ZWWGQNNNTYPTHW-UHFFFAOYSA-N 1 2 262.744 3.718 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@H+](Cn2ncsc2=S)C1 ZINC000795741406 1129446725 /nfs/dbraw/zinc/44/67/25/1129446725.db2.gz FQVIOIDMPZFKCM-DGCLKSJQSA-N 1 2 291.445 3.715 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@@H+](Cn2ncsc2=S)C1 ZINC000795741406 1129446727 /nfs/dbraw/zinc/44/67/27/1129446727.db2.gz FQVIOIDMPZFKCM-DGCLKSJQSA-N 1 2 291.445 3.715 20 0 CHADLO CCOc1cccc(CNc2ccc(C)[nH+]c2C)c1 ZINC000094664367 1129449647 /nfs/dbraw/zinc/44/96/47/1129449647.db2.gz KKTDLRDOBJYLDY-UHFFFAOYSA-N 1 2 256.349 3.709 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2cccnc2Cl)C2CC2)n1 ZINC000742376872 1129476164 /nfs/dbraw/zinc/47/61/64/1129476164.db2.gz BKOXJVBTZWDXAH-LBPRGKRZSA-N 1 2 293.823 3.741 20 0 CHADLO CCC(CC)(CNc1cc(C)[nH+]c(C2CC2)n1)SC ZINC000742425996 1129478168 /nfs/dbraw/zinc/47/81/68/1129478168.db2.gz SWBBFCQDENXFBH-UHFFFAOYSA-N 1 2 279.453 3.996 20 0 CHADLO COCc1ccccc1/C=C(\C)C[NH2+]C(C)(C)C(F)F ZINC000644889342 1129479341 /nfs/dbraw/zinc/47/93/41/1129479341.db2.gz PUVYHRSILRYBOR-FMIVXFBMSA-N 1 2 283.362 3.870 20 0 CHADLO CC(=CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)C(C)(C)C ZINC000742502801 1129482383 /nfs/dbraw/zinc/48/23/83/1129482383.db2.gz FIRCIOZNSMKYJA-CSKARUKUSA-N 1 2 271.364 3.802 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](C)c1cc(C)cc(C)c1 ZINC000180499778 1129484386 /nfs/dbraw/zinc/48/43/86/1129484386.db2.gz LDTZMSQDEQJBEE-OLZOCXBDSA-N 1 2 257.381 3.747 20 0 CHADLO Fc1cccc2c1C[C@H]([N@@H+]1CCCCC(F)(F)C1)CC2 ZINC001170985840 1131377482 /nfs/dbraw/zinc/37/74/82/1131377482.db2.gz OTRKWAQZYFYYJA-CYBMUJFWSA-N 1 2 283.337 3.804 20 0 CHADLO Fc1cccc2c1C[C@H]([N@H+]1CCCCC(F)(F)C1)CC2 ZINC001170985840 1131377486 /nfs/dbraw/zinc/37/74/86/1131377486.db2.gz OTRKWAQZYFYYJA-CYBMUJFWSA-N 1 2 283.337 3.804 20 0 CHADLO C[C@@H](Cc1ccc(Cl)cc1Cl)[NH2+][C@@H]1CC1(F)F ZINC001258674228 1129491079 /nfs/dbraw/zinc/49/10/79/1129491079.db2.gz ZPRNZYBCAHVAKR-WRWORJQWSA-N 1 2 280.145 3.922 20 0 CHADLO CCCCCC[C@](C)(CC)C(=O)N1CC(n2cc[nH+]c2)C1 ZINC000742677815 1129494146 /nfs/dbraw/zinc/49/41/46/1129494146.db2.gz OTSHVQVSPASAQZ-KRWDZBQOSA-N 1 2 291.439 3.653 20 0 CHADLO CCOc1cccc(F)c1-c1cccc2[nH+]c(C)cn21 ZINC001241251939 1129499670 /nfs/dbraw/zinc/49/96/70/1129499670.db2.gz QARIVLHZIYPGQT-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO CC[N@H+](Cc1noc(-c2c(F)cccc2Cl)n1)C1CC1 ZINC000645775439 1129505430 /nfs/dbraw/zinc/50/54/30/1129505430.db2.gz LBULDOAUEBRTBC-UHFFFAOYSA-N 1 2 295.745 3.513 20 0 CHADLO CC[N@@H+](Cc1noc(-c2c(F)cccc2Cl)n1)C1CC1 ZINC000645775439 1129505433 /nfs/dbraw/zinc/50/54/33/1129505433.db2.gz LBULDOAUEBRTBC-UHFFFAOYSA-N 1 2 295.745 3.513 20 0 CHADLO CCc1noc(C)c1C[NH2+]C1(c2ccccc2Cl)CC1 ZINC000645977251 1129514967 /nfs/dbraw/zinc/51/49/67/1129514967.db2.gz PAJJNCMXPZBEOH-UHFFFAOYSA-N 1 2 290.794 3.978 20 0 CHADLO Clc1ccccc1C1([NH2+]Cc2ccccn2)CC1 ZINC000645976561 1129515337 /nfs/dbraw/zinc/51/53/37/1129515337.db2.gz LWUNYAHJMHFIFQ-UHFFFAOYSA-N 1 2 258.752 3.514 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2noc3c2CCCC3)CC1 ZINC000645979907 1129515542 /nfs/dbraw/zinc/51/55/42/1129515542.db2.gz PDABTJWWSQFFMQ-UHFFFAOYSA-N 1 2 282.387 3.641 20 0 CHADLO Oc1cccc(C[N@@H+]2CCC[C@H]2c2ccccn2)c1Cl ZINC000646055789 1129520253 /nfs/dbraw/zinc/52/02/53/1129520253.db2.gz IZSJYPSPYCNHPY-AWEZNQCLSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cccc(C[N@H+]2CCC[C@H]2c2ccccn2)c1Cl ZINC000646055789 1129520254 /nfs/dbraw/zinc/52/02/54/1129520254.db2.gz IZSJYPSPYCNHPY-AWEZNQCLSA-N 1 2 288.778 3.778 20 0 CHADLO CC[C@]1(C)CCC[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000743388007 1129521717 /nfs/dbraw/zinc/52/17/17/1129521717.db2.gz MTQJVUTWMNVOGI-OAHLLOKOSA-N 1 2 296.484 3.708 20 0 CHADLO CC[C@]1(C)CCC[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000743388007 1129521720 /nfs/dbraw/zinc/52/17/20/1129521720.db2.gz MTQJVUTWMNVOGI-OAHLLOKOSA-N 1 2 296.484 3.708 20 0 CHADLO CCC[C@]1(C)CCC[N@H+](Cn2ccc(C(F)(F)F)n2)C1 ZINC000743408210 1129522803 /nfs/dbraw/zinc/52/28/03/1129522803.db2.gz WOIHTBPVHBCABL-CYBMUJFWSA-N 1 2 289.345 3.762 20 0 CHADLO CCC[C@]1(C)CCC[N@@H+](Cn2ccc(C(F)(F)F)n2)C1 ZINC000743408210 1129522806 /nfs/dbraw/zinc/52/28/06/1129522806.db2.gz WOIHTBPVHBCABL-CYBMUJFWSA-N 1 2 289.345 3.762 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)o1 ZINC000646117108 1129524577 /nfs/dbraw/zinc/52/45/77/1129524577.db2.gz PDMONBUQWUNAOM-XJKSGUPXSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)o1 ZINC000646117108 1129524579 /nfs/dbraw/zinc/52/45/79/1129524579.db2.gz PDMONBUQWUNAOM-XJKSGUPXSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC(C)(CC(F)F)C2)c(C)[nH+]1 ZINC000646408521 1129535157 /nfs/dbraw/zinc/53/51/57/1129535157.db2.gz WCTBDMFQIDDJEL-UHFFFAOYSA-N 1 2 297.349 3.516 20 0 CHADLO CCCCOC(=O)C[N@@H+]1C[C@H](C)C[C@@H]1c1ccccc1F ZINC000743856966 1129540955 /nfs/dbraw/zinc/54/09/55/1129540955.db2.gz QDLQHAFYRFYTQU-CZUORRHYSA-N 1 2 293.382 3.552 20 0 CHADLO CCCCOC(=O)C[N@H+]1C[C@H](C)C[C@@H]1c1ccccc1F ZINC000743856966 1129540958 /nfs/dbraw/zinc/54/09/58/1129540958.db2.gz QDLQHAFYRFYTQU-CZUORRHYSA-N 1 2 293.382 3.552 20 0 CHADLO CC(C)(CNC(=O)c1cc2c[nH+]ccc2[nH]1)C1CCCC1 ZINC001137584728 1131381138 /nfs/dbraw/zinc/38/11/38/1131381138.db2.gz DHJXQEYRRRYNLD-UHFFFAOYSA-N 1 2 285.391 3.509 20 0 CHADLO CC(C)C[C@@H]1CC[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000744437569 1129578133 /nfs/dbraw/zinc/57/81/33/1129578133.db2.gz VWUDHETYESNVDN-LBPRGKRZSA-N 1 2 296.484 3.564 20 0 CHADLO CC(C)C[C@@H]1CC[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000744437569 1129578134 /nfs/dbraw/zinc/57/81/34/1129578134.db2.gz VWUDHETYESNVDN-LBPRGKRZSA-N 1 2 296.484 3.564 20 0 CHADLO Cc1cc(F)c(C[NH+]2CCC(F)(F)CC2)c(F)c1 ZINC000822476096 1131383539 /nfs/dbraw/zinc/38/35/39/1131383539.db2.gz HESLHKAZERMSAR-UHFFFAOYSA-N 1 2 261.262 3.504 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccnn2CC(C)C)C2CC2)o1 ZINC000648001413 1129591936 /nfs/dbraw/zinc/59/19/36/1129591936.db2.gz OMHGSNLQQXKCJK-UHFFFAOYSA-N 1 2 287.407 3.605 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccnn2CC(C)C)C2CC2)o1 ZINC000648001413 1129591938 /nfs/dbraw/zinc/59/19/38/1129591938.db2.gz OMHGSNLQQXKCJK-UHFFFAOYSA-N 1 2 287.407 3.605 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cscc2Cl)CC2(CCC2)O1 ZINC000648074310 1129597320 /nfs/dbraw/zinc/59/73/20/1129597320.db2.gz PBSTWUISECIGRM-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cscc2Cl)CC2(CCC2)O1 ZINC000648074310 1129597322 /nfs/dbraw/zinc/59/73/22/1129597322.db2.gz PBSTWUISECIGRM-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO c1coc([C@@H]2[N@H+](Cc3[nH]nc4ccccc43)CC23CCC3)c1 ZINC000648801637 1129625900 /nfs/dbraw/zinc/62/59/00/1129625900.db2.gz IKCNGBJISYSBNJ-KRWDZBQOSA-N 1 2 293.370 3.883 20 0 CHADLO c1coc([C@@H]2[N@@H+](Cc3[nH]nc4ccccc43)CC23CCC3)c1 ZINC000648801637 1129625901 /nfs/dbraw/zinc/62/59/01/1129625901.db2.gz IKCNGBJISYSBNJ-KRWDZBQOSA-N 1 2 293.370 3.883 20 0 CHADLO c1ccc2c(c1)C[N@@H+](Cc1noc3c1CCCC3)CC21CC1 ZINC000186238609 1129633563 /nfs/dbraw/zinc/63/35/63/1129633563.db2.gz BIVNEBCFQYANIO-UHFFFAOYSA-N 1 2 294.398 3.601 20 0 CHADLO c1ccc2c(c1)C[N@H+](Cc1noc3c1CCCC3)CC21CC1 ZINC000186238609 1129633566 /nfs/dbraw/zinc/63/35/66/1129633566.db2.gz BIVNEBCFQYANIO-UHFFFAOYSA-N 1 2 294.398 3.601 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2nnc(C(C)C)o2)cc1 ZINC001212968209 1129636906 /nfs/dbraw/zinc/63/69/06/1129636906.db2.gz OQCZZGRKVMUNQR-UHFFFAOYSA-N 1 2 274.368 3.783 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccc(Br)s1 ZINC000380201507 1129639121 /nfs/dbraw/zinc/63/91/21/1129639121.db2.gz UDAMDHHXIFCEQD-MRVPVSSYSA-N 1 2 296.180 3.788 20 0 CHADLO COc1ccc[nH+]c1NCc1csc(Br)c1 ZINC000381372181 1129643884 /nfs/dbraw/zinc/64/38/84/1129643884.db2.gz IRDMEQXQAVWJMS-UHFFFAOYSA-N 1 2 299.193 3.526 20 0 CHADLO Cc1cc(F)c2[nH]ccc2c1Nc1ccc(N(C)C)[nH+]c1 ZINC001212971704 1129644076 /nfs/dbraw/zinc/64/40/76/1129644076.db2.gz GWENSXJDIMNCHW-UHFFFAOYSA-N 1 2 284.338 3.820 20 0 CHADLO Cc1cc(Br)ccc1C[NH2+]C(C)(C)C(F)F ZINC000381314467 1129644342 /nfs/dbraw/zinc/64/43/42/1129644342.db2.gz BDOBSLUVFJMAJT-UHFFFAOYSA-N 1 2 292.167 3.891 20 0 CHADLO COc1cc[nH+]cc1Nc1c2cc[nH]c2c(F)cc1C ZINC001212972828 1129650298 /nfs/dbraw/zinc/65/02/98/1129650298.db2.gz IAHUEQMVKRTEBQ-UHFFFAOYSA-N 1 2 271.295 3.763 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2cc3n(n2)CCCC3)c1 ZINC000649492413 1129653350 /nfs/dbraw/zinc/65/33/50/1129653350.db2.gz UJJRHPVVXORYMA-GOSISDBHSA-N 1 2 299.393 3.696 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2cc3n(n2)CCCC3)c1 ZINC000649492413 1129653353 /nfs/dbraw/zinc/65/33/53/1129653353.db2.gz UJJRHPVVXORYMA-GOSISDBHSA-N 1 2 299.393 3.696 20 0 CHADLO CCOc1ccc(-c2cc3[nH]c[nH+]c3cc2OC)cc1 ZINC001239564094 1131388501 /nfs/dbraw/zinc/38/85/01/1131388501.db2.gz CCXYAACMJZYSKE-UHFFFAOYSA-N 1 2 268.316 3.637 20 0 CHADLO CCOc1ccc(-c2ccc3[nH+]c(C)cn3c2)cc1 ZINC001239564587 1131388861 /nfs/dbraw/zinc/38/88/61/1131388861.db2.gz KPZJTYSDZQWRDY-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(SC(F)F)cc1 ZINC000766422749 1129664217 /nfs/dbraw/zinc/66/42/17/1129664217.db2.gz WCNGUVLPDPSOGC-VIFPVBQESA-N 1 2 284.331 3.840 20 0 CHADLO Cc1cc(C[NH2+]C2(c3ccccc3Cl)CCC2)nn1C ZINC000649643398 1129664819 /nfs/dbraw/zinc/66/48/19/1129664819.db2.gz KKARYAHMCAVLBL-UHFFFAOYSA-N 1 2 289.810 3.551 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(C)c3[nH]ccc3[nH+]2)nc1 ZINC001168859603 1129678381 /nfs/dbraw/zinc/67/83/81/1129678381.db2.gz CFYGEPOIBSXULD-LBPRGKRZSA-N 1 2 266.348 3.748 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2oc3c(cccc3C)c2C)n1 ZINC000649819414 1129679725 /nfs/dbraw/zinc/67/97/25/1129679725.db2.gz WFSLHOZWLIVUKG-LBPRGKRZSA-N 1 2 299.374 3.934 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2oc3c(cccc3C)c2C)n1 ZINC000649819414 1129679726 /nfs/dbraw/zinc/67/97/26/1129679726.db2.gz WFSLHOZWLIVUKG-LBPRGKRZSA-N 1 2 299.374 3.934 20 0 CHADLO Cc1c2cccc(C)c2oc1C[N@@H+](C)Cc1ncc(C)cn1 ZINC000649819804 1129680200 /nfs/dbraw/zinc/68/02/00/1129680200.db2.gz GUGBNUITWLIXAN-UHFFFAOYSA-N 1 2 295.386 3.780 20 0 CHADLO Cc1c2cccc(C)c2oc1C[N@H+](C)Cc1ncc(C)cn1 ZINC000649819804 1129680203 /nfs/dbraw/zinc/68/02/03/1129680203.db2.gz GUGBNUITWLIXAN-UHFFFAOYSA-N 1 2 295.386 3.780 20 0 CHADLO CC(C)CC(=O)CC[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000828548451 1131390279 /nfs/dbraw/zinc/39/02/79/1131390279.db2.gz DEMLAGHJTYWBNT-UHFFFAOYSA-N 1 2 298.430 3.852 20 0 CHADLO CC(C)CC(=O)CC[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000828548451 1131390283 /nfs/dbraw/zinc/39/02/83/1131390283.db2.gz DEMLAGHJTYWBNT-UHFFFAOYSA-N 1 2 298.430 3.852 20 0 CHADLO c1c(CCNc2ccc3ccncc3c2)[nH+]c2ccccn12 ZINC001169005642 1129689062 /nfs/dbraw/zinc/68/90/62/1129689062.db2.gz WIYBALCBMFAZFM-UHFFFAOYSA-N 1 2 288.354 3.537 20 0 CHADLO CC(C)C[C@@H](Cc1ccccc1)[NH2+]CC(=O)OC(C)(C)C ZINC001169048835 1129692086 /nfs/dbraw/zinc/69/20/86/1129692086.db2.gz CFCXVSIXOZFSPK-INIZCTEOSA-N 1 2 291.435 3.575 20 0 CHADLO Cc1cc(NC(=O)C(C)(C)C2CCCCC2)c(C)c[nH+]1 ZINC000766577726 1129693211 /nfs/dbraw/zinc/69/32/11/1129693211.db2.gz IMRSCVMSVLHXKM-UHFFFAOYSA-N 1 2 274.408 3.665 20 0 CHADLO COCc1cc[nH+]c(NCc2cc(C)c(C)cc2C)c1 ZINC000650244985 1129702306 /nfs/dbraw/zinc/70/23/06/1129702306.db2.gz XLAJQYOPFWLPHB-UHFFFAOYSA-N 1 2 270.376 3.765 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(N[C@H]2CCC[C@@H](O)C2)c1 ZINC001169252597 1129713171 /nfs/dbraw/zinc/71/31/71/1129713171.db2.gz CKONQVYWRLHQOX-GOEBONIOSA-N 1 2 276.424 3.557 20 0 CHADLO COc1cccc2[nH+]c(NCCc3cc(C)ccn3)ccc21 ZINC001169316119 1129716976 /nfs/dbraw/zinc/71/69/76/1129716976.db2.gz RGVJQOPZSUFPBR-UHFFFAOYSA-N 1 2 293.370 3.601 20 0 CHADLO COc1ccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)cc1F ZINC001239579969 1131396223 /nfs/dbraw/zinc/39/62/23/1131396223.db2.gz UISZMYSHSRQFRY-UHFFFAOYSA-N 1 2 268.291 3.891 20 0 CHADLO Cc1nc(NC2(c3ccccc3C(F)(F)F)CC2)cc[nH+]1 ZINC001169637307 1129742707 /nfs/dbraw/zinc/74/27/07/1129742707.db2.gz UXGGJIWIMKDPJC-UHFFFAOYSA-N 1 2 293.292 3.905 20 0 CHADLO C[N@H+](CCc1ccc2ccccc2c1)CC(=O)OC(C)(C)C ZINC001169790607 1129756745 /nfs/dbraw/zinc/75/67/45/1129756745.db2.gz BTSWVYMKWXZBSF-UHFFFAOYSA-N 1 2 299.414 3.656 20 0 CHADLO C[N@@H+](CCc1ccc2ccccc2c1)CC(=O)OC(C)(C)C ZINC001169790607 1129756748 /nfs/dbraw/zinc/75/67/48/1129756748.db2.gz BTSWVYMKWXZBSF-UHFFFAOYSA-N 1 2 299.414 3.656 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)CCc2cccc(F)c2)c1 ZINC000652068340 1129756975 /nfs/dbraw/zinc/75/69/75/1129756975.db2.gz APCMYAUXOKTYLA-ZDUSSCGKSA-N 1 2 288.366 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CS[C@@H](C(C)(C)C)C2)c1 ZINC000652067687 1129757237 /nfs/dbraw/zinc/75/72/37/1129757237.db2.gz DASJYFFLMGFAFF-QWHCGFSZSA-N 1 2 280.437 3.560 20 0 CHADLO COCc1cc[nH+]c(NC[C@@H](C)c2cc(F)cc(F)c2)c1 ZINC000652067748 1129757588 /nfs/dbraw/zinc/75/75/88/1129757588.db2.gz FSHYXEWVFYKYKC-LLVKDONJSA-N 1 2 292.329 3.722 20 0 CHADLO COc1c(C)[nH+]c(C2CC2)nc1N[C@@H]1C[C@H]1c1ccccc1 ZINC001169812882 1129758503 /nfs/dbraw/zinc/75/85/03/1129758503.db2.gz UJBLIRLQZPWAKJ-LSDHHAIUSA-N 1 2 295.386 3.639 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2c3ccccc3C[C@@H]2C)c1 ZINC000652330281 1129763184 /nfs/dbraw/zinc/76/31/84/1129763184.db2.gz BLANIPLWZASVBS-SJCJKPOMSA-N 1 2 268.360 3.573 20 0 CHADLO COCc1cc[nH+]c(N[C@H](CC(C)C)c2ccccn2)c1 ZINC000652330302 1129763211 /nfs/dbraw/zinc/76/32/11/1129763211.db2.gz BZHGTXICUSPLCB-MRXNPFEDSA-N 1 2 285.391 3.822 20 0 CHADLO Cc1cc(NCCCc2ccncc2)[nH+]c2ccccc12 ZINC001156407230 1129766591 /nfs/dbraw/zinc/76/65/91/1129766591.db2.gz AOVYHOYVBNASQZ-UHFFFAOYSA-N 1 2 277.371 3.983 20 0 CHADLO COc1ccc2[nH+]c(N3C[C@@H](C)CC34CC4)cc(C)c2c1 ZINC001156411812 1129768421 /nfs/dbraw/zinc/76/84/21/1129768421.db2.gz CCKSIXPXJZKIOR-LBPRGKRZSA-N 1 2 282.387 3.931 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(Cl)ccc1Cl)c1ccccn1 ZINC000766893421 1129769180 /nfs/dbraw/zinc/76/91/80/1129769180.db2.gz MRMJVZJRWJBDPS-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO Cc1ccc(-c2cnc(C[NH+]3CCC(F)(F)CC3)o2)cc1 ZINC000796538327 1129777183 /nfs/dbraw/zinc/77/71/83/1129777183.db2.gz OOAIEXKVZQECFL-UHFFFAOYSA-N 1 2 292.329 3.881 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C)c2cnccc2C)c(C)[nH+]1 ZINC000653184674 1129778069 /nfs/dbraw/zinc/77/80/69/1129778069.db2.gz QDPMKOOTPWSCSK-ZDUSSCGKSA-N 1 2 298.390 3.593 20 0 CHADLO CC[N@H+](Cc1cc(C(C)=O)no1)Cc1ccc(Cl)cc1 ZINC000653513039 1129782902 /nfs/dbraw/zinc/78/29/02/1129782902.db2.gz JETAGKCECJZGBO-UHFFFAOYSA-N 1 2 292.766 3.553 20 0 CHADLO CC[N@@H+](Cc1cc(C(C)=O)no1)Cc1ccc(Cl)cc1 ZINC000653513039 1129782904 /nfs/dbraw/zinc/78/29/04/1129782904.db2.gz JETAGKCECJZGBO-UHFFFAOYSA-N 1 2 292.766 3.553 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(Cl)n2)CC(C)(C)C1 ZINC000828778876 1131397965 /nfs/dbraw/zinc/39/79/65/1131397965.db2.gz FLIVRBKYZAOGOM-VIFPVBQESA-N 1 2 258.818 3.665 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(Cl)n2)CC(C)(C)C1 ZINC000828778876 1131397971 /nfs/dbraw/zinc/39/79/71/1131397971.db2.gz FLIVRBKYZAOGOM-VIFPVBQESA-N 1 2 258.818 3.665 20 0 CHADLO Cc1nn(C[NH+]2CC(C)(C)CC(C)(C)C2)c(=S)s1 ZINC000767517000 1129797016 /nfs/dbraw/zinc/79/70/16/1129797016.db2.gz UHVPGHFVBIPGIZ-UHFFFAOYSA-N 1 2 285.482 3.698 20 0 CHADLO Clc1snnc1C[N@@H+]1CCC[C@@H]1CC1CCCC1 ZINC000653893810 1129800096 /nfs/dbraw/zinc/80/00/96/1129800096.db2.gz KWMIFVLQYKQEGN-LLVKDONJSA-N 1 2 285.844 3.736 20 0 CHADLO Clc1snnc1C[N@H+]1CCC[C@@H]1CC1CCCC1 ZINC000653893810 1129800101 /nfs/dbraw/zinc/80/01/01/1129800101.db2.gz KWMIFVLQYKQEGN-LLVKDONJSA-N 1 2 285.844 3.736 20 0 CHADLO C[C@@H]1CC[C@@]2(CC[N@H+](Cc3csc(Cl)n3)C2)C1 ZINC000828766843 1131398827 /nfs/dbraw/zinc/39/88/27/1131398827.db2.gz WEBCBZUOIJTQDI-ZWNOBZJWSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@@H]1CC[C@@]2(CC[N@@H+](Cc3csc(Cl)n3)C2)C1 ZINC000828766843 1131398832 /nfs/dbraw/zinc/39/88/32/1131398832.db2.gz WEBCBZUOIJTQDI-ZWNOBZJWSA-N 1 2 270.829 3.809 20 0 CHADLO Cc1cc(NC(=O)N(C)C2CCCCCCC2)c(C)c[nH+]1 ZINC000767945502 1129823257 /nfs/dbraw/zinc/82/32/57/1129823257.db2.gz GCAPXCIRSPMWMN-UHFFFAOYSA-N 1 2 289.423 3.697 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1coc(C2CC2)n1 ZINC000768417498 1129858251 /nfs/dbraw/zinc/85/82/51/1129858251.db2.gz QRLBLVBKDKIBCW-SNVBAGLBSA-N 1 2 262.378 3.807 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1coc(C2CC2)n1 ZINC000768417498 1129858253 /nfs/dbraw/zinc/85/82/53/1129858253.db2.gz QRLBLVBKDKIBCW-SNVBAGLBSA-N 1 2 262.378 3.807 20 0 CHADLO CC[N@H+](Cc1coc(C2CC2)n1)[C@@H](C)c1cccc(O)c1 ZINC000768872162 1129877516 /nfs/dbraw/zinc/87/75/16/1129877516.db2.gz DXUTXRBVFCOYDZ-LBPRGKRZSA-N 1 2 286.375 3.841 20 0 CHADLO CC[N@@H+](Cc1coc(C2CC2)n1)[C@@H](C)c1cccc(O)c1 ZINC000768872162 1129877523 /nfs/dbraw/zinc/87/75/23/1129877523.db2.gz DXUTXRBVFCOYDZ-LBPRGKRZSA-N 1 2 286.375 3.841 20 0 CHADLO c1cc(N2CCSCC2)ccc1[NH2+][C@H]1CCC12CCC2 ZINC000800487536 1129878204 /nfs/dbraw/zinc/87/82/04/1129878204.db2.gz FDKCBSJGTRHWFU-INIZCTEOSA-N 1 2 288.460 3.984 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+][C@@H]1CC[C@@H]1C1CCC1 ZINC000800976988 1129901874 /nfs/dbraw/zinc/90/18/74/1129901874.db2.gz LDVPJHJJPNELSB-QZTJIDSGSA-N 1 2 286.419 3.514 20 0 CHADLO COc1ccc([NH2+][C@@H]2CC[C@@H]2C2CCC2)c(OC)c1 ZINC000800977272 1129901928 /nfs/dbraw/zinc/90/19/28/1129901928.db2.gz YEHQXQRHWZIZPZ-ZIAGYGMSSA-N 1 2 261.365 3.694 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccsc3C2)cnc1Cl ZINC000769427269 1129908128 /nfs/dbraw/zinc/90/81/28/1129908128.db2.gz PKLQCZUMUJGYHO-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccsc3C2)cnc1Cl ZINC000769427269 1129908129 /nfs/dbraw/zinc/90/81/29/1129908129.db2.gz PKLQCZUMUJGYHO-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3c(F)cc(F)cc3C2)c(C)o1 ZINC000769532251 1129915579 /nfs/dbraw/zinc/91/55/79/1129915579.db2.gz IHLCBAHLPOOGEG-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO Cc1cc(C[N@H+]2CCc3c(F)cc(F)cc3C2)c(C)o1 ZINC000769532251 1129915581 /nfs/dbraw/zinc/91/55/81/1129915581.db2.gz IHLCBAHLPOOGEG-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO Cc1cc(OC(=O)c2ccc(-n3cc[nH+]c3)cc2)ccc1F ZINC000769736748 1129923349 /nfs/dbraw/zinc/92/33/49/1129923349.db2.gz DRWLYTVGLUBJFE-UHFFFAOYSA-N 1 2 296.301 3.539 20 0 CHADLO CC(C)n1c[nH+]cc1/C=C\c1nc2c(s1)CCC2 ZINC000901552509 1129935810 /nfs/dbraw/zinc/93/58/10/1129935810.db2.gz TYVTVRWQGZKOLN-SREVYHEPSA-N 1 2 259.378 3.580 20 0 CHADLO O=C(Nc1cccc2ccccc21)c1cccc2[nH+]ccn21 ZINC000770037263 1129937152 /nfs/dbraw/zinc/93/71/52/1129937152.db2.gz FXNUAXUOBNCHPO-UHFFFAOYSA-N 1 2 287.322 3.740 20 0 CHADLO CCN(C(=O)c1cccc2[nH+]ccn21)c1cc(C)ccc1C ZINC000770078417 1129937692 /nfs/dbraw/zinc/93/76/92/1129937692.db2.gz QONZJYUKFVICQI-UHFFFAOYSA-N 1 2 293.370 3.618 20 0 CHADLO FC1(F)CCC(SCCCn2cc[nH+]c2)CC1 ZINC000901737478 1129948524 /nfs/dbraw/zinc/94/85/24/1129948524.db2.gz QIERUBBGRCQNTI-UHFFFAOYSA-N 1 2 260.353 3.584 20 0 CHADLO CCCCCC[N@@H+]1CCOC[C@@H]1CC(=O)c1cccs1 ZINC000771300661 1129976515 /nfs/dbraw/zinc/97/65/15/1129976515.db2.gz BZVGSHIGYUNHBJ-AWEZNQCLSA-N 1 2 295.448 3.602 20 0 CHADLO CCCCCC[N@H+]1CCOC[C@@H]1CC(=O)c1cccs1 ZINC000771300661 1129976518 /nfs/dbraw/zinc/97/65/18/1129976518.db2.gz BZVGSHIGYUNHBJ-AWEZNQCLSA-N 1 2 295.448 3.602 20 0 CHADLO CCOc1ccc[nH+]c1NCc1ccc2oc(C)nc2c1 ZINC001156933723 1129981659 /nfs/dbraw/zinc/98/16/59/1129981659.db2.gz HSTIDLFMXJGOMU-UHFFFAOYSA-N 1 2 283.331 3.542 20 0 CHADLO Cc1cc(NCc2cc3cccnc3o2)nc(C(C)C)[nH+]1 ZINC000902184745 1129986435 /nfs/dbraw/zinc/98/64/35/1129986435.db2.gz BHEAWCBGNLEKLU-UHFFFAOYSA-N 1 2 282.347 3.662 20 0 CHADLO CC(C)Cc1ccc(CO[NH+]=C(N)Cc2cccnc2)cc1 ZINC000771674729 1129988476 /nfs/dbraw/zinc/98/84/76/1129988476.db2.gz RZRUDBKOWQIEMQ-UHFFFAOYSA-N 1 2 297.402 3.521 20 0 CHADLO Cc1cccc([C@@H](C)[N@@H+]2CCc3cc(Cl)nnc3C2)c1 ZINC000829143162 1131412906 /nfs/dbraw/zinc/41/29/06/1131412906.db2.gz KWEUFOHEQAIZKE-GFCCVEGCSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1cccc([C@@H](C)[N@H+]2CCc3cc(Cl)nnc3C2)c1 ZINC000829143162 1131412909 /nfs/dbraw/zinc/41/29/09/1131412909.db2.gz KWEUFOHEQAIZKE-GFCCVEGCSA-N 1 2 287.794 3.558 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc3c(c2)OCCO3)cc1 ZINC001239605119 1130008529 /nfs/dbraw/zinc/00/85/29/1130008529.db2.gz ZRGZIMHNWICVHA-UHFFFAOYSA-N 1 2 292.338 3.525 20 0 CHADLO CC(C)CC[C@H]([NH2+]Cc1csc(N)n1)c1ccoc1 ZINC000902498394 1130019017 /nfs/dbraw/zinc/01/90/17/1130019017.db2.gz FZVCFPGJSQQHSN-ZDUSSCGKSA-N 1 2 279.409 3.585 20 0 CHADLO Cc1cc(N(C)C)nc(-c2ccc(C(C)C)cc2)[nH+]1 ZINC001239625525 1130019898 /nfs/dbraw/zinc/01/98/98/1130019898.db2.gz VYUHDTJGLRQELF-UHFFFAOYSA-N 1 2 255.365 3.641 20 0 CHADLO C[C@H](CCO)[N@H+](C/C(Cl)=C\Cl)Cc1ccccc1 ZINC000772389200 1130020089 /nfs/dbraw/zinc/02/00/89/1130020089.db2.gz QGGSCYBKBDXPCD-ZMTLZGASSA-N 1 2 288.218 3.579 20 0 CHADLO C[C@H](CCO)[N@@H+](C/C(Cl)=C\Cl)Cc1ccccc1 ZINC000772389200 1130020096 /nfs/dbraw/zinc/02/00/96/1130020096.db2.gz QGGSCYBKBDXPCD-ZMTLZGASSA-N 1 2 288.218 3.579 20 0 CHADLO CC(=O)c1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)cc1 ZINC001239630813 1130023854 /nfs/dbraw/zinc/02/38/54/1130023854.db2.gz ADIXFWNIFHIXOS-UHFFFAOYSA-N 1 2 276.339 3.957 20 0 CHADLO FC1(F)[C@@H](c2ccccc2)[C@@H]1CSCCn1cc[nH+]c1 ZINC000902575111 1130028145 /nfs/dbraw/zinc/02/81/45/1130028145.db2.gz BSQNMCLMDGCXLP-KBPBESRZSA-N 1 2 294.370 3.665 20 0 CHADLO CC(=O)c1cccc(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001239639008 1130030483 /nfs/dbraw/zinc/03/04/83/1130030483.db2.gz NVNYVOJTVJBYRE-UHFFFAOYSA-N 1 2 276.339 3.957 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2cc(F)cc(F)c2)cc1F ZINC001239657802 1130036419 /nfs/dbraw/zinc/03/64/19/1130036419.db2.gz SPPXDNKAYWAPRY-UHFFFAOYSA-N 1 2 283.268 3.972 20 0 CHADLO Cn1cc[nH+]c1CCCOC(=O)CCCC1CCCCC1 ZINC000802783176 1130039112 /nfs/dbraw/zinc/03/91/12/1130039112.db2.gz HNNIATYWTLCQRP-UHFFFAOYSA-N 1 2 292.423 3.647 20 0 CHADLO COc1ccc(OC)c(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001239668000 1130042323 /nfs/dbraw/zinc/04/23/23/1130042323.db2.gz LDNHYRIEFVXLOX-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO COc1ccc2c(c1)nccc2-c1ccn2cc[nH+]c2c1 ZINC001239678780 1130049760 /nfs/dbraw/zinc/04/97/60/1130049760.db2.gz XCWGOYHJKQCTOD-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2cccc(F)c2F)cc1F ZINC001239707949 1130064443 /nfs/dbraw/zinc/06/44/43/1130064443.db2.gz YLDHFSIXHGZWIH-UHFFFAOYSA-N 1 2 283.268 3.972 20 0 CHADLO COC[C@@H]([NH2+]C/C(Cl)=C/Cl)c1ccc(Cl)cc1 ZINC000785953607 1130070221 /nfs/dbraw/zinc/07/02/21/1130070221.db2.gz RFIXXHHGJPWWLX-HUMZTAOYSA-N 1 2 294.609 3.936 20 0 CHADLO COC(=O)c1cccc(-c2cc[nH+]c(N3CCCCC3)c2)c1 ZINC001239731832 1130078589 /nfs/dbraw/zinc/07/85/89/1130078589.db2.gz WRNQKFKJKBUKAJ-UHFFFAOYSA-N 1 2 296.370 3.526 20 0 CHADLO COC(=O)c1cccc(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001239732663 1130079929 /nfs/dbraw/zinc/07/99/29/1130079929.db2.gz CIPOKQQHFGEDEA-UHFFFAOYSA-N 1 2 292.338 3.541 20 0 CHADLO CCCn1cc([C@@H](C)[NH2+][C@H](CC)c2ccccc2F)nn1 ZINC000903043091 1130104072 /nfs/dbraw/zinc/10/40/72/1130104072.db2.gz PHXMDUBAOJIBFR-IUODEOHRSA-N 1 2 290.386 3.629 20 0 CHADLO COc1ccc(Cl)c2c1CCC[C@@H]2[NH2+]Cc1ccon1 ZINC000903104955 1130113301 /nfs/dbraw/zinc/11/33/01/1130113301.db2.gz NGPLUHBTQBXPOU-ZDUSSCGKSA-N 1 2 292.766 3.504 20 0 CHADLO COc1cccc(-c2ccc(-n3cc[nH+]c3)cc2)c1OC ZINC001239814721 1130120434 /nfs/dbraw/zinc/12/04/34/1130120434.db2.gz GNLQASZMEGTHTM-UHFFFAOYSA-N 1 2 280.327 3.557 20 0 CHADLO CC(=O)OCc1cccc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000903171061 1130123688 /nfs/dbraw/zinc/12/36/88/1130123688.db2.gz MHSWVTMTQFCMHV-XHDPSFHLSA-N 1 2 297.345 3.588 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2nccc3c2CCCC3)cs1 ZINC000903181557 1130125143 /nfs/dbraw/zinc/12/51/43/1130125143.db2.gz KTZUPMWNUKJCDZ-LLVKDONJSA-N 1 2 287.432 3.576 20 0 CHADLO C[N@H+](Cc1scnc1C1CC1)Cc1ccc(Cl)nc1 ZINC000787079108 1130128124 /nfs/dbraw/zinc/12/81/24/1130128124.db2.gz LIJFCZGCMIWHTO-UHFFFAOYSA-N 1 2 293.823 3.701 20 0 CHADLO C[N@@H+](Cc1scnc1C1CC1)Cc1ccc(Cl)nc1 ZINC000787079108 1130128128 /nfs/dbraw/zinc/12/81/28/1130128128.db2.gz LIJFCZGCMIWHTO-UHFFFAOYSA-N 1 2 293.823 3.701 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](c2ccccn2)C2CC2)c(F)c1 ZINC000903228628 1130132489 /nfs/dbraw/zinc/13/24/89/1130132489.db2.gz WLDQACJZCDSBFH-QGZVFWFLSA-N 1 2 288.341 3.909 20 0 CHADLO CC(C)c1ccccc1-c1ccn2cc(N)[nH+]c2c1 ZINC001239866485 1130141203 /nfs/dbraw/zinc/14/12/03/1130141203.db2.gz JSYMWPCMRYJKAY-UHFFFAOYSA-N 1 2 251.333 3.707 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@H+](C)Cc1cc2ccccc2o1 ZINC000746676511 1130146345 /nfs/dbraw/zinc/14/63/45/1130146345.db2.gz KIPVKSKDYKVWLG-CYBMUJFWSA-N 1 2 289.375 3.596 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@@H+](C)Cc1cc2ccccc2o1 ZINC000746676511 1130146346 /nfs/dbraw/zinc/14/63/46/1130146346.db2.gz KIPVKSKDYKVWLG-CYBMUJFWSA-N 1 2 289.375 3.596 20 0 CHADLO CCN(Cc1cc(-n2cc[nH+]c2)cs1)c1ccccc1 ZINC000787412062 1130149371 /nfs/dbraw/zinc/14/93/71/1130149371.db2.gz BORSKLXEYPNBNU-UHFFFAOYSA-N 1 2 283.400 3.960 20 0 CHADLO Cc1cnc(C)c(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001239907648 1130151234 /nfs/dbraw/zinc/15/12/34/1130151234.db2.gz RTVZANBZOHFOIK-UHFFFAOYSA-N 1 2 263.344 3.610 20 0 CHADLO CC[C@H](C)[C@@H](C(=O)OCc1cc[nH+]c(N)c1)c1ccccc1 ZINC000787562806 1130154557 /nfs/dbraw/zinc/15/45/57/1130154557.db2.gz JYLYNTBOHIDHKY-SUMWQHHRSA-N 1 2 298.386 3.537 20 0 CHADLO CC[C@H]([NH2+][C@H](c1ncccn1)C1CC1)c1ccccc1F ZINC000903325949 1130154884 /nfs/dbraw/zinc/15/48/84/1130154884.db2.gz JIVAGAUGKUWBFU-HOTGVXAUSA-N 1 2 285.366 3.808 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000903329865 1130157306 /nfs/dbraw/zinc/15/73/06/1130157306.db2.gz FOORTGKQKPSDEU-CXAGYDPISA-N 1 2 297.402 3.677 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000903330311 1130157706 /nfs/dbraw/zinc/15/77/06/1130157706.db2.gz HNFICEFFBIFQGA-CXAGYDPISA-N 1 2 297.402 3.596 20 0 CHADLO COCc1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001239938290 1130160510 /nfs/dbraw/zinc/16/05/10/1130160510.db2.gz MJVGNGSKULICOP-UHFFFAOYSA-N 1 2 282.318 3.825 20 0 CHADLO c1cc(-c2ccc3cncnc3c2)cc(N2CCCCC2)[nH+]1 ZINC001239986088 1130182623 /nfs/dbraw/zinc/18/26/23/1130182623.db2.gz NVUJSBIOKHMILD-UHFFFAOYSA-N 1 2 290.370 3.682 20 0 CHADLO COc1cc(F)ccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001239996297 1130185033 /nfs/dbraw/zinc/18/50/33/1130185033.db2.gz KERZIAKOKCCKJW-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2ccc(F)cc2OC)cc1 ZINC001239998926 1130186526 /nfs/dbraw/zinc/18/65/26/1130186526.db2.gz SHRMDLBCUWCPNM-UHFFFAOYSA-N 1 2 273.307 3.863 20 0 CHADLO CN(C)c1cc(-c2ccc(N3CCCCC3)cc2)cc[nH+]1 ZINC001240012386 1130192337 /nfs/dbraw/zinc/19/23/37/1130192337.db2.gz FAEJGLWFMBPHHK-UHFFFAOYSA-N 1 2 281.403 3.805 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(C(=O)NC(C)C)cc1 ZINC001240026468 1130198029 /nfs/dbraw/zinc/19/80/29/1130198029.db2.gz RNOQINOOKSNBAN-UHFFFAOYSA-N 1 2 268.360 3.504 20 0 CHADLO COc1ccc(-c2cc3[nH]c[nH+]c3cc2OC)cc1Cl ZINC001240031942 1130201018 /nfs/dbraw/zinc/20/10/18/1130201018.db2.gz RSWLFVNHCZGHBG-UHFFFAOYSA-N 1 2 288.734 3.901 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1cccc(C(=O)N2CCCC2)c1 ZINC001240059116 1130206300 /nfs/dbraw/zinc/20/63/00/1130206300.db2.gz GXVAROZWKFMIDK-UHFFFAOYSA-N 1 2 280.371 3.601 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2c(C)n[nH]c2C)c1 ZINC001240127578 1130218917 /nfs/dbraw/zinc/21/89/17/1130218917.db2.gz RGMRLXWXPAAJNO-UHFFFAOYSA-N 1 2 257.381 3.848 20 0 CHADLO CSc1nc2ccnc(-c3ccc4[nH+]ccn4c3)c2s1 ZINC001240214253 1130233270 /nfs/dbraw/zinc/23/32/70/1130233270.db2.gz MZKSSFLBEWMDGJ-UHFFFAOYSA-N 1 2 298.396 3.728 20 0 CHADLO COc1ccc2nc(-c3ccc4[nH+]ccn4c3)c(C)cc2c1 ZINC001240213701 1130233711 /nfs/dbraw/zinc/23/37/11/1130233711.db2.gz BGCFJDYNQBTBBY-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO Cc1ccccc1C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000748495274 1130234957 /nfs/dbraw/zinc/23/49/57/1130234957.db2.gz RNFUYTUOOUQOGX-UHFFFAOYSA-N 1 2 292.338 3.538 20 0 CHADLO Fc1cc(-c2ccc3[nH+]ccn3c2)c(F)c(F)c1F ZINC001240217441 1130235627 /nfs/dbraw/zinc/23/56/27/1130235627.db2.gz DCQKELOHKRHZEW-UHFFFAOYSA-N 1 2 266.197 3.558 20 0 CHADLO Fc1cc(F)c(F)c(-c2ccc3[nH+]ccn3c2)c1F ZINC001240217572 1130235917 /nfs/dbraw/zinc/23/59/17/1130235917.db2.gz IIJORQWWJDGEPT-UHFFFAOYSA-N 1 2 266.197 3.558 20 0 CHADLO Cc1ccc(-c2ccc3[nH+]ccn3c2)c2ncccc12 ZINC001240218769 1130237457 /nfs/dbraw/zinc/23/74/57/1130237457.db2.gz YOMNCZOSQBJPIK-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1cc2ccncc2cc1-c1ccc2[nH+]ccn2c1 ZINC001240218351 1130237624 /nfs/dbraw/zinc/23/76/24/1130237624.db2.gz BESRGCFTTZMOFF-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CCCOc1ccc(-c2ccc3[nH+]ccn3c2)cc1F ZINC001240218544 1130237731 /nfs/dbraw/zinc/23/77/31/1130237731.db2.gz QWTRSQSCAQEBRG-UHFFFAOYSA-N 1 2 270.307 3.929 20 0 CHADLO CC1(C)Cc2ccc(-c3ccc4[nH+]ccn4c3)cc2NC1=O ZINC001240220219 1130238355 /nfs/dbraw/zinc/23/83/55/1130238355.db2.gz CJHAKOIYVNYRFJ-UHFFFAOYSA-N 1 2 291.354 3.522 20 0 CHADLO Fc1cccc(OC(F)F)c1-c1ccc2[nH+]ccn2c1 ZINC001240219712 1130238907 /nfs/dbraw/zinc/23/89/07/1130238907.db2.gz SFDABQLPMBZRQN-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO Fc1ccc(-c2ccc3[nH+]ccn3c2)c(OC(F)F)c1 ZINC001240219723 1130238916 /nfs/dbraw/zinc/23/89/16/1130238916.db2.gz SQIJCOSPPWEAIT-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO Cc1ccc(-c2cccc(N3CCCCC3)c2)[nH+]c1N ZINC001240250996 1130248830 /nfs/dbraw/zinc/24/88/30/1130248830.db2.gz FUTCMVPGPLVXAS-UHFFFAOYSA-N 1 2 267.376 3.630 20 0 CHADLO F[C@H]1C[C@H](CNc2cc(-c3ccccc3)cc[nH+]2)C1 ZINC001157453094 1130258668 /nfs/dbraw/zinc/25/86/68/1130258668.db2.gz TVJBQBUGZZDEMK-NNUKFRKNSA-N 1 2 256.324 3.909 20 0 CHADLO Cn1ncc2ccc(-c3cc[nH+]c(N4CCCCC4)c3)cc21 ZINC001240306982 1130259581 /nfs/dbraw/zinc/25/95/81/1130259581.db2.gz JXGQIOINLCDJPX-UHFFFAOYSA-N 1 2 292.386 3.626 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cnn(C)c2C)c1 ZINC001240383589 1130284676 /nfs/dbraw/zinc/28/46/76/1130284676.db2.gz WEDJSQGKECEKCL-UHFFFAOYSA-N 1 2 257.381 3.550 20 0 CHADLO CC1(CC(=O)Nc2ccc3[nH+]ccn3c2)CCCCC1 ZINC000677059770 1130286137 /nfs/dbraw/zinc/28/61/37/1130286137.db2.gz UKOCFAJDQHHEFF-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCc3c2c(F)ccc3F)cs1 ZINC000677128917 1130291866 /nfs/dbraw/zinc/29/18/66/1130291866.db2.gz BPTSQKPWKFBGDU-ZDUSSCGKSA-N 1 2 280.343 3.507 20 0 CHADLO Cc1cc(-c2cccc(C(C)(C)O)c2)c2[nH+]ccn2c1 ZINC001240407592 1130294323 /nfs/dbraw/zinc/29/43/23/1130294323.db2.gz HQLXZJGPALBWFE-UHFFFAOYSA-N 1 2 266.344 3.537 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1scc(C)c1Cl ZINC001137292875 1130306905 /nfs/dbraw/zinc/30/69/05/1130306905.db2.gz UGRPFKMCRDOJNO-NSHDSACASA-N 1 2 271.813 3.653 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1scc(C)c1Cl ZINC001137292875 1130306913 /nfs/dbraw/zinc/30/69/13/1130306913.db2.gz UGRPFKMCRDOJNO-NSHDSACASA-N 1 2 271.813 3.653 20 0 CHADLO COc1ccc(F)c(F)c1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001240434423 1130310435 /nfs/dbraw/zinc/31/04/35/1130310435.db2.gz YLEQQGLKAOJJOE-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO COCc1ccc(SCc2cc(OC)cc(C)[nH+]2)cc1 ZINC000677415802 1130311197 /nfs/dbraw/zinc/31/11/97/1130311197.db2.gz DQBSCUFQFHYYNM-UHFFFAOYSA-N 1 2 289.400 3.837 20 0 CHADLO COc1ccc(F)c(F)c1-c1ccc2[nH+]ccn2c1C ZINC001240438266 1130312872 /nfs/dbraw/zinc/31/28/72/1130312872.db2.gz LTIKSDLXNAFUEG-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO CCn1ccc(C[N@H+](C)Cn2ccn(C(C)C)c2=S)c1 ZINC000790445699 1130313833 /nfs/dbraw/zinc/31/38/33/1130313833.db2.gz CWPICIFYZSLJSW-UHFFFAOYSA-N 1 2 292.452 3.511 20 0 CHADLO CCn1ccc(C[N@@H+](C)Cn2ccn(C(C)C)c2=S)c1 ZINC000790445699 1130313838 /nfs/dbraw/zinc/31/38/38/1130313838.db2.gz CWPICIFYZSLJSW-UHFFFAOYSA-N 1 2 292.452 3.511 20 0 CHADLO CCCC1CCC([N@H+](C)Cn2ncsc2=S)CC1 ZINC000790479460 1130315884 /nfs/dbraw/zinc/31/58/84/1130315884.db2.gz OAYCXBPREQSRRP-UHFFFAOYSA-N 1 2 285.482 3.922 20 0 CHADLO CCCC1CCC([N@@H+](C)Cn2ncsc2=S)CC1 ZINC000790479460 1130315889 /nfs/dbraw/zinc/31/58/89/1130315889.db2.gz OAYCXBPREQSRRP-UHFFFAOYSA-N 1 2 285.482 3.922 20 0 CHADLO c1cn2ccc(-c3ncc4c(n3)-c3ccccc3CC4)cc2[nH+]1 ZINC001240496444 1130328225 /nfs/dbraw/zinc/32/82/25/1130328225.db2.gz DGPOIUQXGXPKKO-UHFFFAOYSA-N 1 2 298.349 3.557 20 0 CHADLO COc1ccc2c(ccnc2-c2ccn3cc[nH+]c3c2)c1 ZINC001240496234 1130328623 /nfs/dbraw/zinc/32/86/23/1130328623.db2.gz IXJNGWAESUWXAV-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1cc2ccccc2c(-c2ccn3cc[nH+]c3c2)n1 ZINC001240496442 1130328886 /nfs/dbraw/zinc/32/88/86/1130328886.db2.gz DGOJVGQOFICGKR-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)cc(C)c1N(C)C ZINC001240509356 1130334103 /nfs/dbraw/zinc/33/41/03/1130334103.db2.gz XJYANALNCATSFJ-UHFFFAOYSA-N 1 2 265.360 3.684 20 0 CHADLO Cc1nc2ccc(-c3ccn4cc[nH+]c4c3)cc2s1 ZINC001240508639 1130334860 /nfs/dbraw/zinc/33/48/60/1130334860.db2.gz GTKNFSRDRDTVRW-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO c1cn2ccc(-c3cc4c5c(c3)CCCN5CCC4)cc2[nH+]1 ZINC001240510477 1130335591 /nfs/dbraw/zinc/33/55/91/1130335591.db2.gz LRLGWEZPLZJTOQ-UHFFFAOYSA-N 1 2 289.382 3.700 20 0 CHADLO Fc1cnc2c(cccc2-c2ccn3cc[nH+]c3c2)c1 ZINC001240510826 1130335968 /nfs/dbraw/zinc/33/59/68/1130335968.db2.gz UIXUSUIJAUVADH-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO c1cn2ccc(-c3ccc(C4CCOCC4)cc3)cc2[nH+]1 ZINC001240512100 1130336896 /nfs/dbraw/zinc/33/68/96/1130336896.db2.gz ORBDEWWYNJVJRZ-UHFFFAOYSA-N 1 2 278.355 3.895 20 0 CHADLO CCOc1c(F)cc(-c2ccn3cc[nH+]c3c2)cc1F ZINC001240513109 1130337112 /nfs/dbraw/zinc/33/71/12/1130337112.db2.gz HCENUJZURDIXIW-UHFFFAOYSA-N 1 2 274.270 3.678 20 0 CHADLO CC(=O)Nc1c(C)cc(-c2ccn3cc[nH+]c3c2)cc1C ZINC001240512670 1130337182 /nfs/dbraw/zinc/33/71/82/1130337182.db2.gz WTJMGKLUZVJHOC-UHFFFAOYSA-N 1 2 279.343 3.577 20 0 CHADLO COc1cc2cccnc2c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240513685 1130337536 /nfs/dbraw/zinc/33/75/36/1130337536.db2.gz ZMOZDHHHCHDWRV-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC(C)Oc1cc(-c2ccn3cc[nH+]c3c2)ccc1F ZINC001240513106 1130337760 /nfs/dbraw/zinc/33/77/60/1130337760.db2.gz HBGOJYXDHJKAOA-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO CC(C)(C)Oc1ccnc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240519120 1130340527 /nfs/dbraw/zinc/34/05/27/1130340527.db2.gz YXEVIAFRPONRHM-UHFFFAOYSA-N 1 2 267.332 3.574 20 0 CHADLO CSc1cccc(-c2ccn3cc[nH+]c3c2)c1F ZINC001240518661 1130340860 /nfs/dbraw/zinc/34/08/60/1130340860.db2.gz RYLMNZYWKGVYIQ-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO COc1cc2c(cc1C)nccc2-c1ccn2cc[nH+]c2c1 ZINC001240517678 1130341013 /nfs/dbraw/zinc/34/10/13/1130341013.db2.gz BHOPEGAUJPRKDR-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO COc1ccc(-c2ccn3cc[nH+]c3c2)c2ccncc12 ZINC001240517760 1130341212 /nfs/dbraw/zinc/34/12/12/1130341212.db2.gz DWHBLMQELQNLPC-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccccn1 ZINC000678114386 1130350893 /nfs/dbraw/zinc/35/08/93/1130350893.db2.gz FHCFBCAZBKERNE-WCQYABFASA-N 1 2 261.394 3.730 20 0 CHADLO Clc1cscc1C[NH+]1CCN(c2ccccc2)CC1 ZINC000678119852 1130351451 /nfs/dbraw/zinc/35/14/51/1130351451.db2.gz ANNOXIHKOIHNJY-UHFFFAOYSA-N 1 2 292.835 3.724 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cnc([C@@H]2CCCO2)s1 ZINC000678126581 1130353800 /nfs/dbraw/zinc/35/38/00/1130353800.db2.gz XWYUPBWPZIDSIB-ZDUSSCGKSA-N 1 2 294.445 3.688 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cnc([C@@H]2CCCO2)s1 ZINC000678126581 1130353804 /nfs/dbraw/zinc/35/38/04/1130353804.db2.gz XWYUPBWPZIDSIB-ZDUSSCGKSA-N 1 2 294.445 3.688 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)CC1CCCC1 ZINC000791266183 1130360076 /nfs/dbraw/zinc/36/00/76/1130360076.db2.gz BGDKJTYYCUBIFN-UHFFFAOYSA-N 1 2 298.386 3.804 20 0 CHADLO CCC(C)(C)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1C ZINC000791366116 1130367046 /nfs/dbraw/zinc/36/70/46/1130367046.db2.gz AJSCDCSFRGBZKO-UHFFFAOYSA-N 1 2 286.375 3.660 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCc3c4ccccc4oc3C2)[nH]1 ZINC001137330934 1130396761 /nfs/dbraw/zinc/39/67/61/1130396761.db2.gz IMAJUXOBHYUVAN-UHFFFAOYSA-N 1 2 280.371 3.936 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCc3c4ccccc4oc3C2)[nH]1 ZINC001137330934 1130396771 /nfs/dbraw/zinc/39/67/71/1130396771.db2.gz IMAJUXOBHYUVAN-UHFFFAOYSA-N 1 2 280.371 3.936 20 0 CHADLO C[C@@H]1C[C@H](CC(=O)OCc2cc[nH+]c(N)c2)CC(C)(C)C1 ZINC000791837711 1130412765 /nfs/dbraw/zinc/41/27/65/1130412765.db2.gz DAOKBOKCSWNZMJ-TZMCWYRMSA-N 1 2 290.407 3.560 20 0 CHADLO FC(F)c1cccc(-c2ccc(Cn3cc[nH+]c3)cn2)c1 ZINC001240691905 1130420276 /nfs/dbraw/zinc/42/02/76/1130420276.db2.gz RCZHQWWFSVFSGH-UHFFFAOYSA-N 1 2 285.297 3.931 20 0 CHADLO CCc1c(C)cc(-c2ccn3cc(N)[nH+]c3c2)cc1C ZINC001240741293 1130450606 /nfs/dbraw/zinc/45/06/06/1130450606.db2.gz WMMVTWISCDNVSE-UHFFFAOYSA-N 1 2 265.360 3.763 20 0 CHADLO CCc1noc(C[NH2+][C@H](CC(C)C)c2ccccc2C)n1 ZINC000678773268 1130452960 /nfs/dbraw/zinc/45/29/60/1130452960.db2.gz MHOZKQXBEXDUJF-OAHLLOKOSA-N 1 2 287.407 3.817 20 0 CHADLO CN(Cc1ccccc1Br)c1cc[nH+]cc1F ZINC000678788280 1130454873 /nfs/dbraw/zinc/45/48/73/1130454873.db2.gz HKIROMHQCQKFSP-UHFFFAOYSA-N 1 2 295.155 3.620 20 0 CHADLO Fc1c[nH+]ccc1N1CCC[C@@H](c2nc3ccccc3o2)C1 ZINC000678789289 1130455014 /nfs/dbraw/zinc/45/50/14/1130455014.db2.gz WYPLBTZXLVLXRR-GFCCVEGCSA-N 1 2 297.333 3.746 20 0 CHADLO C[C@H](Nc1cc[nH+]cc1F)c1cccc(C(F)(F)F)c1 ZINC000678789266 1130455412 /nfs/dbraw/zinc/45/54/12/1130455412.db2.gz VZJMEYKNNQSVKG-VIFPVBQESA-N 1 2 284.256 3.835 20 0 CHADLO c1ccc([C@@H]2CC[N@H+]2Cc2nc3c(s2)CCC3)cc1 ZINC000678809761 1130458395 /nfs/dbraw/zinc/45/83/95/1130458395.db2.gz OEUJLCLDDZKWIE-AWEZNQCLSA-N 1 2 270.401 3.579 20 0 CHADLO c1ccc([C@@H]2CC[N@@H+]2Cc2nc3c(s2)CCC3)cc1 ZINC000678809761 1130458399 /nfs/dbraw/zinc/45/83/99/1130458399.db2.gz OEUJLCLDDZKWIE-AWEZNQCLSA-N 1 2 270.401 3.579 20 0 CHADLO CCO[C@H](C)n1cc(-c2ccc(-c3c[nH+]cn3C)cc2)cn1 ZINC001240759818 1130458512 /nfs/dbraw/zinc/45/85/12/1130458512.db2.gz VVWHOYVJZQESBJ-CYBMUJFWSA-N 1 2 296.374 3.506 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[NH+]1CC2(C1)CCCCO2 ZINC001170275935 1130472592 /nfs/dbraw/zinc/47/25/92/1130472592.db2.gz JWWMWXMOFDUXMZ-CYBMUJFWSA-N 1 2 279.811 3.526 20 0 CHADLO c1snnc1C[NH2+][C@H](CC1CCCC1)c1ccccc1 ZINC000678938435 1130475233 /nfs/dbraw/zinc/47/52/33/1130475233.db2.gz FWFQMDVTBIMBNP-MRXNPFEDSA-N 1 2 287.432 3.949 20 0 CHADLO Cc1cn2cc(-c3cncc(C(F)(F)F)c3)ccc2[nH+]1 ZINC001240792409 1130475258 /nfs/dbraw/zinc/47/52/58/1130475258.db2.gz UIBUJVQIODLRBH-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO CC(C)Oc1ccc(-c2ccccc2Cn2cc[nH+]c2)cn1 ZINC001240809523 1130482321 /nfs/dbraw/zinc/48/23/21/1130482321.db2.gz FSFKIJNVFFVAPT-UHFFFAOYSA-N 1 2 293.370 3.781 20 0 CHADLO CC(C)Oc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)cn1 ZINC001240810591 1130483155 /nfs/dbraw/zinc/48/31/55/1130483155.db2.gz BEVWZFWMBAZADQ-UHFFFAOYSA-N 1 2 293.370 3.936 20 0 CHADLO CC(C)c1ccc2c(c1)[C@@H]([NH2+]Cc1cocn1)CCCO2 ZINC000679047760 1130487617 /nfs/dbraw/zinc/48/76/17/1130487617.db2.gz KWGFISSPILQLJY-INIZCTEOSA-N 1 2 286.375 3.802 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@H+]1CC[C@H](C)[C@H](F)C1 ZINC000679228220 1130504653 /nfs/dbraw/zinc/50/46/53/1130504653.db2.gz VSWZEGBEAOVXDW-SWLSCSKDSA-N 1 2 288.366 3.830 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@@H+]1CC[C@H](C)[C@H](F)C1 ZINC000679228220 1130504656 /nfs/dbraw/zinc/50/46/56/1130504656.db2.gz VSWZEGBEAOVXDW-SWLSCSKDSA-N 1 2 288.366 3.830 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccccc2Br)C[C@H]1F ZINC000679224891 1130505036 /nfs/dbraw/zinc/50/50/36/1130505036.db2.gz UXXVGJXCXQBJBR-GXFFZTMASA-N 1 2 286.188 3.629 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccccc2Br)C[C@H]1F ZINC000679224891 1130505040 /nfs/dbraw/zinc/50/50/40/1130505040.db2.gz UXXVGJXCXQBJBR-GXFFZTMASA-N 1 2 286.188 3.629 20 0 CHADLO COc1ccccc1-c1nc(C[N@H+]2CC=CCC2)cs1 ZINC000679323322 1130514926 /nfs/dbraw/zinc/51/49/26/1130514926.db2.gz NEOJRXLGAQIIHJ-UHFFFAOYSA-N 1 2 286.400 3.581 20 0 CHADLO COc1ccccc1-c1nc(C[N@@H+]2CC=CCC2)cs1 ZINC000679323322 1130514930 /nfs/dbraw/zinc/51/49/30/1130514930.db2.gz NEOJRXLGAQIIHJ-UHFFFAOYSA-N 1 2 286.400 3.581 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)[nH]1 ZINC001137370392 1130518948 /nfs/dbraw/zinc/51/89/48/1130518948.db2.gz BOZYABIUYPGEJK-GJZGRUSLSA-N 1 2 288.435 3.646 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)[nH]1 ZINC001137370392 1130518953 /nfs/dbraw/zinc/51/89/53/1130518953.db2.gz BOZYABIUYPGEJK-GJZGRUSLSA-N 1 2 288.435 3.646 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](CC1CC1)c1ccccc1 ZINC000679359196 1130519986 /nfs/dbraw/zinc/51/99/86/1130519986.db2.gz CHFWUUZYFBZVDL-PXAZEXFGSA-N 1 2 269.392 3.910 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CC[C@@H](C)[C@@H](F)C2)c1 ZINC000679362657 1130521315 /nfs/dbraw/zinc/52/13/15/1130521315.db2.gz ZPTYNDFUMZXGDJ-YPMHNXCESA-N 1 2 287.325 3.776 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CC[C@@H](C)[C@@H](F)C2)c1 ZINC000679362657 1130521317 /nfs/dbraw/zinc/52/13/17/1130521317.db2.gz ZPTYNDFUMZXGDJ-YPMHNXCESA-N 1 2 287.325 3.776 20 0 CHADLO Oc1ccc(C[NH2+][C@H](c2nccs2)c2ccccc2)cc1 ZINC000679395710 1130527156 /nfs/dbraw/zinc/52/71/56/1130527156.db2.gz WKUOCWFAOHKNBV-INIZCTEOSA-N 1 2 296.395 3.728 20 0 CHADLO Cc1c[nH]c2ncc(-c3ccc(-n4cc[nH+]c4)cc3)cc12 ZINC001240956976 1130535669 /nfs/dbraw/zinc/53/56/69/1130535669.db2.gz MBZXBAKVLVTVMO-UHFFFAOYSA-N 1 2 274.327 3.724 20 0 CHADLO CCCCC1CCC(C(=O)OCCc2c[nH+]cn2C)CC1 ZINC000793250393 1130535927 /nfs/dbraw/zinc/53/59/27/1130535927.db2.gz MPDXQFHQBPIDNP-UHFFFAOYSA-N 1 2 292.423 3.502 20 0 CHADLO Cc1c(-c2cc(F)c(F)c(F)c2)ccc2[nH+]ccn21 ZINC001240981246 1130549901 /nfs/dbraw/zinc/54/99/01/1130549901.db2.gz CEENPCJTVBUBDF-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc(F)c(F)c1F ZINC001240991057 1130556823 /nfs/dbraw/zinc/55/68/23/1130556823.db2.gz SOECHRRBUPVALU-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccccc2OC2CC2)no1 ZINC000827331937 1130558211 /nfs/dbraw/zinc/55/82/11/1130558211.db2.gz HEWITTACZDTSSA-QGZVFWFLSA-N 1 2 298.386 3.861 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccccc2OC2CC2)no1 ZINC000827331937 1130558216 /nfs/dbraw/zinc/55/82/16/1130558216.db2.gz HEWITTACZDTSSA-QGZVFWFLSA-N 1 2 298.386 3.861 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CC[C@H](C)[C@H](C)C3)cc2[nH+]1 ZINC001137393362 1130562703 /nfs/dbraw/zinc/56/27/03/1130562703.db2.gz ZPCKRKPTYDHUAQ-DMDPSCGWSA-N 1 2 285.391 3.882 20 0 CHADLO COc1cccc(F)c1-c1cc(N2CCCCC2)cc[nH+]1 ZINC001241012256 1130565788 /nfs/dbraw/zinc/56/57/88/1130565788.db2.gz YTXIUMLVKDLUJB-UHFFFAOYSA-N 1 2 286.350 3.887 20 0 CHADLO COc1cccc(F)c1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001241012858 1130566553 /nfs/dbraw/zinc/56/65/53/1130566553.db2.gz JOUUPONZTHWMKU-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cc(C)c(OC)c(C)c1 ZINC001241016196 1130568221 /nfs/dbraw/zinc/56/82/21/1130568221.db2.gz DMZWRFUWUPALJB-UHFFFAOYSA-N 1 2 282.343 3.864 20 0 CHADLO COc1c(C)cc(-c2nc3[nH]c(C)cc3c[nH+]2)cc1C ZINC001241015597 1130568284 /nfs/dbraw/zinc/56/82/84/1130568284.db2.gz JPFJCMAILKAKIJ-UHFFFAOYSA-N 1 2 267.332 3.510 20 0 CHADLO O[C@H](CCNc1cccc[nH+]1)c1ccc(Cl)c(Cl)c1 ZINC000758184035 1130602413 /nfs/dbraw/zinc/60/24/13/1130602413.db2.gz YQEOSPRUFNMRTC-CYBMUJFWSA-N 1 2 297.185 3.924 20 0 CHADLO CCn1ccc(C[N@H+](C)Cc2ccnc(Cl)c2Cl)c1 ZINC000811495647 1130612755 /nfs/dbraw/zinc/61/27/55/1130612755.db2.gz OASJBUCQMSXVGT-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCn1ccc(C[N@@H+](C)Cc2ccnc(Cl)c2Cl)c1 ZINC000811495647 1130612757 /nfs/dbraw/zinc/61/27/57/1130612757.db2.gz OASJBUCQMSXVGT-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H]2COCc3ccccc32)cc1 ZINC001234587444 1130658103 /nfs/dbraw/zinc/65/81/03/1130658103.db2.gz ACEFWQMQWGEMBH-KRWDZBQOSA-N 1 2 297.354 3.699 20 0 CHADLO CCOC(=[NH2+])c1ccc(OC[C@H](C)Oc2ccccc2)cc1 ZINC001234588353 1130659021 /nfs/dbraw/zinc/65/90/21/1130659021.db2.gz MOVOZZFENCFYSY-AWEZNQCLSA-N 1 2 299.370 3.895 20 0 CHADLO CCOC(=[NH2+])c1ccc(OC[C@@H](C)Oc2ccccc2)cc1 ZINC001234588355 1130659634 /nfs/dbraw/zinc/65/96/34/1130659634.db2.gz MOVOZZFENCFYSY-CQSZACIVSA-N 1 2 299.370 3.895 20 0 CHADLO CCc1cccc(OC)c1OC1C[NH+](Cc2ccccc2)C1 ZINC001234640940 1130666173 /nfs/dbraw/zinc/66/61/73/1130666173.db2.gz UYCMENSATGWNLQ-UHFFFAOYSA-N 1 2 297.398 3.521 20 0 CHADLO CO[C@@H](C)CNc1cc(SCc2ccccc2)cc[nH+]1 ZINC001158812699 1130671726 /nfs/dbraw/zinc/67/17/26/1130671726.db2.gz HTCJQCSDENTTQQ-ZDUSSCGKSA-N 1 2 288.416 3.821 20 0 CHADLO Fc1cc(F)c(C[NH+]2CCCCC2)c(Br)c1 ZINC001234735379 1130676848 /nfs/dbraw/zinc/67/68/48/1130676848.db2.gz XCDXXEDCRZPVQM-UHFFFAOYSA-N 1 2 290.151 3.713 20 0 CHADLO CC(C)n1cc2c(n1)[C@H]([NH2+]Cc1ccc(Cl)o1)CCC2 ZINC000780408706 1130679392 /nfs/dbraw/zinc/67/93/92/1130679392.db2.gz ODAOAASRKCDXSF-CYBMUJFWSA-N 1 2 293.798 3.878 20 0 CHADLO NC(Cc1cccs1)=[NH+]OC[C@@H]1C[C@H]1c1ccccc1 ZINC000812304911 1130682683 /nfs/dbraw/zinc/68/26/83/1130682683.db2.gz VVQGZOATQRNCMW-ZFWWWQNUSA-N 1 2 286.400 3.593 20 0 CHADLO CCCCC[C@](C)(CC)C(=O)NC[C@H](C)Cn1cc[nH+]c1 ZINC000780778297 1130691741 /nfs/dbraw/zinc/69/17/41/1130691741.db2.gz XEPPRIKUYUSPEW-RDJZCZTQSA-N 1 2 293.455 3.632 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC3CC(C(F)F)C3)cc2)c1C ZINC000812784441 1130714170 /nfs/dbraw/zinc/71/41/70/1130714170.db2.gz OBJUWTHBWSLLQH-UHFFFAOYSA-N 1 2 291.345 3.945 20 0 CHADLO Cc1cc(Cl)c(C[NH+]2CC3(C2)CCOC3)c(Cl)c1 ZINC001235176965 1130719516 /nfs/dbraw/zinc/71/95/16/1130719516.db2.gz PLZWKNVSYWVBEW-UHFFFAOYSA-N 1 2 286.202 3.524 20 0 CHADLO Cc1cccc2c(C)cc(NCCc3cncs3)[nH+]c12 ZINC001158875465 1130726622 /nfs/dbraw/zinc/72/66/22/1130726622.db2.gz NIEAKNWTDXJZNX-UHFFFAOYSA-N 1 2 283.400 3.963 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cccc(Cl)c2)c(F)cn1 ZINC001235316484 1130732318 /nfs/dbraw/zinc/73/23/18/1130732318.db2.gz NESRLDUJXXQOME-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cccc(Cl)c2)c(F)cn1 ZINC001235316484 1130732321 /nfs/dbraw/zinc/73/23/21/1130732321.db2.gz NESRLDUJXXQOME-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccc(Cl)cc2)c(F)cn1 ZINC001235317703 1130732517 /nfs/dbraw/zinc/73/25/17/1130732517.db2.gz UXTKMLSLQVFUQN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccc(Cl)cc2)c(F)cn1 ZINC001235317703 1130732522 /nfs/dbraw/zinc/73/25/22/1130732522.db2.gz UXTKMLSLQVFUQN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC1(C)CC[C@H](C(=O)OCc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000781697170 1130734809 /nfs/dbraw/zinc/73/48/09/1130734809.db2.gz LLSMGNNWNLIEHQ-HNNXBMFYSA-N 1 2 298.386 3.742 20 0 CHADLO CCOc1cc(O)cc(C[N@H+](C)Cc2ccccc2F)c1 ZINC001235398039 1130738512 /nfs/dbraw/zinc/73/85/12/1130738512.db2.gz MAFPYDYUJGPDPI-UHFFFAOYSA-N 1 2 289.350 3.562 20 0 CHADLO CCOc1cc(O)cc(C[N@@H+](C)Cc2ccccc2F)c1 ZINC001235398039 1130738515 /nfs/dbraw/zinc/73/85/15/1130738515.db2.gz MAFPYDYUJGPDPI-UHFFFAOYSA-N 1 2 289.350 3.562 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2ncc(Cl)cn2)c1 ZINC001235416521 1130741036 /nfs/dbraw/zinc/74/10/36/1130741036.db2.gz KWBGDMVCYPNDFL-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2ncc(Cl)cn2)c1 ZINC001235416521 1130741040 /nfs/dbraw/zinc/74/10/40/1130741040.db2.gz KWBGDMVCYPNDFL-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO COc1cc(C[N@@H+]2CCc3ccccc3C2)cc(F)c1F ZINC001235430870 1130744374 /nfs/dbraw/zinc/74/43/74/1130744374.db2.gz BXKOPPHDZWLQFJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(C[N@H+]2CCc3ccccc3C2)cc(F)c1F ZINC001235430870 1130744379 /nfs/dbraw/zinc/74/43/79/1130744379.db2.gz BXKOPPHDZWLQFJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Clc1cc2c(C[NH+]3Cc4ccccc4C3)c[nH]c2cn1 ZINC001235505959 1130749412 /nfs/dbraw/zinc/74/94/12/1130749412.db2.gz XRSKGWYUAHAZHO-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO CCCCCC[C@H](C)C(=O)Nc1c(C)c[nH+]cc1C ZINC001133681949 1130755909 /nfs/dbraw/zinc/75/59/09/1130755909.db2.gz CURYUVDFTCZLHM-LBPRGKRZSA-N 1 2 262.397 3.665 20 0 CHADLO COc1ccc(F)cc1N[C@@H](C)c1cn2c([nH+]1)CCCC2 ZINC000813328290 1130759319 /nfs/dbraw/zinc/75/93/19/1130759319.db2.gz KVTLXMMQTRVSFM-NSHDSACASA-N 1 2 289.354 3.540 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccccc2F)CC1)c1cn2c(n1)CCCC2 ZINC000813329695 1130760219 /nfs/dbraw/zinc/76/02/19/1130760219.db2.gz VRBBQSWKFVCOHN-CYBMUJFWSA-N 1 2 299.393 3.698 20 0 CHADLO C[C@@H](NC1(c2ccccc2F)CC1)c1cn2c([nH+]1)CCCC2 ZINC000813329695 1130760221 /nfs/dbraw/zinc/76/02/21/1130760221.db2.gz VRBBQSWKFVCOHN-CYBMUJFWSA-N 1 2 299.393 3.698 20 0 CHADLO Fc1cc(Cl)cc(Cl)c1C[N@@H+]1C[C@@H]2C[C@@H]2C1 ZINC001235742750 1130774820 /nfs/dbraw/zinc/77/48/20/1130774820.db2.gz YJBAODFCFWWTKT-OCAPTIKFSA-N 1 2 260.139 3.584 20 0 CHADLO Fc1cc(Cl)cc(Cl)c1C[N@H+]1C[C@@H]2C[C@@H]2C1 ZINC001235742750 1130774824 /nfs/dbraw/zinc/77/48/24/1130774824.db2.gz YJBAODFCFWWTKT-OCAPTIKFSA-N 1 2 260.139 3.584 20 0 CHADLO Cc1nc2cc(C[N@@H+]3CC[C@](C)(F)[C@H](F)C3)ccc2s1 ZINC001235849699 1130787224 /nfs/dbraw/zinc/78/72/24/1130787224.db2.gz FAMCRXOYOPSDTP-CABCVRRESA-N 1 2 296.386 3.877 20 0 CHADLO Cc1nc2cc(C[N@H+]3CC[C@](C)(F)[C@H](F)C3)ccc2s1 ZINC001235849699 1130787229 /nfs/dbraw/zinc/78/72/29/1130787229.db2.gz FAMCRXOYOPSDTP-CABCVRRESA-N 1 2 296.386 3.877 20 0 CHADLO CCOc1cc(-c2ccc(Cn3cc[nH+]c3)cc2)c(F)cn1 ZINC001235876601 1130792130 /nfs/dbraw/zinc/79/21/30/1130792130.db2.gz PMQLBMMVBIEMFV-UHFFFAOYSA-N 1 2 297.333 3.531 20 0 CHADLO c1nc([C@H](Nc2cc(C3CC3)cc[nH+]2)C2CCCCC2)n[nH]1 ZINC000813873395 1130793282 /nfs/dbraw/zinc/79/32/82/1130793282.db2.gz XXVHAGSYFVJJDY-MRXNPFEDSA-N 1 2 297.406 3.811 20 0 CHADLO c1nnc([C@H](Nc2cc(C3CC3)cc[nH+]2)C2CCCCC2)[nH]1 ZINC000813873395 1130793288 /nfs/dbraw/zinc/79/32/88/1130793288.db2.gz XXVHAGSYFVJJDY-MRXNPFEDSA-N 1 2 297.406 3.811 20 0 CHADLO CC(C)CSCCCO[NH+]=C(N)Cc1cccc(F)c1 ZINC000783153337 1130799614 /nfs/dbraw/zinc/79/96/14/1130799614.db2.gz WHXSOAZNQQDTRF-UHFFFAOYSA-N 1 2 298.427 3.646 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+][C@H]1CC[C@@H]1C ZINC000783278720 1130810176 /nfs/dbraw/zinc/81/01/76/1130810176.db2.gz CKXIAFXVNGLHLE-WFASDCNBSA-N 1 2 276.449 3.759 20 0 CHADLO C[C@H]1CC[C@H]1Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000783283280 1130811925 /nfs/dbraw/zinc/81/19/25/1130811925.db2.gz UTAXOPSHBHGQLS-XJKSGUPXSA-N 1 2 279.387 3.812 20 0 CHADLO c1cc2c[nH+]c(-c3ccc(-c4ccncc4)cc3)nc2[nH]1 ZINC001236033822 1130833768 /nfs/dbraw/zinc/83/37/68/1130833768.db2.gz GPVYURLYBHFLQS-UHFFFAOYSA-N 1 2 272.311 3.639 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1cc(C(F)(F)F)ccc1CO ZINC001236057904 1130842044 /nfs/dbraw/zinc/84/20/44/1130842044.db2.gz XVNBTIBBYYNZMA-UHFFFAOYSA-N 1 2 281.277 3.877 20 0 CHADLO Cc1cc([NH2+]CCCC(C)C)ccc1N1CCOCC1 ZINC000783663859 1130845047 /nfs/dbraw/zinc/84/50/47/1130845047.db2.gz CQBULNULHYISOS-UHFFFAOYSA-N 1 2 276.424 3.680 20 0 CHADLO CCOc1ccc(Cl)cc1-c1ccn2cc(N)[nH+]c2c1 ZINC001245111319 1130850037 /nfs/dbraw/zinc/85/00/37/1130850037.db2.gz ZOTUGQFCUWENQK-UHFFFAOYSA-N 1 2 287.750 3.636 20 0 CHADLO Fc1cccc(C[NH2+]Cc2c(Cl)cccc2Cl)n1 ZINC000747936675 1130867304 /nfs/dbraw/zinc/86/73/04/1130867304.db2.gz YQLGGQCUTOZPTK-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO COc1cc(-c2cc3[nH]c[nH+]c3cc2OC)cc(C)c1F ZINC001236193571 1130884644 /nfs/dbraw/zinc/88/46/44/1130884644.db2.gz ZCAOYDBUKKLWTN-UHFFFAOYSA-N 1 2 286.306 3.695 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@@H](F)C(C)C)c2)[nH+]c1C ZINC000784357765 1130900689 /nfs/dbraw/zinc/90/06/89/1130900689.db2.gz KABHUGOSXURXPY-AWEZNQCLSA-N 1 2 289.354 3.626 20 0 CHADLO Nc1cn2ccc(C3=CC[C@H](C(F)(F)F)CC3)cc2[nH+]1 ZINC001236247733 1130904601 /nfs/dbraw/zinc/90/46/01/1130904601.db2.gz DTJLKBUSZNZZJJ-NSHDSACASA-N 1 2 281.281 3.662 20 0 CHADLO Cc1cc(-c2ccc(N)[nH+]c2)ccc1OC(F)(F)F ZINC001236317754 1130913709 /nfs/dbraw/zinc/91/37/09/1130913709.db2.gz VKWZCKRNVAILMX-UHFFFAOYSA-N 1 2 268.238 3.538 20 0 CHADLO Fc1ccc(C2=CCSCC2)cc1-n1cc[nH+]c1 ZINC001236370830 1130922747 /nfs/dbraw/zinc/92/27/47/1130922747.db2.gz KNPUMBKOFQXKJZ-UHFFFAOYSA-N 1 2 260.337 3.532 20 0 CHADLO COc1cncc(-c2c[nH+]c(N3CCCCC3)cc2C)c1C ZINC001236381634 1130923249 /nfs/dbraw/zinc/92/32/49/1130923249.db2.gz HTTWPQNQZUPELG-UHFFFAOYSA-N 1 2 297.402 3.759 20 0 CHADLO Cc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)c2c[nH]nc21 ZINC001236494645 1130957383 /nfs/dbraw/zinc/95/73/83/1130957383.db2.gz ZXISQJFFYZUWQV-UHFFFAOYSA-N 1 2 288.354 3.939 20 0 CHADLO COc1ccc(C)cc1C[NH2+]Cc1c(F)cc(F)cc1F ZINC000815152571 1130962697 /nfs/dbraw/zinc/96/26/97/1130962697.db2.gz QZMYFECZLBUDPJ-UHFFFAOYSA-N 1 2 295.304 3.711 20 0 CHADLO CCOc1ccc(C)c(F)c1-c1cc(-n2cc[nH+]c2)ccn1 ZINC001236523946 1130964481 /nfs/dbraw/zinc/96/44/81/1130964481.db2.gz KLDYBNIMSQDLQE-UHFFFAOYSA-N 1 2 297.333 3.781 20 0 CHADLO CCOc1ccc(C)c(F)c1-c1ccn2cc[nH+]c2c1 ZINC001236527053 1130964818 /nfs/dbraw/zinc/96/48/18/1130964818.db2.gz GPPLQAAWCVBXPD-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO CC(C)Oc1cc(-c2ccc(-n3cc[nH+]c3)cc2)ccn1 ZINC001236560275 1130975276 /nfs/dbraw/zinc/97/52/76/1130975276.db2.gz RYXIAKPQIASSIA-UHFFFAOYSA-N 1 2 279.343 3.722 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[NH+]1CCCCC1 ZINC001236602030 1130982386 /nfs/dbraw/zinc/98/23/86/1130982386.db2.gz NYBMRKTXCHTVBO-UHFFFAOYSA-N 1 2 259.180 3.683 20 0 CHADLO Fc1cc(C[NH+]2Cc3ccccc3C2)c2c(c1)CCCO2 ZINC001236616205 1130985935 /nfs/dbraw/zinc/98/59/35/1130985935.db2.gz ODPYXEFLLSXCQM-UHFFFAOYSA-N 1 2 283.346 3.667 20 0 CHADLO CC(C)(CC(=O)OCc1ccc(-n2cc[nH+]c2)cc1)C1CC1 ZINC000815468967 1130991788 /nfs/dbraw/zinc/99/17/88/1130991788.db2.gz QYIIGQQPIAEBAC-UHFFFAOYSA-N 1 2 298.386 3.742 20 0 CHADLO C[N@H+](Cc1ccc(F)c(O)c1)Cc1ccccc1Cl ZINC001236706795 1130996180 /nfs/dbraw/zinc/99/61/80/1130996180.db2.gz ZEVBDNLGMSVUHR-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccc(F)c(O)c1)Cc1ccccc1Cl ZINC001236706795 1130996182 /nfs/dbraw/zinc/99/61/82/1130996182.db2.gz ZEVBDNLGMSVUHR-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1cc(Cl)c(N)c(Cl)c1 ZINC000815644221 1131015258 /nfs/dbraw/zinc/01/52/58/1131015258.db2.gz VHKOBPQJXTXDRR-ZCFIWIBFSA-N 1 2 283.149 3.881 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1c(F)cc(F)cc1F ZINC000815650019 1131016745 /nfs/dbraw/zinc/01/67/45/1131016745.db2.gz FVDCJHCZTJNMEQ-SNVBAGLBSA-N 1 2 294.320 3.912 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2c(F)cc(F)cc2F)s1 ZINC000815649761 1131018027 /nfs/dbraw/zinc/01/80/27/1131018027.db2.gz YLESUBLOMMBIJV-ZETCQYMHSA-N 1 2 286.322 3.720 20 0 CHADLO Cc1ccc(-c2cncc(C[N@@H+]3CCCC(=O)CC3)c2)cc1 ZINC001237000827 1131034429 /nfs/dbraw/zinc/03/44/29/1131034429.db2.gz YUQJRDBTUUHSPL-UHFFFAOYSA-N 1 2 294.398 3.612 20 0 CHADLO Cc1ccc(-c2cncc(C[N@H+]3CCCC(=O)CC3)c2)cc1 ZINC001237000827 1131034435 /nfs/dbraw/zinc/03/44/35/1131034435.db2.gz YUQJRDBTUUHSPL-UHFFFAOYSA-N 1 2 294.398 3.612 20 0 CHADLO Cc1ccccc1[C@H]1C[C@H]([NH2+][C@@H](C)C(=O)OC(C)(C)C)C1 ZINC000798396919 1131036333 /nfs/dbraw/zinc/03/63/33/1131036333.db2.gz MMQVXJLTVLTDJL-KKUMJFAQSA-N 1 2 289.419 3.561 20 0 CHADLO Clc1cccc2c(C[N@@H+]3CCn4cccc4C3)ccnc12 ZINC001237147088 1131058734 /nfs/dbraw/zinc/05/87/34/1131058734.db2.gz WSOYUNJLGPMECU-UHFFFAOYSA-N 1 2 297.789 3.706 20 0 CHADLO Clc1cccc2c(C[N@H+]3CCn4cccc4C3)ccnc12 ZINC001237147088 1131058740 /nfs/dbraw/zinc/05/87/40/1131058740.db2.gz WSOYUNJLGPMECU-UHFFFAOYSA-N 1 2 297.789 3.706 20 0 CHADLO O=c1[nH]c(Cl)c(C[N@H+](Cc2ccccc2)C2CC2)s1 ZINC001237167351 1131064851 /nfs/dbraw/zinc/06/48/51/1131064851.db2.gz YYXLBUYNJUIGGY-UHFFFAOYSA-N 1 2 294.807 3.667 20 0 CHADLO O=c1[nH]c(Cl)c(C[N@@H+](Cc2ccccc2)C2CC2)s1 ZINC001237167351 1131064858 /nfs/dbraw/zinc/06/48/58/1131064858.db2.gz YYXLBUYNJUIGGY-UHFFFAOYSA-N 1 2 294.807 3.667 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2ccc3nccc(Cl)c3c2)C1 ZINC001237267521 1131074811 /nfs/dbraw/zinc/07/48/11/1131074811.db2.gz AJXBAEWZDMDBHI-GFCCVEGCSA-N 1 2 278.758 3.822 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2ccc3nccc(Cl)c3c2)C1 ZINC001237267521 1131074816 /nfs/dbraw/zinc/07/48/16/1131074816.db2.gz AJXBAEWZDMDBHI-GFCCVEGCSA-N 1 2 278.758 3.822 20 0 CHADLO CCOc1ccccc1C[N@@H+]1CCC=C(Br)C1 ZINC000799326643 1131079651 /nfs/dbraw/zinc/07/96/51/1131079651.db2.gz ZCAHLEZFDBXLGG-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO CCOc1ccccc1C[N@H+]1CCC=C(Br)C1 ZINC000799326643 1131079657 /nfs/dbraw/zinc/07/96/57/1131079657.db2.gz ZCAHLEZFDBXLGG-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1cnn(C)c1Cl)C1CC1 ZINC000816485013 1131098008 /nfs/dbraw/zinc/09/80/08/1131098008.db2.gz WNIHALYVZJBXOC-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1cnn(C)c1Cl)C1CC1 ZINC000816485013 1131098014 /nfs/dbraw/zinc/09/80/14/1131098014.db2.gz WNIHALYVZJBXOC-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+](C)Cc1cnn(C)c1Cl ZINC000816485597 1131098762 /nfs/dbraw/zinc/09/87/62/1131098762.db2.gz SHKZCFJFHFJVNP-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cnn(C)c1Cl ZINC000816485597 1131098768 /nfs/dbraw/zinc/09/87/68/1131098768.db2.gz SHKZCFJFHFJVNP-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@@H+]1Cc1cc2ccccn2n1 ZINC001237445321 1131099625 /nfs/dbraw/zinc/09/96/25/1131099625.db2.gz GOARABAVRVYILB-GOSISDBHSA-N 1 2 295.361 3.811 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@H+]1Cc1cc2ccccn2n1 ZINC001237445321 1131099631 /nfs/dbraw/zinc/09/96/31/1131099631.db2.gz GOARABAVRVYILB-GOSISDBHSA-N 1 2 295.361 3.811 20 0 CHADLO Clc1[nH]c2cnccc2c1C[NH+]1Cc2ccccc2C1 ZINC001237456695 1131101718 /nfs/dbraw/zinc/10/17/18/1131101718.db2.gz WTQFEWUVCIMENG-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO CCc1[nH]ncc1C[N@@H+]1CCCC[C@H]1c1cccc(OC)c1 ZINC001237650504 1131123579 /nfs/dbraw/zinc/12/35/79/1131123579.db2.gz IEXISFDCNLMCOO-SFHVURJKSA-N 1 2 299.418 3.708 20 0 CHADLO CCc1[nH]ncc1C[N@H+]1CCCC[C@H]1c1cccc(OC)c1 ZINC001237650504 1131123580 /nfs/dbraw/zinc/12/35/80/1131123580.db2.gz IEXISFDCNLMCOO-SFHVURJKSA-N 1 2 299.418 3.708 20 0 CHADLO Cc1cc(CN2CCn3c[nH+]cc3C2)c(Cl)cc1Cl ZINC001237698991 1131128567 /nfs/dbraw/zinc/12/85/67/1131128567.db2.gz HKKXKVCDOYOHSJ-UHFFFAOYSA-N 1 2 296.201 3.514 20 0 CHADLO Cc1cc(C[N@@H+]2CCCOCC2)c(Cl)cc1Cl ZINC001237701498 1131129290 /nfs/dbraw/zinc/12/92/90/1131129290.db2.gz JZIUSHJXSYNZHA-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO Cc1cc(C[N@H+]2CCCOCC2)c(Cl)cc1Cl ZINC001237701498 1131129291 /nfs/dbraw/zinc/12/92/91/1131129291.db2.gz JZIUSHJXSYNZHA-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO CON(Cc1cc(C)cc(C)[nH+]1)C[C@H]1CCC[C@@H](C)C1 ZINC001170848111 1131131915 /nfs/dbraw/zinc/13/19/15/1131131915.db2.gz WDILYDXDFJQSTG-CJNGLKHVSA-N 1 2 276.424 3.888 20 0 CHADLO CCc1c(Cl)nc(C)nc1NCc1cc(C)[nH+]c(C)c1 ZINC000817123398 1131143629 /nfs/dbraw/zinc/14/36/29/1131143629.db2.gz JHKYLBMKIBKTLQ-UHFFFAOYSA-N 1 2 290.798 3.625 20 0 CHADLO CC(=O)c1c(F)cccc1NCc1cc(C)[nH+]c(C)c1 ZINC000817123862 1131143940 /nfs/dbraw/zinc/14/39/40/1131143940.db2.gz OGSFRKFGMPKCTR-UHFFFAOYSA-N 1 2 272.323 3.652 20 0 CHADLO CCc1ncc(C[N@@H+]2Cc3cccc(C)c3C2)s1 ZINC001237821546 1131144476 /nfs/dbraw/zinc/14/44/76/1131144476.db2.gz OBKDBKWWNLTMRO-UHFFFAOYSA-N 1 2 258.390 3.530 20 0 CHADLO CCc1ncc(C[N@H+]2Cc3cccc(C)c3C2)s1 ZINC001237821546 1131144482 /nfs/dbraw/zinc/14/44/82/1131144482.db2.gz OBKDBKWWNLTMRO-UHFFFAOYSA-N 1 2 258.390 3.530 20 0 CHADLO COc1ccoc1C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC001237876748 1131147311 /nfs/dbraw/zinc/14/73/11/1131147311.db2.gz QKEMXPXELWZBMG-CQSZACIVSA-N 1 2 293.313 3.904 20 0 CHADLO COc1ccoc1C[N@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC001237876748 1131147314 /nfs/dbraw/zinc/14/73/14/1131147314.db2.gz QKEMXPXELWZBMG-CQSZACIVSA-N 1 2 293.313 3.904 20 0 CHADLO CC1(C)C[N@H+](Cc2cnc(F)cc2Cl)CCC1(F)F ZINC001238018630 1131162039 /nfs/dbraw/zinc/16/20/39/1131162039.db2.gz UXGKEFJPZGBNTR-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnc(F)cc2Cl)CCC1(F)F ZINC001238018630 1131162044 /nfs/dbraw/zinc/16/20/44/1131162044.db2.gz UXGKEFJPZGBNTR-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO Cc1cc(CNC(=O)C(C)(C)C2CCCCC2)cc(C)[nH+]1 ZINC000817458147 1131162511 /nfs/dbraw/zinc/16/25/11/1131162511.db2.gz DLFKMRZRDWUMKO-UHFFFAOYSA-N 1 2 288.435 3.921 20 0 CHADLO CCOc1cc(C[NH+]2CC(Oc3ccccc3)C2)ccc1C ZINC001238072365 1131167968 /nfs/dbraw/zinc/16/79/68/1131167968.db2.gz UKJWJCNFZTWCIQ-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO Fc1ccc2c(c1)CC[N@@H+](Cc1cncc(C3CC3)c1)C2 ZINC001238195314 1131179170 /nfs/dbraw/zinc/17/91/70/1131179170.db2.gz YMLBGTLINPRXRG-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO Fc1ccc2c(c1)CC[N@H+](Cc1cncc(C3CC3)c1)C2 ZINC001238195314 1131179173 /nfs/dbraw/zinc/17/91/73/1131179173.db2.gz YMLBGTLINPRXRG-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO CC(C)c1cc(C[N@@H+]2CC[C@@](C)(O)[C@@H](F)C2)ccc1Cl ZINC001238216729 1131182624 /nfs/dbraw/zinc/18/26/24/1131182624.db2.gz DTZJBKDCVJUBOC-JKSUJKDBSA-N 1 2 299.817 3.758 20 0 CHADLO CC(C)c1cc(C[N@H+]2CC[C@@](C)(O)[C@@H](F)C2)ccc1Cl ZINC001238216729 1131182634 /nfs/dbraw/zinc/18/26/34/1131182634.db2.gz DTZJBKDCVJUBOC-JKSUJKDBSA-N 1 2 299.817 3.758 20 0 CHADLO CC[C@H](CC1CCCCC1)c1nnc(C[NH+](C)C)o1 ZINC001117260596 1131184822 /nfs/dbraw/zinc/18/48/22/1131184822.db2.gz ODIHWVPIYWFEIG-CYBMUJFWSA-N 1 2 265.401 3.595 20 0 CHADLO C[C@@H](CSC(C)(C)C)[NH2+]c1ccc2c(c1)CCN2 ZINC000817949856 1131189102 /nfs/dbraw/zinc/18/91/02/1131189102.db2.gz OIGWJBTZWCEPON-NSHDSACASA-N 1 2 264.438 3.987 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2nc3ccccc3s2)c(C)c1 ZINC001238426366 1131198245 /nfs/dbraw/zinc/19/82/45/1131198245.db2.gz LRSRJFZVLCTARG-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2nc3ccccc3s2)c(C)c1 ZINC001238426366 1131198249 /nfs/dbraw/zinc/19/82/49/1131198249.db2.gz LRSRJFZVLCTARG-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1F)Cc1ncc(C)cc1C ZINC001238436526 1131201109 /nfs/dbraw/zinc/20/11/09/1131201109.db2.gz XGZUMGXBCIOUML-UHFFFAOYSA-N 1 2 290.357 3.999 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1F)Cc1ncc(C)cc1C ZINC001238436526 1131201114 /nfs/dbraw/zinc/20/11/14/1131201114.db2.gz XGZUMGXBCIOUML-UHFFFAOYSA-N 1 2 290.357 3.999 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2CCC[C@@](C)(F)C2)ccc1F ZINC001238454029 1131202815 /nfs/dbraw/zinc/20/28/15/1131202815.db2.gz IPTHXPNGANBIHD-MRXNPFEDSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2CCC[C@@](C)(F)C2)ccc1F ZINC001238454029 1131202818 /nfs/dbraw/zinc/20/28/18/1131202818.db2.gz IPTHXPNGANBIHD-MRXNPFEDSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(OCC(F)F)cc1 ZINC000818194632 1131203849 /nfs/dbraw/zinc/20/38/49/1131203849.db2.gz KSSWXVPIULUVKQ-UHFFFAOYSA-N 1 2 295.333 3.720 20 0 CHADLO CCOc1cc(C[NH+]2CC(C)(C)C2)cc(C(F)(F)F)c1 ZINC001238498028 1131208676 /nfs/dbraw/zinc/20/86/76/1131208676.db2.gz DGUPSZNRIJVWFE-UHFFFAOYSA-N 1 2 287.325 3.946 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+][C@H]1C[C@]1(F)c1ccccc1 ZINC000818355854 1131210659 /nfs/dbraw/zinc/21/06/59/1131210659.db2.gz KEHQRFBUVVLJRP-KCTSRDHCSA-N 1 2 288.366 3.833 20 0 CHADLO COc1cc([C@@H](C)Nc2ccc([NH+]3CCCC3)cc2)ccn1 ZINC001118325258 1131218601 /nfs/dbraw/zinc/21/86/01/1131218601.db2.gz GHHUYAYCFUGMIY-CQSZACIVSA-N 1 2 297.402 3.864 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)cnc1C1CC1 ZINC001238615734 1131223403 /nfs/dbraw/zinc/22/34/03/1131223403.db2.gz JFHWONMWZVZXJK-IAGOWNOFSA-N 1 2 298.430 3.601 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)cnc1C1CC1 ZINC001238615734 1131223411 /nfs/dbraw/zinc/22/34/11/1131223411.db2.gz JFHWONMWZVZXJK-IAGOWNOFSA-N 1 2 298.430 3.601 20 0 CHADLO Cc1cnc(-c2ccccc2)c(C[N@H+](C)Cc2ccon2)c1 ZINC001238625585 1131225161 /nfs/dbraw/zinc/22/51/61/1131225161.db2.gz QOFFSHJUGABPEY-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1cnc(-c2ccccc2)c(C[N@@H+](C)Cc2ccon2)c1 ZINC001238625585 1131225165 /nfs/dbraw/zinc/22/51/65/1131225165.db2.gz QOFFSHJUGABPEY-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3[C@@H]2C)cnc1C1CC1 ZINC001238629442 1131225386 /nfs/dbraw/zinc/22/53/86/1131225386.db2.gz YQBSDYNQASAKPM-AWEZNQCLSA-N 1 2 281.403 3.646 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3[C@@H]2C)cnc1C1CC1 ZINC001238629442 1131225388 /nfs/dbraw/zinc/22/53/88/1131225388.db2.gz YQBSDYNQASAKPM-AWEZNQCLSA-N 1 2 281.403 3.646 20 0 CHADLO Clc1cc2c(nn1)C[N@H+](CC/C=C/c1ccccc1)CC2 ZINC000829185729 1131413930 /nfs/dbraw/zinc/41/39/30/1131413930.db2.gz XVXITXMOLQBHDW-XBXARRHUSA-N 1 2 299.805 3.592 20 0 CHADLO Clc1cc2c(nn1)C[N@@H+](CC/C=C/c1ccccc1)CC2 ZINC000829185729 1131413932 /nfs/dbraw/zinc/41/39/32/1131413932.db2.gz XVXITXMOLQBHDW-XBXARRHUSA-N 1 2 299.805 3.592 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1scnc1Cl ZINC001137817483 1131419254 /nfs/dbraw/zinc/41/92/54/1131419254.db2.gz VJQMUCHDWRDCRQ-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1scnc1Cl ZINC001137817483 1131419259 /nfs/dbraw/zinc/41/92/59/1131419259.db2.gz VJQMUCHDWRDCRQ-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1scnc1Cl)CC2 ZINC001137817413 1131419382 /nfs/dbraw/zinc/41/93/82/1131419382.db2.gz SFMULELPNJBVEJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1scnc1Cl)CC2 ZINC001137817413 1131419380 /nfs/dbraw/zinc/41/93/80/1131419380.db2.gz SFMULELPNJBVEJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2ccnc(Cl)c2F)o1 ZINC000823671183 1131436781 /nfs/dbraw/zinc/43/67/81/1131436781.db2.gz JUZNNBPSGQOMJL-BXKDBHETSA-N 1 2 294.757 3.880 20 0 CHADLO C[N@H+](CCc1cccnc1)Cc1c(F)ccc(F)c1Cl ZINC001138003979 1131449295 /nfs/dbraw/zinc/44/92/95/1131449295.db2.gz QXDWFXYUAIIEID-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1cccnc1)Cc1c(F)ccc(F)c1Cl ZINC001138003979 1131449298 /nfs/dbraw/zinc/44/92/98/1131449298.db2.gz QXDWFXYUAIIEID-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c1Cl ZINC001138006035 1131449578 /nfs/dbraw/zinc/44/95/78/1131449578.db2.gz UHWMIPLGWPGFFX-PELKAZGASA-N 1 2 257.711 3.603 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCC[C@@H]3C[C@@H]32)c1Cl ZINC001138006035 1131449582 /nfs/dbraw/zinc/44/95/82/1131449582.db2.gz UHWMIPLGWPGFFX-PELKAZGASA-N 1 2 257.711 3.603 20 0 CHADLO Fc1c(Cl)nccc1C[N@@H+]1CCC=C(c2ccco2)C1 ZINC000824363784 1131465591 /nfs/dbraw/zinc/46/55/91/1131465591.db2.gz JRRNLSDRNDKZGQ-UHFFFAOYSA-N 1 2 292.741 3.756 20 0 CHADLO Fc1c(Cl)nccc1C[N@H+]1CCC=C(c2ccco2)C1 ZINC000824363784 1131465593 /nfs/dbraw/zinc/46/55/93/1131465593.db2.gz JRRNLSDRNDKZGQ-UHFFFAOYSA-N 1 2 292.741 3.756 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCCC[C@@H](F)C2)c1F ZINC001138115011 1131468113 /nfs/dbraw/zinc/46/81/13/1131468113.db2.gz VGFHBWRVIWSHKB-LLVKDONJSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCCC[C@@H](F)C2)c1F ZINC001138115011 1131468115 /nfs/dbraw/zinc/46/81/15/1131468115.db2.gz VGFHBWRVIWSHKB-LLVKDONJSA-N 1 2 257.299 3.597 20 0 CHADLO Clc1ccc([C@@H]2CC[N@H+](Cc3cnc[nH]3)C2)cc1Cl ZINC001136871440 1131469784 /nfs/dbraw/zinc/46/97/84/1131469784.db2.gz JSBGJBCQNDKJRA-LLVKDONJSA-N 1 2 296.201 3.706 20 0 CHADLO Clc1ccc([C@@H]2CC[N@@H+](Cc3cnc[nH]3)C2)cc1Cl ZINC001136871440 1131469786 /nfs/dbraw/zinc/46/97/86/1131469786.db2.gz JSBGJBCQNDKJRA-LLVKDONJSA-N 1 2 296.201 3.706 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(N2CCCC2=O)cc1)C(F)F ZINC000824508164 1131473700 /nfs/dbraw/zinc/47/37/00/1131473700.db2.gz BBXHGYBVKVFRBC-SMDDNHRTSA-N 1 2 296.361 3.508 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cc2c(cc1Cl)OCO2 ZINC001138245045 1131480999 /nfs/dbraw/zinc/48/09/99/1131480999.db2.gz QKKYLJRHFQMKID-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cc2c(cc1Cl)OCO2 ZINC001138245045 1131481002 /nfs/dbraw/zinc/48/10/02/1131481002.db2.gz QKKYLJRHFQMKID-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO Cc1cccn2c(CN3CCCC[C@H]3C(F)(F)F)c[nH+]c12 ZINC001136964206 1131489852 /nfs/dbraw/zinc/48/98/52/1131489852.db2.gz AQOMUHAQTCKLKO-ZDUSSCGKSA-N 1 2 297.324 3.560 20 0 CHADLO CCc1cccc2c(C[N@@H+]3Cc4cccnc4C3)c[nH]c21 ZINC001138359786 1131493634 /nfs/dbraw/zinc/49/36/34/1131493634.db2.gz IKAPKGPIZYJNOL-UHFFFAOYSA-N 1 2 277.371 3.641 20 0 CHADLO CCc1cccc2c(C[N@H+]3Cc4cccnc4C3)c[nH]c21 ZINC001138359786 1131493638 /nfs/dbraw/zinc/49/36/38/1131493638.db2.gz IKAPKGPIZYJNOL-UHFFFAOYSA-N 1 2 277.371 3.641 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+][C@H]3CCC[C@@H]4C[C@@H]43)cc2)CCO1 ZINC000825033820 1131494849 /nfs/dbraw/zinc/49/48/49/1131494849.db2.gz XMAQCROAEDULES-KNCCTNLNSA-N 1 2 286.419 3.512 20 0 CHADLO CCc1nc(C[NH2+][C@H](CC)c2ccccc2F)co1 ZINC000825061761 1131496641 /nfs/dbraw/zinc/49/66/41/1131496641.db2.gz JOJCXHKAWKGHFX-CQSZACIVSA-N 1 2 262.328 3.617 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(SC(F)F)cc2)co1 ZINC000825056207 1131497034 /nfs/dbraw/zinc/49/70/34/1131497034.db2.gz DQZYFRYEJUZXSN-UHFFFAOYSA-N 1 2 298.358 3.842 20 0 CHADLO Cc1c2ccccc2[nH]c1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC001138399737 1131498671 /nfs/dbraw/zinc/49/86/71/1131498671.db2.gz BHXJFBKJBQAVSY-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1c2ccccc2[nH]c1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC001138399737 1131498674 /nfs/dbraw/zinc/49/86/74/1131498674.db2.gz BHXJFBKJBQAVSY-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO CCOc1ccc2ccccc2c1C[N@@H+]1CCC(=O)[C@H](C)C1 ZINC001138441395 1131506272 /nfs/dbraw/zinc/50/62/72/1131506272.db2.gz YGRCPUZPBMZWAP-CQSZACIVSA-N 1 2 297.398 3.649 20 0 CHADLO CCOc1ccc2ccccc2c1C[N@H+]1CCC(=O)[C@H](C)C1 ZINC001138441395 1131506276 /nfs/dbraw/zinc/50/62/76/1131506276.db2.gz YGRCPUZPBMZWAP-CQSZACIVSA-N 1 2 297.398 3.649 20 0 CHADLO CCC1(CC)CCCN(C(=O)c2cc3c[nH+]ccc3[nH]2)C1 ZINC000825340336 1131507402 /nfs/dbraw/zinc/50/74/02/1131507402.db2.gz GFRXOCOIEKPCOH-UHFFFAOYSA-N 1 2 285.391 3.605 20 0 CHADLO COc1ccc(Cl)cc1C[NH+]1CC(C)(C)OC(C)(C)C1 ZINC001138483693 1131511366 /nfs/dbraw/zinc/51/13/66/1131511366.db2.gz QJOLWCJHMRXOIW-UHFFFAOYSA-N 1 2 297.826 3.738 20 0 CHADLO COc1ccc(C)cc1C[N@@H+]1Cc2ccc(F)cc2C1 ZINC001138488092 1131512885 /nfs/dbraw/zinc/51/28/85/1131512885.db2.gz USPGWCABSLVPMV-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(C)cc1C[N@H+]1Cc2ccc(F)cc2C1 ZINC001138488092 1131512890 /nfs/dbraw/zinc/51/28/90/1131512890.db2.gz USPGWCABSLVPMV-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1cnc(C[NH+]2CC3(C2)CCCC3)cc1Br ZINC001143650573 1131521052 /nfs/dbraw/zinc/52/10/52/1131521052.db2.gz MTNBYAHEINKOHB-UHFFFAOYSA-N 1 2 295.224 3.529 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@]3(CC3(F)F)C2)c(F)c1Cl ZINC001143626464 1131521499 /nfs/dbraw/zinc/52/14/99/1131521499.db2.gz WHLITFIJUIHKHJ-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@]3(CC3(F)F)C2)c(F)c1Cl ZINC001143626464 1131521503 /nfs/dbraw/zinc/52/15/03/1131521503.db2.gz WHLITFIJUIHKHJ-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3cnc(C)cc3C2)c(C)s1 ZINC001138570366 1131522345 /nfs/dbraw/zinc/52/23/45/1131522345.db2.gz GGYCESYCQJQCLW-UHFFFAOYSA-N 1 2 258.390 3.584 20 0 CHADLO Cc1cc(C[N@H+]2Cc3cnc(C)cc3C2)c(C)s1 ZINC001138570366 1131522348 /nfs/dbraw/zinc/52/23/48/1131522348.db2.gz GGYCESYCQJQCLW-UHFFFAOYSA-N 1 2 258.390 3.584 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCOC[C@H]2C2CC2)c1 ZINC001143684017 1131522633 /nfs/dbraw/zinc/52/26/33/1131522633.db2.gz XPFUDAMBAAYBCX-HNNXBMFYSA-N 1 2 299.336 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCOC[C@H]2C2CC2)c1 ZINC001143684017 1131522636 /nfs/dbraw/zinc/52/26/36/1131522636.db2.gz XPFUDAMBAAYBCX-HNNXBMFYSA-N 1 2 299.336 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCCC(=O)[C@@H](C)C2)c1 ZINC001143672337 1131523891 /nfs/dbraw/zinc/52/38/91/1131523891.db2.gz CYXWSAIFJGMILM-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCCC(=O)[C@@H](C)C2)c1 ZINC001143672337 1131523893 /nfs/dbraw/zinc/52/38/93/1131523893.db2.gz CYXWSAIFJGMILM-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccccc1N1CCCC1)C2 ZINC001138611187 1131527200 /nfs/dbraw/zinc/52/72/00/1131527200.db2.gz IOVIVADDVFOMOF-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccccc1N1CCCC1)C2 ZINC001138611187 1131527202 /nfs/dbraw/zinc/52/72/02/1131527202.db2.gz IOVIVADDVFOMOF-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO Clc1[nH]c2ccccc2c1C[N@@H+]1CCOCC12CCC2 ZINC001138687928 1131537567 /nfs/dbraw/zinc/53/75/67/1131537567.db2.gz NPBFZIGOHQOAOJ-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1[nH]c2ccccc2c1C[N@H+]1CCOCC12CCC2 ZINC001138687928 1131537569 /nfs/dbraw/zinc/53/75/69/1131537569.db2.gz NPBFZIGOHQOAOJ-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Cc1c(Nc2ccc(N(C)C3CCCCC3)[nH+]c2)cnn1C ZINC001212613049 1131538319 /nfs/dbraw/zinc/53/83/19/1131538319.db2.gz ALSUOPDFPSCRQC-UHFFFAOYSA-N 1 2 299.422 3.636 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3ccccc3C2)c(F)c1F ZINC001143902718 1131550022 /nfs/dbraw/zinc/55/00/22/1131550022.db2.gz KBCIJHFERVQTLN-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3ccccc3C2)c(F)c1F ZINC001143902718 1131550025 /nfs/dbraw/zinc/55/00/25/1131550025.db2.gz KBCIJHFERVQTLN-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Clc1cc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)ccn1 ZINC001138821472 1131552481 /nfs/dbraw/zinc/55/24/81/1131552481.db2.gz SWUVHLQHGZLGFT-HNNXBMFYSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cc(C[N@H+]2CCOC[C@H]2C2CCCCC2)ccn1 ZINC001138821472 1131552486 /nfs/dbraw/zinc/55/24/86/1131552486.db2.gz SWUVHLQHGZLGFT-HNNXBMFYSA-N 1 2 294.826 3.516 20 0 CHADLO C[C@H](CC(=O)N(C)c1ccc(C(C)(C)C)cc1)n1cc[nH+]c1 ZINC000844348350 1131553028 /nfs/dbraw/zinc/55/30/28/1131553028.db2.gz WISHSNXVTAIHQL-CQSZACIVSA-N 1 2 299.418 3.795 20 0 CHADLO Cc1c(C[N@@H+]2CCC(=O)[C@@H](C)CC2)cccc1C(F)(F)F ZINC001143923613 1131554846 /nfs/dbraw/zinc/55/48/46/1131554846.db2.gz ZRBYIDLMBINJEK-NSHDSACASA-N 1 2 299.336 3.815 20 0 CHADLO Cc1c(C[N@H+]2CCC(=O)[C@@H](C)CC2)cccc1C(F)(F)F ZINC001143923613 1131554850 /nfs/dbraw/zinc/55/48/50/1131554850.db2.gz ZRBYIDLMBINJEK-NSHDSACASA-N 1 2 299.336 3.815 20 0 CHADLO CCCCC[C@H](NC(=O)C[C@H](C)n1cc[nH+]c1)C(C)(C)C ZINC000844396751 1131556606 /nfs/dbraw/zinc/55/66/06/1131556606.db2.gz QCFUVUKFOWTQNY-GJZGRUSLSA-N 1 2 293.455 3.945 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(F)cnc2Cl)CCC1(F)F ZINC001138890956 1131557662 /nfs/dbraw/zinc/55/76/62/1131557662.db2.gz KPDWIJGFJFQJAS-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(F)cnc2Cl)CCC1(F)F ZINC001138890956 1131557665 /nfs/dbraw/zinc/55/76/65/1131557665.db2.gz KPDWIJGFJFQJAS-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO CC1(C)C[N@H+](Cc2c(Cl)ccc(F)c2F)CCCO1 ZINC001143957130 1131558721 /nfs/dbraw/zinc/55/87/21/1131558721.db2.gz JVHQWPNFTCIOTR-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(Cl)ccc(F)c2F)CCCO1 ZINC001143957130 1131558724 /nfs/dbraw/zinc/55/87/24/1131558724.db2.gz JVHQWPNFTCIOTR-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(F)cnc2Cl)cc1 ZINC001138892687 1131559359 /nfs/dbraw/zinc/55/93/59/1131559359.db2.gz UXLMCQXMEFRDQY-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(F)cnc2Cl)cc1 ZINC001138892687 1131559364 /nfs/dbraw/zinc/55/93/64/1131559364.db2.gz UXLMCQXMEFRDQY-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2C[C@H]3[C@H](CC3(F)F)C2)c1F ZINC001143948963 1131561232 /nfs/dbraw/zinc/56/12/32/1131561232.db2.gz GSMYLKBFAJNQIT-APPZFPTMSA-N 1 2 293.691 3.705 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2C[C@H]3[C@H](CC3(F)F)C2)c1F ZINC001143948963 1131561236 /nfs/dbraw/zinc/56/12/36/1131561236.db2.gz GSMYLKBFAJNQIT-APPZFPTMSA-N 1 2 293.691 3.705 20 0 CHADLO C[C@H](CC(=O)O[C@@H](C)c1ccccc1Cl)n1cc[nH+]c1 ZINC000844431908 1131563701 /nfs/dbraw/zinc/56/37/01/1131563701.db2.gz ADAOZDRAXQKVKZ-NEPJUHHUSA-N 1 2 292.766 3.792 20 0 CHADLO COc1ccc(F)c(C[NH+]2CC3(C2)CCCCC3)c1F ZINC001143980078 1131564793 /nfs/dbraw/zinc/56/47/93/1131564793.db2.gz BBSXFXKEMAOHEJ-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO COc1ccc(F)c(C[NH+]2CC(c3ccccc3)C2)c1F ZINC001143980128 1131565105 /nfs/dbraw/zinc/56/51/05/1131565105.db2.gz CXFPBNDYHWYXBQ-UHFFFAOYSA-N 1 2 289.325 3.573 20 0 CHADLO C[C@H](CC(=O)O[C@@H](C)c1cc2ccccc2o1)n1cc[nH+]c1 ZINC000844491064 1131571647 /nfs/dbraw/zinc/57/16/47/1131571647.db2.gz SVLTXKZDQSMLJD-OLZOCXBDSA-N 1 2 298.342 3.885 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(F)cc(Cl)cc2F)[C@H](C)C1 ZINC001139051825 1131575605 /nfs/dbraw/zinc/57/56/05/1131575605.db2.gz AMFVHCVATSAIHB-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(F)cc(Cl)cc2F)[C@H](C)C1 ZINC001139051825 1131575607 /nfs/dbraw/zinc/57/56/07/1131575607.db2.gz AMFVHCVATSAIHB-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139054241 1131577136 /nfs/dbraw/zinc/57/71/36/1131577136.db2.gz SZNWPKAJJJJFGV-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139054241 1131577141 /nfs/dbraw/zinc/57/71/41/1131577141.db2.gz SZNWPKAJJJJFGV-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO O=C1CC[NH+](Cc2cccc(-c3ccc(F)cc3)c2)CC1 ZINC001139066007 1131578640 /nfs/dbraw/zinc/57/86/40/1131578640.db2.gz XVKOEWRUCLJSAW-UHFFFAOYSA-N 1 2 283.346 3.658 20 0 CHADLO C[C@H](CC(=O)O[C@@H](C)c1ccc(Cl)cc1)n1cc[nH+]c1 ZINC000844571846 1131581576 /nfs/dbraw/zinc/58/15/76/1131581576.db2.gz VBWMAXKQTBRMKS-NEPJUHHUSA-N 1 2 292.766 3.792 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1c(C)n[nH]c1C ZINC001139100999 1131582436 /nfs/dbraw/zinc/58/24/36/1131582436.db2.gz IQVHSRKJLNRRKT-DOTOQJQBSA-N 1 2 293.455 3.790 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1c(C)n[nH]c1C ZINC001139100999 1131582440 /nfs/dbraw/zinc/58/24/40/1131582440.db2.gz IQVHSRKJLNRRKT-DOTOQJQBSA-N 1 2 293.455 3.790 20 0 CHADLO Cn1ccc2c1cccc2C[N@@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001139157580 1131588398 /nfs/dbraw/zinc/58/83/98/1131588398.db2.gz PPJSWXDIZRXETI-OAHLLOKOSA-N 1 2 296.336 3.748 20 0 CHADLO Cn1ccc2c1cccc2C[N@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001139157580 1131588401 /nfs/dbraw/zinc/58/84/01/1131588401.db2.gz PPJSWXDIZRXETI-OAHLLOKOSA-N 1 2 296.336 3.748 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144130785 1131590902 /nfs/dbraw/zinc/59/09/02/1131590902.db2.gz OQIVMVKTGIFFMR-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144130785 1131590904 /nfs/dbraw/zinc/59/09/04/1131590904.db2.gz OQIVMVKTGIFFMR-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO CC1(C)C[N@H+](Cc2n[nH]c3ccc(F)cc32)CCC1(F)F ZINC001144191595 1131595959 /nfs/dbraw/zinc/59/59/59/1131595959.db2.gz SXWNUJZUSRWFOA-UHFFFAOYSA-N 1 2 297.324 3.569 20 0 CHADLO CC1(C)C[N@@H+](Cc2n[nH]c3ccc(F)cc32)CCC1(F)F ZINC001144191595 1131595965 /nfs/dbraw/zinc/59/59/65/1131595965.db2.gz SXWNUJZUSRWFOA-UHFFFAOYSA-N 1 2 297.324 3.569 20 0 CHADLO FC(F)C1C[NH+](Cc2ccc(Oc3ccccc3)nc2)C1 ZINC001139251094 1131599656 /nfs/dbraw/zinc/59/96/56/1131599656.db2.gz YMSXQCFLYRZJJZ-UHFFFAOYSA-N 1 2 290.313 3.571 20 0 CHADLO CC[N@H+](Cc1[nH]c(-c2ccccc2)nc1Cl)C1CC1 ZINC001139276195 1131600065 /nfs/dbraw/zinc/60/00/65/1131600065.db2.gz AQUKKSXLBYEUAN-UHFFFAOYSA-N 1 2 275.783 3.714 20 0 CHADLO CC[N@@H+](Cc1[nH]c(-c2ccccc2)nc1Cl)C1CC1 ZINC001139276195 1131600067 /nfs/dbraw/zinc/60/00/67/1131600067.db2.gz AQUKKSXLBYEUAN-UHFFFAOYSA-N 1 2 275.783 3.714 20 0 CHADLO Clc1ccc(-c2ccc(C[N@H+]3C[C@H]4C[C@@H](C3)O4)o2)cc1 ZINC001139417518 1131607870 /nfs/dbraw/zinc/60/78/70/1131607870.db2.gz KXOZRDQMUFPWAA-GASCZTMLSA-N 1 2 289.762 3.573 20 0 CHADLO Clc1ccc(-c2ccc(C[N@@H+]3C[C@H]4C[C@@H](C3)O4)o2)cc1 ZINC001139417518 1131607871 /nfs/dbraw/zinc/60/78/71/1131607871.db2.gz KXOZRDQMUFPWAA-GASCZTMLSA-N 1 2 289.762 3.573 20 0 CHADLO O=C(C[C@@H]1C[C@@H]2CC[C@H]1C2)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001144288463 1131613731 /nfs/dbraw/zinc/61/37/31/1131613731.db2.gz YTASJQQKCZJVSU-ILXRZTDVSA-N 1 2 295.386 3.637 20 0 CHADLO Clc1cccc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)n1 ZINC001139552684 1131615483 /nfs/dbraw/zinc/61/54/83/1131615483.db2.gz RBTFBNXERMNBOL-HNNXBMFYSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cccc(C[N@H+]2CCOC[C@H]2C2CCCCC2)n1 ZINC001139552684 1131615484 /nfs/dbraw/zinc/61/54/84/1131615484.db2.gz RBTFBNXERMNBOL-HNNXBMFYSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cccc(C[N@@H+]2CCSc3ccccc3C2)n1 ZINC001139552803 1131616005 /nfs/dbraw/zinc/61/60/05/1131616005.db2.gz VBEVEEDVHITLFZ-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1cccc(C[N@H+]2CCSc3ccccc3C2)n1 ZINC001139552803 1131616008 /nfs/dbraw/zinc/61/60/08/1131616008.db2.gz VBEVEEDVHITLFZ-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1ccnc(C[N@@H+]2CC[C@H]2c2ccccc2)c1 ZINC001139566272 1131616907 /nfs/dbraw/zinc/61/69/07/1131616907.db2.gz VMDBFAUVQZMELV-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccnc(C[N@H+]2CC[C@H]2c2ccccc2)c1 ZINC001139566272 1131616909 /nfs/dbraw/zinc/61/69/09/1131616909.db2.gz VMDBFAUVQZMELV-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO CCOc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)cc1Cl ZINC001139595731 1131619485 /nfs/dbraw/zinc/61/94/85/1131619485.db2.gz NLOGCZVCVPMTEP-OBJOEFQTSA-N 1 2 283.774 3.529 20 0 CHADLO CCOc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)cc1Cl ZINC001139595731 1131619488 /nfs/dbraw/zinc/61/94/88/1131619488.db2.gz NLOGCZVCVPMTEP-OBJOEFQTSA-N 1 2 283.774 3.529 20 0 CHADLO C[C@@H](COC(=O)C[C@H](C)n1cc[nH+]c1)C1CCCCC1 ZINC000845284724 1131624375 /nfs/dbraw/zinc/62/43/75/1131624375.db2.gz DLFVQNRCNLUSLJ-KBPBESRZSA-N 1 2 278.396 3.594 20 0 CHADLO C[C@H](CC(=O)O[C@@H](C)c1cccc(Cl)c1)n1cc[nH+]c1 ZINC000845282002 1131624391 /nfs/dbraw/zinc/62/43/91/1131624391.db2.gz PZZXQIZERQYDGQ-NEPJUHHUSA-N 1 2 292.766 3.792 20 0 CHADLO CC[C@H](COC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000845460911 1131636277 /nfs/dbraw/zinc/63/62/77/1131636277.db2.gz HJFUQFDAKJBGRS-LSDHHAIUSA-N 1 2 286.375 3.571 20 0 CHADLO Clc1cnccc1C[N@@H+]1CCCC[C@H]1c1cccnc1 ZINC001139846219 1131641111 /nfs/dbraw/zinc/64/11/11/1131641111.db2.gz KDYIPGKSILQORF-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1cnccc1C[N@H+]1CCCC[C@H]1c1cccnc1 ZINC001139846219 1131641114 /nfs/dbraw/zinc/64/11/14/1131641114.db2.gz KDYIPGKSILQORF-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Cn1c(C[N@@H+]2Cc3ccc(F)cc3C2)cc2ccccc21 ZINC001139871409 1131643864 /nfs/dbraw/zinc/64/38/64/1131643864.db2.gz CVTATYXCKFRQGK-UHFFFAOYSA-N 1 2 280.346 3.833 20 0 CHADLO Cn1c(C[N@H+]2Cc3ccc(F)cc3C2)cc2ccccc21 ZINC001139871409 1131643866 /nfs/dbraw/zinc/64/38/66/1131643866.db2.gz CVTATYXCKFRQGK-UHFFFAOYSA-N 1 2 280.346 3.833 20 0 CHADLO Cn1ncc2cc(C[N@@H+]3Cc4cccc(Cl)c4C3)ccc21 ZINC001139952358 1131652664 /nfs/dbraw/zinc/65/26/64/1131652664.db2.gz IMQQBGAMANAMSY-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1ncc2cc(C[N@H+]3Cc4cccc(Cl)c4C3)ccc21 ZINC001139952358 1131652668 /nfs/dbraw/zinc/65/26/68/1131652668.db2.gz IMQQBGAMANAMSY-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO CC[C@@H](c1ccccc1)N(C)Cc1[nH+]cn2ccccc12 ZINC001144624931 1131667101 /nfs/dbraw/zinc/66/71/01/1131667101.db2.gz WHNVLNUXNGWEFA-KRWDZBQOSA-N 1 2 279.387 3.917 20 0 CHADLO c1cc2c(cn1)C[N@H+](Cc1ccc(-c3ccncc3)cc1)C2 ZINC001140173243 1131672325 /nfs/dbraw/zinc/67/23/25/1131672325.db2.gz QTZVOCFJMDOCMN-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1cc2c(cn1)C[N@@H+](Cc1ccc(-c3ccncc3)cc1)C2 ZINC001140173243 1131672329 /nfs/dbraw/zinc/67/23/29/1131672329.db2.gz QTZVOCFJMDOCMN-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO CC(C)[C@H]1CC[C@H](C(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC001144649531 1131674748 /nfs/dbraw/zinc/67/47/48/1131674748.db2.gz SCFJXSXMOFXWSU-HDJSIYSDSA-N 1 2 285.391 3.735 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1)Cc1cc(F)c(O)cc1F ZINC001144666109 1131676270 /nfs/dbraw/zinc/67/62/70/1131676270.db2.gz GLIGBRAUKDGDQD-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1)Cc1cc(F)c(O)cc1F ZINC001144666109 1131676275 /nfs/dbraw/zinc/67/62/75/1131676275.db2.gz GLIGBRAUKDGDQD-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC)c(OC(C)C)c1 ZINC001203012113 1131676932 /nfs/dbraw/zinc/67/69/32/1131676932.db2.gz JVTWVOFCKAJRJC-UHFFFAOYSA-N 1 2 288.347 3.630 20 0 CHADLO C[N@H+](Cc1ccncc1O)Cc1c(Cl)cccc1Cl ZINC001140277078 1131687254 /nfs/dbraw/zinc/68/72/54/1131687254.db2.gz SRQRPNCALRZIFL-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1ccncc1O)Cc1c(Cl)cccc1Cl ZINC001140277078 1131687258 /nfs/dbraw/zinc/68/72/58/1131687258.db2.gz SRQRPNCALRZIFL-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO CCOC1CC[NH+](Cc2cc(F)c(F)cc2Cl)CC1 ZINC001144727459 1131692392 /nfs/dbraw/zinc/69/23/92/1131692392.db2.gz XCCDJMKJTDUCOT-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccccc2Cl)CCC1)c1ncc[nH]1 ZINC000846171916 1131693660 /nfs/dbraw/zinc/69/36/60/1131693660.db2.gz JKJOVXNWWCQZCD-LLVKDONJSA-N 1 2 275.783 3.793 20 0 CHADLO c1cc2cccc(C[NH2+][C@H]3CCCc4[nH]ncc43)c2s1 ZINC000846176094 1131695901 /nfs/dbraw/zinc/69/59/01/1131695901.db2.gz UHUJGRGLVOYNHQ-AWEZNQCLSA-N 1 2 283.400 3.792 20 0 CHADLO Cc1ccc2[nH]nc(C[N@@H+]3CCC[C@H](C(F)(F)F)C3)c2c1 ZINC001140330918 1131699598 /nfs/dbraw/zinc/69/95/98/1131699598.db2.gz PNAUFDQYUCDPNG-NSHDSACASA-N 1 2 297.324 3.646 20 0 CHADLO Cc1ccc2[nH]nc(C[N@H+]3CCC[C@H](C(F)(F)F)C3)c2c1 ZINC001140330918 1131699600 /nfs/dbraw/zinc/69/96/00/1131699600.db2.gz PNAUFDQYUCDPNG-NSHDSACASA-N 1 2 297.324 3.646 20 0 CHADLO Cc1ccc2[nH]nc(C[N@@H+]3CCC[C@@H](C(F)(F)F)C3)c2c1 ZINC001140330917 1131699729 /nfs/dbraw/zinc/69/97/29/1131699729.db2.gz PNAUFDQYUCDPNG-LLVKDONJSA-N 1 2 297.324 3.646 20 0 CHADLO Cc1ccc2[nH]nc(C[N@H+]3CCC[C@@H](C(F)(F)F)C3)c2c1 ZINC001140330917 1131699733 /nfs/dbraw/zinc/69/97/33/1131699733.db2.gz PNAUFDQYUCDPNG-LLVKDONJSA-N 1 2 297.324 3.646 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc3scnc3c1)C2 ZINC001144743129 1131702579 /nfs/dbraw/zinc/70/25/79/1131702579.db2.gz ABWNGKKEFHKYLM-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc3scnc3c1)C2 ZINC001144743129 1131702584 /nfs/dbraw/zinc/70/25/84/1131702584.db2.gz ABWNGKKEFHKYLM-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO c1ccc(-c2ccc(C[N@@H+]3Cc4ccncc4C3)cc2)nc1 ZINC001140380774 1131708734 /nfs/dbraw/zinc/70/87/34/1131708734.db2.gz DFMXVOFZWXGPKZ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(-c2ccc(C[N@H+]3Cc4ccncc4C3)cc2)nc1 ZINC001140380774 1131708738 /nfs/dbraw/zinc/70/87/38/1131708738.db2.gz DFMXVOFZWXGPKZ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+][C@@H]3CCn4ccnc43)oc2c1 ZINC000834832490 1131708954 /nfs/dbraw/zinc/70/89/54/1131708954.db2.gz PESBFNBUHJHLBS-GXTWGEPZSA-N 1 2 281.359 3.733 20 0 CHADLO Clc1ccc2c(n1)CC[N@@H+](Cc1ccc3c(c1)CCC3)C2 ZINC001203034023 1131718714 /nfs/dbraw/zinc/71/87/14/1131718714.db2.gz JUCNFHKQMZBIDI-UHFFFAOYSA-N 1 2 298.817 3.782 20 0 CHADLO Clc1ccc2c(n1)CC[N@H+](Cc1ccc3c(c1)CCC3)C2 ZINC001203034023 1131718716 /nfs/dbraw/zinc/71/87/16/1131718716.db2.gz JUCNFHKQMZBIDI-UHFFFAOYSA-N 1 2 298.817 3.782 20 0 CHADLO CCCc1ncc(C[NH2+][C@H](C)c2cccnc2Cl)o1 ZINC000834901047 1131724798 /nfs/dbraw/zinc/72/47/98/1131724798.db2.gz WDQZOFMWRVGBBH-SNVBAGLBSA-N 1 2 279.771 3.526 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1nc(Cl)ccc1C)C2 ZINC001140443058 1131739304 /nfs/dbraw/zinc/73/93/04/1131739304.db2.gz YQLVCCAQPBFWEA-UHFFFAOYSA-N 1 2 272.779 3.868 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1nc(Cl)ccc1C)C2 ZINC001140443058 1131739308 /nfs/dbraw/zinc/73/93/08/1131739308.db2.gz YQLVCCAQPBFWEA-UHFFFAOYSA-N 1 2 272.779 3.868 20 0 CHADLO Cc1ccc(Cl)nc1C[N@H+](C)Cc1ccc(F)cc1F ZINC001140445964 1131740904 /nfs/dbraw/zinc/74/09/04/1131740904.db2.gz AFOXRUGFBYVLNC-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ccc(Cl)nc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC001140445964 1131740908 /nfs/dbraw/zinc/74/09/08/1131740908.db2.gz AFOXRUGFBYVLNC-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1c[nH]c2cccc(Cl)c12 ZINC001140447340 1131741834 /nfs/dbraw/zinc/74/18/34/1131741834.db2.gz YJQSMLWSIITZLF-HNNXBMFYSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1c[nH]c2cccc(Cl)c12 ZINC001140447340 1131741835 /nfs/dbraw/zinc/74/18/35/1131741835.db2.gz YJQSMLWSIITZLF-HNNXBMFYSA-N 1 2 290.794 3.765 20 0 CHADLO Fc1ccccc1[C@@H]([NH2+]Cc1cnsn1)C1CCCC1 ZINC000846849483 1131750213 /nfs/dbraw/zinc/75/02/13/1131750213.db2.gz XBTHEGPCDIYDPP-HNNXBMFYSA-N 1 2 291.395 3.698 20 0 CHADLO C[C@@H]1C[C@@H]1[C@@H]([NH2+]Cc1cnsn1)c1ccc(Cl)cc1 ZINC000846849814 1131750226 /nfs/dbraw/zinc/75/02/26/1131750226.db2.gz OAUNJPVNOLXTRL-IIMNLJJBSA-N 1 2 293.823 3.678 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2cnsn2)C2CCCC2)cc1 ZINC000846846969 1131751412 /nfs/dbraw/zinc/75/14/12/1131751412.db2.gz ZFGWDTKHUNUTNO-HNNXBMFYSA-N 1 2 291.395 3.698 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)cc(F)cc2F)CCC1(F)F ZINC001140530296 1131780248 /nfs/dbraw/zinc/78/02/48/1131780248.db2.gz UKBQGIINDOIQIM-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)cc(F)cc2F)CCC1(F)F ZINC001140530296 1131780251 /nfs/dbraw/zinc/78/02/51/1131780251.db2.gz UKBQGIINDOIQIM-UHFFFAOYSA-N 1 2 293.279 3.971 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1cccc(F)c1Cl ZINC001140560065 1131793653 /nfs/dbraw/zinc/79/36/53/1131793653.db2.gz JMCMESDVRIHVSQ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1cccc(F)c1Cl ZINC001140560065 1131793658 /nfs/dbraw/zinc/79/36/58/1131793658.db2.gz JMCMESDVRIHVSQ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO CCCC[C@@H](C)OC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835227546 1131794267 /nfs/dbraw/zinc/79/42/67/1131794267.db2.gz KGFGTVWUHZBVED-CQSZACIVSA-N 1 2 286.375 3.667 20 0 CHADLO OCC[N@H+](Cc1cccs1)Cc1cccc(F)c1Cl ZINC001140563481 1131794795 /nfs/dbraw/zinc/79/47/95/1131794795.db2.gz XZRFHKLONIHJRS-UHFFFAOYSA-N 1 2 299.798 3.535 20 0 CHADLO OCC[N@@H+](Cc1cccs1)Cc1cccc(F)c1Cl ZINC001140563481 1131794798 /nfs/dbraw/zinc/79/47/98/1131794798.db2.gz XZRFHKLONIHJRS-UHFFFAOYSA-N 1 2 299.798 3.535 20 0 CHADLO COc1cc2c(cc1O[C@@H](C)c1ccc(C)nc1)C=[NH+]CC2 ZINC001228086856 1131805178 /nfs/dbraw/zinc/80/51/78/1131805178.db2.gz CAMXIKSCIHWHIY-ZDUSSCGKSA-N 1 2 296.370 3.514 20 0 CHADLO COc1cc2c(cc1O[C@H](C)c1cccs1)C=[NH+]CC2 ZINC001228086246 1131806746 /nfs/dbraw/zinc/80/67/46/1131806746.db2.gz BOHCVNPYXBLSRN-LLVKDONJSA-N 1 2 287.384 3.872 20 0 CHADLO CC(C)Oc1cc(N[C@H]2COCc3ccccc32)cc[nH+]1 ZINC001171295598 1131807892 /nfs/dbraw/zinc/80/78/92/1131807892.db2.gz VVFNTJZSTXPFSY-INIZCTEOSA-N 1 2 284.359 3.552 20 0 CHADLO CC(C)Oc1cccc(C(=O)C[N@H+](C)Cc2ccccc2)c1 ZINC001228104316 1131810249 /nfs/dbraw/zinc/81/02/49/1131810249.db2.gz OLNNKVDBJFHFOB-UHFFFAOYSA-N 1 2 297.398 3.789 20 0 CHADLO CC(C)Oc1cccc(C(=O)C[N@@H+](C)Cc2ccccc2)c1 ZINC001228104316 1131810255 /nfs/dbraw/zinc/81/02/55/1131810255.db2.gz OLNNKVDBJFHFOB-UHFFFAOYSA-N 1 2 297.398 3.789 20 0 CHADLO CC(C)COc1cc(N[C@@H]2COCc3ccccc32)cc[nH+]1 ZINC001171303022 1131822188 /nfs/dbraw/zinc/82/21/88/1131822188.db2.gz YSFWVIOGKOLJRG-QGZVFWFLSA-N 1 2 298.386 3.800 20 0 CHADLO CC[C@H]1C[NH+](Cc2ccc(Cl)c(OC)c2)C[C@H](CC)O1 ZINC001171324071 1131847225 /nfs/dbraw/zinc/84/72/25/1131847225.db2.gz XAGGBKWRCZHYDX-KBPBESRZSA-N 1 2 297.826 3.738 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](C)c3ncccc3F)cc2[nH+]1 ZINC001228328437 1131859349 /nfs/dbraw/zinc/85/93/49/1131859349.db2.gz DTXCBZFGSDRFLH-VIFPVBQESA-N 1 2 271.295 3.545 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H]3CCOc4ccccc43)cc2[nH+]1 ZINC001228326434 1131859420 /nfs/dbraw/zinc/85/94/20/1131859420.db2.gz IAIFROOONOQQED-QGZVFWFLSA-N 1 2 280.327 3.774 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H]3CCc4ccccc4C3)cc2[nH+]1 ZINC001228328171 1131860018 /nfs/dbraw/zinc/86/00/18/1131860018.db2.gz BRWDVZUJFUWXQC-OAHLLOKOSA-N 1 2 278.355 3.808 20 0 CHADLO Cc1[nH]c2ccc(OC[C@@H](C)Oc3ccccc3)cc2[nH+]1 ZINC001228328573 1131860169 /nfs/dbraw/zinc/86/01/69/1131860169.db2.gz GPCXDQNGFLURQU-GFCCVEGCSA-N 1 2 282.343 3.718 20 0 CHADLO Cc1[nH]c2ccc(OC[C@H]3CCc4ccccc4O3)cc2[nH+]1 ZINC001228327835 1131860208 /nfs/dbraw/zinc/86/02/08/1131860208.db2.gz WFTHAWIFJKIGGD-OAHLLOKOSA-N 1 2 294.354 3.644 20 0 CHADLO CC[N@H+](Cc1coc(-c2ccccc2)n1)Cc1ccncc1 ZINC000348118940 1131883070 /nfs/dbraw/zinc/88/30/70/1131883070.db2.gz XRKLAHJSVKWPLA-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC[N@@H+](Cc1coc(-c2ccccc2)n1)Cc1ccncc1 ZINC000348118940 1131883075 /nfs/dbraw/zinc/88/30/75/1131883075.db2.gz XRKLAHJSVKWPLA-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140702288 1131884367 /nfs/dbraw/zinc/88/43/67/1131884367.db2.gz LNMQRJDGWVGRBM-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140702288 1131884379 /nfs/dbraw/zinc/88/43/79/1131884379.db2.gz LNMQRJDGWVGRBM-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2c(C)c(C)c(C)c(C)c2C)n1 ZINC000348109256 1131889605 /nfs/dbraw/zinc/88/96/05/1131889605.db2.gz KVQOKBRYXSKONB-CQSZACIVSA-N 1 2 287.407 3.771 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1C[C@@H](C)C[C@H]1CF ZINC001140725762 1131898071 /nfs/dbraw/zinc/89/80/71/1131898071.db2.gz MOWPMGRYRXVYIZ-QWRGUYRKSA-N 1 2 271.763 3.529 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1C[C@@H](C)C[C@H]1CF ZINC001140725762 1131898078 /nfs/dbraw/zinc/89/80/78/1131898078.db2.gz MOWPMGRYRXVYIZ-QWRGUYRKSA-N 1 2 271.763 3.529 20 0 CHADLO O=C(C[N@@H+]1CCCC2(CCC2)C1)Nc1ccccc1Cl ZINC000348183941 1131900366 /nfs/dbraw/zinc/90/03/66/1131900366.db2.gz VWJCPGORYIPOPJ-UHFFFAOYSA-N 1 2 292.810 3.545 20 0 CHADLO O=C(C[N@H+]1CCCC2(CCC2)C1)Nc1ccccc1Cl ZINC000348183941 1131900370 /nfs/dbraw/zinc/90/03/70/1131900370.db2.gz VWJCPGORYIPOPJ-UHFFFAOYSA-N 1 2 292.810 3.545 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@H]32)c(Cl)c1 ZINC001140796464 1131921883 /nfs/dbraw/zinc/92/18/83/1131921883.db2.gz JKDYKMYYHULTHL-GOEBONIOSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@H]32)c(Cl)c1 ZINC001140796464 1131921888 /nfs/dbraw/zinc/92/18/88/1131921888.db2.gz JKDYKMYYHULTHL-GOEBONIOSA-N 1 2 291.822 3.982 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cc(F)c(C(F)(F)F)c(F)c1 ZINC001140878964 1131956979 /nfs/dbraw/zinc/95/69/79/1131956979.db2.gz NNTDTDPWFGWKBT-SSDOTTSWSA-N 1 2 265.225 3.578 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cc(F)c(C(F)(F)F)c(F)c1 ZINC001140878964 1131956985 /nfs/dbraw/zinc/95/69/85/1131956985.db2.gz NNTDTDPWFGWKBT-SSDOTTSWSA-N 1 2 265.225 3.578 20 0 CHADLO Cc1nnc(-c2ccc(Nc3ccc(C)[nH+]c3C)cc2)o1 ZINC001203086940 1131984637 /nfs/dbraw/zinc/98/46/37/1131984637.db2.gz MEXOHCIEALXTHQ-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO Cc1ncoc1C[N@H+](Cc1ccccc1)Cc1ccccn1 ZINC001141051531 1131995603 /nfs/dbraw/zinc/99/56/03/1131995603.db2.gz DZPPJRXMQHPMFJ-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1ncoc1C[N@@H+](Cc1ccccc1)Cc1ccccn1 ZINC001141051531 1131995610 /nfs/dbraw/zinc/99/56/10/1131995610.db2.gz DZPPJRXMQHPMFJ-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO CCC(CC)[C@@H]([NH2+]Cc1cn[nH]c1C)c1ccccc1 ZINC000037107831 1131996406 /nfs/dbraw/zinc/99/64/06/1131996406.db2.gz AVFJCBLZIXANFO-QGZVFWFLSA-N 1 2 271.408 3.985 20 0 CHADLO CCCCc1nc(C[N@@H+]2Cc3ccccc3C[C@@H]2C)c[nH]1 ZINC001141071093 1132002125 /nfs/dbraw/zinc/00/21/25/1132002125.db2.gz AWGWOONJXZIMSJ-AWEZNQCLSA-N 1 2 283.419 3.699 20 0 CHADLO CCCCc1nc(C[N@H+]2Cc3ccccc3C[C@@H]2C)c[nH]1 ZINC001141071093 1132002131 /nfs/dbraw/zinc/00/21/31/1132002131.db2.gz AWGWOONJXZIMSJ-AWEZNQCLSA-N 1 2 283.419 3.699 20 0 CHADLO CCCCc1ncc(C[N@@H+]2Cc3ccccc3C[C@@H]2C)[nH]1 ZINC001141071093 1132002138 /nfs/dbraw/zinc/00/21/38/1132002138.db2.gz AWGWOONJXZIMSJ-AWEZNQCLSA-N 1 2 283.419 3.699 20 0 CHADLO CCCCc1ncc(C[N@H+]2Cc3ccccc3C[C@@H]2C)[nH]1 ZINC001141071093 1132002142 /nfs/dbraw/zinc/00/21/42/1132002142.db2.gz AWGWOONJXZIMSJ-AWEZNQCLSA-N 1 2 283.419 3.699 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccns1 ZINC000716013783 1132008318 /nfs/dbraw/zinc/00/83/18/1132008318.db2.gz HTCVJDVXTNMOGT-BDAKNGLRSA-N 1 2 268.332 3.833 20 0 CHADLO CSc1cc(Nc2ccc(-c3nnc(C)o3)cc2)cc[nH+]1 ZINC001203093272 1132012448 /nfs/dbraw/zinc/01/24/48/1132012448.db2.gz RXVGLGNXJXATBB-UHFFFAOYSA-N 1 2 298.371 3.906 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCc3ccccc3C2)cc1 ZINC000037270243 1132026675 /nfs/dbraw/zinc/02/66/75/1132026675.db2.gz UHDQCADXOHAWMA-QGZVFWFLSA-N 1 2 266.388 3.722 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCc3ccccc3C2)cc1 ZINC000037270243 1132026682 /nfs/dbraw/zinc/02/66/82/1132026682.db2.gz UHDQCADXOHAWMA-QGZVFWFLSA-N 1 2 266.388 3.722 20 0 CHADLO Cc1cccc2c1CC[C@H]([N@@H+]1CC[C@@H](CF)C(F)(F)C1)C2 ZINC001171564819 1132057292 /nfs/dbraw/zinc/05/72/92/1132057292.db2.gz GSFJEJJJHBMPID-GJZGRUSLSA-N 1 2 297.364 3.779 20 0 CHADLO Cc1cccc2c1CC[C@H]([N@H+]1CC[C@@H](CF)C(F)(F)C1)C2 ZINC001171564819 1132057297 /nfs/dbraw/zinc/05/72/97/1132057297.db2.gz GSFJEJJJHBMPID-GJZGRUSLSA-N 1 2 297.364 3.779 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(F)ccc2F)CC2(CCCCC2)O1 ZINC001171635674 1132079075 /nfs/dbraw/zinc/07/90/75/1132079075.db2.gz FWILJLQAPZOHCW-ZDUSSCGKSA-N 1 2 295.373 3.888 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(F)ccc2F)CC2(CCCCC2)O1 ZINC001171635674 1132079079 /nfs/dbraw/zinc/07/90/79/1132079079.db2.gz FWILJLQAPZOHCW-ZDUSSCGKSA-N 1 2 295.373 3.888 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)ccc2F)CC2(CCCCC2)O1 ZINC001171635673 1132079853 /nfs/dbraw/zinc/07/98/53/1132079853.db2.gz FWILJLQAPZOHCW-CYBMUJFWSA-N 1 2 295.373 3.888 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(F)ccc2F)CC2(CCCCC2)O1 ZINC001171635673 1132079861 /nfs/dbraw/zinc/07/98/61/1132079861.db2.gz FWILJLQAPZOHCW-CYBMUJFWSA-N 1 2 295.373 3.888 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@@H+]1Cc1ccc(F)c(Cl)c1 ZINC001171777568 1132096521 /nfs/dbraw/zinc/09/65/21/1132096521.db2.gz BZCWGWUAHXUAFG-GFCCVEGCSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@H+]1Cc1ccc(F)c(Cl)c1 ZINC001171777568 1132096528 /nfs/dbraw/zinc/09/65/28/1132096528.db2.gz BZCWGWUAHXUAFG-GFCCVEGCSA-N 1 2 285.790 3.869 20 0 CHADLO c1cn(-c2ccc(O[C@H]3CCOc4ccccc43)cc2)c[nH+]1 ZINC001229954643 1132137486 /nfs/dbraw/zinc/13/74/86/1132137486.db2.gz SJFGFUFSIQPKDL-SFHVURJKSA-N 1 2 292.338 3.775 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1ccc(Br)c(F)c1 ZINC000429283406 1132149639 /nfs/dbraw/zinc/14/96/39/1132149639.db2.gz JEVDCNRKYBFMIP-SNVBAGLBSA-N 1 2 272.161 3.573 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1ccc(Br)c(F)c1 ZINC000429283406 1132149644 /nfs/dbraw/zinc/14/96/44/1132149644.db2.gz JEVDCNRKYBFMIP-SNVBAGLBSA-N 1 2 272.161 3.573 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc2c(n1)CCC2 ZINC000838862328 1132152476 /nfs/dbraw/zinc/15/24/76/1132152476.db2.gz AZDVPGZDQXHFOZ-UHFFFAOYSA-N 1 2 281.403 3.557 20 0 CHADLO CC[N@@H+]1CC[C@H]1C(=O)Nc1ccc(-c2ccccc2)cc1C ZINC001141549331 1132162684 /nfs/dbraw/zinc/16/26/84/1132162684.db2.gz FBZUHEMQYWFEDW-SFHVURJKSA-N 1 2 294.398 3.695 20 0 CHADLO CC[N@H+]1CC[C@H]1C(=O)Nc1ccc(-c2ccccc2)cc1C ZINC001141549331 1132162686 /nfs/dbraw/zinc/16/26/86/1132162686.db2.gz FBZUHEMQYWFEDW-SFHVURJKSA-N 1 2 294.398 3.695 20 0 CHADLO CC[N@@H+]1CC[C@@H]1C(=O)Nc1ccc(-c2ccccc2)cc1C ZINC001141549330 1132162711 /nfs/dbraw/zinc/16/27/11/1132162711.db2.gz FBZUHEMQYWFEDW-GOSISDBHSA-N 1 2 294.398 3.695 20 0 CHADLO CC[N@H+]1CC[C@@H]1C(=O)Nc1ccc(-c2ccccc2)cc1C ZINC001141549330 1132162715 /nfs/dbraw/zinc/16/27/15/1132162715.db2.gz FBZUHEMQYWFEDW-GOSISDBHSA-N 1 2 294.398 3.695 20 0 CHADLO CCOCC[C@@H](C)[N@@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001172431190 1132207154 /nfs/dbraw/zinc/20/71/54/1132207154.db2.gz MINSKTZDBRHKOQ-GDBMZVCRSA-N 1 2 297.389 3.926 20 0 CHADLO CCOCC[C@@H](C)[N@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001172431190 1132207159 /nfs/dbraw/zinc/20/71/59/1132207159.db2.gz MINSKTZDBRHKOQ-GDBMZVCRSA-N 1 2 297.389 3.926 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(Br)cc(F)c2F)C1 ZINC001141797348 1132249740 /nfs/dbraw/zinc/24/97/40/1132249740.db2.gz PAXVGRHNQDBFOO-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(Br)cc(F)c2F)C1 ZINC001141797348 1132249745 /nfs/dbraw/zinc/24/97/45/1132249745.db2.gz PAXVGRHNQDBFOO-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1ccncc1F ZINC001231083625 1132252887 /nfs/dbraw/zinc/25/28/87/1132252887.db2.gz MBMVPTUMKBZRED-GFCCVEGCSA-N 1 2 297.333 3.761 20 0 CHADLO CC[C@@H](C)[C@@H](C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231083051 1132252954 /nfs/dbraw/zinc/25/29/54/1132252954.db2.gz BIYCVWFSZNJBIC-CHWSQXEVSA-N 1 2 258.365 3.901 20 0 CHADLO c1c[nH+]c(-c2ccc(OC3Cc4ccccc4C3)cc2)[nH]1 ZINC001231083593 1132253327 /nfs/dbraw/zinc/25/33/27/1132253327.db2.gz LKDHKKAWILPQIN-UHFFFAOYSA-N 1 2 276.339 3.623 20 0 CHADLO CC(=O)[C@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccccc1 ZINC001231080898 1132253652 /nfs/dbraw/zinc/25/36/52/1132253652.db2.gz INKHDURAEGSBGR-KRWDZBQOSA-N 1 2 292.338 3.786 20 0 CHADLO F[C@H]1CCCC[C@H]1Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231081801 1132253819 /nfs/dbraw/zinc/25/38/19/1132253819.db2.gz NNAHZRNXEXCJIL-UONOGXRCSA-N 1 2 260.312 3.736 20 0 CHADLO COc1cc(Nc2ccc(OCC(F)F)cc2)cc(C)[nH+]1 ZINC001213302820 1132265164 /nfs/dbraw/zinc/26/51/64/1132265164.db2.gz GOVLCRUCYGKULP-UHFFFAOYSA-N 1 2 294.301 3.786 20 0 CHADLO C[C@H](CCc1c(F)cc(Cl)cc1F)[NH2+][C@H]1CC1(F)F ZINC001172576430 1132273959 /nfs/dbraw/zinc/27/39/59/1132273959.db2.gz NAOIMJFHKPOFFG-KRTXAFLBSA-N 1 2 295.707 3.937 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)[C@@H]1C[C@@H]1C ZINC000840742185 1132280591 /nfs/dbraw/zinc/28/05/91/1132280591.db2.gz ILFPDQXUEZPHMJ-JFTQMJAMSA-N 1 2 288.435 3.758 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@H]32)cc1Cl ZINC001231418634 1132291831 /nfs/dbraw/zinc/29/18/31/1132291831.db2.gz YILZQNHKLHWLDY-GOEBONIOSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@H]32)cc1Cl ZINC001231418634 1132291832 /nfs/dbraw/zinc/29/18/32/1132291832.db2.gz YILZQNHKLHWLDY-GOEBONIOSA-N 1 2 291.822 3.982 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3ncc(Cl)cc3C2)s1 ZINC001231503279 1132308907 /nfs/dbraw/zinc/30/89/07/1132308907.db2.gz VGUKVNZGOOZMJN-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3ncc(Cl)cc3C2)s1 ZINC001231503279 1132308910 /nfs/dbraw/zinc/30/89/10/1132308910.db2.gz VGUKVNZGOOZMJN-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO Cc1ccc2c(c1)C[C@@H]([N@@H+]1CC[C@H](CF)C(F)(F)C1)CC2 ZINC001172826709 1132323851 /nfs/dbraw/zinc/32/38/51/1132323851.db2.gz FJHAXMJONXBDSA-CVEARBPZSA-N 1 2 297.364 3.779 20 0 CHADLO Cc1ccc2c(c1)C[C@@H]([N@H+]1CC[C@H](CF)C(F)(F)C1)CC2 ZINC001172826709 1132323860 /nfs/dbraw/zinc/32/38/60/1132323860.db2.gz FJHAXMJONXBDSA-CVEARBPZSA-N 1 2 297.364 3.779 20 0 CHADLO COc1cc([NH2+]CCCCOc2ccccc2)ccc1N ZINC000841043198 1132324569 /nfs/dbraw/zinc/32/45/69/1132324569.db2.gz UFUNZAPDQSNRTR-UHFFFAOYSA-N 1 2 286.375 3.549 20 0 CHADLO CC[C@H]1COCC[N@@H+]1[C@H](C)Cc1c(F)cccc1Cl ZINC001172858104 1132343864 /nfs/dbraw/zinc/34/38/64/1132343864.db2.gz TZZXOGAYXVPGLY-NEPJUHHUSA-N 1 2 285.790 3.521 20 0 CHADLO CC[C@H]1COCC[N@H+]1[C@H](C)Cc1c(F)cccc1Cl ZINC001172858104 1132343869 /nfs/dbraw/zinc/34/38/69/1132343869.db2.gz TZZXOGAYXVPGLY-NEPJUHHUSA-N 1 2 285.790 3.521 20 0 CHADLO Oc1cc(C[NH+]2CCC(C(F)(F)F)CC2)ccc1Cl ZINC001231732751 1132346115 /nfs/dbraw/zinc/34/61/15/1132346115.db2.gz FDBJFDITACXIBL-UHFFFAOYSA-N 1 2 293.716 3.820 20 0 CHADLO C[C@H](Cc1ccc(F)cc1Cl)[N@@H+]1Cc2cccnc2C1 ZINC001172864152 1132348039 /nfs/dbraw/zinc/34/80/39/1132348039.db2.gz ORDANNFZXTYKRZ-LLVKDONJSA-N 1 2 290.769 3.821 20 0 CHADLO C[C@H](Cc1ccc(F)cc1Cl)[N@H+]1Cc2cccnc2C1 ZINC001172864152 1132348047 /nfs/dbraw/zinc/34/80/47/1132348047.db2.gz ORDANNFZXTYKRZ-LLVKDONJSA-N 1 2 290.769 3.821 20 0 CHADLO CC(C)Oc1ncccc1C[N@H+](Cc1ccco1)C1CC1 ZINC001231762443 1132354623 /nfs/dbraw/zinc/35/46/23/1132354623.db2.gz OFVLPGSFDLCABC-UHFFFAOYSA-N 1 2 286.375 3.626 20 0 CHADLO CC(C)Oc1ncccc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001231762443 1132354632 /nfs/dbraw/zinc/35/46/32/1132354632.db2.gz OFVLPGSFDLCABC-UHFFFAOYSA-N 1 2 286.375 3.626 20 0 CHADLO CSc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)ccn1 ZINC001212695861 1132376028 /nfs/dbraw/zinc/37/60/28/1132376028.db2.gz WPJANASKIJPXML-UHFFFAOYSA-N 1 2 296.399 3.792 20 0 CHADLO Cc1csc2sc(C[N@@H+]3CCO[C@H]4C[C@H]43)c(C)c12 ZINC001231833404 1132376364 /nfs/dbraw/zinc/37/63/64/1132376364.db2.gz XLSMPEXGVSYMLU-MNOVXSKESA-N 1 2 279.430 3.553 20 0 CHADLO Cc1csc2sc(C[N@H+]3CCO[C@H]4C[C@H]43)c(C)c12 ZINC001231833404 1132376372 /nfs/dbraw/zinc/37/63/72/1132376372.db2.gz XLSMPEXGVSYMLU-MNOVXSKESA-N 1 2 279.430 3.553 20 0 CHADLO COC(=O)[C@H](C)[N@H+](C)Cc1sc2scc(C)c2c1C ZINC001231832724 1132376541 /nfs/dbraw/zinc/37/65/41/1132376541.db2.gz RKJGBBNIYASGRM-JTQLQIEISA-N 1 2 297.445 3.573 20 0 CHADLO COC(=O)[C@H](C)[N@@H+](C)Cc1sc2scc(C)c2c1C ZINC001231832724 1132376549 /nfs/dbraw/zinc/37/65/49/1132376549.db2.gz RKJGBBNIYASGRM-JTQLQIEISA-N 1 2 297.445 3.573 20 0 CHADLO Cc1csc2sc(C[N@@H+]3CCO[C@@H]4C[C@@H]43)c(C)c12 ZINC001231833407 1132377178 /nfs/dbraw/zinc/37/71/78/1132377178.db2.gz XLSMPEXGVSYMLU-WDEREUQCSA-N 1 2 279.430 3.553 20 0 CHADLO Cc1csc2sc(C[N@H+]3CCO[C@@H]4C[C@@H]43)c(C)c12 ZINC001231833407 1132377183 /nfs/dbraw/zinc/37/71/83/1132377183.db2.gz XLSMPEXGVSYMLU-WDEREUQCSA-N 1 2 279.430 3.553 20 0 CHADLO Cc1cc(F)cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1O ZINC001212697870 1132378069 /nfs/dbraw/zinc/37/80/69/1132378069.db2.gz YEEGHLZZNZMGTO-UHFFFAOYSA-N 1 2 297.333 3.828 20 0 CHADLO Cc1cc(O)cc(C)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212698340 1132378322 /nfs/dbraw/zinc/37/83/22/1132378322.db2.gz NUUSXAYGTHHATI-UHFFFAOYSA-N 1 2 293.370 3.997 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Cl)cnc2F)CCC1(F)F ZINC001231991522 1132407675 /nfs/dbraw/zinc/40/76/75/1132407675.db2.gz DOIDPGUMURRLDQ-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Cl)cnc2F)CCC1(F)F ZINC001231991522 1132407680 /nfs/dbraw/zinc/40/76/80/1132407680.db2.gz DOIDPGUMURRLDQ-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO FC(F)(F)c1cnc(Cl)c(C[N@@H+]2C[C@H]3CC[C@@H]2C3)c1 ZINC001232009749 1132410434 /nfs/dbraw/zinc/41/04/34/1132410434.db2.gz OMIUOQVUCSZXFW-GZMMTYOYSA-N 1 2 290.716 3.738 20 0 CHADLO FC(F)(F)c1cnc(Cl)c(C[N@H+]2C[C@H]3CC[C@@H]2C3)c1 ZINC001232009749 1132410438 /nfs/dbraw/zinc/41/04/38/1132410438.db2.gz OMIUOQVUCSZXFW-GZMMTYOYSA-N 1 2 290.716 3.738 20 0 CHADLO Oc1cncc(C[N@@H+]2CCCC[C@@H]2c2ccccc2F)c1 ZINC001232041615 1132414966 /nfs/dbraw/zinc/41/49/66/1132414966.db2.gz GDSIETWFAYNOAB-QGZVFWFLSA-N 1 2 286.350 3.654 20 0 CHADLO Oc1cncc(C[N@H+]2CCCC[C@@H]2c2ccccc2F)c1 ZINC001232041615 1132414970 /nfs/dbraw/zinc/41/49/70/1132414970.db2.gz GDSIETWFAYNOAB-QGZVFWFLSA-N 1 2 286.350 3.654 20 0 CHADLO FC(F)(F)c1cccc(C[NH+]2CC3(C2)CCCCC3)n1 ZINC001232073199 1132418627 /nfs/dbraw/zinc/41/86/27/1132418627.db2.gz DEVPFXAKUGUTHF-UHFFFAOYSA-N 1 2 284.325 3.867 20 0 CHADLO CCc1ccc(C[C@H](C)[NH+]2CC(F)(C3CC3)C2)cc1 ZINC001173252677 1132422430 /nfs/dbraw/zinc/42/24/30/1132422430.db2.gz NNERRFSSCQOQLY-ZDUSSCGKSA-N 1 2 261.384 3.614 20 0 CHADLO CC[N@H+](Cc1ncsc1C)Cc1ccc(F)cc1 ZINC001232206833 1132435648 /nfs/dbraw/zinc/43/56/48/1132435648.db2.gz PIGHWUJOADCMNN-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1ncsc1C)Cc1ccc(F)cc1 ZINC001232206833 1132435650 /nfs/dbraw/zinc/43/56/50/1132435650.db2.gz PIGHWUJOADCMNN-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO Cc1nocc1Nc1cc[nH+]c2cc(Cl)ccc12 ZINC001212719274 1132442609 /nfs/dbraw/zinc/44/26/09/1132442609.db2.gz DSTPQSNEYJAKAR-UHFFFAOYSA-N 1 2 259.696 3.928 20 0 CHADLO CC1(C)C[NH+](Cc2csc(Cl)c2)CC(C)(C)O1 ZINC001142775538 1132446082 /nfs/dbraw/zinc/44/60/82/1132446082.db2.gz GKSNMZXOGOFAOT-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO CSc1cc(C)c(C[N@H+](C)Cc2ccccc2F)cn1 ZINC001232345584 1132458059 /nfs/dbraw/zinc/45/80/59/1132458059.db2.gz FCVBWKKNFWVYSV-UHFFFAOYSA-N 1 2 290.407 3.883 20 0 CHADLO CSc1cc(C)c(C[N@@H+](C)Cc2ccccc2F)cn1 ZINC001232345584 1132458064 /nfs/dbraw/zinc/45/80/64/1132458064.db2.gz FCVBWKKNFWVYSV-UHFFFAOYSA-N 1 2 290.407 3.883 20 0 CHADLO CC(=O)C[N@@H+](C)Cc1cccc(C)c1OCc1ccccc1 ZINC001232397978 1132465463 /nfs/dbraw/zinc/46/54/63/1132465463.db2.gz PNNNQIFVGBQLQV-UHFFFAOYSA-N 1 2 297.398 3.595 20 0 CHADLO CC(=O)C[N@H+](C)Cc1cccc(C)c1OCc1ccccc1 ZINC001232397978 1132465465 /nfs/dbraw/zinc/46/54/65/1132465465.db2.gz PNNNQIFVGBQLQV-UHFFFAOYSA-N 1 2 297.398 3.595 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCOC3(CCCCC3)C2)cc1F ZINC001232425011 1132469191 /nfs/dbraw/zinc/46/91/91/1132469191.db2.gz WEHJOOLOMAQHMY-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCOC3(CCCCC3)C2)cc1F ZINC001232425011 1132469195 /nfs/dbraw/zinc/46/91/95/1132469195.db2.gz WEHJOOLOMAQHMY-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)c1cc2n(n1)CCCC2 ZINC000842856326 1132470576 /nfs/dbraw/zinc/47/05/76/1132470576.db2.gz UAPINNKNRRSMCN-AWEZNQCLSA-N 1 2 298.434 3.767 20 0 CHADLO CCc1csc(C[N@H+](C)Cc2cccc(Cl)c2)n1 ZINC001232472427 1132476015 /nfs/dbraw/zinc/47/60/15/1132476015.db2.gz FFICDVNOVZKGFA-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO CCc1csc(C[N@@H+](C)Cc2cccc(Cl)c2)n1 ZINC001232472427 1132476020 /nfs/dbraw/zinc/47/60/20/1132476020.db2.gz FFICDVNOVZKGFA-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO COc1ccccc1-c1cc(C[NH2+]Cc2occc2C)on1 ZINC001203254910 1132481510 /nfs/dbraw/zinc/48/15/10/1132481510.db2.gz PGEXAZQTWHCMED-UHFFFAOYSA-N 1 2 298.342 3.541 20 0 CHADLO Clc1cnc(C[N@@H+]2CCCC23CC3)c(Cl)c1 ZINC001232571390 1132488096 /nfs/dbraw/zinc/48/80/96/1132488096.db2.gz RRYLWALNYBSXJH-UHFFFAOYSA-N 1 2 257.164 3.517 20 0 CHADLO Clc1cnc(C[N@H+]2CCCC23CC3)c(Cl)c1 ZINC001232571390 1132488099 /nfs/dbraw/zinc/48/80/99/1132488099.db2.gz RRYLWALNYBSXJH-UHFFFAOYSA-N 1 2 257.164 3.517 20 0 CHADLO CC[C@@H](C)[N@H+](C)Cc1cc(F)c(O)c(Br)c1 ZINC001232631039 1132498197 /nfs/dbraw/zinc/49/81/97/1132498197.db2.gz FETAJGKOVDTIAA-MRVPVSSYSA-N 1 2 290.176 3.524 20 0 CHADLO CC[C@@H](C)[N@@H+](C)Cc1cc(F)c(O)c(Br)c1 ZINC001232631039 1132498200 /nfs/dbraw/zinc/49/82/00/1132498200.db2.gz FETAJGKOVDTIAA-MRVPVSSYSA-N 1 2 290.176 3.524 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)c(Br)c1)C(C)(C)C ZINC001232639335 1132500651 /nfs/dbraw/zinc/50/06/51/1132500651.db2.gz IXMVPPUBFHYPHO-UHFFFAOYSA-N 1 2 290.176 3.524 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)c(Br)c1)C(C)(C)C ZINC001232639335 1132500655 /nfs/dbraw/zinc/50/06/55/1132500655.db2.gz IXMVPPUBFHYPHO-UHFFFAOYSA-N 1 2 290.176 3.524 20 0 CHADLO COc1cc(C)cc(C[N@@H+]2Cc3ccc(F)cc3C2)c1 ZINC001143156145 1132507967 /nfs/dbraw/zinc/50/79/67/1132507967.db2.gz FWRAUTOQGZUDFJ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cc(C)cc(C[N@H+]2Cc3ccc(F)cc3C2)c1 ZINC001143156145 1132507973 /nfs/dbraw/zinc/50/79/73/1132507973.db2.gz FWRAUTOQGZUDFJ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1cc(CO)cc(Nc2cccc(C(C)C)[nH+]2)c1 ZINC001212752558 1132511103 /nfs/dbraw/zinc/51/11/03/1132511103.db2.gz UCEIWJJGDDZPES-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO Cc1ccccc1Nc1ccccc1C[NH+]1CCOCC1 ZINC001173815302 1132520067 /nfs/dbraw/zinc/52/00/67/1132520067.db2.gz ICWFIEOEKBGEPI-UHFFFAOYSA-N 1 2 282.387 3.571 20 0 CHADLO Cc1ccccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001173815921 1132520421 /nfs/dbraw/zinc/52/04/21/1132520421.db2.gz PCIOYKANJOHMPA-UHFFFAOYSA-N 1 2 263.344 3.983 20 0 CHADLO c1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1 ZINC001173792967 1132528793 /nfs/dbraw/zinc/52/87/93/1132528793.db2.gz VUBCAMYJFBNBCS-UHFFFAOYSA-N 1 2 253.349 3.816 20 0 CHADLO Fc1cc(C[NH2+]C2(c3ccccc3Cl)CC2)c(F)cn1 ZINC000843419128 1132530446 /nfs/dbraw/zinc/53/04/46/1132530446.db2.gz QYLVTDHHCLBLHJ-UHFFFAOYSA-N 1 2 294.732 3.792 20 0 CHADLO Fc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001173799904 1132533829 /nfs/dbraw/zinc/53/38/29/1132533829.db2.gz ZNLRKUMVEFEMQT-UHFFFAOYSA-N 1 2 253.280 3.755 20 0 CHADLO COc1c(F)ccc(C[N@@H+]2CCCC[C@](C)(F)C2)c1F ZINC001143320174 1132536424 /nfs/dbraw/zinc/53/64/24/1132536424.db2.gz FCNDXBXPEWEENU-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO COc1c(F)ccc(C[N@H+]2CCCC[C@](C)(F)C2)c1F ZINC001143320174 1132536430 /nfs/dbraw/zinc/53/64/30/1132536430.db2.gz FCNDXBXPEWEENU-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO COc1c(F)ccc(C[N@H+](C)Cc2cccc(F)c2)c1F ZINC001143320882 1132536742 /nfs/dbraw/zinc/53/67/42/1132536742.db2.gz OAFCEFUANFZOQD-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1c(F)ccc(C[N@@H+](C)Cc2cccc(F)c2)c1F ZINC001143320882 1132536746 /nfs/dbraw/zinc/53/67/46/1132536746.db2.gz OAFCEFUANFZOQD-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(OC)cc2)cc1 ZINC001173807890 1132541441 /nfs/dbraw/zinc/54/14/41/1132541441.db2.gz RETSRFCMXDHTHG-UHFFFAOYSA-N 1 2 256.349 3.895 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(OC)cc2)cc1 ZINC001173807890 1132541447 /nfs/dbraw/zinc/54/14/47/1132541447.db2.gz RETSRFCMXDHTHG-UHFFFAOYSA-N 1 2 256.349 3.895 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCc3cc(F)c(F)cc3C2)cn1 ZINC001233009103 1132562255 /nfs/dbraw/zinc/56/22/55/1132562255.db2.gz KBTAUFXJLRIABY-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCc3cc(F)c(F)cc3C2)cn1 ZINC001233009103 1132562257 /nfs/dbraw/zinc/56/22/57/1132562257.db2.gz KBTAUFXJLRIABY-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Oc1cnc(Cl)cc1C[NH+]1CCC2(CCCC2)CC1 ZINC001233032616 1132564184 /nfs/dbraw/zinc/56/41/84/1132564184.db2.gz MVRMPRUTHVESHV-UHFFFAOYSA-N 1 2 280.799 3.597 20 0 CHADLO CC[N@H+](Cc1cc(Cl)ncc1O)C1CCCCC1 ZINC001233032533 1132565046 /nfs/dbraw/zinc/56/50/46/1132565046.db2.gz IAJKDMMKRLVPNW-UHFFFAOYSA-N 1 2 268.788 3.595 20 0 CHADLO CC[N@@H+](Cc1cc(Cl)ncc1O)C1CCCCC1 ZINC001233032533 1132565049 /nfs/dbraw/zinc/56/50/49/1132565049.db2.gz IAJKDMMKRLVPNW-UHFFFAOYSA-N 1 2 268.788 3.595 20 0 CHADLO CCOC(=O)c1ccsc1C[N@H+](C)Cc1cccs1 ZINC001233021015 1132565504 /nfs/dbraw/zinc/56/55/04/1132565504.db2.gz YHYMLDWZCAGQSB-UHFFFAOYSA-N 1 2 295.429 3.618 20 0 CHADLO CCOC(=O)c1ccsc1C[N@@H+](C)Cc1cccs1 ZINC001233021015 1132565507 /nfs/dbraw/zinc/56/55/07/1132565507.db2.gz YHYMLDWZCAGQSB-UHFFFAOYSA-N 1 2 295.429 3.618 20 0 CHADLO COc1ccccc1[C@H](C)[N@H+](C)Cc1cccc(O)c1F ZINC001233056626 1132568031 /nfs/dbraw/zinc/56/80/31/1132568031.db2.gz GVYHFLPGGBSWTQ-LBPRGKRZSA-N 1 2 289.350 3.733 20 0 CHADLO COc1ccccc1[C@H](C)[N@@H+](C)Cc1cccc(O)c1F ZINC001233056626 1132568037 /nfs/dbraw/zinc/56/80/37/1132568037.db2.gz GVYHFLPGGBSWTQ-LBPRGKRZSA-N 1 2 289.350 3.733 20 0 CHADLO Fc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC001173866459 1132568659 /nfs/dbraw/zinc/56/86/59/1132568659.db2.gz JDFYZAXWSHZKSD-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO CCc1ccc(Nc2ccccc2C[NH+]2CCOCC2)cc1 ZINC001173868408 1132570781 /nfs/dbraw/zinc/57/07/81/1132570781.db2.gz IGLUPJQTHASIPR-UHFFFAOYSA-N 1 2 296.414 3.825 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2n[nH]c3cc(F)ccc32)C[C@H]1C ZINC001233119837 1132571742 /nfs/dbraw/zinc/57/17/42/1132571742.db2.gz PTXVMNIYBYBHTN-VXGBXAGGSA-N 1 2 275.371 3.570 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2n[nH]c3cc(F)ccc32)C[C@H]1C ZINC001233119837 1132571745 /nfs/dbraw/zinc/57/17/45/1132571745.db2.gz PTXVMNIYBYBHTN-VXGBXAGGSA-N 1 2 275.371 3.570 20 0 CHADLO CC(C)(C)C1CC[NH+](Cc2n[nH]c3cc(F)ccc32)CC1 ZINC001233120004 1132572180 /nfs/dbraw/zinc/57/21/80/1132572180.db2.gz SABQEKJUIHBMDU-UHFFFAOYSA-N 1 2 289.398 3.960 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(Cl)ccc3C2)cnc1C ZINC001233161815 1132574494 /nfs/dbraw/zinc/57/44/94/1132574494.db2.gz ITUXOFNZHJYPCE-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(Cl)ccc3C2)cnc1C ZINC001233161815 1132574497 /nfs/dbraw/zinc/57/44/97/1132574497.db2.gz ITUXOFNZHJYPCE-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO CC(=O)C1CC[NH+](Cc2csc(C(F)(F)F)c2)CC1 ZINC001233178445 1132578823 /nfs/dbraw/zinc/57/88/23/1132578823.db2.gz NHSZRFRGMNDZGI-UHFFFAOYSA-N 1 2 291.338 3.568 20 0 CHADLO COC(=O)CCCc1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001203375801 1132581175 /nfs/dbraw/zinc/58/11/75/1132581175.db2.gz BYJOOZFXCLKYRM-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO FCC1CC[NH+](Cc2ccc(Cl)c(F)c2F)CC1 ZINC001233211356 1132581206 /nfs/dbraw/zinc/58/12/06/1132581206.db2.gz SXWJKBOFNZWDBV-UHFFFAOYSA-N 1 2 277.717 3.800 20 0 CHADLO Cc1ccc(Cl)cc1C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C ZINC001233250281 1132589149 /nfs/dbraw/zinc/58/91/49/1132589149.db2.gz DIIHVDVSJLSVHX-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO Cc1ccc(Cl)cc1C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C ZINC001233250281 1132589156 /nfs/dbraw/zinc/58/91/56/1132589156.db2.gz DIIHVDVSJLSVHX-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO COc1ccccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001173841315 1132594532 /nfs/dbraw/zinc/59/45/32/1132594532.db2.gz UQHXVMCCPOOGOP-UHFFFAOYSA-N 1 2 279.343 3.684 20 0 CHADLO CC(=O)c1ccc(Nc2cccc3[nH+]c(C)cn32)cc1 ZINC001173894123 1132598432 /nfs/dbraw/zinc/59/84/32/1132598432.db2.gz IGUIKQKBEZIZEA-UHFFFAOYSA-N 1 2 265.316 3.589 20 0 CHADLO CC[N@H+](Cc1sncc1C)Cc1ccccc1F ZINC001233321132 1132601444 /nfs/dbraw/zinc/60/14/44/1132601444.db2.gz BKPKMDXCCAYMDR-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1sncc1C)Cc1ccccc1F ZINC001233321132 1132601448 /nfs/dbraw/zinc/60/14/48/1132601448.db2.gz BKPKMDXCCAYMDR-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(F)cc1F ZINC001173927149 1132606947 /nfs/dbraw/zinc/60/69/47/1132606947.db2.gz WNIRPJDTLBNUMK-UHFFFAOYSA-N 1 2 250.248 3.502 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCC[C@H]1C(C)=O ZINC001233353715 1132609238 /nfs/dbraw/zinc/60/92/38/1132609238.db2.gz GTHCEBQNZBYLFG-ZDUSSCGKSA-N 1 2 283.824 3.615 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCC[C@H]1C(C)=O ZINC001233353715 1132609242 /nfs/dbraw/zinc/60/92/42/1132609242.db2.gz GTHCEBQNZBYLFG-ZDUSSCGKSA-N 1 2 283.824 3.615 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)cc(F)c2)c[nH+]1 ZINC001173914568 1132610057 /nfs/dbraw/zinc/61/00/57/1132610057.db2.gz RHJDUNHGWAACLT-UHFFFAOYSA-N 1 2 263.291 3.560 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cccc3nccn31)CC2 ZINC001233363698 1132611959 /nfs/dbraw/zinc/61/19/59/1132611959.db2.gz VSDHJUQRYOLDCN-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cccc3nccn31)CC2 ZINC001233363698 1132611962 /nfs/dbraw/zinc/61/19/62/1132611962.db2.gz VSDHJUQRYOLDCN-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(N)cc2Cl)no1 ZINC001233373515 1132612533 /nfs/dbraw/zinc/61/25/33/1132612533.db2.gz LWICNWLMZAPDSD-OAHLLOKOSA-N 1 2 291.782 3.556 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(N)cc2Cl)no1 ZINC001233373515 1132612536 /nfs/dbraw/zinc/61/25/36/1132612536.db2.gz LWICNWLMZAPDSD-OAHLLOKOSA-N 1 2 291.782 3.556 20 0 CHADLO COc1cccc(OC)c1Nc1ccccc1-n1cc[nH+]c1 ZINC001173921869 1132615855 /nfs/dbraw/zinc/61/58/55/1132615855.db2.gz MGMVUBLOULGYTF-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO Cc1ccc(CC[N@@H+](C)Cc2c(F)ccc(O)c2F)cc1 ZINC001233396025 1132616064 /nfs/dbraw/zinc/61/60/64/1132616064.db2.gz FOPVXKLNGIPABH-UHFFFAOYSA-N 1 2 291.341 3.653 20 0 CHADLO Cc1ccc(CC[N@H+](C)Cc2c(F)ccc(O)c2F)cc1 ZINC001233396025 1132616067 /nfs/dbraw/zinc/61/60/67/1132616067.db2.gz FOPVXKLNGIPABH-UHFFFAOYSA-N 1 2 291.341 3.653 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cccs2)c(Cl)c1O ZINC001233399936 1132616332 /nfs/dbraw/zinc/61/63/32/1132616332.db2.gz OYGUAWZJPKVMSS-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cccs2)c(Cl)c1O ZINC001233399936 1132616336 /nfs/dbraw/zinc/61/63/36/1132616336.db2.gz OYGUAWZJPKVMSS-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO O=C(Nc1ccccc1C(F)F)c1cccc2[nH+]ccn21 ZINC001154522533 1132616440 /nfs/dbraw/zinc/61/64/40/1132616440.db2.gz XWUJIAPBSJANBL-UHFFFAOYSA-N 1 2 287.269 3.524 20 0 CHADLO Clc1ncnc(Cl)c1C[NH+]1CCCCCCC1 ZINC001233410164 1132618486 /nfs/dbraw/zinc/61/84/86/1132618486.db2.gz BKSTTWZETQOZCB-UHFFFAOYSA-N 1 2 274.195 3.550 20 0 CHADLO Cc1cc(NCc2nc3ccccc3o2)nc(C(C)C)[nH+]1 ZINC001154654117 1132620662 /nfs/dbraw/zinc/62/06/62/1132620662.db2.gz ASJDSPZUXSJXBV-UHFFFAOYSA-N 1 2 282.347 3.662 20 0 CHADLO CCc1coc(CNc2cc(-c3ccccc3)cc[nH+]2)n1 ZINC001154772628 1132623916 /nfs/dbraw/zinc/62/39/16/1132623916.db2.gz CGTXCLGSDYXSMB-UHFFFAOYSA-N 1 2 279.343 3.911 20 0 CHADLO Cc1ncc(CNc2cc3ccccc3c[nH+]2)s1 ZINC001154792213 1132624459 /nfs/dbraw/zinc/62/44/59/1132624459.db2.gz CPDGXILHEGDNGS-UHFFFAOYSA-N 1 2 255.346 3.612 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc2nc(Cl)ccc2c1 ZINC001233444908 1132625519 /nfs/dbraw/zinc/62/55/19/1132625519.db2.gz IZESKNJGLXSXEK-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc2nc(Cl)ccc2c1 ZINC001233444908 1132625524 /nfs/dbraw/zinc/62/55/24/1132625524.db2.gz IZESKNJGLXSXEK-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO FC1CC(Nc2[nH+]cccc2OCc2ccccc2)C1 ZINC001154842853 1132626979 /nfs/dbraw/zinc/62/69/79/1132626979.db2.gz DUNSFIPTIHICLE-UHFFFAOYSA-N 1 2 272.323 3.573 20 0 CHADLO Fc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(F)c1 ZINC001173926748 1132627013 /nfs/dbraw/zinc/62/70/13/1132627013.db2.gz SNWHFWJWPKHAAP-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO C[C@@]1(O)CC[C@@H](Nc2[nH+]ccc3cc(Cl)ccc32)CC1 ZINC001154951624 1132632537 /nfs/dbraw/zinc/63/25/37/1132632537.db2.gz NIVYWVUEXFFPMZ-SAZUREKKSA-N 1 2 290.794 3.994 20 0 CHADLO CCc1ccccc1Nc1cccn2cc(C)[nH+]c12 ZINC001173967745 1132637394 /nfs/dbraw/zinc/63/73/94/1132637394.db2.gz HVLXXJSHUKTRQA-UHFFFAOYSA-N 1 2 251.333 3.949 20 0 CHADLO CCc1ccccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001173969694 1132639649 /nfs/dbraw/zinc/63/96/49/1132639649.db2.gz OCPXGZVBUIWNLI-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1NC[C@@H]1CCCC[C@@H]1C ZINC001155075865 1132640961 /nfs/dbraw/zinc/64/09/61/1132640961.db2.gz AOAWITIWOCQEOY-KBPBESRZSA-N 1 2 292.423 3.920 20 0 CHADLO Cc1cc(C)c(CNc2[nH+]c(C)cc(Cl)c2C)c(=O)[nH]1 ZINC001155130956 1132642097 /nfs/dbraw/zinc/64/20/97/1132642097.db2.gz PLKCGGSOIUNYRS-UHFFFAOYSA-N 1 2 291.782 3.681 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1ccc(C(C)C)c[nH+]1)C(=O)N2 ZINC001155110135 1132642148 /nfs/dbraw/zinc/64/21/48/1132642148.db2.gz QVHIAJKTXAJEAN-INIZCTEOSA-N 1 2 281.359 3.619 20 0 CHADLO Cc1cccc(-c2ccc(NC(=[NH2+])C(C)(C)C)nn2)c1 ZINC001155179678 1132645971 /nfs/dbraw/zinc/64/59/71/1132645971.db2.gz BMVWBWHJQFGRDL-UHFFFAOYSA-N 1 2 268.364 3.887 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3[nH]ccc3c2)c[nH+]1 ZINC001173938214 1132649920 /nfs/dbraw/zinc/64/99/20/1132649920.db2.gz JPGKGNFRXDGXTF-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO CC1(C)CN(c2ccc(Cl)c(N)[nH+]2)Cc2ccccc21 ZINC001155489389 1132659746 /nfs/dbraw/zinc/65/97/46/1132659746.db2.gz NWOLLTLAFSAGTM-UHFFFAOYSA-N 1 2 287.794 3.615 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(C)c1C ZINC001173953057 1132659841 /nfs/dbraw/zinc/65/98/41/1132659841.db2.gz RLCDTJRJQHANTP-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3nc(Cl)ccc3C2)sc1C ZINC001233684314 1132663058 /nfs/dbraw/zinc/66/30/58/1132663058.db2.gz HBLHLTLAONNKOS-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(C[N@H+]2CCc3nc(Cl)ccc3C2)sc1C ZINC001233684314 1132663063 /nfs/dbraw/zinc/66/30/63/1132663063.db2.gz HBLHLTLAONNKOS-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO CSCCCNc1cc(-c2ccnc(Cl)c2)cc[nH+]1 ZINC001155975900 1132674993 /nfs/dbraw/zinc/67/49/93/1132674993.db2.gz BBUIYZCYQBMEFD-UHFFFAOYSA-N 1 2 293.823 3.962 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@@H]2c2ccccn2)c1 ZINC001156033498 1132677901 /nfs/dbraw/zinc/67/79/01/1132677901.db2.gz RKMBKIAHDLYWCG-OAHLLOKOSA-N 1 2 285.416 3.930 20 0 CHADLO c1ccc([C@H]2CCCN2c2cc3ccccc3c[nH+]2)nc1 ZINC001156026908 1132677954 /nfs/dbraw/zinc/67/79/54/1132677954.db2.gz JIIFBZXFKHHNOC-QGZVFWFLSA-N 1 2 275.355 3.971 20 0 CHADLO Cc1ccccc1OCCCNc1ccc(Cl)c(N)[nH+]1 ZINC001156038311 1132679277 /nfs/dbraw/zinc/67/92/77/1132679277.db2.gz LEWOWJXTDXODDU-UHFFFAOYSA-N 1 2 291.782 3.507 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc(OC)c1OC ZINC001174014025 1132681186 /nfs/dbraw/zinc/68/11/86/1132681186.db2.gz UNSIZOHIKVWQIX-UHFFFAOYSA-N 1 2 272.348 3.713 20 0 CHADLO COc1ccc(CCCNc2cc(C)[nH+]c(C(C)C)n2)cc1 ZINC001156169223 1132686647 /nfs/dbraw/zinc/68/66/47/1132686647.db2.gz UYQPVUHDVWGATJ-UHFFFAOYSA-N 1 2 299.418 3.962 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)[C@H](O)CCC3)c(C)[nH+]1 ZINC001203376540 1132689414 /nfs/dbraw/zinc/68/94/14/1132689414.db2.gz NXOICDZVXPJVDW-QGZVFWFLSA-N 1 2 268.360 3.812 20 0 CHADLO COC(=O)c1c(F)cc(F)cc1Nc1ccc(C)[nH+]c1C ZINC001203376944 1132690596 /nfs/dbraw/zinc/69/05/96/1132690596.db2.gz XGYGITNHIGNRPS-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1ccc(Nc2cc(N)ccc2OC(F)(F)F)c(C)[nH+]1 ZINC001203376735 1132690797 /nfs/dbraw/zinc/69/07/97/1132690797.db2.gz QPWXEOPGGIAWOC-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO Cc1ccc(Nc2ccc3c(=O)cc(C)oc3c2)c(C)[nH+]1 ZINC001203376943 1132690883 /nfs/dbraw/zinc/69/08/83/1132690883.db2.gz XFEIADDADMCZFW-UHFFFAOYSA-N 1 2 280.327 3.857 20 0 CHADLO Clc1ccc2c(cc[nH+]c2NC[C@H]2CCCCO2)c1 ZINC001156371113 1132691317 /nfs/dbraw/zinc/69/13/17/1132691317.db2.gz FMKLCOGJPQEFFG-CYBMUJFWSA-N 1 2 276.767 3.869 20 0 CHADLO COc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1OC ZINC001174016391 1132691715 /nfs/dbraw/zinc/69/17/15/1132691715.db2.gz QKNMFCGRPOGOJS-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO CC(C)(C)c1ccc(N2CC(C)(C)OC(C)(C)C2)[nH+]c1 ZINC001156464112 1132698925 /nfs/dbraw/zinc/69/89/25/1132698925.db2.gz DHRJSFUMUBDOHA-UHFFFAOYSA-N 1 2 276.424 3.773 20 0 CHADLO Oc1cccc2sc(Nc3cc[nH+]c4ccccc34)nc21 ZINC001174037665 1132701738 /nfs/dbraw/zinc/70/17/38/1132701738.db2.gz YMZMFLLVIGGIRZ-UHFFFAOYSA-N 1 2 293.351 3.716 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2ccccc2C2CC2)[nH+]1 ZINC001156537507 1132703434 /nfs/dbraw/zinc/70/34/34/1132703434.db2.gz RYHIWCIQCOUXBX-UHFFFAOYSA-N 1 2 278.359 3.756 20 0 CHADLO COc1cccc(F)c1CNc1cc(CSC)cc[nH+]1 ZINC001156749929 1132708163 /nfs/dbraw/zinc/70/81/63/1132708163.db2.gz LAYYAQDLTMIJNT-UHFFFAOYSA-N 1 2 292.379 3.704 20 0 CHADLO c1nc2c(s1)CN(c1cc(-c3ccccc3)cc[nH+]1)C2 ZINC001156822606 1132710183 /nfs/dbraw/zinc/71/01/83/1132710183.db2.gz NTVZDAUJMSZRKZ-UHFFFAOYSA-N 1 2 279.368 3.725 20 0 CHADLO CC(C)CCCCCC(=O)NCc1[nH]c2c([nH+]1)CCCC2 ZINC001156891623 1132714206 /nfs/dbraw/zinc/71/42/06/1132714206.db2.gz MAQOXWJTDRGVJO-UHFFFAOYSA-N 1 2 291.439 3.511 20 0 CHADLO Cc1cn2c(cccc2Nc2cncc3ccccc32)[nH+]1 ZINC001174099449 1132716950 /nfs/dbraw/zinc/71/69/50/1132716950.db2.gz RPGQTOHBYJIQSR-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cncc4ccccc43)ccc21 ZINC001174101814 1132719016 /nfs/dbraw/zinc/71/90/16/1132719016.db2.gz YNSXQWHEOKWLEE-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(OC(C)C)c1 ZINC001174115010 1132729745 /nfs/dbraw/zinc/72/97/45/1132729745.db2.gz VYZRZNLFLKYITE-UHFFFAOYSA-N 1 2 281.359 3.703 20 0 CHADLO Cc1ccncc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001174115765 1132731197 /nfs/dbraw/zinc/73/11/97/1132731197.db2.gz NATMQRIQRGKCIH-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO CC(C)c1ccc(N2CCOC[C@H]2Cc2ccccc2)[nH+]c1 ZINC001157499129 1132732600 /nfs/dbraw/zinc/73/26/00/1132732600.db2.gz BEMCUIGTAAFTCJ-GOSISDBHSA-N 1 2 296.414 3.653 20 0 CHADLO COc1cc(F)ccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001174128442 1132737260 /nfs/dbraw/zinc/73/72/60/1132737260.db2.gz DMJQIYSSZSNJOK-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO COc1cc(F)ccc1Nc1cccc2[nH+]c(C)cn21 ZINC001174128196 1132737342 /nfs/dbraw/zinc/73/73/42/1132737342.db2.gz NDVZUHFLFIRIIB-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(C(=O)NC(C)(C)C)cc1 ZINC001174124531 1132737404 /nfs/dbraw/zinc/73/74/04/1132737404.db2.gz CPNWTEKZCGWCAN-UHFFFAOYSA-N 1 2 283.375 3.662 20 0 CHADLO CCCc1ccc(CNc2[nH+]cccc2OC)cc1 ZINC001157829126 1132745171 /nfs/dbraw/zinc/74/51/71/1132745171.db2.gz JKDOWSRZMDRYON-UHFFFAOYSA-N 1 2 256.349 3.655 20 0 CHADLO COc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)c(F)c1 ZINC001174145907 1132746791 /nfs/dbraw/zinc/74/67/91/1132746791.db2.gz LCSVAAIPYWOOOH-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO COc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c(F)c1 ZINC001174146346 1132748539 /nfs/dbraw/zinc/74/85/39/1132748539.db2.gz ZENCVUHJSJBGMN-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO C[C@H](Nc1cc(N2CCC[C@H](C)C2)nc[nH+]1)C(C)(C)C ZINC001157878455 1132750871 /nfs/dbraw/zinc/75/08/71/1132750871.db2.gz YVFZUNKPCJWYLT-STQMWFEESA-N 1 2 276.428 3.559 20 0 CHADLO C[C@H](Nc1cc(N2CCC[C@H](C)C2)[nH+]cn1)C(C)(C)C ZINC001157878455 1132750879 /nfs/dbraw/zinc/75/08/79/1132750879.db2.gz YVFZUNKPCJWYLT-STQMWFEESA-N 1 2 276.428 3.559 20 0 CHADLO CCCCNC(=O)c1cccc(Nc2[nH+]cccc2C)c1 ZINC001174189608 1132754555 /nfs/dbraw/zinc/75/45/55/1132754555.db2.gz UJYNTVDAYGQUPA-UHFFFAOYSA-N 1 2 283.375 3.664 20 0 CHADLO CSc1cc[nH+]c(NCCCCc2ccccn2)c1 ZINC001157975360 1132758376 /nfs/dbraw/zinc/75/83/76/1132758376.db2.gz MBXIDIATIUSTRN-UHFFFAOYSA-N 1 2 273.405 3.633 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](C)c2ccc(C)cn2)c1 ZINC001158020178 1132761691 /nfs/dbraw/zinc/76/16/91/1132761691.db2.gz BUNUVCJVRQQGQP-NSHDSACASA-N 1 2 259.378 3.680 20 0 CHADLO Cc1cccn2cc(CNc3cc4ccccc4c[nH+]3)nc12 ZINC001158154836 1132764323 /nfs/dbraw/zinc/76/43/23/1132764323.db2.gz YBJNZAXKTSDRCG-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(C(=O)c2ccccc2)cc1 ZINC001174222932 1132765577 /nfs/dbraw/zinc/76/55/77/1132765577.db2.gz OXBZICSSOGXUSB-UHFFFAOYSA-N 1 2 289.338 3.638 20 0 CHADLO Cc1ccc(CCCNc2cc(C(C)C)[nH+]c(C)n2)cn1 ZINC001158373078 1132772685 /nfs/dbraw/zinc/77/26/85/1132772685.db2.gz KVNKJIPQOBNTBK-UHFFFAOYSA-N 1 2 284.407 3.657 20 0 CHADLO CCOC(=O)Cc1cccc(Nc2ccc(C)[nH+]c2C)c1 ZINC001203380725 1132786994 /nfs/dbraw/zinc/78/69/94/1132786994.db2.gz MREIBDIUPAFGSY-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO COc1cc(C)c[nH+]c1NCCc1coc2ccccc12 ZINC001158662446 1132787704 /nfs/dbraw/zinc/78/77/04/1132787704.db2.gz WNPZAIRELIDHLF-UHFFFAOYSA-N 1 2 282.343 3.799 20 0 CHADLO O[C@H]1c2ccccc2CC[C@H]1Nc1cc2ccccc2c[nH+]1 ZINC001158676392 1132789002 /nfs/dbraw/zinc/78/90/02/1132789002.db2.gz VDYYTXPOMAVSSF-MJGOQNOKSA-N 1 2 290.366 3.695 20 0 CHADLO CSc1cc(Nc2ccc(C(F)(F)F)nc2)cc[nH+]1 ZINC001174309915 1132789704 /nfs/dbraw/zinc/78/97/04/1132789704.db2.gz OGDZJNGHBHFLKI-UHFFFAOYSA-N 1 2 285.294 3.961 20 0 CHADLO Cc1c(F)cc[nH+]c1N(C)[C@H]1CCc2ccccc21 ZINC001158920590 1132799467 /nfs/dbraw/zinc/79/94/67/1132799467.db2.gz CZICXHJLODLSGI-HNNXBMFYSA-N 1 2 256.324 3.653 20 0 CHADLO COc1cc(Nc2ccnc(C(F)(F)F)c2)cc(C)[nH+]1 ZINC001174362977 1132819999 /nfs/dbraw/zinc/81/99/99/1132819999.db2.gz XIIMSCLLUOGSBQ-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO CCOc1cc(C)c(Nc2ccc(NC)[nH+]c2)c(C)c1 ZINC001159253758 1132820406 /nfs/dbraw/zinc/82/04/06/1132820406.db2.gz IHRLXGLVXZLDRZ-UHFFFAOYSA-N 1 2 271.364 3.882 20 0 CHADLO Cc1cn2cccc(Nc3ccc4ncsc4c3)c2[nH+]1 ZINC001174363925 1132821578 /nfs/dbraw/zinc/82/15/78/1132821578.db2.gz LDXSFBUYMCIDNP-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO CC(=O)NCc1cccc(Nc2cccc(C(C)C)[nH+]2)c1 ZINC001174366233 1132824012 /nfs/dbraw/zinc/82/40/12/1132824012.db2.gz HMWSKOJWGWEQSK-UHFFFAOYSA-N 1 2 283.375 3.585 20 0 CHADLO COC(=O)c1ccc(Nc2ccc3c(c2)[nH+]cn3C(C)C)o1 ZINC001174381753 1132828424 /nfs/dbraw/zinc/82/84/24/1132828424.db2.gz PTROGGPOZJCHBW-UHFFFAOYSA-N 1 2 299.330 3.740 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cccnc3)ccc21 ZINC001174380647 1132828652 /nfs/dbraw/zinc/82/86/52/1132828652.db2.gz HKGRJWOQOXYOGJ-UHFFFAOYSA-N 1 2 252.321 3.756 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccnnc3Cl)ccc21 ZINC001174382067 1132829310 /nfs/dbraw/zinc/82/93/10/1132829310.db2.gz WJDOZZFWLPGWAU-UHFFFAOYSA-N 1 2 287.754 3.804 20 0 CHADLO Cc1cnc(Nc2ccc3c(c2)[nH+]cn3C(C)C)nc1C ZINC001174380355 1132829393 /nfs/dbraw/zinc/82/93/93/1132829393.db2.gz BUHBOEAQRBYJET-UHFFFAOYSA-N 1 2 281.363 3.768 20 0 CHADLO CCn1cc(Nc2ccc3c(c2)[nH+]cn3C(C)C)c(C)n1 ZINC001174381084 1132829512 /nfs/dbraw/zinc/82/95/12/1132829512.db2.gz UDBNQVVZNFDKFP-UHFFFAOYSA-N 1 2 283.379 3.886 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cnc(N)c(Cl)c1 ZINC001159371630 1132834621 /nfs/dbraw/zinc/83/46/21/1132834621.db2.gz JNZKYSHMWHDXPX-UHFFFAOYSA-N 1 2 290.798 3.963 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc3c2CCN3)c1 ZINC001159409301 1132837999 /nfs/dbraw/zinc/83/79/99/1132837999.db2.gz PMYBJINOYBEYSI-UHFFFAOYSA-N 1 2 253.349 3.746 20 0 CHADLO COc1cc(Nc2cc[nH+]c3[nH]ccc32)ccc1SC ZINC001174411242 1132849206 /nfs/dbraw/zinc/84/92/06/1132849206.db2.gz QKNAHPKNOKNZIL-UHFFFAOYSA-N 1 2 285.372 3.989 20 0 CHADLO FC(F)(F)Oc1cc(Nc2cc[nH+]c3[nH]ccc32)ccn1 ZINC001174410605 1132849637 /nfs/dbraw/zinc/84/96/37/1132849637.db2.gz ITWCKJRPWMYKSC-UHFFFAOYSA-N 1 2 294.236 3.552 20 0 CHADLO Nc1ccc(Nc2cc[nH+]c3[nH]ccc32)c(C(F)(F)F)c1 ZINC001174411198 1132849669 /nfs/dbraw/zinc/84/96/69/1132849669.db2.gz OPJATZUFDVBAOV-UHFFFAOYSA-N 1 2 292.264 3.859 20 0 CHADLO COC(=O)c1cc(C)c(C)c(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174412139 1132850767 /nfs/dbraw/zinc/85/07/67/1132850767.db2.gz GXRMKBBKHSVWML-UHFFFAOYSA-N 1 2 295.342 3.662 20 0 CHADLO CCOC(=O)Nc1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1 ZINC001174413075 1132851688 /nfs/dbraw/zinc/85/16/88/1132851688.db2.gz IKVLUSCYEKSNJZ-UHFFFAOYSA-N 1 2 296.330 3.827 20 0 CHADLO COc1cc(Cl)cc(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174414572 1132853609 /nfs/dbraw/zinc/85/36/09/1132853609.db2.gz WUCTYEUYZGTHSZ-UHFFFAOYSA-N 1 2 273.723 3.920 20 0 CHADLO CC(=O)n1ccc2cc(Nc3cc[nH+]c4[nH]ccc43)ccc21 ZINC001174414426 1132854589 /nfs/dbraw/zinc/85/45/89/1132854589.db2.gz CDMJAJHMZFROTM-UHFFFAOYSA-N 1 2 290.326 3.873 20 0 CHADLO c1cn(Cc2cccc(Nc3ccc4cn[nH]c4c3)c2)c[nH+]1 ZINC001174500095 1132856217 /nfs/dbraw/zinc/85/62/17/1132856217.db2.gz JWRFIKUCIMCLGR-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(N3CCCC3)c2)c[nH+]1 ZINC001174487419 1132859977 /nfs/dbraw/zinc/85/99/77/1132859977.db2.gz XPRIMSKJDOURKD-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO Nc1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)c(F)c1 ZINC001174478798 1132882123 /nfs/dbraw/zinc/88/21/23/1132882123.db2.gz BVAMRYRGXCHQKC-UHFFFAOYSA-N 1 2 287.725 3.775 20 0 CHADLO Nc1ccc(F)c2ccn(-c3ccc([NH+]4CCCC4)cc3)c21 ZINC001174528057 1132889031 /nfs/dbraw/zinc/88/90/31/1132889031.db2.gz VDCODRJOBFFION-UHFFFAOYSA-N 1 2 295.361 3.952 20 0 CHADLO Cc1ccc(Nc2ccc([NH+]3CCCC3)cc2)cc1CO ZINC001174529054 1132890972 /nfs/dbraw/zinc/89/09/72/1132890972.db2.gz BDLWULGIBLZXAZ-UHFFFAOYSA-N 1 2 282.387 3.831 20 0 CHADLO C[C@H]1COCC[C@@H]1Nc1[nH+]ccc2cc(Cl)ccc21 ZINC001159710596 1132896881 /nfs/dbraw/zinc/89/68/81/1132896881.db2.gz IFGNIMVGVBACOF-HZMBPMFUSA-N 1 2 276.767 3.725 20 0 CHADLO Cc1cccc2c(C)cc(N[C@H]3CCOC[C@@H]3C)[nH+]c12 ZINC001159709446 1132897553 /nfs/dbraw/zinc/89/75/53/1132897553.db2.gz SCLMLZDGUUTZBZ-ZFWWWQNUSA-N 1 2 270.376 3.689 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc2ccn(C)c2c1 ZINC001174514946 1132908705 /nfs/dbraw/zinc/90/87/05/1132908705.db2.gz JYJCAPSPWLXKHK-UHFFFAOYSA-N 1 2 251.333 3.879 20 0 CHADLO Cn1ccc2ccc(Nc3ccc4c(c3)[nH+]cn4C)cc21 ZINC001174516420 1132908935 /nfs/dbraw/zinc/90/89/35/1132908935.db2.gz CUQXWZBLMHOWOV-UHFFFAOYSA-N 1 2 276.343 3.809 20 0 CHADLO c1cc2c(c(Nc3ccc(N4CCCCC4)[nH+]c3)c1)OCO2 ZINC001174522009 1132911691 /nfs/dbraw/zinc/91/16/91/1132911691.db2.gz GNKGTXZWYLCSAA-UHFFFAOYSA-N 1 2 297.358 3.544 20 0 CHADLO COc1cc(O)ccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174523927 1132912940 /nfs/dbraw/zinc/91/29/40/1132912940.db2.gz YOHKDQQGVXIDDA-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO Oc1ccc(F)c(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174524810 1132913471 /nfs/dbraw/zinc/91/34/71/1132913471.db2.gz PWKCWZZTTXDNEU-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Cc1nc(N[C@H]2CCc3ccc(O)cc3C2)cc(C(C)C)[nH+]1 ZINC001159890413 1132919287 /nfs/dbraw/zinc/91/92/87/1132919287.db2.gz CHADWAGXWPJFLX-HNNXBMFYSA-N 1 2 297.402 3.583 20 0 CHADLO COc1cc(F)cc(CNc2cc3ccccc3c[nH+]2)c1 ZINC001160213983 1132948219 /nfs/dbraw/zinc/94/82/19/1132948219.db2.gz ZGKQBASOFJIZII-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1ccc2c(c1)CCC[NH2+]2 ZINC001160241913 1132950768 /nfs/dbraw/zinc/95/07/68/1132950768.db2.gz RHRCPPAGTIOLAR-UHFFFAOYSA-N 1 2 277.371 3.879 20 0 CHADLO CSc1cc[nH+]c(NCc2cccc3ncoc32)c1 ZINC001160255116 1132951944 /nfs/dbraw/zinc/95/19/44/1132951944.db2.gz AKHDBXWUISXZAY-UHFFFAOYSA-N 1 2 271.345 3.557 20 0 CHADLO Cc1c(F)cc[nH+]c1NCC1(c2ccccc2)CC1 ZINC001160262049 1132953592 /nfs/dbraw/zinc/95/35/92/1132953592.db2.gz ASUBVQIANGMXOJ-UHFFFAOYSA-N 1 2 256.324 3.673 20 0 CHADLO COc1ccc2[nH+]c(NC(C)(C)CC(C)=O)cc(C)c2c1 ZINC001160299960 1132958177 /nfs/dbraw/zinc/95/81/77/1132958177.db2.gz QZTGLONRPAXMCI-UHFFFAOYSA-N 1 2 286.375 3.721 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(C(F)F)cc2)[nH+]1 ZINC001174711676 1132961364 /nfs/dbraw/zinc/96/13/64/1132961364.db2.gz ZQVALPJUINFCGN-UHFFFAOYSA-N 1 2 250.248 3.777 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc2c(c1)CCCO2 ZINC001174719420 1132963236 /nfs/dbraw/zinc/96/32/36/1132963236.db2.gz MKMZEIURPXOSMZ-UHFFFAOYSA-N 1 2 254.333 3.713 20 0 CHADLO Cc1ccc(N)c(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001160336454 1132963760 /nfs/dbraw/zinc/96/37/60/1132963760.db2.gz WZRXKOPDZGCIPF-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO CSc1cc(Nc2ccc3c(c2)CCCO3)cc[nH+]1 ZINC001174720694 1132964689 /nfs/dbraw/zinc/96/46/89/1132964689.db2.gz IUMHHCZVJGGENW-UHFFFAOYSA-N 1 2 272.373 3.872 20 0 CHADLO c1nscc1CNc1ccc(Nc2ccccc2)c[nH+]1 ZINC001203194733 1132965535 /nfs/dbraw/zinc/96/55/35/1132965535.db2.gz MSISSWBOISXWND-UHFFFAOYSA-N 1 2 282.372 3.894 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnc(N)c(Cl)c2)cc1 ZINC001160371399 1132967211 /nfs/dbraw/zinc/96/72/11/1132967211.db2.gz DRODOWJAYDDMQX-UHFFFAOYSA-N 1 2 276.771 3.517 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnc(N)c(Cl)c2)cc1 ZINC001160371399 1132967214 /nfs/dbraw/zinc/96/72/14/1132967214.db2.gz DRODOWJAYDDMQX-UHFFFAOYSA-N 1 2 276.771 3.517 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@H](CC(C)C)c1ccccn1 ZINC001160485408 1132972598 /nfs/dbraw/zinc/97/25/98/1132972598.db2.gz BWPPUXQDSCAWDU-OAHLLOKOSA-N 1 2 285.391 3.993 20 0 CHADLO CSc1cc[nH+]c(NCCc2cc(F)cc(F)c2)c1 ZINC001160569743 1132974669 /nfs/dbraw/zinc/97/46/69/1132974669.db2.gz LFSHKWXFHJSJCM-UHFFFAOYSA-N 1 2 280.343 3.736 20 0 CHADLO CSCc1cc[nH+]c(NC2CC3(C2)CC(F)(F)C3)c1 ZINC001160590985 1132975255 /nfs/dbraw/zinc/97/52/55/1132975255.db2.gz FCCNUHLAJDYXHR-UHFFFAOYSA-N 1 2 284.375 3.934 20 0 CHADLO COc1cc(C)c(CNc2ccc(Cl)c(N)[nH+]2)cc1C ZINC001160775363 1132981190 /nfs/dbraw/zinc/98/11/90/1132981190.db2.gz HDYQHKPHWJFXBL-UHFFFAOYSA-N 1 2 291.782 3.555 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1nccc(Cl)c1Cl ZINC001160930367 1132987291 /nfs/dbraw/zinc/98/72/91/1132987291.db2.gz GNWHOPMENIXJNW-UHFFFAOYSA-N 1 2 298.173 3.713 20 0 CHADLO c1nc(C2CC2)sc1Nc1cccc2[nH+]c[nH]c21 ZINC001213028999 1132992549 /nfs/dbraw/zinc/99/25/49/1132992549.db2.gz CQCXPSVAMGDSQH-UHFFFAOYSA-N 1 2 256.334 3.640 20 0 CHADLO c1[nH]c2c(cccc2Nc2cnccc2C2CC2)[nH+]1 ZINC001213029429 1132993031 /nfs/dbraw/zinc/99/30/31/1132993031.db2.gz LUUPTWMUWRKVKO-UHFFFAOYSA-N 1 2 250.305 3.579 20 0 CHADLO COc1ccc(C2(Nc3[nH+]cc(C)cc3OC)CC2)cc1 ZINC001161262011 1132996824 /nfs/dbraw/zinc/99/68/24/1132996824.db2.gz DEAYFRGPNSPBKG-UHFFFAOYSA-N 1 2 284.359 3.508 20 0 CHADLO Fc1ccc(Nc2cccc3[nH+]c[nH]c32)c2ncccc12 ZINC001213032365 1132998941 /nfs/dbraw/zinc/99/89/41/1132998941.db2.gz BOBOJTGMFGQURI-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO c1cn(Cc2ccc(Nc3cccc4[nH]cnc43)cc2)c[nH+]1 ZINC001213032210 1132998984 /nfs/dbraw/zinc/99/89/84/1132998984.db2.gz XWFLPCCJRJBJKC-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO COc1cc(Nc2cccc3[nH+]c[nH]c32)ccc1C(C)=O ZINC001213032726 1133001329 /nfs/dbraw/zinc/00/13/29/1133001329.db2.gz VEAWZDYGEIVGIU-UHFFFAOYSA-N 1 2 281.315 3.518 20 0 CHADLO CP(C)(=O)c1ccccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213032810 1133002296 /nfs/dbraw/zinc/00/22/96/1133002296.db2.gz YXIUKZXRQABCDC-UHFFFAOYSA-N 1 2 285.287 3.555 20 0 CHADLO O=C1CCCc2cc(Nc3cccc4[nH+]c[nH]c43)ccc21 ZINC001213033472 1133004153 /nfs/dbraw/zinc/00/41/53/1133004153.db2.gz IMFAQLCBFMTETD-UHFFFAOYSA-N 1 2 277.327 3.826 20 0 CHADLO Cc1cc(Cl)c(C)c(NCc2cncn2C(C)C)[nH+]1 ZINC001161565674 1133006922 /nfs/dbraw/zinc/00/69/22/1133006922.db2.gz PKGBPPFKESUMEP-UHFFFAOYSA-N 1 2 278.787 3.741 20 0 CHADLO CC(=O)c1c(F)cc(F)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213033821 1133007257 /nfs/dbraw/zinc/00/72/57/1133007257.db2.gz ZESITGXKLDHUJR-UHFFFAOYSA-N 1 2 287.269 3.787 20 0 CHADLO c1coc(-c2ccc(Nc3cccc4[nH+]c[nH]c43)cc2)n1 ZINC001213034478 1133013498 /nfs/dbraw/zinc/01/34/98/1133013498.db2.gz MASPKPFBMVVWJW-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO COCc1ccc2ccccc2c1NCCCn1cc[nH+]c1 ZINC001161688207 1133016752 /nfs/dbraw/zinc/01/67/52/1133016752.db2.gz LBYIYTYNFQAHLC-UHFFFAOYSA-N 1 2 295.386 3.685 20 0 CHADLO CC(C)[C@H](CO)Nc1ccc2[nH]c(-c3ccccc3)[nH+]c2c1 ZINC001161745102 1133022326 /nfs/dbraw/zinc/02/23/26/1133022326.db2.gz QRKNNKHURBPGIO-KRWDZBQOSA-N 1 2 295.386 3.659 20 0 CHADLO Cc1cc(Cl)c(C)c(NCc2cn(CC(C)C)cn2)[nH+]1 ZINC001161794870 1133029303 /nfs/dbraw/zinc/02/93/03/1133029303.db2.gz VXFKCOCZZXPMIP-UHFFFAOYSA-N 1 2 292.814 3.816 20 0 CHADLO Cc1cc(NCCC(F)(F)F)[nH+]cc1C(F)(F)F ZINC001161819660 1133032972 /nfs/dbraw/zinc/03/29/72/1133032972.db2.gz FSQJJOOKWXUVDF-UHFFFAOYSA-N 1 2 272.192 3.773 20 0 CHADLO CCOc1cccc(CNc2cc(C)c3ccccc3[nH+]2)n1 ZINC001161889812 1133038044 /nfs/dbraw/zinc/03/80/44/1133038044.db2.gz FKOWPLOJQRDNHP-UHFFFAOYSA-N 1 2 293.370 3.949 20 0 CHADLO CCOc1cccc(CNc2cc(SCC)cc[nH+]2)n1 ZINC001161892220 1133038318 /nfs/dbraw/zinc/03/83/18/1133038318.db2.gz RSHSPXHQYHUDEF-UHFFFAOYSA-N 1 2 289.404 3.599 20 0 CHADLO Cc1cc(N(C)Cc2cccc(F)c2)nc(C2CCC2)[nH+]1 ZINC001161951670 1133040540 /nfs/dbraw/zinc/04/05/40/1133040540.db2.gz ATCCWJYVNMXVGL-UHFFFAOYSA-N 1 2 285.366 3.828 20 0 CHADLO Cc1nc(N[C@@H]2CCCC[C@H]2OCc2ccccc2)cc[nH+]1 ZINC001162051138 1133050805 /nfs/dbraw/zinc/05/08/05/1133050805.db2.gz HMTWJJPNTOILJB-IAGOWNOFSA-N 1 2 297.402 3.725 20 0 CHADLO CC1(C)CC[C@H](CNc2ccc(Cl)c(N)[nH+]2)C1 ZINC001162122789 1133051659 /nfs/dbraw/zinc/05/16/59/1133051659.db2.gz WKWXIZSTSKOJDE-VIFPVBQESA-N 1 2 253.777 3.555 20 0 CHADLO c1ccc(-c2cc[nH+]c(N[C@H]3C[C@]34CCCOC4)c2)cc1 ZINC001162371074 1133072956 /nfs/dbraw/zinc/07/29/56/1133072956.db2.gz OVZZJIOZJGSKOP-WMZOPIPTSA-N 1 2 280.371 3.730 20 0 CHADLO COc1cccc2[nH+]c(NC(C)(C)C3CC3)ccc21 ZINC001162514392 1133083550 /nfs/dbraw/zinc/08/35/50/1133083550.db2.gz DOLAZQXVQISJOR-UHFFFAOYSA-N 1 2 256.349 3.844 20 0 CHADLO CC(C)(Nc1cccc(Cc2ccncc2)[nH+]1)C1CC1 ZINC001162517054 1133085070 /nfs/dbraw/zinc/08/50/70/1133085070.db2.gz MTENSAXGNWVWAO-UHFFFAOYSA-N 1 2 267.376 3.668 20 0 CHADLO CC(C)(Nc1cc[nH+]c(OCc2ccccn2)c1)C1CC1 ZINC001162522091 1133087015 /nfs/dbraw/zinc/08/70/15/1133087015.db2.gz HVYRRVFYGSCSHP-UHFFFAOYSA-N 1 2 283.375 3.656 20 0 CHADLO CC(C)(Nc1c(Br)ccc2[nH+]ccn21)C1CC1 ZINC001162524825 1133087831 /nfs/dbraw/zinc/08/78/31/1133087831.db2.gz PTDGRRHFHPCBBE-UHFFFAOYSA-N 1 2 294.196 3.697 20 0 CHADLO COCc1cc[nH+]c(NC[C@H]2CCCc3ccccc32)c1 ZINC001162550963 1133090301 /nfs/dbraw/zinc/09/03/01/1133090301.db2.gz AVALNGRSJBKYSY-MRXNPFEDSA-N 1 2 282.387 3.760 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H](C)c1cccc(N(C)C)c1 ZINC001162632015 1133096769 /nfs/dbraw/zinc/09/67/69/1133096769.db2.gz AKLNCNICCZGPKK-LBPRGKRZSA-N 1 2 273.355 3.768 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H](C)c1cccc(N(C)C)c1 ZINC001162633305 1133097453 /nfs/dbraw/zinc/09/74/53/1133097453.db2.gz SAJNAGZFXXYGQK-ZDUSSCGKSA-N 1 2 285.391 3.638 20 0 CHADLO Cc1cc2cc(NC[C@@H]3CCC(F)(F)C3)[nH+]cc2[nH]1 ZINC001162645950 1133098959 /nfs/dbraw/zinc/09/89/59/1133098959.db2.gz CZGOJKCUXCAJQA-SNVBAGLBSA-N 1 2 265.307 3.719 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(C2CCC2)n1)C1CCOCC1 ZINC001162693764 1133104039 /nfs/dbraw/zinc/10/40/39/1133104039.db2.gz RJZSVHRHZJJRRN-OAHLLOKOSA-N 1 2 289.423 3.670 20 0 CHADLO CSc1cc[nH+]c(NCc2ccnc(C(F)(F)F)c2)c1 ZINC001162740244 1133107933 /nfs/dbraw/zinc/10/79/33/1133107933.db2.gz NCVBCVZVFFPOOC-UHFFFAOYSA-N 1 2 299.321 3.829 20 0 CHADLO CSc1cc[nH+]c(N[C@H]2CCc3sccc32)c1 ZINC001162765046 1133109580 /nfs/dbraw/zinc/10/95/80/1133109580.db2.gz HDGDOCJMSZHVTJ-NSHDSACASA-N 1 2 262.403 3.964 20 0 CHADLO COc1c[nH+]c(N[C@@H]2CCc3sccc32)c(C)c1 ZINC001162767043 1133110431 /nfs/dbraw/zinc/11/04/31/1133110431.db2.gz QZQYAWMWHGGWHA-GFCCVEGCSA-N 1 2 260.362 3.560 20 0 CHADLO COC(=O)c1ccc(C2(Nc3c(C)cc[nH+]c3C)CC2)cc1 ZINC001162863805 1133117716 /nfs/dbraw/zinc/11/77/16/1133117716.db2.gz DPWKJUAADYEXQS-UHFFFAOYSA-N 1 2 296.370 3.586 20 0 CHADLO Cc1cc(Cl)c(C)c(NCc2ccc3nccn3c2)[nH+]1 ZINC001163138895 1133131386 /nfs/dbraw/zinc/13/13/86/1133131386.db2.gz CZZZXYAFRDYXBA-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO Cc1cc(Cl)c(C)c(NCc2ccc3[nH+]ccn3c2)n1 ZINC001163138895 1133131389 /nfs/dbraw/zinc/13/13/89/1133131389.db2.gz CZZZXYAFRDYXBA-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CC(C)(C)c1ccc(NCc2ccc3nccn3c2)[nH+]c1 ZINC001163142545 1133131787 /nfs/dbraw/zinc/13/17/87/1133131787.db2.gz KMOWKYRZADDHKY-UHFFFAOYSA-N 1 2 280.375 3.639 20 0 CHADLO Cc1nc(N[C@H]2CCc3c2cccc3O)cc(C(C)C)[nH+]1 ZINC001163192578 1133135395 /nfs/dbraw/zinc/13/53/95/1133135395.db2.gz NDZGMKNCMCSIQW-AWEZNQCLSA-N 1 2 283.375 3.713 20 0 CHADLO CN(C)c1cc(N[C@@H]2CCC[C@H](c3ccccc3)C2)[nH+]cn1 ZINC001163328590 1133141955 /nfs/dbraw/zinc/14/19/55/1133141955.db2.gz WEXIQZPLQACCKB-JKSUJKDBSA-N 1 2 296.418 3.681 20 0 CHADLO CN(C)c1cc(N[C@@H]2CCC[C@H](c3ccccc3)C2)nc[nH+]1 ZINC001163328590 1133141957 /nfs/dbraw/zinc/14/19/57/1133141957.db2.gz WEXIQZPLQACCKB-JKSUJKDBSA-N 1 2 296.418 3.681 20 0 CHADLO CN(CC(F)(F)F)c1[nH+]ccc2cc(Cl)ccc21 ZINC001163706373 1133166848 /nfs/dbraw/zinc/16/68/48/1133166848.db2.gz LUTLYSIGZUNONK-UHFFFAOYSA-N 1 2 274.673 3.887 20 0 CHADLO COc1cccc2[nH+]c(NCCc3cccc(O)c3)ccc21 ZINC001163698307 1133165646 /nfs/dbraw/zinc/16/56/46/1133165646.db2.gz HQPPSEBUPHXAJS-UHFFFAOYSA-N 1 2 294.354 3.604 20 0 CHADLO F[C@@H]1CCN(c2[nH+]ccc3ccccc32)CCC1(F)F ZINC001163772822 1133173949 /nfs/dbraw/zinc/17/39/49/1133173949.db2.gz PUONQSIBAGLOAQ-CYBMUJFWSA-N 1 2 280.293 3.808 20 0 CHADLO Cc1cc(N2CCC(=O)[C@H]3CCCC[C@H]32)[nH+]c2ccccc12 ZINC001163790425 1133175828 /nfs/dbraw/zinc/17/58/28/1133175828.db2.gz KZPIZUPJEYEWSV-DOTOQJQBSA-N 1 2 294.398 3.881 20 0 CHADLO CC(C)c1ccc(CNc2ccc(N(C)C)c[nH+]2)cc1 ZINC001163822755 1133177581 /nfs/dbraw/zinc/17/75/81/1133177581.db2.gz PVNCTADCMQSAMX-UHFFFAOYSA-N 1 2 269.392 3.883 20 0 CHADLO C[C@H](Sc1[nH+]cnc2[nH]ccc21)c1ccccc1 ZINC001163890331 1133182834 /nfs/dbraw/zinc/18/28/34/1133182834.db2.gz IZVWFOGSRLJMKL-JTQLQIEISA-N 1 2 255.346 3.811 20 0 CHADLO Cc1cc(NCc2cc3ccccc3n2C)[nH+]c2cc[nH]c21 ZINC001163950706 1133186391 /nfs/dbraw/zinc/18/63/91/1133186391.db2.gz PPRFFJKKLBUAMP-UHFFFAOYSA-N 1 2 290.370 3.975 20 0 CHADLO COCC(C)(C)CCNc1cc(C)[nH+]c(C2CCC2)n1 ZINC001164066785 1133195908 /nfs/dbraw/zinc/19/59/08/1133195908.db2.gz HGXVQPKTSWEMAB-UHFFFAOYSA-N 1 2 277.412 3.527 20 0 CHADLO FC[C@H]1CCN(c2[nH+]ccc3ccccc32)CC1(F)F ZINC001164092164 1133196963 /nfs/dbraw/zinc/19/69/63/1133196963.db2.gz QGQCDBSICNLCLV-GFCCVEGCSA-N 1 2 280.293 3.666 20 0 CHADLO CN(Cc1cnc(Cl)s1)c1cccc(C2CC2)[nH+]1 ZINC001164173592 1133203212 /nfs/dbraw/zinc/20/32/12/1133203212.db2.gz BCHABQUWTHWYBR-UHFFFAOYSA-N 1 2 279.796 3.705 20 0 CHADLO Cc1nc(NCc2cscc2Cl)c2c([nH+]1)CCCC2 ZINC001164153136 1133201386 /nfs/dbraw/zinc/20/13/86/1133201386.db2.gz YYQFSQASXRWAGV-UHFFFAOYSA-N 1 2 293.823 3.991 20 0 CHADLO Cc1nc(N(C)Cc2cnc(Cl)s2)cc(C(C)C)[nH+]1 ZINC001164168137 1133202724 /nfs/dbraw/zinc/20/27/24/1133202724.db2.gz SUSFYRGSMWKUMQ-UHFFFAOYSA-N 1 2 296.827 3.655 20 0 CHADLO COc1cc2cc[nH+]c(N3CC[C@@H](C)[C@H](F)C3)c2cc1F ZINC001164178365 1133203888 /nfs/dbraw/zinc/20/38/88/1133203888.db2.gz DGQVQGACGRXNPP-QMTHXVAHSA-N 1 2 292.329 3.567 20 0 CHADLO C[C@H]1C[C@@H](CF)N(c2cc(-c3ccccc3)cc[nH+]2)C1 ZINC001164258693 1133209306 /nfs/dbraw/zinc/20/93/06/1133209306.db2.gz FLNNOFRNFODVKZ-BBRMVZONSA-N 1 2 270.351 3.933 20 0 CHADLO Cc1cc(N[C@@H]2CCCC3(CC3)C2)nc(C2CC2)[nH+]1 ZINC001164234829 1133210128 /nfs/dbraw/zinc/21/01/28/1133210128.db2.gz XGETZYVBDGRXDQ-CYBMUJFWSA-N 1 2 257.381 3.797 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@@H](C)C[C@H]1CF ZINC001164259650 1133210896 /nfs/dbraw/zinc/21/08/96/1133210896.db2.gz BLFDHKTYQFFWCM-AAEUAGOBSA-N 1 2 258.340 3.728 20 0 CHADLO CC(C)c1ccc(N2CC[C@@](CF)(C(F)(F)F)C2)[nH+]c1 ZINC001164266876 1133210922 /nfs/dbraw/zinc/21/09/22/1133210922.db2.gz POSHECPAEVBPNZ-ZDUSSCGKSA-N 1 2 290.304 3.933 20 0 CHADLO C[C@H]1C[C@@H](CF)N(c2ccc(C(C)(C)C)c[nH+]2)C1 ZINC001164261051 1133211161 /nfs/dbraw/zinc/21/11/61/1133211161.db2.gz WQWXJZGCPZBINI-AAEUAGOBSA-N 1 2 250.361 3.563 20 0 CHADLO C[C@@]1(CF)CC(F)(F)CN1c1cc2ccccc2c[nH+]1 ZINC001164557412 1133223117 /nfs/dbraw/zinc/22/31/17/1133223117.db2.gz FNPFGULUFFTLNZ-AWEZNQCLSA-N 1 2 280.293 3.808 20 0 CHADLO COc1cc(C)c[nH+]c1N1Cc2ccccc2[C@@H](C)C1 ZINC001165217534 1133231141 /nfs/dbraw/zinc/23/11/41/1133231141.db2.gz OTZDENXTPUQLEE-ZDUSSCGKSA-N 1 2 268.360 3.522 20 0 CHADLO c1ccc(COc2cc(NC[C@@H]3CCCCO3)cc[nH+]2)cc1 ZINC001165229396 1133231366 /nfs/dbraw/zinc/23/13/66/1133231366.db2.gz JOBNCUKIUCSUOX-KRWDZBQOSA-N 1 2 298.386 3.642 20 0 CHADLO Fc1ccc2c[nH+]c(NCCCc3ccncc3)cc2c1 ZINC001165500074 1133243455 /nfs/dbraw/zinc/24/34/55/1133243455.db2.gz QYBWJFGBIIDQHX-UHFFFAOYSA-N 1 2 281.334 3.814 20 0 CHADLO Cc1ccc(SCc2ccc(-n3cc[nH+]c3)cc2C)nn1 ZINC001165710913 1133249923 /nfs/dbraw/zinc/24/99/23/1133249923.db2.gz WQCRMGFEQWLXCO-UHFFFAOYSA-N 1 2 296.399 3.571 20 0 CHADLO CC(C)(C)c1cn(C[C@@H]2CCCC23CCOCC3)c[nH+]1 ZINC001166624664 1133279392 /nfs/dbraw/zinc/27/93/92/1133279392.db2.gz AJPWTGSBXYAURG-AWEZNQCLSA-N 1 2 276.424 3.778 20 0 CHADLO CC(C)(C)c1ccc(N2CCO[C@@H](c3ccccc3)C2)[nH+]c1 ZINC001166681451 1133280926 /nfs/dbraw/zinc/28/09/26/1133280926.db2.gz ODFYIXXWVGYLEH-QGZVFWFLSA-N 1 2 296.414 3.957 20 0 CHADLO Cc1cc(N(C)CCc2cccs2)nc(C(C)(C)C)[nH+]1 ZINC001166680046 1133281232 /nfs/dbraw/zinc/28/12/32/1133281232.db2.gz YCJFOGHHBBRJBJ-UHFFFAOYSA-N 1 2 289.448 3.823 20 0 CHADLO CC(C)(C)c1ccc(N2CCO[C@H](c3ccccc3)C2)[nH+]c1 ZINC001166681450 1133281651 /nfs/dbraw/zinc/28/16/51/1133281651.db2.gz ODFYIXXWVGYLEH-KRWDZBQOSA-N 1 2 296.414 3.957 20 0 CHADLO FC(F)[C@@H]1CCCN(c2[nH+]ccc3ccccc32)C1 ZINC001166771904 1133286147 /nfs/dbraw/zinc/28/61/47/1133286147.db2.gz PJZYEYAIQQQYSO-GFCCVEGCSA-N 1 2 262.303 3.716 20 0 CHADLO COc1ccc(CCN(C)c2cc(C(C)C)[nH+]c(C)n2)cc1 ZINC001166824707 1133290859 /nfs/dbraw/zinc/29/08/59/1133290859.db2.gz MAYLHITXESFLCQ-UHFFFAOYSA-N 1 2 299.418 3.596 20 0 CHADLO CCN(C)c1ccc(Nc2ccn(C(C)C)c2)c[nH+]1 ZINC001203164452 1133304234 /nfs/dbraw/zinc/30/42/34/1133304234.db2.gz KHZIITHMQUPCKY-UHFFFAOYSA-N 1 2 258.369 3.664 20 0 CHADLO Cc1ccc(C[N@@H+](CC(=O)c2ccccc2)C(C)C)o1 ZINC001203297593 1133311339 /nfs/dbraw/zinc/31/13/39/1133311339.db2.gz MAGWFBYDLFCORH-UHFFFAOYSA-N 1 2 271.360 3.681 20 0 CHADLO Cc1ccc(C[N@H+](CC(=O)c2ccccc2)C(C)C)o1 ZINC001203297593 1133311344 /nfs/dbraw/zinc/31/13/44/1133311344.db2.gz MAGWFBYDLFCORH-UHFFFAOYSA-N 1 2 271.360 3.681 20 0 CHADLO Cc1cc2c(ncnc2Nc2ccc(C)[nH+]c2C)s1 ZINC001203362898 1133318451 /nfs/dbraw/zinc/31/84/51/1133318451.db2.gz HTQLYSVACXPCDH-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cc1nc2sccc2c(Nc2ccc(C)[nH+]c2C)n1 ZINC001203363202 1133318587 /nfs/dbraw/zinc/31/85/87/1133318587.db2.gz NNOYJJYGZLOBOB-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cc1ccc(Nc2cccc(Br)n2)c(C)[nH+]1 ZINC001203363166 1133318595 /nfs/dbraw/zinc/31/85/95/1133318595.db2.gz MUCGGWCRTGFXTA-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1ccc(Nc2cccnc2OC(F)(F)F)c(C)[nH+]1 ZINC001203368909 1133318639 /nfs/dbraw/zinc/31/86/39/1133318639.db2.gz HAALUAIXGNIJCN-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1ccc(Nc2nc(C(C)(C)C)ns2)c(C)[nH+]1 ZINC001203362975 1133318836 /nfs/dbraw/zinc/31/88/36/1133318836.db2.gz JFILRAXDCRQWON-UHFFFAOYSA-N 1 2 262.382 3.591 20 0 CHADLO COc1cc2cccnc2c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203374342 1133319487 /nfs/dbraw/zinc/31/94/87/1133319487.db2.gz IGJPUVZPNDDTQE-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1ccc(N2c3ccc(N)cc3CC[C@H]2C)c(C)[nH+]1 ZINC001203373980 1133320500 /nfs/dbraw/zinc/32/05/00/1133320500.db2.gz QTXCXGUXAIYROD-GFCCVEGCSA-N 1 2 267.376 3.753 20 0 CHADLO CNc1ccc(Nc2ncc(Cl)cc2Cl)c[nH+]1 ZINC001203448815 1133330107 /nfs/dbraw/zinc/33/01/07/1133330107.db2.gz LLOCIRVVFILJCJ-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO CNc1ccc(Nc2cc(F)cc(Cl)c2)c[nH+]1 ZINC001203454351 1133330081 /nfs/dbraw/zinc/33/00/81/1133330081.db2.gz BVFCTSZWTREXLL-UHFFFAOYSA-N 1 2 251.692 3.659 20 0 CHADLO CNc1ccc(-n2c3ccccc3c3cccc(N)c32)c[nH+]1 ZINC001203465381 1133330661 /nfs/dbraw/zinc/33/06/61/1133330661.db2.gz QXVKUOXPUDYYTE-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO CNc1ccc(Nc2ccccc2OCC2CC2)c[nH+]1 ZINC001203462634 1133331543 /nfs/dbraw/zinc/33/15/43/1133331543.db2.gz XGOOZDHKXBBYSR-UHFFFAOYSA-N 1 2 269.348 3.656 20 0 CHADLO CNc1ccc(Nc2ccc3c(cnn3C(C)C)c2)c[nH+]1 ZINC001203460883 1133331555 /nfs/dbraw/zinc/33/15/55/1133331555.db2.gz YFBIHPGYXUCZKS-UHFFFAOYSA-N 1 2 281.363 3.798 20 0 CHADLO COc1cc(C)c(CNc2c[nH+]c(C)c(C)c2)cc1OC ZINC001203485475 1133332345 /nfs/dbraw/zinc/33/23/45/1133332345.db2.gz KXMLTFRPLNSBLZ-UHFFFAOYSA-N 1 2 286.375 3.636 20 0 CHADLO Cn1c2ccccc2[nH+]c1NCc1cc(Cl)cs1 ZINC001203472737 1133332639 /nfs/dbraw/zinc/33/26/39/1133332639.db2.gz YZQKENBKVQSIBZ-UHFFFAOYSA-N 1 2 277.780 3.900 20 0 CHADLO CCc1cccc(F)c1CNc1[nH]c2cccc(OC)c2[nH+]1 ZINC001203548928 1133338950 /nfs/dbraw/zinc/33/89/50/1133338950.db2.gz VFROAKXHDHRHGF-UHFFFAOYSA-N 1 2 299.349 3.885 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cncc(Br)c2C)c1 ZINC001203656645 1133350107 /nfs/dbraw/zinc/35/01/07/1133350107.db2.gz YYGIYMWAOQDKEU-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc3c(C(C)(C)C)cnn3c2)c1 ZINC001203661281 1133350223 /nfs/dbraw/zinc/35/02/23/1133350223.db2.gz UBMNALSHLGUCMO-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(F)cc(F)c2F)c1 ZINC001203663895 1133350671 /nfs/dbraw/zinc/35/06/71/1133350671.db2.gz YEZJTHZKTUORKW-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO COc1ccc(F)c(F)c1Nc1cc(C)c[nH+]c1C ZINC001203663299 1133352130 /nfs/dbraw/zinc/35/21/30/1133352130.db2.gz HDNMASJCAGVPSH-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)CCC3=O)c1 ZINC001203663879 1133352142 /nfs/dbraw/zinc/35/21/42/1133352142.db2.gz VDJKWMBZKORVHX-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)[C@@H](O)CCC3)c1 ZINC001203667557 1133352369 /nfs/dbraw/zinc/35/23/69/1133352369.db2.gz OFEIHDBXOGYNCA-KRWDZBQOSA-N 1 2 268.360 3.812 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc3c2CN(C2CC2)C3=O)c1 ZINC001203667566 1133352420 /nfs/dbraw/zinc/35/24/20/1133352420.db2.gz OOHXJVVAEKBEIZ-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO FCCn1nccc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203718692 1133358630 /nfs/dbraw/zinc/35/86/30/1133358630.db2.gz KWINMUJAKRTMTF-UHFFFAOYSA-N 1 2 288.370 3.587 20 0 CHADLO Cc1nocc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203717661 1133359129 /nfs/dbraw/zinc/35/91/29/1133359129.db2.gz JUZYOJWHNIMCBS-UHFFFAOYSA-N 1 2 257.337 3.717 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cccc(Br)c2)C1 ZINC001203767392 1133366554 /nfs/dbraw/zinc/36/65/54/1133366554.db2.gz SLLGRBMLRXUPDM-CYBMUJFWSA-N 1 2 286.188 3.773 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cccc(Br)c2)C1 ZINC001203767392 1133366561 /nfs/dbraw/zinc/36/65/61/1133366561.db2.gz SLLGRBMLRXUPDM-CYBMUJFWSA-N 1 2 286.188 3.773 20 0 CHADLO CCn1c[nH+]cc1CNc1cc2ccccc2c(OC)c1 ZINC001203800564 1133369374 /nfs/dbraw/zinc/36/93/74/1133369374.db2.gz XTGVNPHLSISIEB-UHFFFAOYSA-N 1 2 281.359 3.677 20 0 CHADLO Clc1ccc(C[NH+]2CC3(CCC3)C2)cc1Cl ZINC001203803602 1133371861 /nfs/dbraw/zinc/37/18/61/1133371861.db2.gz GDAIKUNLTCCOFK-UHFFFAOYSA-N 1 2 256.176 3.979 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(Oc3ccccc3)c2)CCO1 ZINC001203810953 1133373212 /nfs/dbraw/zinc/37/32/12/1133373212.db2.gz LCSAELXSHTVYOT-HNNXBMFYSA-N 1 2 283.371 3.700 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(Oc3ccccc3)c2)CCO1 ZINC001203810953 1133373218 /nfs/dbraw/zinc/37/32/18/1133373218.db2.gz LCSAELXSHTVYOT-HNNXBMFYSA-N 1 2 283.371 3.700 20 0 CHADLO c1nn(C2CCOCC2)cc1Nc1cccc2cc[nH+]cc21 ZINC001203901229 1133383218 /nfs/dbraw/zinc/38/32/18/1133383218.db2.gz SERKHWYQCIDCRV-UHFFFAOYSA-N 1 2 294.358 3.526 20 0 CHADLO c1cc(C[NH+]2CCN(C3(c4ccccc4)CC3)CC2)cs1 ZINC001204042889 1133402722 /nfs/dbraw/zinc/40/27/22/1133402722.db2.gz NZRYFKABXMBRJI-UHFFFAOYSA-N 1 2 298.455 3.555 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1ccsc1)CC2 ZINC001204043158 1133403994 /nfs/dbraw/zinc/40/39/94/1133403994.db2.gz XCMQKFWFNSYIOZ-UHFFFAOYSA-N 1 2 271.385 3.509 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1ccsc1)CC2 ZINC001204043158 1133403995 /nfs/dbraw/zinc/40/39/95/1133403995.db2.gz XCMQKFWFNSYIOZ-UHFFFAOYSA-N 1 2 271.385 3.509 20 0 CHADLO Fc1ccc([C@H]2CSCC[N@@H+]2Cc2ccoc2)cc1 ZINC001204245363 1133424025 /nfs/dbraw/zinc/42/40/25/1133424025.db2.gz KOAWYBUGYKHRCD-OAHLLOKOSA-N 1 2 277.364 3.709 20 0 CHADLO Fc1ccc([C@H]2CSCC[N@H+]2Cc2ccoc2)cc1 ZINC001204245363 1133424030 /nfs/dbraw/zinc/42/40/30/1133424030.db2.gz KOAWYBUGYKHRCD-OAHLLOKOSA-N 1 2 277.364 3.709 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c2cc[nH]c2ccc1F ZINC001204257323 1133425946 /nfs/dbraw/zinc/42/59/46/1133425946.db2.gz UJBQARWNARHXID-UHFFFAOYSA-N 1 2 271.295 3.844 20 0 CHADLO CCCOc1ccc(C[NH+]2CC(Oc3ccccc3)C2)cc1 ZINC001204308590 1133433454 /nfs/dbraw/zinc/43/34/54/1133433454.db2.gz FYKXGGXWSQNMLP-UHFFFAOYSA-N 1 2 297.398 3.739 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cn(C)c2ccccc12 ZINC001204319796 1133436222 /nfs/dbraw/zinc/43/62/22/1133436222.db2.gz BBWZHTMVGANNLN-AWEZNQCLSA-N 1 2 279.387 3.557 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cn(C)c2ccccc12 ZINC001204319796 1133436227 /nfs/dbraw/zinc/43/62/27/1133436227.db2.gz BBWZHTMVGANNLN-AWEZNQCLSA-N 1 2 279.387 3.557 20 0 CHADLO Fc1ccc(Br)cc1C[NH+]1CCC(F)CC1 ZINC001204496373 1133453842 /nfs/dbraw/zinc/45/38/42/1133453842.db2.gz ONIRALIHBRACGQ-UHFFFAOYSA-N 1 2 290.151 3.522 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cc(C)c(C)o2)no1 ZINC001204532250 1133458886 /nfs/dbraw/zinc/45/88/86/1133458886.db2.gz SXVLLUWWVACIRX-HNNXBMFYSA-N 1 2 260.337 3.530 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cc(C)c(C)o2)no1 ZINC001204532250 1133458890 /nfs/dbraw/zinc/45/88/90/1133458890.db2.gz SXVLLUWWVACIRX-HNNXBMFYSA-N 1 2 260.337 3.530 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cccc3c2CCC3)cs1 ZINC001204852058 1133492527 /nfs/dbraw/zinc/49/25/27/1133492527.db2.gz WJALOXHLSMBNGE-UHFFFAOYSA-N 1 2 286.444 3.826 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cccc3c2CCC3)cs1 ZINC001204852058 1133492531 /nfs/dbraw/zinc/49/25/31/1133492531.db2.gz WJALOXHLSMBNGE-UHFFFAOYSA-N 1 2 286.444 3.826 20 0 CHADLO Fc1cc2cc(Nc3ccn4cc[nH+]c4c3)cnc2cc1F ZINC001204966787 1133509388 /nfs/dbraw/zinc/50/93/88/1133509388.db2.gz XDPPAYZCKHRQAA-UHFFFAOYSA-N 1 2 296.280 3.904 20 0 CHADLO Cc1ccnc(C(C)C)c1Nc1ccn2cc[nH+]c2c1 ZINC001204970929 1133509986 /nfs/dbraw/zinc/50/99/86/1133509986.db2.gz BZBNXEBLWCATMH-UHFFFAOYSA-N 1 2 266.348 3.905 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccn2ccnc2c1 ZINC001204970929 1133509991 /nfs/dbraw/zinc/50/99/91/1133509991.db2.gz BZBNXEBLWCATMH-UHFFFAOYSA-N 1 2 266.348 3.905 20 0 CHADLO Cc1c(C)c(-c2ccc(Cn3cc[nH+]c3)cc2)ccc1CO ZINC001204975731 1133510138 /nfs/dbraw/zinc/51/01/38/1133510138.db2.gz CMQZGRAISUQQGS-UHFFFAOYSA-N 1 2 292.382 3.708 20 0 CHADLO Cc1cc(Nc2ccn3cc[nH+]c3c2)cnc1OC(C)C ZINC001204971787 1133510434 /nfs/dbraw/zinc/51/04/34/1133510434.db2.gz QGAVTOAXHYWMPA-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO Cc1cncc2cccc(Nc3ccn4cc[nH+]c4c3)c12 ZINC001204990577 1133513738 /nfs/dbraw/zinc/51/37/38/1133513738.db2.gz AOCWFLPGKRBFNP-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1cc(F)c(Nc2ccn3cc[nH+]c3c2)cc1F ZINC001204992019 1133513974 /nfs/dbraw/zinc/51/39/74/1133513974.db2.gz XOGJYBCWMQWWHD-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CC(=O)c1ccc(C)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204991401 1133514040 /nfs/dbraw/zinc/51/40/40/1133514040.db2.gz PWDSSXLYJIYARO-UHFFFAOYSA-N 1 2 265.316 3.589 20 0 CHADLO CC(=O)c1ccc(Nc2ccn3cc[nH+]c3c2)c(Cl)c1 ZINC001204996958 1133515251 /nfs/dbraw/zinc/51/52/51/1133515251.db2.gz CFYLEJQYLZLEGT-UHFFFAOYSA-N 1 2 285.734 3.934 20 0 CHADLO CCCCO[C@H]1CCC[N@H+](Cc2cnc(Cl)s2)C1 ZINC001205150017 1133537613 /nfs/dbraw/zinc/53/76/13/1133537613.db2.gz XGPZEDCBXUMXDD-NSHDSACASA-N 1 2 288.844 3.578 20 0 CHADLO CCCCO[C@H]1CCC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC001205150017 1133537618 /nfs/dbraw/zinc/53/76/18/1133537618.db2.gz XGPZEDCBXUMXDD-NSHDSACASA-N 1 2 288.844 3.578 20 0 CHADLO CC(C)[C@@H](C)c1nc(-c2ccc(-n3cc[nH+]c3)cc2)no1 ZINC001205187577 1133542756 /nfs/dbraw/zinc/54/27/56/1133542756.db2.gz BTJNWZXHZWKESQ-GFCCVEGCSA-N 1 2 282.347 3.682 20 0 CHADLO FC1=CCC[N@H+](Cc2ccc(CC(F)(F)F)cc2)C1 ZINC001205341227 1133564412 /nfs/dbraw/zinc/56/44/12/1133564412.db2.gz YXSMWHZUDPNFQQ-UHFFFAOYSA-N 1 2 273.273 3.851 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccc(CC(F)(F)F)cc2)C1 ZINC001205341227 1133564417 /nfs/dbraw/zinc/56/44/17/1133564417.db2.gz YXSMWHZUDPNFQQ-UHFFFAOYSA-N 1 2 273.273 3.851 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1cnoc1 ZINC001205731440 1133607109 /nfs/dbraw/zinc/60/71/09/1133607109.db2.gz GKOOEOMHSOKKCB-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1cnoc1 ZINC001205731440 1133607112 /nfs/dbraw/zinc/60/71/12/1133607112.db2.gz GKOOEOMHSOKKCB-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO Fc1nccc(Cl)c1C[N@@H+]1CCC=C(c2ccco2)C1 ZINC001205752795 1133609656 /nfs/dbraw/zinc/60/96/56/1133609656.db2.gz PEWUYOQIGOGDLM-UHFFFAOYSA-N 1 2 292.741 3.756 20 0 CHADLO Fc1nccc(Cl)c1C[N@H+]1CCC=C(c2ccco2)C1 ZINC001205752795 1133609657 /nfs/dbraw/zinc/60/96/57/1133609657.db2.gz PEWUYOQIGOGDLM-UHFFFAOYSA-N 1 2 292.741 3.756 20 0 CHADLO CCOc1c(F)c(F)ccc1-c1cc(C)c2[nH+]ccn2c1 ZINC001205810481 1133616991 /nfs/dbraw/zinc/61/69/91/1133616991.db2.gz PJYHQRCAVWEGRZ-UHFFFAOYSA-N 1 2 288.297 3.987 20 0 CHADLO CCOc1c(F)c(F)ccc1-c1ccc2[nH+]ccn2c1C ZINC001205823818 1133619238 /nfs/dbraw/zinc/61/92/38/1133619238.db2.gz LRJHKPIBSUDBBY-UHFFFAOYSA-N 1 2 288.297 3.987 20 0 CHADLO CC(C)Cn1cc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001205870536 1133625160 /nfs/dbraw/zinc/62/51/60/1133625160.db2.gz ONXHHFQEMPGGSA-UHFFFAOYSA-N 1 2 266.348 3.831 20 0 CHADLO CC(C)[C@@H]1[N@H+](Cc2cnc(Cl)c(F)c2)CC12CCC2 ZINC001206032399 1133644950 /nfs/dbraw/zinc/64/49/50/1133644950.db2.gz SHBITZVOXQXKJV-ZDUSSCGKSA-N 1 2 282.790 3.885 20 0 CHADLO CC(C)[C@@H]1[N@@H+](Cc2cnc(Cl)c(F)c2)CC12CCC2 ZINC001206032399 1133644951 /nfs/dbraw/zinc/64/49/51/1133644951.db2.gz SHBITZVOXQXKJV-ZDUSSCGKSA-N 1 2 282.790 3.885 20 0 CHADLO CSc1cc(Nc2cnc3[nH]cc(C)c3c2)cc[nH+]1 ZINC001206033106 1133645526 /nfs/dbraw/zinc/64/55/26/1133645526.db2.gz GZHCADDJCZYGED-UHFFFAOYSA-N 1 2 270.361 3.732 20 0 CHADLO CCCOc1ccc(-c2cccc3[nH+]ccn32)c(F)c1 ZINC001206143519 1133663635 /nfs/dbraw/zinc/66/36/35/1133663635.db2.gz VAYWAYMNVPOHPD-UHFFFAOYSA-N 1 2 270.307 3.929 20 0 CHADLO Cc1cc(O)cc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001206234327 1133673857 /nfs/dbraw/zinc/67/38/57/1133673857.db2.gz SOOIHYZWOGARFO-UHFFFAOYSA-N 1 2 250.301 3.553 20 0 CHADLO CCCOc1ccc(F)c(/C(C)=C/C=C/[NH3+])c1F ZINC001206739161 1133739183 /nfs/dbraw/zinc/73/91/83/1133739183.db2.gz ZDLFWERFKQISSI-DXNUHORPSA-N 1 2 253.292 3.629 20 0 CHADLO C[N@H+](Cc1ccc[nH]c1=O)Cc1c(Cl)cccc1Cl ZINC001207005306 1133759454 /nfs/dbraw/zinc/75/94/54/1133759454.db2.gz INSYYSHOUABTMH-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1ccc[nH]c1=O)Cc1c(Cl)cccc1Cl ZINC001207005306 1133759456 /nfs/dbraw/zinc/75/94/56/1133759456.db2.gz INSYYSHOUABTMH-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2ncccc2F)c1 ZINC001207033084 1133761152 /nfs/dbraw/zinc/76/11/52/1133761152.db2.gz PIWFSGYDKDLKAO-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2ncccc2F)c1 ZINC001207033084 1133761157 /nfs/dbraw/zinc/76/11/57/1133761157.db2.gz PIWFSGYDKDLKAO-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO FC1(C2CC2)C[NH+](C[C@H]2C[C@@H]2c2ccc(Cl)cc2)C1 ZINC001207180364 1133774845 /nfs/dbraw/zinc/77/48/45/1133774845.db2.gz HRRJHZWFYJDIOP-IUODEOHRSA-N 1 2 279.786 3.877 20 0 CHADLO CS[C@@H]1CCC[C@@H](Nc2c[nH+]c(C)c(C)c2)C1 ZINC001207326497 1133791645 /nfs/dbraw/zinc/79/16/45/1133791645.db2.gz PFKVFFQKKXFQRK-TZMCWYRMSA-N 1 2 250.411 3.785 20 0 CHADLO Cc1cccc(CC[N@@H+]2CCC[C@H](F)C(F)(F)C2)c1 ZINC001207532800 1133815696 /nfs/dbraw/zinc/81/56/96/1133815696.db2.gz GWQPUFCAILTUSJ-AWEZNQCLSA-N 1 2 271.326 3.607 20 0 CHADLO Cc1cccc(CC[N@H+]2CCC[C@H](F)C(F)(F)C2)c1 ZINC001207532800 1133815700 /nfs/dbraw/zinc/81/57/00/1133815700.db2.gz GWQPUFCAILTUSJ-AWEZNQCLSA-N 1 2 271.326 3.607 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(F)cc(F)c3C2)c(C)o1 ZINC001207858421 1133855593 /nfs/dbraw/zinc/85/55/93/1133855593.db2.gz OSWOBVNLYDVFQQ-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(F)cc(F)c3C2)c(C)o1 ZINC001207858421 1133855599 /nfs/dbraw/zinc/85/55/99/1133855599.db2.gz OSWOBVNLYDVFQQ-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO Cc1cccnc1CNc1[nH+]c2ccccc2n1CC1CC1 ZINC001207873199 1133856261 /nfs/dbraw/zinc/85/62/61/1133856261.db2.gz NCXDCMKPSVFDIU-UHFFFAOYSA-N 1 2 292.386 3.762 20 0 CHADLO CC(C)c1ccc(C[C@@H](C)C[N@@H+]2CCCC(=O)C2)cc1 ZINC001207937050 1133866010 /nfs/dbraw/zinc/86/60/10/1133866010.db2.gz NHWZBJYJBRWNOG-OAHLLOKOSA-N 1 2 273.420 3.654 20 0 CHADLO CC(C)c1ccc(C[C@@H](C)C[N@H+]2CCCC(=O)C2)cc1 ZINC001207937050 1133866016 /nfs/dbraw/zinc/86/60/16/1133866016.db2.gz NHWZBJYJBRWNOG-OAHLLOKOSA-N 1 2 273.420 3.654 20 0 CHADLO CC/C=C\CCCCC[N@@H+]1CCCC(=O)[C@H](F)C1 ZINC001208192049 1133903926 /nfs/dbraw/zinc/90/39/26/1133903926.db2.gz YVBXSYHCKAJBED-VQTKUKTRSA-N 1 2 255.377 3.516 20 0 CHADLO CC/C=C\CCCCC[N@H+]1CCCC(=O)[C@H](F)C1 ZINC001208192049 1133903929 /nfs/dbraw/zinc/90/39/29/1133903929.db2.gz YVBXSYHCKAJBED-VQTKUKTRSA-N 1 2 255.377 3.516 20 0 CHADLO Cc1nc(C[N@@H+](C)C[C@@H](C)c2cccc3ccccc32)no1 ZINC001208708595 1133934856 /nfs/dbraw/zinc/93/48/56/1133934856.db2.gz YMKIIWYVVJDVPE-CYBMUJFWSA-N 1 2 295.386 3.767 20 0 CHADLO Cc1nc(C[N@H+](C)C[C@@H](C)c2cccc3ccccc32)no1 ZINC001208708595 1133934860 /nfs/dbraw/zinc/93/48/60/1133934860.db2.gz YMKIIWYVVJDVPE-CYBMUJFWSA-N 1 2 295.386 3.767 20 0 CHADLO c1nc2c(s1)C[N@@H+](CC1(c3ccccc3)CCCC1)C2 ZINC001208780831 1133944337 /nfs/dbraw/zinc/94/43/37/1133944337.db2.gz UFQHUAJBBCHNNV-UHFFFAOYSA-N 1 2 284.428 3.971 20 0 CHADLO c1nc2c(s1)C[N@H+](CC1(c3ccccc3)CCCC1)C2 ZINC001208780831 1133944342 /nfs/dbraw/zinc/94/43/42/1133944342.db2.gz UFQHUAJBBCHNNV-UHFFFAOYSA-N 1 2 284.428 3.971 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](CCCc1ccccc1Cl)C2 ZINC001208874946 1133956887 /nfs/dbraw/zinc/95/68/87/1133956887.db2.gz PSUYBNQSCGFBAC-UHFFFAOYSA-N 1 2 286.806 3.992 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](CCCc1ccccc1Cl)C2 ZINC001208874946 1133956892 /nfs/dbraw/zinc/95/68/92/1133956892.db2.gz PSUYBNQSCGFBAC-UHFFFAOYSA-N 1 2 286.806 3.992 20 0 CHADLO C[C@H](C[N@@H+]1CCc2c3ccccc3oc2C1)C(F)(F)F ZINC001208890764 1133958106 /nfs/dbraw/zinc/95/81/06/1133958106.db2.gz HGVJJEXWDPTRCX-SNVBAGLBSA-N 1 2 283.293 3.989 20 0 CHADLO C[C@H](C[N@H+]1CCc2c3ccccc3oc2C1)C(F)(F)F ZINC001208890764 1133958109 /nfs/dbraw/zinc/95/81/09/1133958109.db2.gz HGVJJEXWDPTRCX-SNVBAGLBSA-N 1 2 283.293 3.989 20 0 CHADLO Cc1c[nH+]c(NC2=CCN(c3ccccc3)CC2)c(C)c1 ZINC001209009174 1133968699 /nfs/dbraw/zinc/96/86/99/1133968699.db2.gz HAPXQVWHWQZCEP-UHFFFAOYSA-N 1 2 279.387 3.905 20 0 CHADLO Cc1n[nH]c(C)c1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000090086555 1133971133 /nfs/dbraw/zinc/97/11/33/1133971133.db2.gz HIMLTQFZZGOAED-UHFFFAOYSA-N 1 2 287.411 3.675 20 0 CHADLO CCCc1ccccc1NCc1c[nH+]cn1CCC ZINC000090204256 1133987943 /nfs/dbraw/zinc/98/79/43/1133987943.db2.gz IMKGVGDSUGUNJI-UHFFFAOYSA-N 1 2 257.381 3.858 20 0 CHADLO COCCCC[N@@H+]1CC[C@H](Cc2ccccc2)C(F)(F)C1 ZINC001209224025 1133991566 /nfs/dbraw/zinc/99/15/66/1133991566.db2.gz RKBHFIQCHBMILP-MRXNPFEDSA-N 1 2 297.389 3.613 20 0 CHADLO COCCCC[N@H+]1CC[C@H](Cc2ccccc2)C(F)(F)C1 ZINC001209224025 1133991572 /nfs/dbraw/zinc/99/15/72/1133991572.db2.gz RKBHFIQCHBMILP-MRXNPFEDSA-N 1 2 297.389 3.613 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(c1)NCCO2 ZINC001209796537 1134065377 /nfs/dbraw/zinc/06/53/77/1134065377.db2.gz KJBRLSFLIKZUEV-UHFFFAOYSA-N 1 2 269.348 3.500 20 0 CHADLO Oc1cc(Nc2ccc3[nH]c[nH+]c3c2)c(F)cc1Cl ZINC001209846437 1134080752 /nfs/dbraw/zinc/08/07/52/1134080752.db2.gz BVAUUVUVGCIGHT-UHFFFAOYSA-N 1 2 277.686 3.805 20 0 CHADLO COc1cc(C)c(Nc2ccc3c(C)cc[nH+]c3c2)cn1 ZINC001209872394 1134091319 /nfs/dbraw/zinc/09/13/19/1134091319.db2.gz UMSHSGIGFCRNBZ-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO C=Cc1cccc(Nc2ccccc2C[NH+]2CCOCC2)c1 ZINC001209919314 1134101613 /nfs/dbraw/zinc/10/16/13/1134101613.db2.gz RSSDPFSYYVUVTO-UHFFFAOYSA-N 1 2 294.398 3.905 20 0 CHADLO CO[C@H](C)c1cccc(Nc2[nH+]cc(O)cc2C)c1 ZINC001209946615 1134106474 /nfs/dbraw/zinc/10/64/74/1134106474.db2.gz OIMPQDKHRREZEW-LLVKDONJSA-N 1 2 258.321 3.547 20 0 CHADLO CO[C@@H](C)c1cccc(Nc2cccc3[nH+]ccn32)c1 ZINC001209947368 1134107103 /nfs/dbraw/zinc/10/71/03/1134107103.db2.gz VMBXADCCOOAEPY-LBPRGKRZSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(OC(C)C)c(F)c2)[nH+]1 ZINC001209997023 1134119481 /nfs/dbraw/zinc/11/94/81/1134119481.db2.gz PTKAGOKTXVJOTL-UHFFFAOYSA-N 1 2 276.311 3.766 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N(C)C)c(C)c2)c[nH+]1 ZINC001210040059 1134131389 /nfs/dbraw/zinc/13/13/89/1134131389.db2.gz OIXGZCMAWYRISH-UHFFFAOYSA-N 1 2 255.365 3.816 20 0 CHADLO COc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1O ZINC001210041672 1134131534 /nfs/dbraw/zinc/13/15/34/1134131534.db2.gz QQJDNTXDUQVYJU-UHFFFAOYSA-N 1 2 272.348 3.519 20 0 CHADLO Cc1cc(Nc2cccc(Cl)c2CO)ccc1[NH+](C)C ZINC001210043310 1134131972 /nfs/dbraw/zinc/13/19/72/1134131972.db2.gz CYILTUWPWWGEOV-UHFFFAOYSA-N 1 2 290.794 3.950 20 0 CHADLO Cc1cc(Nc2cccc3cnncc32)ccc1[NH+](C)C ZINC001210043846 1134133357 /nfs/dbraw/zinc/13/33/57/1134133357.db2.gz SKXILBCLDZBWDO-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO FC(F)(F)c1cnc(Nc2ccc3c[nH+]ccc3c2)nc1 ZINC001210043947 1134133569 /nfs/dbraw/zinc/13/35/69/1134133569.db2.gz LUURKUJGSWKSCX-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO COc1ccc(C)nc1Nc1ccc2c[nH+]ccc2c1 ZINC001210046742 1134134641 /nfs/dbraw/zinc/13/46/41/1134134641.db2.gz POXLDYGSIGMVHJ-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Nc1ccc(N(c2ccc3c[nH+]ccc3c2)C2CCC2)nc1 ZINC001210047250 1134135700 /nfs/dbraw/zinc/13/57/00/1134135700.db2.gz TVISZLPTESBBCW-UHFFFAOYSA-N 1 2 290.370 3.903 20 0 CHADLO Cc1cc2n[nH]cc2cc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001210103050 1134146809 /nfs/dbraw/zinc/14/68/09/1134146809.db2.gz SQAFYYKZSJELCX-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO COc1cc(Nc2cccc(Cl)c2O)cc(C)[nH+]1 ZINC001210219247 1134169096 /nfs/dbraw/zinc/16/90/96/1134169096.db2.gz GDUZZCQKFCNSOM-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO FC(F)(F)c1ncc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001210223915 1134171349 /nfs/dbraw/zinc/17/13/49/1134171349.db2.gz IPOAJBOGLFLCAT-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO FC(F)(F)c1cncc(Nc2cccc3cc[nH+]cc32)n1 ZINC001210223623 1134171845 /nfs/dbraw/zinc/17/18/45/1134171845.db2.gz AOHQABZOMAGNBN-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO Clc1cc(Nc2cccc3cc[nH+]cc32)n2nccc2n1 ZINC001210226007 1134172144 /nfs/dbraw/zinc/17/21/44/1134172144.db2.gz PEWLXRAKLZRKSG-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO CC(=O)c1cccc(Nc2cccc3cc[nH+]cc32)n1 ZINC001210225477 1134172370 /nfs/dbraw/zinc/17/23/70/1134172370.db2.gz HKTRGTZEHMEDAD-UHFFFAOYSA-N 1 2 263.300 3.576 20 0 CHADLO COc1nccc(Nc2cccc3cc[nH+]cc32)c1F ZINC001210225033 1134172771 /nfs/dbraw/zinc/17/27/71/1134172771.db2.gz BARHEJIGKRMAHW-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO Cc1cnc(Cl)c(Nc2cccc3cc[nH+]cc32)c1N ZINC001210225038 1134172989 /nfs/dbraw/zinc/17/29/89/1134172989.db2.gz BFKQYLHELQSVOY-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO FC(F)(F)c1ccnnc1Nc1cccc2cc[nH+]cc21 ZINC001210232030 1134173456 /nfs/dbraw/zinc/17/34/56/1134173456.db2.gz WOVMEIOQMJODMF-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO COc1c(O)cccc1Nc1cccc2cc[nH+]cc21 ZINC001210233086 1134175047 /nfs/dbraw/zinc/17/50/47/1134175047.db2.gz RHNQFBOELHXBPH-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO Cc1[nH+]cc(Nc2cc(-c3cccc(F)c3)ccn2)cc1N ZINC001210286096 1134185545 /nfs/dbraw/zinc/18/55/45/1134185545.db2.gz VHVLSTGYRFCXDJ-UHFFFAOYSA-N 1 2 294.333 3.917 20 0 CHADLO Cc1cc(OC(F)F)ccc1Nc1c[nH+]c(C)c(N)c1 ZINC001210287924 1134185616 /nfs/dbraw/zinc/18/56/16/1134185616.db2.gz HCZISENOAQPUED-UHFFFAOYSA-N 1 2 279.290 3.626 20 0 CHADLO COc1cc(Nc2c[nH+]c(C)c(N)c2)cc(C(F)(F)F)c1 ZINC001210287712 1134185636 /nfs/dbraw/zinc/18/56/36/1134185636.db2.gz BAFIZHPQRZJFAE-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)c(Cl)cc2N)cc1N ZINC001210290370 1134186360 /nfs/dbraw/zinc/18/63/60/1134186360.db2.gz KQXHZFGADVBKAJ-UHFFFAOYSA-N 1 2 283.162 3.605 20 0 CHADLO CCOc1ccc(Nc2c[nH+]c(C)c(N)c2)cc1Cl ZINC001210289219 1134186612 /nfs/dbraw/zinc/18/66/12/1134186612.db2.gz CBWOEKVUORHWHZ-UHFFFAOYSA-N 1 2 277.755 3.768 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)c(C3CC3)c2)cc1N ZINC001210294060 1134187826 /nfs/dbraw/zinc/18/78/26/1134187826.db2.gz QZKMMEPFXQQNLE-UHFFFAOYSA-N 1 2 257.312 3.732 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc(-n2ccnn2)cc1 ZINC001210295420 1134187937 /nfs/dbraw/zinc/18/79/37/1134187937.db2.gz GJYBQEZNTAVWGJ-UHFFFAOYSA-N 1 2 279.347 3.529 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(Cl)cnc1OC ZINC001210453143 1134218076 /nfs/dbraw/zinc/21/80/76/1134218076.db2.gz UKHOMRYVCWBERE-UHFFFAOYSA-N 1 2 277.755 3.753 20 0 CHADLO COCOc1ccc(Nc2[nH+]cccc2C2CC2)c(C)c1 ZINC001210491924 1134227735 /nfs/dbraw/zinc/22/77/35/1134227735.db2.gz GMQKHAFFTXUZAU-UHFFFAOYSA-N 1 2 284.359 3.994 20 0 CHADLO C[C@@H](O)c1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1 ZINC001210639937 1134266120 /nfs/dbraw/zinc/26/61/20/1134266120.db2.gz CMVNRFUKYRRLJO-CQSZACIVSA-N 1 2 297.402 3.869 20 0 CHADLO C[C@H](O)c1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001210649693 1134268890 /nfs/dbraw/zinc/26/88/90/1134268890.db2.gz XKVWEQBCOSKFEF-AWEZNQCLSA-N 1 2 293.370 3.728 20 0 CHADLO CCOc1cncc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001210659938 1134270516 /nfs/dbraw/zinc/27/05/16/1134270516.db2.gz UFBQJKIEOIFMSO-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO CCc1cc(OC)ccc1Nc1cccn2cc[nH+]c12 ZINC001210711679 1134277562 /nfs/dbraw/zinc/27/75/62/1134277562.db2.gz RKKWXOVRAYWZGK-UHFFFAOYSA-N 1 2 267.332 3.649 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC)cc1CC ZINC001210715367 1134281087 /nfs/dbraw/zinc/28/10/87/1134281087.db2.gz LGVJCHRWKYBPSV-UHFFFAOYSA-N 1 2 272.348 3.795 20 0 CHADLO c1cn(-c2ccccc2Nc2ccnc(OC3CC3)c2)c[nH+]1 ZINC001210748946 1134289057 /nfs/dbraw/zinc/28/90/57/1134289057.db2.gz PCCASPRUFDCGHZ-UHFFFAOYSA-N 1 2 292.342 3.552 20 0 CHADLO COc1cc(Nc2ccc(-c3cnco3)cc2)cc(C)[nH+]1 ZINC001210780584 1134294856 /nfs/dbraw/zinc/29/48/56/1134294856.db2.gz FQUJBNNNKWCFSN-UHFFFAOYSA-N 1 2 281.315 3.797 20 0 CHADLO COc1cc(Nc2cnccc2C2CCC2)cc(C)[nH+]1 ZINC001210779424 1134295811 /nfs/dbraw/zinc/29/58/11/1134295811.db2.gz PITDOMZGPWVZSU-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO COc1cc2cccnc2c(Nc2cc(C)[nH+]c(OC)c2)c1 ZINC001210780805 1134295914 /nfs/dbraw/zinc/29/59/14/1134295914.db2.gz HLUFQEIMDPTLRO-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO COc1cc(Nc2c(F)c(F)cc(F)c2F)cc(C)[nH+]1 ZINC001210780374 1134295942 /nfs/dbraw/zinc/29/59/42/1134295942.db2.gz QNXBJULMAXSJCW-UHFFFAOYSA-N 1 2 286.228 3.699 20 0 CHADLO Cc1cc(C)c(Nc2ccccc2OC2CC2)c[nH+]1 ZINC001210834508 1134306583 /nfs/dbraw/zinc/30/65/83/1134306583.db2.gz BPHHQEDAWKEVOM-UHFFFAOYSA-N 1 2 254.333 3.983 20 0 CHADLO c1cn2cccc(Nc3ccccc3OC3CC3)c2[nH+]1 ZINC001210834927 1134307767 /nfs/dbraw/zinc/30/77/67/1134307767.db2.gz IPSQOAXLZBEPHB-UHFFFAOYSA-N 1 2 265.316 3.619 20 0 CHADLO Nc1c(F)cc(Nc2cccc(C3CCC3)[nH+]2)cc1F ZINC001210907217 1134324128 /nfs/dbraw/zinc/32/41/28/1134324128.db2.gz HAPGNMPVWDRIRF-UHFFFAOYSA-N 1 2 275.302 3.953 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)C(=O)CCC3)[nH+]c1 ZINC001210932329 1134330700 /nfs/dbraw/zinc/33/07/00/1134330700.db2.gz WDMMZSDVINDDSG-UHFFFAOYSA-N 1 2 252.317 3.653 20 0 CHADLO C[NH+](C)Cc1ccc(Nc2cccc(S)c2)cc1 ZINC001210947043 1134335459 /nfs/dbraw/zinc/33/54/59/1134335459.db2.gz BQIUYJWBYYMOMB-UHFFFAOYSA-N 1 2 258.390 3.781 20 0 CHADLO COc1cc(Nc2ccc(C)c[nH+]2)ccc1N1CCCC1 ZINC001211012811 1134349294 /nfs/dbraw/zinc/34/92/94/1134349294.db2.gz LIBWHMRUPIFEQJ-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO Cc1ccc(Nc2cccc(Br)c2)[nH+]c1 ZINC001211014228 1134350462 /nfs/dbraw/zinc/35/04/62/1134350462.db2.gz VAWLRKONZYRATH-UHFFFAOYSA-N 1 2 263.138 3.896 20 0 CHADLO CCOc1cc(Nc2cncc(SC)c2)cc(C)[nH+]1 ZINC001211066615 1134361763 /nfs/dbraw/zinc/36/17/63/1134361763.db2.gz BAUCPLFBKLOWLC-UHFFFAOYSA-N 1 2 275.377 3.649 20 0 CHADLO Cc1c(CO)cccc1Nc1[nH+]cccc1N1CCCCC1 ZINC001211163371 1134382683 /nfs/dbraw/zinc/38/26/83/1134382683.db2.gz KASJPWKYIGUMAV-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO CCOC(=O)c1cccc(Nc2c[nH+]c(C)cc2C)c1 ZINC001211204054 1134389112 /nfs/dbraw/zinc/38/91/12/1134389112.db2.gz LVMKQAIAGJFJFB-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO CCOC(=O)c1cccc(Nc2cccc(CC)[nH+]2)c1 ZINC001211204160 1134389177 /nfs/dbraw/zinc/38/91/77/1134389177.db2.gz CBHYAWLSSUUXSP-UHFFFAOYSA-N 1 2 270.332 3.564 20 0 CHADLO CSCc1cc[nH+]c(NCc2cn3cccc(C)c3n2)c1 ZINC000091628224 1134415328 /nfs/dbraw/zinc/41/53/28/1134415328.db2.gz UZNQILZQVWKQMB-UHFFFAOYSA-N 1 2 298.415 3.513 20 0 CHADLO CCc1cccc(-c2noc([C@](C)([NH3+])c3ccccc3)n2)c1 ZINC001211442624 1134417310 /nfs/dbraw/zinc/41/73/10/1134417310.db2.gz JOTLBFUOCITMAU-GOSISDBHSA-N 1 2 293.370 3.521 20 0 CHADLO FC(F)(F)c1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)on1 ZINC001213085275 1134424548 /nfs/dbraw/zinc/42/45/48/1134424548.db2.gz BEBGVTZILZGMGC-UHFFFAOYSA-N 1 2 294.236 3.827 20 0 CHADLO CC(C)n1cnc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213088075 1134424786 /nfs/dbraw/zinc/42/47/86/1134424786.db2.gz ODXRECOFHHDURZ-UHFFFAOYSA-N 1 2 267.336 3.598 20 0 CHADLO CC(C)(C)c1nccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n1 ZINC001213088207 1134424815 /nfs/dbraw/zinc/42/48/15/1134424815.db2.gz VYJOHUHPAJFRDP-UHFFFAOYSA-N 1 2 293.374 3.908 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4nsnc4c3)cc2)[nH]1 ZINC001213087873 1134424906 /nfs/dbraw/zinc/42/49/06/1134424906.db2.gz FHQIJKLNSRFHJN-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO COc1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1OC ZINC001213087926 1134424913 /nfs/dbraw/zinc/42/49/13/1134424913.db2.gz HQBIXOSVRGOZLW-UHFFFAOYSA-N 1 2 295.342 3.838 20 0 CHADLO COCOc1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213090930 1134425625 /nfs/dbraw/zinc/42/56/25/1134425625.db2.gz NKCVZLNKBBSORA-UHFFFAOYSA-N 1 2 295.342 3.803 20 0 CHADLO Oc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(F)c1 ZINC001213088993 1134425680 /nfs/dbraw/zinc/42/56/80/1134425680.db2.gz NZJRCPOLKPPZNX-UHFFFAOYSA-N 1 2 269.279 3.665 20 0 CHADLO OCc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(Cl)c1 ZINC001213091993 1134425719 /nfs/dbraw/zinc/42/57/19/1134425719.db2.gz VZOPTDWYNCRSCM-UHFFFAOYSA-N 1 2 299.761 3.966 20 0 CHADLO COc1cccc(OC)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089222 1134425840 /nfs/dbraw/zinc/42/58/40/1134425840.db2.gz ZXHVNNUVOFZCHS-UHFFFAOYSA-N 1 2 295.342 3.838 20 0 CHADLO CCOc1ccc(Nc2cccc(C)[nH+]2)c(OC)c1 ZINC001213115184 1134428757 /nfs/dbraw/zinc/42/87/57/1134428757.db2.gz OBHGDWCZGZDHTR-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)NCC3)c(C2CC2)c1 ZINC001213149962 1134431269 /nfs/dbraw/zinc/43/12/69/1134431269.db2.gz OMYIAFVZAFBTDG-UHFFFAOYSA-N 1 2 251.333 3.671 20 0 CHADLO CCOc1cc(Nc2cc(F)c(O)c(F)c2)cc(C)[nH+]1 ZINC001213374952 1134444840 /nfs/dbraw/zinc/44/48/40/1134444840.db2.gz HOTDZCDYEZCKHY-UHFFFAOYSA-N 1 2 280.274 3.516 20 0 CHADLO COc1ccc(Nc2cc(C3CC3)c[nH+]c2C)c(C)n1 ZINC001213491273 1134453480 /nfs/dbraw/zinc/45/34/80/1134453480.db2.gz FTDNSDKFKHTRIM-UHFFFAOYSA-N 1 2 269.348 3.723 20 0 CHADLO Cc1ccc(F)c(Nc2cc(C3CC3)c[nH+]c2C)n1 ZINC001213494675 1134454012 /nfs/dbraw/zinc/45/40/12/1134454012.db2.gz FRCMHZNSKUZBCN-UHFFFAOYSA-N 1 2 257.312 3.854 20 0 CHADLO COC(=O)c1cccc(Nc2cc(C3CC3)c[nH+]c2C)c1O ZINC001213499694 1134454878 /nfs/dbraw/zinc/45/48/78/1134454878.db2.gz WEHJSSVTIQBVEM-UHFFFAOYSA-N 1 2 298.342 3.503 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1OCC2 ZINC001213499648 1134455206 /nfs/dbraw/zinc/45/52/06/1134455206.db2.gz UMOACPLJRUUGPT-UHFFFAOYSA-N 1 2 266.344 3.946 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccn2nccc12 ZINC001213497077 1134455246 /nfs/dbraw/zinc/45/52/46/1134455246.db2.gz HGZVFQWGJXFCTD-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO CCc1cc2ncc(Nc3cc(C4CC4)c[nH+]c3C)cn2n1 ZINC001213497280 1134455312 /nfs/dbraw/zinc/45/53/12/1134455312.db2.gz LTVXVDQKLPBFAL-UHFFFAOYSA-N 1 2 293.374 3.616 20 0 CHADLO COc1ccc(Nc2cc(C3CC3)c[nH+]c2C)c(O)c1 ZINC001213499686 1134455701 /nfs/dbraw/zinc/45/57/01/1134455701.db2.gz WABTWMRBKXOBEB-UHFFFAOYSA-N 1 2 270.332 3.725 20 0 CHADLO COc1c(O)cccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213503602 1134455925 /nfs/dbraw/zinc/45/59/25/1134455925.db2.gz OIDYPNHYYHQUAP-UHFFFAOYSA-N 1 2 270.332 3.725 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1CCC(=O)N2 ZINC001213503735 1134456115 /nfs/dbraw/zinc/45/61/15/1134456115.db2.gz VHWQAQUWAAGEPM-UHFFFAOYSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(O)c(F)c(F)c1 ZINC001213501189 1134456162 /nfs/dbraw/zinc/45/61/62/1134456162.db2.gz LQDPTOPTIVVEBB-UHFFFAOYSA-N 1 2 276.286 3.995 20 0 CHADLO Cc1cc(C)c(Nc2nc(Cl)cnc2Cl)c[nH+]1 ZINC001213508509 1134456320 /nfs/dbraw/zinc/45/63/20/1134456320.db2.gz VKTBWFNEGOQDEA-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1cc(C)c(Nc2nnc(C(C)(C)C)s2)c[nH+]1 ZINC001213503409 1134456358 /nfs/dbraw/zinc/45/63/58/1134456358.db2.gz DNHVHUZIJDIVPO-UHFFFAOYSA-N 1 2 262.382 3.591 20 0 CHADLO Cc1cc(C)c(N2c3ccc(N)cc3CC[C@H]2C)c[nH+]1 ZINC001213513400 1134457728 /nfs/dbraw/zinc/45/77/28/1134457728.db2.gz IOINZZNPMOZSNF-CYBMUJFWSA-N 1 2 267.376 3.753 20 0 CHADLO COC(=O)c1c(C)scc1Nc1c[nH+]c(C)cc1C ZINC001213508630 1134457930 /nfs/dbraw/zinc/45/79/30/1134457930.db2.gz XXUMXYMXYPMLSV-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1ccc(Br)c(Nc2c[nH+]c(C)cc2C)n1 ZINC001213505692 1134457952 /nfs/dbraw/zinc/45/79/52/1134457952.db2.gz MZBFIHFCSKPFAB-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2ccc(F)nc2Br)c[nH+]1 ZINC001213508259 1134458165 /nfs/dbraw/zinc/45/81/65/1134458165.db2.gz OHTVTLMEJNZOOI-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)C[C@H](C)C(=O)N3C)c[nH+]1 ZINC001213521011 1134458543 /nfs/dbraw/zinc/45/85/43/1134458543.db2.gz OMDKYCGLXJZODB-LBPRGKRZSA-N 1 2 295.386 3.597 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)C[C@@H](C)C(=O)N3C)c[nH+]1 ZINC001213521010 1134458766 /nfs/dbraw/zinc/45/87/66/1134458766.db2.gz OMDKYCGLXJZODB-GFCCVEGCSA-N 1 2 295.386 3.597 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)cc(N)c2Cl)c[nH+]1 ZINC001213520679 1134458859 /nfs/dbraw/zinc/45/88/59/1134458859.db2.gz FRXUQQSYECFKRX-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO CCOC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001213516030 1134459280 /nfs/dbraw/zinc/45/92/80/1134459280.db2.gz ZOQKEEOCHKKWOW-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO COC(=O)c1c(F)ccc(F)c1Nc1c[nH+]c(C)cc1C ZINC001213518438 1134459286 /nfs/dbraw/zinc/45/92/86/1134459286.db2.gz OIDONAGZPMJBIQ-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO COC(=O)CCCc1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001213518567 1134459499 /nfs/dbraw/zinc/45/94/99/1134459499.db2.gz VRBOPYPRTLWZBF-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)CCCC(=O)N3)c[nH+]1 ZINC001213518322 1134459528 /nfs/dbraw/zinc/45/95/28/1134459528.db2.gz HSOFDGYSHOLENQ-UHFFFAOYSA-N 1 2 281.359 3.717 20 0 CHADLO Cc1c[nH+]cc(Nc2cc3ccccc3n2C)c1C ZINC001213527581 1134460057 /nfs/dbraw/zinc/46/00/57/1134460057.db2.gz UGEZGLAEQDYNIA-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO Cc1cc(Nc2c[nH+]cc(C)c2C)n(-c2ccccc2)n1 ZINC001213523491 1134460393 /nfs/dbraw/zinc/46/03/93/1134460393.db2.gz UMYMGTNMSDWMLI-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO CC(=O)c1ccnc(Cl)c1Nc1c[nH+]cc(C)c1C ZINC001213525437 1134460471 /nfs/dbraw/zinc/46/04/71/1134460471.db2.gz WYENGQOHMYCITH-UHFFFAOYSA-N 1 2 275.739 3.693 20 0 CHADLO Cc1ccc(Br)nc1Nc1c[nH+]cc(C)c1C ZINC001213528678 1134460615 /nfs/dbraw/zinc/46/06/15/1134460615.db2.gz LEPMXGWGOYOMGW-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(OCC(F)(F)F)nc2)c1C ZINC001213524925 1134460731 /nfs/dbraw/zinc/46/07/31/1134460731.db2.gz JMTNTBYFYWQHFA-UHFFFAOYSA-N 1 2 297.280 3.778 20 0 CHADLO COc1cc(Nc2c[nH+]cc(C)c2C)ccc1C(C)=O ZINC001213532517 1134461648 /nfs/dbraw/zinc/46/16/48/1134461648.db2.gz MJXPJAZVGQENBF-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COc1cc(C)c(Nc2c[nH+]cc(C)c2C)cc1OC ZINC001213531036 1134461811 /nfs/dbraw/zinc/46/18/11/1134461811.db2.gz SJPNWWGAOQFXDH-UHFFFAOYSA-N 1 2 272.348 3.768 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)[C@@H](O)CCC3)c1C ZINC001213534327 1134462466 /nfs/dbraw/zinc/46/24/66/1134462466.db2.gz XBXULSZXENLNKN-KRWDZBQOSA-N 1 2 268.360 3.812 20 0 CHADLO COC(=O)c1c(Cl)cccc1Nc1c[nH+]cc(C)c1C ZINC001213534187 1134462554 /nfs/dbraw/zinc/46/25/54/1134462554.db2.gz QKJYKQUULLRNHF-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(N)cc(Cl)c2C)c1C ZINC001213534323 1134462570 /nfs/dbraw/zinc/46/25/70/1134462570.db2.gz WYRMQJHXNSCPJZ-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(C3(C)COC3)cc2)c1C ZINC001213536465 1134462574 /nfs/dbraw/zinc/46/25/74/1134462574.db2.gz LBWZTVHWDDUVDB-UHFFFAOYSA-N 1 2 268.360 3.730 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(N)ccc2OC(F)(F)F)c1C ZINC001213534096 1134462600 /nfs/dbraw/zinc/46/26/00/1134462600.db2.gz MHMIBJLQXJNUPU-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO COc1ccc2cccnc2c1Nc1c[nH+]cc(C)c1C ZINC001213536238 1134462794 /nfs/dbraw/zinc/46/27/94/1134462794.db2.gz DBOXEKZFYKWVMG-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)CN(C2CC2)C3=O)c1C ZINC001213536984 1134462815 /nfs/dbraw/zinc/46/28/15/1134462815.db2.gz VTSFFZWQIMLPPZ-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO COC(=O)c1cc(F)c(Nc2c[nH+]cc(C)c2C)cc1F ZINC001213537212 1134462878 /nfs/dbraw/zinc/46/28/78/1134462878.db2.gz XDYICFFPECQJLZ-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO COC(=O)c1cc(F)cc(F)c1Nc1c[nH+]cc(C)c1C ZINC001213536439 1134463152 /nfs/dbraw/zinc/46/31/52/1134463152.db2.gz JJPRPJWFWCKPSH-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO CCc1ccc[nH+]c1Nc1cc(COC)ccc1C ZINC001213571677 1134465121 /nfs/dbraw/zinc/46/51/21/1134465121.db2.gz MRVVDJWETLTYTH-UHFFFAOYSA-N 1 2 256.349 3.842 20 0 CHADLO Cc1cn2cccc(Nc3ccc(Cl)cc3N)c2[nH+]1 ZINC001213646574 1134472940 /nfs/dbraw/zinc/47/29/40/1134472940.db2.gz SEYNCTDFHNGXSD-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO CCOc1cc(Nc2ccc3c(C)nn(C)c3c2)cc(C)[nH+]1 ZINC001213754875 1134480229 /nfs/dbraw/zinc/48/02/29/1134480229.db2.gz GGHZLOGAUDBHDF-UHFFFAOYSA-N 1 2 296.374 3.727 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2[nH]c(C)cc2c1 ZINC001213787412 1134483428 /nfs/dbraw/zinc/48/34/28/1134483428.db2.gz YRFJHWVSZXXWJL-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO COc1cccc(Nc2ccn3cc[nH+]c3c2)c1C1CC1 ZINC001213805118 1134486576 /nfs/dbraw/zinc/48/65/76/1134486576.db2.gz CQPMPCVKBWFMSL-UHFFFAOYSA-N 1 2 279.343 3.964 20 0 CHADLO Fc1cc(N2CCCC2)ccc1Nc1cccc2[nH+]ccn21 ZINC001213843537 1134490029 /nfs/dbraw/zinc/49/00/29/1134490029.db2.gz PIQBFJUWSVEXSS-UHFFFAOYSA-N 1 2 296.349 3.817 20 0 CHADLO CCOc1cc(Nc2ccc3cc[nH]c(=O)c3c2)cc(C)[nH+]1 ZINC001213955540 1134500427 /nfs/dbraw/zinc/50/04/27/1134500427.db2.gz HUKARYBWJSGWSR-UHFFFAOYSA-N 1 2 295.342 3.786 20 0 CHADLO COc1c(C)ccc(F)c1Nc1cccn2cc[nH+]c12 ZINC001214530184 1134546941 /nfs/dbraw/zinc/54/69/41/1134546941.db2.gz UBMNBFSZFAJDHB-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cn1c[nH+]c2cc(Nc3c(Cl)ccc(O)c3F)ccc21 ZINC001214561260 1134552650 /nfs/dbraw/zinc/55/26/50/1134552650.db2.gz CDPXGLLQEOJWBA-UHFFFAOYSA-N 1 2 291.713 3.815 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(Cl)c1CO ZINC001214648071 1134561728 /nfs/dbraw/zinc/56/17/28/1134561728.db2.gz RDRDKGGZDIOISN-UHFFFAOYSA-N 1 2 276.767 3.842 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(CO)c(C(F)(F)F)c2)c1 ZINC001214673263 1134564799 /nfs/dbraw/zinc/56/47/99/1134564799.db2.gz VDTQLKUAFVZTQO-UHFFFAOYSA-N 1 2 282.265 3.645 20 0 CHADLO CCCOc1cc(Nc2cc(OC)cc[nH+]2)c(Cl)cn1 ZINC001214730854 1134567711 /nfs/dbraw/zinc/56/77/11/1134567711.db2.gz JRRWNLBBDOQEEO-UHFFFAOYSA-N 1 2 293.754 3.671 20 0 CHADLO Cc1cc(CO)cc(C)c1Nc1[nH+]cccc1N1CCCC1 ZINC001214951731 1134585284 /nfs/dbraw/zinc/58/52/84/1134585284.db2.gz GHQMIWRPMWAENX-UHFFFAOYSA-N 1 2 297.402 3.535 20 0 CHADLO Cc1cc(CO)cc(C)c1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001214954426 1134586598 /nfs/dbraw/zinc/58/65/98/1134586598.db2.gz HRCBUYNLFWRVEX-UHFFFAOYSA-N 1 2 293.370 3.725 20 0 CHADLO CSc1ccc(F)cc1Nc1cccc2[nH+]ccn21 ZINC001215444426 1134628160 /nfs/dbraw/zinc/62/81/60/1134628160.db2.gz RRPOSDUOSFIICB-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C)c(F)c1OC ZINC001215600608 1134651335 /nfs/dbraw/zinc/65/13/35/1134651335.db2.gz STKQOZWBGYCMAJ-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)c(F)c(OC)c2)c[nH+]1 ZINC001215701913 1134661401 /nfs/dbraw/zinc/66/14/01/1134661401.db2.gz YDLKRAPQMNEPMQ-UHFFFAOYSA-N 1 2 289.354 3.737 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)c(F)c(OC)c1 ZINC001215703860 1134662347 /nfs/dbraw/zinc/66/23/47/1134662347.db2.gz LUUDWXBOHRVBRW-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO Cc1ccc(F)c(Nc2ccccc2-n2cc[nH+]c2)c1O ZINC001215739564 1134670203 /nfs/dbraw/zinc/67/02/03/1134670203.db2.gz RDZDVJFAJSWIJM-UHFFFAOYSA-N 1 2 283.306 3.769 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(C)c2n[nH]cc21 ZINC001215754009 1134673731 /nfs/dbraw/zinc/67/37/31/1134673731.db2.gz KTIOULOFXJLZNR-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(OC(C)C)cnc1F ZINC001215781343 1134684487 /nfs/dbraw/zinc/68/44/87/1134684487.db2.gz PXVAMUOSRCASNX-UHFFFAOYSA-N 1 2 291.326 3.545 20 0 CHADLO COc1cc(SC)c(Nc2[nH+]cc(C)cc2C)cn1 ZINC001215811377 1134693414 /nfs/dbraw/zinc/69/34/14/1134693414.db2.gz YSKILQIOYQLMMI-UHFFFAOYSA-N 1 2 275.377 3.568 20 0 CHADLO CCN(C)c1ccc(Nc2cnc(SC)c(C)c2)c[nH+]1 ZINC001215827723 1134696273 /nfs/dbraw/zinc/69/62/73/1134696273.db2.gz JSZWSAPWRWZRNL-UHFFFAOYSA-N 1 2 288.420 3.707 20 0 CHADLO CSc1cccc(Nc2ccc(N(C)C)[nH+]c2)c1F ZINC001215867220 1134705038 /nfs/dbraw/zinc/70/50/38/1134705038.db2.gz WPZQDBKWBDPDME-UHFFFAOYSA-N 1 2 277.368 3.752 20 0 CHADLO COc1ccc(Nc2ccc(C)c[nH+]2)cc1SC ZINC001215931004 1134724139 /nfs/dbraw/zinc/72/41/39/1134724139.db2.gz OPLCPHXUEVZKOK-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO COc1cc(Nc2ccc(OC)c(SC)c2)cc(C)[nH+]1 ZINC001215932983 1134725401 /nfs/dbraw/zinc/72/54/01/1134725401.db2.gz GXKOEYVDAMHJSO-UHFFFAOYSA-N 1 2 290.388 3.873 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(Cl)c4n[nH]cc43)ccc21 ZINC001215942953 1134727221 /nfs/dbraw/zinc/72/72/21/1134727221.db2.gz HFLDPUOQTROPRQ-UHFFFAOYSA-N 1 2 297.749 3.847 20 0 CHADLO CCOc1cc(Nc2ccc(N)c(CC)c2)cc(C)[nH+]1 ZINC001216037757 1134756267 /nfs/dbraw/zinc/75/62/67/1134756267.db2.gz QNUQHOBQMPFINP-UHFFFAOYSA-N 1 2 271.364 3.677 20 0 CHADLO Cc1ccc(O)c(Nc2cc(C)c(O)c(Cl)c2)[nH+]1 ZINC001216083044 1134771819 /nfs/dbraw/zinc/77/18/19/1134771819.db2.gz BHUSDRGWFRZEFZ-UHFFFAOYSA-N 1 2 264.712 3.507 20 0 CHADLO Cc1cn2cccc(Nc3cc(C)cc(Cl)c3N)c2[nH+]1 ZINC001216091040 1134773784 /nfs/dbraw/zinc/77/37/84/1134773784.db2.gz CSSNYDDXRUQYOT-UHFFFAOYSA-N 1 2 286.766 3.930 20 0 CHADLO Cc1cc(Cl)c(N)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001216089487 1134773864 /nfs/dbraw/zinc/77/38/64/1134773864.db2.gz YOLVZMFAJMFLIN-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO Cc1cc(C)c(N)c(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001216100095 1134777694 /nfs/dbraw/zinc/77/76/94/1134777694.db2.gz SQQPIWDWIBAXDD-UHFFFAOYSA-N 1 2 266.348 3.516 20 0 CHADLO Cc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)cc(C)c1CO ZINC001216120327 1134784224 /nfs/dbraw/zinc/78/42/24/1134784224.db2.gz SMGGNANUTSLTLZ-UHFFFAOYSA-N 1 2 293.370 3.725 20 0 CHADLO CCOc1ccc(C)c(Nc2cccc3[nH+]ccn32)c1 ZINC001216235658 1134817742 /nfs/dbraw/zinc/81/77/42/1134817742.db2.gz OCDOEARBFXVFTD-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO CSc1ncc(C)cc1Nc1[nH+]c(C)ccc1C ZINC001216240807 1134821982 /nfs/dbraw/zinc/82/19/82/1134821982.db2.gz HUZIYROMGGUFIJ-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO CN(C)c1ccc(Nc2ccc(F)c3ccoc32)c[nH+]1 ZINC001216295413 1134840615 /nfs/dbraw/zinc/84/06/15/1134840615.db2.gz MRKJXLLVRHRRTP-UHFFFAOYSA-N 1 2 271.295 3.777 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(O)c2C)cc1 ZINC001216322903 1134854225 /nfs/dbraw/zinc/85/42/25/1134854225.db2.gz HUTIJVMQDZWWNA-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(O)c2C)cc1 ZINC001216322903 1134854231 /nfs/dbraw/zinc/85/42/31/1134854231.db2.gz HUTIJVMQDZWWNA-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(CO)c(C)c2Cl)c(C)c1 ZINC001216327224 1134856101 /nfs/dbraw/zinc/85/61/01/1134856101.db2.gz QXJULAOQKUXUOW-UHFFFAOYSA-N 1 2 276.767 3.896 20 0 CHADLO Cc1cn2cccc(Nc3c(F)ccc(F)c3C)c2[nH+]1 ZINC001216342401 1134861368 /nfs/dbraw/zinc/86/13/68/1134861368.db2.gz NDHIHUBWJXTMED-UHFFFAOYSA-N 1 2 273.286 3.973 20 0 CHADLO CC(=O)c1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1F ZINC001216500340 1134910071 /nfs/dbraw/zinc/91/00/71/1134910071.db2.gz KYYYJKBFCHBRCW-UHFFFAOYSA-N 1 2 295.317 3.958 20 0 CHADLO CCCOc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001216585106 1134924021 /nfs/dbraw/zinc/92/40/21/1134924021.db2.gz DGWXNRZFVBZYNN-UHFFFAOYSA-N 1 2 294.358 3.800 20 0 CHADLO Nc1c(Cl)cc(Cl)cc1C[NH+]1CCC(F)(F)CC1 ZINC000405055094 1134955865 /nfs/dbraw/zinc/95/58/65/1134955865.db2.gz MCEXWUHMUGVWBF-UHFFFAOYSA-N 1 2 295.160 3.807 20 0 CHADLO FC1(F)C[NH2+]CC[C@H]1Oc1ccccc1-c1ccccc1 ZINC001218006610 1135042070 /nfs/dbraw/zinc/04/20/70/1135042070.db2.gz MNNKRVJEYDGHRX-MRXNPFEDSA-N 1 2 289.325 3.730 20 0 CHADLO FC1(F)C[NH2+]C[C@H](Oc2cccc(-c3ccccc3)c2)C1 ZINC001218273594 1135077845 /nfs/dbraw/zinc/07/78/45/1135077845.db2.gz LGZFRPKVLRLBIN-MRXNPFEDSA-N 1 2 289.325 3.730 20 0 CHADLO CCC(C)(C)c1ccccc1O[C@@H]1C[NH2+]CC(F)(F)C1 ZINC001218277739 1135077876 /nfs/dbraw/zinc/07/78/76/1135077876.db2.gz HJZMJKMDOFUQBX-LBPRGKRZSA-N 1 2 283.362 3.750 20 0 CHADLO Cc1cc(C(C)(C)C)ccc1O[C@H]1C[NH2+]CC(F)(F)C1 ZINC001218274535 1135078063 /nfs/dbraw/zinc/07/80/63/1135078063.db2.gz QXHCMEMVWHNULQ-CYBMUJFWSA-N 1 2 283.362 3.669 20 0 CHADLO C[C@H](Oc1cc(N)cc[nH+]1)c1cccc(OC(F)(F)F)c1 ZINC001218435502 1135104286 /nfs/dbraw/zinc/10/42/86/1135104286.db2.gz DECDTLREISUYHG-VIFPVBQESA-N 1 2 298.264 3.702 20 0 CHADLO CCOc1ccc(-c2ccccc2Cn2cc[nH+]c2)c(C)n1 ZINC001222110387 1135193579 /nfs/dbraw/zinc/19/35/79/1135193579.db2.gz KHLNQZXMYCFHJF-UHFFFAOYSA-N 1 2 293.370 3.701 20 0 CHADLO CC(C)C[C@H](Cc1ccccc1)OCCn1cc[nH+]c1 ZINC001222138561 1135198380 /nfs/dbraw/zinc/19/83/80/1135198380.db2.gz HEOFFLVSFJHZFY-QGZVFWFLSA-N 1 2 272.392 3.557 20 0 CHADLO c1cn(CCOC2c3ccccc3-c3ccccc32)c[nH+]1 ZINC001222134647 1135198408 /nfs/dbraw/zinc/19/84/08/1135198408.db2.gz IYZONJGNYWSRJE-UHFFFAOYSA-N 1 2 276.339 3.670 20 0 CHADLO Cc1cc(-c2ccc(Cl)c(CO)c2F)c2[nH+]ccn2c1 ZINC001222350408 1135231145 /nfs/dbraw/zinc/23/11/45/1135231145.db2.gz OTYHIKRTGLBUQV-UHFFFAOYSA-N 1 2 290.725 3.595 20 0 CHADLO Cc1ccc(-c2cc(Cl)cc(Cl)c2)[nH+]c1N ZINC001222588758 1135252886 /nfs/dbraw/zinc/25/28/86/1135252886.db2.gz QROUUIJQWGINJS-UHFFFAOYSA-N 1 2 253.132 3.946 20 0 CHADLO CCCC[C@@H](OCc1c[nH+]cn1C)[C@@H](C)CCC ZINC001222600895 1135255483 /nfs/dbraw/zinc/25/54/83/1135255483.db2.gz UVRWAKBVAHYVHR-DZGCQCFKSA-N 1 2 252.402 3.932 20 0 CHADLO COc1ccc([C@@H](C)OCc2cc(C)[nH+]c(C)c2)cc1 ZINC001223420534 1135342853 /nfs/dbraw/zinc/34/28/53/1135342853.db2.gz KBIXSYVFXUOTBO-CQSZACIVSA-N 1 2 271.360 3.985 20 0 CHADLO Cc1ccc(OC[C@H](C)OCc2cc(C)[nH+]c(C)c2)cc1 ZINC001223425422 1135345266 /nfs/dbraw/zinc/34/52/66/1135345266.db2.gz QZTJRHBZUIVFOK-INIZCTEOSA-N 1 2 285.387 3.991 20 0 CHADLO C[C@@H](OC1C[NH+](Cc2ccccc2)C1)c1ccc(F)cc1 ZINC001224006001 1135407986 /nfs/dbraw/zinc/40/79/86/1135407986.db2.gz HHPZBTDEEYVESB-CQSZACIVSA-N 1 2 285.362 3.788 20 0 CHADLO Clc1cccc(OC2C[NH+](Cc3ccccc3)C2)c1 ZINC001225229890 1135520729 /nfs/dbraw/zinc/52/07/29/1135520729.db2.gz LLTUMIHGMAMWAF-UHFFFAOYSA-N 1 2 273.763 3.603 20 0 CHADLO Fc1cc(Cl)c(O[C@H]2C[NH+]3CCC2CC3)c(Cl)c1 ZINC001226006502 1135651810 /nfs/dbraw/zinc/65/18/10/1135651810.db2.gz UPOVGENYVXQUQI-LBPRGKRZSA-N 1 2 290.165 3.606 20 0 CHADLO CC(C)c1ccc(O)cc1OC1C[NH+](Cc2ccccc2)C1 ZINC001227018332 1135774960 /nfs/dbraw/zinc/77/49/60/1135774960.db2.gz CAKIJSDUEYGIIN-UHFFFAOYSA-N 1 2 297.398 3.779 20 0 CHADLO CCCCOC[C@H](C)OC[C@H](C)Oc1c(C)cc[nH+]c1C ZINC001227261432 1135810833 /nfs/dbraw/zinc/81/08/33/1135810833.db2.gz AQKBCHRLGHSZBB-GJZGRUSLSA-N 1 2 295.423 3.688 20 0 CHADLO COC(=O)c1ccc(CNc2ccc3ccccc3[nH+]2)cc1 ZINC000082712404 178225615 /nfs/dbraw/zinc/22/56/15/178225615.db2.gz YXDSVOMVDOQLQB-UHFFFAOYSA-N 1 2 292.338 3.634 20 0 CHADLO Cc1ccc(NCc2c(F)cccc2Cl)c[nH+]1 ZINC000083383925 178387480 /nfs/dbraw/zinc/38/74/80/178387480.db2.gz OAWSGYQPBFNAGQ-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CCc1ccc(-c2nc(Cc3ccc(C)[nH+]c3)no2)cc1 ZINC000356127340 529578201 /nfs/dbraw/zinc/57/82/01/529578201.db2.gz NSZDVTHOEPJJHN-UHFFFAOYSA-N 1 2 279.343 3.593 20 0 CHADLO CCn1ccnc1C[NH2+][C@H](C)c1cc2cccc(F)c2o1 ZINC000353202161 529580225 /nfs/dbraw/zinc/58/02/25/529580225.db2.gz AZTDXLSZZWBTOW-LLVKDONJSA-N 1 2 287.338 3.639 20 0 CHADLO CCc1ccc(NCc2c[nH+]cn2CC)cc1CC ZINC000417964524 529688858 /nfs/dbraw/zinc/68/88/58/529688858.db2.gz LHVGEUBQQFPSBA-UHFFFAOYSA-N 1 2 257.381 3.640 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1ccccc1OC ZINC000352553716 529730303 /nfs/dbraw/zinc/73/03/03/529730303.db2.gz LSQPMLZEASXVPW-UHFFFAOYSA-N 1 2 257.333 3.540 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@@H](C)CC[C@H]2c2ccccc2)no1 ZINC000352797038 529737257 /nfs/dbraw/zinc/73/72/57/529737257.db2.gz ADXGTGCTIGFHKO-ZFWWWQNUSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1nc(C[N@H+]2C[C@@H](C)CC[C@H]2c2ccccc2)no1 ZINC000352797038 529737258 /nfs/dbraw/zinc/73/72/58/529737258.db2.gz ADXGTGCTIGFHKO-ZFWWWQNUSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1ncc(C[N@@H+]2CCc3c(Cl)cccc3C2)s1 ZINC000353578921 529754558 /nfs/dbraw/zinc/75/45/58/529754558.db2.gz VVYGUKHFQYSTSK-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CCc1ncc(C[N@H+]2CCc3c(Cl)cccc3C2)s1 ZINC000353578921 529754559 /nfs/dbraw/zinc/75/45/59/529754559.db2.gz VVYGUKHFQYSTSK-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cscc1Br ZINC000347680106 530012843 /nfs/dbraw/zinc/01/28/43/530012843.db2.gz XVFNRAPYZRMQAB-UHFFFAOYSA-N 1 2 286.194 3.736 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cscc1Br ZINC000347680106 530012845 /nfs/dbraw/zinc/01/28/45/530012845.db2.gz XVFNRAPYZRMQAB-UHFFFAOYSA-N 1 2 286.194 3.736 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1c(F)cccc1N1CCCC1 ZINC000352651518 530013052 /nfs/dbraw/zinc/01/30/52/530013052.db2.gz BBYDPKIQYAFHIX-UHFFFAOYSA-N 1 2 288.366 3.651 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1c(F)cccc1N1CCCC1 ZINC000352651518 530013053 /nfs/dbraw/zinc/01/30/53/530013053.db2.gz BBYDPKIQYAFHIX-UHFFFAOYSA-N 1 2 288.366 3.651 20 0 CHADLO C[N@H+](Cc1ncc(Cl)s1)Cc1ccc(F)cc1 ZINC000352012327 530025940 /nfs/dbraw/zinc/02/59/40/530025940.db2.gz ZATJVIKYIOVTLZ-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1ncc(Cl)s1)Cc1ccc(F)cc1 ZINC000352012327 530025941 /nfs/dbraw/zinc/02/59/41/530025941.db2.gz ZATJVIKYIOVTLZ-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C/C(=C\c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1)C1CC1 ZINC000573732512 334961965 /nfs/dbraw/zinc/96/19/65/334961965.db2.gz RUHKJPZDGDYZEV-FMIVXFBMSA-N 1 2 292.342 3.736 20 0 CHADLO C[C@@H](C[N@H+](C)CC(F)F)c1cccc(Br)c1 ZINC000092509193 260503292 /nfs/dbraw/zinc/50/32/92/260503292.db2.gz FDNYSMOGUPCLQZ-VIFPVBQESA-N 1 2 292.167 3.750 20 0 CHADLO C[C@@H](C[N@@H+](C)CC(F)F)c1cccc(Br)c1 ZINC000092509193 260503293 /nfs/dbraw/zinc/50/32/93/260503293.db2.gz FDNYSMOGUPCLQZ-VIFPVBQESA-N 1 2 292.167 3.750 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccc(Cl)c(F)c2)[nH]1 ZINC000574272573 335005548 /nfs/dbraw/zinc/00/55/48/335005548.db2.gz PZAKGEDHTGEGDG-VIFPVBQESA-N 1 2 296.777 3.571 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(C(F)(F)F)cc1 ZINC000169028850 335018527 /nfs/dbraw/zinc/01/85/27/335018527.db2.gz XPBAXSCOEYOTMC-UHFFFAOYSA-N 1 2 282.265 3.721 20 0 CHADLO CSc1ccc(C[N@@H+]2CCn3cccc3[C@H]2C)s1 ZINC000356090628 532951751 /nfs/dbraw/zinc/95/17/51/532951751.db2.gz RYEHOLYNALMFEH-LLVKDONJSA-N 1 2 278.446 3.848 20 0 CHADLO CSc1ccc(C[N@H+]2CCn3cccc3[C@H]2C)s1 ZINC000356090628 532951755 /nfs/dbraw/zinc/95/17/55/532951755.db2.gz RYEHOLYNALMFEH-LLVKDONJSA-N 1 2 278.446 3.848 20 0 CHADLO COc1cc2c(cc1F)C[N@H+](Cc1ccc(F)cc1)CC2 ZINC000347633549 533163771 /nfs/dbraw/zinc/16/37/71/533163771.db2.gz DKNDDBRBZFPXMI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc2c(cc1F)C[N@@H+](Cc1ccc(F)cc1)CC2 ZINC000347633549 533163776 /nfs/dbraw/zinc/16/37/76/533163776.db2.gz DKNDDBRBZFPXMI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1cccc(C2CC2)c1 ZINC000574879177 335054851 /nfs/dbraw/zinc/05/48/51/335054851.db2.gz GRZRXBVFVDPZAM-NSHDSACASA-N 1 2 283.375 3.675 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2cccc(O)c2)c[nH+]1 ZINC000037003676 260061956 /nfs/dbraw/zinc/06/19/56/260061956.db2.gz XPIAIUPWMZPBHJ-ZDUSSCGKSA-N 1 2 285.391 3.807 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCOc2ccc(F)cc21)c1cscn1 ZINC000334926104 533521990 /nfs/dbraw/zinc/52/19/90/533521990.db2.gz QBVLQKNMYKRDTK-ZWNOBZJWSA-N 1 2 292.379 3.847 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1cccc(F)c1 ZINC000353215250 533501210 /nfs/dbraw/zinc/50/12/10/533501210.db2.gz BFFFRHJLVUKWCX-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2ccc(Cl)cc21)c1ccncc1F ZINC000335905301 533530359 /nfs/dbraw/zinc/53/03/59/533530359.db2.gz MFQMGVWPIQESMF-YMTOWFKASA-N 1 2 292.741 3.658 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2cccc(Cl)c2Cl)c2nccn21 ZINC000336227874 533652584 /nfs/dbraw/zinc/65/25/84/533652584.db2.gz GBLMZIMHPCURMO-BXKDBHETSA-N 1 2 296.201 3.986 20 0 CHADLO COc1ccc(CNc2[nH+]ccc(C)c2Cl)cc1F ZINC000341745204 130017255 /nfs/dbraw/zinc/01/72/55/130017255.db2.gz QJGBHYLTDILHAJ-UHFFFAOYSA-N 1 2 280.730 3.803 20 0 CHADLO Cc1cnn(CC[N@@H+](C)Cc2c(Cl)cccc2Cl)c1 ZINC000341833597 130064563 /nfs/dbraw/zinc/06/45/63/130064563.db2.gz GEOOJPPOMHYEJL-UHFFFAOYSA-N 1 2 298.217 3.630 20 0 CHADLO Cc1cnn(CC[N@H+](C)Cc2c(Cl)cccc2Cl)c1 ZINC000341833597 130064564 /nfs/dbraw/zinc/06/45/64/130064564.db2.gz GEOOJPPOMHYEJL-UHFFFAOYSA-N 1 2 298.217 3.630 20 0 CHADLO CCOC(=O)C[NH2+][C@@H](CC(C)C)c1ccc(Cl)cc1 ZINC000341902449 130117950 /nfs/dbraw/zinc/11/79/50/130117950.db2.gz FADYNMDSMQSKIG-AWEZNQCLSA-N 1 2 283.799 3.580 20 0 CHADLO Fc1ccc2[nH]c(-c3cc(-n4cc[nH+]c4)cs3)nc2c1 ZINC000342135869 130294192 /nfs/dbraw/zinc/29/41/92/130294192.db2.gz QKWAHFOUOLTYSB-UHFFFAOYSA-N 1 2 284.319 3.616 20 0 CHADLO CC/C=C\CC[N@H+](C)Cc1nc([C@H](C)OCC(C)C)no1 ZINC000342193630 130326886 /nfs/dbraw/zinc/32/68/86/130326886.db2.gz BJYUPGARHANJTN-DANTVBBOSA-N 1 2 295.427 3.591 20 0 CHADLO CC/C=C\CC[N@@H+](C)Cc1nc([C@H](C)OCC(C)C)no1 ZINC000342193630 130326890 /nfs/dbraw/zinc/32/68/90/130326890.db2.gz BJYUPGARHANJTN-DANTVBBOSA-N 1 2 295.427 3.591 20 0 CHADLO CCC[C@H]1CCCN(c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000342213013 130388266 /nfs/dbraw/zinc/38/82/66/130388266.db2.gz AMAPDTKORVGQGJ-ZDUSSCGKSA-N 1 2 259.397 3.679 20 0 CHADLO Cc1ccc(NCc2cn3cccc(C)c3[nH+]2)c(C)c1 ZINC000008410640 170335208 /nfs/dbraw/zinc/33/52/08/170335208.db2.gz KJRSTLKJJPVXJZ-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO FC(F)(F)c1cccc(CNc2cccc[nH+]2)c1 ZINC000021516472 171313025 /nfs/dbraw/zinc/31/30/25/171313025.db2.gz GPZZKVIBMUAACK-UHFFFAOYSA-N 1 2 252.239 3.713 20 0 CHADLO c1coc(C[NH2+][C@H](c2ccccc2)c2ccccn2)c1 ZINC000022147635 171357262 /nfs/dbraw/zinc/35/72/62/171357262.db2.gz WPHHOTULTMLHOD-QGZVFWFLSA-N 1 2 264.328 3.554 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2cc3cc(C)c(C)cc3o2)n1 ZINC000575137742 335071546 /nfs/dbraw/zinc/07/15/46/335071546.db2.gz CYWDNWCTGUVGFA-GFCCVEGCSA-N 1 2 299.374 3.934 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2cc3cc(C)c(C)cc3o2)n1 ZINC000575137742 335071547 /nfs/dbraw/zinc/07/15/47/335071547.db2.gz CYWDNWCTGUVGFA-GFCCVEGCSA-N 1 2 299.374 3.934 20 0 CHADLO Cc1nnc(C[N@@H+]2CCCC[C@@H]2c2ccc(Cl)cc2)o1 ZINC000334634530 225181616 /nfs/dbraw/zinc/18/16/16/225181616.db2.gz FDIGPUZVDLDMTB-CQSZACIVSA-N 1 2 291.782 3.759 20 0 CHADLO Cc1nnc(C[N@H+]2CCCC[C@@H]2c2ccc(Cl)cc2)o1 ZINC000334634530 225181621 /nfs/dbraw/zinc/18/16/21/225181621.db2.gz FDIGPUZVDLDMTB-CQSZACIVSA-N 1 2 291.782 3.759 20 0 CHADLO Clc1ccc(C[NH2+]Cc2ncoc2-c2ccccc2)o1 ZINC000344968445 225218044 /nfs/dbraw/zinc/21/80/44/225218044.db2.gz QCMBLHSWMUHQCQ-UHFFFAOYSA-N 1 2 288.734 3.878 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@@H](C(C)C)[C@@H]1C(C)C ZINC000334690665 225275080 /nfs/dbraw/zinc/27/50/80/225275080.db2.gz WWQDNDMFLMOBEL-HOCLYGCPSA-N 1 2 289.423 3.843 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C)c2cccc(O)c2)c(C)[nH+]1 ZINC000334703476 225280665 /nfs/dbraw/zinc/28/06/65/225280665.db2.gz YMLGOFPAWVJDGP-LBPRGKRZSA-N 1 2 299.374 3.595 20 0 CHADLO Fc1cnccc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000334754180 225307650 /nfs/dbraw/zinc/30/76/50/225307650.db2.gz HOELDSNKVXJZBV-OAHLLOKOSA-N 1 2 272.323 3.541 20 0 CHADLO Fc1cnccc1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000334754180 225307654 /nfs/dbraw/zinc/30/76/54/225307654.db2.gz HOELDSNKVXJZBV-OAHLLOKOSA-N 1 2 272.323 3.541 20 0 CHADLO FC(F)n1ccc(C[NH2+]C2(c3cccc(Cl)c3)CC2)n1 ZINC000346690897 225885471 /nfs/dbraw/zinc/88/54/71/225885471.db2.gz WGOBBMTWVHCGBF-UHFFFAOYSA-N 1 2 297.736 3.711 20 0 CHADLO Cc1ccc(N[C@@H](Cc2ccccn2)c2cccnc2)c[nH+]1 ZINC000347154266 226061671 /nfs/dbraw/zinc/06/16/71/226061671.db2.gz PWWKHORNXAXZBB-SFHVURJKSA-N 1 2 290.370 3.576 20 0 CHADLO FC(F)C[N@H+](CCCc1ccncc1)Cc1ccccc1 ZINC000347314733 226128183 /nfs/dbraw/zinc/12/81/83/226128183.db2.gz RWCYRGFVNZODRG-UHFFFAOYSA-N 1 2 290.357 3.782 20 0 CHADLO FC(F)C[N@@H+](CCCc1ccncc1)Cc1ccccc1 ZINC000347314733 226128186 /nfs/dbraw/zinc/12/81/86/226128186.db2.gz RWCYRGFVNZODRG-UHFFFAOYSA-N 1 2 290.357 3.782 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)Nc1ccc[nH+]c1N(C)C ZINC000347680139 226220261 /nfs/dbraw/zinc/22/02/61/226220261.db2.gz ACGDCNJCYQROBS-LBPRGKRZSA-N 1 2 277.412 3.549 20 0 CHADLO c1nnsc1C[NH+](Cc1ccccc1)Cc1ccccc1 ZINC000347734374 226233866 /nfs/dbraw/zinc/23/38/66/226233866.db2.gz PKLLVMHSROTSIJ-UHFFFAOYSA-N 1 2 295.411 3.741 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2ccc3occc3c2)o1 ZINC000347861459 226386325 /nfs/dbraw/zinc/38/63/25/226386325.db2.gz AIBGXAGSHYSLDT-INIZCTEOSA-N 1 2 297.354 3.908 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2ccc3occc3c2)o1 ZINC000347861459 226386327 /nfs/dbraw/zinc/38/63/27/226386327.db2.gz AIBGXAGSHYSLDT-INIZCTEOSA-N 1 2 297.354 3.908 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc3ccc(F)cc3o2)o1 ZINC000348263286 226434832 /nfs/dbraw/zinc/43/48/32/226434832.db2.gz RSUMTWNVAYNWQU-SNVBAGLBSA-N 1 2 274.295 3.719 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)n1 ZINC000348262160 226435109 /nfs/dbraw/zinc/43/51/09/226435109.db2.gz NTCMPEDTFJALPL-UWVGGRQHSA-N 1 2 271.320 3.536 20 0 CHADLO Cc1cc2[nH+]cn(Cc3nc4ccccc4n3C)c2cc1C ZINC000171752778 335077544 /nfs/dbraw/zinc/07/75/44/335077544.db2.gz LVYOIRATMHLJHO-UHFFFAOYSA-N 1 2 290.370 3.588 20 0 CHADLO Cc1cccc2c1OCC[C@@H]2Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000352445213 226950224 /nfs/dbraw/zinc/95/02/24/226950224.db2.gz LKAIAAOOGLCLHE-AWEZNQCLSA-N 1 2 294.358 3.510 20 0 CHADLO Cc1cnc(COc2cc(C)[nH+]c3c(C)cccc23)o1 ZINC000354537822 227026354 /nfs/dbraw/zinc/02/63/54/227026354.db2.gz DKGFSADIVCJRKM-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2c(C)cccc2C)o1 ZINC000354543532 227027273 /nfs/dbraw/zinc/02/72/73/227027273.db2.gz XJQUTRHKCHPBJQ-QWHCGFSZSA-N 1 2 273.380 3.661 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2c(C)cccc2C)o1 ZINC000354543534 227027350 /nfs/dbraw/zinc/02/73/50/227027350.db2.gz XJQUTRHKCHPBJQ-STQMWFEESA-N 1 2 273.380 3.661 20 0 CHADLO C[C@]1(CNc2[nH+]ccc3ccc(F)cc32)CCCC[C@H]1O ZINC000354560495 227033196 /nfs/dbraw/zinc/03/31/96/227033196.db2.gz MWCZJVNTRSQKMG-NVXWUHKLSA-N 1 2 288.366 3.727 20 0 CHADLO C[C@@]1(CNc2[nH+]ccc3ccc(F)cc32)CCCC[C@H]1O ZINC000354560498 227033447 /nfs/dbraw/zinc/03/34/47/227033447.db2.gz MWCZJVNTRSQKMG-WBVHZDCISA-N 1 2 288.366 3.727 20 0 CHADLO COc1cc2cc[nH+]c(N3C[C@@H](C)C[C@@H]3C)c2cc1F ZINC000575210823 335081640 /nfs/dbraw/zinc/08/16/40/335081640.db2.gz VDXKYKIOMQXTEH-QWRGUYRKSA-N 1 2 274.339 3.617 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@H+]1Cc1nccs1 ZINC000354823476 227139535 /nfs/dbraw/zinc/13/95/35/227139535.db2.gz BJXXZVFCBUXENT-WDEREUQCSA-N 1 2 276.380 3.790 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@@H+]1Cc1nccs1 ZINC000354823476 227139540 /nfs/dbraw/zinc/13/95/40/227139540.db2.gz BJXXZVFCBUXENT-WDEREUQCSA-N 1 2 276.380 3.790 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC[C@H]3CCC[C@@H]32)n1 ZINC000336610627 227179297 /nfs/dbraw/zinc/17/92/97/227179297.db2.gz VTJQDACNKMFYBY-BDAKNGLRSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC[C@H]3CCC[C@@H]32)n1 ZINC000336610627 227179301 /nfs/dbraw/zinc/17/93/01/227179301.db2.gz VTJQDACNKMFYBY-BDAKNGLRSA-N 1 2 276.327 3.536 20 0 CHADLO Cc1cncc(CNc2[nH+]ccc3ccc(F)cc32)c1 ZINC000354873991 227189995 /nfs/dbraw/zinc/18/99/95/227189995.db2.gz GBCHJMKSPSAGPJ-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO Cc1cc(NC(=O)Nc2csc3ccccc23)cc[nH+]1 ZINC000352820733 227256532 /nfs/dbraw/zinc/25/65/32/227256532.db2.gz QSGHOTRPALMLAY-UHFFFAOYSA-N 1 2 283.356 3.671 20 0 CHADLO COc1ccccc1[C@@H](C)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000192751739 227281205 /nfs/dbraw/zinc/28/12/05/227281205.db2.gz BULTYXXRYKZDII-CQSZACIVSA-N 1 2 297.402 3.864 20 0 CHADLO C[NH+](C)[C@@H](C(=O)Nc1ccsc1)c1cccc(Cl)c1 ZINC000355834489 228015351 /nfs/dbraw/zinc/01/53/51/228015351.db2.gz MGSKPTQHTHSDQH-CYBMUJFWSA-N 1 2 294.807 3.643 20 0 CHADLO c1cn(Cc2ccc(N[C@H]3CCCC34CCCC4)nc2)c[nH+]1 ZINC000353499961 228111541 /nfs/dbraw/zinc/11/15/41/228111541.db2.gz FPAXJRUYCLNPKZ-INIZCTEOSA-N 1 2 296.418 3.851 20 0 CHADLO Cc1ncsc1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000353513605 228113286 /nfs/dbraw/zinc/11/32/86/228113286.db2.gz ANHOBIWNXAWSKW-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ncsc1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC000353513605 228113288 /nfs/dbraw/zinc/11/32/88/228113288.db2.gz ANHOBIWNXAWSKW-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(NCc2cn(C)nc2-c2ccccc2)c(C)[nH+]1 ZINC000353236899 228073352 /nfs/dbraw/zinc/07/33/52/228073352.db2.gz DKORONKPKJEFBT-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO Cc1cc(NC(=O)C2(C)CC2)c[nH+]c1N1CCCC[C@H]1C ZINC000356027933 228092020 /nfs/dbraw/zinc/09/20/20/228092020.db2.gz GENNXULQGSTCTB-CYBMUJFWSA-N 1 2 287.407 3.507 20 0 CHADLO O=C(CCCC(F)(F)F)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000356031046 228093534 /nfs/dbraw/zinc/09/35/34/228093534.db2.gz WZCXJBROCAWKCR-UHFFFAOYSA-N 1 2 297.280 3.748 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nccc2ccccc21)c1ccon1 ZINC000353671730 228143376 /nfs/dbraw/zinc/14/33/76/228143376.db2.gz BUNYIBMPGGGXCY-RYUDHWBXSA-N 1 2 267.332 3.635 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CCc3ccccc32)c(C)[nH+]1 ZINC000332029617 228144655 /nfs/dbraw/zinc/14/46/55/228144655.db2.gz HWYVDMLGFIQFHU-MRXNPFEDSA-N 1 2 295.386 3.816 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@@H]1CCC[C@@H](C)C1 ZINC000351922801 228165272 /nfs/dbraw/zinc/16/52/72/228165272.db2.gz KRXMDUGSBVEYPL-BXUZGUMPSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@H]1CCC[C@H](C)C1 ZINC000351922802 228165292 /nfs/dbraw/zinc/16/52/92/228165292.db2.gz KRXMDUGSBVEYPL-FZMZJTMJSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1ccnc(C[N@H+]2CC=C(c3ccccc3Cl)CC2)n1 ZINC000351952694 228168506 /nfs/dbraw/zinc/16/85/06/228168506.db2.gz WTLRDNRFCLVYHD-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC=C(c3ccccc3Cl)CC2)n1 ZINC000351952694 228168507 /nfs/dbraw/zinc/16/85/07/228168507.db2.gz WTLRDNRFCLVYHD-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO FC1=CCC[N@H+](Cc2ccccc2OCC2CC2)C1 ZINC000351988764 228171341 /nfs/dbraw/zinc/17/13/41/228171341.db2.gz LNILLYQBFRFWPH-UHFFFAOYSA-N 1 2 261.340 3.535 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccccc2OCC2CC2)C1 ZINC000351988764 228171342 /nfs/dbraw/zinc/17/13/42/228171342.db2.gz LNILLYQBFRFWPH-UHFFFAOYSA-N 1 2 261.340 3.535 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCc1ccc(Cl)s1 ZINC000336023215 228179133 /nfs/dbraw/zinc/17/91/33/228179133.db2.gz UZFQOWSHWDLLAM-UHFFFAOYSA-N 1 2 295.795 3.735 20 0 CHADLO CCc1noc(C)c1C[NH2+]C1(C(F)F)CCCCC1 ZINC000352194034 228194942 /nfs/dbraw/zinc/19/49/42/228194942.db2.gz HHGCSYLNMKEZDZ-UHFFFAOYSA-N 1 2 272.339 3.603 20 0 CHADLO Cc1occc1CN(C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000336147334 228197668 /nfs/dbraw/zinc/19/76/68/228197668.db2.gz WCSKXZZUUBVPRA-UHFFFAOYSA-N 1 2 287.363 3.572 20 0 CHADLO Cc1ncc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)s1 ZINC000336212068 228214575 /nfs/dbraw/zinc/21/45/75/228214575.db2.gz FMEXXBCDECDHQE-CQSZACIVSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1ncc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)s1 ZINC000336212068 228214576 /nfs/dbraw/zinc/21/45/76/228214576.db2.gz FMEXXBCDECDHQE-CQSZACIVSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1cccc2ccccc21 ZINC000036901621 260050142 /nfs/dbraw/zinc/05/01/42/260050142.db2.gz KPIKFVZIKMASDA-CYBMUJFWSA-N 1 2 265.360 3.722 20 0 CHADLO Fc1cccc(F)c1CNc1cccc(-n2cc[nH+]c2)c1 ZINC000036939849 260054493 /nfs/dbraw/zinc/05/44/93/260054493.db2.gz ITINWLXIQNDQMM-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO COc1ccc2oc(C[N@@H+]3CCn4cccc4[C@@H]3C)cc2c1 ZINC000179569656 260056363 /nfs/dbraw/zinc/05/63/63/260056363.db2.gz UBRXWSJBIJCYSF-ZDUSSCGKSA-N 1 2 296.370 3.820 20 0 CHADLO COc1ccc2oc(C[N@H+]3CCn4cccc4[C@@H]3C)cc2c1 ZINC000179569656 260056365 /nfs/dbraw/zinc/05/63/65/260056365.db2.gz UBRXWSJBIJCYSF-ZDUSSCGKSA-N 1 2 296.370 3.820 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)c1cccs1 ZINC000036982213 260060301 /nfs/dbraw/zinc/06/03/01/260060301.db2.gz KQWWBDCWNONCHA-LBPRGKRZSA-N 1 2 273.405 3.916 20 0 CHADLO c1ccc2c(c1)CC[C@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000036982234 260060704 /nfs/dbraw/zinc/06/07/04/260060704.db2.gz XHXBDJCKGNCGFP-QGZVFWFLSA-N 1 2 279.387 3.781 20 0 CHADLO Cc1cc(NC[C@@H](C)c2c(Cl)cccc2Cl)nc[nH+]1 ZINC000521364138 260086454 /nfs/dbraw/zinc/08/64/54/260086454.db2.gz GZARUYWQJGYKIV-SECBINFHSA-N 1 2 296.201 3.729 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000180051541 260090931 /nfs/dbraw/zinc/09/09/31/260090931.db2.gz NSJAIXWKYIXWEZ-ZDUSSCGKSA-N 1 2 281.359 3.863 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000180051541 260090932 /nfs/dbraw/zinc/09/09/32/260090932.db2.gz NSJAIXWKYIXWEZ-ZDUSSCGKSA-N 1 2 281.359 3.863 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000180051541 260090933 /nfs/dbraw/zinc/09/09/33/260090933.db2.gz NSJAIXWKYIXWEZ-ZDUSSCGKSA-N 1 2 281.359 3.863 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000180051541 260090934 /nfs/dbraw/zinc/09/09/34/260090934.db2.gz NSJAIXWKYIXWEZ-ZDUSSCGKSA-N 1 2 281.359 3.863 20 0 CHADLO C[C@@H]([NH2+]Cc1cnn(C(C)(C)C)c1)c1c(F)cccc1F ZINC000037771863 260116394 /nfs/dbraw/zinc/11/63/94/260116394.db2.gz FNVISTHUHRHKBA-LLVKDONJSA-N 1 2 293.361 3.767 20 0 CHADLO CC[C@H]([NH2+]Cc1ccn(C2CCCC2)n1)c1nccs1 ZINC000069994349 260151302 /nfs/dbraw/zinc/15/13/02/260151302.db2.gz GMKCDNKTSJPLFQ-AWEZNQCLSA-N 1 2 290.436 3.696 20 0 CHADLO CC(C)COc1cccc(N[C@H](C)c2[nH+]ccn2C)c1 ZINC000070445065 260157896 /nfs/dbraw/zinc/15/78/96/260157896.db2.gz SEIGYMLCXKPPQU-CYBMUJFWSA-N 1 2 273.380 3.628 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000080265769 260179339 /nfs/dbraw/zinc/17/93/39/260179339.db2.gz GKEUHEWJXHURRW-NEPJUHHUSA-N 1 2 276.405 3.872 20 0 CHADLO CC[C@H](Nc1cc(C)[nH+]c(C(C)C)n1)c1nccs1 ZINC000080318373 260180479 /nfs/dbraw/zinc/18/04/79/260180479.db2.gz ZDGSIHGHCGNFES-NSHDSACASA-N 1 2 276.409 3.928 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)sc1Cl)c1cnccn1 ZINC000312782682 260201940 /nfs/dbraw/zinc/20/19/40/260201940.db2.gz QSJUMHBFJBGCMX-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO CCNc1ccc(Cl)cc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000092366302 260269556 /nfs/dbraw/zinc/26/95/56/260269556.db2.gz NJUXAXKUCKRACR-UHFFFAOYSA-N 1 2 289.766 3.728 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(O)cc(F)c1)c1c(F)cccc1F ZINC000223113720 260271500 /nfs/dbraw/zinc/27/15/00/260271500.db2.gz QGIJADKJDVPVAU-SECBINFHSA-N 1 2 281.277 3.660 20 0 CHADLO CC(=O)Nc1cccc([C@@H](C)[NH2+][C@@H](C)c2cscn2)c1 ZINC000162340917 260280026 /nfs/dbraw/zinc/28/00/26/260280026.db2.gz YBAXUYFNDXUERC-MNOVXSKESA-N 1 2 289.404 3.513 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2F)nc1 ZINC000126989180 260360083 /nfs/dbraw/zinc/36/00/83/260360083.db2.gz FDLFMZKSXCTQMM-NSHDSACASA-N 1 2 262.303 3.519 20 0 CHADLO C[C@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1cccc(Cl)c1 ZINC000084221229 260361593 /nfs/dbraw/zinc/36/15/93/260361593.db2.gz SYNVFMPRIMCOGP-LLVKDONJSA-N 1 2 283.799 3.502 20 0 CHADLO C[C@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1cccc(Cl)c1 ZINC000084221229 260361595 /nfs/dbraw/zinc/36/15/95/260361595.db2.gz SYNVFMPRIMCOGP-LLVKDONJSA-N 1 2 283.799 3.502 20 0 CHADLO c1c[nH+]c(CNc2cccc(Oc3ccccc3)c2)[nH]1 ZINC000043195207 260635869 /nfs/dbraw/zinc/63/58/69/260635869.db2.gz FKXCFFWWQWJGLD-UHFFFAOYSA-N 1 2 265.316 3.814 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC2(C)CCCC2)c(C)[nH+]1 ZINC000408407877 260837256 /nfs/dbraw/zinc/83/72/56/260837256.db2.gz MYHQTYGNJWMSCM-UHFFFAOYSA-N 1 2 275.396 3.709 20 0 CHADLO CC[C@@H]1C[C@H]1C(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000448835864 260965071 /nfs/dbraw/zinc/96/50/71/260965071.db2.gz NVXQNAIKFFNXIK-MLGOLLRUSA-N 1 2 282.343 3.859 20 0 CHADLO Cc1ccc(NC(=O)N[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000152272822 261062310 /nfs/dbraw/zinc/06/23/10/261062310.db2.gz SCCLTXZJTBEYMP-GFCCVEGCSA-N 1 2 269.348 3.581 20 0 CHADLO Cc1ccc(CN(C)C(=O)Nc2ccc(C)[nH+]c2C)s1 ZINC000152416416 261063764 /nfs/dbraw/zinc/06/37/64/261063764.db2.gz AVNLVBYBHVSGEA-UHFFFAOYSA-N 1 2 289.404 3.732 20 0 CHADLO CC[C@@](C)([NH2+]Cc1csc(C2CC2)n1)c1nccs1 ZINC000152665469 261067336 /nfs/dbraw/zinc/06/73/36/261067336.db2.gz YKDKGFWTQZFVAZ-CQSZACIVSA-N 1 2 293.461 3.892 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2nc(-c3ccco3)no2)c1 ZINC000444688855 261068460 /nfs/dbraw/zinc/06/84/60/261068460.db2.gz DLEFNQNBKFKLSL-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2nc(-c3ccco3)no2)c1 ZINC000444688855 261068461 /nfs/dbraw/zinc/06/84/61/261068461.db2.gz DLEFNQNBKFKLSL-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+]C(C)(C)c1nccs1 ZINC000172078500 261070748 /nfs/dbraw/zinc/07/07/48/261070748.db2.gz ZACNWFFJVPCQFB-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO CC1(NC(=O)Nc2cccc(Oc3cc[nH+]cc3)c2)CCC1 ZINC000154106390 261087511 /nfs/dbraw/zinc/08/75/11/261087511.db2.gz KQJPBNHWTYXRBV-UHFFFAOYSA-N 1 2 297.358 3.938 20 0 CHADLO CC1=CC[N@H+](Cc2ncc(C(C)(C)C)s2)CC1 ZINC000448336296 261117696 /nfs/dbraw/zinc/11/76/96/261117696.db2.gz BPISBQNHNQMXOW-UHFFFAOYSA-N 1 2 250.411 3.593 20 0 CHADLO CC1=CC[N@@H+](Cc2ncc(C(C)(C)C)s2)CC1 ZINC000448336296 261117697 /nfs/dbraw/zinc/11/76/97/261117697.db2.gz BPISBQNHNQMXOW-UHFFFAOYSA-N 1 2 250.411 3.593 20 0 CHADLO CCOCCC[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000444698030 261106626 /nfs/dbraw/zinc/10/66/26/261106626.db2.gz LNQMXWQLSQHJQG-CZUORRHYSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCC[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000444698030 261106627 /nfs/dbraw/zinc/10/66/27/261106627.db2.gz LNQMXWQLSQHJQG-CZUORRHYSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCCn1c2ccccc2[nH+]c1NCc1ccco1 ZINC000158987182 261175173 /nfs/dbraw/zinc/17/51/73/261175173.db2.gz ZHKMTUZRCQCPEX-UHFFFAOYSA-N 1 2 299.374 3.668 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1cccc(Br)c1 ZINC000175300885 261220559 /nfs/dbraw/zinc/22/05/59/261220559.db2.gz TYGRCOAOVLIGLF-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1cccc(Br)c1 ZINC000175300885 261220560 /nfs/dbraw/zinc/22/05/60/261220560.db2.gz TYGRCOAOVLIGLF-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccc3ccccc3n2)s1 ZINC000175857906 261250011 /nfs/dbraw/zinc/25/00/11/261250011.db2.gz MMTFIHQVUVKDNW-LBPRGKRZSA-N 1 2 283.400 3.851 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(OC)c(C)c2)cs1 ZINC000103144571 261274484 /nfs/dbraw/zinc/27/44/84/261274484.db2.gz QMXDZVUAUINYLB-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(OC)c(C)c2)cs1 ZINC000103144571 261274486 /nfs/dbraw/zinc/27/44/86/261274486.db2.gz QMXDZVUAUINYLB-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@H](C)c1cscn1 ZINC000162317980 261276954 /nfs/dbraw/zinc/27/69/54/261276954.db2.gz DPVARJVWHFXDIE-WDEREUQCSA-N 1 2 262.378 3.564 20 0 CHADLO Cc1cc(NC[C@@H](c2cccnc2)C(C)C)nc(C2CC2)[nH+]1 ZINC000176747498 261308479 /nfs/dbraw/zinc/30/84/79/261308479.db2.gz VZQHMDRCPKFNCQ-MRXNPFEDSA-N 1 2 296.418 3.909 20 0 CHADLO CC(C)Cn1cc[nH+]c1CNC(=O)CC1CCCCCC1 ZINC000177064751 261328491 /nfs/dbraw/zinc/32/84/91/261328491.db2.gz RKYZUUTZORAIFD-UHFFFAOYSA-N 1 2 291.439 3.516 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc(F)c(F)c(F)c1)c1nccs1 ZINC000177923942 261373209 /nfs/dbraw/zinc/37/32/09/261373209.db2.gz ZOZVAPGRKGTEMT-YUMQZZPRSA-N 1 2 286.322 3.972 20 0 CHADLO CC(C)c1noc(C[NH2+][C@H](c2ccccc2)C2CCC2)n1 ZINC000170919632 261451571 /nfs/dbraw/zinc/45/15/71/261451571.db2.gz OFFSAFICWBUBHU-MRXNPFEDSA-N 1 2 285.391 3.824 20 0 CHADLO CCc1ccccc1NC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000175309104 261602592 /nfs/dbraw/zinc/60/25/92/261602592.db2.gz IYQGPRPRCQSPAY-HNNXBMFYSA-N 1 2 298.390 3.731 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H]1C[C@H]1c1ccccc1Cl ZINC000107294293 261883691 /nfs/dbraw/zinc/88/36/91/261883691.db2.gz PFVIBNOMZZEUMU-QWHCGFSZSA-N 1 2 286.762 3.786 20 0 CHADLO CC(C)CCc1nc(C[NH2+][C@@H](C)c2cccnc2)cs1 ZINC000181651748 261906679 /nfs/dbraw/zinc/90/66/79/261906679.db2.gz VXQZDJUKAUXDLU-ZDUSSCGKSA-N 1 2 289.448 3.978 20 0 CHADLO COC[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1ccc(C)o1 ZINC000184744030 262027587 /nfs/dbraw/zinc/02/75/87/262027587.db2.gz XKVUVHUMQYVQDV-CQSZACIVSA-N 1 2 297.757 3.858 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cnc(Cl)s2)cs1 ZINC000184729104 262027749 /nfs/dbraw/zinc/02/77/49/262027749.db2.gz MUQYTSVXMLOYBO-ZETCQYMHSA-N 1 2 287.841 3.666 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@@H]3CCCC[C@]32c2ccccc2)no1 ZINC000363983144 262076222 /nfs/dbraw/zinc/07/62/22/262076222.db2.gz LCUNHOYIEVKIEE-YJBOKZPZSA-N 1 2 297.402 3.533 20 0 CHADLO CCc1nc(C[N@H+]2C[C@@H]3CCCC[C@]32c2ccccc2)no1 ZINC000363983144 262076226 /nfs/dbraw/zinc/07/62/26/262076226.db2.gz LCUNHOYIEVKIEE-YJBOKZPZSA-N 1 2 297.402 3.533 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+][C@@H](CCO)c2ccco2)cs1 ZINC000186522715 262097575 /nfs/dbraw/zinc/09/75/75/262097575.db2.gz IEZCZXFWRDSTSN-NEPJUHHUSA-N 1 2 294.420 3.634 20 0 CHADLO Cc1nc2ccc(CNc3ccc[nH+]c3C)cc2s1 ZINC000491884918 262141368 /nfs/dbraw/zinc/14/13/68/262141368.db2.gz BTEOBYGHJMLSMF-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO COc1cc(CNc2c[nH+]cc(C)c2)cc(Cl)c1OC ZINC000119579920 262168439 /nfs/dbraw/zinc/16/84/39/262168439.db2.gz AXZNPQGVVUXNJY-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2csc(C3CC3)n2)o1 ZINC000492271680 262198537 /nfs/dbraw/zinc/19/85/37/262198537.db2.gz GZBCUFQHHZUFBE-UHFFFAOYSA-N 1 2 291.420 3.559 20 0 CHADLO Cc1cc2[nH+]cn(CC(=O)c3ccc(F)c(C)c3)c2cc1C ZINC000446064885 262270257 /nfs/dbraw/zinc/27/02/57/262270257.db2.gz UNIGVWDOKGITDG-UHFFFAOYSA-N 1 2 296.345 3.984 20 0 CHADLO COc1cc(Nc2cc(C)[nH+]c(C3CC3)n2)ccc1F ZINC000186705374 262320571 /nfs/dbraw/zinc/32/05/71/262320571.db2.gz WUNTUQZXJXOGGF-UHFFFAOYSA-N 1 2 273.311 3.554 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@@H]2CCCC2(F)F)c1 ZINC000390862590 262355574 /nfs/dbraw/zinc/35/55/74/262355574.db2.gz KYEQHLIJPYSYSY-VIFPVBQESA-N 1 2 280.240 3.948 20 0 CHADLO C[C@H]([NH2+][C@@H](CC(F)(F)F)c1ccccc1)c1ccn(C)n1 ZINC000414065142 262800526 /nfs/dbraw/zinc/80/05/26/262800526.db2.gz GOGUQXDRKLZAJC-FZMZJTMJSA-N 1 2 297.324 3.764 20 0 CHADLO COc1ccsc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414850838 263067233 /nfs/dbraw/zinc/06/72/33/263067233.db2.gz NIRVQCIIFAHOMJ-UHFFFAOYSA-N 1 2 274.389 3.727 20 0 CHADLO C[C@H](CCCCNC(=O)OC(C)(C)C)Nc1cccc[nH+]1 ZINC000290853083 263118847 /nfs/dbraw/zinc/11/88/47/263118847.db2.gz ZQMSKQIWXUHBMH-CYBMUJFWSA-N 1 2 293.411 3.577 20 0 CHADLO CC[C@H](C)[C@H](C)C(=O)N(C)[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000293871187 263151564 /nfs/dbraw/zinc/15/15/64/263151564.db2.gz HCJMUYFMASPYIW-DZKIICNBSA-N 1 2 299.418 3.640 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1Cl)c1ccncn1 ZINC000453111956 263190665 /nfs/dbraw/zinc/19/06/65/263190665.db2.gz QSWWLANPUKFXOZ-UWVGGRQHSA-N 1 2 279.746 3.681 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)ncc1F ZINC000296956714 263198729 /nfs/dbraw/zinc/19/87/29/263198729.db2.gz UGLQSHIVEXGOKE-SNVBAGLBSA-N 1 2 294.267 3.523 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)ncc1F ZINC000296956714 263198730 /nfs/dbraw/zinc/19/87/30/263198730.db2.gz UGLQSHIVEXGOKE-SNVBAGLBSA-N 1 2 294.267 3.523 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cnc2ccsc2c1)C(F)F ZINC000453233062 263205520 /nfs/dbraw/zinc/20/55/20/263205520.db2.gz GZNMIDVKIQGVDC-PSASIEDQSA-N 1 2 270.348 3.991 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ccc(F)cc3F)CCC2)n1 ZINC000299564032 263247647 /nfs/dbraw/zinc/24/76/47/263247647.db2.gz FVGXSHBMGSWFFZ-UHFFFAOYSA-N 1 2 294.370 3.899 20 0 CHADLO Cc1ccc(F)c(C[S@](=O)Cc2ccc(C)[nH+]c2C)c1 ZINC000417162891 263784656 /nfs/dbraw/zinc/78/46/56/263784656.db2.gz LOWSHIIXUSRINT-HXUWFJFHSA-N 1 2 291.391 3.595 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)c1ccc2cc[nH]c2c1 ZINC000425337600 264006650 /nfs/dbraw/zinc/00/66/50/264006650.db2.gz NFTQZWGZZGKTND-UHFFFAOYSA-N 1 2 268.364 3.582 20 0 CHADLO CC(C)n1cncc1C[N@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000425335822 264011612 /nfs/dbraw/zinc/01/16/12/264011612.db2.gz JMMRUCZEUBZKDW-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC(C)n1cncc1C[N@@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000425335822 264011615 /nfs/dbraw/zinc/01/16/15/264011615.db2.gz JMMRUCZEUBZKDW-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)Cc1ccc(Cl)c(F)c1 ZINC000425335822 264011618 /nfs/dbraw/zinc/01/16/18/264011618.db2.gz JMMRUCZEUBZKDW-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]Cc2c(C)cc(C)cc2C)no1 ZINC000331259945 264184001 /nfs/dbraw/zinc/18/40/01/264184001.db2.gz ACVWDZDCYVWPIE-UHFFFAOYSA-N 1 2 287.407 3.582 20 0 CHADLO CC[C@@H](F)C[NH2+][C@H](C)c1nc(C2CCCCC2)no1 ZINC000331314216 264187966 /nfs/dbraw/zinc/18/79/66/264187966.db2.gz WTSVFRAVIJINNT-ZYHUDNBSSA-N 1 2 269.364 3.516 20 0 CHADLO CC[C@@H](NC(=O)[C@H](C)CC1CCCCC1)c1[nH]cc[nH+]1 ZINC000331294996 264197606 /nfs/dbraw/zinc/19/76/06/264197606.db2.gz YDCRNSAPFOQVPF-TZMCWYRMSA-N 1 2 277.412 3.584 20 0 CHADLO Fc1cc(C[NH+]2CC(CC(F)F)C2)ccc1Cl ZINC000425366333 264211789 /nfs/dbraw/zinc/21/17/89/264211789.db2.gz PISLDWPYPFBOCH-UHFFFAOYSA-N 1 2 263.690 3.566 20 0 CHADLO CC(C)n1c[nH+]cc1CN1C[C@H](C)CN(C)c2ccccc21 ZINC000425376464 264216087 /nfs/dbraw/zinc/21/60/87/264216087.db2.gz FGJZOVJUDVAKJK-OAHLLOKOSA-N 1 2 298.434 3.557 20 0 CHADLO CC[C@@H](C)C[C@@H](C)NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334105578 264235851 /nfs/dbraw/zinc/23/58/51/264235851.db2.gz UMOLXFMLGTZXMA-ZYHUDNBSSA-N 1 2 277.412 3.953 20 0 CHADLO C[C@@H]1CO[C@@H](c2cccc(Cl)c2)C[N@@H+]1Cc1ccco1 ZINC000188751773 264244403 /nfs/dbraw/zinc/24/44/03/264244403.db2.gz NIOCVWXGPBNQAL-MLGOLLRUSA-N 1 2 291.778 3.895 20 0 CHADLO C[C@@H]1CO[C@@H](c2cccc(Cl)c2)C[N@H+]1Cc1ccco1 ZINC000188751773 264244404 /nfs/dbraw/zinc/24/44/04/264244404.db2.gz NIOCVWXGPBNQAL-MLGOLLRUSA-N 1 2 291.778 3.895 20 0 CHADLO COc1cccc2c(NCc3ccsc3)cc[nH+]c12 ZINC000189189911 264278008 /nfs/dbraw/zinc/27/80/08/264278008.db2.gz GCNUYODACVPDOR-UHFFFAOYSA-N 1 2 270.357 3.917 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)Nc1c[nH+]ccc1OC ZINC000074540582 264311488 /nfs/dbraw/zinc/31/14/88/264311488.db2.gz IIYIEWMZWXZFBO-CYBMUJFWSA-N 1 2 276.380 3.635 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1nc(C(F)F)no1)C1CC1 ZINC000425427306 264315021 /nfs/dbraw/zinc/31/50/21/264315021.db2.gz SEBVIKFCMBOCOK-SNVBAGLBSA-N 1 2 293.317 3.733 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1nc(C(F)F)no1)C1CC1 ZINC000425427306 264315022 /nfs/dbraw/zinc/31/50/22/264315022.db2.gz SEBVIKFCMBOCOK-SNVBAGLBSA-N 1 2 293.317 3.733 20 0 CHADLO CC[N@H+](Cc1c(Cl)cnn1C)Cc1cccc(Cl)c1 ZINC000189666118 264318032 /nfs/dbraw/zinc/31/80/32/264318032.db2.gz PFBQZWHJHASBTL-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cnn1C)Cc1cccc(Cl)c1 ZINC000189666118 264318034 /nfs/dbraw/zinc/31/80/34/264318034.db2.gz PFBQZWHJHASBTL-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)c(F)c1)c1cscn1 ZINC000162298857 264318522 /nfs/dbraw/zinc/31/85/22/264318522.db2.gz XLLSGHBJENNOCD-DTWKUNHWSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1cncc(C[N@H+](Cc2ccc(F)cc2F)C2CC2)c1 ZINC000189794013 264326814 /nfs/dbraw/zinc/32/68/14/264326814.db2.gz KFNNTWHETQHELS-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1cncc(C[N@@H+](Cc2ccc(F)cc2F)C2CC2)c1 ZINC000189794013 264326816 /nfs/dbraw/zinc/32/68/16/264326816.db2.gz KFNNTWHETQHELS-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO C[C@@H]1C[C@@H](C(C)(C)C)CC[N@@H+]1Cc1nc(C(F)F)no1 ZINC000425460782 264333103 /nfs/dbraw/zinc/33/31/03/264333103.db2.gz SXHOEBKGPDFOGN-ZJUUUORDSA-N 1 2 287.354 3.654 20 0 CHADLO C[C@@H]1C[C@@H](C(C)(C)C)CC[N@H+]1Cc1nc(C(F)F)no1 ZINC000425460782 264333105 /nfs/dbraw/zinc/33/31/05/264333105.db2.gz SXHOEBKGPDFOGN-ZJUUUORDSA-N 1 2 287.354 3.654 20 0 CHADLO CN(c1cc[nH+]c2c(Cl)cccc12)[C@@H]1CCCOC1 ZINC000343286201 264356595 /nfs/dbraw/zinc/35/65/95/264356595.db2.gz MMMGKZLAVOZFSM-LLVKDONJSA-N 1 2 276.767 3.503 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)CCC1CC1)c1ccc(Cl)cc1 ZINC000428097009 264366636 /nfs/dbraw/zinc/36/66/36/264366636.db2.gz CFYADYLNUVHVFO-NHYWBVRUSA-N 1 2 295.810 3.722 20 0 CHADLO Fc1cccc2c1C1(CCCC1)CN2CCCn1cc[nH+]c1 ZINC000343300624 264486154 /nfs/dbraw/zinc/48/61/54/264486154.db2.gz IMEXQGNPFOWHQW-UHFFFAOYSA-N 1 2 299.393 3.744 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1OCC(=O)Nc1ccc(C)[nH+]c1C ZINC000185224845 265010927 /nfs/dbraw/zinc/01/09/27/265010927.db2.gz TXNFJGJSOTUDQH-ZBFHGGJFSA-N 1 2 290.407 3.622 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1ncn(C)n1)c1ccc(Cl)cc1 ZINC000391872944 265019861 /nfs/dbraw/zinc/01/98/61/265019861.db2.gz JIBDMPAJVJXAAG-FZMZJTMJSA-N 1 2 292.814 3.661 20 0 CHADLO CCc1cc(N[C@H]2CCC[C@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000518843365 265180181 /nfs/dbraw/zinc/18/01/81/265180181.db2.gz FZHGNNHWCAHWEW-BBRMVZONSA-N 1 2 296.418 3.514 20 0 CHADLO CO[C@@H](c1ccccc1Cl)[C@@H](C)Nc1cccc[nH+]1 ZINC000349901643 265214267 /nfs/dbraw/zinc/21/42/67/265214267.db2.gz CVUJFHDTSITHDC-IAQYHMDHSA-N 1 2 276.767 3.923 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)cc(Cl)c2)[C@@H]1[C@H]1CCCO1 ZINC000519244037 265374905 /nfs/dbraw/zinc/37/49/05/265374905.db2.gz UBRUHOGCPWFFHB-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)cc(Cl)c2)[C@@H]1[C@H]1CCCO1 ZINC000519244037 265374909 /nfs/dbraw/zinc/37/49/09/265374909.db2.gz UBRUHOGCPWFFHB-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO Cc1ccc(C)c(CSc2[nH+]cnc3[nH]ccc32)c1 ZINC000519322152 265395259 /nfs/dbraw/zinc/39/52/59/265395259.db2.gz HEMVXOMERRYBBB-UHFFFAOYSA-N 1 2 269.373 3.867 20 0 CHADLO Cc1ccc2c(c1)N(Cc1[nH+]ccn1C(C)C)CCC2 ZINC000171957720 335086644 /nfs/dbraw/zinc/08/66/44/335086644.db2.gz DAKTZTBWDLHPES-UHFFFAOYSA-N 1 2 269.392 3.725 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC(F)(F)[C@H](C)C2)n1 ZINC000356239970 266079572 /nfs/dbraw/zinc/07/95/72/266079572.db2.gz BHBBYWPFOSJPQK-SNVBAGLBSA-N 1 2 274.380 3.573 20 0 CHADLO CCCc1csc(C[N@H+]2CCC(F)(F)[C@H](C)C2)n1 ZINC000356239970 266079576 /nfs/dbraw/zinc/07/95/76/266079576.db2.gz BHBBYWPFOSJPQK-SNVBAGLBSA-N 1 2 274.380 3.573 20 0 CHADLO COC[C@H]([NH2+]Cc1c(F)cccc1F)c1ccc(F)cc1 ZINC000356250324 266081305 /nfs/dbraw/zinc/08/13/05/266081305.db2.gz FBVFQAQGKKBZDI-INIZCTEOSA-N 1 2 295.304 3.581 20 0 CHADLO CSc1ccc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)s1 ZINC000356342924 266099368 /nfs/dbraw/zinc/09/93/68/266099368.db2.gz QZOLUIHGURUVBN-ZDUSSCGKSA-N 1 2 293.461 3.920 20 0 CHADLO CSc1ccc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)s1 ZINC000356342924 266099370 /nfs/dbraw/zinc/09/93/70/266099370.db2.gz QZOLUIHGURUVBN-ZDUSSCGKSA-N 1 2 293.461 3.920 20 0 CHADLO CC[C@]1(C)C[N@H+](Cc2cscc2C(F)(F)F)CCO1 ZINC000356404922 266109430 /nfs/dbraw/zinc/10/94/30/266109430.db2.gz JZXLDCPVKLHWSS-GFCCVEGCSA-N 1 2 293.354 3.768 20 0 CHADLO CC[C@]1(C)C[N@@H+](Cc2cscc2C(F)(F)F)CCO1 ZINC000356404922 266109431 /nfs/dbraw/zinc/10/94/31/266109431.db2.gz JZXLDCPVKLHWSS-GFCCVEGCSA-N 1 2 293.354 3.768 20 0 CHADLO C[C@H]1CCN(c2[nH]c3ccccc3[nH+]2)CC12CCC2 ZINC000356797999 266177098 /nfs/dbraw/zinc/17/70/98/266177098.db2.gz REYVKQIKFNHIJN-LBPRGKRZSA-N 1 2 255.365 3.579 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccc(Cl)s2)o1 ZINC000356958709 266218545 /nfs/dbraw/zinc/21/85/45/266218545.db2.gz UWGDVCORRVDITK-VIFPVBQESA-N 1 2 270.785 3.891 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccc(Cl)s2)o1 ZINC000356958709 266218547 /nfs/dbraw/zinc/21/85/47/266218547.db2.gz UWGDVCORRVDITK-VIFPVBQESA-N 1 2 270.785 3.891 20 0 CHADLO C[C@@H]1CN(Cc2ccc(N3CCCC3)[nH+]c2)c2ccccc21 ZINC000356972057 266221966 /nfs/dbraw/zinc/22/19/66/266221966.db2.gz FBWRBNKAOQGMIQ-OAHLLOKOSA-N 1 2 293.414 3.806 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@@H+]1CCOC2(CCC2)C1 ZINC000356977655 266222676 /nfs/dbraw/zinc/22/26/76/266222676.db2.gz YJEMNIZIEDVYJC-UHFFFAOYSA-N 1 2 299.370 3.827 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@H+]1CCOC2(CCC2)C1 ZINC000356977655 266222679 /nfs/dbraw/zinc/22/26/79/266222679.db2.gz YJEMNIZIEDVYJC-UHFFFAOYSA-N 1 2 299.370 3.827 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N(CC3CCC3)C(C)C)cc2n1C ZINC000357145833 266254882 /nfs/dbraw/zinc/25/48/82/266254882.db2.gz LLWYCTHJTKXHNF-UHFFFAOYSA-N 1 2 299.418 3.532 20 0 CHADLO C[N@H+](Cc1ccn(C2CCCC2)n1)Cc1ccc(F)cc1 ZINC000357169550 266259365 /nfs/dbraw/zinc/25/93/65/266259365.db2.gz MCRQQZWLEGDLHZ-UHFFFAOYSA-N 1 2 287.382 3.769 20 0 CHADLO C[N@@H+](Cc1ccn(C2CCCC2)n1)Cc1ccc(F)cc1 ZINC000357169550 266259367 /nfs/dbraw/zinc/25/93/67/266259367.db2.gz MCRQQZWLEGDLHZ-UHFFFAOYSA-N 1 2 287.382 3.769 20 0 CHADLO CC(C)CCn1cccc1C[N@@H+]1CCC=C(F)C1 ZINC000357215120 266266717 /nfs/dbraw/zinc/26/67/17/266266717.db2.gz PTWIEOKQUCVKSU-UHFFFAOYSA-N 1 2 250.361 3.593 20 0 CHADLO CC(C)CCn1cccc1C[N@H+]1CCC=C(F)C1 ZINC000357215120 266266719 /nfs/dbraw/zinc/26/67/19/266266719.db2.gz PTWIEOKQUCVKSU-UHFFFAOYSA-N 1 2 250.361 3.593 20 0 CHADLO CC[C@H]1CC[C@H](C)N1c1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000357281221 266272131 /nfs/dbraw/zinc/27/21/31/266272131.db2.gz BEBOSYMTUYQSDT-ZFWWWQNUSA-N 1 2 282.391 3.614 20 0 CHADLO Fc1cccc(Br)c1C[N@@H+]1CCC12CCC2 ZINC000357306835 266273867 /nfs/dbraw/zinc/27/38/67/266273867.db2.gz PWKBEIGHXQEDKG-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Fc1cccc(Br)c1C[N@H+]1CCC12CCC2 ZINC000357306835 266273871 /nfs/dbraw/zinc/27/38/71/266273871.db2.gz PWKBEIGHXQEDKG-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Cc1ccc(-c2cc(C[N@H+](C)Cc3ccco3)on2)cc1 ZINC000357330054 266276364 /nfs/dbraw/zinc/27/63/64/266276364.db2.gz AYGXEAUKFZNIPR-UHFFFAOYSA-N 1 2 282.343 3.875 20 0 CHADLO Cc1ccc(-c2cc(C[N@@H+](C)Cc3ccco3)on2)cc1 ZINC000357330054 266276367 /nfs/dbraw/zinc/27/63/67/266276367.db2.gz AYGXEAUKFZNIPR-UHFFFAOYSA-N 1 2 282.343 3.875 20 0 CHADLO c1cc2cc(CNc3cc[nH+]c4ccncc34)ccc2s1 ZINC000357602560 266334061 /nfs/dbraw/zinc/33/40/61/266334061.db2.gz MUSOIOLWMFLJNC-UHFFFAOYSA-N 1 2 291.379 3.879 20 0 CHADLO CC[C@@H](CNc1cc(C)[nH+]c2c(F)cccc12)OC ZINC000357823008 266373023 /nfs/dbraw/zinc/37/30/23/266373023.db2.gz ZYBDMNZZBHGIDV-NSHDSACASA-N 1 2 262.328 3.519 20 0 CHADLO Cc1ccc2c(c1)CCN(c1cc[nH+]c3ccncc31)C2 ZINC000357879843 266382170 /nfs/dbraw/zinc/38/21/70/266382170.db2.gz PXMGGWWQEMNOOG-UHFFFAOYSA-N 1 2 275.355 3.501 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2c(C)cccc2C)c(C)[nH+]1 ZINC000358131813 266426855 /nfs/dbraw/zinc/42/68/55/266426855.db2.gz XIBFDQZHAUVFNA-FQEVSTJZSA-N 1 2 287.428 3.764 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1csc(-c2ccoc2)n1 ZINC000361433957 266822228 /nfs/dbraw/zinc/82/22/28/266822228.db2.gz JVPOZSMBZACIQR-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1csc(-c2ccoc2)n1 ZINC000361433957 266822230 /nfs/dbraw/zinc/82/22/30/266822230.db2.gz JVPOZSMBZACIQR-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1[nH+]cccc1NCc1cnc(-c2ccco2)s1 ZINC000362626963 267025548 /nfs/dbraw/zinc/02/55/48/267025548.db2.gz LDGURAOVZWOPCI-UHFFFAOYSA-N 1 2 271.345 3.719 20 0 CHADLO Fc1cccc(F)c1C1CCN(c2cccc[nH+]2)CC1 ZINC000362678293 267033826 /nfs/dbraw/zinc/03/38/26/267033826.db2.gz AWJXWOIXNJOTKK-UHFFFAOYSA-N 1 2 274.314 3.744 20 0 CHADLO Cc1ccccc1CN(C)c1cc[nH+]c2ccncc21 ZINC000362836212 267052854 /nfs/dbraw/zinc/05/28/54/267052854.db2.gz FCDKIUZEHYDADY-UHFFFAOYSA-N 1 2 263.344 3.575 20 0 CHADLO C[C@@H]1CCc2c(F)cccc2[C@@H]1[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367527440 267097078 /nfs/dbraw/zinc/09/70/78/267097078.db2.gz OMLINQUBNFPRKQ-DPZKZMLUSA-N 1 2 299.393 3.839 20 0 CHADLO C[C@@H]1CCc2c(F)cccc2[C@H]1[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367527441 267097264 /nfs/dbraw/zinc/09/72/64/267097264.db2.gz OMLINQUBNFPRKQ-ONUMYQOESA-N 1 2 299.393 3.839 20 0 CHADLO c1coc([C@@H]2C[N@H+](Cc3ccc4occc4c3)CCO2)c1 ZINC000368025711 267135926 /nfs/dbraw/zinc/13/59/26/267135926.db2.gz YHYLFKVSQSIYDP-KRWDZBQOSA-N 1 2 283.327 3.599 20 0 CHADLO c1coc([C@@H]2C[N@@H+](Cc3ccc4occc4c3)CCO2)c1 ZINC000368025711 267135930 /nfs/dbraw/zinc/13/59/30/267135930.db2.gz YHYLFKVSQSIYDP-KRWDZBQOSA-N 1 2 283.327 3.599 20 0 CHADLO c1coc([C@H]2C[N@H+](Cc3ccc4occc4c3)CCO2)c1 ZINC000368025712 267136742 /nfs/dbraw/zinc/13/67/42/267136742.db2.gz YHYLFKVSQSIYDP-QGZVFWFLSA-N 1 2 283.327 3.599 20 0 CHADLO c1coc([C@H]2C[N@@H+](Cc3ccc4occc4c3)CCO2)c1 ZINC000368025712 267136746 /nfs/dbraw/zinc/13/67/46/267136746.db2.gz YHYLFKVSQSIYDP-QGZVFWFLSA-N 1 2 283.327 3.599 20 0 CHADLO Cc1cc(NC(=O)COc2ccc(C(C)C)c(C)c2)cc[nH+]1 ZINC000017820702 267160932 /nfs/dbraw/zinc/16/09/32/267160932.db2.gz PWJICLSBPCBQNV-UHFFFAOYSA-N 1 2 298.386 3.839 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@H+](Cc2cc(C(C)(C)C)on2)C1 ZINC000368594916 267190029 /nfs/dbraw/zinc/19/00/29/267190029.db2.gz UZTPOVNVMHUVHR-CJNGLKHVSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2cc(C(C)(C)C)on2)C1 ZINC000368594916 267190030 /nfs/dbraw/zinc/19/00/30/267190030.db2.gz UZTPOVNVMHUVHR-CJNGLKHVSA-N 1 2 299.418 3.950 20 0 CHADLO CCCC[C@H](CC)CC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000117246873 267207733 /nfs/dbraw/zinc/20/77/33/267207733.db2.gz MNQLLWYOWBYAKR-HNNXBMFYSA-N 1 2 291.439 3.653 20 0 CHADLO CC[C@@H]1CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000117676206 267218216 /nfs/dbraw/zinc/21/82/16/267218216.db2.gz GWKJZFUIBYRGDT-OAHLLOKOSA-N 1 2 297.402 3.525 20 0 CHADLO Cc1ccc(C)c(CNc2ccc(-c3cncnc3)c[nH+]2)c1 ZINC000369747953 267262971 /nfs/dbraw/zinc/26/29/71/267262971.db2.gz CHKGBMLMCHYZOJ-UHFFFAOYSA-N 1 2 290.370 3.768 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2SCCc3ccccc32)c(C)[nH+]1 ZINC000119036295 267293222 /nfs/dbraw/zinc/29/32/22/267293222.db2.gz OWJWYTDYCYBSGZ-MRXNPFEDSA-N 1 2 298.411 3.668 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)Cc2ccccc2)c(C)[nH+]1 ZINC000119040252 267294432 /nfs/dbraw/zinc/29/44/32/267294432.db2.gz LWSXKAYJCFJMJK-LBPRGKRZSA-N 1 2 268.360 3.516 20 0 CHADLO Cc1ccc(NC(=O)c2ccccc2Cl)c(C)[nH+]1 ZINC000119251117 267313573 /nfs/dbraw/zinc/31/35/73/267313573.db2.gz WXZSTHOMXFSDCY-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO Cc1ccc(NC(=O)c2c(F)cccc2Cl)c(C)[nH+]1 ZINC000119333592 267322362 /nfs/dbraw/zinc/32/23/62/267322362.db2.gz GZSCIQJWJIOERH-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2c2nc3ccccc3o2)on1 ZINC000370515090 267325713 /nfs/dbraw/zinc/32/57/13/267325713.db2.gz RBFWTZZMRHVMIT-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2c2nc3ccccc3o2)on1 ZINC000370515090 267325715 /nfs/dbraw/zinc/32/57/15/267325715.db2.gz RBFWTZZMRHVMIT-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](C)c2ccc(Cl)cc2)cc[nH+]1 ZINC000119440155 267334850 /nfs/dbraw/zinc/33/48/50/267334850.db2.gz ZDHLPXHIIVKYBC-NSHDSACASA-N 1 2 289.766 3.926 20 0 CHADLO CC[C@H](NC(=O)Nc1cc[nH+]c(C)c1)c1ccc(OC)cc1 ZINC000119568216 267344991 /nfs/dbraw/zinc/34/49/91/267344991.db2.gz KVMCAPIOVJRNPN-INIZCTEOSA-N 1 2 299.374 3.671 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(Br)cc2)c1 ZINC000119566987 267345231 /nfs/dbraw/zinc/34/52/31/267345231.db2.gz WLTFLVNLQBNBDT-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO Cc1cc(NC(=O)N(C)Cc2ccc(Cl)s2)cc[nH+]1 ZINC000119567445 267345298 /nfs/dbraw/zinc/34/52/98/267345298.db2.gz ZCDMFXBFIMCHFW-UHFFFAOYSA-N 1 2 295.795 3.769 20 0 CHADLO COc1ccc(CNc2c[nH+]cc(C)c2)cc1Cl ZINC000119583357 267346089 /nfs/dbraw/zinc/34/60/89/267346089.db2.gz VJROGNBWCAOTRR-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO Cn1cc(Cl)cc1C[N@H+](C)Cc1ccc(F)cc1F ZINC000120098227 267358181 /nfs/dbraw/zinc/35/81/81/267358181.db2.gz ZSPKSJDRUCXOPA-UHFFFAOYSA-N 1 2 284.737 3.589 20 0 CHADLO Cn1cc(Cl)cc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC000120098227 267358182 /nfs/dbraw/zinc/35/81/82/267358182.db2.gz ZSPKSJDRUCXOPA-UHFFFAOYSA-N 1 2 284.737 3.589 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)Cc2cccs2)[nH+]1 ZINC000371247584 267377674 /nfs/dbraw/zinc/37/76/74/267377674.db2.gz OITKNZSEKMPPPA-UHFFFAOYSA-N 1 2 297.383 3.628 20 0 CHADLO CC1(C)C[C@@H]1C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000123169788 268004697 /nfs/dbraw/zinc/00/46/97/268004697.db2.gz SJCWUDCKDANITF-OAHLLOKOSA-N 1 2 282.343 3.859 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@H]2C[C@H]2C(C)C)[nH+]1 ZINC000376910177 268028624 /nfs/dbraw/zinc/02/86/24/268028624.db2.gz IMQQUBQJJDPCBS-KBPBESRZSA-N 1 2 283.375 3.616 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2ccc(F)cc2F)o1 ZINC000570774132 327618127 /nfs/dbraw/zinc/61/81/27/327618127.db2.gz JCVGSXUZOMLCLN-UHFFFAOYSA-N 1 2 280.318 3.504 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1cccc(F)c1F)c1ccc(C)o1 ZINC000183276666 327818459 /nfs/dbraw/zinc/81/84/59/327818459.db2.gz VPRMUPWLMJSSRL-SMDDNHRTSA-N 1 2 295.329 3.905 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccccc2Cl)s1 ZINC000273191020 327873660 /nfs/dbraw/zinc/87/36/60/327873660.db2.gz NQVCMLDYUNFDON-IUCAKERBSA-N 1 2 281.812 3.912 20 0 CHADLO C[C@H](CC(=O)N[C@H]1CCCC[C@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000563210509 327965518 /nfs/dbraw/zinc/96/55/18/327965518.db2.gz GGHWHGSJDYJKOQ-KFWWJZLASA-N 1 2 291.439 3.555 20 0 CHADLO C[C@H](O)[C@H]([NH2+]Cc1ccsc1Cl)c1ccccc1F ZINC000571052239 327986710 /nfs/dbraw/zinc/98/67/10/327986710.db2.gz QYAPYLNBUSNVGJ-ZANVPECISA-N 1 2 299.798 3.752 20 0 CHADLO Cc1ccoc1CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000274500548 327995549 /nfs/dbraw/zinc/99/55/49/327995549.db2.gz DUXFROMYNIWBTA-UHFFFAOYSA-N 1 2 256.227 3.614 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)C[C@H]2CCCC(C)(C)C2)[nH+]1 ZINC000563285855 328008595 /nfs/dbraw/zinc/00/85/95/328008595.db2.gz YYMFVDXIQOGFQZ-CYBMUJFWSA-N 1 2 291.439 3.676 20 0 CHADLO CC[N@H+](Cc1nnc(C(C)C)o1)[C@@H](C)c1ccc(F)cc1 ZINC000339291908 328004501 /nfs/dbraw/zinc/00/45/01/328004501.db2.gz RHCWLFCUROKZND-LBPRGKRZSA-N 1 2 291.370 3.915 20 0 CHADLO CC[N@@H+](Cc1nnc(C(C)C)o1)[C@@H](C)c1ccc(F)cc1 ZINC000339291908 328004503 /nfs/dbraw/zinc/00/45/03/328004503.db2.gz RHCWLFCUROKZND-LBPRGKRZSA-N 1 2 291.370 3.915 20 0 CHADLO COCC[C@@H](C)SCc1cn2cc(Cl)ccc2[nH+]1 ZINC000531516066 328013626 /nfs/dbraw/zinc/01/36/26/328013626.db2.gz UCCDLGXLWUHZIN-SNVBAGLBSA-N 1 2 284.812 3.646 20 0 CHADLO Cc1cccc(CNc2[nH+]ccc3ccc(F)cc32)n1 ZINC000533980377 328031327 /nfs/dbraw/zinc/03/13/27/328031327.db2.gz HQDMLKQUNUJBLJ-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO Cc1ccc(NC(=O)NCc2ccc([C@H]3C[C@H]3C)o2)c(C)[nH+]1 ZINC000531776852 328034043 /nfs/dbraw/zinc/03/40/43/328034043.db2.gz WVWQSIJOYCFSLA-YGRLFVJLSA-N 1 2 299.374 3.737 20 0 CHADLO Cc1ccc([C@@H](C)N(C)C(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000534325130 328045796 /nfs/dbraw/zinc/04/57/96/328045796.db2.gz YGQQJBKKTFNFBX-OAHLLOKOSA-N 1 2 297.402 3.654 20 0 CHADLO Cc1ccc([C@H](C)N(C)C(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000534325129 328045809 /nfs/dbraw/zinc/04/58/09/328045809.db2.gz YGQQJBKKTFNFBX-HNNXBMFYSA-N 1 2 297.402 3.654 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H]2c2ccccn2)cc(F)c1F ZINC000534331900 328046588 /nfs/dbraw/zinc/04/65/88/328046588.db2.gz OEPRBXOARQSIOW-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H]2c2ccccn2)cc(F)c1F ZINC000534331900 328046589 /nfs/dbraw/zinc/04/65/89/328046589.db2.gz OEPRBXOARQSIOW-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO CCc1nocc1COc1cc(C)[nH+]c2c(C)cccc12 ZINC000563418648 328063652 /nfs/dbraw/zinc/06/36/52/328063652.db2.gz YPAHLKFQQWFTAY-UHFFFAOYSA-N 1 2 282.343 3.981 20 0 CHADLO CCc1ncc(C[NH2+]Cc2c(Cl)cccc2Cl)cn1 ZINC000563518795 328071527 /nfs/dbraw/zinc/07/15/27/328071527.db2.gz QTIWSKZSMQHZRF-UHFFFAOYSA-N 1 2 296.201 3.636 20 0 CHADLO c1csc([C@@H]2CCC[N@H+]2Cc2ccsc2)n1 ZINC000378339702 329046373 /nfs/dbraw/zinc/04/63/73/329046373.db2.gz YAQAHVKIPYIUCY-NSHDSACASA-N 1 2 250.392 3.542 20 0 CHADLO c1csc([C@@H]2CCC[N@@H+]2Cc2ccsc2)n1 ZINC000378339702 329046374 /nfs/dbraw/zinc/04/63/74/329046374.db2.gz YAQAHVKIPYIUCY-NSHDSACASA-N 1 2 250.392 3.542 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@H]1CCC[C@H]2C[C@H]21 ZINC000571301718 328100960 /nfs/dbraw/zinc/10/09/60/328100960.db2.gz PRPBSOSYYWYPQY-ZNMIVQPWSA-N 1 2 275.392 3.621 20 0 CHADLO C[C@@H](NC(=O)CC(C)(C)C1CCCCC1)c1[nH]cc[nH+]1 ZINC000571343817 328113497 /nfs/dbraw/zinc/11/34/97/328113497.db2.gz BGRKRAOWSPOCIE-GFCCVEGCSA-N 1 2 277.412 3.584 20 0 CHADLO COc1ccc[nH+]c1NC1CCC(OC2CCCC2)CC1 ZINC000571492414 328125187 /nfs/dbraw/zinc/12/51/87/328125187.db2.gz RCFNNHRYUMAUQX-UHFFFAOYSA-N 1 2 290.407 3.773 20 0 CHADLO C[C@@H]1CCCC[C@@H]1CC[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000571598479 328136391 /nfs/dbraw/zinc/13/63/91/328136391.db2.gz WUNASWFWUKZQFS-BFYDXBDKSA-N 1 2 298.434 3.700 20 0 CHADLO C[C@@H]1C[C@@H](CNc2[nH+]ccc3ccc(F)cc32)[C@@H](C)O1 ZINC000413541295 328149080 /nfs/dbraw/zinc/14/90/80/328149080.db2.gz VTNVDAWDNKCHDI-WZRBSPASSA-N 1 2 274.339 3.599 20 0 CHADLO Cc1nc(CSc2[nH+]cc3ccccn32)sc1C ZINC000173324164 329145714 /nfs/dbraw/zinc/14/57/14/329145714.db2.gz BNYYJOSZEHNPGP-UHFFFAOYSA-N 1 2 275.402 3.700 20 0 CHADLO COc1c(C)c[nH+]c(CSc2ccoc2C)c1C ZINC000181296735 329230138 /nfs/dbraw/zinc/23/01/38/329230138.db2.gz OKNKFQBLNWIPMC-UHFFFAOYSA-N 1 2 263.362 3.901 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H](C)Cc1cccs1 ZINC000287494863 328251377 /nfs/dbraw/zinc/25/13/77/328251377.db2.gz LBLBYKXZKZPGJF-NSHDSACASA-N 1 2 289.404 3.513 20 0 CHADLO CC(=O)c1c(F)cccc1NCCCc1[nH+]cccc1C ZINC000295065893 328284958 /nfs/dbraw/zinc/28/49/58/328284958.db2.gz VWMGIKFSXCIOLL-UHFFFAOYSA-N 1 2 286.350 3.776 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@H](C)CC(C)C)cc2[nH+]1 ZINC000340527145 328288980 /nfs/dbraw/zinc/28/89/80/328288980.db2.gz DPMKAPPACZSMNT-LLVKDONJSA-N 1 2 273.380 3.882 20 0 CHADLO Cc1nc(-c2cccc(N[C@H]3CCn4cc[nH+]c43)c2)oc1C ZINC000297053530 328293299 /nfs/dbraw/zinc/29/32/99/328293299.db2.gz VQYIBKRYAKUHDP-HNNXBMFYSA-N 1 2 294.358 3.712 20 0 CHADLO CC1=CC[N@H+](Cc2cn3cc(Cl)cc(Cl)c3n2)CC1 ZINC000534474799 328302382 /nfs/dbraw/zinc/30/23/82/328302382.db2.gz RTYWCLDMAMODOT-UHFFFAOYSA-N 1 2 296.201 3.793 20 0 CHADLO CC1=CC[N@@H+](Cc2cn3cc(Cl)cc(Cl)c3n2)CC1 ZINC000534474799 328302384 /nfs/dbraw/zinc/30/23/84/328302384.db2.gz RTYWCLDMAMODOT-UHFFFAOYSA-N 1 2 296.201 3.793 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ccc(Cl)cn2)c1 ZINC000534519514 328305134 /nfs/dbraw/zinc/30/51/34/328305134.db2.gz KBUXDKDUZZHICX-UHFFFAOYSA-N 1 2 280.780 3.739 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nccn1C)c1ccccc1)c1ccccc1 ZINC000531942709 328325700 /nfs/dbraw/zinc/32/57/00/328325700.db2.gz FHZOBTDYFQVAMO-QAPCUYQASA-N 1 2 291.398 3.860 20 0 CHADLO C[C@@H]1[C@@H](c2ccccc2)CCN1c1[nH+]c2ccccc2n1C ZINC000534980194 328372950 /nfs/dbraw/zinc/37/29/50/328372950.db2.gz WKCOZCIWNDCRKM-ZBFHGGJFSA-N 1 2 291.398 3.956 20 0 CHADLO CC[C@H](C)[C@@H]1CCCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535059209 328381880 /nfs/dbraw/zinc/38/18/80/328381880.db2.gz CAMZTQVTLXVMNV-LRDDRELGSA-N 1 2 289.423 3.553 20 0 CHADLO Cc1cc(F)c(CN2CCCC[C@H]2c2[nH]cc[nH+]2)c(F)c1 ZINC000425321272 328454910 /nfs/dbraw/zinc/45/49/10/328454910.db2.gz FAOSYRNFKDGITC-HNNXBMFYSA-N 1 2 291.345 3.724 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCc2ccc(Cl)cc21 ZINC000425338696 328468262 /nfs/dbraw/zinc/46/82/62/328468262.db2.gz BITXFLCYNSEBAY-UHFFFAOYSA-N 1 2 275.783 3.680 20 0 CHADLO Cc1ccc(NCc2cnc(-c3ccncc3)s2)c(C)[nH+]1 ZINC000344824808 328559895 /nfs/dbraw/zinc/55/98/95/328559895.db2.gz KHVIDMJTJRHLNR-UHFFFAOYSA-N 1 2 296.399 3.829 20 0 CHADLO CCC[C@@]1(C(F)(F)F)CCCN1Cc1[nH+]ccn1CC ZINC000346279471 328571874 /nfs/dbraw/zinc/57/18/74/328571874.db2.gz QHTLQZGPEUGIEB-ZDUSSCGKSA-N 1 2 289.345 3.600 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1cccc(F)c1F ZINC000349670609 328602423 /nfs/dbraw/zinc/60/24/23/328602423.db2.gz RHYLBTGDBSVJMY-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO CCc1ccc([C@@H](CC)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398229939 326875663 /nfs/dbraw/zinc/87/56/63/326875663.db2.gz HUJDUWFUOVZPAG-BXUZGUMPSA-N 1 2 275.421 3.902 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2csnn2)c(C)s1 ZINC000398248060 326876288 /nfs/dbraw/zinc/87/62/88/326876288.db2.gz UMCXFBLQIUQBJB-IUCAKERBSA-N 1 2 267.423 3.628 20 0 CHADLO CC[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000557477323 327007950 /nfs/dbraw/zinc/00/79/50/327007950.db2.gz CKOFTQWWXCXVFW-NSHDSACASA-N 1 2 276.767 3.503 20 0 CHADLO C[C@H]1COCC[C@H]1CNc1cc[nH+]c2c(Cl)cccc12 ZINC000557721030 327022666 /nfs/dbraw/zinc/02/26/66/327022666.db2.gz ZHGHSJWHCZCJQS-RYUDHWBXSA-N 1 2 290.794 3.973 20 0 CHADLO CN(C)c1[nH+]cccc1NC(=O)CC/C=C\c1ccccc1 ZINC000255903065 327027521 /nfs/dbraw/zinc/02/75/21/327027521.db2.gz ASCQOEIXZTYLBX-WDZFZDKYSA-N 1 2 295.386 3.580 20 0 CHADLO Cc1ccc(/C=C\C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)o1 ZINC000255903378 327027637 /nfs/dbraw/zinc/02/76/37/327027637.db2.gz ALDNYFTVIXXQHO-FPLPWBNLSA-N 1 2 293.326 3.630 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(-c2ccccc2)cc1 ZINC000090165835 327031728 /nfs/dbraw/zinc/03/17/28/327031728.db2.gz LSMIUTGGPVNYFK-UHFFFAOYSA-N 1 2 263.344 3.699 20 0 CHADLO CC[C@H](C)C[NH2+][C@H](C(=O)OC)c1ccc2ccccc2c1 ZINC000558011878 327042618 /nfs/dbraw/zinc/04/26/18/327042618.db2.gz HDPBZGJBOLFLKN-GUYCJALGSA-N 1 2 285.387 3.690 20 0 CHADLO CC[C@@H]1CC[C@@H]1[NH2+][C@H](C(=O)OC)c1ccc2ccccc2c1 ZINC000584139823 327048622 /nfs/dbraw/zinc/04/86/22/327048622.db2.gz GGHUXRPEGHGHFA-BVGQSLNGSA-N 1 2 297.398 3.832 20 0 CHADLO FC1(F)CC[NH+](Cc2ccsc2Br)CC1 ZINC000404730038 327088628 /nfs/dbraw/zinc/08/86/28/327088628.db2.gz HNYYEJGLVMXUKM-UHFFFAOYSA-N 1 2 296.180 3.742 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(C(C)(C)C)n2)CCC1(F)F ZINC000558467505 327096637 /nfs/dbraw/zinc/09/66/37/327096637.db2.gz XWDFTWJFZMQFEC-JTQLQIEISA-N 1 2 288.407 3.918 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(C(C)(C)C)n2)CCC1(F)F ZINC000558467505 327096638 /nfs/dbraw/zinc/09/66/38/327096638.db2.gz XWDFTWJFZMQFEC-JTQLQIEISA-N 1 2 288.407 3.918 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(OC(F)(F)F)cc1 ZINC000091495864 327102867 /nfs/dbraw/zinc/10/28/67/327102867.db2.gz JOBKUXOCZVFZKT-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO COc1ccc(CNc2ccc[nH+]c2C)c(Cl)c1OC ZINC000091495871 327102953 /nfs/dbraw/zinc/10/29/53/327102953.db2.gz LMUYERAUULVICB-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccccc2OC(F)F)o1 ZINC000223642231 327104835 /nfs/dbraw/zinc/10/48/35/327104835.db2.gz KQSBNLJXAWCURW-JTQLQIEISA-N 1 2 296.317 3.689 20 0 CHADLO c1[nH]cc(N[C@H]2CCC[C@H]2CCc2ccccc2)[nH+]1 ZINC000558575284 327106627 /nfs/dbraw/zinc/10/66/27/327106627.db2.gz KHQQORCJUPUVDQ-GJZGRUSLSA-N 1 2 255.365 3.623 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cc3ccccc3o2)no1 ZINC000558603880 327108448 /nfs/dbraw/zinc/10/84/48/327108448.db2.gz VZDHLYRIRRYMSW-LLVKDONJSA-N 1 2 256.305 3.580 20 0 CHADLO Cc1cnc(C[N@@H+]2CCSC[C@H]2c2ccc(C)cc2)o1 ZINC000075747051 327127609 /nfs/dbraw/zinc/12/76/09/327127609.db2.gz LHOTXOQWUGMJNW-HNNXBMFYSA-N 1 2 288.416 3.582 20 0 CHADLO Cc1cnc(C[N@H+]2CCSC[C@H]2c2ccc(C)cc2)o1 ZINC000075747051 327127611 /nfs/dbraw/zinc/12/76/11/327127611.db2.gz LHOTXOQWUGMJNW-HNNXBMFYSA-N 1 2 288.416 3.582 20 0 CHADLO Cc1cc(NC2CC(C(C)(C)C)C2)nc(-c2ccncc2)[nH+]1 ZINC000558951038 327134271 /nfs/dbraw/zinc/13/42/71/327134271.db2.gz AUEDNZYUASQCJW-UHFFFAOYSA-N 1 2 296.418 3.506 20 0 CHADLO CC(C)c1nc(N2CCC[C@H]2CF)cc(C(C)(C)C)[nH+]1 ZINC000558984144 327136861 /nfs/dbraw/zinc/13/68/61/327136861.db2.gz OFECKJGZCKKPKR-LBPRGKRZSA-N 1 2 279.403 3.836 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1ccc(F)cc1F ZINC000084861610 327150939 /nfs/dbraw/zinc/15/09/39/327150939.db2.gz UETHWKLKGGKSNZ-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1ccc(F)cc1F ZINC000084861610 327150940 /nfs/dbraw/zinc/15/09/40/327150940.db2.gz UETHWKLKGGKSNZ-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO FCC1CCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000566161406 327151595 /nfs/dbraw/zinc/15/15/95/327151595.db2.gz YYKBJGZJRXUYFU-UHFFFAOYSA-N 1 2 262.303 3.560 20 0 CHADLO CC[C@H](c1nc([C@@H]2C[C@@H]2C)no1)[N@H+](C)Cc1ccccc1 ZINC000569472466 327183248 /nfs/dbraw/zinc/18/32/48/327183248.db2.gz PIBAGMVEGDZGMK-NWANDNLSSA-N 1 2 285.391 3.776 20 0 CHADLO CC[C@H](c1nc([C@@H]2C[C@@H]2C)no1)[N@@H+](C)Cc1ccccc1 ZINC000569472466 327183249 /nfs/dbraw/zinc/18/32/49/327183249.db2.gz PIBAGMVEGDZGMK-NWANDNLSSA-N 1 2 285.391 3.776 20 0 CHADLO c1cc(C[NH+]2Cc3ccccc3-c3ccccc3C2)n[nH]1 ZINC000092968985 327196089 /nfs/dbraw/zinc/19/60/89/327196089.db2.gz SAGODFFJQOQBSX-UHFFFAOYSA-N 1 2 275.355 3.593 20 0 CHADLO C[N@@H+](Cc1c(F)cccc1F)C[C@H]1CCC2(CCCC2)O1 ZINC000559366673 327196347 /nfs/dbraw/zinc/19/63/47/327196347.db2.gz LKJLYXGLMLDRIR-CYBMUJFWSA-N 1 2 295.373 3.888 20 0 CHADLO C[N@H+](Cc1c(F)cccc1F)C[C@H]1CCC2(CCCC2)O1 ZINC000559366673 327196348 /nfs/dbraw/zinc/19/63/48/327196348.db2.gz LKJLYXGLMLDRIR-CYBMUJFWSA-N 1 2 295.373 3.888 20 0 CHADLO Cc1c(F)cccc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000043320164 327251637 /nfs/dbraw/zinc/25/16/37/327251637.db2.gz XYZGRDBGRCURNA-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO Clc1ccc([C@H](c2ccccc2)[NH+]2CCOCC2)cc1 ZINC000559721543 327258480 /nfs/dbraw/zinc/25/84/80/327258480.db2.gz DDAHAZXPIPKZSA-KRWDZBQOSA-N 1 2 287.790 3.762 20 0 CHADLO CC[C@H]1CC[C@H]([NH2+][C@H](c2cccs2)c2nnc[nH]2)CC1 ZINC000559862163 327265610 /nfs/dbraw/zinc/26/56/10/327265610.db2.gz ASAIXQADNIJBMC-YRGRVCCFSA-N 1 2 290.436 3.514 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCCc2ncc(C(F)(F)F)cc21 ZINC000559876612 327266939 /nfs/dbraw/zinc/26/69/39/327266939.db2.gz CLISVHKXQMMGRB-LLVKDONJSA-N 1 2 294.267 3.723 20 0 CHADLO CC(C)(C)C1CC([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000559878538 327267582 /nfs/dbraw/zinc/26/75/82/327267582.db2.gz MENCHWUAFUEDFA-YMAMQOFZSA-N 1 2 290.455 3.840 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)[C@H](C)c1ccccc1)c1ccccc1 ZINC000531110724 327280684 /nfs/dbraw/zinc/28/06/84/327280684.db2.gz GSDBJZCCCKPVMU-RLFYNMQTSA-N 1 2 297.398 3.683 20 0 CHADLO CSCc1cccc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)c1 ZINC000560355652 327302387 /nfs/dbraw/zinc/30/23/87/327302387.db2.gz GQMXQMLCGFGDKF-SMDDNHRTSA-N 1 2 273.405 3.864 20 0 CHADLO C[C@@H]1CC=CC[C@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000560412555 327306083 /nfs/dbraw/zinc/30/60/83/327306083.db2.gz FISJBAPIEDXWMS-IUODEOHRSA-N 1 2 281.359 3.618 20 0 CHADLO CCn1cccc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000560545424 327322409 /nfs/dbraw/zinc/32/24/09/327322409.db2.gz MFCWBZNTIDUKLP-UHFFFAOYSA-N 1 2 280.774 3.933 20 0 CHADLO CCn1cccc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000560545424 327322411 /nfs/dbraw/zinc/32/24/11/327322411.db2.gz MFCWBZNTIDUKLP-UHFFFAOYSA-N 1 2 280.774 3.933 20 0 CHADLO Cc1c[nH+]c(C)nc1N[C@@H]1CCc2cc(F)c(F)c(F)c21 ZINC000583391901 327339565 /nfs/dbraw/zinc/33/95/65/327339565.db2.gz LMORNSLCTBXNLO-LLVKDONJSA-N 1 2 293.292 3.610 20 0 CHADLO C[C@@H](c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1)C1CCC1 ZINC000583431661 327361023 /nfs/dbraw/zinc/36/10/23/327361023.db2.gz UNIAOVUBDZRHND-GFCCVEGCSA-N 1 2 294.358 3.826 20 0 CHADLO CCCC[C@H](C)N(CCCC)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000583450253 327373323 /nfs/dbraw/zinc/37/33/23/327373323.db2.gz JURDMKPJBWIPBK-CABCVRRESA-N 1 2 293.455 3.796 20 0 CHADLO CCCC[C@H](C)N(CCCC)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000583450253 327373325 /nfs/dbraw/zinc/37/33/25/327373325.db2.gz JURDMKPJBWIPBK-CABCVRRESA-N 1 2 293.455 3.796 20 0 CHADLO Clc1cccc2c(N[C@@H]3CCO[C@@H]3C3CC3)cc[nH+]c12 ZINC000561257814 327379592 /nfs/dbraw/zinc/37/95/92/327379592.db2.gz JDKMCHBZTBFWBZ-GDBMZVCRSA-N 1 2 288.778 3.868 20 0 CHADLO OCC[C@H]1CCC[C@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000561305322 327384209 /nfs/dbraw/zinc/38/42/09/327384209.db2.gz RCYLVBYFKCWRQF-BXUZGUMPSA-N 1 2 290.794 3.851 20 0 CHADLO OC[C@@H](CC1CCC1)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000561323551 327385540 /nfs/dbraw/zinc/38/55/40/327385540.db2.gz ZVICXHHLDANRKJ-GFCCVEGCSA-N 1 2 290.794 3.851 20 0 CHADLO CCc1nc(C[N@H+](Cc2ccoc2)C2CCCCC2)no1 ZINC000124954762 327389160 /nfs/dbraw/zinc/38/91/60/327389160.db2.gz WMGKXFCOCRCEIR-UHFFFAOYSA-N 1 2 289.379 3.560 20 0 CHADLO CCc1nc(C[N@@H+](Cc2ccoc2)C2CCCCC2)no1 ZINC000124954762 327389161 /nfs/dbraw/zinc/38/91/61/327389161.db2.gz WMGKXFCOCRCEIR-UHFFFAOYSA-N 1 2 289.379 3.560 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C(C)C)cc2)cc[nH+]1 ZINC000072709727 327391486 /nfs/dbraw/zinc/39/14/86/327391486.db2.gz BFXBWPQBAVFRRX-UHFFFAOYSA-N 1 2 254.333 3.766 20 0 CHADLO CN(C)c1ccc(NCc2csc(C(C)(C)C)n2)[nH+]c1 ZINC000150896708 327395999 /nfs/dbraw/zinc/39/59/99/327395999.db2.gz FNRFSVOZYNOXGN-UHFFFAOYSA-N 1 2 290.436 3.514 20 0 CHADLO CCC(CC)N(C(=O)Cn1c(C)[nH+]c2ccccc21)C1CC1 ZINC000531122621 327406213 /nfs/dbraw/zinc/40/62/13/327406213.db2.gz NTKRVWVKNQHGHS-UHFFFAOYSA-N 1 2 299.418 3.524 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccccc2OC2CC2)c(C)[nH+]1 ZINC000561687515 327410528 /nfs/dbraw/zinc/41/05/28/327410528.db2.gz VKGOUBXSUMIAEG-UHFFFAOYSA-N 1 2 296.370 3.800 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)c(Cl)c1)c1csnn1 ZINC000398346974 327443165 /nfs/dbraw/zinc/44/31/65/327443165.db2.gz VCCVIAQMMKDBAH-JGVFFNPUSA-N 1 2 285.775 3.742 20 0 CHADLO COc1cccc2c(NCCc3nc(C)oc3C)cc[nH+]c12 ZINC000562474177 327483825 /nfs/dbraw/zinc/48/38/25/327483825.db2.gz FGWIBFDVTFDNFF-UHFFFAOYSA-N 1 2 297.358 3.503 20 0 CHADLO Cc1cccc(CCSCc2nnc(C(C)C)s2)[nH+]1 ZINC000575123346 327521195 /nfs/dbraw/zinc/52/11/95/327521195.db2.gz CUGUSTSZDLEZMR-UHFFFAOYSA-N 1 2 293.461 3.841 20 0 CHADLO CC[C@@H](CC(F)F)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000569683889 327535040 /nfs/dbraw/zinc/53/50/40/327535040.db2.gz QZUVXIHBTBIVAS-JTQLQIEISA-N 1 2 293.317 3.697 20 0 CHADLO Fc1cc(N[C@H]2CC[C@H]2C2CC2)ccc1-n1cc[nH+]c1 ZINC000570354569 327585410 /nfs/dbraw/zinc/58/54/10/327585410.db2.gz UIFJJEWYLLHQKM-ZFWWWQNUSA-N 1 2 271.339 3.612 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@]2(C)CCC[C@H]2C)n1 ZINC000570655151 327608380 /nfs/dbraw/zinc/60/83/80/327608380.db2.gz SJFQVSIMHHXYQM-DYVFJYSZSA-N 1 2 285.391 3.636 20 0 CHADLO CCOc1ccc2c(c1)CCN(c1[nH]c3ccccc3[nH+]1)C2 ZINC000535686861 328612197 /nfs/dbraw/zinc/61/21/97/328612197.db2.gz RUKFHDSUCFYHMP-UHFFFAOYSA-N 1 2 293.370 3.524 20 0 CHADLO Cc1cc(NC(=O)c2ccc(Cl)cc2Cl)c(C)c[nH+]1 ZINC000535747983 328625454 /nfs/dbraw/zinc/62/54/54/328625454.db2.gz GEROYTYXALTKEI-UHFFFAOYSA-N 1 2 295.169 3.679 20 0 CHADLO CC[C@H](COC)Nc1cc(C)[nH+]c2c(F)cccc12 ZINC000519796467 328697537 /nfs/dbraw/zinc/69/75/37/328697537.db2.gz MTXJNVPGGGXCBV-LLVKDONJSA-N 1 2 262.328 3.519 20 0 CHADLO Cc1cnc(C[NH+]2CCC(=Cc3cccc(F)c3)CC2)o1 ZINC000532747688 328808466 /nfs/dbraw/zinc/80/84/66/328808466.db2.gz DHIBHGBHWAQDJW-UHFFFAOYSA-N 1 2 286.350 3.802 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(OC)c(OC)c1 ZINC000389461464 328848351 /nfs/dbraw/zinc/84/83/51/328848351.db2.gz GDDKQZOBRVDWFT-CMPLNLGQSA-N 1 2 287.350 3.788 20 0 CHADLO C[C@@H]1C[C@H](C(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)CC(C)(C)C1 ZINC000357530006 328872174 /nfs/dbraw/zinc/87/21/74/328872174.db2.gz XKSZBWGTHXVZSE-HZSPNIEDSA-N 1 2 289.423 3.536 20 0 CHADLO Cc1ccccc1C1CC(Nc2[nH+]c(C)nc3[nH]ccc32)C1 ZINC000358672733 328891042 /nfs/dbraw/zinc/89/10/42/328891042.db2.gz FJVWOKDZDYTWCU-UHFFFAOYSA-N 1 2 292.386 3.933 20 0 CHADLO C[C@H]1CCC[C@H](N(C)C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000117598213 328941690 /nfs/dbraw/zinc/94/16/90/328941690.db2.gz MXUDZZPLEWJWSY-HOCLYGCPSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2oc(C)cc2C)[nH+]1 ZINC000376788793 329008124 /nfs/dbraw/zinc/00/81/24/329008124.db2.gz BJXNPMKCOQETRI-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nccn2-c2ccccc2)o1 ZINC000377410710 329014753 /nfs/dbraw/zinc/01/47/53/329014753.db2.gz XMPJUZULBHFMBC-CQSZACIVSA-N 1 2 281.359 3.625 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2ccc3occc3c2)no1 ZINC000377615854 329020307 /nfs/dbraw/zinc/02/03/07/329020307.db2.gz NTQIOITUEWMIEK-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2ccc3occc3c2)no1 ZINC000377615854 329020308 /nfs/dbraw/zinc/02/03/08/329020308.db2.gz NTQIOITUEWMIEK-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO O=C(c1cc2ccccc2s1)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000378408291 329049470 /nfs/dbraw/zinc/04/94/70/329049470.db2.gz IWEACIDMSHBJSY-LBPRGKRZSA-N 1 2 297.383 3.602 20 0 CHADLO FC(F)(F)c1cc(Cl)cc(NCc2[nH]cc[nH+]2)c1 ZINC000169002998 329062678 /nfs/dbraw/zinc/06/26/78/329062678.db2.gz LRDNXTNNCNXTMJ-UHFFFAOYSA-N 1 2 275.661 3.694 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@@H]1CCn2c[nH+]cc2C1 ZINC000170249600 329067189 /nfs/dbraw/zinc/06/71/89/329067189.db2.gz VMTMPWNWXDZNKG-CQSZACIVSA-N 1 2 297.402 3.516 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@H]1CCn2c[nH+]cc2C1 ZINC000170249602 329067259 /nfs/dbraw/zinc/06/72/59/329067259.db2.gz VMTMPWNWXDZNKG-AWEZNQCLSA-N 1 2 297.402 3.516 20 0 CHADLO C[C@H]1C[N@H+](Cc2csc(C3CCCCC3)n2)CCCO1 ZINC000170766032 329068140 /nfs/dbraw/zinc/06/81/40/329068140.db2.gz YSACDFAZSQGKPE-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@H]1C[N@@H+](Cc2csc(C3CCCCC3)n2)CCCO1 ZINC000170766032 329068142 /nfs/dbraw/zinc/06/81/42/329068142.db2.gz YSACDFAZSQGKPE-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO c1cc(C[N@@H+]2CCCC[C@H]2c2nc3ccccc3o2)on1 ZINC000360038319 329070408 /nfs/dbraw/zinc/07/04/08/329070408.db2.gz UZENMSASIYSEGF-AWEZNQCLSA-N 1 2 283.331 3.543 20 0 CHADLO c1cc(C[N@H+]2CCCC[C@H]2c2nc3ccccc3o2)on1 ZINC000360038319 329070409 /nfs/dbraw/zinc/07/04/09/329070409.db2.gz UZENMSASIYSEGF-AWEZNQCLSA-N 1 2 283.331 3.543 20 0 CHADLO CCc1ccc([C@@H](C)N[C@H]2C[N@H+](C)Cc3ccccc32)o1 ZINC000360053345 329070693 /nfs/dbraw/zinc/07/06/93/329070693.db2.gz DDGTYGBSPXMUPB-DYVFJYSZSA-N 1 2 284.403 3.679 20 0 CHADLO CCc1ccc([C@@H](C)N[C@H]2C[N@@H+](C)Cc3ccccc32)o1 ZINC000360053345 329070694 /nfs/dbraw/zinc/07/06/94/329070694.db2.gz DDGTYGBSPXMUPB-DYVFJYSZSA-N 1 2 284.403 3.679 20 0 CHADLO Cc1ccccc1C1CC[NH+](Cc2noc(C(C)C)n2)CC1 ZINC000170953713 329072746 /nfs/dbraw/zinc/07/27/46/329072746.db2.gz ZALGADSIOXPCOQ-UHFFFAOYSA-N 1 2 299.418 3.881 20 0 CHADLO COc1cccc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)n1 ZINC000171859548 329080579 /nfs/dbraw/zinc/08/05/79/329080579.db2.gz IFKDSRHBMZHWGZ-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)n1 ZINC000171859548 329080580 /nfs/dbraw/zinc/08/05/80/329080580.db2.gz IFKDSRHBMZHWGZ-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO CCS[C@H]1CC[C@@H](N(C)c2cc[nH+]c(C(C)C)n2)C1 ZINC000172789851 329139031 /nfs/dbraw/zinc/13/90/31/329139031.db2.gz YLGALDCXVZKGLK-OLZOCXBDSA-N 1 2 279.453 3.710 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2cccc(Cl)c2)o1 ZINC000173544869 329148877 /nfs/dbraw/zinc/14/88/77/329148877.db2.gz SNYXHPSEFIXWCO-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2cccc(Cl)c2)o1 ZINC000173544869 329148878 /nfs/dbraw/zinc/14/88/78/329148878.db2.gz SNYXHPSEFIXWCO-AWEZNQCLSA-N 1 2 276.767 3.974 20 0 CHADLO CO[C@H](CNc1cc[nH+]c(C(C)C)n1)c1ccc(F)cc1 ZINC000173987698 329151889 /nfs/dbraw/zinc/15/18/89/329151889.db2.gz JQNKPDTYYMSXKA-CQSZACIVSA-N 1 2 289.354 3.539 20 0 CHADLO Cc1ccc(SCc2ccc(-n3cc[nH+]c3)nc2)cc1 ZINC000175228878 329166670 /nfs/dbraw/zinc/16/66/70/329166670.db2.gz DCEMGIAUCZOWKJ-UHFFFAOYSA-N 1 2 281.384 3.868 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2cccc(-c3[nH+]ccn3C)c2)o1 ZINC000175641153 329167460 /nfs/dbraw/zinc/16/74/60/329167460.db2.gz UEHARTUHHRVQTR-UHFFFAOYSA-N 1 2 295.342 3.549 20 0 CHADLO COc1ncccc1C[N@H+](C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000176454809 329175690 /nfs/dbraw/zinc/17/56/90/329175690.db2.gz IPLUUFUSNAAPHO-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1ncccc1C[N@@H+](C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000176454809 329175692 /nfs/dbraw/zinc/17/56/92/329175692.db2.gz IPLUUFUSNAAPHO-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO CCC1(C(=O)Nc2cccc(-c3[nH+]ccn3C)c2)CCC1 ZINC000176780854 329178731 /nfs/dbraw/zinc/17/87/31/329178731.db2.gz GOKUVOKOVXNIAZ-UHFFFAOYSA-N 1 2 283.375 3.606 20 0 CHADLO c1coc([C@H]2C[N@H+](Cc3cc4ccccc4o3)CCO2)c1 ZINC000178545527 329198305 /nfs/dbraw/zinc/19/83/05/329198305.db2.gz RARUCPYMGYSMEG-QGZVFWFLSA-N 1 2 283.327 3.599 20 0 CHADLO c1coc([C@H]2C[N@@H+](Cc3cc4ccccc4o3)CCO2)c1 ZINC000178545527 329198306 /nfs/dbraw/zinc/19/83/06/329198306.db2.gz RARUCPYMGYSMEG-QGZVFWFLSA-N 1 2 283.327 3.599 20 0 CHADLO CCc1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)oc1CC ZINC000178418083 329199757 /nfs/dbraw/zinc/19/97/57/329199757.db2.gz PLSRTZUSMIVWEE-UHFFFAOYSA-N 1 2 286.375 3.977 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccncc1)c1noc(-c2ccccc2)n1 ZINC000179390000 329204832 /nfs/dbraw/zinc/20/48/32/329204832.db2.gz YGLQJWOSKQEBFB-CHWSQXEVSA-N 1 2 294.358 3.543 20 0 CHADLO Brc1ccccc1SCCCn1cc[nH+]c1 ZINC000180433754 329216859 /nfs/dbraw/zinc/21/68/59/329216859.db2.gz LJQLDMQCTHYXOD-UHFFFAOYSA-N 1 2 297.221 3.828 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(OC(C)(C)C)nc1)c1nccs1 ZINC000181345287 329231949 /nfs/dbraw/zinc/23/19/49/329231949.db2.gz DKPWEUTYZUITAB-NSHDSACASA-N 1 2 291.420 3.566 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1cc(F)cc(Br)c1 ZINC000429290639 329265035 /nfs/dbraw/zinc/26/50/35/329265035.db2.gz RUNUMVHDVBFWDS-GFCCVEGCSA-N 1 2 272.161 3.573 20 0 CHADLO C[N@H+](Cc1cnc(C2CCCC2)s1)Cc1ccncc1 ZINC000183741052 329250011 /nfs/dbraw/zinc/25/00/11/329250011.db2.gz IVSZTBXLLSWRAG-UHFFFAOYSA-N 1 2 287.432 3.828 20 0 CHADLO C[N@@H+](Cc1cnc(C2CCCC2)s1)Cc1ccncc1 ZINC000183741052 329250013 /nfs/dbraw/zinc/25/00/13/329250013.db2.gz IVSZTBXLLSWRAG-UHFFFAOYSA-N 1 2 287.432 3.828 20 0 CHADLO Cc1ccc(CSCc2nc(CC(C)C)no2)c(C)[nH+]1 ZINC000184814962 329262827 /nfs/dbraw/zinc/26/28/27/329262827.db2.gz KBTCHLMEXGQNSO-UHFFFAOYSA-N 1 2 291.420 3.713 20 0 CHADLO Cc1ncc(CSCc2ccc(C)[nH+]c2C)s1 ZINC000184823059 329263058 /nfs/dbraw/zinc/26/30/58/329263058.db2.gz XMBJUWKETCZXLV-UHFFFAOYSA-N 1 2 264.419 3.897 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1cc(F)cc(Br)c1 ZINC000429290639 329265034 /nfs/dbraw/zinc/26/50/34/329265034.db2.gz RUNUMVHDVBFWDS-GFCCVEGCSA-N 1 2 272.161 3.573 20 0 CHADLO Cc1ccc(COc2ccccc2OC(F)F)c(C)[nH+]1 ZINC000185368763 329271415 /nfs/dbraw/zinc/27/14/15/329271415.db2.gz LBFMOHMZTFFPNR-UHFFFAOYSA-N 1 2 279.286 3.879 20 0 CHADLO Cc1ccc(COc2ccccc2NC(=O)C2CC2)c(C)[nH+]1 ZINC000185372070 329271449 /nfs/dbraw/zinc/27/14/49/329271449.db2.gz VVKJQLLKEUGWGA-UHFFFAOYSA-N 1 2 296.370 3.626 20 0 CHADLO Cc1ccc(Cn2ccc(-c3ccccc3)n2)c(C)[nH+]1 ZINC000185369560 329271455 /nfs/dbraw/zinc/27/14/55/329271455.db2.gz AUNDLADRAIVQGK-UHFFFAOYSA-N 1 2 263.344 3.610 20 0 CHADLO Cc1nc(C2([NH2+]Cc3cccc(C4CC4)c3)CCCC2)no1 ZINC000582839168 329276411 /nfs/dbraw/zinc/27/64/11/329276411.db2.gz UDQRUBZKVIDWQB-UHFFFAOYSA-N 1 2 297.402 3.815 20 0 CHADLO COC(=O)[C@H]([NH2+]C[C@@H]1CC(C)=C[C@@H](C)C1)c1cccs1 ZINC000582995522 329294754 /nfs/dbraw/zinc/29/47/54/329294754.db2.gz AZGMTZROKCXMAP-OSAQELSMSA-N 1 2 293.432 3.544 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CC[C@H](c2cccc(Cl)c2)C1 ZINC000582974815 329297456 /nfs/dbraw/zinc/29/74/56/329297456.db2.gz DYADEGOCPDWRFN-AWEZNQCLSA-N 1 2 287.794 3.741 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2oc3ccccc3c2C)[nH]1 ZINC000392359785 329326820 /nfs/dbraw/zinc/32/68/20/329326820.db2.gz WTHAVIUJKJVNID-MNOVXSKESA-N 1 2 284.363 3.580 20 0 CHADLO C[C@@H]([NH2+]Cc1ccn(C)n1)c1cccc(Cl)c1Cl ZINC000227530131 329327362 /nfs/dbraw/zinc/32/73/62/329327362.db2.gz LJHNEAWLMAYELJ-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO CC(C)[C@]1(C)C[C@H]1C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000185722644 329330737 /nfs/dbraw/zinc/33/07/37/329330737.db2.gz WCWICOBRULRWIE-YJBOKZPZSA-N 1 2 297.402 3.708 20 0 CHADLO FC(F)(F)[C@@H]([NH2+]CCCCOc1ccccc1)C1CC1 ZINC000583170567 329330820 /nfs/dbraw/zinc/33/08/20/329330820.db2.gz DLLNFYBMAZFBAA-AWEZNQCLSA-N 1 2 287.325 3.776 20 0 CHADLO Fc1cc(CNc2cc[nH+]cc2F)cc(C(F)(F)F)c1 ZINC000186426084 329342161 /nfs/dbraw/zinc/34/21/61/329342161.db2.gz YVUKCRXKGPTELP-UHFFFAOYSA-N 1 2 288.219 3.991 20 0 CHADLO C[C@H]([NH2+][C@@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccoc1 ZINC000186646685 329347157 /nfs/dbraw/zinc/34/71/57/329347157.db2.gz XXRFHZFPTYIFPX-YVEFUNNKSA-N 1 2 299.349 3.592 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[NH+]1CCSCC1 ZINC000186675061 329347245 /nfs/dbraw/zinc/34/72/45/329347245.db2.gz GHLOBXCZNPDEBB-VIFPVBQESA-N 1 2 259.777 3.589 20 0 CHADLO CC(C)N(C)c1ccc(CNc2ccc(F)cc2)c[nH+]1 ZINC000190812375 329402784 /nfs/dbraw/zinc/40/27/84/329402784.db2.gz XIHMEJBRXHISGE-UHFFFAOYSA-N 1 2 273.355 3.677 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSCCOC(C)C ZINC000190937365 329403168 /nfs/dbraw/zinc/40/31/68/329403168.db2.gz RZIZMGFRICZZOM-UHFFFAOYSA-N 1 2 290.432 3.839 20 0 CHADLO Cc1ncc(COc2cc(C)[nH+]c3ccccc32)s1 ZINC000192191970 329430386 /nfs/dbraw/zinc/43/03/86/329430386.db2.gz TUSVGLYDFOMYAL-UHFFFAOYSA-N 1 2 270.357 3.887 20 0 CHADLO Cc1cc2nc(N[C@@H](c3[nH+]ccn3C)C3CC3)oc2c(C)c1 ZINC000584391668 329442172 /nfs/dbraw/zinc/44/21/72/329442172.db2.gz RQEWGPNKBUONGQ-CQSZACIVSA-N 1 2 296.374 3.741 20 0 CHADLO c1ccc(CNc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC000036988609 329548900 /nfs/dbraw/zinc/54/89/00/329548900.db2.gz UCIZJCXXBHVIOU-UHFFFAOYSA-N 1 2 267.376 3.684 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H]1CCCc2sccc21 ZINC000123982802 329565342 /nfs/dbraw/zinc/56/53/42/329565342.db2.gz NAYCJFHDLOHZFJ-GFCCVEGCSA-N 1 2 272.373 3.510 20 0 CHADLO Cn1cc[nH+]c1CNc1ccccc1COc1ccccc1 ZINC000124188169 329567764 /nfs/dbraw/zinc/56/77/64/329567764.db2.gz MUWRNUZLWMDQGD-UHFFFAOYSA-N 1 2 293.370 3.611 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1ccc2ccsc2c1=O ZINC000418971693 329702700 /nfs/dbraw/zinc/70/27/00/329702700.db2.gz MNFPKCAPXWLEHD-UHFFFAOYSA-N 1 2 298.411 3.938 20 0 CHADLO Cc1nc(CSCc2ccc(C(C)C)[nH+]c2C)no1 ZINC000419388784 329721796 /nfs/dbraw/zinc/72/17/96/329721796.db2.gz OCAZPXZNGDSLDE-UHFFFAOYSA-N 1 2 277.393 3.638 20 0 CHADLO CC[C@H](CSCc1[nH+]ccn1Cc1ccccc1)OC ZINC000419419124 329726056 /nfs/dbraw/zinc/72/60/56/329726056.db2.gz LTYXOOPZTQNOBC-OAHLLOKOSA-N 1 2 290.432 3.590 20 0 CHADLO COc1cc[nH+]cc1CSCc1ccc(C(C)=O)cc1 ZINC000419486518 329736619 /nfs/dbraw/zinc/73/66/19/329736619.db2.gz HGRKLJZEKHNWDQ-UHFFFAOYSA-N 1 2 287.384 3.726 20 0 CHADLO COc1cc[nH+]cc1CSCCOCC1CCCC1 ZINC000419490436 329737196 /nfs/dbraw/zinc/73/71/96/329737196.db2.gz LBNUDYCOZMXJEM-UHFFFAOYSA-N 1 2 281.421 3.530 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@H](C)c2ccccc2)n1 ZINC000420511355 329772823 /nfs/dbraw/zinc/77/28/23/329772823.db2.gz NTFUBUUQEFVIHE-ZDUSSCGKSA-N 1 2 293.370 3.710 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H]2CCC(C)(C)C2)n1 ZINC000420510923 329772907 /nfs/dbraw/zinc/77/29/07/329772907.db2.gz LZSOGFNYVZIQBQ-CYBMUJFWSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C(C)(C)C2CCCC2)n1 ZINC000420512941 329773264 /nfs/dbraw/zinc/77/32/64/329773264.db2.gz UJRBNHXBTCBOJU-UHFFFAOYSA-N 1 2 285.391 3.832 20 0 CHADLO CCc1cc2c(ncnc2NCc2cc(C)[nH+]c(C)c2)s1 ZINC000420659319 329780985 /nfs/dbraw/zinc/78/09/85/329780985.db2.gz ZYNOOSFMJLEJQH-UHFFFAOYSA-N 1 2 298.415 3.878 20 0 CHADLO Cc1cc(N2CCC[C@H]3CCC[C@H]32)nc(-c2ccncc2)[nH+]1 ZINC000246165308 329788051 /nfs/dbraw/zinc/78/80/51/329788051.db2.gz IISKOMMVJFDCAP-GDBMZVCRSA-N 1 2 294.402 3.616 20 0 CHADLO CCC(CC)n1ccc(C[NH+]2C[C@H](CC)O[C@@H](CC)C2)n1 ZINC000420947786 329790161 /nfs/dbraw/zinc/79/01/61/329790161.db2.gz CZSYOMOFEQJPSR-IRXDYDNUSA-N 1 2 293.455 3.634 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1nn(C)cc1Cl ZINC000420962702 329791949 /nfs/dbraw/zinc/79/19/49/329791949.db2.gz KUZZNWMKZKTJDN-SNVBAGLBSA-N 1 2 295.839 3.646 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H](C)c2cccc(F)c2F)nn1C ZINC000421344368 329814433 /nfs/dbraw/zinc/81/44/33/329814433.db2.gz STLZXKKKIUNQOQ-QMMMGPOBSA-N 1 2 299.752 3.511 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CC23CC3)c[nH+]c1N1CCCC[C@@H]1C ZINC000421507727 329840243 /nfs/dbraw/zinc/84/02/43/329840243.db2.gz LFDNOOQJASJJPA-ZFWWWQNUSA-N 1 2 299.418 3.507 20 0 CHADLO CC[C@H]1CCCC[C@@H]1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421578933 329843372 /nfs/dbraw/zinc/84/33/72/329843372.db2.gz SLLYMJIWBDHOTG-HOTGVXAUSA-N 1 2 274.408 3.531 20 0 CHADLO CCc1ccc([C@@H](C)C(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421580046 329843600 /nfs/dbraw/zinc/84/36/00/329843600.db2.gz VKMCKGUYEXODIA-OAHLLOKOSA-N 1 2 296.414 3.681 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)Sc2ccccc2)cc[nH+]1 ZINC000072672395 329858384 /nfs/dbraw/zinc/85/83/84/329858384.db2.gz IXPOMZQRCBWOCJ-LBPRGKRZSA-N 1 2 272.373 3.509 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2C[C@H]2c2ccccc2Cl)cc[nH+]1 ZINC000073409149 329860682 /nfs/dbraw/zinc/86/06/82/329860682.db2.gz HBTOOKPTNWVAHB-UONOGXRCSA-N 1 2 286.762 3.786 20 0 CHADLO Cn1cccc1CNc1ccc(-c2ccccc2)c[nH+]1 ZINC000162222140 329985052 /nfs/dbraw/zinc/98/50/52/329985052.db2.gz QCHQFGSXMUKQHI-UHFFFAOYSA-N 1 2 263.344 3.699 20 0 CHADLO Fc1ccc(C[NH2+]C2(C(F)F)CCCCC2)cc1 ZINC000510098419 332892077 /nfs/dbraw/zinc/89/20/77/332892077.db2.gz KAGMCIVRMTYWNZ-UHFFFAOYSA-N 1 2 257.299 3.883 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncc1F)c1ccncc1Cl ZINC000421852498 330087989 /nfs/dbraw/zinc/08/79/89/330087989.db2.gz IJUMDYDYKJQEMI-ZJUUUORDSA-N 1 2 279.746 3.681 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccncc1Cl)c1ccncc1F ZINC000421852496 330088053 /nfs/dbraw/zinc/08/80/53/330088053.db2.gz IJUMDYDYKJQEMI-UWVGGRQHSA-N 1 2 279.746 3.681 20 0 CHADLO COc1ccc(F)c(N[C@@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421832139 330090910 /nfs/dbraw/zinc/09/09/10/330090910.db2.gz GEKZNKYWLVCFGS-CYBMUJFWSA-N 1 2 286.350 3.589 20 0 CHADLO COC1([C@H](C)Nc2ccc(SC)c[nH+]2)CCCC1 ZINC000421839834 330093045 /nfs/dbraw/zinc/09/30/45/330093045.db2.gz YNEUKBCJTZOWFC-NSHDSACASA-N 1 2 266.410 3.563 20 0 CHADLO C[C@@H](C1CC1)n1cc(N[C@@H]2CCc3ccc[nH+]c3CC2)cn1 ZINC000421846100 330095119 /nfs/dbraw/zinc/09/51/19/330095119.db2.gz YROVUFRIVNYDHA-XJKSGUPXSA-N 1 2 296.418 3.609 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2ccncc2Cl)o1 ZINC000421865296 330099047 /nfs/dbraw/zinc/09/90/47/330099047.db2.gz ZKHCLMIJMAWPRY-SNVBAGLBSA-N 1 2 293.798 3.835 20 0 CHADLO CCOc1cccc(C[N@H+](C)Cc2ccc(Cl)s2)n1 ZINC000162774839 330114359 /nfs/dbraw/zinc/11/43/59/330114359.db2.gz BDWFRGDCXSXHNS-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCOc1cccc(C[N@@H+](C)Cc2ccc(Cl)s2)n1 ZINC000162774839 330114360 /nfs/dbraw/zinc/11/43/60/330114360.db2.gz BDWFRGDCXSXHNS-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Cc1cc(CNC(=O)N2C[C@H](C)c3ccccc32)cc(C)[nH+]1 ZINC000422216607 330132861 /nfs/dbraw/zinc/13/28/61/330132861.db2.gz VNVSWDJQHJISDN-LBPRGKRZSA-N 1 2 295.386 3.532 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)c(OC)c1 ZINC000423216321 330178851 /nfs/dbraw/zinc/17/88/51/330178851.db2.gz OJSMJKRDZQRVHX-LBPRGKRZSA-N 1 2 298.386 3.845 20 0 CHADLO Cc1cc(C)c(CNc2ccnc(C(F)(F)F)c2)c[nH+]1 ZINC000397015042 330235334 /nfs/dbraw/zinc/23/53/34/330235334.db2.gz PBDVETMPBMTAGP-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1Br)CC1(F)CC1 ZINC000527243609 330239935 /nfs/dbraw/zinc/23/99/35/330239935.db2.gz VBGJFRQYTLMDIO-UHFFFAOYSA-N 1 2 290.151 3.522 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1Br)CC1(F)CC1 ZINC000527243609 330239937 /nfs/dbraw/zinc/23/99/37/330239937.db2.gz VBGJFRQYTLMDIO-UHFFFAOYSA-N 1 2 290.151 3.522 20 0 CHADLO CCOC(=O)[C@@H]([NH2+]Cc1ccc2occc2c1)[C@H](C)CC ZINC000580483991 330257530 /nfs/dbraw/zinc/25/75/30/330257530.db2.gz YVWOCSBOIZEVAO-WBMJQRKESA-N 1 2 289.375 3.500 20 0 CHADLO Cc1cc(C[N@H+](Cc2c(F)cccc2F)C2CC2)ccn1 ZINC000527537665 330260509 /nfs/dbraw/zinc/26/05/09/330260509.db2.gz FGBYIWBGOMTTGX-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1cc(C[N@@H+](Cc2c(F)cccc2F)C2CC2)ccn1 ZINC000527537665 330260510 /nfs/dbraw/zinc/26/05/10/330260510.db2.gz FGBYIWBGOMTTGX-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3nc(C(C)C)ncc3C2)cc1F ZINC000527620210 330266060 /nfs/dbraw/zinc/26/60/60/330266060.db2.gz YNPVKVIKUKLXFG-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3nc(C(C)C)ncc3C2)cc1F ZINC000527620210 330266061 /nfs/dbraw/zinc/26/60/61/330266061.db2.gz YNPVKVIKUKLXFG-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2ncccc2Cl)CC1 ZINC000527629384 330266652 /nfs/dbraw/zinc/26/66/52/330266652.db2.gz IQBAPDOJNPSQDR-UHFFFAOYSA-N 1 2 292.732 3.899 20 0 CHADLO Cc1csc(C[NH2+]Cc2ccsc2C(F)(F)F)n1 ZINC000527636134 330267461 /nfs/dbraw/zinc/26/74/61/330267461.db2.gz WHORGQGALRYONS-UHFFFAOYSA-N 1 2 292.351 3.822 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)[C@H]1CCCC12CC2 ZINC000527719851 330277091 /nfs/dbraw/zinc/27/70/91/330277091.db2.gz VIEWVKDSJJWWCN-CQSZACIVSA-N 1 2 281.359 3.596 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@@H]2C[C@H]2C)o1)c1ncccc1F ZINC000527826917 330286749 /nfs/dbraw/zinc/28/67/49/330286749.db2.gz XARYPZZAEXDDKS-NTZNESFSSA-N 1 2 274.339 3.788 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CCc3cc(F)c(Cl)cc32)no1 ZINC000527854703 330288668 /nfs/dbraw/zinc/28/86/68/330288668.db2.gz UDLBYTZSQHSSHE-AWEZNQCLSA-N 1 2 280.730 3.553 20 0 CHADLO CCCOCc1ccccc1CNc1[nH+]cccc1OC ZINC000527855256 330288746 /nfs/dbraw/zinc/28/87/46/330288746.db2.gz UVUIGAGQFBGJCA-UHFFFAOYSA-N 1 2 286.375 3.629 20 0 CHADLO CCc1ccc([C@H](CC(C)C)[NH2+]Cc2ccn[nH]2)cc1 ZINC000527857999 330289061 /nfs/dbraw/zinc/28/90/61/330289061.db2.gz GQCRKWBBFZAREH-KRWDZBQOSA-N 1 2 271.408 3.849 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1csnn1)c1cc(C)ccc1C ZINC000527908474 330290484 /nfs/dbraw/zinc/29/04/84/330290484.db2.gz LPZSVSXHSCAZNT-JSGCOSHPSA-N 1 2 275.421 3.957 20 0 CHADLO CC[C@@H](C)c1ccc([C@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000527910008 330290659 /nfs/dbraw/zinc/29/06/59/330290659.db2.gz DMUXRKCJVJZPRT-KGLIPLIRSA-N 1 2 269.392 3.841 20 0 CHADLO Fc1cc2c(cc1Cl)[C@@H]([NH2+]C1(C(F)F)CC1)CC2 ZINC000527902207 330293604 /nfs/dbraw/zinc/29/36/04/330293604.db2.gz SXUZEPBERONGKI-NSHDSACASA-N 1 2 275.701 3.854 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(F)cc1OC(F)F ZINC000424149574 330295835 /nfs/dbraw/zinc/29/58/35/330295835.db2.gz BXFMYSJDZQUJST-UHFFFAOYSA-N 1 2 299.296 3.817 20 0 CHADLO CCc1nc(C[NH2+]Cc2c(F)cc(C)cc2F)cs1 ZINC000424150186 330297049 /nfs/dbraw/zinc/29/70/49/330297049.db2.gz YHXXXJLEOWZQCQ-UHFFFAOYSA-N 1 2 282.359 3.582 20 0 CHADLO CN(Cc1cc(C(C)(C)C)n[nH]1)c1[nH+]ccc2ccccc21 ZINC000527985575 330298704 /nfs/dbraw/zinc/29/87/04/330298704.db2.gz JALMFESJRHKSIQ-UHFFFAOYSA-N 1 2 294.402 3.892 20 0 CHADLO CC[C@H](Nc1cccc(C2CCOCC2)c1)c1[nH+]ccn1C ZINC000527997630 330299660 /nfs/dbraw/zinc/29/96/60/330299660.db2.gz ZJPDLPSNCZNADP-KRWDZBQOSA-N 1 2 299.418 3.877 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](c2ccccn2)C2CCC2)no1 ZINC000527964317 330300877 /nfs/dbraw/zinc/30/08/77/330300877.db2.gz JUXYPLRGJYXKIW-LRDDRELGSA-N 1 2 271.364 3.570 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccccn1)C1CCC1)c1cscn1 ZINC000527964121 330301015 /nfs/dbraw/zinc/30/10/15/330301015.db2.gz HQYRJNWJRWEEEW-IAQYHMDHSA-N 1 2 273.405 3.730 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2ncccc2F)c(C)s1 ZINC000528035362 330302477 /nfs/dbraw/zinc/30/24/77/330302477.db2.gz GRHWKKOIAOHACJ-BDAKNGLRSA-N 1 2 279.384 3.706 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+][C@@H](C)c1ncccc1F ZINC000528036442 330302827 /nfs/dbraw/zinc/30/28/27/330302827.db2.gz SHSMKERXMFSGLD-RYUDHWBXSA-N 1 2 273.355 3.590 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+][C@@H](C)c1ncccc1F ZINC000528036441 330302920 /nfs/dbraw/zinc/30/29/20/330302920.db2.gz SHSMKERXMFSGLD-NEPJUHHUSA-N 1 2 273.355 3.590 20 0 CHADLO C[C@@]12CCN(c3[nH+]ccc4ccccc43)C[C@@H]1C2(F)F ZINC000528031806 330305316 /nfs/dbraw/zinc/30/53/16/330305316.db2.gz WHFWIMITOHFAMD-DZGCQCFKSA-N 1 2 274.314 3.716 20 0 CHADLO FC1(F)CCCN(c2[nH+]ccc3ccccc32)CC1 ZINC000528126930 330310704 /nfs/dbraw/zinc/31/07/04/330310704.db2.gz PKKJBBGCTWWDOA-UHFFFAOYSA-N 1 2 262.303 3.860 20 0 CHADLO c1cc2c(nc[nH+]c2Nc2cccc(C3CCOCC3)c2)[nH]1 ZINC000528198206 330312599 /nfs/dbraw/zinc/31/25/99/330312599.db2.gz POUUXOJQMBFTRF-UHFFFAOYSA-N 1 2 294.358 3.596 20 0 CHADLO CCC(F)(F)C(C)(C)CNc1cc[nH+]c2ccncc12 ZINC000528181749 330313921 /nfs/dbraw/zinc/31/39/21/330313921.db2.gz CFJHMPDKBQRFSR-UHFFFAOYSA-N 1 2 279.334 3.535 20 0 CHADLO C[C@H](CCc1ccccc1)C[NH2+][C@H](C)C(F)(F)F ZINC000424187241 330320176 /nfs/dbraw/zinc/32/01/76/330320176.db2.gz VDDRVDYBILMZAZ-VXGBXAGGSA-N 1 2 259.315 3.796 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@@H+]1Cc1nc2cc(C)ccc2s1 ZINC000528350363 330321454 /nfs/dbraw/zinc/32/14/54/330321454.db2.gz KEMSNHDZXXJAOU-CHWSQXEVSA-N 1 2 290.432 3.604 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@H+]1Cc1nc2cc(C)ccc2s1 ZINC000528350363 330321455 /nfs/dbraw/zinc/32/14/55/330321455.db2.gz KEMSNHDZXXJAOU-CHWSQXEVSA-N 1 2 290.432 3.604 20 0 CHADLO C/C(Cl)=C/C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000528350641 330321546 /nfs/dbraw/zinc/32/15/46/330321546.db2.gz RBKWZGMMTWPBRV-YFHOEESVSA-N 1 2 266.797 3.871 20 0 CHADLO C/C(Cl)=C/C[N@H+](C)Cc1nc2ccccc2s1 ZINC000528350641 330321547 /nfs/dbraw/zinc/32/15/47/330321547.db2.gz RBKWZGMMTWPBRV-YFHOEESVSA-N 1 2 266.797 3.871 20 0 CHADLO F[C@]1(c2ccccc2)C[C@@H]1[NH2+]Cc1ncc(Cl)s1 ZINC000424203011 330327053 /nfs/dbraw/zinc/32/70/53/330327053.db2.gz UEPKNSYBJIREPD-GWCFXTLKSA-N 1 2 282.771 3.523 20 0 CHADLO Cc1cc(C[NH2+]C(c2ccccc2)c2ccccc2)no1 ZINC000044295822 330327315 /nfs/dbraw/zinc/32/73/15/330327315.db2.gz QXJUYRZUXSZSJM-UHFFFAOYSA-N 1 2 278.355 3.862 20 0 CHADLO Fc1ccccc1NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000105855038 330330005 /nfs/dbraw/zinc/33/00/05/330330005.db2.gz BNAOHXJRKZICJW-UHFFFAOYSA-N 1 2 275.714 3.739 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(OCCC(C)C)cc2)no1 ZINC000044692509 330332205 /nfs/dbraw/zinc/33/22/05/330332205.db2.gz AYTWXUVVDKMRPL-UHFFFAOYSA-N 1 2 288.391 3.698 20 0 CHADLO CCCC[C@@H](CC)CSc1nc(N)cc(C)[nH+]1 ZINC000106901704 330344469 /nfs/dbraw/zinc/34/44/69/330344469.db2.gz GXJMXRJNESUZIN-LLVKDONJSA-N 1 2 253.415 3.676 20 0 CHADLO CCCCn1cc(CNc2ccc3ccccc3[nH+]2)c(C)n1 ZINC000536896172 330364350 /nfs/dbraw/zinc/36/43/50/330364350.db2.gz JLYVLSUUDUWCMQ-UHFFFAOYSA-N 1 2 294.402 3.574 20 0 CHADLO COc1cc(Cl)ccc1C(=O)Nc1ccc(C)[nH+]c1C ZINC000119051444 330369561 /nfs/dbraw/zinc/36/95/61/330369561.db2.gz HTIMHISHOFVION-UHFFFAOYSA-N 1 2 290.750 3.613 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(OCC(C)C)c2)c(C)[nH+]1 ZINC000119074612 330370063 /nfs/dbraw/zinc/37/00/63/330370063.db2.gz UAOORFKVNMINLF-UHFFFAOYSA-N 1 2 298.386 3.986 20 0 CHADLO Cc1cccc([C@@H](C)C(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000119128859 330371111 /nfs/dbraw/zinc/37/11/11/330371111.db2.gz GWSAQZHFVDHVLR-CYBMUJFWSA-N 1 2 268.360 3.749 20 0 CHADLO Cc1ccc(NC(=O)CCc2ccccc2Cl)c(C)[nH+]1 ZINC000119341054 330373084 /nfs/dbraw/zinc/37/30/84/330373084.db2.gz MAHALIOXMQVJIC-UHFFFAOYSA-N 1 2 288.778 3.923 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3c(Cl)cccc3C2)n1 ZINC000088601235 330377888 /nfs/dbraw/zinc/37/78/88/330377888.db2.gz SNSUFJNMCMYXDZ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1csc(C[N@H+]2CCc3c(Cl)cccc3C2)n1 ZINC000088601235 330377889 /nfs/dbraw/zinc/37/78/89/330377889.db2.gz SNSUFJNMCMYXDZ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CSc1cccc(NCc2cn3ccccc3[nH+]2)c1C ZINC000537022983 330384687 /nfs/dbraw/zinc/38/46/87/330384687.db2.gz FYKKRSCYMGUZOM-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO Cc1ccc(F)c(-c2noc(CCc3c[nH+]cc(C)c3)n2)c1 ZINC000426451982 330509892 /nfs/dbraw/zinc/50/98/92/330509892.db2.gz SXJJYWBPWRWBLR-UHFFFAOYSA-N 1 2 297.333 3.673 20 0 CHADLO Cc1cccc(N(C)CCCc2c[nH+]ccc2C)c1 ZINC000429820484 330555415 /nfs/dbraw/zinc/55/54/15/330555415.db2.gz BFCBSDPKBZFRMM-UHFFFAOYSA-N 1 2 254.377 3.767 20 0 CHADLO Cc1cc[nH+]cc1CCCN1CCCc2ccccc21 ZINC000429849773 330555762 /nfs/dbraw/zinc/55/57/62/330555762.db2.gz VECPCAPXUZOCPZ-UHFFFAOYSA-N 1 2 266.388 3.775 20 0 CHADLO Cc1cncc([C@@H](C)[NH2+]Cc2c(F)cc(F)c(F)c2F)c1 ZINC000430748962 330572917 /nfs/dbraw/zinc/57/29/17/330572917.db2.gz SORLSNCFEFTHNT-SECBINFHSA-N 1 2 298.283 3.797 20 0 CHADLO Clc1ccc(-c2nc(COc3cc[nH+]cc3)co2)cc1 ZINC000431509344 330586097 /nfs/dbraw/zinc/58/60/97/330586097.db2.gz UZMXIELLKBBEEL-UHFFFAOYSA-N 1 2 286.718 3.969 20 0 CHADLO COc1ccc(CC[NH2+][C@H](c2ccccc2)C(F)F)cc1 ZINC000433052037 330614704 /nfs/dbraw/zinc/61/47/04/330614704.db2.gz JSFFJDSGZLNXSE-MRXNPFEDSA-N 1 2 291.341 3.834 20 0 CHADLO CCCc1ccc(C[NH2+][C@](C)(c2noc(C)n2)C2CC2)cc1 ZINC000433316208 330625576 /nfs/dbraw/zinc/62/55/76/330625576.db2.gz FOXJFPFQWZGNOG-SFHVURJKSA-N 1 2 299.418 3.746 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1ccccc1C(C)(C)C ZINC000433750381 330630723 /nfs/dbraw/zinc/63/07/23/330630723.db2.gz HJOUMFNFARXAGJ-UHFFFAOYSA-N 1 2 282.387 3.616 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@@H](c1ccc(Cl)cc1)C(C)C ZINC000433786072 330631261 /nfs/dbraw/zinc/63/12/61/330631261.db2.gz VHGIXQKUYKCINN-SMDDNHRTSA-N 1 2 283.799 3.578 20 0 CHADLO CCOC(=O)[C@@H]([NH2+]C1CCC2(CC2)CC1)c1ccccc1 ZINC000434626064 330642088 /nfs/dbraw/zinc/64/20/88/330642088.db2.gz GXUURBWRAOZCKJ-INIZCTEOSA-N 1 2 287.403 3.603 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2C[C@H](c3ccccc3)C2)[nH+]1 ZINC000435598634 330658735 /nfs/dbraw/zinc/65/87/35/330658735.db2.gz SBYOCBHGMMTYAF-HDJSIYSDSA-N 1 2 278.359 3.624 20 0 CHADLO Cc1ccc(C)c2[nH+]c(-c3cc(F)c(O)c(F)c3)[nH]c21 ZINC000436891226 330687935 /nfs/dbraw/zinc/68/79/35/330687935.db2.gz FGVZAGRPNYLWMN-UHFFFAOYSA-N 1 2 274.270 3.831 20 0 CHADLO CC(C)C1CC[NH+](Cc2noc(C3CCCCC3)n2)CC1 ZINC000438193796 330719478 /nfs/dbraw/zinc/71/94/78/330719478.db2.gz WGQXOXNKUDOHQE-UHFFFAOYSA-N 1 2 291.439 3.985 20 0 CHADLO Cc1cccc(NCc2c[nH+]cn2Cc2ccccc2)c1F ZINC000438315898 330721521 /nfs/dbraw/zinc/72/15/21/330721521.db2.gz UXOVLLPEDVPBLX-UHFFFAOYSA-N 1 2 295.361 3.991 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(OC)cc2F)cs1 ZINC000156406730 330732217 /nfs/dbraw/zinc/73/22/17/330732217.db2.gz XRQBBBATCFKHEB-JTQLQIEISA-N 1 2 294.395 3.704 20 0 CHADLO Cc1ccccc1[C@@H](C)NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000439025529 330732513 /nfs/dbraw/zinc/73/25/13/330732513.db2.gz IZTRBMHICHRXEI-CYBMUJFWSA-N 1 2 294.358 3.525 20 0 CHADLO CCCc1ccc([C@H](NC(=O)c2c[nH+]c(C)[nH]2)C(C)C)cc1 ZINC000439732074 330742507 /nfs/dbraw/zinc/74/25/07/330742507.db2.gz PLSNNTWJNWAYER-QGZVFWFLSA-N 1 2 299.418 3.798 20 0 CHADLO Cc1ccc(Cn2nc(C(F)(F)F)c3c[nH+]ccc32)cc1 ZINC000156708541 330744567 /nfs/dbraw/zinc/74/45/67/330744567.db2.gz IKOJURDEHZWXQA-UHFFFAOYSA-N 1 2 291.276 3.807 20 0 CHADLO CC[C@H](CSC)Nc1cc[nH+]c2c(OC)cccc12 ZINC000440665712 330753866 /nfs/dbraw/zinc/75/38/66/330753866.db2.gz RQARHJGJDAINKN-LLVKDONJSA-N 1 2 276.405 3.797 20 0 CHADLO CC[C@H]1CCC[C@@H]1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000448673980 330781074 /nfs/dbraw/zinc/78/10/74/330781074.db2.gz VPMDOPZLDIKRFT-KBPBESRZSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1nc(C[NH2+]C2(c3nccs3)CCCC2)c(C)s1 ZINC000443582091 330801768 /nfs/dbraw/zinc/80/17/68/330801768.db2.gz NRAZABYYGOEAQK-UHFFFAOYSA-N 1 2 293.461 3.776 20 0 CHADLO Cc1cc(N2CC[C@H](C)C[C@H](C)C2)nc(C2CC2)[nH+]1 ZINC000443881795 330807111 /nfs/dbraw/zinc/80/71/11/330807111.db2.gz SSXJXQGETLFYHW-RYUDHWBXSA-N 1 2 259.397 3.535 20 0 CHADLO CC[C@@]1(C)CCCN(c2cc[nH+]c3ccncc32)C1 ZINC000444084665 330809835 /nfs/dbraw/zinc/80/98/35/330809835.db2.gz BMYUGTQUWNIMIL-INIZCTEOSA-N 1 2 255.365 3.646 20 0 CHADLO CCS[C@@H]1CCC[C@H](Nc2cc[nH+]c3ccncc23)C1 ZINC000444079179 330809876 /nfs/dbraw/zinc/80/98/76/330809876.db2.gz FSTPDOUEBPJZLD-QWHCGFSZSA-N 1 2 287.432 3.528 20 0 CHADLO c1sc(C[NH+]2CCSCC2)nc1C1CCCCC1 ZINC000444596896 330814695 /nfs/dbraw/zinc/81/46/95/330814695.db2.gz OEVHXQSYXOQOTF-UHFFFAOYSA-N 1 2 282.478 3.740 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccc(OCC(F)(F)F)cc1 ZINC000572502129 330826985 /nfs/dbraw/zinc/82/69/85/330826985.db2.gz IXGMUQHFCHLYMU-SECBINFHSA-N 1 2 297.267 3.934 20 0 CHADLO Cc1cc(N2CCCC3(CCCC3)C2)nc(C2CC2)[nH+]1 ZINC000445662046 330834193 /nfs/dbraw/zinc/83/41/93/330834193.db2.gz OZKNYYDSKZJIPZ-UHFFFAOYSA-N 1 2 271.408 3.823 20 0 CHADLO Cc1ccc(C[S@](=O)C(C)(C)c2ccccc2)c(C)[nH+]1 ZINC000447150665 330862176 /nfs/dbraw/zinc/86/21/76/330862176.db2.gz MBUYPBPXDIQOAD-FQEVSTJZSA-N 1 2 287.428 3.882 20 0 CHADLO Cc1ccc(NC(=O)NCCC2CCCCC2)c(C)[nH+]1 ZINC000447166908 330862385 /nfs/dbraw/zinc/86/23/85/330862385.db2.gz MTNDPABITWBGMF-UHFFFAOYSA-N 1 2 275.396 3.790 20 0 CHADLO Cc1ccc(NC(=O)NC[C@H]2CCCC[C@@H]2C)c(C)[nH+]1 ZINC000447169811 330862430 /nfs/dbraw/zinc/86/24/30/330862430.db2.gz RLKWMPTXIHNPKY-SMDDNHRTSA-N 1 2 275.396 3.646 20 0 CHADLO CC[C@@H](NC(=O)Nc1ccc(C)[nH+]c1C)C(C)(C)C ZINC000447179703 330862567 /nfs/dbraw/zinc/86/25/67/330862567.db2.gz ZPXKDXRGBQEVJF-CYBMUJFWSA-N 1 2 263.385 3.645 20 0 CHADLO Cc1ccc(N[C@H]2CCc3cc(F)c(F)c(F)c32)c[nH+]1 ZINC000449186518 330904675 /nfs/dbraw/zinc/90/46/75/330904675.db2.gz CKBWGYMRUNRCPT-LBPRGKRZSA-N 1 2 278.277 3.907 20 0 CHADLO C/C(=C/c1ccccc1OC(F)F)C[NH2+]C(CF)CF ZINC000449382704 330921066 /nfs/dbraw/zinc/92/10/66/330921066.db2.gz XZKCHNURVDHJRC-POHAHGRESA-N 1 2 291.288 3.589 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(OCC(F)(F)F)c1)C(C)(F)F ZINC000449413495 330923697 /nfs/dbraw/zinc/92/36/97/330923697.db2.gz IYBKKOSJVXDPOT-VIFPVBQESA-N 1 2 297.267 3.761 20 0 CHADLO FC(F)C[NH+]1CCC(Nc2ccc3c(c2)CCCC3)CC1 ZINC000449413619 330923753 /nfs/dbraw/zinc/92/37/53/330923753.db2.gz SBBPGSKXDDEGTR-UHFFFAOYSA-N 1 2 294.389 3.707 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(-c2ccncc2)c1)C(C)(F)F ZINC000449415894 330924029 /nfs/dbraw/zinc/92/40/29/330924029.db2.gz RCKZCUDBNZEACT-LBPRGKRZSA-N 1 2 276.330 3.882 20 0 CHADLO CCc1ccc(NCc2c[nH+]c3ccc(C)cn23)cc1OC ZINC000449648690 330941890 /nfs/dbraw/zinc/94/18/90/330941890.db2.gz HEGZCTNRMDURDV-UHFFFAOYSA-N 1 2 295.386 3.826 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CC[C@@H](C)[C@H](C)C2)c(C)[nH+]1 ZINC000450150186 330963569 /nfs/dbraw/zinc/96/35/69/330963569.db2.gz VBQUQESOJCHVDV-JTNHKYCSSA-N 1 2 260.381 3.709 20 0 CHADLO CC(F)(F)CCNc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000450553022 330986118 /nfs/dbraw/zinc/98/61/18/330986118.db2.gz AURQUQRETWLWDS-UHFFFAOYSA-N 1 2 276.290 3.568 20 0 CHADLO Cc1cc(N[C@H](C)CCCCO)c2cccc(F)c2[nH+]1 ZINC000450645677 330991107 /nfs/dbraw/zinc/99/11/07/330991107.db2.gz GFNOIXYGOGMWRK-LLVKDONJSA-N 1 2 276.355 3.645 20 0 CHADLO CCc1ncc(CNc2cc(C)[nH+]c3c(F)cccc23)o1 ZINC000450845127 331002500 /nfs/dbraw/zinc/00/25/00/331002500.db2.gz JWMZDHQJXOBGBE-UHFFFAOYSA-N 1 2 285.322 3.845 20 0 CHADLO CC(C)(O)C(C)(C)CNc1[nH+]ccc2ccc(F)cc21 ZINC000450896261 331005498 /nfs/dbraw/zinc/00/54/98/331005498.db2.gz MFHWQNOSZDBTKC-UHFFFAOYSA-N 1 2 276.355 3.583 20 0 CHADLO CC(=O)CCCCCn1c[nH+]c2cc(C)c(C)cc21 ZINC000450913047 331006814 /nfs/dbraw/zinc/00/68/14/331006814.db2.gz ISUZPCDDZDYMDF-UHFFFAOYSA-N 1 2 258.365 3.803 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(F)cc1 ZINC000450925735 331007526 /nfs/dbraw/zinc/00/75/26/331007526.db2.gz ZJWDMMZCECJPGE-IINYFYTJSA-N 1 2 279.384 3.725 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CCOc3ccc(C)cc3C2)c1 ZINC000450982643 331010075 /nfs/dbraw/zinc/01/00/75/331010075.db2.gz MJTPQSROIFXFLY-UHFFFAOYSA-N 1 2 295.382 3.592 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CCOc3ccc(C)cc3C2)c1 ZINC000450982643 331010076 /nfs/dbraw/zinc/01/00/76/331010076.db2.gz MJTPQSROIFXFLY-UHFFFAOYSA-N 1 2 295.382 3.592 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1c(C)cccc1Cl ZINC000451068290 331014708 /nfs/dbraw/zinc/01/47/08/331014708.db2.gz BFLHUAOHHFWMTQ-UHFFFAOYSA-N 1 2 278.783 3.831 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1c(C)cccc1Cl ZINC000451068290 331014709 /nfs/dbraw/zinc/01/47/09/331014709.db2.gz BFLHUAOHHFWMTQ-UHFFFAOYSA-N 1 2 278.783 3.831 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cccc(C3CC3)c2)nc1 ZINC000451116442 331016231 /nfs/dbraw/zinc/01/62/31/331016231.db2.gz QKYPCEOUGAYKQG-ZDUSSCGKSA-N 1 2 267.376 3.513 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000451161348 331018200 /nfs/dbraw/zinc/01/82/00/331018200.db2.gz KCQYUFMZKFWGAK-NSHDSACASA-N 1 2 290.769 3.993 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000451161348 331018201 /nfs/dbraw/zinc/01/82/01/331018201.db2.gz KCQYUFMZKFWGAK-NSHDSACASA-N 1 2 290.769 3.993 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@@H+]1CC[C@@H](F)C1 ZINC000451187361 331019407 /nfs/dbraw/zinc/01/94/07/331019407.db2.gz NDOGBGPWWUTUGE-JOYOIKCWSA-N 1 2 272.161 3.554 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@H+]1CC[C@@H](F)C1 ZINC000451187361 331019408 /nfs/dbraw/zinc/01/94/08/331019408.db2.gz NDOGBGPWWUTUGE-JOYOIKCWSA-N 1 2 272.161 3.554 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ccon2)C2CC2)cc1Cl ZINC000451353407 331025385 /nfs/dbraw/zinc/02/53/85/331025385.db2.gz JRKDDZAGDSWZOI-AWEZNQCLSA-N 1 2 280.730 3.708 20 0 CHADLO Cc1oc(-c2ccsc2)nc1C[N@@H+](C)Cc1ccccn1 ZINC000451376109 331026274 /nfs/dbraw/zinc/02/62/74/331026274.db2.gz LHIUUODRZNDHEM-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1oc(-c2ccsc2)nc1C[N@H+](C)Cc1ccccn1 ZINC000451376109 331026275 /nfs/dbraw/zinc/02/62/75/331026275.db2.gz LHIUUODRZNDHEM-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CC(C)OC(=O)[C@@H]([NH2+]Cc1cccc(C(F)F)c1)C(C)C ZINC000451592416 331035436 /nfs/dbraw/zinc/03/54/36/331035436.db2.gz PERKVSLWEBHDTG-AWEZNQCLSA-N 1 2 299.361 3.690 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)o1 ZINC000451840039 331044394 /nfs/dbraw/zinc/04/43/94/331044394.db2.gz CVLZILILKTXNHK-MRXNPFEDSA-N 1 2 286.375 3.866 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)o1 ZINC000451840039 331044395 /nfs/dbraw/zinc/04/43/95/331044395.db2.gz CVLZILILKTXNHK-MRXNPFEDSA-N 1 2 286.375 3.866 20 0 CHADLO CCc1ccc([C@H](C)C(=O)Nc2c[nH+]c3n2CCCC3)cc1 ZINC000452331131 331062564 /nfs/dbraw/zinc/06/25/64/331062564.db2.gz CFYHOELYPJIZCH-ZDUSSCGKSA-N 1 2 297.402 3.524 20 0 CHADLO CC(C)c1ccc(C(=O)Nc2c[nH+]c3n2CCCC3)cc1 ZINC000452345978 331062933 /nfs/dbraw/zinc/06/29/33/331062933.db2.gz RDRCGPLCLYTHRV-UHFFFAOYSA-N 1 2 283.375 3.595 20 0 CHADLO Cc1cc2[nH+]cn(C[C@@H](O)[C@H](C)c3ccccc3)c2cc1C ZINC000453017111 331083704 /nfs/dbraw/zinc/08/37/04/331083704.db2.gz NLINBIHBMNRXRX-DNVCBOLYSA-N 1 2 294.398 3.818 20 0 CHADLO CCc1[nH+]c2ccccc2n1C[C@H](O)[C@@H](C)c1ccccc1 ZINC000453020474 331083820 /nfs/dbraw/zinc/08/38/20/331083820.db2.gz QAUGOZDSCLYXMT-KSSFIOAISA-N 1 2 294.398 3.763 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H](C)c1cc(C)oc1C ZINC000453082360 331087343 /nfs/dbraw/zinc/08/73/43/331087343.db2.gz HALOIEHKEQLAAU-RYUDHWBXSA-N 1 2 261.369 3.525 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncn1)c1c(F)cccc1Cl ZINC000453151768 331094666 /nfs/dbraw/zinc/09/46/66/331094666.db2.gz OAHJOUUNMKQEHX-VHSXEESVSA-N 1 2 279.746 3.681 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cc(C)cc2cccnc21 ZINC000453180852 331097987 /nfs/dbraw/zinc/09/79/87/331097987.db2.gz KPJKHIDBWLDILS-ZDUSSCGKSA-N 1 2 280.375 3.933 20 0 CHADLO C[C@@H]1C[C@H](N[C@@H](CC(C)(C)C)C(F)(F)F)c2[nH+]ccn21 ZINC000453193603 331099752 /nfs/dbraw/zinc/09/97/52/331099752.db2.gz FLZAMLIMBAXZQJ-VWYCJHECSA-N 1 2 289.345 3.846 20 0 CHADLO CCc1c(N[C@H]2CCn3cc[nH+]c32)cnn1C(CC)CC ZINC000453212471 331101942 /nfs/dbraw/zinc/10/19/42/331101942.db2.gz ODYURNIVNZHRQP-ZDUSSCGKSA-N 1 2 287.411 3.560 20 0 CHADLO CCC[C@H](C)Cc1nc(CCc2[nH+]cccc2C)no1 ZINC000453218863 331102796 /nfs/dbraw/zinc/10/27/96/331102796.db2.gz HFHTWNLQYYYHPD-LBPRGKRZSA-N 1 2 273.380 3.537 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cnn(C(C)(C)C)c1C)C(F)F ZINC000453228553 331104202 /nfs/dbraw/zinc/10/42/02/331104202.db2.gz BWFXSUVXZBSIKW-SKDRFNHKSA-N 1 2 273.371 3.641 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(OC(F)F)cc1)C(F)F ZINC000453233228 331104875 /nfs/dbraw/zinc/10/48/75/331104875.db2.gz HNQRFAUQHIEYEU-GZMMTYOYSA-N 1 2 279.277 3.982 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(OC)c(OC)c1C)C(F)F ZINC000453248162 331107094 /nfs/dbraw/zinc/10/70/94/331107094.db2.gz OPZREYNQJZHLIU-ZYHUDNBSSA-N 1 2 287.350 3.707 20 0 CHADLO CCc1nc2c(s1)[C@H]([NH2+][C@@H](CC)C(F)F)CCC2 ZINC000453249382 331107436 /nfs/dbraw/zinc/10/74/36/331107436.db2.gz QJHACNDLTFUMCE-DTWKUNHWSA-N 1 2 274.380 3.716 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cccc(N2CCCC2=O)c1)C(F)F ZINC000453252113 331107762 /nfs/dbraw/zinc/10/77/62/331107762.db2.gz USDLSHFIEJUUFI-BXUZGUMPSA-N 1 2 296.361 3.508 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2c1c(F)ccc2F)C(C)(F)F ZINC000453279683 331110456 /nfs/dbraw/zinc/11/04/56/331110456.db2.gz GCCSFYXPILKDNL-CPCISQLKSA-N 1 2 261.262 3.585 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000453286551 331111294 /nfs/dbraw/zinc/11/12/94/331111294.db2.gz KKHYULSXTQWAPG-NXEZZACHSA-N 1 2 296.361 3.611 20 0 CHADLO CCc1nc(C)c([C@H](C)[NH2+][C@@H](C)C(C)(F)F)s1 ZINC000453300205 331111757 /nfs/dbraw/zinc/11/17/57/331111757.db2.gz VNXNBZBVSRTFGL-CBAPKCEASA-N 1 2 262.369 3.708 20 0 CHADLO Cc1nn(C)c2sc([C@@H](C)[NH2+][C@@H](C)C(C)(F)F)cc12 ZINC000453291559 331111950 /nfs/dbraw/zinc/11/19/50/331111950.db2.gz NOFLIWKFTBTBFF-BDAKNGLRSA-N 1 2 287.379 3.638 20 0 CHADLO CC[C@H]([NH2+][C@H](C)C(C)(F)F)c1cccc(OC)c1 ZINC000453294935 331112265 /nfs/dbraw/zinc/11/22/65/331112265.db2.gz PQDKARNAYKXJQH-MFKMUULPSA-N 1 2 257.324 3.780 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1cc(-c2ccccc2)nn1C ZINC000453302354 331113346 /nfs/dbraw/zinc/11/33/46/331113346.db2.gz YUFIJXFAOSYBTG-NEPJUHHUSA-N 1 2 293.361 3.781 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)N[C@@H](c1ccccc1)C(F)(F)F ZINC000453336687 331118530 /nfs/dbraw/zinc/11/85/30/331118530.db2.gz FIUOXGBHHNUWRI-AAEUAGOBSA-N 1 2 297.324 3.857 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)N[C@@H](c1ccccc1)C(F)(F)F ZINC000453336690 331118555 /nfs/dbraw/zinc/11/85/55/331118555.db2.gz FIUOXGBHHNUWRI-YPMHNXCESA-N 1 2 297.324 3.857 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2cnc(C3CC3)o2)c(F)c1 ZINC000453369235 331123794 /nfs/dbraw/zinc/12/37/94/331123794.db2.gz SVBUKVKILDRQSD-JTQLQIEISA-N 1 2 290.338 3.551 20 0 CHADLO C[C@@H](CC(C)(C)C)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000453424996 331130868 /nfs/dbraw/zinc/13/08/68/331130868.db2.gz NKNWEJJELYNQDG-GXTWGEPZSA-N 1 2 278.444 3.840 20 0 CHADLO CCC1C[NH+](Cc2csc(-c3cccs3)n2)C1 ZINC000453609889 331136337 /nfs/dbraw/zinc/13/63/37/331136337.db2.gz WVJKFZHYXUOOCL-UHFFFAOYSA-N 1 2 264.419 3.713 20 0 CHADLO CC1(C)Cc2ccsc2C[N@@H+]1Cc1ccn(C(F)F)n1 ZINC000453613949 331136421 /nfs/dbraw/zinc/13/64/21/331136421.db2.gz DBQZTKPSUYVZMR-UHFFFAOYSA-N 1 2 297.374 3.677 20 0 CHADLO CC1(C)Cc2ccsc2C[N@H+]1Cc1ccn(C(F)F)n1 ZINC000453613949 331136422 /nfs/dbraw/zinc/13/64/22/331136422.db2.gz DBQZTKPSUYVZMR-UHFFFAOYSA-N 1 2 297.374 3.677 20 0 CHADLO CCc1cccc(C)c1NC(=O)NCc1c[nH+]c(C)cc1C ZINC000454149159 331139887 /nfs/dbraw/zinc/13/98/87/331139887.db2.gz KVVILWFQJCFVRM-UHFFFAOYSA-N 1 2 297.402 3.891 20 0 CHADLO C[C@@H]1CC=C(C(=O)Nc2cccc(-c3[nH+]ccn3C)c2)CC1 ZINC000454864528 331155230 /nfs/dbraw/zinc/15/52/30/331155230.db2.gz UCVSVXWXSFFRDS-CYBMUJFWSA-N 1 2 295.386 3.772 20 0 CHADLO Cc1cc(C)c(NC(=O)NCCC(C)(C)C)c(C)[nH+]1 ZINC000455471355 331170480 /nfs/dbraw/zinc/17/04/80/331170480.db2.gz INFWWNRIAJGDOU-UHFFFAOYSA-N 1 2 263.385 3.565 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000455491155 331170934 /nfs/dbraw/zinc/17/09/34/331170934.db2.gz XTTZCACVQXCOBH-ZDUSSCGKSA-N 1 2 297.402 3.932 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)C[C@H](C)[C@@H]2C)c(C)[nH+]1 ZINC000455528565 331172294 /nfs/dbraw/zinc/17/22/94/331172294.db2.gz QPIRDKRMWFDYCX-ZETOZRRWSA-N 1 2 289.423 3.905 20 0 CHADLO Cc1cc(C)c(NC(=O)NCCC(C)(C)C2CC2)c(C)[nH+]1 ZINC000455542916 331172728 /nfs/dbraw/zinc/17/27/28/331172728.db2.gz ZQQXTCINBHBMHO-UHFFFAOYSA-N 1 2 289.423 3.955 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C[C@H](C)c1ccccc1C ZINC000456345816 331190351 /nfs/dbraw/zinc/19/03/51/331190351.db2.gz GXGKOVGJSVNHDV-LSDHHAIUSA-N 1 2 299.418 3.582 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)CCCCC(C)(C)C ZINC000456351652 331190517 /nfs/dbraw/zinc/19/05/17/331190517.db2.gz KTAAASXIXDGSPC-CYBMUJFWSA-N 1 2 279.428 3.687 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000456354046 331190601 /nfs/dbraw/zinc/19/06/01/331190601.db2.gz OZZIZAKQLFVTJE-KGLIPLIRSA-N 1 2 279.428 3.543 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000456357533 331190678 /nfs/dbraw/zinc/19/06/78/331190678.db2.gz BYPFBCHKZUYVDA-YUELXQCFSA-N 1 2 289.423 3.612 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000456357532 331190739 /nfs/dbraw/zinc/19/07/39/331190739.db2.gz BYPFBCHKZUYVDA-NWANDNLSSA-N 1 2 289.423 3.612 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000456366742 331191142 /nfs/dbraw/zinc/19/11/42/331191142.db2.gz GYKJYJHOMUGCCQ-RDBSUJKOSA-N 1 2 277.412 3.612 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C1(CC(C)C)CCCC1 ZINC000456365065 331191228 /nfs/dbraw/zinc/19/12/28/331191228.db2.gz VUIYWHLSAGOLKQ-AWEZNQCLSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C[C@H]1CCCC(C)(C)C1 ZINC000456373551 331191569 /nfs/dbraw/zinc/19/15/69/331191569.db2.gz ZWKMVSWFOAJLHN-ZIAGYGMSSA-N 1 2 291.439 3.687 20 0 CHADLO C[C@H]1C[C@H](NC(=O)c2csc3ccccc23)c2[nH+]ccn21 ZINC000456407503 331192165 /nfs/dbraw/zinc/19/21/65/331192165.db2.gz YRHBKZZPTXJMQT-GWCFXTLKSA-N 1 2 297.383 3.534 20 0 CHADLO C[C@H](CCC(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456428242 331192543 /nfs/dbraw/zinc/19/25/43/331192543.db2.gz RGNFJCUHHNKOFB-FMKPAKJESA-N 1 2 297.402 3.589 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@@H](C)c2ccccc2C)c[nH+]1 ZINC000456826145 331206111 /nfs/dbraw/zinc/20/61/11/331206111.db2.gz AFZXWAQKDZNBJZ-OAHLLOKOSA-N 1 2 296.414 3.817 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H]2CCCCC2(C)C)c[nH+]1 ZINC000456840256 331207175 /nfs/dbraw/zinc/20/71/75/331207175.db2.gz NJNCJTMVXVKZRK-HNNXBMFYSA-N 1 2 274.408 3.531 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)Nc1nc(C[NH+](C)C)cs1 ZINC000457282252 331217975 /nfs/dbraw/zinc/21/79/75/331217975.db2.gz BHUHKIXBGGLCTK-GFCCVEGCSA-N 1 2 297.468 3.606 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)Nc1nc(C[NH+](C)C)cs1 ZINC000457282254 331218000 /nfs/dbraw/zinc/21/80/00/331218000.db2.gz BHUHKIXBGGLCTK-LBPRGKRZSA-N 1 2 297.468 3.606 20 0 CHADLO CCC[C@H]1CCCCN1C(=O)NCc1c[nH+]c(C)cc1C ZINC000459079957 331269430 /nfs/dbraw/zinc/26/94/30/331269430.db2.gz PHGUFDUSYVUOGH-INIZCTEOSA-N 1 2 289.423 3.563 20 0 CHADLO COc1ccc2c(c1)[C@H](Nc1ccc(C)[nH+]c1)CCC2 ZINC000164636957 331271087 /nfs/dbraw/zinc/27/10/87/331271087.db2.gz FZHBOKCWDULFSC-QGZVFWFLSA-N 1 2 268.360 3.888 20 0 CHADLO c1cn(CCOc2ccccc2NC2CC3(CCC3)C2)c[nH+]1 ZINC000459340485 331276950 /nfs/dbraw/zinc/27/69/50/331276950.db2.gz BBBPPXZTQFCSNT-UHFFFAOYSA-N 1 2 297.402 3.707 20 0 CHADLO CCc1ncc(C[NH2+]Cc2c(F)cc(C)cc2Cl)o1 ZINC000459563724 331288098 /nfs/dbraw/zinc/28/80/98/331288098.db2.gz FAKUSMUWDPKBOS-UHFFFAOYSA-N 1 2 282.746 3.628 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)n1 ZINC000459585527 331289286 /nfs/dbraw/zinc/28/92/86/331289286.db2.gz UYEJQSVGBHOYTG-SFHVURJKSA-N 1 2 293.414 3.902 20 0 CHADLO Cc1ccnc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)n1 ZINC000459585527 331289287 /nfs/dbraw/zinc/28/92/87/331289287.db2.gz UYEJQSVGBHOYTG-SFHVURJKSA-N 1 2 293.414 3.902 20 0 CHADLO COCCC1(C)C[NH+](Cc2ccc(C(F)(F)F)s2)C1 ZINC000459630451 331291128 /nfs/dbraw/zinc/29/11/28/331291128.db2.gz HHFXDYMRCWTFMX-UHFFFAOYSA-N 1 2 293.354 3.625 20 0 CHADLO COc1ccc(F)cc1C[NH+]1CC(C)(CC(F)(F)F)C1 ZINC000459645488 331291847 /nfs/dbraw/zinc/29/18/47/331291847.db2.gz ZGDGHNKHESWMNZ-UHFFFAOYSA-N 1 2 291.288 3.609 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+][C@H](C)c2nc3c(s2)CCC3)c1 ZINC000459659804 331292655 /nfs/dbraw/zinc/29/26/55/331292655.db2.gz ZWIYONJISTUUNP-NWDGAFQWSA-N 1 2 287.432 3.747 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3cccc(Cl)c3C2)s1 ZINC000459720852 331295688 /nfs/dbraw/zinc/29/56/88/331295688.db2.gz ZLOJDPRUIZOZLE-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3cccc(Cl)c3C2)s1 ZINC000459720852 331295689 /nfs/dbraw/zinc/29/56/89/331295689.db2.gz ZLOJDPRUIZOZLE-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1N[C@H]1CC[C@H]1C1CCC1 ZINC000510670705 332910416 /nfs/dbraw/zinc/91/04/16/332910416.db2.gz OATWVGGGAOCNJA-HOTGVXAUSA-N 1 2 271.408 3.672 20 0 CHADLO Cc1ccc(NC2CC(c3cccc(F)c3)C2)c[nH+]1 ZINC000164833978 331305335 /nfs/dbraw/zinc/30/53/35/331305335.db2.gz SXDWSRKILRYGRF-UHFFFAOYSA-N 1 2 256.324 3.887 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCCCC2)cc1)[C@@H]1CCOC1 ZINC000164965980 331305541 /nfs/dbraw/zinc/30/55/41/331305541.db2.gz DHACZLPBWLRLSG-HUUCEWRRSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@@H](CC1CC1)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000165740464 331306350 /nfs/dbraw/zinc/30/63/50/331306350.db2.gz HUTODOSVKWLBMM-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO CCN(c1cc(C(C)(C)C)[nH+]c(C(C)C)n1)[C@H]1CCOC1 ZINC000461886067 331315124 /nfs/dbraw/zinc/31/51/24/331315124.db2.gz PSFNNBKWZQMMQS-ZDUSSCGKSA-N 1 2 291.439 3.513 20 0 CHADLO CCC[C@@H](CCc1ccccc1)Nc1cc(C)[nH+]cn1 ZINC000462878896 331333856 /nfs/dbraw/zinc/33/38/56/331333856.db2.gz VFGSFASFSQJLFC-INIZCTEOSA-N 1 2 269.392 3.998 20 0 CHADLO CC1(C)[C@H](Nc2ccc3ccccc3[nH+]2)[C@@H]2CCCO[C@@H]21 ZINC000462909681 331334817 /nfs/dbraw/zinc/33/48/17/331334817.db2.gz AXFDCEYTVSDOTM-XKQJLSEDSA-N 1 2 282.387 3.850 20 0 CHADLO Cc1ccc([C@H]2CCC[C@H]2N(C)c2cc(C)[nH+]cn2)cc1 ZINC000463071164 331339330 /nfs/dbraw/zinc/33/93/30/331339330.db2.gz QTZRCQYCLTXLQI-IAGOWNOFSA-N 1 2 281.403 3.866 20 0 CHADLO CC[C@H](Cc1ccc(C)cc1)N(C)c1cc(C)[nH+]cn1 ZINC000463077018 331339688 /nfs/dbraw/zinc/33/96/88/331339688.db2.gz LEYGFBBKRMDRAZ-MRXNPFEDSA-N 1 2 269.392 3.551 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1occc1C ZINC000463518574 331348827 /nfs/dbraw/zinc/34/88/27/331348827.db2.gz RYSRCUOLWPOHSP-UHFFFAOYSA-N 1 2 289.766 3.645 20 0 CHADLO Cc1ccc(CNc2[nH+]c3c(cccc3Cl)n2C)s1 ZINC000464346230 331359923 /nfs/dbraw/zinc/35/99/23/331359923.db2.gz IQVWOOCKRHTUNN-UHFFFAOYSA-N 1 2 291.807 3.631 20 0 CHADLO CCC[C@]1(C)CCC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000464842575 331370783 /nfs/dbraw/zinc/37/07/83/331370783.db2.gz JCLYBUQXESYIBS-MRXNPFEDSA-N 1 2 279.428 3.769 20 0 CHADLO CCC[C@]1(C)CCC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000464842575 331370784 /nfs/dbraw/zinc/37/07/84/331370784.db2.gz JCLYBUQXESYIBS-MRXNPFEDSA-N 1 2 279.428 3.769 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)CCc1ccc(O)cc1)C(F)(F)F ZINC000470257397 331476714 /nfs/dbraw/zinc/47/67/14/331476714.db2.gz ZJRNFHQPOSODEH-FZMZJTMJSA-N 1 2 289.341 3.890 20 0 CHADLO CCOC(=O)[C@@H]([NH2+]Cc1coc2ccccc12)[C@H](C)CC ZINC000475152266 331616527 /nfs/dbraw/zinc/61/65/27/331616527.db2.gz ANAYWYJHPAMWSS-WBMJQRKESA-N 1 2 289.375 3.500 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)CN1c1[nH]c2ccccc2[nH+]1 ZINC000480063292 331756430 /nfs/dbraw/zinc/75/64/30/331756430.db2.gz SCQDFRYKBPSQTK-DYVFJYSZSA-N 1 2 293.370 3.529 20 0 CHADLO C[C@@H]1C[C@@H]1C[NH2+]c1ccc(-n2cccn2)c(Cl)c1 ZINC000488326930 332000076 /nfs/dbraw/zinc/00/00/76/332000076.db2.gz LSBUELYVXCPGRC-GHMZBOCLSA-N 1 2 261.756 3.594 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cc2ccc(F)cc2[nH]1 ZINC000488348203 332001280 /nfs/dbraw/zinc/00/12/80/332001280.db2.gz CIWSSBOPIWQRGK-GFCCVEGCSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cc2ccc(F)cc2[nH]1 ZINC000488348203 332001281 /nfs/dbraw/zinc/00/12/81/332001281.db2.gz CIWSSBOPIWQRGK-GFCCVEGCSA-N 1 2 283.350 3.685 20 0 CHADLO CSc1ccc(N[C@@H]2CS[C@H](C(C)C)C2)[nH+]c1 ZINC000488449294 332010089 /nfs/dbraw/zinc/01/00/89/332010089.db2.gz PEJCEFQRJGJFAY-JQWIXIFHSA-N 1 2 268.451 3.746 20 0 CHADLO Cc1ccc(N[C@@H]2CS[C@H](C(C)(C)C)C2)c[nH+]1 ZINC000488456788 332011087 /nfs/dbraw/zinc/01/10/87/332011087.db2.gz GWWJSNIHGMEKNB-STQMWFEESA-N 1 2 250.411 3.722 20 0 CHADLO Cc1nnsc1CNc1cc[nH+]c2c(Cl)cccc12 ZINC000488711835 332022288 /nfs/dbraw/zinc/02/22/88/332022288.db2.gz IQHVNBWDSKEYKQ-UHFFFAOYSA-N 1 2 290.779 3.660 20 0 CHADLO CCC(CC)(CNc1[nH+]ccc(C)c1Cl)OC ZINC000488772135 332025100 /nfs/dbraw/zinc/02/51/00/332025100.db2.gz SIWUZHKQHDBWHT-UHFFFAOYSA-N 1 2 256.777 3.661 20 0 CHADLO C[C@@H](CCC(F)(F)F)[NH2+][C@@H](C1CC1)C(F)(F)F ZINC000489940185 332073329 /nfs/dbraw/zinc/07/33/29/332073329.db2.gz GTNJMVFATPZDIA-XPUUQOCRSA-N 1 2 263.225 3.648 20 0 CHADLO CCCc1csc(C[NH2+]Cc2oc(CCC)nc2C)n1 ZINC000492193163 332386585 /nfs/dbraw/zinc/38/65/85/332386585.db2.gz MYKLUNFPODQQQH-UHFFFAOYSA-N 1 2 293.436 3.634 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1ccccc1C(C)C ZINC000492298728 332391319 /nfs/dbraw/zinc/39/13/19/332391319.db2.gz LAXAPSYJKOJCAR-KTKRTIGZSA-N 1 2 283.375 3.678 20 0 CHADLO CCCc1csc(CNc2ccc(C)[nH+]c2C)n1 ZINC000492298299 332391331 /nfs/dbraw/zinc/39/13/31/332391331.db2.gz XAXCWFSGENPRAG-UHFFFAOYSA-N 1 2 261.394 3.720 20 0 CHADLO Fc1cc(-c2ccccc2)ccc1C[NH2+]Cc1ncc[nH]1 ZINC000492375202 332394618 /nfs/dbraw/zinc/39/46/18/332394618.db2.gz JAXLMNUNYBZXFN-UHFFFAOYSA-N 1 2 281.334 3.506 20 0 CHADLO C/C=C/c1ccc(NC(=O)/C=C/c2[nH+]ccn2CC)cc1 ZINC000493026613 332423491 /nfs/dbraw/zinc/42/34/91/332423491.db2.gz QWLANUBLGRPPSN-SALZOXGJSA-N 1 2 281.359 3.588 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)/C=C/C1CCCCC1 ZINC000493559618 332444921 /nfs/dbraw/zinc/44/49/21/332444921.db2.gz SGEQGYBKZMFHML-CMDGGOBGSA-N 1 2 258.365 3.773 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCCC[C@@H]1c1nc2ccccc2n1C ZINC000575741622 335110795 /nfs/dbraw/zinc/11/07/95/335110795.db2.gz APMWRTJUZXAFLE-XJKSGUPXSA-N 1 2 289.398 3.848 20 0 CHADLO CC[C@H](F)C[N@H+]1CCCC[C@@H]1c1nc2ccccc2n1C ZINC000575741622 335110796 /nfs/dbraw/zinc/11/07/96/335110796.db2.gz APMWRTJUZXAFLE-XJKSGUPXSA-N 1 2 289.398 3.848 20 0 CHADLO CCCc1ccccc1Nc1[nH+]cnc2[nH]ccc21 ZINC000498862703 332574347 /nfs/dbraw/zinc/57/43/47/332574347.db2.gz XHRZBYPHLBGSJI-UHFFFAOYSA-N 1 2 252.321 3.654 20 0 CHADLO CC(C)CCOc1ccc(C[NH2+]C2(C(F)F)CC2)cc1 ZINC000500501411 332611434 /nfs/dbraw/zinc/61/14/34/332611434.db2.gz UIJICORCIJFNHU-UHFFFAOYSA-N 1 2 283.362 3.999 20 0 CHADLO Cc1cc(NC(=O)CC(C)C)c[nH+]c1N1CCCC[C@@H]1C ZINC000503164598 332683176 /nfs/dbraw/zinc/68/31/76/332683176.db2.gz MAVMIZNPSBHJBK-AWEZNQCLSA-N 1 2 289.423 3.753 20 0 CHADLO Cc1cc(C[NH2+][C@]2(c3ccc(Cl)cc3)C[C@H]2C)no1 ZINC000503438135 332689751 /nfs/dbraw/zinc/68/97/51/332689751.db2.gz RVPQQVHAHODJDD-MEBBXXQBSA-N 1 2 276.767 3.661 20 0 CHADLO CC1(C)[C@H]([NH2+]C2(C(F)F)CC2)C[C@@H]1OCc1ccccc1 ZINC000503920632 332699249 /nfs/dbraw/zinc/69/92/49/332699249.db2.gz NZMRFXZJQAYTSB-KGLIPLIRSA-N 1 2 295.373 3.758 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCCC(F)(F)F)c2c1 ZINC000504272996 332707328 /nfs/dbraw/zinc/70/73/28/332707328.db2.gz RBGPUQZBAAFMNC-UHFFFAOYSA-N 1 2 285.265 3.883 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc(Cl)o2)CCCCC1 ZINC000512896861 332992902 /nfs/dbraw/zinc/99/29/02/332992902.db2.gz XLZMTESAAXUTJQ-UHFFFAOYSA-N 1 2 263.715 3.991 20 0 CHADLO Cc1ccccc1[C@H]1C[C@H](Nc2cc[nH+]c3ccncc23)C1 ZINC000513077108 333002966 /nfs/dbraw/zinc/00/29/66/333002966.db2.gz PZTWQYOKEQRQQT-SHTZXODSSA-N 1 2 289.382 3.718 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1c(F)ccc(O)c1F ZINC000513211646 333008828 /nfs/dbraw/zinc/00/88/28/333008828.db2.gz ZHIUCLAICSYGAA-UHFFFAOYSA-N 1 2 295.304 3.750 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1c(F)ccc(O)c1F ZINC000513211646 333008830 /nfs/dbraw/zinc/00/88/30/333008830.db2.gz ZHIUCLAICSYGAA-UHFFFAOYSA-N 1 2 295.304 3.750 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2ccccc2o1)c1ccc(F)cc1F ZINC000172678687 335118677 /nfs/dbraw/zinc/11/86/77/335118677.db2.gz PFRDOGBPPKQRIV-SNVBAGLBSA-N 1 2 288.297 3.957 20 0 CHADLO CC1(C(=O)Nc2ccc3c(c2)[nH+]c2n3CCCCC2)CC1 ZINC000177710202 333061972 /nfs/dbraw/zinc/06/19/72/333061972.db2.gz JCVQIEAFGURPPI-UHFFFAOYSA-N 1 2 283.375 3.501 20 0 CHADLO CCCC[C@H](C)[C@@H](C)[NH2+]c1ccc(NS(C)(=O)=O)cc1 ZINC000516963361 333088128 /nfs/dbraw/zinc/08/81/28/333088128.db2.gz XUOIIDWEAWYHIX-QWHCGFSZSA-N 1 2 298.452 3.685 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccc(OC(F)F)cc1 ZINC000517187604 333094283 /nfs/dbraw/zinc/09/42/83/333094283.db2.gz QFKPXLMIEOIDGU-QMMMGPOBSA-N 1 2 265.250 3.594 20 0 CHADLO CCCc1noc(C[NH2+][C@H](C)c2oc3ccccc3c2C)n1 ZINC000183599232 333121270 /nfs/dbraw/zinc/12/12/70/333121270.db2.gz WAKJMPNFODHWAQ-GFCCVEGCSA-N 1 2 299.374 3.928 20 0 CHADLO Cc1cncc([C@H](C)[NH2+]Cc2cc(-c3ccccc3)on2)c1 ZINC000184336865 333127058 /nfs/dbraw/zinc/12/70/58/333127058.db2.gz HITLLFRSLDXIBG-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO C[C@@H](CCC1CC1)Nc1ccccc1OCCn1cc[nH+]c1 ZINC000186482760 333161866 /nfs/dbraw/zinc/16/18/66/333161866.db2.gz KFJPSMPVZNNCNA-HNNXBMFYSA-N 1 2 299.418 3.953 20 0 CHADLO O=C(C[C@H]1C=CCCC1)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000186985236 333167007 /nfs/dbraw/zinc/16/70/07/333167007.db2.gz CGTPFWPIBQNHSG-ZDUSSCGKSA-N 1 2 299.349 3.696 20 0 CHADLO Clc1cc(C[NH2+]Cc2ccco2)c(Cl)s1 ZINC000220989271 333171724 /nfs/dbraw/zinc/17/17/24/333171724.db2.gz GROBWFGSYJIUNG-UHFFFAOYSA-N 1 2 262.161 3.938 20 0 CHADLO C[C@@H]1CCC[C@H]1CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000187615413 333173074 /nfs/dbraw/zinc/17/30/74/333173074.db2.gz LUAQRXXISCEYRP-OCCSQVGLSA-N 1 2 283.375 3.842 20 0 CHADLO c1ccc(CO[C@@H]2CCC[C@@H]2Nc2cccc[nH+]2)cc1 ZINC000152575904 333183520 /nfs/dbraw/zinc/18/35/20/333183520.db2.gz HNJCEQUIIYNTJK-JKSUJKDBSA-N 1 2 268.360 3.631 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2ccccc2OC(F)F)o1 ZINC000223641992 333210312 /nfs/dbraw/zinc/21/03/12/333210312.db2.gz MTHWBLKTHLKJRS-WDEREUQCSA-N 1 2 296.317 3.996 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2cccc(OC(F)F)c2)o1 ZINC000223650709 333210402 /nfs/dbraw/zinc/21/04/02/333210402.db2.gz WUCMHOMYGNKINP-WDEREUQCSA-N 1 2 296.317 3.996 20 0 CHADLO COc1ccc([C@H](Nc2cc[nH+]c(C(C)C)n2)C(C)C)cc1 ZINC000521919242 333223343 /nfs/dbraw/zinc/22/33/43/333223343.db2.gz SSLWURGMYDFXPK-QGZVFWFLSA-N 1 2 299.418 3.840 20 0 CHADLO CCOc1cccc([C@@H](C)Nc2cc[nH+]c(C(C)C)n2)c1 ZINC000521955463 333226948 /nfs/dbraw/zinc/22/69/48/333226948.db2.gz HIVCGMRZRZSLBZ-CYBMUJFWSA-N 1 2 285.391 3.594 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1nccn1C(F)F ZINC000193425888 333249892 /nfs/dbraw/zinc/24/98/92/333249892.db2.gz GGKVOEIDPGCHFO-LBPRGKRZSA-N 1 2 279.334 3.780 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1nccn1C(F)F ZINC000193425888 333249894 /nfs/dbraw/zinc/24/98/94/333249894.db2.gz GGKVOEIDPGCHFO-LBPRGKRZSA-N 1 2 279.334 3.780 20 0 CHADLO CCCc1ccc(C[N@H+](C)Cc2cscn2)cc1 ZINC000194425229 333271185 /nfs/dbraw/zinc/27/11/85/333271185.db2.gz QNKCRCPFCKSTCV-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO CCCc1ccc(C[N@@H+](C)Cc2cscn2)cc1 ZINC000194425229 333271186 /nfs/dbraw/zinc/27/11/86/333271186.db2.gz QNKCRCPFCKSTCV-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1cccc(O)c1 ZINC000194877620 333278556 /nfs/dbraw/zinc/27/85/56/333278556.db2.gz GXDJFIWYZLELHE-ZDUSSCGKSA-N 1 2 294.354 3.898 20 0 CHADLO Cc1c[nH+]cc(NCc2cccc(OC(C)C)c2)c1 ZINC000227603573 333286673 /nfs/dbraw/zinc/28/66/73/333286673.db2.gz RWLOYVIYVJFAHI-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO Cc1c[nH+]cc(NCc2cccc3c2OC(C)(C)C3)c1 ZINC000227603807 333286898 /nfs/dbraw/zinc/28/68/98/333286898.db2.gz ALWZUZWTPJOXBN-UHFFFAOYSA-N 1 2 268.360 3.716 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000522566529 333290358 /nfs/dbraw/zinc/29/03/58/333290358.db2.gz KWDLIPVQXGFQDY-IAGOWNOFSA-N 1 2 293.414 3.833 20 0 CHADLO Fc1ccccc1COc1ccccc1-n1cc[nH+]c1 ZINC000522893431 333299008 /nfs/dbraw/zinc/29/90/08/333299008.db2.gz TVLLUCIUCRBALE-UHFFFAOYSA-N 1 2 268.291 3.590 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C(C)C)n1)c1ccc(F)cc1F ZINC000523087902 333307596 /nfs/dbraw/zinc/30/75/96/333307596.db2.gz HRWOHEXCWNRMSK-CQSZACIVSA-N 1 2 291.345 3.863 20 0 CHADLO COCCC(C)(C)CNc1ccc2ccccc2[nH+]1 ZINC000230835923 333321724 /nfs/dbraw/zinc/32/17/24/333321724.db2.gz KPGJNOPJPOSPBB-UHFFFAOYSA-N 1 2 258.365 3.709 20 0 CHADLO Cc1cc(N[C@H]2C[C@H](C)C[C@H](C)C2)nc(-c2cccnc2)[nH+]1 ZINC000523535994 333332528 /nfs/dbraw/zinc/33/25/28/333332528.db2.gz YQXMLDADTIOGOP-VIKVFOODSA-N 1 2 296.418 3.506 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ccc(F)cc2F)C[C@@H](C)O1 ZINC000303494576 333342784 /nfs/dbraw/zinc/34/27/84/333342784.db2.gz SWZWDYDAZSHBBF-NQBHXWOUSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ccc(F)cc2F)C[C@@H](C)O1 ZINC000303494576 333342785 /nfs/dbraw/zinc/34/27/85/333342785.db2.gz SWZWDYDAZSHBBF-NQBHXWOUSA-N 1 2 269.335 3.525 20 0 CHADLO CCC[C@H](CC)[NH2+][C@@H](C(=O)OC)c1cccc(Cl)c1 ZINC000524015861 333351533 /nfs/dbraw/zinc/35/15/33/333351533.db2.gz UQVGIOLKCBZXLT-UONOGXRCSA-N 1 2 283.799 3.722 20 0 CHADLO COc1cccc2c1cc[nH+]c2NC[C@@H]1CCCS1 ZINC000235033727 333365033 /nfs/dbraw/zinc/36/50/33/333365033.db2.gz KJABZICIRUASIM-NSHDSACASA-N 1 2 274.389 3.551 20 0 CHADLO CCO[C@H]1C[C@H]([NH2+]c2ccc(-n3cccn3)cc2)C12CCC2 ZINC000315932469 333384594 /nfs/dbraw/zinc/38/45/94/333384594.db2.gz RJRCKCBTLCMAFM-IRXDYDNUSA-N 1 2 297.402 3.632 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C(C)C)n1)c1cc(F)ccc1F ZINC000524841359 333390010 /nfs/dbraw/zinc/39/00/10/333390010.db2.gz OISHJMQVRWUKDR-AWEZNQCLSA-N 1 2 291.345 3.863 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1cc(Cl)ccc1Cl ZINC000525120424 333399701 /nfs/dbraw/zinc/39/97/01/333399701.db2.gz VTXGKKBARYEZSF-VIFPVBQESA-N 1 2 276.232 3.931 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1cc(Cl)ccc1Cl ZINC000525120424 333399704 /nfs/dbraw/zinc/39/97/04/333399704.db2.gz VTXGKKBARYEZSF-VIFPVBQESA-N 1 2 276.232 3.931 20 0 CHADLO Cc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)c(C)c1 ZINC000036982100 333449185 /nfs/dbraw/zinc/44/91/85/333449185.db2.gz NNJRNVROYDBNLS-UHFFFAOYSA-N 1 2 281.403 3.911 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2Cc3c2cccc3Cl)c(C)[nH+]1 ZINC000528811045 333459499 /nfs/dbraw/zinc/45/94/99/333459499.db2.gz HUBIMCMLAKHYDT-CYBMUJFWSA-N 1 2 286.762 3.630 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC(F)(F)C[C@H]2C)c(C)[nH+]1 ZINC000529107317 333472409 /nfs/dbraw/zinc/47/24/09/333472409.db2.gz ZBDJJWDVWIHUMD-LLVKDONJSA-N 1 2 297.349 3.658 20 0 CHADLO CCn1cc[nH+]c1CNc1c(F)cc(C)cc1Cl ZINC000530123098 333524146 /nfs/dbraw/zinc/52/41/46/333524146.db2.gz VJGXUBYAFQSPNY-UHFFFAOYSA-N 1 2 267.735 3.616 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC[C@H]2CC=CCC2)c1 ZINC000125212802 333565027 /nfs/dbraw/zinc/56/50/27/333565027.db2.gz LBYONZANPBQSLM-AWEZNQCLSA-N 1 2 267.376 3.855 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)c3ccc(F)cc3C)ccc2n1C ZINC000125383909 333566300 /nfs/dbraw/zinc/56/63/00/333566300.db2.gz GZIKIZZTHWHZGA-UHFFFAOYSA-N 1 2 297.333 3.582 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccccc2)c1 ZINC000125652627 333568660 /nfs/dbraw/zinc/56/86/60/333568660.db2.gz FELWZMAUGISTLB-QGZVFWFLSA-N 1 2 277.371 3.597 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1c(F)cccc1F ZINC000125925344 333582303 /nfs/dbraw/zinc/58/23/03/333582303.db2.gz ZNXBTUYJVOJVRH-SNVBAGLBSA-N 1 2 295.304 3.963 20 0 CHADLO CCC[C@H]([NH2+][C@@H](CC)c1cccs1)C(=O)OCC ZINC000127322176 333626617 /nfs/dbraw/zinc/62/66/17/333626617.db2.gz DAAPEWQQGVHMDK-RYUDHWBXSA-N 1 2 269.410 3.521 20 0 CHADLO CCc1cc(N2[C@H](C)CC[C@H]2CC)nc(-c2ccncc2)[nH+]1 ZINC000538978816 333647682 /nfs/dbraw/zinc/64/76/82/333647682.db2.gz GECCAQYEIGLTRK-CZUORRHYSA-N 1 2 296.418 3.868 20 0 CHADLO CCc1cnc(C[NH2+]C2(c3ccc(F)cc3F)CCC2)o1 ZINC000539360866 333667330 /nfs/dbraw/zinc/66/73/30/333667330.db2.gz WKEOYQIPRSVWFS-UHFFFAOYSA-N 1 2 292.329 3.684 20 0 CHADLO CC[C@@H](Nc1ccc(N(CC)CC)[nH+]c1)C1CCOCC1 ZINC000539659529 333684078 /nfs/dbraw/zinc/68/40/78/333684078.db2.gz PNDSPGKZPGOWGY-MRXNPFEDSA-N 1 2 291.439 3.545 20 0 CHADLO CC[C@@H](Nc1cccc(CSC)c1)c1[nH+]ccn1C ZINC000540833907 333749332 /nfs/dbraw/zinc/74/93/32/333749332.db2.gz UQVYKOAUJZIDBR-CQSZACIVSA-N 1 2 275.421 3.846 20 0 CHADLO CCCC[C@@H](COC)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000129219093 333753173 /nfs/dbraw/zinc/75/31/73/333753173.db2.gz DVJUVXOKRRLVGR-INIZCTEOSA-N 1 2 287.407 3.704 20 0 CHADLO Cc1ccc2c(c1)nc(-c1cc(-n3cc[nH+]c3)cs1)n2C ZINC000541269266 333769094 /nfs/dbraw/zinc/76/90/94/333769094.db2.gz QGFNAWXTTOXGHP-UHFFFAOYSA-N 1 2 294.383 3.796 20 0 CHADLO Fc1cccc(F)c1CNc1c[nH+]c2c(c1)CCCC2 ZINC000541997069 333805840 /nfs/dbraw/zinc/80/58/40/333805840.db2.gz SDFXHFNZVNIYFG-UHFFFAOYSA-N 1 2 274.314 3.851 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000542197878 333814998 /nfs/dbraw/zinc/81/49/98/333814998.db2.gz VWUVSFWZSGKSID-CYBMUJFWSA-N 1 2 299.418 3.765 20 0 CHADLO Cc1cc(N)nc(S[C@@H]2CCc3ccc(Cl)cc32)[nH+]1 ZINC000542222297 333816996 /nfs/dbraw/zinc/81/69/96/333816996.db2.gz HMADPHAQQUVTSQ-GFCCVEGCSA-N 1 2 291.807 3.631 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cc(C)ccc2OC)s1 ZINC000130510869 333848193 /nfs/dbraw/zinc/84/81/93/333848193.db2.gz HCACVIQIZNJIQP-LBPRGKRZSA-N 1 2 290.432 3.873 20 0 CHADLO CCc1cnccc1[C@@H](C)[NH2+]Cc1ncc(C(C)(C)C)o1 ZINC000543051802 333853930 /nfs/dbraw/zinc/85/39/30/333853930.db2.gz HFDLCGBZRJXKFO-GFCCVEGCSA-N 1 2 287.407 3.780 20 0 CHADLO CC[C@@H](C(=O)Nc1cc[nH+]c(C)c1)c1ccccc1 ZINC000072709769 333954163 /nfs/dbraw/zinc/95/41/63/333954163.db2.gz KUGSLWIVYKWLTF-OAHLLOKOSA-N 1 2 254.333 3.522 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1Cl)Cc1ncccc1O ZINC000564295087 334003532 /nfs/dbraw/zinc/00/35/32/334003532.db2.gz SFYNOXRRLCSOIQ-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1Cl)Cc1ncccc1O ZINC000564295087 334003533 /nfs/dbraw/zinc/00/35/33/334003533.db2.gz SFYNOXRRLCSOIQ-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO Cc1cc(OCc2nc(C(C)C)no2)c2cccc(C)c2[nH+]1 ZINC000546180575 334018762 /nfs/dbraw/zinc/01/87/62/334018762.db2.gz HEYAFNAJVAYRBT-UHFFFAOYSA-N 1 2 297.358 3.937 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+][C@H](C)C(=O)OC(C)(C)C ZINC000546228415 334020791 /nfs/dbraw/zinc/02/07/91/334020791.db2.gz NYNIQGMLQLEURH-UONOGXRCSA-N 1 2 277.408 3.684 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+][C@H](C)C(=O)OC(C)(C)C ZINC000546228416 334020914 /nfs/dbraw/zinc/02/09/14/334020914.db2.gz NYNIQGMLQLEURH-ZIAGYGMSSA-N 1 2 277.408 3.684 20 0 CHADLO c1csc(C2([NH2+]Cc3nc4ccccc4o3)CCCC2)n1 ZINC000175013752 335171187 /nfs/dbraw/zinc/17/11/87/335171187.db2.gz HJXMQPIEWZSBJV-UHFFFAOYSA-N 1 2 299.399 3.843 20 0 CHADLO CC[C@@H](Cc1nc(Cc2cn3ccccc3[nH+]2)no1)C(C)C ZINC000547013649 334061903 /nfs/dbraw/zinc/06/19/03/334061903.db2.gz SDNNYLHXUBVZFT-ZDUSSCGKSA-N 1 2 298.390 3.533 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ccon2)c2ccccc2)cc1 ZINC000077245183 334099789 /nfs/dbraw/zinc/09/97/89/334099789.db2.gz ZSBCLIVPHDGYLG-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO C[C@@H]1CCC[N@H+](CC[C@H]2CCOC2)c2ccccc21 ZINC000564325204 334101644 /nfs/dbraw/zinc/10/16/44/334101644.db2.gz LAWAWQXFKXWUEW-CABCVRRESA-N 1 2 259.393 3.817 20 0 CHADLO C[C@@H]1CCC[N@@H+](CC[C@H]2CCOC2)c2ccccc21 ZINC000564325204 334101646 /nfs/dbraw/zinc/10/16/46/334101646.db2.gz LAWAWQXFKXWUEW-CABCVRRESA-N 1 2 259.393 3.817 20 0 CHADLO O=C(C[C@@H]1C=CCCC1)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000547650930 334105532 /nfs/dbraw/zinc/10/55/32/334105532.db2.gz YXKRUUJCKZIYQI-CYBMUJFWSA-N 1 2 281.359 3.762 20 0 CHADLO CCc1cnc(C[N@@H+](CC)[C@@H](C)c2cccnc2)s1 ZINC000564326990 334106088 /nfs/dbraw/zinc/10/60/88/334106088.db2.gz ZBAHPHBFBJVCDQ-LBPRGKRZSA-N 1 2 275.421 3.684 20 0 CHADLO CCc1cnc(C[N@H+](CC)[C@@H](C)c2cccnc2)s1 ZINC000564326990 334106090 /nfs/dbraw/zinc/10/60/90/334106090.db2.gz ZBAHPHBFBJVCDQ-LBPRGKRZSA-N 1 2 275.421 3.684 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1ccc(Br)c(F)c1 ZINC000547770019 334116132 /nfs/dbraw/zinc/11/61/32/334116132.db2.gz SZSYRBPYHOLADM-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1ccc(Br)c(F)c1 ZINC000547770019 334116133 /nfs/dbraw/zinc/11/61/33/334116133.db2.gz SZSYRBPYHOLADM-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO Cc1ccc(SCCC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000078405698 334132920 /nfs/dbraw/zinc/13/29/20/334132920.db2.gz ZNGODGXPMLOPBL-UHFFFAOYSA-N 1 2 286.400 3.819 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H](CCCc3ccccc3)C2)no1 ZINC000135549196 334133138 /nfs/dbraw/zinc/13/31/38/334133138.db2.gz QYDAKMRBSUHXDY-QGZVFWFLSA-N 1 2 299.418 3.613 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H](CCCc3ccccc3)C2)no1 ZINC000135549196 334133139 /nfs/dbraw/zinc/13/31/39/334133139.db2.gz QYDAKMRBSUHXDY-QGZVFWFLSA-N 1 2 299.418 3.613 20 0 CHADLO Cc1[nH+]c2ccccc2n1CC(=O)N(C)C1CCCCCC1 ZINC000078804322 334140832 /nfs/dbraw/zinc/14/08/32/334140832.db2.gz ISZHGQWUDIANAB-UHFFFAOYSA-N 1 2 299.418 3.526 20 0 CHADLO CC1(C)CCC[C@@H]1C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000548135234 334141539 /nfs/dbraw/zinc/14/15/39/334141539.db2.gz OXWAYCPZJWYIQR-OAHLLOKOSA-N 1 2 297.402 3.519 20 0 CHADLO CCCC[C@H]([NH2+][C@@H]1C[C@@H](c2ccccc2)[C@@H]1C)C(=O)OC ZINC000548176459 334145175 /nfs/dbraw/zinc/14/51/75/334145175.db2.gz XAZPDXJAMYZJJZ-PQEBFOHHSA-N 1 2 289.419 3.500 20 0 CHADLO CCOc1ccccc1N[C@@H](C)c1cn2ccccc2[nH+]1 ZINC000548383887 334153440 /nfs/dbraw/zinc/15/34/40/334153440.db2.gz TVYLOFYYTXDTCI-ZDUSSCGKSA-N 1 2 281.359 3.906 20 0 CHADLO Fc1ccc(C[NH2+]CC(F)F)cc1-c1cccs1 ZINC000136567834 334157789 /nfs/dbraw/zinc/15/77/89/334157789.db2.gz DEXXKDFVVVAVAJ-UHFFFAOYSA-N 1 2 271.307 3.909 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2nccs2)c(C)o1 ZINC000080265074 334168802 /nfs/dbraw/zinc/16/88/02/334168802.db2.gz SVSGCSFNSBPSAU-UWVGGRQHSA-N 1 2 250.367 3.765 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1c(F)cccc1Br ZINC000548753133 334169804 /nfs/dbraw/zinc/16/98/04/334169804.db2.gz QLEIXSDNCVHRDA-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1c(F)cccc1Br ZINC000548753133 334169806 /nfs/dbraw/zinc/16/98/06/334169806.db2.gz QLEIXSDNCVHRDA-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(F)cc1Cl)c1nccs1 ZINC000080308004 334170384 /nfs/dbraw/zinc/17/03/84/334170384.db2.gz GZYDGYIYXUQLIR-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1c(Cl)cccc1Cl ZINC000080611213 334172618 /nfs/dbraw/zinc/17/26/18/334172618.db2.gz PCGOKVNLLURPJO-UHFFFAOYSA-N 1 2 297.141 3.649 20 0 CHADLO Cc1cc(F)cc2c1C[N@H+](Cc1ccc(Cl)cn1)CC2 ZINC000548864356 334177437 /nfs/dbraw/zinc/17/74/37/334177437.db2.gz HROPYDDZYYJLOA-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cc(F)cc2c1C[N@@H+](Cc1ccc(Cl)cn1)CC2 ZINC000548864356 334177438 /nfs/dbraw/zinc/17/74/38/334177438.db2.gz HROPYDDZYYJLOA-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSc1cnccn1 ZINC000549378486 334191784 /nfs/dbraw/zinc/19/17/84/334191784.db2.gz OZXVBRJYRNSNLN-UHFFFAOYSA-N 1 2 259.378 3.596 20 0 CHADLO CCc1cc(Nc2ccc([C@@H]3CCOC3)cc2)nc(C)[nH+]1 ZINC000549638535 334200732 /nfs/dbraw/zinc/20/07/32/334200732.db2.gz ZQUVNVNBADXFMV-CQSZACIVSA-N 1 2 283.375 3.595 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1noc(C(C)C)n1)C(C)(C)C2 ZINC000550001517 334211230 /nfs/dbraw/zinc/21/12/30/334211230.db2.gz ULTNATICDIBFMM-UHFFFAOYSA-N 1 2 299.418 3.838 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1noc(C(C)C)n1)C(C)(C)C2 ZINC000550001517 334211231 /nfs/dbraw/zinc/21/12/31/334211231.db2.gz ULTNATICDIBFMM-UHFFFAOYSA-N 1 2 299.418 3.838 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc3ccccc3[nH]2)[C@H]1c1ccccc1 ZINC000245786273 334219460 /nfs/dbraw/zinc/21/94/60/334219460.db2.gz VIFMNUWJHYHAHG-FZKQIMNGSA-N 1 2 277.371 3.756 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc3ccccc3[nH]2)[C@H]1c1ccccc1 ZINC000245786273 334219461 /nfs/dbraw/zinc/21/94/61/334219461.db2.gz VIFMNUWJHYHAHG-FZKQIMNGSA-N 1 2 277.371 3.756 20 0 CHADLO CCC[C@H](CNc1ccc(CO)c[nH+]1)c1ccccc1 ZINC000550956626 334234978 /nfs/dbraw/zinc/23/49/78/334234978.db2.gz HGMUKMKDMMBZGK-MRXNPFEDSA-N 1 2 270.376 3.570 20 0 CHADLO CSc1cccc(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000551207241 334240798 /nfs/dbraw/zinc/24/07/98/334240798.db2.gz ACLLZVGEJOOMSB-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CC2(CCC2)Oc2ccccc21 ZINC000551262758 334243403 /nfs/dbraw/zinc/24/34/03/334243403.db2.gz GULSPNYOOCDRJI-LBPRGKRZSA-N 1 2 267.319 3.678 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CC2)s1)c1c(F)cncc1F ZINC000552021672 334277421 /nfs/dbraw/zinc/27/74/21/334277421.db2.gz DVNOCMJOXZGICI-QMMMGPOBSA-N 1 2 295.358 3.545 20 0 CHADLO CCCCc1nc(C[N@H+](C)[C@H]2CC[C@@H](SCC)C2)no1 ZINC000247700189 334288809 /nfs/dbraw/zinc/28/88/09/334288809.db2.gz XPTBSSOOZIRKDN-QWHCGFSZSA-N 1 2 297.468 3.518 20 0 CHADLO CCCCc1nc(C[N@@H+](C)[C@H]2CC[C@@H](SCC)C2)no1 ZINC000247700189 334288810 /nfs/dbraw/zinc/28/88/10/334288810.db2.gz XPTBSSOOZIRKDN-QWHCGFSZSA-N 1 2 297.468 3.518 20 0 CHADLO CC(C)[C@H]1CC[C@@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC000552277635 334312020 /nfs/dbraw/zinc/31/20/20/334312020.db2.gz BEFFRIIPXBBKPH-CABCVRRESA-N 1 2 259.397 3.528 20 0 CHADLO CC(C)[C@H]1[N@H+](Cc2c(F)ccc(O)c2F)CC1(C)C ZINC000552293160 334314227 /nfs/dbraw/zinc/31/42/27/334314227.db2.gz TWYDMNQYDRYGMC-CQSZACIVSA-N 1 2 269.335 3.537 20 0 CHADLO CC(C)[C@H]1[N@@H+](Cc2c(F)ccc(O)c2F)CC1(C)C ZINC000552293160 334314228 /nfs/dbraw/zinc/31/42/28/334314228.db2.gz TWYDMNQYDRYGMC-CQSZACIVSA-N 1 2 269.335 3.537 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(OC(F)F)c(Cl)c1 ZINC000552390957 334323075 /nfs/dbraw/zinc/32/30/75/334323075.db2.gz RRXHKGDTBBKJHP-SNVBAGLBSA-N 1 2 293.716 3.875 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(OC(F)F)c(Cl)c1 ZINC000552390957 334323076 /nfs/dbraw/zinc/32/30/76/334323076.db2.gz RRXHKGDTBBKJHP-SNVBAGLBSA-N 1 2 293.716 3.875 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2CF)c(Br)c1 ZINC000552391964 334323237 /nfs/dbraw/zinc/32/32/37/334323237.db2.gz FGVZBQDPUJZGCI-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2CF)c(Br)c1 ZINC000552391964 334323238 /nfs/dbraw/zinc/32/32/38/334323238.db2.gz FGVZBQDPUJZGCI-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO CCC1(CC)C[N@@H+]([C@H](C)c2cccc(F)c2)CC[S@]1=O ZINC000249021013 334324807 /nfs/dbraw/zinc/32/48/07/334324807.db2.gz QQLXEMQGVUYRGF-ZUOKHONESA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@H](C)c2cccc(F)c2)CC[S@]1=O ZINC000249021013 334324809 /nfs/dbraw/zinc/32/48/09/334324809.db2.gz QQLXEMQGVUYRGF-ZUOKHONESA-N 1 2 297.439 3.510 20 0 CHADLO COCc1cccc(CNc2[nH+]ccc(C)c2Cl)c1 ZINC000552936266 334351661 /nfs/dbraw/zinc/35/16/61/334351661.db2.gz UZMNRRJFJFYIDT-UHFFFAOYSA-N 1 2 276.767 3.802 20 0 CHADLO Cc1ccc(CCNc2[nH+]ccc(C)c2Cl)o1 ZINC000553273955 334372894 /nfs/dbraw/zinc/37/28/94/334372894.db2.gz FFSZHSYCBOMHGL-UHFFFAOYSA-N 1 2 250.729 3.599 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ccon1)c1ccccc1Cl ZINC000091366960 334377386 /nfs/dbraw/zinc/37/73/86/334377386.db2.gz UIECAUACNKJLGU-CQSZACIVSA-N 1 2 264.756 3.815 20 0 CHADLO Fc1cc(Cl)cc(F)c1NCc1cn2ccccc2[nH+]1 ZINC000091551990 334380444 /nfs/dbraw/zinc/38/04/44/334380444.db2.gz MYUUKSIYEUXCLC-UHFFFAOYSA-N 1 2 293.704 3.878 20 0 CHADLO CCCCOc1ccc(C[NH2+]CC(C)(F)F)cc1OC ZINC000555609792 334484522 /nfs/dbraw/zinc/48/45/22/334484522.db2.gz KMXNNCZXNQBYPB-UHFFFAOYSA-N 1 2 287.350 3.619 20 0 CHADLO Cc1c[nH+]c(C)nc1N[C@@H]1CCCc2ccccc21 ZINC000564441769 334508547 /nfs/dbraw/zinc/50/85/47/334508547.db2.gz FGUPSLVNYGBNRE-OAHLLOKOSA-N 1 2 253.349 3.583 20 0 CHADLO Cc1nc(-c2cccc(N[C@@H](C)c3[nH]cc[nH+]3)c2)oc1C ZINC000564675174 334516005 /nfs/dbraw/zinc/51/60/05/334516005.db2.gz LLNUVXUOONJLHM-NSHDSACASA-N 1 2 282.347 3.855 20 0 CHADLO Cc1ccc([C@@H](C)N(C)C(=O)C[C@H](C)n2cc[nH+]c2)c(C)c1 ZINC000565042947 334535796 /nfs/dbraw/zinc/53/57/96/334535796.db2.gz DMYQQNBSDGTNGF-JKSUJKDBSA-N 1 2 299.418 3.671 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC(C)(C2CC2)CC1 ZINC000565807856 334598528 /nfs/dbraw/zinc/59/85/28/334598528.db2.gz XGBUQXJTUIIAFC-UHFFFAOYSA-N 1 2 269.392 3.590 20 0 CHADLO Cc1cc(CNC(=O)C[C@H]2CCCC[C@@H]2C)cc(C)[nH+]1 ZINC000566080342 334620990 /nfs/dbraw/zinc/62/09/90/334620990.db2.gz DKIUQFNKYDSVFH-BLLLJJGKSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@@H](C(C)C)CC3)ccn12 ZINC000566444633 334649528 /nfs/dbraw/zinc/64/95/28/334649528.db2.gz QAXIFEUFUFCPFV-OAHLLOKOSA-N 1 2 299.418 3.541 20 0 CHADLO CC[C@@H]([NH2+]Cc1noc2ccccc12)c1ccc(F)cn1 ZINC000566476027 334651510 /nfs/dbraw/zinc/65/15/10/334651510.db2.gz SDMJIRNUTRPKKG-CYBMUJFWSA-N 1 2 285.322 3.603 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C(C)(C)C3CCC3)ccc2n1C ZINC000566896146 334668623 /nfs/dbraw/zinc/66/86/23/334668623.db2.gz ZNJODZQOYFXEBI-UHFFFAOYSA-N 1 2 285.391 3.647 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncccc1F)c1ccc(F)cc1F ZINC000154873367 334706076 /nfs/dbraw/zinc/70/60/76/334706076.db2.gz RSPDFAJGXYVAGS-CQSZACIVSA-N 1 2 280.293 3.740 20 0 CHADLO CCn1cc[nH+]c1CN1C[C@@H](C)CSc2ccccc21 ZINC000567434973 334717142 /nfs/dbraw/zinc/71/71/42/334717142.db2.gz QZPJQWUCZRXLSP-CYBMUJFWSA-N 1 2 287.432 3.651 20 0 CHADLO c1c[nH+]c(CNc2cccc(OCCc3ccccc3)c2)[nH]1 ZINC000156169679 334736045 /nfs/dbraw/zinc/73/60/45/334736045.db2.gz KRAHRIQMPCOBBB-UHFFFAOYSA-N 1 2 293.370 3.643 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2cc(F)ccc2F)s1 ZINC000273588837 334767501 /nfs/dbraw/zinc/76/75/01/334767501.db2.gz IJJLPPMZABXGDN-SFYZADRCSA-N 1 2 283.347 3.537 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2cccc(F)c2F)s1 ZINC000274243160 334777648 /nfs/dbraw/zinc/77/76/48/334777648.db2.gz RCZLTPCVXLOOET-SFYZADRCSA-N 1 2 283.347 3.537 20 0 CHADLO CCc1c2ccccc2oc1[C@H](C)[NH2+][C@@H](C)c1ncn(C)n1 ZINC000275692637 334793611 /nfs/dbraw/zinc/79/36/11/334793611.db2.gz JQFWJQOBVFXMFQ-RYUDHWBXSA-N 1 2 298.390 3.536 20 0 CHADLO CC(C)CC1(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)CC1 ZINC000568469398 334826233 /nfs/dbraw/zinc/82/62/33/334826233.db2.gz POBMQFMGCNIUDL-UHFFFAOYSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C)[C@@H](C)c3cccs3)ccn12 ZINC000568527795 334828976 /nfs/dbraw/zinc/82/89/76/334828976.db2.gz AMIBGGCGVGOULO-LBPRGKRZSA-N 1 2 299.399 3.537 20 0 CHADLO Fc1cccc(Cl)c1CC[NH+]1CC(C(F)(F)F)C1 ZINC000572886236 334888034 /nfs/dbraw/zinc/88/80/34/334888034.db2.gz GHGTYUPHNFWGSX-UHFFFAOYSA-N 1 2 281.680 3.516 20 0 CHADLO c1cc(NCC[C@@H]2CCOC2)cc([NH+]2CCCCCC2)c1 ZINC000576301571 335186805 /nfs/dbraw/zinc/18/68/05/335186805.db2.gz IYMMTNGKXPCCPF-MRXNPFEDSA-N 1 2 288.435 3.906 20 0 CHADLO CCCn1ncnc1C[N@@H+]1CCCC[C@H]1c1ccc(C)cc1 ZINC000576341592 335191634 /nfs/dbraw/zinc/19/16/34/335191634.db2.gz HIWHHFASZIGBHD-KRWDZBQOSA-N 1 2 298.434 3.724 20 0 CHADLO CCCn1ncnc1C[N@H+]1CCCC[C@H]1c1ccc(C)cc1 ZINC000576341592 335191635 /nfs/dbraw/zinc/19/16/35/335191635.db2.gz HIWHHFASZIGBHD-KRWDZBQOSA-N 1 2 298.434 3.724 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(Cl)ccc2F)[C@H]1[C@H]1CCCO1 ZINC000576387789 335196976 /nfs/dbraw/zinc/19/69/76/335196976.db2.gz UIQWAZHXKQVFRP-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(Cl)ccc2F)[C@H]1[C@H]1CCCO1 ZINC000576387789 335196977 /nfs/dbraw/zinc/19/69/77/335196977.db2.gz UIQWAZHXKQVFRP-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CO[C@H](CSCCc1cccc(C)[nH+]1)CC(C)C ZINC000576465016 335207303 /nfs/dbraw/zinc/20/73/03/335207303.db2.gz ASTXGWLOXOYGPS-HNNXBMFYSA-N 1 2 267.438 3.727 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2cccc3ccccc32)o1 ZINC000192503607 335265634 /nfs/dbraw/zinc/26/56/34/335265634.db2.gz UEFSBNFLBPVKAX-LBPRGKRZSA-N 1 2 281.359 3.636 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](CC(C)C)c2ccccc2)n1 ZINC000192567847 335279104 /nfs/dbraw/zinc/27/91/04/335279104.db2.gz FANIXCMQYMZAKD-HNNXBMFYSA-N 1 2 287.407 3.899 20 0 CHADLO CCC[C@@H](C)[C@H]1CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000580581013 335290555 /nfs/dbraw/zinc/29/05/55/335290555.db2.gz JNFWELYPNVDDHP-CZUORRHYSA-N 1 2 299.418 3.684 20 0 CHADLO CCCC[C@@H](CCC)CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000577224339 335326174 /nfs/dbraw/zinc/32/61/74/335326174.db2.gz VQFQLYHZHZSGEJ-HUUCEWRRSA-N 1 2 279.428 3.557 20 0 CHADLO Cc1ccc(NC(=O)N(C2CC2)C2CCCC2)c(C)[nH+]1 ZINC000176715640 335326535 /nfs/dbraw/zinc/32/65/35/335326535.db2.gz BCAVUNCQAWXUKP-UHFFFAOYSA-N 1 2 273.380 3.637 20 0 CHADLO CCCCCOc1cc(CNc2c[nH]c[nH+]2)ccc1OC ZINC000577461772 335354789 /nfs/dbraw/zinc/35/47/89/335354789.db2.gz QLXHKQRXQROTKJ-UHFFFAOYSA-N 1 2 289.379 3.599 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+](C)Cc2ccc(F)cc2)n1 ZINC000193017084 335366798 /nfs/dbraw/zinc/36/67/98/335366798.db2.gz FVCQQHISLPJNLX-LBPRGKRZSA-N 1 2 291.370 3.744 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+](C)Cc2ccc(F)cc2)n1 ZINC000193017084 335366799 /nfs/dbraw/zinc/36/67/99/335366799.db2.gz FVCQQHISLPJNLX-LBPRGKRZSA-N 1 2 291.370 3.744 20 0 CHADLO C[C@H]1C[N@H+](CCCc2c(Cl)cccc2Cl)CCO1 ZINC000577662751 335387003 /nfs/dbraw/zinc/38/70/03/335387003.db2.gz TYQMYZDXGNAYIM-NSHDSACASA-N 1 2 288.218 3.647 20 0 CHADLO C[C@H]1C[N@@H+](CCCc2c(Cl)cccc2Cl)CCO1 ZINC000577662751 335387004 /nfs/dbraw/zinc/38/70/04/335387004.db2.gz TYQMYZDXGNAYIM-NSHDSACASA-N 1 2 288.218 3.647 20 0 CHADLO Cc1cc(C)cc(-c2noc(Cc3ccc[nH+]c3C)n2)c1 ZINC000577679530 335388008 /nfs/dbraw/zinc/38/80/08/335388008.db2.gz QXPAVOQHNFVHFH-UHFFFAOYSA-N 1 2 279.343 3.648 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CC[C@H](C(F)(F)F)C2(CCC2)C1 ZINC000577746706 335396191 /nfs/dbraw/zinc/39/61/91/335396191.db2.gz CSZQOMOLELDCDH-LBPRGKRZSA-N 1 2 299.340 3.652 20 0 CHADLO C[C@H](Nc1cccc2ccsc21)c1[nH+]ccn1C ZINC000348857467 335438289 /nfs/dbraw/zinc/43/82/89/335438289.db2.gz HTUBOUIEFQFKNZ-JTQLQIEISA-N 1 2 257.362 3.808 20 0 CHADLO C[C@@H](Nc1cccc2ccsc21)c1[nH+]ccn1C ZINC000348857468 335438391 /nfs/dbraw/zinc/43/83/91/335438391.db2.gz HTUBOUIEFQFKNZ-SNVBAGLBSA-N 1 2 257.362 3.808 20 0 CHADLO CCC1CC(Nc2ccc(N3CCCCC3)c[nH+]2)C1 ZINC000578032530 335469469 /nfs/dbraw/zinc/46/94/69/335469469.db2.gz FEONUPGHXYDHNA-UHFFFAOYSA-N 1 2 259.397 3.672 20 0 CHADLO CCc1ccc(N[C@H](C)c2[nH+]ccn2C)cc1CC ZINC000179839220 335524014 /nfs/dbraw/zinc/52/40/14/335524014.db2.gz AQTRGHWCTWWHDY-GFCCVEGCSA-N 1 2 257.381 3.718 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2ccc(C)[nH+]c2C)c(C)c1 ZINC000579007812 335699928 /nfs/dbraw/zinc/69/99/28/335699928.db2.gz BZOZWUFYLCJSHV-HXUWFJFHSA-N 1 2 287.428 3.764 20 0 CHADLO COc1cc(C[NH2+][C@H](C)c2cscn2)ccc1SC ZINC000579495728 335774671 /nfs/dbraw/zinc/77/46/71/335774671.db2.gz JQIGMLSJGBNMCQ-SNVBAGLBSA-N 1 2 294.445 3.724 20 0 CHADLO Cc1cccc2c1N(C(=O)C[C@H](C)n1cc[nH+]c1)CC[C@@H]2C ZINC000579957571 335936693 /nfs/dbraw/zinc/93/66/93/335936693.db2.gz DPPPWBPCNZYTNQ-ZFWWWQNUSA-N 1 2 297.402 3.683 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cccc(C(C)(C)C)c1 ZINC000580782284 335966271 /nfs/dbraw/zinc/96/62/71/335966271.db2.gz ZOCWGOIAHLOECZ-UHFFFAOYSA-N 1 2 282.387 3.616 20 0 CHADLO C[C@H]1CC[C@@H](CC(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000581078016 336005245 /nfs/dbraw/zinc/00/52/45/336005245.db2.gz QJTNEAMHFVFMOH-UONOGXRCSA-N 1 2 297.402 3.519 20 0 CHADLO COCc1ccc(C[N@H+](C)Cc2cc(C)ccc2F)o1 ZINC000581111169 336009564 /nfs/dbraw/zinc/00/95/64/336009564.db2.gz BLPQMZJNAWVBKE-UHFFFAOYSA-N 1 2 277.339 3.506 20 0 CHADLO COCc1ccc(C[N@@H+](C)Cc2cc(C)ccc2F)o1 ZINC000581111169 336009565 /nfs/dbraw/zinc/00/95/65/336009565.db2.gz BLPQMZJNAWVBKE-UHFFFAOYSA-N 1 2 277.339 3.506 20 0 CHADLO Cn1cccc1C(=O)C[N@H+](C)Cc1csc2ccccc12 ZINC000194262809 336011149 /nfs/dbraw/zinc/01/11/49/336011149.db2.gz DZTOTCDXXFWLDD-UHFFFAOYSA-N 1 2 298.411 3.555 20 0 CHADLO Cn1cccc1C(=O)C[N@@H+](C)Cc1csc2ccccc12 ZINC000194262809 336011150 /nfs/dbraw/zinc/01/11/50/336011150.db2.gz DZTOTCDXXFWLDD-UHFFFAOYSA-N 1 2 298.411 3.555 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3cc(F)ccc32)CC2(CCC2)O1 ZINC000581307033 336044628 /nfs/dbraw/zinc/04/46/28/336044628.db2.gz BGHXUYUSOMIZBK-GFCCVEGCSA-N 1 2 286.350 3.522 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc3[nH]c(C4CCC4)[nH+]c3c2)o1 ZINC000195198857 336049346 /nfs/dbraw/zinc/04/93/46/336049346.db2.gz MGPSQBQIRGIBDM-UHFFFAOYSA-N 1 2 295.342 3.984 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)C2CC3(CCC3)C2)c1 ZINC000581826313 336148221 /nfs/dbraw/zinc/14/82/21/336148221.db2.gz YEFVUOYMVPAATR-UHFFFAOYSA-N 1 2 295.386 3.606 20 0 CHADLO CC(C)[C@@H](Nc1nc(C(F)(F)F)cs1)c1[nH]cc[nH+]1 ZINC000291075429 519799834 /nfs/dbraw/zinc/79/98/34/519799834.db2.gz HNIROCSBGPWVKY-MRVPVSSYSA-N 1 2 290.314 3.694 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000282962246 520112124 /nfs/dbraw/zinc/11/21/24/520112124.db2.gz IUPGVBBNXMMUFR-KGLIPLIRSA-N 1 2 279.428 3.830 20 0 CHADLO Cc1ccc(CCCNc2cnn([C@@H](C)C3CC3)c2)c[nH+]1 ZINC000354635176 533784915 /nfs/dbraw/zinc/78/49/15/533784915.db2.gz QVUPDLAALRHYNA-AWEZNQCLSA-N 1 2 284.407 3.602 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@H](CC)c2ccncc2)cs1 ZINC000130297221 522290935 /nfs/dbraw/zinc/29/09/35/522290935.db2.gz SBGCOLVUFCDZCX-CQSZACIVSA-N 1 2 275.421 3.684 20 0 CHADLO CCc1nc(C[N@H+](C)[C@H](CC)c2ccncc2)cs1 ZINC000130297221 522290945 /nfs/dbraw/zinc/29/09/45/522290945.db2.gz SBGCOLVUFCDZCX-CQSZACIVSA-N 1 2 275.421 3.684 20 0 CHADLO Cc1ccc(CN2CC[C@@H](C(N)=O)c3ccccc32)c(C)[nH+]1 ZINC000334708930 533940650 /nfs/dbraw/zinc/94/06/50/533940650.db2.gz NOCWQBKKEHPNFK-MRXNPFEDSA-N 1 2 295.386 3.728 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@@H+]1Cc1nccs1 ZINC000354823473 534058102 /nfs/dbraw/zinc/05/81/02/534058102.db2.gz BJXXZVFCBUXENT-GHMZBOCLSA-N 1 2 276.380 3.790 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@H+]1Cc1nccs1 ZINC000354823473 534058106 /nfs/dbraw/zinc/05/81/06/534058106.db2.gz BJXXZVFCBUXENT-GHMZBOCLSA-N 1 2 276.380 3.790 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)Nc2ccc(F)cc2)c1 ZINC000114111611 534242064 /nfs/dbraw/zinc/24/20/64/534242064.db2.gz HEFQOHIRRPVWGB-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CC(=O)c1ccc(OCc2c(C)[nH+]c3ccccn32)cc1F ZINC000151023022 518399404 /nfs/dbraw/zinc/39/94/04/518399404.db2.gz WAQBFUOHKMAXMD-UHFFFAOYSA-N 1 2 298.317 3.563 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)Cc1c(F)cccc1Cl ZINC000334590774 534386042 /nfs/dbraw/zinc/38/60/42/534386042.db2.gz NDSBOCWVMTYCJJ-UHFFFAOYSA-N 1 2 292.741 3.672 20 0 CHADLO CC(C)Oc1ccc([NH2+][C@H]2CCOC3(CCC3)C2)cc1 ZINC000094367027 519781226 /nfs/dbraw/zinc/78/12/26/519781226.db2.gz NWSBWMGXQAUSIK-HNNXBMFYSA-N 1 2 275.392 3.987 20 0 CHADLO CC(C)[C@@H]1CCC[C@H]([NH2+][C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000280078185 519802941 /nfs/dbraw/zinc/80/29/41/519802941.db2.gz ZRJCTYOVMGWVSR-IKGGRYGDSA-N 1 2 298.434 3.699 20 0 CHADLO CC(C)[C@H](NC(=O)CCC1CCCCC1)c1[nH]cc[nH+]1 ZINC000276639951 519818371 /nfs/dbraw/zinc/81/83/71/519818371.db2.gz QPDIHBZTFUZKMY-HNNXBMFYSA-N 1 2 277.412 3.584 20 0 CHADLO CC(C)c1ccc([C@@H]2C[C@@H]2C(=O)Nc2ccc(N)[nH+]c2)cc1 ZINC000236804312 519839635 /nfs/dbraw/zinc/83/96/35/519839635.db2.gz JAGKULHDYDPXRE-HOTGVXAUSA-N 1 2 295.386 3.529 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)[nH]1 ZINC000293118517 519863704 /nfs/dbraw/zinc/86/37/04/519863704.db2.gz KIORISXGIWNEIL-VIFPVBQESA-N 1 2 296.777 3.571 20 0 CHADLO CC(C)c1nnc([C@@H](C)[NH2+]Cc2ccc(Cl)cc2F)[nH]1 ZINC000275651255 519866116 /nfs/dbraw/zinc/86/61/16/519866116.db2.gz UEFICHYPHNWJSY-SECBINFHSA-N 1 2 296.777 3.571 20 0 CHADLO CC(C)c1nnc([C@H](C)[NH2+]Cc2cc(F)cc(Cl)c2)[nH]1 ZINC000275013162 519867547 /nfs/dbraw/zinc/86/75/47/519867547.db2.gz RAGWOBPDMMRXAA-VIFPVBQESA-N 1 2 296.777 3.571 20 0 CHADLO CCCC(CCC)C(=O)NCCCCNc1cccc[nH+]1 ZINC000128012263 519883650 /nfs/dbraw/zinc/88/36/50/519883650.db2.gz IWLCJOFCKRUDCN-UHFFFAOYSA-N 1 2 291.439 3.606 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@@H]2c2ccsc2)o1 ZINC000263804677 519886336 /nfs/dbraw/zinc/88/63/36/519886336.db2.gz VNOHNGDLCZEDDY-GFCCVEGCSA-N 1 2 277.393 3.592 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@@H]2c2ccsc2)o1 ZINC000263804677 519886338 /nfs/dbraw/zinc/88/63/38/519886338.db2.gz VNOHNGDLCZEDDY-GFCCVEGCSA-N 1 2 277.393 3.592 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H]2CCc3c2cccc3F)s1 ZINC000338231428 519888055 /nfs/dbraw/zinc/88/80/55/519888055.db2.gz AFDQYGMVMHXUFQ-ZDUSSCGKSA-N 1 2 291.395 3.578 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+](C)Cc2cccc(F)c2)n1 ZINC000097120349 519909491 /nfs/dbraw/zinc/90/94/91/519909491.db2.gz LMDZIDUFFHWLRE-NSHDSACASA-N 1 2 277.343 3.525 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+](C)Cc2cccc(F)c2)n1 ZINC000097120349 519909497 /nfs/dbraw/zinc/90/94/97/519909497.db2.gz LMDZIDUFFHWLRE-NSHDSACASA-N 1 2 277.343 3.525 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1ccccc1OCC(F)F ZINC000124263860 520033502 /nfs/dbraw/zinc/03/35/02/520033502.db2.gz JNXFTWFBVNHJQF-UHFFFAOYSA-N 1 2 295.333 3.720 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1ccc(F)cc1OC(F)F ZINC000124658374 520033959 /nfs/dbraw/zinc/03/39/59/520033959.db2.gz LEETXHGQMUMXFG-UHFFFAOYSA-N 1 2 299.296 3.817 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)[C@@H](C)c1ccccc1F ZINC000279678447 520098082 /nfs/dbraw/zinc/09/80/82/520098082.db2.gz PQELTHLVGYFSAZ-ZDUSSCGKSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)[C@@H](C)c1ccccc1F ZINC000279678447 520098090 /nfs/dbraw/zinc/09/80/90/520098090.db2.gz PQELTHLVGYFSAZ-ZDUSSCGKSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1nccc1CNc1c[nH+]ccc1OC(C)(C)C ZINC000279780404 520115270 /nfs/dbraw/zinc/11/52/70/520115270.db2.gz AWLWUSXZRCGFOU-UHFFFAOYSA-N 1 2 288.395 3.648 20 0 CHADLO CCOC[C@H]1CCN(c2cc(C)[nH+]c3c(F)cccc23)C1 ZINC000128503649 520183833 /nfs/dbraw/zinc/18/38/33/520183833.db2.gz JPRHECBZPSLRKX-ZDUSSCGKSA-N 1 2 288.366 3.545 20 0 CHADLO CCO[C@H](C)c1nccn1Cc1ccc(C(C)C)[nH+]c1C ZINC000338555647 520487251 /nfs/dbraw/zinc/48/72/51/520487251.db2.gz BSANWIPMENSYKP-CQSZACIVSA-N 1 2 287.407 3.856 20 0 CHADLO CCN(CC)C(=O)CSCc1ccc(C(C)C)[nH+]c1C ZINC000292536130 520492061 /nfs/dbraw/zinc/49/20/61/520492061.db2.gz ARWRLHHLWFNIAH-UHFFFAOYSA-N 1 2 294.464 3.615 20 0 CHADLO CCCCN(CCCC)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117596962 520491808 /nfs/dbraw/zinc/49/18/08/520491808.db2.gz SHURAVNRIFPXDF-UHFFFAOYSA-N 1 2 299.418 3.915 20 0 CHADLO Fc1ccc2cc[nH+]c(NCc3cccc4c3OCO4)c2c1 ZINC000354557843 534509514 /nfs/dbraw/zinc/50/95/14/534509514.db2.gz FNJNBRFENZJPMS-UHFFFAOYSA-N 1 2 296.301 3.715 20 0 CHADLO CCC[C@H](CCO)CNc1[nH+]c2ccccc2cc1C ZINC000225359986 521097960 /nfs/dbraw/zinc/09/79/60/521097960.db2.gz PLONRQFVVLXHSF-CQSZACIVSA-N 1 2 272.392 3.754 20 0 CHADLO CCCC[C@H]([NH2+]Cc1cn[nH]c1C)c1ccccc1 ZINC000038011483 521238983 /nfs/dbraw/zinc/23/89/83/521238983.db2.gz WMCRAPUICHYXHD-INIZCTEOSA-N 1 2 257.381 3.739 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1ccnc(Cl)c1Cl ZINC000291585622 521423169 /nfs/dbraw/zinc/42/31/69/521423169.db2.gz PHSSKBHFXVXDQO-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1ccnc(Cl)c1Cl ZINC000291585622 521423180 /nfs/dbraw/zinc/42/31/80/521423180.db2.gz PHSSKBHFXVXDQO-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC[N@H+](Cc1nc(C)cs1)[C@@H](C)c1cccc(O)c1 ZINC000088603559 521448874 /nfs/dbraw/zinc/44/88/74/521448874.db2.gz CLQQJKXYDHVEKW-LBPRGKRZSA-N 1 2 276.405 3.740 20 0 CHADLO CC[N@@H+](Cc1nc(C)cs1)[C@@H](C)c1cccc(O)c1 ZINC000088603559 521448877 /nfs/dbraw/zinc/44/88/77/521448877.db2.gz CLQQJKXYDHVEKW-LBPRGKRZSA-N 1 2 276.405 3.740 20 0 CHADLO CC[N@H+](Cc1nc(C(C)(C)Cc2ccccc2)no1)C1CC1 ZINC000278194662 521449891 /nfs/dbraw/zinc/44/98/91/521449891.db2.gz MMHLZOYLJKGSKY-UHFFFAOYSA-N 1 2 299.418 3.574 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)(C)Cc2ccccc2)no1)C1CC1 ZINC000278194662 521449903 /nfs/dbraw/zinc/44/99/03/521449903.db2.gz MMHLZOYLJKGSKY-UHFFFAOYSA-N 1 2 299.418 3.574 20 0 CHADLO CCS[C@H]1CCC[C@@H](NC(=O)Nc2cc[nH+]c(C)c2)C1 ZINC000119701763 521731042 /nfs/dbraw/zinc/73/10/42/521731042.db2.gz GYMGEAWHTHABOP-OCCSQVGLSA-N 1 2 293.436 3.576 20 0 CHADLO COCC[C@@H](C)[NH2+]c1ccc(N2CCCCC2)cc1 ZINC000059459160 521801347 /nfs/dbraw/zinc/80/13/47/521801347.db2.gz DRFXDSMWIMLRGN-CQSZACIVSA-N 1 2 262.397 3.514 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](c2ccc(F)cc2)C(C)C)no1 ZINC000352094407 534603393 /nfs/dbraw/zinc/60/33/93/534603393.db2.gz ZVIIYHFXXHYGOS-HZMBPMFUSA-N 1 2 277.343 3.565 20 0 CHADLO COCCc1ccccc1NCCCc1c[nH+]ccc1C ZINC000289593444 522026115 /nfs/dbraw/zinc/02/61/15/522026115.db2.gz IQTVSFCUDJUPQG-UHFFFAOYSA-N 1 2 284.403 3.624 20 0 CHADLO CCc1cnc(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)o1 ZINC000275464983 522041893 /nfs/dbraw/zinc/04/18/93/522041893.db2.gz ZEEMBOQUIZERIY-UHFFFAOYSA-N 1 2 288.395 3.886 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H](C)c2ccsc2)[nH]1 ZINC000277083188 522052150 /nfs/dbraw/zinc/05/21/50/522052150.db2.gz FUVQLPXNTBYHJB-GARJFASQSA-N 1 2 278.425 3.792 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2ccc(Cl)s2)[nH]1 ZINC000277503897 522052904 /nfs/dbraw/zinc/05/29/04/522052904.db2.gz VRLKESMPDCNZCJ-DTWKUNHWSA-N 1 2 298.843 3.884 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@@H]2CCCc3occc32)[nH]1 ZINC000278812693 522053355 /nfs/dbraw/zinc/05/33/55/522053355.db2.gz RZOLHXDVVLTTLT-DMDPSCGWSA-N 1 2 288.395 3.639 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C1(c2cccc(F)c2)CCC1 ZINC000265032316 522077916 /nfs/dbraw/zinc/07/79/16/522077916.db2.gz UDNGTUVCNUPNPU-UHFFFAOYSA-N 1 2 298.361 3.844 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H](C)c1c(F)cccc1F ZINC000270747814 522081132 /nfs/dbraw/zinc/08/11/32/522081132.db2.gz SNPMQGAFFPZROY-SNVBAGLBSA-N 1 2 290.313 3.664 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc2c(s1)CCCC2 ZINC000264982512 522082256 /nfs/dbraw/zinc/08/22/56/522082256.db2.gz AILIFVGFAXRNAN-UHFFFAOYSA-N 1 2 286.400 3.837 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2ccc(C)nc2C)cs1 ZINC000336538856 534610319 /nfs/dbraw/zinc/61/03/19/534610319.db2.gz AGBQMZWLKLPAHO-MRXNPFEDSA-N 1 2 287.432 3.800 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2ccc(C)nc2C)cs1 ZINC000336538856 534610328 /nfs/dbraw/zinc/61/03/28/534610328.db2.gz AGBQMZWLKLPAHO-MRXNPFEDSA-N 1 2 287.432 3.800 20 0 CHADLO CC[C@H](F)C[NH2+][C@H](C)c1nc(C2CCCCC2)no1 ZINC000331314199 522323397 /nfs/dbraw/zinc/32/33/97/522323397.db2.gz WTSVFRAVIJINNT-PWSUYJOCSA-N 1 2 269.364 3.516 20 0 CHADLO COC[C@@H]([NH2+]Cc1csc(C)n1)c1ccc(Cl)cc1 ZINC000193281022 522368744 /nfs/dbraw/zinc/36/87/44/522368744.db2.gz GTVOIQCZCWGQRQ-CQSZACIVSA-N 1 2 296.823 3.582 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2cc(F)ccc2C)[nH]1 ZINC000277058077 522438970 /nfs/dbraw/zinc/43/89/70/522438970.db2.gz BHDAPYZSAXDYBQ-PWSUYJOCSA-N 1 2 290.386 3.617 20 0 CHADLO CCc1nc2cc(N[C@@H]3C[C@H](C)n4cc[nH+]c43)ccc2o1 ZINC000293880506 522494363 /nfs/dbraw/zinc/49/43/63/522494363.db2.gz FQRMSGNYYQQQOF-GXFFZTMASA-N 1 2 282.347 3.705 20 0 CHADLO CN(CC(C)(C)C)C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264909786 522545215 /nfs/dbraw/zinc/54/52/15/522545215.db2.gz NQHVGXKLCBKTMT-UHFFFAOYSA-N 1 2 298.386 3.992 20 0 CHADLO COc1cc(NC(=O)Nc2cc[nH+]c(C)c2)c(C)cc1C ZINC000280985599 522704067 /nfs/dbraw/zinc/70/40/67/522704067.db2.gz JYTHXWTXOWQTRH-UHFFFAOYSA-N 1 2 285.347 3.659 20 0 CHADLO CN(CCC1CC1)C(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000337898194 522705383 /nfs/dbraw/zinc/70/53/83/522705383.db2.gz NMENJRHIZSXBNH-UHFFFAOYSA-N 1 2 296.370 3.746 20 0 CHADLO CCc1nnc(C[NH2+]Cc2ccc(CC(C)C)cc2)s1 ZINC000289696030 522740813 /nfs/dbraw/zinc/74/08/13/522740813.db2.gz DKDOSIGVHXQFCN-UHFFFAOYSA-N 1 2 289.448 3.589 20 0 CHADLO COC[C@H]([NH2+]Cc1cc2ccccc2o1)c1ccco1 ZINC000080239479 522747079 /nfs/dbraw/zinc/74/70/79/522747079.db2.gz WJAJZBLEDBKXRT-AWEZNQCLSA-N 1 2 271.316 3.503 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2csc(Cl)c2)s1 ZINC000290034301 522748753 /nfs/dbraw/zinc/74/87/53/522748753.db2.gz DANYBUPCECMLHB-SSDOTTSWSA-N 1 2 287.841 3.666 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncnn1C(C)C)c1ccc(Cl)s1 ZINC000279714482 522896216 /nfs/dbraw/zinc/89/62/16/522896216.db2.gz KVKXGOQOAYDNGL-SNVBAGLBSA-N 1 2 298.843 3.815 20 0 CHADLO CC[C@@H]([NH2+]Cc1noc(C2CC2)n1)c1ccc(Cl)cc1 ZINC000097078955 522897658 /nfs/dbraw/zinc/89/76/58/522897658.db2.gz UCCHWGMPBQCMQG-CYBMUJFWSA-N 1 2 291.782 3.841 20 0 CHADLO CCc1noc([C@@H](C)[N@H+](C)Cc2ccc(Cl)c(F)c2)n1 ZINC000127794537 522902111 /nfs/dbraw/zinc/90/21/11/522902111.db2.gz ZVVYVAKQAFJBOG-SECBINFHSA-N 1 2 297.761 3.618 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+](C)Cc2ccc(Cl)c(F)c2)n1 ZINC000127794537 522902123 /nfs/dbraw/zinc/90/21/23/522902123.db2.gz ZVVYVAKQAFJBOG-SECBINFHSA-N 1 2 297.761 3.618 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278771700 523122396 /nfs/dbraw/zinc/12/23/96/523122396.db2.gz YRUSLVGEYNGPFI-HNNXBMFYSA-N 1 2 287.407 3.950 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278771700 523122401 /nfs/dbraw/zinc/12/24/01/523122401.db2.gz YRUSLVGEYNGPFI-HNNXBMFYSA-N 1 2 287.407 3.950 20 0 CHADLO COCCC[C@@H](C)[NH2+]c1cc(C)c(OC)cc1C ZINC000278501362 523465750 /nfs/dbraw/zinc/46/57/50/523465750.db2.gz QOCHXWPEWIPXHW-CYBMUJFWSA-N 1 2 251.370 3.539 20 0 CHADLO Cc1cccc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)n1 ZINC000352667503 534711694 /nfs/dbraw/zinc/71/16/94/534711694.db2.gz KVZKHASBYSFMHM-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cccc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)n1 ZINC000352667503 534711706 /nfs/dbraw/zinc/71/17/06/534711706.db2.gz KVZKHASBYSFMHM-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO C[N@H+](Cc1csc(C(C)(C)C)n1)Cc1ccc(O)cc1 ZINC000290529204 523523943 /nfs/dbraw/zinc/52/39/43/523523943.db2.gz QOVQOCPMXFSBBM-UHFFFAOYSA-N 1 2 290.432 3.778 20 0 CHADLO C[N@@H+](Cc1csc(C(C)(C)C)n1)Cc1ccc(O)cc1 ZINC000290529204 523523956 /nfs/dbraw/zinc/52/39/56/523523956.db2.gz QOVQOCPMXFSBBM-UHFFFAOYSA-N 1 2 290.432 3.778 20 0 CHADLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)CCC1CCC1 ZINC000366665111 523552103 /nfs/dbraw/zinc/55/21/03/523552103.db2.gz OKAYKMCOVFLYLQ-HNNXBMFYSA-N 1 2 289.423 3.537 20 0 CHADLO C[N@H+](Cc1ncc(C(C)(C)C)s1)Cc1ccc(O)cc1 ZINC000290488501 523573882 /nfs/dbraw/zinc/57/38/82/523573882.db2.gz OKUKRAMPAMEWPD-UHFFFAOYSA-N 1 2 290.432 3.778 20 0 CHADLO C[N@@H+](Cc1ncc(C(C)(C)C)s1)Cc1ccc(O)cc1 ZINC000290488501 523573890 /nfs/dbraw/zinc/57/38/90/523573890.db2.gz OKUKRAMPAMEWPD-UHFFFAOYSA-N 1 2 290.432 3.778 20 0 CHADLO C[N@H+](Cc1ncc(C(F)(F)F)s1)Cc1ccccc1 ZINC000278991791 523574786 /nfs/dbraw/zinc/57/47/86/523574786.db2.gz BIPKYSBEDPRLRS-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1ncc(C(F)(F)F)s1)Cc1ccccc1 ZINC000278991791 523574791 /nfs/dbraw/zinc/57/47/91/523574791.db2.gz BIPKYSBEDPRLRS-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO CCc1ccc(C[N@H+](Cc2ncc(C)o2)C2CC2)cc1 ZINC000075624489 523923034 /nfs/dbraw/zinc/92/30/34/523923034.db2.gz FRAFUJLMRJTFDS-UHFFFAOYSA-N 1 2 270.376 3.710 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2ncc(C)o2)C2CC2)cc1 ZINC000075624489 523923039 /nfs/dbraw/zinc/92/30/39/523923039.db2.gz FRAFUJLMRJTFDS-UHFFFAOYSA-N 1 2 270.376 3.710 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2nnc(C)o2)o1 ZINC000076145025 524040319 /nfs/dbraw/zinc/04/03/19/524040319.db2.gz WCVKKTVMFIEQKN-FZMZJTMJSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2nnc(C)o2)o1 ZINC000076145025 524040322 /nfs/dbraw/zinc/04/03/22/524040322.db2.gz WCVKKTVMFIEQKN-FZMZJTMJSA-N 1 2 289.379 3.507 20 0 CHADLO COc1cccc2c(NCCC3(F)CCC3)cc[nH+]c12 ZINC000340855650 524198234 /nfs/dbraw/zinc/19/82/34/524198234.db2.gz WFFMTXWIDFBVDF-UHFFFAOYSA-N 1 2 274.339 3.938 20 0 CHADLO Cc1cc(C)c(NC(=O)CC2(C)CCCC2)c(C)[nH+]1 ZINC000340773972 524281022 /nfs/dbraw/zinc/28/10/22/524281022.db2.gz HAAKYVJRTSHTAG-UHFFFAOYSA-N 1 2 260.381 3.916 20 0 CHADLO COc1ccc(F)c(CNc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000339192817 524283050 /nfs/dbraw/zinc/28/30/50/524283050.db2.gz JYOMONGLQZRRCC-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3nc(C4CC4)no3)CCCC2)c1 ZINC000113932055 524331430 /nfs/dbraw/zinc/33/14/30/524331430.db2.gz HFEPGXLNDPMPQV-UHFFFAOYSA-N 1 2 297.402 3.815 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+][C@H](C)c1nccs1 ZINC000086509367 524334628 /nfs/dbraw/zinc/33/46/28/524334628.db2.gz UPFBQBDAJQQHDQ-VHSXEESVSA-N 1 2 280.368 3.703 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ncc(C3CC3)o2)c1C ZINC000290423598 524376559 /nfs/dbraw/zinc/37/65/59/524376559.db2.gz KWRYXZRAZCECJG-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ncc(C3CC3)o2)c1C ZINC000290423598 524376568 /nfs/dbraw/zinc/37/65/68/524376568.db2.gz KWRYXZRAZCECJG-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ncnn2C(C)C)C2CC2)cc1C ZINC000280158278 524422218 /nfs/dbraw/zinc/42/22/18/524422218.db2.gz XQDAQBMNWSTDER-UHFFFAOYSA-N 1 2 298.434 3.640 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ncnn2C(C)C)C2CC2)cc1C ZINC000280158278 524422228 /nfs/dbraw/zinc/42/22/28/524422228.db2.gz XQDAQBMNWSTDER-UHFFFAOYSA-N 1 2 298.434 3.640 20 0 CHADLO C[C@@H](CCC1CCCCC1)Nc1[nH+]cnc2c1cnn2C ZINC000337867502 524452476 /nfs/dbraw/zinc/45/24/76/524452476.db2.gz LPXMDOSODYDHMS-LBPRGKRZSA-N 1 2 287.411 3.524 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@](F)(c3ccccc3F)C2)nc1 ZINC000338585275 524474591 /nfs/dbraw/zinc/47/45/91/524474591.db2.gz PIACSUURTXTWHZ-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@](F)(c3ccccc3F)C2)nc1 ZINC000338585275 524474599 /nfs/dbraw/zinc/47/45/99/524474599.db2.gz PIACSUURTXTWHZ-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccc(CNc2c[nH+]cc(C)c2)c(-c2cnn(C)c2)c1 ZINC000338451689 524619239 /nfs/dbraw/zinc/61/92/39/524619239.db2.gz IQQINZHTHNVVOV-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO Cc1ccc(Cc2nc(-c3ccc(C(C)C)nc3)no2)c[nH+]1 ZINC000278063703 524694437 /nfs/dbraw/zinc/69/44/37/524694437.db2.gz WBCGYQWLFIMKAO-UHFFFAOYSA-N 1 2 294.358 3.549 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)no1 ZINC000075901322 524711836 /nfs/dbraw/zinc/71/18/36/524711836.db2.gz KILACMUHPVEBGG-MEDUHNTESA-N 1 2 274.339 3.705 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)no1 ZINC000075901322 524711846 /nfs/dbraw/zinc/71/18/46/524711846.db2.gz KILACMUHPVEBGG-MEDUHNTESA-N 1 2 274.339 3.705 20 0 CHADLO Cc1cc(Cl)cc(C[NH2+]Cc2nnc(C(C)C)s2)c1 ZINC000339295486 524872915 /nfs/dbraw/zinc/87/29/15/524872915.db2.gz OQBARERRPXVJGJ-UHFFFAOYSA-N 1 2 295.839 3.913 20 0 CHADLO Cc1csc(C[NH2+][C@H]2CCc3cc(F)c(F)c(F)c32)n1 ZINC000336219682 534819190 /nfs/dbraw/zinc/81/91/90/534819190.db2.gz PNQSZVBDTARMLD-JTQLQIEISA-N 1 2 298.333 3.646 20 0 CHADLO C[C@@H]1C[N@H+](CCC(F)(F)F)C[C@@H](c2ccc(F)cc2)O1 ZINC000266002789 524937477 /nfs/dbraw/zinc/93/74/77/524937477.db2.gz JRMLEHKKMRUNPC-MFKMUULPSA-N 1 2 291.288 3.540 20 0 CHADLO C[C@@H]1C[N@@H+](CCC(F)(F)F)C[C@@H](c2ccc(F)cc2)O1 ZINC000266002789 524937486 /nfs/dbraw/zinc/93/74/86/524937486.db2.gz JRMLEHKKMRUNPC-MFKMUULPSA-N 1 2 291.288 3.540 20 0 CHADLO Cc1cc(F)cc2c1CN(c1cc[nH+]c3ccncc31)CC2 ZINC000336922226 524959519 /nfs/dbraw/zinc/95/95/19/524959519.db2.gz IBLZSLCQYVRAAO-UHFFFAOYSA-N 1 2 293.345 3.640 20 0 CHADLO C[C@@H]1CN(c2cc(C(F)(F)F)cc[nH+]2)CCC1(F)F ZINC000291135004 525061031 /nfs/dbraw/zinc/06/10/31/525061031.db2.gz NKPXDPKKLHKELU-MRVPVSSYSA-N 1 2 280.240 3.582 20 0 CHADLO Cc1cc(NC(=O)C(C)(C)F)ccc1Oc1cc[nH+]cc1 ZINC000278607959 525115174 /nfs/dbraw/zinc/11/51/74/525115174.db2.gz IXKTYTUVJCYOKI-UHFFFAOYSA-N 1 2 288.322 3.869 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cccc(Cl)n1 ZINC000075612160 525132085 /nfs/dbraw/zinc/13/20/85/525132085.db2.gz LOUPBYLGSYKFGO-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cccc(Cl)n1 ZINC000075612160 525132095 /nfs/dbraw/zinc/13/20/95/525132095.db2.gz LOUPBYLGSYKFGO-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1cc(NC(=O)N[C@@H]2CCCc3sccc32)cc[nH+]1 ZINC000127486519 525199220 /nfs/dbraw/zinc/19/92/20/525199220.db2.gz UVZLODLQYSKTHC-CYBMUJFWSA-N 1 2 287.388 3.651 20 0 CHADLO Cc1cc(NCCC2=CCCC2)nc(-c2cccnc2)[nH+]1 ZINC000157453826 525266572 /nfs/dbraw/zinc/26/65/72/525266572.db2.gz YRQAMADYHJSMGY-UHFFFAOYSA-N 1 2 280.375 3.759 20 0 CHADLO Cc1cc(NCCc2ccsc2)nc(C(C)C)[nH+]1 ZINC000042249839 525271481 /nfs/dbraw/zinc/27/14/81/525271481.db2.gz NAGIDQUNGWODMK-UHFFFAOYSA-N 1 2 261.394 3.625 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2CCCOc3c(F)cccc32)no1 ZINC000290584965 525470712 /nfs/dbraw/zinc/47/07/12/525470712.db2.gz GCUFTYIASIDCPZ-BXUZGUMPSA-N 1 2 290.338 3.687 20 0 CHADLO C[C@@H]([NH2+]Cc1cc[nH]n1)c1c(Cl)ccc(F)c1Cl ZINC000041014385 525515940 /nfs/dbraw/zinc/51/59/40/525515940.db2.gz HJVPYYRZVGWGJG-SSDOTTSWSA-N 1 2 288.153 3.706 20 0 CHADLO Cc1cc([C@H](C)Nc2ccc(N3CCCCC3)[nH+]c2)no1 ZINC000290767875 525532910 /nfs/dbraw/zinc/53/29/10/525532910.db2.gz SCTVQLAMBQIJDB-ZDUSSCGKSA-N 1 2 286.379 3.541 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1ccccc1Br ZINC000040420840 525556842 /nfs/dbraw/zinc/55/68/42/525556842.db2.gz VGMDAUUTSQFFDU-SECBINFHSA-N 1 2 297.221 3.756 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C(C)(C)C)[nH]1)c1cccc(Cl)c1 ZINC000292847759 525557233 /nfs/dbraw/zinc/55/72/33/525557233.db2.gz FSBZSGPAZMOIFA-SNVBAGLBSA-N 1 2 292.814 3.606 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccn1)c1ccc(Cl)cc1Cl ZINC000054458950 525561289 /nfs/dbraw/zinc/56/12/89/525561289.db2.gz WBDRXJKJDWIYCL-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cc1Cl)c1nncn1C ZINC000037017369 525605962 /nfs/dbraw/zinc/60/59/62/525605962.db2.gz YINPEEARZFGRAR-DTWKUNHWSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1nccs1 ZINC000070984358 525609034 /nfs/dbraw/zinc/60/90/34/525609034.db2.gz OSOZGLADBQFIAM-DTWKUNHWSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1nccs1 ZINC000070984435 525658805 /nfs/dbraw/zinc/65/88/05/525658805.db2.gz SLBKZBAPEYNSMO-RKDXNWHRSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)cc1Cl)c1nncn1C ZINC000037017370 525661137 /nfs/dbraw/zinc/66/11/37/525661137.db2.gz YINPEEARZFGRAR-RKDXNWHRSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@@H+]2Cc2nc(C3CC3)no2)cc1 ZINC000265975804 525802634 /nfs/dbraw/zinc/80/26/34/525802634.db2.gz BNTNZHCOOBXZAF-INIZCTEOSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@H+]2Cc2nc(C3CC3)no2)cc1 ZINC000265975804 525802645 /nfs/dbraw/zinc/80/26/45/525802645.db2.gz BNTNZHCOOBXZAF-INIZCTEOSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2ncnn2C(C)C)c(C)c1 ZINC000280137614 525883406 /nfs/dbraw/zinc/88/34/06/525883406.db2.gz WKUUKHJMWXQRSO-HNNXBMFYSA-N 1 2 286.423 3.669 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2ncnn2C(C)C)c(C)c1 ZINC000280137614 525883416 /nfs/dbraw/zinc/88/34/16/525883416.db2.gz WKUUKHJMWXQRSO-HNNXBMFYSA-N 1 2 286.423 3.669 20 0 CHADLO CS[C@H]1CCC[C@@H](Nc2cc(C)[nH+]c(C(C)C)n2)C1 ZINC000227358112 525907013 /nfs/dbraw/zinc/90/70/13/525907013.db2.gz HFGOGHZVIJWWMG-OLZOCXBDSA-N 1 2 279.453 3.995 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@@H](C)c1sc(C(C)C)nc1C ZINC000290346746 526021179 /nfs/dbraw/zinc/02/11/79/526021179.db2.gz FDPIPFAPPGXDOS-LBPRGKRZSA-N 1 2 292.452 3.799 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@@H](C)c1sc(C(C)C)nc1C ZINC000290346746 526021188 /nfs/dbraw/zinc/02/11/88/526021188.db2.gz FDPIPFAPPGXDOS-LBPRGKRZSA-N 1 2 292.452 3.799 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](C)[C@@H](C)c1sc(C(C)C)nc1C ZINC000290346746 526021207 /nfs/dbraw/zinc/02/12/07/526021207.db2.gz FDPIPFAPPGXDOS-LBPRGKRZSA-N 1 2 292.452 3.799 20 0 CHADLO Cc1c[nH]nc1C[N@H+](C)[C@@H](C)c1sc(C(C)C)nc1C ZINC000290346746 526021217 /nfs/dbraw/zinc/02/12/17/526021217.db2.gz FDPIPFAPPGXDOS-LBPRGKRZSA-N 1 2 292.452 3.799 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1cc[nH]n1 ZINC000265193290 526038535 /nfs/dbraw/zinc/03/85/35/526038535.db2.gz ISIJAAPHDAEXOF-VIFPVBQESA-N 1 2 284.190 3.910 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1cc[nH]n1 ZINC000265193290 526038541 /nfs/dbraw/zinc/03/85/41/526038541.db2.gz ISIJAAPHDAEXOF-VIFPVBQESA-N 1 2 284.190 3.910 20 0 CHADLO COc1cccc(CNc2ccc(C)[nH+]c2)c1OC(F)F ZINC000128053388 526041375 /nfs/dbraw/zinc/04/13/75/526041375.db2.gz XTVCSZHRQIJBHF-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO C[C@H](c1ccc(C(F)(F)F)cc1)[N@H+](C)Cc1cnc[nH]1 ZINC000280566030 526042861 /nfs/dbraw/zinc/04/28/61/526042861.db2.gz VPUMMCLTAAQJRR-SNVBAGLBSA-N 1 2 283.297 3.622 20 0 CHADLO C[C@H](c1ccc(C(F)(F)F)cc1)[N@@H+](C)Cc1cnc[nH]1 ZINC000280566030 526042868 /nfs/dbraw/zinc/04/28/68/526042868.db2.gz VPUMMCLTAAQJRR-SNVBAGLBSA-N 1 2 283.297 3.622 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+](C)Cc1ccon1 ZINC000122854696 526071400 /nfs/dbraw/zinc/07/14/00/526071400.db2.gz MBYXUHIHFPIBJK-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+](C)Cc1ccon1 ZINC000122854696 526071410 /nfs/dbraw/zinc/07/14/10/526071410.db2.gz MBYXUHIHFPIBJK-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1csc(-c2ccoc2)n1 ZINC000127708789 526120662 /nfs/dbraw/zinc/12/06/62/526120662.db2.gz JFMGYYWAWJAOFY-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1csc(-c2ccoc2)n1 ZINC000127708789 526120675 /nfs/dbraw/zinc/12/06/75/526120675.db2.gz JFMGYYWAWJAOFY-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1nc(-c2ccco2)no1)C1CC1 ZINC000086234490 526138812 /nfs/dbraw/zinc/13/88/12/526138812.db2.gz PESWXIJLXMRQHB-NSHDSACASA-N 1 2 299.330 3.648 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1nc(-c2ccco2)no1)C1CC1 ZINC000086234490 526138824 /nfs/dbraw/zinc/13/88/24/526138824.db2.gz PESWXIJLXMRQHB-NSHDSACASA-N 1 2 299.330 3.648 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cnc(Cl)s1 ZINC000079318166 526147040 /nfs/dbraw/zinc/14/70/40/526147040.db2.gz AWRMBXUDXPTUQY-MRVPVSSYSA-N 1 2 256.758 3.583 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cnc(Cl)s1 ZINC000079318166 526147050 /nfs/dbraw/zinc/14/70/50/526147050.db2.gz AWRMBXUDXPTUQY-MRVPVSSYSA-N 1 2 256.758 3.583 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1nc(C)c(C)o1 ZINC000280268920 526159045 /nfs/dbraw/zinc/15/90/45/526159045.db2.gz CWIYECZVPXXSSS-UHFFFAOYSA-N 1 2 276.405 3.645 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC000280268920 526159053 /nfs/dbraw/zinc/15/90/53/526159053.db2.gz CWIYECZVPXXSSS-UHFFFAOYSA-N 1 2 276.405 3.645 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](c2ncccc2C)C(C)C)o1 ZINC000356983919 526161424 /nfs/dbraw/zinc/16/14/24/526161424.db2.gz MPEJQQZUSSSSOC-UONOGXRCSA-N 1 2 273.380 3.734 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1C[C@H](C)[C@H]1c1ccccc1 ZINC000081573973 526168578 /nfs/dbraw/zinc/16/85/78/526168578.db2.gz VLLZZECELOVERA-HUBLWGQQSA-N 1 2 283.375 3.701 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1C[C@H](C)[C@H]1c1ccccc1 ZINC000081573973 526168588 /nfs/dbraw/zinc/16/85/88/526168588.db2.gz VLLZZECELOVERA-HUBLWGQQSA-N 1 2 283.375 3.701 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000366340890 526185213 /nfs/dbraw/zinc/18/52/13/526185213.db2.gz JIAYELIIHKRSPI-YRGRVCCFSA-N 1 2 292.423 3.532 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000366340890 526185221 /nfs/dbraw/zinc/18/52/21/526185221.db2.gz JIAYELIIHKRSPI-YRGRVCCFSA-N 1 2 292.423 3.532 20 0 CHADLO Cc1c[nH+]ccc1CCNc1cncc(-c2cccs2)n1 ZINC000338687587 526227993 /nfs/dbraw/zinc/22/79/93/526227993.db2.gz MXHDYSBXDQCNGI-UHFFFAOYSA-N 1 2 296.399 3.563 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C(C)(C)c1cccc(Cl)c1 ZINC000076593817 526236457 /nfs/dbraw/zinc/23/64/57/526236457.db2.gz AYSIXZJRKRDGFS-UHFFFAOYSA-N 1 2 288.778 3.960 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H]1C[C@H](c2cccc(F)c2)C1 ZINC000280563251 526240738 /nfs/dbraw/zinc/24/07/38/526240738.db2.gz ARIFEEWKYFBQQU-CTYIDZIISA-N 1 2 299.349 3.597 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(COC(C)C)cc1 ZINC000264544642 526241396 /nfs/dbraw/zinc/24/13/96/526241396.db2.gz QVJQWPQJEHDMMO-UHFFFAOYSA-N 1 2 284.359 3.567 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccc([C@H]4C[C@H]4C)o3)cn2c1 ZINC000264365209 526249353 /nfs/dbraw/zinc/24/93/53/526249353.db2.gz JQOBBZNVVNVGSP-CJNGLKHVSA-N 1 2 295.386 3.649 20 0 CHADLO Cc1ccc2[nH+]c(CNCc3ccc([C@H]4C[C@H]4C)o3)cn2c1 ZINC000264365209 526249357 /nfs/dbraw/zinc/24/93/57/526249357.db2.gz JQOBBZNVVNVGSP-CJNGLKHVSA-N 1 2 295.386 3.649 20 0 CHADLO Fc1ccc(C[N@H+](Cc2cccnc2)C2CC2)c(F)c1 ZINC000263765347 526330018 /nfs/dbraw/zinc/33/00/18/526330018.db2.gz MACIOZDHFHQDLD-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2cccnc2)C2CC2)c(F)c1 ZINC000263765347 526330024 /nfs/dbraw/zinc/33/00/24/526330024.db2.gz MACIOZDHFHQDLD-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](C)c2cc(C)ccc2C)n1C1CC1 ZINC000340879217 526332442 /nfs/dbraw/zinc/33/24/42/526332442.db2.gz UDAORACJSCGUCT-AWEZNQCLSA-N 1 2 298.434 3.731 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](C)c2cc(C)ccc2C)n1C1CC1 ZINC000340879217 526332449 /nfs/dbraw/zinc/33/24/49/526332449.db2.gz UDAORACJSCGUCT-AWEZNQCLSA-N 1 2 298.434 3.731 20 0 CHADLO Cc1csc(C[NH2+]Cc2ccccc2Br)n1 ZINC000037988396 526358433 /nfs/dbraw/zinc/35/84/33/526358433.db2.gz YMIOHWOHBRQKBG-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO Cc1csc(C[NH2+][C@H](C)c2ccccc2OC(F)F)n1 ZINC000038090658 526361566 /nfs/dbraw/zinc/36/15/66/526361566.db2.gz RCZPWKUOKDKUOB-SNVBAGLBSA-N 1 2 298.358 3.904 20 0 CHADLO Fc1cccc(F)c1C1CC[NH+](Cc2cscn2)CC1 ZINC000363765527 526395131 /nfs/dbraw/zinc/39/51/31/526395131.db2.gz DXHWGOYYFQQTCK-UHFFFAOYSA-N 1 2 294.370 3.801 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2nccn2C(F)F)c1 ZINC000076847535 526397203 /nfs/dbraw/zinc/39/72/03/526397203.db2.gz RZOVUSLERZTZAO-ZDUSSCGKSA-N 1 2 295.308 3.754 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2nccn2C(F)F)c1 ZINC000076847535 526397207 /nfs/dbraw/zinc/39/72/07/526397207.db2.gz RZOVUSLERZTZAO-ZDUSSCGKSA-N 1 2 295.308 3.754 20 0 CHADLO c1ccc(C[N@@H+](Cc2noc(C3CC3)n2)CC2CCC2)cc1 ZINC000065161238 526468152 /nfs/dbraw/zinc/46/81/52/526468152.db2.gz OAKJWRQESSLQFD-UHFFFAOYSA-N 1 2 297.402 3.749 20 0 CHADLO c1ccc(C[N@H+](Cc2noc(C3CC3)n2)CC2CCC2)cc1 ZINC000065161238 526468155 /nfs/dbraw/zinc/46/81/55/526468155.db2.gz OAKJWRQESSLQFD-UHFFFAOYSA-N 1 2 297.402 3.749 20 0 CHADLO c1ccc([C@H]2OCCC[C@@H]2CNc2cccc[nH+]2)cc1 ZINC000299208906 526499870 /nfs/dbraw/zinc/49/98/70/526499870.db2.gz BJKISYDBALVJQP-NVXWUHKLSA-N 1 2 268.360 3.661 20 0 CHADLO Cc1[nH]ncc1C[NH+]1CCC(c2ccc(F)cc2C)CC1 ZINC000292772057 526546147 /nfs/dbraw/zinc/54/61/47/526546147.db2.gz KPAAQWVKMOLCKA-UHFFFAOYSA-N 1 2 287.382 3.545 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C(C)C)[C@H](C)c1ccccc1 ZINC000292747778 526546884 /nfs/dbraw/zinc/54/68/84/526546884.db2.gz IEIDJKCEVRQSBH-CQSZACIVSA-N 1 2 257.381 3.690 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C(C)C)[C@H](C)c1ccccc1 ZINC000292747778 526546889 /nfs/dbraw/zinc/54/68/89/526546889.db2.gz IEIDJKCEVRQSBH-CQSZACIVSA-N 1 2 257.381 3.690 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000130373867 526547372 /nfs/dbraw/zinc/54/73/72/526547372.db2.gz NWIKSRGFPLWLFS-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000130373867 526547376 /nfs/dbraw/zinc/54/73/76/526547376.db2.gz NWIKSRGFPLWLFS-LLVKDONJSA-N 1 2 263.772 3.565 20 0 CHADLO CC(C)(C)OCCCC[NH2+]c1ccc(-n2cccn2)cc1 ZINC000352541869 526551255 /nfs/dbraw/zinc/55/12/55/526551255.db2.gz FBHPDOWCMZZMHQ-UHFFFAOYSA-N 1 2 287.407 3.880 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1C[C@H](C(C)C)c2ccccc21 ZINC000278893684 526555882 /nfs/dbraw/zinc/55/58/82/526555882.db2.gz YVBQMFSKQHJPAR-SJORKVTESA-N 1 2 269.392 3.692 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C[C@H]3C=CCC3)c2)[nH+]c1C ZINC000363374012 526587190 /nfs/dbraw/zinc/58/71/90/526587190.db2.gz JBQFIBGMMKSTOQ-AWEZNQCLSA-N 1 2 295.386 3.988 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2cc(C)ccc2F)n1 ZINC000289800391 526666603 /nfs/dbraw/zinc/66/66/03/526666603.db2.gz MQRFFLSPWPXFQT-OAHLLOKOSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2cc(C)ccc2F)n1 ZINC000289800391 526666611 /nfs/dbraw/zinc/66/66/11/526666611.db2.gz MQRFFLSPWPXFQT-OAHLLOKOSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1nonc1C[NH2+][C@H](C)c1cc(Cl)ccc1Cl ZINC000275036276 526704142 /nfs/dbraw/zinc/70/41/42/526704142.db2.gz DVIUAYLVHMNMHG-SSDOTTSWSA-N 1 2 286.162 3.536 20 0 CHADLO Cc1nc(N2CCC[C@@H]2c2ccsc2)c(C)c(C)[nH+]1 ZINC000366145597 526706696 /nfs/dbraw/zinc/70/66/96/526706696.db2.gz VSGLRODHQSMCKR-CQSZACIVSA-N 1 2 273.405 3.805 20 0 CHADLO Cc1nc(C)c([C@@H](C)[N@@H+](C)Cc2ccnn2C(C)C)s1 ZINC000280280057 526742279 /nfs/dbraw/zinc/74/22/79/526742279.db2.gz OACZCPOWQQUZNA-GFCCVEGCSA-N 1 2 292.452 3.730 20 0 CHADLO Cc1nc(C)c([C@@H](C)[N@H+](C)Cc2ccnn2C(C)C)s1 ZINC000280280057 526742284 /nfs/dbraw/zinc/74/22/84/526742284.db2.gz OACZCPOWQQUZNA-GFCCVEGCSA-N 1 2 292.452 3.730 20 0 CHADLO Cc1nc(C[NH2+]Cc2c(F)cccc2Cl)cs1 ZINC000040443795 526889108 /nfs/dbraw/zinc/88/91/08/526889108.db2.gz GYNNONZADHLXOS-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO Cc1sccc1C[NH2+]Cc1cc(COC(C)(C)C)on1 ZINC000293291775 526915037 /nfs/dbraw/zinc/91/50/37/526915037.db2.gz OVJVYCDKKBSXKN-UHFFFAOYSA-N 1 2 294.420 3.649 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2SC1CCCC1 ZINC000301850446 526940186 /nfs/dbraw/zinc/94/01/86/526940186.db2.gz AFLMHXKWPQXZFG-UHFFFAOYSA-N 1 2 276.409 3.616 20 0 CHADLO Clc1ccc2c(c1)CC[C@@H]2[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367743043 526965570 /nfs/dbraw/zinc/96/55/70/526965570.db2.gz YNQSTIZTQSWRRE-CABCVRRESA-N 1 2 287.794 3.718 20 0 CHADLO Clc1ccc2c(c1)[C@@H]([NH2+]Cc1csnn1)CCS2 ZINC000037073482 526965591 /nfs/dbraw/zinc/96/55/91/526965591.db2.gz DGRNMWQRLVZBLX-NSHDSACASA-N 1 2 297.836 3.518 20 0 CHADLO Clc1ccc2c(c1)CC[C@H]2[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367743045 526965599 /nfs/dbraw/zinc/96/55/99/526965599.db2.gz YNQSTIZTQSWRRE-HUUCEWRRSA-N 1 2 287.794 3.718 20 0 CHADLO Clc1ccc2[nH+]c(CNc3ccccc3)cn2c1 ZINC000066696661 526969740 /nfs/dbraw/zinc/96/97/40/526969740.db2.gz UIWIPTRIJPRABW-UHFFFAOYSA-N 1 2 257.724 3.600 20 0 CHADLO Clc1ccc2sc(C[NH2+]Cc3ccco3)nc2c1 ZINC000340412548 526970931 /nfs/dbraw/zinc/97/09/31/526970931.db2.gz BOQCKKPPKWXNOL-UHFFFAOYSA-N 1 2 278.764 3.833 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccccc2Cl)cs1 ZINC000036914459 527033161 /nfs/dbraw/zinc/03/31/61/527033161.db2.gz VKZCDBSWOQKPLT-SECBINFHSA-N 1 2 266.797 3.956 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCC3)no1 ZINC000289380672 527034535 /nfs/dbraw/zinc/03/45/35/527034535.db2.gz POCKHSXXVYCQAX-VXGBXAGGSA-N 1 2 285.391 3.669 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccc(Cl)o2)cs1 ZINC000163939707 527063941 /nfs/dbraw/zinc/06/39/41/527063941.db2.gz ZTHYREDMUDIKTI-ZETCQYMHSA-N 1 2 256.758 3.549 20 0 CHADLO Cc1[nH]c(C(=O)N[C@@H](c2cccc(C)c2)C(C)(C)C)c[nH+]1 ZINC000339236897 527240064 /nfs/dbraw/zinc/24/00/64/527240064.db2.gz LAGKYBDDPNMQBL-HNNXBMFYSA-N 1 2 285.391 3.544 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)c1cc[nH]c1C1CC1 ZINC000339924949 527314030 /nfs/dbraw/zinc/31/40/30/527314030.db2.gz CMWVIWFKUQYOMM-UHFFFAOYSA-N 1 2 292.342 3.535 20 0 CHADLO Cc1nc(N2C[C@H](C)C[C@H]2c2cccc(F)c2)cc[nH+]1 ZINC000266206765 527347364 /nfs/dbraw/zinc/34/73/64/527347364.db2.gz FKXXLYQHXMKGLU-ABAIWWIYSA-N 1 2 271.339 3.512 20 0 CHADLO CC(C)C1CCC(C(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)CC1 ZINC000347934690 527628954 /nfs/dbraw/zinc/62/89/54/527628954.db2.gz KORCRUXFZPBPSM-YMAMQOFZSA-N 1 2 289.423 3.536 20 0 CHADLO FC(F)(F)CC[N@@H+](Cc1ncc(C2CC2)o1)CC1CC1 ZINC000340691476 527664606 /nfs/dbraw/zinc/66/46/06/527664606.db2.gz NJPZWLBKTWAFPM-UHFFFAOYSA-N 1 2 288.313 3.716 20 0 CHADLO FC(F)(F)CC[N@H+](Cc1ncc(C2CC2)o1)CC1CC1 ZINC000340691476 527664609 /nfs/dbraw/zinc/66/46/09/527664609.db2.gz NJPZWLBKTWAFPM-UHFFFAOYSA-N 1 2 288.313 3.716 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@H]2CCCSC2)c1 ZINC000340888569 527688476 /nfs/dbraw/zinc/68/84/76/527688476.db2.gz FPDJUGXHBONMFI-SECBINFHSA-N 1 2 276.327 3.656 20 0 CHADLO CC(C)CCc1nc(C[N@@H+]2CC[C@@](C)(F)C2)cs1 ZINC000347396701 527871200 /nfs/dbraw/zinc/87/12/00/527871200.db2.gz YQVYAIUMGOSLKK-CQSZACIVSA-N 1 2 270.417 3.666 20 0 CHADLO CC(C)CCc1nc(C[N@H+]2CC[C@@](C)(F)C2)cs1 ZINC000347396701 527871204 /nfs/dbraw/zinc/87/12/04/527871204.db2.gz YQVYAIUMGOSLKK-CQSZACIVSA-N 1 2 270.417 3.666 20 0 CHADLO CCCC1(CNC(=O)Nc2c(C)cc(C)[nH+]c2C)CC1 ZINC000331907288 528055378 /nfs/dbraw/zinc/05/53/78/528055378.db2.gz TXQVDJSEZNWIPA-UHFFFAOYSA-N 1 2 275.396 3.709 20 0 CHADLO CC1=C(C(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)CCC(C)(C)C1 ZINC000334662593 528070727 /nfs/dbraw/zinc/07/07/27/528070727.db2.gz JPWSSHQDWLYHJI-AWEZNQCLSA-N 1 2 287.407 3.600 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC(C)(C)[C@@H]2[C@@H]2CCCO2)cs1 ZINC000351958543 528277182 /nfs/dbraw/zinc/27/71/82/528277182.db2.gz NVKLLPGYQPXQGD-KBPBESRZSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC(C)(C)[C@@H]2[C@@H]2CCCO2)cs1 ZINC000351958543 528277190 /nfs/dbraw/zinc/27/71/90/528277190.db2.gz NVKLLPGYQPXQGD-KBPBESRZSA-N 1 2 294.464 3.656 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc(F)cc2Cl)s1 ZINC000344631738 528441713 /nfs/dbraw/zinc/44/17/13/528441713.db2.gz QNNBMLONHBPCOS-UHFFFAOYSA-N 1 2 299.802 3.744 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2CCC[C@@H]2c2ccco2)n1 ZINC000116297600 528507726 /nfs/dbraw/zinc/50/77/26/528507726.db2.gz BIDVFACFTIXRHI-VXGBXAGGSA-N 1 2 275.352 3.684 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2CCC[C@@H]2c2ccco2)n1 ZINC000116297600 528507730 /nfs/dbraw/zinc/50/77/30/528507730.db2.gz BIDVFACFTIXRHI-VXGBXAGGSA-N 1 2 275.352 3.684 20 0 CHADLO CC1(C)CCCC[C@H]1CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000335956669 528920256 /nfs/dbraw/zinc/92/02/56/528920256.db2.gz QLPWUVBSLUZSOF-KBPBESRZSA-N 1 2 289.423 3.680 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)ccc2F)[C@H]1c1ccncc1 ZINC000353696656 529123545 /nfs/dbraw/zinc/12/35/45/529123545.db2.gz AIBSLAXEOXFKKV-INIZCTEOSA-N 1 2 288.341 3.943 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)ccc2F)[C@H]1c1ccncc1 ZINC000353696656 529123547 /nfs/dbraw/zinc/12/35/47/529123547.db2.gz AIBSLAXEOXFKKV-INIZCTEOSA-N 1 2 288.341 3.943 20 0 CHADLO CC1(C)C[N@H+](Cc2scnc2C2CC2)[C@@H]1c1ccncc1 ZINC000353706579 529126133 /nfs/dbraw/zinc/12/61/33/529126133.db2.gz DEYDBUOYDMKWAR-MRXNPFEDSA-N 1 2 299.443 3.999 20 0 CHADLO CC1(C)C[N@@H+](Cc2scnc2C2CC2)[C@@H]1c1ccncc1 ZINC000353706579 529126135 /nfs/dbraw/zinc/12/61/35/529126135.db2.gz DEYDBUOYDMKWAR-MRXNPFEDSA-N 1 2 299.443 3.999 20 0 CHADLO CC[C@H](CC(=O)N[C@@H](CC)c1[nH]cc[nH+]1)c1ccccc1 ZINC000347945765 529305518 /nfs/dbraw/zinc/30/55/18/529305518.db2.gz DSUITZKUFNJXQI-HIFRSBDPSA-N 1 2 285.391 3.561 20 0 CHADLO CC[C@@H](C)CN(C)c1nc(-c2ccncc2)[nH+]c(C)c1C ZINC000301243351 529315059 /nfs/dbraw/zinc/31/50/59/529315059.db2.gz GWVNZQWIAMBFNM-GFCCVEGCSA-N 1 2 284.407 3.638 20 0 CHADLO COc1cc[nH+]cc1CSCc1nc(C2CC2)cs1 ZINC000342000494 537121051 /nfs/dbraw/zinc/12/10/51/537121051.db2.gz HEQICCQGMRKKOI-UHFFFAOYSA-N 1 2 292.429 3.858 20 0 CHADLO Clc1cccc2c1OCCC[C@H]2[NH2+]Cc1cscn1 ZINC000127308497 1125460772 /nfs/dbraw/zinc/46/07/72/1125460772.db2.gz AGIFPKHAUYAMRA-CYBMUJFWSA-N 1 2 294.807 3.800 20 0 CHADLO COc1ccccc1C1([NH2+]C/C(Cl)=C/Cl)CC1 ZINC000829702619 1131430179 /nfs/dbraw/zinc/43/01/79/1131430179.db2.gz VCEACMDQUWLUCX-NTMALXAHSA-N 1 2 272.175 3.593 20 0 CHADLO O=C(CCc1ccsc1)Nc1ccccc1-n1cc[nH+]c1 ZINC000045860211 1125463028 /nfs/dbraw/zinc/46/30/28/1125463028.db2.gz KTBNBWOEESVMJD-UHFFFAOYSA-N 1 2 297.383 3.505 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCCCC23CC3)c1F ZINC001137932215 1131438179 /nfs/dbraw/zinc/43/81/79/1131438179.db2.gz NSIJHXRNZKRXRQ-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO CC[C@H](CSc1ccc(Cl)cc1)[NH2+]Cc1ccn[nH]1 ZINC000177958436 1117882599 /nfs/dbraw/zinc/88/25/99/1117882599.db2.gz HCVUWYPWSWQUFL-GFCCVEGCSA-N 1 2 295.839 3.724 20 0 CHADLO CCc1nc2c(s1)[C@H]([NH2+]CC(F)(F)CC)CCC2 ZINC000624147902 1117989223 /nfs/dbraw/zinc/98/92/23/1117989223.db2.gz OKMRGZGDOAXKLT-SECBINFHSA-N 1 2 274.380 3.718 20 0 CHADLO Cc1ccc2c(Nc3ccc(C(N)=O)c(F)c3)cccc2[nH+]1 ZINC001212798253 1125485247 /nfs/dbraw/zinc/48/52/47/1125485247.db2.gz BSUXDIYNZOMFOJ-UHFFFAOYSA-N 1 2 295.317 3.525 20 0 CHADLO CNc1ccc(Nc2c(F)ccc(Cl)c2F)c[nH+]1 ZINC001203459016 1118234497 /nfs/dbraw/zinc/23/44/97/1118234497.db2.gz WASHQOSLLFTXAW-UHFFFAOYSA-N 1 2 269.682 3.799 20 0 CHADLO Cc1cnc(C[NH2+]C(C)(C)c2ccc(Cl)cc2)o1 ZINC000072603257 1118374570 /nfs/dbraw/zinc/37/45/70/1118374570.db2.gz JEQLRWPHDLLHDI-UHFFFAOYSA-N 1 2 264.756 3.661 20 0 CHADLO Cc1cc(C)c(Nc2ccc(O)cc2Br)c[nH+]1 ZINC001213518488 1118517672 /nfs/dbraw/zinc/51/76/72/1118517672.db2.gz QUEWCCQGZWBLIF-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+](Cc2c(Cl)cccc2Cl)C1 ZINC000111690200 1118580433 /nfs/dbraw/zinc/58/04/33/1118580433.db2.gz NJVHDFPSVMKZPI-LLVKDONJSA-N 1 2 286.202 3.794 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+](Cc2c(Cl)cccc2Cl)C1 ZINC000111690200 1118580436 /nfs/dbraw/zinc/58/04/36/1118580436.db2.gz NJVHDFPSVMKZPI-LLVKDONJSA-N 1 2 286.202 3.794 20 0 CHADLO Cc1cc(N(C)[C@@H]2CCC[C@@H](C)C2)nc(C2CC2)[nH+]1 ZINC000341498007 1118717354 /nfs/dbraw/zinc/71/73/54/1118717354.db2.gz KIHYTEYJCVTWEM-BXUZGUMPSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2cccc(OC(C)C)c2)o1 ZINC000112528284 1125486776 /nfs/dbraw/zinc/48/67/76/1125486776.db2.gz SKNBLQLYDFXXGT-VXGBXAGGSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCCC[C@H]2C(F)(F)F)cc[nH+]1 ZINC000112298405 1125486553 /nfs/dbraw/zinc/48/65/53/1125486553.db2.gz QHZRRUSIENPURC-VXGBXAGGSA-N 1 2 286.297 3.697 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000112523219 1125486770 /nfs/dbraw/zinc/48/67/70/1125486770.db2.gz VEULAWRDJRLQPN-DTWKUNHWSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1[nH]c(CNc2ccc(Br)cc2C)[nH+]c1C ZINC000657428053 1119077899 /nfs/dbraw/zinc/07/78/99/1119077899.db2.gz WSNDQNPISXPNAD-UHFFFAOYSA-N 1 2 294.196 3.710 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(CC)cc2)cs1 ZINC000042623621 1119262186 /nfs/dbraw/zinc/26/21/86/1119262186.db2.gz GEAUWBJWXKLKCT-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(CC)cc2)cs1 ZINC000042623621 1119262188 /nfs/dbraw/zinc/26/21/88/1119262188.db2.gz GEAUWBJWXKLKCT-UHFFFAOYSA-N 1 2 274.433 3.900 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2csc(C3CC3)n2)o1 ZINC000113314187 1125494593 /nfs/dbraw/zinc/49/45/93/1125494593.db2.gz MPOBVMGWLSKHDZ-SNVBAGLBSA-N 1 2 262.378 3.773 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2cccc(Cl)c2)n1 ZINC000114745877 1125499160 /nfs/dbraw/zinc/49/91/60/1125499160.db2.gz PBYBMJAAOUSKPW-ZJUUUORDSA-N 1 2 279.771 3.697 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2cscn2)c(F)c1 ZINC000575430654 1119772552 /nfs/dbraw/zinc/77/25/52/1119772552.db2.gz FQTFHTVCUNBYKD-VHSXEESVSA-N 1 2 280.368 3.703 20 0 CHADLO CCCC[C@H](C(=O)OC)[N@H+](C)Cc1ccc(Cl)cc1 ZINC000168673027 1120095090 /nfs/dbraw/zinc/09/50/90/1120095090.db2.gz ZCLNRPGSEBYJMN-CQSZACIVSA-N 1 2 283.799 3.504 20 0 CHADLO CCCC[C@H](C(=O)OC)[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000168673027 1120095096 /nfs/dbraw/zinc/09/50/96/1120095096.db2.gz ZCLNRPGSEBYJMN-CQSZACIVSA-N 1 2 283.799 3.504 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccc(F)cc2F)c(Cl)n1 ZINC001179458575 1121882164 /nfs/dbraw/zinc/88/21/64/1121882164.db2.gz WGSOZYPXTVRAFJ-UHFFFAOYSA-N 1 2 282.721 3.611 20 0 CHADLO C[C@@H]1C[NH+](Cc2c(F)ccc(F)c2Cl)C[C@@H](C)S1 ZINC001180024638 1121939489 /nfs/dbraw/zinc/93/94/89/1121939489.db2.gz OWKTYYUBNKSJNF-RKDXNWHRSA-N 1 2 291.794 3.944 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCC[C@H](CC(F)(F)F)C2)n1 ZINC000420954130 1122048560 /nfs/dbraw/zinc/04/85/60/1122048560.db2.gz UKFDUIRFSFCYQK-GFCCVEGCSA-N 1 2 289.345 3.628 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCC[C@H](CC(F)(F)F)C2)n1 ZINC000420954130 1122048569 /nfs/dbraw/zinc/04/85/69/1122048569.db2.gz UKFDUIRFSFCYQK-GFCCVEGCSA-N 1 2 289.345 3.628 20 0 CHADLO CCC[N@@H+](CCCc1ccccc1OC)CC(F)F ZINC000505591197 1122429110 /nfs/dbraw/zinc/42/91/10/1122429110.db2.gz LXVMIJRWRHKXJU-UHFFFAOYSA-N 1 2 271.351 3.605 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncc(C(F)(F)F)s2)C(C)(C)C1 ZINC000435126848 1122436084 /nfs/dbraw/zinc/43/60/84/1122436084.db2.gz RPWHNKXLZLYCAV-MRVPVSSYSA-N 1 2 278.343 3.782 20 0 CHADLO CN(c1ccc(CC(F)F)c[nH+]1)C1CCCCC1 ZINC001250391557 1122553035 /nfs/dbraw/zinc/55/30/35/1122553035.db2.gz GBOYRIKQUUCRLP-UHFFFAOYSA-N 1 2 254.324 3.658 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(SC)s1)c1ccc(F)cc1 ZINC000437080395 1122609771 /nfs/dbraw/zinc/60/97/71/1122609771.db2.gz VWABFUZHOHKIST-LLVKDONJSA-N 1 2 297.424 3.640 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CCC[N@@H+]1Cc1ccc(Cl)nc1 ZINC000775866205 1122996019 /nfs/dbraw/zinc/99/60/19/1122996019.db2.gz BQNNXTXYMVMEEJ-GXSJLCMTSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CCC[N@H+]1Cc1ccc(Cl)nc1 ZINC000775866205 1122996021 /nfs/dbraw/zinc/99/60/21/1122996021.db2.gz BQNNXTXYMVMEEJ-GXSJLCMTSA-N 1 2 292.732 3.898 20 0 CHADLO Nc1ccc(Nc2cc(Cl)c(Cl)cc2F)c[nH+]1 ZINC001201292580 1123083526 /nfs/dbraw/zinc/08/35/26/1123083526.db2.gz QAMWGSAVAQPSLK-UHFFFAOYSA-N 1 2 272.110 3.853 20 0 CHADLO CC[C@@H](F)CSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000442545598 1123326876 /nfs/dbraw/zinc/32/68/76/1123326876.db2.gz PWBUUBHBMYKQAV-CYBMUJFWSA-N 1 2 264.369 3.854 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](C)c2csnn2)cc1C ZINC000398468241 1123406569 /nfs/dbraw/zinc/40/65/69/1123406569.db2.gz MGGBHLSHJQUUSW-RYUDHWBXSA-N 1 2 261.394 3.567 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+](C)Cc1cn(C)nc1Cl ZINC000857012670 1123578238 /nfs/dbraw/zinc/57/82/38/1123578238.db2.gz PZYILJCWFVDKOZ-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cn(C)nc1Cl ZINC000857012670 1123578242 /nfs/dbraw/zinc/57/82/42/1123578242.db2.gz PZYILJCWFVDKOZ-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(OC(F)(F)F)cc1)C(F)F ZINC000449358749 1124710528 /nfs/dbraw/zinc/71/05/28/1124710528.db2.gz GIEUTOSDRIMQLX-SNVBAGLBSA-N 1 2 283.240 3.719 20 0 CHADLO Fc1cc(Br)cnc1C[N@@H+]1CCCC12CCC2 ZINC000529553930 1124997738 /nfs/dbraw/zinc/99/77/38/1124997738.db2.gz JYKYMMJKCZYMJX-UHFFFAOYSA-N 1 2 299.187 3.502 20 0 CHADLO Fc1cc(Br)cnc1C[N@H+]1CCCC12CCC2 ZINC000529553930 1124997743 /nfs/dbraw/zinc/99/77/43/1124997743.db2.gz JYKYMMJKCZYMJX-UHFFFAOYSA-N 1 2 299.187 3.502 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1ccncc1Cl ZINC000880377533 1125269992 /nfs/dbraw/zinc/26/99/92/1125269992.db2.gz YHKUROGBJNTELH-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1ccncc1Cl ZINC000880377533 1125269996 /nfs/dbraw/zinc/26/99/96/1125269996.db2.gz YHKUROGBJNTELH-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO CCOC1CC[NH+](Cc2ccc(F)c(Cl)c2F)CC1 ZINC001143626288 1131521085 /nfs/dbraw/zinc/52/10/85/1131521085.db2.gz PAKFSDCKNSLBLT-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CCCCc1ccc(C[N@@H+](C)Cc2ccon2)s1 ZINC001138754869 1131547319 /nfs/dbraw/zinc/54/73/19/1131547319.db2.gz JZISPMCNEZBJAK-UHFFFAOYSA-N 1 2 264.394 3.711 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)cc(Cl)cc2F)CCCO1 ZINC001139051547 1131576295 /nfs/dbraw/zinc/57/62/95/1131576295.db2.gz SLNPJAYVWYHSLM-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(F)cc(O)cc2F)CCC1(F)F ZINC001144542213 1131653182 /nfs/dbraw/zinc/65/31/82/1131653182.db2.gz STXDHLYSVFYJFE-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(F)cc(O)cc2F)CCC1(F)F ZINC001144542213 1131653187 /nfs/dbraw/zinc/65/31/87/1131653187.db2.gz STXDHLYSVFYJFE-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO C[N@H+](Cc1ccncc1O)Cc1cccc(Cl)c1Cl ZINC001140277075 1131687479 /nfs/dbraw/zinc/68/74/79/1131687479.db2.gz SPGBPXZMIKJUBG-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1ccncc1O)Cc1cccc(Cl)c1Cl ZINC001140277075 1131687483 /nfs/dbraw/zinc/68/74/83/1131687483.db2.gz SPGBPXZMIKJUBG-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1cnsn1)C(C)(C)C ZINC000846845812 1131751024 /nfs/dbraw/zinc/75/10/24/1131751024.db2.gz NGNYLCRCVPCTPP-AWEZNQCLSA-N 1 2 275.421 3.724 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3occc3C2)c(Cl)c1 ZINC001140800400 1131923888 /nfs/dbraw/zinc/92/38/88/1131923888.db2.gz FYEBLSOPDYCETG-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO CC(C)CC[N@@H+]1CCO[C@@H](c2c(F)cccc2Cl)C1 ZINC001171764814 1132093002 /nfs/dbraw/zinc/09/30/02/1132093002.db2.gz LVQKLSJFAFYFGH-CQSZACIVSA-N 1 2 285.790 3.899 20 0 CHADLO CC(C)CC[N@H+]1CCO[C@@H](c2c(F)cccc2Cl)C1 ZINC001171764814 1132093008 /nfs/dbraw/zinc/09/30/08/1132093008.db2.gz LVQKLSJFAFYFGH-CQSZACIVSA-N 1 2 285.790 3.899 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@@H+]1CC[C@@H](CF)C(F)(F)C1 ZINC001172341503 1132178370 /nfs/dbraw/zinc/17/83/70/1132178370.db2.gz UPNFTSLWQJIKDL-AGIUHOORSA-N 1 2 281.362 3.507 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@H+]1CC[C@@H](CF)C(F)(F)C1 ZINC001172341503 1132178374 /nfs/dbraw/zinc/17/83/74/1132178374.db2.gz UPNFTSLWQJIKDL-AGIUHOORSA-N 1 2 281.362 3.507 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCC[C@@](C)(F)C2)cc1F ZINC001232419183 1132467816 /nfs/dbraw/zinc/46/78/16/1132467816.db2.gz CXFORSNSTAQOGH-CQSZACIVSA-N 1 2 257.299 3.597 20 0 CHADLO Oc1cnc(Cl)cc1C[N@H+]1CCCC2(CCCC2)C1 ZINC001233032577 1132564730 /nfs/dbraw/zinc/56/47/30/1132564730.db2.gz LUMSYZMLFYNLDO-UHFFFAOYSA-N 1 2 280.799 3.597 20 0 CHADLO COC1(C)CC[NH+](Cc2ccc(Cl)c(F)c2F)CC1 ZINC001233206712 1132581230 /nfs/dbraw/zinc/58/12/30/1132581230.db2.gz CJCPDZYBNPVZJO-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233207726 1132580028 /nfs/dbraw/zinc/58/00/28/1132580028.db2.gz OSSNKRKXLMRYEX-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233207726 1132580030 /nfs/dbraw/zinc/58/00/30/1132580030.db2.gz OSSNKRKXLMRYEX-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO Cc1ccc(Cl)cc1C[N@@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001233248422 1132589341 /nfs/dbraw/zinc/58/93/41/1132589341.db2.gz KQHZCPYRVLPMPM-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(Cl)cc1C[N@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001233248422 1132589348 /nfs/dbraw/zinc/58/93/48/1132589348.db2.gz KQHZCPYRVLPMPM-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO CSc1cc(Nc2ccc(F)cc2F)cc[nH+]1 ZINC001173926789 1132628109 /nfs/dbraw/zinc/62/81/09/1132628109.db2.gz VBWIWSMJKXQFGN-UHFFFAOYSA-N 1 2 252.289 3.825 20 0 CHADLO CC[C@H](C)CN(CC)c1nc(C)[nH+]c2c1CCCC2 ZINC001162680575 1133104104 /nfs/dbraw/zinc/10/41/04/1133104104.db2.gz LBZZSBHGBGMWJA-LBPRGKRZSA-N 1 2 261.413 3.536 20 0 CHADLO CS[C@H](C)CC[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001208147429 1133886909 /nfs/dbraw/zinc/88/69/09/1133886909.db2.gz HWXVXVZQGHIUTO-DOMZBBRYSA-N 1 2 299.867 3.855 20 0 CHADLO CS[C@H](C)CC[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001208147429 1133886915 /nfs/dbraw/zinc/88/69/15/1133886915.db2.gz HWXVXVZQGHIUTO-DOMZBBRYSA-N 1 2 299.867 3.855 20 0 CHADLO C[C@]1(F)CC[N@H+](CCc2ccc(F)cc2Cl)C[C@H]1F ZINC001209109908 1133976140 /nfs/dbraw/zinc/97/61/40/1133976140.db2.gz ZFGPJSADUUBJDP-KGLIPLIRSA-N 1 2 291.744 3.794 20 0 CHADLO C[C@]1(F)CC[N@@H+](CCc2ccc(F)cc2Cl)C[C@H]1F ZINC001209109908 1133976143 /nfs/dbraw/zinc/97/61/43/1133976143.db2.gz ZFGPJSADUUBJDP-KGLIPLIRSA-N 1 2 291.744 3.794 20 0 CHADLO CSc1ccc(Nc2c[nH+]c(C)cc2C)c(C)n1 ZINC001215908655 1134716136 /nfs/dbraw/zinc/71/61/36/1134716136.db2.gz YCSKIIAKZPJOFX-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO CCCC[C@H](CC)[C@@H](CC)OCCn1cc[nH+]c1 ZINC001222139953 1135198233 /nfs/dbraw/zinc/19/82/33/1135198233.db2.gz ZEBLFEODJXMZOX-LSDHHAIUSA-N 1 2 252.402 3.895 20 0 CHADLO C[N@H+](CCSc1ccccc1)Cc1nccn1C(F)F ZINC000118000391 1125519846 /nfs/dbraw/zinc/51/98/46/1125519846.db2.gz UVWFLQANGHCNDE-UHFFFAOYSA-N 1 2 297.374 3.502 20 0 CHADLO C[N@@H+](CCSc1ccccc1)Cc1nccn1C(F)F ZINC000118000391 1125519848 /nfs/dbraw/zinc/51/98/48/1125519848.db2.gz UVWFLQANGHCNDE-UHFFFAOYSA-N 1 2 297.374 3.502 20 0 CHADLO COc1ccnc([C@@H](C)[NH2+]Cc2nc(C(C)C)cs2)c1 ZINC000925283642 1125546219 /nfs/dbraw/zinc/54/62/19/1125546219.db2.gz SPWAMPCQDUDQQH-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cccc(O)c2)c(Cl)c1 ZINC000532934351 1125590476 /nfs/dbraw/zinc/59/04/76/1125590476.db2.gz GUXFGCFXQXAVCA-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cccc(O)c2)c(Cl)c1 ZINC000532934351 1125590482 /nfs/dbraw/zinc/59/04/82/1125590482.db2.gz GUXFGCFXQXAVCA-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO Cc1[nH]nc(CNc2ccc([NH+]3CCCCC3)cc2)c1C ZINC000885931375 1125626903 /nfs/dbraw/zinc/62/69/03/1125626903.db2.gz SRHIGUAMYNQUTQ-UHFFFAOYSA-N 1 2 284.407 3.629 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(Cl)s2)[C@H]1c1ccccc1 ZINC000246413040 1125632028 /nfs/dbraw/zinc/63/20/28/1125632028.db2.gz BIGMOXJAPZCDPD-ZWNOBZJWSA-N 1 2 278.808 3.990 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(Cl)s2)[C@H]1c1ccccc1 ZINC000246413040 1125632031 /nfs/dbraw/zinc/63/20/31/1125632031.db2.gz BIGMOXJAPZCDPD-ZWNOBZJWSA-N 1 2 278.808 3.990 20 0 CHADLO CCC(CC)CN(CC)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000072009488 1125633298 /nfs/dbraw/zinc/63/32/98/1125633298.db2.gz UATLLPUNFDIWPB-UHFFFAOYSA-N 1 2 299.418 3.771 20 0 CHADLO CC(C)c1ccc([C@H](NC(=O)Cn2cc[nH+]c2)C(C)C)cc1 ZINC000073172350 1125637769 /nfs/dbraw/zinc/63/77/69/1125637769.db2.gz PZQMIROYNCWVOH-GOSISDBHSA-N 1 2 299.418 3.520 20 0 CHADLO Cc1coc(C[NH2+][C@H]2CCCc3cn(C(C)C)nc32)c1 ZINC000886059923 1125642382 /nfs/dbraw/zinc/64/23/82/1125642382.db2.gz ORJXDKGLRRVEKB-HNNXBMFYSA-N 1 2 273.380 3.533 20 0 CHADLO Cc1coc(C[NH2+][C@H]2CCc3cc(F)c(F)c(F)c32)c1 ZINC000886136251 1125655519 /nfs/dbraw/zinc/65/55/19/1125655519.db2.gz JPCXMFHZAIYLQX-LBPRGKRZSA-N 1 2 281.277 3.782 20 0 CHADLO Clc1ccc(CNc2c[nH+]cc3c2CCCC3)nc1 ZINC000886155527 1125657796 /nfs/dbraw/zinc/65/77/96/1125657796.db2.gz HWRZKTGOSVINAH-UHFFFAOYSA-N 1 2 273.767 3.621 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(F)(F)F)s1)c1ncco1 ZINC000886272545 1125665173 /nfs/dbraw/zinc/66/51/73/1125665173.db2.gz TWXAHVQLSMJBES-SSDOTTSWSA-N 1 2 276.283 3.606 20 0 CHADLO CC(C)COc1cccc(C[NH2+][C@H](C)c2ncco2)c1 ZINC000886274191 1125665476 /nfs/dbraw/zinc/66/54/76/1125665476.db2.gz NZFGTSSJALYHEH-CYBMUJFWSA-N 1 2 274.364 3.560 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](C)OC[C@H]2c2ccccc2)c(C)n1 ZINC000248585299 1125670075 /nfs/dbraw/zinc/67/00/75/1125670075.db2.gz XLCCUNIFYZRELW-KXBFYZLASA-N 1 2 296.414 3.660 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](C)OC[C@H]2c2ccccc2)c(C)n1 ZINC000248585299 1125670078 /nfs/dbraw/zinc/67/00/78/1125670078.db2.gz XLCCUNIFYZRELW-KXBFYZLASA-N 1 2 296.414 3.660 20 0 CHADLO CCC[C@]1(C)CCC[N@H+](Cc2noc(C(C)C)n2)C1 ZINC000076145770 1125670436 /nfs/dbraw/zinc/67/04/36/1125670436.db2.gz PQCLVISASUNYMX-OAHLLOKOSA-N 1 2 265.401 3.595 20 0 CHADLO CCC[C@]1(C)CCC[N@@H+](Cc2noc(C(C)C)n2)C1 ZINC000076145770 1125670437 /nfs/dbraw/zinc/67/04/37/1125670437.db2.gz PQCLVISASUNYMX-OAHLLOKOSA-N 1 2 265.401 3.595 20 0 CHADLO C[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(Cl)cc1 ZINC000393295209 1125686071 /nfs/dbraw/zinc/68/60/71/1125686071.db2.gz CFNZJWKKOQGGAF-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO Cc1csc(C2([NH2+][C@H]3CCc4cccnc43)CCC2)n1 ZINC000886570526 1125691266 /nfs/dbraw/zinc/69/12/66/1125691266.db2.gz NSVRCCMAPZEOIH-ZDUSSCGKSA-N 1 2 285.416 3.503 20 0 CHADLO CO[C@H]1CCC[C@H]([NH2+][C@@H](C(C)C)C(F)(F)F)CC1 ZINC000886597018 1125695851 /nfs/dbraw/zinc/69/58/51/1125695851.db2.gz UFJRPYLVSORXCT-SRVKXCTJSA-N 1 2 267.335 3.511 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)ccc1F)c1nccs1 ZINC000078004285 1125703499 /nfs/dbraw/zinc/70/34/99/1125703499.db2.gz FXCDRMDJBUARNB-LBPRGKRZSA-N 1 2 268.332 3.662 20 0 CHADLO CCCCCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000161767491 1125712442 /nfs/dbraw/zinc/71/24/42/1125712442.db2.gz CTQYNPAVPGBHNK-UHFFFAOYSA-N 1 2 257.337 3.596 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cccc(OC(C)(C)C)c1 ZINC001203144668 1125729020 /nfs/dbraw/zinc/72/90/20/1125729020.db2.gz RQOWFMHKVPNNBA-UHFFFAOYSA-N 1 2 271.351 3.999 20 0 CHADLO C[N@H+](Cc1cnccc1Cl)Cc1cccc2cccnc21 ZINC001137236367 1125729384 /nfs/dbraw/zinc/72/93/84/1125729384.db2.gz BTPNDTLIGFWGBB-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@@H+](Cc1cnccc1Cl)Cc1cccc2cccnc21 ZINC001137236367 1125729390 /nfs/dbraw/zinc/72/93/90/1125729390.db2.gz BTPNDTLIGFWGBB-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)c2cscn2)cc1F ZINC000162329579 1125748951 /nfs/dbraw/zinc/74/89/51/1125748951.db2.gz NVSYMMUKUWBPRH-NXEZZACHSA-N 1 2 280.368 3.703 20 0 CHADLO Cc1ccc(OC(F)F)c(C[NH2+][C@H](C)c2nccs2)c1 ZINC000091735824 1125751743 /nfs/dbraw/zinc/75/17/43/1125751743.db2.gz BIMBUEFQRBJNOF-SNVBAGLBSA-N 1 2 298.358 3.904 20 0 CHADLO CCOc1ccc(C2=CC[N@H+](Cc3cc(C)on3)CC2)cc1 ZINC000091810659 1125754670 /nfs/dbraw/zinc/75/46/70/1125754670.db2.gz MMHQQZNODXDVKQ-UHFFFAOYSA-N 1 2 298.386 3.671 20 0 CHADLO CCOc1ccc(C2=CC[N@@H+](Cc3cc(C)on3)CC2)cc1 ZINC000091810659 1125754673 /nfs/dbraw/zinc/75/46/73/1125754673.db2.gz MMHQQZNODXDVKQ-UHFFFAOYSA-N 1 2 298.386 3.671 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1cc(F)ccc1F ZINC000091988761 1125755722 /nfs/dbraw/zinc/75/57/22/1125755722.db2.gz RCSKUVARZQKHLA-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1cc(F)ccc1F ZINC000091988761 1125755724 /nfs/dbraw/zinc/75/57/24/1125755724.db2.gz RCSKUVARZQKHLA-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO c1ncc(C[N@@H+]2CCCC[C@H]2c2cc3ccccc3[nH]2)[nH]1 ZINC000093105485 1125764330 /nfs/dbraw/zinc/76/43/30/1125764330.db2.gz VEGZLJZWMQCHGC-KRWDZBQOSA-N 1 2 280.375 3.618 20 0 CHADLO c1ncc(C[N@H+]2CCCC[C@H]2c2cc3ccccc3[nH]2)[nH]1 ZINC000093105485 1125764336 /nfs/dbraw/zinc/76/43/36/1125764336.db2.gz VEGZLJZWMQCHGC-KRWDZBQOSA-N 1 2 280.375 3.618 20 0 CHADLO c1nc(C[N@@H+]2CCCC[C@H]2c2cc3ccccc3[nH]2)c[nH]1 ZINC000093105485 1125764340 /nfs/dbraw/zinc/76/43/40/1125764340.db2.gz VEGZLJZWMQCHGC-KRWDZBQOSA-N 1 2 280.375 3.618 20 0 CHADLO c1nc(C[N@H+]2CCCC[C@H]2c2cc3ccccc3[nH]2)c[nH]1 ZINC000093105485 1125764345 /nfs/dbraw/zinc/76/43/45/1125764345.db2.gz VEGZLJZWMQCHGC-KRWDZBQOSA-N 1 2 280.375 3.618 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1nc2c(s1)CCCC2 ZINC000093335996 1125767647 /nfs/dbraw/zinc/76/76/47/1125767647.db2.gz CPVGSIZYQQVFAL-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1nc2c(s1)CCCC2 ZINC000093335996 1125767654 /nfs/dbraw/zinc/76/76/54/1125767654.db2.gz CPVGSIZYQQVFAL-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2sccc2C)o1 ZINC000093459820 1125769010 /nfs/dbraw/zinc/76/90/10/1125769010.db2.gz QYVIPBCZTZTYHL-ZDUSSCGKSA-N 1 2 277.389 3.531 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2sccc2C)o1 ZINC000093459820 1125769015 /nfs/dbraw/zinc/76/90/15/1125769015.db2.gz QYVIPBCZTZTYHL-ZDUSSCGKSA-N 1 2 277.389 3.531 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2cnc[nH]2)o1 ZINC000093871154 1125773862 /nfs/dbraw/zinc/77/38/62/1125773862.db2.gz KBIOZOSZTSRWKS-DOMZBBRYSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2cnc[nH]2)o1 ZINC000093871154 1125773866 /nfs/dbraw/zinc/77/38/66/1125773866.db2.gz KBIOZOSZTSRWKS-DOMZBBRYSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2c[nH]cn2)o1 ZINC000093871154 1125773872 /nfs/dbraw/zinc/77/38/72/1125773872.db2.gz KBIOZOSZTSRWKS-DOMZBBRYSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2c[nH]cn2)o1 ZINC000093871154 1125773879 /nfs/dbraw/zinc/77/38/79/1125773879.db2.gz KBIOZOSZTSRWKS-DOMZBBRYSA-N 1 2 273.380 3.538 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnccc2Cl)cc1C ZINC001137239530 1125774402 /nfs/dbraw/zinc/77/44/02/1125774402.db2.gz ZLXWCGXHMCYPDI-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnccc2Cl)cc1C ZINC001137239530 1125774407 /nfs/dbraw/zinc/77/44/07/1125774407.db2.gz ZLXWCGXHMCYPDI-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO CC1=CC[N@H+](Cc2cc(Br)ccc2F)CC1 ZINC000533762509 1125779472 /nfs/dbraw/zinc/77/94/72/1125779472.db2.gz YDGFQAWJJRMHAL-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CC[N@@H+](Cc2cc(Br)ccc2F)CC1 ZINC000533762509 1125779480 /nfs/dbraw/zinc/77/94/80/1125779480.db2.gz YDGFQAWJJRMHAL-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO c1cn(CCSCc2csc(C3CCCC3)n2)c[nH+]1 ZINC000122385777 1125787012 /nfs/dbraw/zinc/78/70/12/1125787012.db2.gz QJTGGEUZNIFFDQ-UHFFFAOYSA-N 1 2 293.461 3.931 20 0 CHADLO Cc1cc(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)ccc1F ZINC000635495724 1129183323 /nfs/dbraw/zinc/18/33/23/1129183323.db2.gz MIQKYRJLBMVPCD-HNNXBMFYSA-N 1 2 289.354 3.557 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)cs2)CC2(CCC2)O1 ZINC000648074318 1129597475 /nfs/dbraw/zinc/59/74/75/1129597475.db2.gz PPJFFKXPLNYTGD-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)cs2)CC2(CCC2)O1 ZINC000648074318 1129597478 /nfs/dbraw/zinc/59/74/78/1129597478.db2.gz PPJFFKXPLNYTGD-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)nc1Cl)c1ccccn1 ZINC000801540100 1129925607 /nfs/dbraw/zinc/92/56/07/1129925607.db2.gz UBSCPMPRAVGTBP-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO CCc1ccc(C[N@H+](Cc2cc(C(C)=O)no2)C2CC2)cc1 ZINC000555880690 1126708498 /nfs/dbraw/zinc/70/84/98/1126708498.db2.gz UQMLWHGEMAXWCL-UHFFFAOYSA-N 1 2 298.386 3.604 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2cc(C(C)=O)no2)C2CC2)cc1 ZINC000555880690 1126708501 /nfs/dbraw/zinc/70/85/01/1126708501.db2.gz UQMLWHGEMAXWCL-UHFFFAOYSA-N 1 2 298.386 3.604 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2cc(Cl)ccc2C)c[nH+]1 ZINC000899936914 1126715400 /nfs/dbraw/zinc/71/54/00/1126715400.db2.gz JRZZODVNWDWFJQ-UHFFFAOYSA-N 1 2 291.782 3.527 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2F)[N@H+](Cc2nccs2)C1 ZINC000135104544 1126712816 /nfs/dbraw/zinc/71/28/16/1126712816.db2.gz YERPPCYXHRTXRQ-RISCZKNCSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2F)[N@@H+](Cc2nccs2)C1 ZINC000135104544 1126712817 /nfs/dbraw/zinc/71/28/17/1126712817.db2.gz YERPPCYXHRTXRQ-RISCZKNCSA-N 1 2 276.380 3.865 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(F)c(C)c2)s1 ZINC000338274007 1126729503 /nfs/dbraw/zinc/72/95/03/1126729503.db2.gz IKADNSKQYZJJDF-ZJUUUORDSA-N 1 2 279.384 3.706 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](c2nc(C)cs2)C2CC2)o1 ZINC000150842729 1126745870 /nfs/dbraw/zinc/74/58/70/1126745870.db2.gz INAMAGHIOSPCND-CQSZACIVSA-N 1 2 276.405 3.848 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cccc(F)c1F)c1nccs1 ZINC000152604103 1126757438 /nfs/dbraw/zinc/75/74/38/1126757438.db2.gz DBIITCRUZIOPGN-CQSZACIVSA-N 1 2 282.359 3.836 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C)cs1)c1nc(C)cs1 ZINC000174503372 1126770628 /nfs/dbraw/zinc/77/06/28/1126770628.db2.gz GTOCMECGKPBNJR-ZDUSSCGKSA-N 1 2 281.450 3.631 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nc2ccccc2[nH]1 ZINC000171082791 1126765587 /nfs/dbraw/zinc/76/55/87/1126765587.db2.gz SBHGIAPPOXTNJU-UHFFFAOYSA-N 1 2 271.389 3.647 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nc2ccccc2[nH]1 ZINC000171082791 1126765590 /nfs/dbraw/zinc/76/55/90/1126765590.db2.gz SBHGIAPPOXTNJU-UHFFFAOYSA-N 1 2 271.389 3.647 20 0 CHADLO CC(C)CC[C@@H](NC(=O)[C@H](C)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC000568199030 1126772720 /nfs/dbraw/zinc/77/27/20/1126772720.db2.gz OFFIWULMXUSXGZ-UKRRQHHQSA-N 1 2 293.455 3.555 20 0 CHADLO CC(C)CC[C@@H](NC(=O)[C@H](C)Cc1c[nH+]c[nH]1)C(C)(C)C ZINC000568199030 1126772723 /nfs/dbraw/zinc/77/27/23/1126772723.db2.gz OFFIWULMXUSXGZ-UKRRQHHQSA-N 1 2 293.455 3.555 20 0 CHADLO C[N@H+](Cc1nc(C(F)(F)F)cs1)[C@@H]1C=CCCC1 ZINC000174962319 1126775369 /nfs/dbraw/zinc/77/53/69/1126775369.db2.gz FVIFKUPXFFUFEG-SECBINFHSA-N 1 2 276.327 3.702 20 0 CHADLO C[N@@H+](Cc1nc(C(F)(F)F)cs1)[C@@H]1C=CCCC1 ZINC000174962319 1126775372 /nfs/dbraw/zinc/77/53/72/1126775372.db2.gz FVIFKUPXFFUFEG-SECBINFHSA-N 1 2 276.327 3.702 20 0 CHADLO COc1ccc(Cn2cc[nH+]c2)cc1SC(F)(F)F ZINC000175519902 1126783204 /nfs/dbraw/zinc/78/32/04/1126783204.db2.gz HLOZIDOERFSVPM-UHFFFAOYSA-N 1 2 288.294 3.552 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(CCC(F)(F)F)cc1 ZINC000175803769 1126785970 /nfs/dbraw/zinc/78/59/70/1126785970.db2.gz ZSIPUBOVLBLWRU-UHFFFAOYSA-N 1 2 283.297 3.527 20 0 CHADLO CCC(CC)[NH2+][C@@H](Cc1ccccc1)C(=O)OC(C)(C)C ZINC000777305044 1126787566 /nfs/dbraw/zinc/78/75/66/1126787566.db2.gz HBXYAQLREMABRX-INIZCTEOSA-N 1 2 291.435 3.718 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H]1CCCc2cn(C(C)C)nc21 ZINC000780408323 1126787682 /nfs/dbraw/zinc/78/76/82/1126787682.db2.gz IPKJTHPANRYGIS-CQSZACIVSA-N 1 2 273.380 3.533 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679228214 1126788574 /nfs/dbraw/zinc/78/85/74/1126788574.db2.gz VSWZEGBEAOVXDW-DOMZBBRYSA-N 1 2 288.366 3.830 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679228214 1126788578 /nfs/dbraw/zinc/78/85/78/1126788578.db2.gz VSWZEGBEAOVXDW-DOMZBBRYSA-N 1 2 288.366 3.830 20 0 CHADLO Cc1cc(=O)[nH]c([C@H](C)[NH2+][C@H](C)c2ccccc2Cl)n1 ZINC000177913789 1126807013 /nfs/dbraw/zinc/80/70/13/1126807013.db2.gz BPBKTEPRDORTJX-MNOVXSKESA-N 1 2 291.782 3.556 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@H](OC(F)F)C2)c1Cl ZINC000679677076 1130550647 /nfs/dbraw/zinc/55/06/47/1130550647.db2.gz XHFMLYXNPNADMG-JTQLQIEISA-N 1 2 293.716 3.683 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@H](OC(F)F)C2)c1Cl ZINC000679677076 1130550651 /nfs/dbraw/zinc/55/06/51/1130550651.db2.gz XHFMLYXNPNADMG-JTQLQIEISA-N 1 2 293.716 3.683 20 0 CHADLO Clc1ccc(C[NH2+]Cc2ccnc(Cl)c2Cl)o1 ZINC000811471948 1130613751 /nfs/dbraw/zinc/61/37/51/1130613751.db2.gz OFNFNDYKZPSJCC-UHFFFAOYSA-N 1 2 291.565 3.925 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc(C2(C3CCCCC3)CC2)n1 ZINC000904264010 1126840674 /nfs/dbraw/zinc/84/06/74/1126840674.db2.gz BTPVKMKNFWAIOD-UHFFFAOYSA-N 1 2 298.390 3.634 20 0 CHADLO CCCCn1c(C)c(C)nc1SCCCn1cc[nH+]c1 ZINC000180434829 1126843770 /nfs/dbraw/zinc/84/37/70/1126843770.db2.gz KKWSFGFGZHXDDX-UHFFFAOYSA-N 1 2 292.452 3.679 20 0 CHADLO FC(F)Oc1ccc(Cl)cc1C[NH+]1CCC(F)CC1 ZINC001234406057 1130641782 /nfs/dbraw/zinc/64/17/82/1130641782.db2.gz YFIVAGDKWYSBHN-UHFFFAOYSA-N 1 2 293.716 3.875 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2cccc(Cl)c2)o1 ZINC000181418206 1126856032 /nfs/dbraw/zinc/85/60/32/1126856032.db2.gz KAQOYQIMZZQQRZ-SNVBAGLBSA-N 1 2 279.771 3.697 20 0 CHADLO COc1cccc(C[NH2+][C@H](C)c2c(F)cccc2Cl)n1 ZINC000181731956 1126859346 /nfs/dbraw/zinc/85/93/46/1126859346.db2.gz XHVXUBNIDITJGB-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)cc1 ZINC000182341688 1126870884 /nfs/dbraw/zinc/87/08/84/1126870884.db2.gz RLHBBDBAKOFIRS-LBPRGKRZSA-N 1 2 273.380 3.526 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccc(Cl)nc1 ZINC000182493219 1126873134 /nfs/dbraw/zinc/87/31/34/1126873134.db2.gz DCIABODSLRPSEV-LLVKDONJSA-N 1 2 275.783 3.974 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1c(F)cccc1F ZINC000182667110 1126876122 /nfs/dbraw/zinc/87/61/22/1126876122.db2.gz CPEAKVADRGVYAR-MRXNPFEDSA-N 1 2 276.330 3.943 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1c(F)cccc1F ZINC000182667110 1126876125 /nfs/dbraw/zinc/87/61/25/1126876125.db2.gz CPEAKVADRGVYAR-MRXNPFEDSA-N 1 2 276.330 3.943 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@@H](C)c2cc(C)oc2C)cs1 ZINC000183787974 1126892217 /nfs/dbraw/zinc/89/22/17/1126892217.db2.gz YZCHAQVFQZEZNY-JQWIXIFHSA-N 1 2 294.420 3.911 20 0 CHADLO Cc1cnc(CNc2[nH+]c3ccccc3n2CC2CC2)s1 ZINC000905427156 1126902149 /nfs/dbraw/zinc/90/21/49/1126902149.db2.gz UEVMEXIVSONCCD-UHFFFAOYSA-N 1 2 298.415 3.823 20 0 CHADLO CC1=C(C)C[C@H](C(=O)Nc2ccccc2-n2cc[nH+]c2)CC1 ZINC000568867822 1126899009 /nfs/dbraw/zinc/89/90/09/1126899009.db2.gz LQBYYXXNIGIQMN-OAHLLOKOSA-N 1 2 295.386 3.947 20 0 CHADLO COCC1=CC[N@H+](Cc2cccc(Cl)c2Cl)CC1 ZINC000187621177 1126911890 /nfs/dbraw/zinc/91/18/90/1126911890.db2.gz HQSIUOMQSATYIQ-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO COCC1=CC[N@@H+](Cc2cccc(Cl)c2Cl)CC1 ZINC000187621177 1126911892 /nfs/dbraw/zinc/91/18/92/1126911892.db2.gz HQSIUOMQSATYIQ-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO CCSc1cc(C[NH2+][C@H](C)c2nccs2)ccn1 ZINC000187796382 1126914589 /nfs/dbraw/zinc/91/45/89/1126914589.db2.gz NBXIJTHJRCRUSB-SNVBAGLBSA-N 1 2 279.434 3.501 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000188222748 1126920947 /nfs/dbraw/zinc/92/09/47/1126920947.db2.gz CNMWDLBAWFJIKV-KOLCDFICSA-N 1 2 293.773 3.989 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2cocn2)o1 ZINC000191949368 1126956926 /nfs/dbraw/zinc/95/69/26/1126956926.db2.gz VFBLPQSAUVLRPE-DOMZBBRYSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2cocn2)o1 ZINC000191949368 1126956929 /nfs/dbraw/zinc/95/69/29/1126956929.db2.gz VFBLPQSAUVLRPE-DOMZBBRYSA-N 1 2 274.364 3.803 20 0 CHADLO COC[C@@H]([NH2+]Cc1nc(C)cs1)c1ccc(Cl)cc1 ZINC000193277868 1126967262 /nfs/dbraw/zinc/96/72/62/1126967262.db2.gz XLTHMDPCMPOPLT-CYBMUJFWSA-N 1 2 296.823 3.582 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1Cl)c1ccnn1C ZINC000193012916 1126965831 /nfs/dbraw/zinc/96/58/31/1126965831.db2.gz KVTZRLSORXHVJV-UWVGGRQHSA-N 1 2 281.762 3.624 20 0 CHADLO CCCCn1c(C)c(C)nc1SCc1cc[nH+]c(N)c1 ZINC000193082123 1126966836 /nfs/dbraw/zinc/96/68/36/1126966836.db2.gz AWOWYWIXXJJWDQ-UHFFFAOYSA-N 1 2 290.436 3.570 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1sccc1Cl ZINC000194481062 1126974693 /nfs/dbraw/zinc/97/46/93/1126974693.db2.gz KEAUWWHYDFYGCV-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1sccc1Cl ZINC000194481062 1126974696 /nfs/dbraw/zinc/97/46/96/1126974696.db2.gz KEAUWWHYDFYGCV-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO Cc1ccccc1[C@H]1C[N@H+](CCC(F)(F)F)[C@@H](C)CO1 ZINC000266564130 1126985114 /nfs/dbraw/zinc/98/51/14/1126985114.db2.gz YPSQAZODARHMFL-GXTWGEPZSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1ccccc1[C@H]1C[N@@H+](CCC(F)(F)F)[C@@H](C)CO1 ZINC000266564130 1126985116 /nfs/dbraw/zinc/98/51/16/1126985116.db2.gz YPSQAZODARHMFL-GXTWGEPZSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@H](C)c2ccsc2)c(C)[nH+]1 ZINC000908037705 1126990255 /nfs/dbraw/zinc/99/02/55/1126990255.db2.gz WKGLEPVFMWOYTA-LLVKDONJSA-N 1 2 274.389 3.559 20 0 CHADLO Cc1[nH+]c[nH]c1CNC(=O)c1ccc(C2CCCCC2)cc1 ZINC000266979473 1126991200 /nfs/dbraw/zinc/99/12/00/1126991200.db2.gz PELPOVIDXDPCQQ-UHFFFAOYSA-N 1 2 297.402 3.696 20 0 CHADLO Cc1cc(C)c(C(=O)N2CC3(CCC3)[C@@H]2C(C)C)c(C)[nH+]1 ZINC000908344345 1126999230 /nfs/dbraw/zinc/99/92/30/1126999230.db2.gz NJENOAKHCJXFOW-INIZCTEOSA-N 1 2 286.419 3.658 20 0 CHADLO Cc1cc(F)ccc1C[NH2+]Cc1nnc(C(C)(C)C)s1 ZINC000268170729 1127013293 /nfs/dbraw/zinc/01/32/93/1127013293.db2.gz GOXDMCQJOFVIIC-UHFFFAOYSA-N 1 2 293.411 3.573 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@H+]2Cc2ccn(C3CCCC3)n2)c1 ZINC000268618522 1127019666 /nfs/dbraw/zinc/01/96/66/1127019666.db2.gz ARPQRKDYZBAUOD-QGZVFWFLSA-N 1 2 284.407 3.663 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@@H+]2Cc2ccn(C3CCCC3)n2)c1 ZINC000268618522 1127019671 /nfs/dbraw/zinc/01/96/71/1127019671.db2.gz ARPQRKDYZBAUOD-QGZVFWFLSA-N 1 2 284.407 3.663 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)[C@@H]1CCc2c1cccc2Cl ZINC000487032961 1127016136 /nfs/dbraw/zinc/01/61/36/1127016136.db2.gz WRYUHLOSGJHBAS-CQSZACIVSA-N 1 2 295.810 3.601 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)[C@@H]1CCc2c1cccc2Cl ZINC000487032961 1127016140 /nfs/dbraw/zinc/01/61/40/1127016140.db2.gz WRYUHLOSGJHBAS-CQSZACIVSA-N 1 2 295.810 3.601 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)[C@H]1CCc2c1cccc2Cl ZINC000487032959 1127016521 /nfs/dbraw/zinc/01/65/21/1127016521.db2.gz WRYUHLOSGJHBAS-AWEZNQCLSA-N 1 2 295.810 3.601 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)[C@H]1CCc2c1cccc2Cl ZINC000487032959 1127016524 /nfs/dbraw/zinc/01/65/24/1127016524.db2.gz WRYUHLOSGJHBAS-AWEZNQCLSA-N 1 2 295.810 3.601 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](C)c2csc(C)n2)cc1F ZINC000269428743 1127039934 /nfs/dbraw/zinc/03/99/34/1127039934.db2.gz OSEOMLPTAASYCL-SNVBAGLBSA-N 1 2 294.395 3.840 20 0 CHADLO Cc1noc(C[NH2+][C@H](CC2CCCC2)c2ccccc2)n1 ZINC000269855177 1127047275 /nfs/dbraw/zinc/04/72/75/1127047275.db2.gz GZCSTQPYYQKGNS-MRXNPFEDSA-N 1 2 285.391 3.789 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1Cc2ccccc2C(C)(C)C1 ZINC000270361960 1127053936 /nfs/dbraw/zinc/05/39/36/1127053936.db2.gz KOMBRLMHDNWRNQ-LBPRGKRZSA-N 1 2 297.402 3.801 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1Cc2ccccc2C(C)(C)C1 ZINC000270361960 1127053941 /nfs/dbraw/zinc/05/39/41/1127053941.db2.gz KOMBRLMHDNWRNQ-LBPRGKRZSA-N 1 2 297.402 3.801 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3C(C)(C)C2)oc1C ZINC000270360823 1127054193 /nfs/dbraw/zinc/05/41/93/1127054193.db2.gz KGKRQFRDPIIZAE-UHFFFAOYSA-N 1 2 270.376 3.585 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3C(C)(C)C2)oc1C ZINC000270360823 1127054197 /nfs/dbraw/zinc/05/41/97/1127054197.db2.gz KGKRQFRDPIIZAE-UHFFFAOYSA-N 1 2 270.376 3.585 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[NH+]1Cc2ccccc2C1 ZINC000271146237 1127070241 /nfs/dbraw/zinc/07/02/41/1127070241.db2.gz AMDNVHKRIZPIFT-UHFFFAOYSA-N 1 2 283.419 3.771 20 0 CHADLO Cc1ccoc1C[N@H+]1C[C@H](C)O[C@@H](c2ccsc2)C1 ZINC000271167926 1127070985 /nfs/dbraw/zinc/07/09/85/1127070985.db2.gz CLAXFMBQKARDLW-SWLSCSKDSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1ccoc1C[N@@H+]1C[C@H](C)O[C@@H](c2ccsc2)C1 ZINC000271167926 1127070988 /nfs/dbraw/zinc/07/09/88/1127070988.db2.gz CLAXFMBQKARDLW-SWLSCSKDSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccon2)cc(C)c1OC(F)F ZINC000271215382 1127073702 /nfs/dbraw/zinc/07/37/02/1127073702.db2.gz WLWRDVVPJMSKNV-UHFFFAOYSA-N 1 2 296.317 3.525 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccon2)cc(C)c1OC(F)F ZINC000271215382 1127073704 /nfs/dbraw/zinc/07/37/04/1127073704.db2.gz WLWRDVVPJMSKNV-UHFFFAOYSA-N 1 2 296.317 3.525 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2oc3ccccc3c2C)[nH]1 ZINC000271419516 1127080149 /nfs/dbraw/zinc/08/01/49/1127080149.db2.gz BSVZMBPHSLQPIX-RYUDHWBXSA-N 1 2 298.390 3.834 20 0 CHADLO CCC(CC)n1ccc(C[N@H+](C)[C@@H](C)c2ccncc2)n1 ZINC000271430462 1127081025 /nfs/dbraw/zinc/08/10/25/1127081025.db2.gz SVGGUELKKUTWFA-AWEZNQCLSA-N 1 2 286.423 3.832 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+](C)[C@@H](C)c2ccncc2)n1 ZINC000271430462 1127081028 /nfs/dbraw/zinc/08/10/28/1127081028.db2.gz SVGGUELKKUTWFA-AWEZNQCLSA-N 1 2 286.423 3.832 20 0 CHADLO CCc1cccc2c1OCC[C@H]2[NH2+][C@H](C)c1cscn1 ZINC000271443366 1127081736 /nfs/dbraw/zinc/08/17/36/1127081736.db2.gz GXJIDVGZBUOXJK-BXUZGUMPSA-N 1 2 288.416 3.880 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@@H+]2Cc2nnc(C)s2)o1 ZINC000271382631 1127077953 /nfs/dbraw/zinc/07/79/53/1127077953.db2.gz DQYXKFUYUIZXAO-ZDUSSCGKSA-N 1 2 291.420 3.865 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@H+]2Cc2nnc(C)s2)o1 ZINC000271382631 1127077955 /nfs/dbraw/zinc/07/79/55/1127077955.db2.gz DQYXKFUYUIZXAO-ZDUSSCGKSA-N 1 2 291.420 3.865 20 0 CHADLO CC(C)c1nnc([C@@H](C)[NH2+][C@H](C)c2ccccc2Cl)[nH]1 ZINC000271453159 1127083003 /nfs/dbraw/zinc/08/30/03/1127083003.db2.gz GKTSGNVWPASQKG-GHMZBOCLSA-N 1 2 292.814 3.993 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3sccc3[C@@H]2C)s1 ZINC000271466153 1127083755 /nfs/dbraw/zinc/08/37/55/1127083755.db2.gz GSDNQPLXZXSISL-JTQLQIEISA-N 1 2 264.419 3.632 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3sccc3[C@@H]2C)s1 ZINC000271466153 1127083756 /nfs/dbraw/zinc/08/37/56/1127083756.db2.gz GSDNQPLXZXSISL-JTQLQIEISA-N 1 2 264.419 3.632 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](C)c2ccc(C)cc2C)s1 ZINC000271472145 1127084865 /nfs/dbraw/zinc/08/48/65/1127084865.db2.gz VZLOPJIYVDJQRY-LBPRGKRZSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](C)c2ccc(C)cc2C)s1 ZINC000271472145 1127084867 /nfs/dbraw/zinc/08/48/67/1127084867.db2.gz VZLOPJIYVDJQRY-LBPRGKRZSA-N 1 2 275.421 3.656 20 0 CHADLO CCC[N@H+](Cc1coc(C)n1)[C@@H](C)c1ccccc1OC ZINC000659859669 1127085072 /nfs/dbraw/zinc/08/50/72/1127085072.db2.gz LYPDEYJORZKFHC-ZDUSSCGKSA-N 1 2 288.391 3.965 20 0 CHADLO CCC[N@@H+](Cc1coc(C)n1)[C@@H](C)c1ccccc1OC ZINC000659859669 1127085074 /nfs/dbraw/zinc/08/50/74/1127085074.db2.gz LYPDEYJORZKFHC-ZDUSSCGKSA-N 1 2 288.391 3.965 20 0 CHADLO CC1=CCC[N@H+](Cc2cc(Cl)nc(Cl)c2)C1 ZINC000797997370 1131018907 /nfs/dbraw/zinc/01/89/07/1131018907.db2.gz UONPQAJVCDZQOY-UHFFFAOYSA-N 1 2 257.164 3.540 20 0 CHADLO CC1=CCC[N@@H+](Cc2cc(Cl)nc(Cl)c2)C1 ZINC000797997370 1131018911 /nfs/dbraw/zinc/01/89/11/1131018911.db2.gz UONPQAJVCDZQOY-UHFFFAOYSA-N 1 2 257.164 3.540 20 0 CHADLO Cc1cccc(C[N@H+]2CCO[C@H](C(C)C)C2)c1Cl ZINC001236858433 1131022727 /nfs/dbraw/zinc/02/27/27/1131022727.db2.gz MZKACPPAJKROSS-AWEZNQCLSA-N 1 2 267.800 3.505 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCc2cn[nH]c21)c1cc(F)ccc1F ZINC000271557585 1127092099 /nfs/dbraw/zinc/09/20/99/1127092099.db2.gz WFKTYFJAOOAHML-GJZGRUSLSA-N 1 2 291.345 3.806 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCc2c[nH]nc21)c1cc(F)ccc1F ZINC000271557585 1127092103 /nfs/dbraw/zinc/09/21/03/1127092103.db2.gz WFKTYFJAOOAHML-GJZGRUSLSA-N 1 2 291.345 3.806 20 0 CHADLO CCC[C@H]([NH2+]Cc1nnc(OCC)s1)c1ccccc1 ZINC000271662993 1127095654 /nfs/dbraw/zinc/09/56/54/1127095654.db2.gz LJKGETLPSWAURV-ZDUSSCGKSA-N 1 2 291.420 3.568 20 0 CHADLO Fc1ccc([C@@H](CC(F)(F)F)[NH2+]Cc2ccno2)cc1 ZINC000271643671 1127096203 /nfs/dbraw/zinc/09/62/03/1127096203.db2.gz GOFJCQPTKPFCPW-GFCCVEGCSA-N 1 2 288.244 3.597 20 0 CHADLO Cc1noc(C[NH2+][C@H](c2ccc(Cl)cc2)C2CCC2)n1 ZINC000271667522 1127096208 /nfs/dbraw/zinc/09/62/08/1127096208.db2.gz FUHXFDKCVBWCOY-HNNXBMFYSA-N 1 2 291.782 3.662 20 0 CHADLO Cc1noc(C[NH2+][C@@H](c2cccc(C)c2)C(C)(C)C)n1 ZINC000271698526 1127098129 /nfs/dbraw/zinc/09/81/29/1127098129.db2.gz KRXOTZLGWYZSEM-HNNXBMFYSA-N 1 2 273.380 3.563 20 0 CHADLO CC/C=C/CC[N@H+](C)Cc1nc([C@@H](C)OCC(C)C)no1 ZINC000274300134 1127111823 /nfs/dbraw/zinc/11/18/23/1127111823.db2.gz BJYUPGARHANJTN-HSBSLETESA-N 1 2 295.427 3.591 20 0 CHADLO CC/C=C/CC[N@@H+](C)Cc1nc([C@@H](C)OCC(C)C)no1 ZINC000274300134 1127111825 /nfs/dbraw/zinc/11/18/25/1127111825.db2.gz BJYUPGARHANJTN-HSBSLETESA-N 1 2 295.427 3.591 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1nc(C(F)(F)F)cs1 ZINC000274434195 1127119424 /nfs/dbraw/zinc/11/94/24/1127119424.db2.gz WEUIPPCZHLIOFB-SSDOTTSWSA-N 1 2 273.283 3.730 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cn(C)nc1C(F)F ZINC000274483952 1127120519 /nfs/dbraw/zinc/12/05/19/1127120519.db2.gz NCANDHXGWSADCV-VIFPVBQESA-N 1 2 297.374 3.539 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cn(C)nc1C(F)F ZINC000274483952 1127120521 /nfs/dbraw/zinc/12/05/21/1127120521.db2.gz NCANDHXGWSADCV-VIFPVBQESA-N 1 2 297.374 3.539 20 0 CHADLO C[C@H]1CC(C)(C)CC[C@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348838088 1127130240 /nfs/dbraw/zinc/13/02/40/1127130240.db2.gz MBCANXMRQPUGQE-GOEBONIOSA-N 1 2 298.434 3.953 20 0 CHADLO C[C@@H]1CCC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)[C@@H]1C ZINC000348848293 1127131381 /nfs/dbraw/zinc/13/13/81/1127131381.db2.gz MVQRRQFQGCHJQS-IIAWOOMASA-N 1 2 284.407 3.563 20 0 CHADLO C[C@@H]([NH2+]Cc1c(Cl)ccc2cccnc21)c1ccon1 ZINC000349243429 1127144486 /nfs/dbraw/zinc/14/44/86/1127144486.db2.gz PMZQONYESKCMHQ-SNVBAGLBSA-N 1 2 287.750 3.727 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(C(F)(F)F)c1)c1ccon1 ZINC000349234176 1127144493 /nfs/dbraw/zinc/14/44/93/1127144493.db2.gz AQURJKUYFJLUQC-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CCC[C@@H]2c2ccsc2)s1 ZINC000283606250 1127146704 /nfs/dbraw/zinc/14/67/04/1127146704.db2.gz QTFMNFYIZAMJHN-BXKDBHETSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CCC[C@@H]2c2ccsc2)s1 ZINC000283606250 1127146707 /nfs/dbraw/zinc/14/67/07/1127146707.db2.gz QTFMNFYIZAMJHN-BXKDBHETSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1nc(N2CCC[C@H](c3ccc(F)cc3)CC2)cc[nH+]1 ZINC000349575296 1127154141 /nfs/dbraw/zinc/15/41/41/1127154141.db2.gz MPZXKOGOUKKAAF-AWEZNQCLSA-N 1 2 285.366 3.698 20 0 CHADLO Cc1cnc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)cn1 ZINC000349812317 1127162581 /nfs/dbraw/zinc/16/25/81/1127162581.db2.gz LCSKTDXRDXFJRY-UHFFFAOYSA-N 1 2 293.773 3.602 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](c1ccc(F)cc1)C(C)C ZINC000679330233 1127166714 /nfs/dbraw/zinc/16/67/14/1127166714.db2.gz DXBQNYDWNHXMHZ-MEDUHNTESA-N 1 2 275.371 3.905 20 0 CHADLO Cc1n[nH]c(C)c1C[N@@H+](C)Cc1cccc(Cl)c1Cl ZINC000350081968 1127172302 /nfs/dbraw/zinc/17/23/02/1127172302.db2.gz OZFFRSUUXSJTTC-UHFFFAOYSA-N 1 2 298.217 3.965 20 0 CHADLO Cc1n[nH]c(C)c1C[N@H+](C)Cc1cccc(Cl)c1Cl ZINC000350081968 1127172305 /nfs/dbraw/zinc/17/23/05/1127172305.db2.gz OZFFRSUUXSJTTC-UHFFFAOYSA-N 1 2 298.217 3.965 20 0 CHADLO C[C@@H]1CCC[C@@H](C)[NH+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237525035 1131111213 /nfs/dbraw/zinc/11/12/13/1131111213.db2.gz JMQKQZKRWXEKMZ-RKDXNWHRSA-N 1 2 289.206 3.857 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cnc(C(C)(C)C)nc1 ZINC000284231052 1127178018 /nfs/dbraw/zinc/17/80/18/1127178018.db2.gz DMORIZMIORRNCB-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cnc(C(C)(C)C)nc1 ZINC000284231052 1127178022 /nfs/dbraw/zinc/17/80/22/1127178022.db2.gz DMORIZMIORRNCB-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2ccc(C(C)C)cc2)n1 ZINC000284193374 1127178532 /nfs/dbraw/zinc/17/85/32/1127178532.db2.gz YKBHNTXFAQGFOZ-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CCC3(CCCCC3)CC2)no1 ZINC000588169785 1127180508 /nfs/dbraw/zinc/18/05/08/1127180508.db2.gz LFXQLMPFKYMYLR-UHFFFAOYSA-N 1 2 291.439 3.913 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccn2)C(C)C)sc1C ZINC000571944283 1127182579 /nfs/dbraw/zinc/18/25/79/1127182579.db2.gz ZQNNLPXHUDFTLO-UHFFFAOYSA-N 1 2 275.421 3.566 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccn2)C(C)C)sc1C ZINC000571944283 1127182584 /nfs/dbraw/zinc/18/25/84/1127182584.db2.gz ZQNNLPXHUDFTLO-UHFFFAOYSA-N 1 2 275.421 3.566 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@H](C)c2nc3ccccc3o2)n1 ZINC000351000859 1127183928 /nfs/dbraw/zinc/18/39/28/1127183928.db2.gz YGPMXVWSBJDRTN-CYBMUJFWSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@H](C)c2nc3ccccc3o2)n1 ZINC000351000859 1127183930 /nfs/dbraw/zinc/18/39/30/1127183930.db2.gz YGPMXVWSBJDRTN-CYBMUJFWSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1cc(C[NH+]2CC3(CSC3)C2)c(Cl)cc1Cl ZINC001237697776 1131127977 /nfs/dbraw/zinc/12/79/77/1131127977.db2.gz ADMYVMFOXQOYNP-UHFFFAOYSA-N 1 2 288.243 3.851 20 0 CHADLO CC(C)COc1cccc(C[NH2+][C@@H](C)c2ccon2)c1 ZINC000351591554 1127196332 /nfs/dbraw/zinc/19/63/32/1127196332.db2.gz FPAVCZBCPKRUCR-ZDUSSCGKSA-N 1 2 274.364 3.560 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2ccon2)cc1Br ZINC000351597865 1127196388 /nfs/dbraw/zinc/19/63/88/1127196388.db2.gz UUEMDRKYTQYMNY-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO FC(F)n1ccnc1C[N@@H+]1CCC=C(c2ccccc2)C1 ZINC000284765432 1127204746 /nfs/dbraw/zinc/20/47/46/1127204746.db2.gz MOZTWYKKXQQNJF-UHFFFAOYSA-N 1 2 289.329 3.568 20 0 CHADLO FC(F)n1ccnc1C[N@H+]1CCC=C(c2ccccc2)C1 ZINC000284765432 1127204750 /nfs/dbraw/zinc/20/47/50/1127204750.db2.gz MOZTWYKKXQQNJF-UHFFFAOYSA-N 1 2 289.329 3.568 20 0 CHADLO Cc1nocc1C[N@@H+]1CCCC[C@H]1c1ccc(F)cc1F ZINC000351806282 1127210525 /nfs/dbraw/zinc/21/05/25/1127210525.db2.gz DXBJMFKDWNMUBD-INIZCTEOSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1nocc1C[N@H+]1CCCC[C@H]1c1ccc(F)cc1F ZINC000351806282 1127210526 /nfs/dbraw/zinc/21/05/26/1127210526.db2.gz DXBJMFKDWNMUBD-INIZCTEOSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2cccc3ccccc32)n1 ZINC000285004948 1127214349 /nfs/dbraw/zinc/21/43/49/1127214349.db2.gz YYRBNBPZTPKSRH-UHFFFAOYSA-N 1 2 281.359 3.556 20 0 CHADLO CC[N@H+](C)[C@@H](c1nc(C2CCCC2)no1)c1ccccc1 ZINC000285249972 1127220978 /nfs/dbraw/zinc/22/09/78/1127220978.db2.gz GRXLBNJPQLLRAC-OAHLLOKOSA-N 1 2 285.391 3.768 20 0 CHADLO CC[N@@H+](C)[C@@H](c1nc(C2CCCC2)no1)c1ccccc1 ZINC000285249972 1127220980 /nfs/dbraw/zinc/22/09/80/1127220980.db2.gz GRXLBNJPQLLRAC-OAHLLOKOSA-N 1 2 285.391 3.768 20 0 CHADLO C[C@@H]([NH2+]Cc1sccc1Cl)c1c(F)cncc1F ZINC000285354536 1127223550 /nfs/dbraw/zinc/22/35/50/1127223550.db2.gz AWLJQFAJWAZANK-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccccc2N2CCCCC2)no1 ZINC000285374789 1127224022 /nfs/dbraw/zinc/22/40/22/1127224022.db2.gz WDGDIUDQHASGNI-HNNXBMFYSA-N 1 2 299.418 3.824 20 0 CHADLO CCCC[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000589707139 1127234455 /nfs/dbraw/zinc/23/44/55/1127234455.db2.gz WUDMLKQFSFSDCL-CQSZACIVSA-N 1 2 271.763 3.653 20 0 CHADLO CCCC[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000589707139 1127234456 /nfs/dbraw/zinc/23/44/56/1127234456.db2.gz WUDMLKQFSFSDCL-CQSZACIVSA-N 1 2 271.763 3.653 20 0 CHADLO Cc1cncc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000352659671 1127241541 /nfs/dbraw/zinc/24/15/41/1127241541.db2.gz KJRYRQFKGOOIGU-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cncc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000352659671 1127241545 /nfs/dbraw/zinc/24/15/45/1127241545.db2.gz KJRYRQFKGOOIGU-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO C[C@@H]1CC=CC[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000285906865 1127246903 /nfs/dbraw/zinc/24/69/03/1127246903.db2.gz HEOBNRDDTREYAU-IUODEOHRSA-N 1 2 281.359 3.618 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+][C@H](C)c2cc(C)ccn2)o1 ZINC000286080279 1127258066 /nfs/dbraw/zinc/25/80/66/1127258066.db2.gz MHRZNOWFYMFPQQ-CZUORRHYSA-N 1 2 288.391 3.584 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cccc(OCC(F)(F)F)c1 ZINC001212164438 1127260253 /nfs/dbraw/zinc/26/02/53/1127260253.db2.gz PCPXGWZDZRDWMC-UHFFFAOYSA-N 1 2 298.264 3.780 20 0 CHADLO Cc1csc(C2([NH2+][C@@H](C)c3cc(C)ccn3)CCC2)n1 ZINC000286255879 1127263965 /nfs/dbraw/zinc/26/39/65/1127263965.db2.gz UXSLDYURZDRSDI-ZDUSSCGKSA-N 1 2 287.432 3.885 20 0 CHADLO C[C@@H](O)C[C@H]1CCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000286241286 1127264155 /nfs/dbraw/zinc/26/41/55/1127264155.db2.gz ORTNKOGFQHTLAN-VXGBXAGGSA-N 1 2 290.794 3.628 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2ccccc2)C2CCCCC2)no1 ZINC000224544496 1127267798 /nfs/dbraw/zinc/26/77/98/1127267798.db2.gz FOPJDSFBPAHZBO-KRWDZBQOSA-N 1 2 285.391 3.789 20 0 CHADLO C[C@@H](CC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)CC(C)(C)C ZINC000590728524 1127268311 /nfs/dbraw/zinc/26/83/11/1127268311.db2.gz VHXIDNZNGMFHEB-LSDHHAIUSA-N 1 2 291.439 3.509 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccco1)c1cccc(Cl)c1F ZINC000286969408 1127277909 /nfs/dbraw/zinc/27/79/09/1127277909.db2.gz NRGAFCXXTWHNNJ-CYBMUJFWSA-N 1 2 283.730 3.549 20 0 CHADLO C=C(Br)C[N@@H+](C)Cc1ccc(CC)cc1 ZINC000057753487 1127277945 /nfs/dbraw/zinc/27/79/45/1127277945.db2.gz ZCSAFTUSLZUWFC-UHFFFAOYSA-N 1 2 268.198 3.589 20 0 CHADLO C=C(Br)C[N@H+](C)Cc1ccc(CC)cc1 ZINC000057753487 1127277946 /nfs/dbraw/zinc/27/79/46/1127277946.db2.gz ZCSAFTUSLZUWFC-UHFFFAOYSA-N 1 2 268.198 3.589 20 0 CHADLO Cc1c(Cl)c(Br)ccc1C[NH+]1CCC1 ZINC001238222027 1131184262 /nfs/dbraw/zinc/18/42/62/1131184262.db2.gz HTBRVGWZQJQGRN-UHFFFAOYSA-N 1 2 274.589 3.617 20 0 CHADLO Cc1cc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)on1 ZINC000287000873 1127281208 /nfs/dbraw/zinc/28/12/08/1127281208.db2.gz QBPVHDRURGMWJZ-ZDUSSCGKSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1cc(C[N@H+]2CC(C)(C)[C@@H]2c2cccs2)on1 ZINC000287000873 1127281210 /nfs/dbraw/zinc/28/12/10/1127281210.db2.gz QBPVHDRURGMWJZ-ZDUSSCGKSA-N 1 2 262.378 3.628 20 0 CHADLO CCCCCN(CCCCC)C(=O)CCc1[nH]cc[nH+]1 ZINC000287039582 1127284231 /nfs/dbraw/zinc/28/42/31/1127284231.db2.gz FNXWFSZRIYKZMP-UHFFFAOYSA-N 1 2 279.428 3.551 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(C3CCC3)s2)C[C@@H]1F ZINC000679357558 1127288984 /nfs/dbraw/zinc/28/89/84/1127288984.db2.gz AEJBDUCUSYWWIP-GWCFXTLKSA-N 1 2 268.401 3.591 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(C3CCC3)s2)C[C@@H]1F ZINC000679357558 1127288987 /nfs/dbraw/zinc/28/89/87/1127288987.db2.gz AEJBDUCUSYWWIP-GWCFXTLKSA-N 1 2 268.401 3.591 20 0 CHADLO CC[C@@H]1CCC[C@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000354117795 1127293994 /nfs/dbraw/zinc/29/39/94/1127293994.db2.gz JYBKTCFKKKPXOE-ZBFHGGJFSA-N 1 2 297.402 3.571 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1coc2ccccc12 ZINC000354125660 1127294976 /nfs/dbraw/zinc/29/49/76/1127294976.db2.gz FZLCNGAZLWZDOL-LBPRGKRZSA-N 1 2 289.375 3.595 20 0 CHADLO C[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1coc2ccccc12 ZINC000354125660 1127294979 /nfs/dbraw/zinc/29/49/79/1127294979.db2.gz FZLCNGAZLWZDOL-LBPRGKRZSA-N 1 2 289.375 3.595 20 0 CHADLO Cc1cc(C[NH+]2CC3(CCC3)C2)c(F)cc1Cl ZINC001238298374 1131188122 /nfs/dbraw/zinc/18/81/22/1131188122.db2.gz GZTIWAKVFLEMBA-UHFFFAOYSA-N 1 2 253.748 3.773 20 0 CHADLO CC(C)(C)c1cc(COc2ccccc2-n2cc[nH+]c2)no1 ZINC000573190725 1127297621 /nfs/dbraw/zinc/29/76/21/1127297621.db2.gz WXSUXTXMMYXWTG-UHFFFAOYSA-N 1 2 297.358 3.737 20 0 CHADLO Cc1nc(N2CC[C@H](c3cccc(F)c3)C2)c(C)c(C)[nH+]1 ZINC000354433910 1127307623 /nfs/dbraw/zinc/30/76/23/1127307623.db2.gz ZKGRDQKBCYAWOK-HNNXBMFYSA-N 1 2 285.366 3.535 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1cc2ccccc2s1 ZINC000355314983 1127333074 /nfs/dbraw/zinc/33/30/74/1127333074.db2.gz ORNLDGZHTJBVTA-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CC[C@H](F)C1 ZINC001238671212 1131230087 /nfs/dbraw/zinc/23/00/87/1131230087.db2.gz JXVMGYWDLLLODW-NSHDSACASA-N 1 2 259.777 3.606 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CC[C@H](F)C1 ZINC001238671212 1131230089 /nfs/dbraw/zinc/23/00/89/1131230089.db2.gz JXVMGYWDLLLODW-NSHDSACASA-N 1 2 259.777 3.606 20 0 CHADLO CC(C)c1cccc2c1CC[N@H+](Cc1ccc(F)cn1)C2 ZINC000355375378 1127336852 /nfs/dbraw/zinc/33/68/52/1127336852.db2.gz WUYOTSILGLKNAY-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO CC(C)c1cccc2c1CC[N@@H+](Cc1ccc(F)cn1)C2 ZINC000355375378 1127336855 /nfs/dbraw/zinc/33/68/55/1127336855.db2.gz WUYOTSILGLKNAY-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO Cc1nccc(Nc2c[nH+]c(N3CCCC[C@@H]3C)c(C)c2)n1 ZINC000355569902 1127349282 /nfs/dbraw/zinc/34/92/82/1127349282.db2.gz VCCGCGARMKHIAJ-ZDUSSCGKSA-N 1 2 297.406 3.611 20 0 CHADLO COC[C@H]([NH2+]Cc1ncc(C)s1)c1cccc(Cl)c1 ZINC000288915242 1127367496 /nfs/dbraw/zinc/36/74/96/1127367496.db2.gz UMJMJIKBIGHBCU-ZDUSSCGKSA-N 1 2 296.823 3.582 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1cc(F)ccc1Cl ZINC000574145277 1127372029 /nfs/dbraw/zinc/37/20/29/1127372029.db2.gz MCYDRPYKIVWJSF-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1cc(F)ccc1Cl ZINC000574145277 1127372031 /nfs/dbraw/zinc/37/20/31/1127372031.db2.gz MCYDRPYKIVWJSF-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO Cc1cc(N2CC[C@@H](C(F)(F)F)[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000480550230 1127373133 /nfs/dbraw/zinc/37/31/33/1127373133.db2.gz GFTVETRGAOITRE-WDEREUQCSA-N 1 2 287.329 3.686 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)C[C@@H]2C)cc(C)c1OC(C)C ZINC001238751298 1131239588 /nfs/dbraw/zinc/23/95/88/1131239588.db2.gz CYYBQOPNEZTEIF-HNNXBMFYSA-N 1 2 289.419 3.644 20 0 CHADLO CCCn1cc(CNc2ccc([NH+]3CCCC3)cc2)cn1 ZINC000226635403 1127414379 /nfs/dbraw/zinc/41/43/79/1127414379.db2.gz DMLMMBRDKGSCRP-UHFFFAOYSA-N 1 2 284.407 3.505 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H](Cc3ccccc3)C2)no1 ZINC000358374270 1127418372 /nfs/dbraw/zinc/41/83/72/1127418372.db2.gz FPBGGFZBZFWJCK-MRXNPFEDSA-N 1 2 299.418 3.648 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H](Cc3ccccc3)C2)no1 ZINC000358374270 1127418374 /nfs/dbraw/zinc/41/83/74/1127418374.db2.gz FPBGGFZBZFWJCK-MRXNPFEDSA-N 1 2 299.418 3.648 20 0 CHADLO Fc1cccc(F)c1CCCSCCn1cc[nH+]c1 ZINC000594564212 1127424011 /nfs/dbraw/zinc/42/40/11/1127424011.db2.gz NNKLUUNFAGOBOP-UHFFFAOYSA-N 1 2 282.359 3.527 20 0 CHADLO Cc1ccccc1[C@@H](C)CC(=O)Nc1cccc2[nH+]ccn21 ZINC000358786101 1127434382 /nfs/dbraw/zinc/43/43/82/1127434382.db2.gz XXOVRSYNPWZWBT-AWEZNQCLSA-N 1 2 293.370 3.775 20 0 CHADLO Cc1cc(Cl)cc(C(=O)Nc2cccc3[nH+]ccn32)c1 ZINC000358706738 1127431596 /nfs/dbraw/zinc/43/15/96/1127431596.db2.gz MISOFPAMZLMZMD-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@@H+](Cc1nccs1)[C@H]2C ZINC000359277999 1127456066 /nfs/dbraw/zinc/45/60/66/1127456066.db2.gz AKVQXNMMWLOKCM-NEPJUHHUSA-N 1 2 288.416 3.660 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@H+](Cc1nccs1)[C@H]2C ZINC000359277999 1127456068 /nfs/dbraw/zinc/45/60/68/1127456068.db2.gz AKVQXNMMWLOKCM-NEPJUHHUSA-N 1 2 288.416 3.660 20 0 CHADLO CCC1(C(=O)Nc2cccc3[nH+]ccn32)CCCCC1 ZINC000359329533 1127458622 /nfs/dbraw/zinc/45/86/22/1127458622.db2.gz OEXQXPLHKLEMOT-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO CCC[C@@H](CC)[S@@](=O)Cc1ccc(C)[nH+]c1C ZINC000359419146 1127462669 /nfs/dbraw/zinc/46/26/69/1127462669.db2.gz YRDXMRQRZXEOAD-PBHICJAKSA-N 1 2 253.411 3.526 20 0 CHADLO Cc1cc(N2CCC[C@@H](C(F)(F)F)[C@H]2C)nc(C2CC2)[nH+]1 ZINC000359695069 1127473535 /nfs/dbraw/zinc/47/35/35/1127473535.db2.gz KZGVWEWAMSCBGB-ZYHUDNBSSA-N 1 2 299.340 3.830 20 0 CHADLO C[C@@H]1COc2ccccc2C[N@@H+]1Cc1nc2ccccc2o1 ZINC000359849469 1127480342 /nfs/dbraw/zinc/48/03/42/1127480342.db2.gz QFYZVINGZLZIQA-CYBMUJFWSA-N 1 2 294.354 3.611 20 0 CHADLO C[C@@H]1COc2ccccc2C[N@H+]1Cc1nc2ccccc2o1 ZINC000359849469 1127480347 /nfs/dbraw/zinc/48/03/47/1127480347.db2.gz QFYZVINGZLZIQA-CYBMUJFWSA-N 1 2 294.354 3.611 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)C[C@@H]2C)cc(C)c1OC(C)C ZINC001238751298 1131239590 /nfs/dbraw/zinc/23/95/90/1131239590.db2.gz CYYBQOPNEZTEIF-HNNXBMFYSA-N 1 2 289.419 3.644 20 0 CHADLO C[C@H](CC(=O)NCc1cccc(-n2cc[nH+]c2)c1)C(C)(C)C ZINC000360011534 1127486294 /nfs/dbraw/zinc/48/62/94/1127486294.db2.gz WJNXQWXGHHVICU-CQSZACIVSA-N 1 2 299.418 3.561 20 0 CHADLO CO[C@@H](CSCc1ccc(C)[nH+]c1C)C1CCC1 ZINC000596362456 1127499286 /nfs/dbraw/zinc/49/92/86/1127499286.db2.gz GQQNUPNKEZJZHH-HNNXBMFYSA-N 1 2 265.422 3.747 20 0 CHADLO Cc1nc(N[C@@H]2CCc3cc(Cl)ccc32)cc[nH+]1 ZINC000360518399 1127500997 /nfs/dbraw/zinc/50/09/97/1127500997.db2.gz SQDARNKMHNMCHO-CYBMUJFWSA-N 1 2 259.740 3.538 20 0 CHADLO c1cn(CCSCC[C@H]2CCc3ccccc32)c[nH+]1 ZINC000596417031 1127503334 /nfs/dbraw/zinc/50/33/34/1127503334.db2.gz WPUZXNPFXCJZTK-OAHLLOKOSA-N 1 2 272.417 3.736 20 0 CHADLO Oc1cccc(C[N@@H+]2CCC[C@@H]2c2ccncc2)c1Cl ZINC000293777212 1127520176 /nfs/dbraw/zinc/52/01/76/1127520176.db2.gz BOTURBBOJCRDOG-CQSZACIVSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cccc(C[N@H+]2CCC[C@@H]2c2ccncc2)c1Cl ZINC000293777212 1127520179 /nfs/dbraw/zinc/52/01/79/1127520179.db2.gz BOTURBBOJCRDOG-CQSZACIVSA-N 1 2 288.778 3.778 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(O)c2Cl)CCC1(F)F ZINC000293796942 1127521556 /nfs/dbraw/zinc/52/15/56/1127521556.db2.gz CZGAACPDSQYQHY-SECBINFHSA-N 1 2 275.726 3.523 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(O)c2Cl)CCC1(F)F ZINC000293796942 1127521558 /nfs/dbraw/zinc/52/15/58/1127521558.db2.gz CZGAACPDSQYQHY-SECBINFHSA-N 1 2 275.726 3.523 20 0 CHADLO CCc1ncc(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)s1 ZINC000293884532 1127530163 /nfs/dbraw/zinc/53/01/63/1127530163.db2.gz JZKYUFKLBSIXFO-OAHLLOKOSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1ncc(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)s1 ZINC000293884532 1127530164 /nfs/dbraw/zinc/53/01/64/1127530164.db2.gz JZKYUFKLBSIXFO-OAHLLOKOSA-N 1 2 287.432 3.684 20 0 CHADLO Cc1nc(N[C@@H](CC(C)C)c2ccccc2)cc[nH+]1 ZINC000361045948 1127533339 /nfs/dbraw/zinc/53/33/39/1127533339.db2.gz UDGWQFAHLQYXJN-HNNXBMFYSA-N 1 2 255.365 3.984 20 0 CHADLO Cc1cc(N2CC[C@H](Cc3ccccc3)C2)nc(C2CC2)[nH+]1 ZINC000361049999 1127534197 /nfs/dbraw/zinc/53/41/97/1127534197.db2.gz HDCIUGBNPRUJTH-MRXNPFEDSA-N 1 2 293.414 3.731 20 0 CHADLO C[C@@H](C(=O)N(C)c1ccccc1)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000361073377 1127535728 /nfs/dbraw/zinc/53/57/28/1127535728.db2.gz KCYOSRDRYFCSDS-GJZGRUSLSA-N 1 2 294.398 3.615 20 0 CHADLO C[C@@H](C(=O)N(C)c1ccccc1)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000361073377 1127535731 /nfs/dbraw/zinc/53/57/31/1127535731.db2.gz KCYOSRDRYFCSDS-GJZGRUSLSA-N 1 2 294.398 3.615 20 0 CHADLO C[C@H](C(=O)Nc1cccc(F)c1)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000361086291 1127537051 /nfs/dbraw/zinc/53/70/51/1127537051.db2.gz IDAAOATWNYIZHF-QWHCGFSZSA-N 1 2 298.361 3.730 20 0 CHADLO C[C@H](C(=O)Nc1cccc(F)c1)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000361086291 1127537052 /nfs/dbraw/zinc/53/70/52/1127537052.db2.gz IDAAOATWNYIZHF-QWHCGFSZSA-N 1 2 298.361 3.730 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H](C)C3CC3)cc2)c1C ZINC000361229598 1127548528 /nfs/dbraw/zinc/54/85/28/1127548528.db2.gz RIBMORCHVNFNDA-GFCCVEGCSA-N 1 2 255.365 3.700 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C)n1)c1ccccc1OC(F)F ZINC000361161932 1127543083 /nfs/dbraw/zinc/54/30/83/1127543083.db2.gz PEKSBVJTIALJRC-GFCCVEGCSA-N 1 2 293.317 3.950 20 0 CHADLO CC(C)c1cc(NCCOC2CCC2)nc(C(C)C)[nH+]1 ZINC000761233517 1127556771 /nfs/dbraw/zinc/55/67/71/1127556771.db2.gz IETYSSQPFGDVJW-UHFFFAOYSA-N 1 2 277.412 3.704 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nccn2-c2ccccc2)c1 ZINC000361509329 1127567945 /nfs/dbraw/zinc/56/79/45/1127567945.db2.gz UJUCMSXHCBGCHM-UHFFFAOYSA-N 1 2 291.398 3.813 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nccn2-c2ccccc2)c1 ZINC000361509329 1127567947 /nfs/dbraw/zinc/56/79/47/1127567947.db2.gz UJUCMSXHCBGCHM-UHFFFAOYSA-N 1 2 291.398 3.813 20 0 CHADLO CC(C)Cc1ccccc1-c1noc([C@H](C)n2cc[nH+]c2)n1 ZINC001118868948 1131239855 /nfs/dbraw/zinc/23/98/55/1131239855.db2.gz VNJKMLCYXJFAGR-ZDUSSCGKSA-N 1 2 296.374 3.741 20 0 CHADLO Cc1ccc2c(c1)C[N@H+]([C@H](C)C(=O)Nc1cccc(C)c1)C2 ZINC000361601682 1127576482 /nfs/dbraw/zinc/57/64/82/1127576482.db2.gz KOQHATKRJVTYQM-OAHLLOKOSA-N 1 2 294.398 3.646 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+]([C@H](C)C(=O)Nc1cccc(C)c1)C2 ZINC000361601682 1127576486 /nfs/dbraw/zinc/57/64/86/1127576486.db2.gz KOQHATKRJVTYQM-OAHLLOKOSA-N 1 2 294.398 3.646 20 0 CHADLO CCC1(CC)CN(c2cc(C)[nH+]c(C3CC3)n2)CCS1 ZINC000361673043 1127580892 /nfs/dbraw/zinc/58/08/92/1127580892.db2.gz JAIPWNMFWLGGJF-UHFFFAOYSA-N 1 2 291.464 3.774 20 0 CHADLO CCS[C@@H]1CCC[C@H](Nc2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000362128077 1127613640 /nfs/dbraw/zinc/61/36/40/1127613640.db2.gz COXGVTNNGVVWBU-UONOGXRCSA-N 1 2 291.464 3.561 20 0 CHADLO O=C(CC1CC1)Nc1ccccc1-c1[nH+]cc2n1CCCC2 ZINC000362403248 1127633046 /nfs/dbraw/zinc/63/30/46/1127633046.db2.gz OPCKYZUQWCVARZ-UHFFFAOYSA-N 1 2 295.386 3.625 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1c[nH]nn1)c1ccc(C(C)(C)C)cc1 ZINC000295291485 1127633531 /nfs/dbraw/zinc/63/35/31/1127633531.db2.gz JKDZCRSKDDQOCU-MRXNPFEDSA-N 1 2 286.423 3.589 20 0 CHADLO CC(C)c1noc(C[NH2+][C@H](C)c2cccc(C3CC3)c2)n1 ZINC000295327708 1127634718 /nfs/dbraw/zinc/63/47/18/1127634718.db2.gz HDUYSYTYMKDSHQ-GFCCVEGCSA-N 1 2 285.391 3.921 20 0 CHADLO Cc1scnc1C[N@@H+]1CCSC[C@@H]1c1ccccc1 ZINC001232206784 1127636255 /nfs/dbraw/zinc/63/62/55/1127636255.db2.gz OEJVYAIKPLVVEU-OAHLLOKOSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1scnc1C[N@H+]1CCSC[C@@H]1c1ccccc1 ZINC001232206784 1127636256 /nfs/dbraw/zinc/63/62/56/1127636256.db2.gz OEJVYAIKPLVVEU-OAHLLOKOSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2c(C)cccc2Cl)n1 ZINC000295952114 1127658334 /nfs/dbraw/zinc/65/83/34/1127658334.db2.gz LWPDUEZTYVPORM-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2c(C)cccc2Cl)n1 ZINC000295952114 1127658335 /nfs/dbraw/zinc/65/83/35/1127658335.db2.gz LWPDUEZTYVPORM-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)[C@H]2CCCCO2)c1 ZINC000599037803 1127653742 /nfs/dbraw/zinc/65/37/42/1127653742.db2.gz DWQWLNIKXGOZHT-GXTWGEPZSA-N 1 2 280.437 3.704 20 0 CHADLO CCOc1ccccc1C[N@@H+]1CCC[C@@H]1c1cc(C)on1 ZINC000363075253 1127660192 /nfs/dbraw/zinc/66/01/92/1127660192.db2.gz LDYGGCOEHMUSNB-MRXNPFEDSA-N 1 2 286.375 3.719 20 0 CHADLO CCOc1ccccc1C[N@H+]1CCC[C@@H]1c1cc(C)on1 ZINC000363075253 1127660193 /nfs/dbraw/zinc/66/01/93/1127660193.db2.gz LDYGGCOEHMUSNB-MRXNPFEDSA-N 1 2 286.375 3.719 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)CCC3CC3)c2)[nH+]c1C ZINC000363224045 1127664210 /nfs/dbraw/zinc/66/42/10/1127664210.db2.gz OGNUUENMNPFJQV-UHFFFAOYSA-N 1 2 283.375 3.822 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H](C)c1ccccc1Cl ZINC000296221223 1127665652 /nfs/dbraw/zinc/66/56/52/1127665652.db2.gz ZTGJFENZLIETGI-NEPJUHHUSA-N 1 2 277.799 3.968 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C(F)(F)F)cn1)c1ccccc1 ZINC000296288524 1127667299 /nfs/dbraw/zinc/66/72/99/1127667299.db2.gz FICLWGPWRASHBH-CYBMUJFWSA-N 1 2 295.308 3.736 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cc(C(C)C)no2)oc1C ZINC000669699886 1127676738 /nfs/dbraw/zinc/67/67/38/1127676738.db2.gz UUTUZGVGEORCKU-LLVKDONJSA-N 1 2 262.353 3.859 20 0 CHADLO CC1(C)CCC[N@H+](Cc2cnc(Br)s2)C1 ZINC000297467421 1127696921 /nfs/dbraw/zinc/69/69/21/1127696921.db2.gz KPNMCCCTVBICJY-UHFFFAOYSA-N 1 2 289.242 3.528 20 0 CHADLO CC1(C)CCC[N@@H+](Cc2cnc(Br)s2)C1 ZINC000297467421 1127696923 /nfs/dbraw/zinc/69/69/23/1127696923.db2.gz KPNMCCCTVBICJY-UHFFFAOYSA-N 1 2 289.242 3.528 20 0 CHADLO Cn1c[nH+]cc1CNc1ccccc1-c1c(F)cccc1F ZINC000364477626 1127701941 /nfs/dbraw/zinc/70/19/41/1127701941.db2.gz PUVKJUZGWWPQLZ-UHFFFAOYSA-N 1 2 299.324 3.977 20 0 CHADLO CC(C)CC[C@@H](Nc1[nH+]cnc2c1cnn2C)C(C)(C)C ZINC000604379944 1127704147 /nfs/dbraw/zinc/70/41/47/1127704147.db2.gz DTLGZZVWXZJPGC-CYBMUJFWSA-N 1 2 289.427 3.626 20 0 CHADLO C[C@H](Cc1ccsc1)[N@@H+]1CCCC[C@@H]1C(F)F ZINC000669716659 1127708442 /nfs/dbraw/zinc/70/84/42/1127708442.db2.gz HMMRPLDNEIOIDG-ZYHUDNBSSA-N 1 2 259.365 3.799 20 0 CHADLO C[C@H](Cc1ccsc1)[N@H+]1CCCC[C@@H]1C(F)F ZINC000669716659 1127708446 /nfs/dbraw/zinc/70/84/46/1127708446.db2.gz HMMRPLDNEIOIDG-ZYHUDNBSSA-N 1 2 259.365 3.799 20 0 CHADLO CC[C@@H](C)Oc1cc(C)ccc1C[NH2+][C@H]1CCn2ccnc21 ZINC000297893224 1127710658 /nfs/dbraw/zinc/71/06/58/1127710658.db2.gz VTADMZJZDNKPQN-ZBFHGGJFSA-N 1 2 299.418 3.603 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCn2ccnc21)c1cccc(Cl)c1Cl ZINC000297950835 1127712388 /nfs/dbraw/zinc/71/23/88/1127712388.db2.gz YQGCXLDYDIOMFE-JOYOIKCWSA-N 1 2 296.201 3.986 20 0 CHADLO Cc1cc(N2CCCc3sccc3C2)nc(C2CC2)[nH+]1 ZINC000604756794 1127715893 /nfs/dbraw/zinc/71/58/93/1127715893.db2.gz ZOUGAFFRRCIWJI-UHFFFAOYSA-N 1 2 285.416 3.677 20 0 CHADLO CN(CC1CCCCC1)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000298288602 1127718783 /nfs/dbraw/zinc/71/87/83/1127718783.db2.gz WAIRSPFKKJDUNV-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000604976555 1127723204 /nfs/dbraw/zinc/72/32/04/1127723204.db2.gz GUHUQTYIMZXRLI-CVEARBPZSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1cc(NCC(C)(C)c2cccc(F)c2)nc(C2CC2)[nH+]1 ZINC000605315807 1127734743 /nfs/dbraw/zinc/73/47/43/1127734743.db2.gz ONMZUJRWWHDVEY-UHFFFAOYSA-N 1 2 299.393 3.613 20 0 CHADLO CCCCC[C@H](C)CC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000365602101 1127734918 /nfs/dbraw/zinc/73/49/18/1127734918.db2.gz LVBDNNWLSADSQP-LSDHHAIUSA-N 1 2 291.439 3.722 20 0 CHADLO Fc1cc2c(c(F)c1)[C@H]([NH2+][C@@H]1CCCc3occc31)CO2 ZINC000365493918 1127730962 /nfs/dbraw/zinc/73/09/62/1127730962.db2.gz PKQLUTKJWSYVTF-CHWSQXEVSA-N 1 2 291.297 3.659 20 0 CHADLO Nc1ccc(F)cc1C[N@@H+]1CCc2cc(Cl)ccc2C1 ZINC001232511781 1127737108 /nfs/dbraw/zinc/73/71/08/1127737108.db2.gz KBQYCEHXPOCKFL-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(F)cc1C[N@H+]1CCc2cc(Cl)ccc2C1 ZINC001232511781 1127737110 /nfs/dbraw/zinc/73/71/10/1127737110.db2.gz KBQYCEHXPOCKFL-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+][C@H](c1ccccc1)C1CCC1)CCC2 ZINC000367620658 1127754513 /nfs/dbraw/zinc/75/45/13/1127754513.db2.gz QPDJNROXHIVFGU-FUHWJXTLSA-N 1 2 281.403 3.918 20 0 CHADLO COc1cccc([C@@H]2C[C@@H](C)CN2c2cccc[nH+]2)c1 ZINC000371691560 1127775619 /nfs/dbraw/zinc/77/56/19/1127775619.db2.gz BBJWTTQWRWVUAR-CJNGLKHVSA-N 1 2 268.360 3.678 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2cccnc2Cl)sc1C ZINC000076904228 1127802107 /nfs/dbraw/zinc/80/21/07/1127802107.db2.gz QSUYYGIFNAHSOS-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@H](C)C[C@@H]2c2cccnc2)cs1 ZINC000372953706 1127818691 /nfs/dbraw/zinc/81/86/91/1127818691.db2.gz KORGKTPXPNJLOB-IUODEOHRSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1nc(C[N@H+]2C[C@H](C)C[C@@H]2c2cccnc2)cs1 ZINC000372953706 1127818694 /nfs/dbraw/zinc/81/86/94/1127818694.db2.gz KORGKTPXPNJLOB-IUODEOHRSA-N 1 2 287.432 3.684 20 0 CHADLO CCC[N@H+](Cc1ccccc1)[C@@H](C)c1csnn1 ZINC000411127524 1127841494 /nfs/dbraw/zinc/84/14/94/1127841494.db2.gz QBASSNOQNZRKHD-LBPRGKRZSA-N 1 2 261.394 3.511 20 0 CHADLO CCC[N@@H+](Cc1ccccc1)[C@@H](C)c1csnn1 ZINC000411127524 1127841497 /nfs/dbraw/zinc/84/14/97/1127841497.db2.gz QBASSNOQNZRKHD-LBPRGKRZSA-N 1 2 261.394 3.511 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CCc1ccco1 ZINC000373695552 1127857374 /nfs/dbraw/zinc/85/73/74/1127857374.db2.gz MGNNBVMVHXJKCX-UHFFFAOYSA-N 1 2 295.342 3.549 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2cn3ccsc3n2)o1 ZINC000374234281 1127879845 /nfs/dbraw/zinc/87/98/45/1127879845.db2.gz LECAEEVQLSXDPL-CYBMUJFWSA-N 1 2 287.388 3.634 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2cn3ccsc3n2)o1 ZINC000374234281 1127879848 /nfs/dbraw/zinc/87/98/48/1127879848.db2.gz LECAEEVQLSXDPL-CYBMUJFWSA-N 1 2 287.388 3.634 20 0 CHADLO FC1(c2ccc(Cl)cc2)CC[NH+](Cc2ncc[nH]2)CC1 ZINC000374217987 1127879241 /nfs/dbraw/zinc/87/92/41/1127879241.db2.gz NESVEZFFAOCYGT-UHFFFAOYSA-N 1 2 293.773 3.524 20 0 CHADLO Cc1cccc([C@H](C)[N@@H+]2C[C@@H](C)O[C@@H](C(F)(F)F)C2)c1 ZINC000608749988 1127884369 /nfs/dbraw/zinc/88/43/69/1127884369.db2.gz PEDMUJJBCUOJOZ-MBNYWOFBSA-N 1 2 287.325 3.708 20 0 CHADLO Cc1cccc([C@H](C)[N@H+]2C[C@@H](C)O[C@@H](C(F)(F)F)C2)c1 ZINC000608749988 1127884372 /nfs/dbraw/zinc/88/43/72/1127884372.db2.gz PEDMUJJBCUOJOZ-MBNYWOFBSA-N 1 2 287.325 3.708 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)nc(C)n1 ZINC000659986121 1127892357 /nfs/dbraw/zinc/89/23/57/1127892357.db2.gz VPIXXHVTRRHQBI-MRXNPFEDSA-N 1 2 287.794 3.694 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)nc(C)n1 ZINC000659986121 1127892361 /nfs/dbraw/zinc/89/23/61/1127892361.db2.gz VPIXXHVTRRHQBI-MRXNPFEDSA-N 1 2 287.794 3.694 20 0 CHADLO COc1ccc(C[N@H+](C)[C@@H](C)c2ncc(C)o2)cc1Cl ZINC000412047879 1127892528 /nfs/dbraw/zinc/89/25/28/1127892528.db2.gz VRHSZAFJRWRNGS-NSHDSACASA-N 1 2 294.782 3.838 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@@H](C)c2ncc(C)o2)cc1Cl ZINC000412047879 1127892532 /nfs/dbraw/zinc/89/25/32/1127892532.db2.gz VRHSZAFJRWRNGS-NSHDSACASA-N 1 2 294.782 3.838 20 0 CHADLO Cc1c(C)c(C)c(C[NH2+][C@H](C)c2csnn2)c(C)c1C ZINC000412047971 1127893854 /nfs/dbraw/zinc/89/38/54/1127893854.db2.gz WTJBFLLPYXFOLS-CQSZACIVSA-N 1 2 289.448 3.931 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)Cc1ccsc1)C(=O)OC(C)(C)C ZINC000539651868 1127934150 /nfs/dbraw/zinc/93/41/50/1127934150.db2.gz WYWHDFIBNSMALO-OCCSQVGLSA-N 1 2 297.464 3.635 20 0 CHADLO CC(C)[N@H+](Cc1nc(C2CC2)cs1)Cc1ccccn1 ZINC000544071123 1127957759 /nfs/dbraw/zinc/95/77/59/1127957759.db2.gz BDUBCMSSKTZTJY-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CC(C)[N@@H+](Cc1nc(C2CC2)cs1)Cc1ccccn1 ZINC000544071123 1127957763 /nfs/dbraw/zinc/95/77/63/1127957763.db2.gz BDUBCMSSKTZTJY-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1CC(=O)NCCC1=CCCCC1 ZINC000541203450 1128011167 /nfs/dbraw/zinc/01/11/67/1128011167.db2.gz YKYWOHMJSWJANI-OAHLLOKOSA-N 1 2 298.430 3.570 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1CC(=O)NCCC1=CCCCC1 ZINC000541203450 1128011172 /nfs/dbraw/zinc/01/11/72/1128011172.db2.gz YKYWOHMJSWJANI-OAHLLOKOSA-N 1 2 298.430 3.570 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)CC1(C)C ZINC000545417331 1128014588 /nfs/dbraw/zinc/01/45/88/1128014588.db2.gz KSZANNJKMVKKFM-CYBMUJFWSA-N 1 2 291.439 3.985 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)CC1(C)C ZINC000545417331 1128014594 /nfs/dbraw/zinc/01/45/94/1128014594.db2.gz KSZANNJKMVKKFM-CYBMUJFWSA-N 1 2 291.439 3.985 20 0 CHADLO CC[C@@H]1CCC[C@@H](C[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000545600934 1128024041 /nfs/dbraw/zinc/02/40/41/1128024041.db2.gz YOJVONSHOWQSRS-BFYDXBDKSA-N 1 2 298.434 3.700 20 0 CHADLO FC(F)C[N@H+](CC1CCC1)C[C@H]1CCC2(CCCC2)O1 ZINC000669932262 1128066015 /nfs/dbraw/zinc/06/60/15/1128066015.db2.gz AWCRKIRLVMYUST-CQSZACIVSA-N 1 2 287.394 3.845 20 0 CHADLO FC(F)C[N@@H+](CC1CCC1)C[C@H]1CCC2(CCCC2)O1 ZINC000669932262 1128066019 /nfs/dbraw/zinc/06/60/19/1128066019.db2.gz AWCRKIRLVMYUST-CQSZACIVSA-N 1 2 287.394 3.845 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(N3CCCCC3)nc2)c1 ZINC000470516348 1128072879 /nfs/dbraw/zinc/07/28/79/1128072879.db2.gz PEOXWWXJYCBNFZ-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Cc1ccccc1[C@H](C)c1noc([C@@H]2C[C@H]2c2c[nH]c[nH+]2)n1 ZINC000923955231 1128088401 /nfs/dbraw/zinc/08/84/01/1128088401.db2.gz GLZVOJSBPFYGHI-IACUBPJLSA-N 1 2 294.358 3.524 20 0 CHADLO C[C@H](c1nc(C(C)(C)C2CCCCC2)no1)[NH+](C)C ZINC000924024540 1128089029 /nfs/dbraw/zinc/08/90/29/1128089029.db2.gz DVCHAMXIJDILSM-LLVKDONJSA-N 1 2 265.401 3.550 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1ccoc1 ZINC000777505246 1128094804 /nfs/dbraw/zinc/09/48/04/1128094804.db2.gz OTCAUJHIBBBOHE-UHFFFAOYSA-N 1 2 255.321 3.651 20 0 CHADLO Cc1cc(C)c(NC(=O)C2C(C)(C)C2(C)C)c(C)[nH+]1 ZINC000174029064 1128095953 /nfs/dbraw/zinc/09/59/53/1128095953.db2.gz HRKQTUXRHQHURI-UHFFFAOYSA-N 1 2 260.381 3.628 20 0 CHADLO CCC[C@H]([NH2+]Cc1ccco1)c1ncccc1Cl ZINC000924540283 1128102783 /nfs/dbraw/zinc/10/27/83/1128102783.db2.gz ONKXWLBFELVALF-ZDUSSCGKSA-N 1 2 264.756 3.959 20 0 CHADLO CCc1ccc(/C=C\C(=O)Nc2c(C)cc(C)[nH+]c2C)o1 ZINC000492559895 1128103202 /nfs/dbraw/zinc/10/32/02/1128103202.db2.gz IBAWNTBBOWYHAG-HJWRWDBZSA-N 1 2 284.359 3.814 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(OC(F)F)c1)c1ncco1 ZINC000924676914 1128109812 /nfs/dbraw/zinc/10/98/12/1128109812.db2.gz SZJCREBYGHZRHM-NXEZZACHSA-N 1 2 282.290 3.688 20 0 CHADLO COc1ccnc([C@H](C)[NH2+][C@H](C)c2c(F)cccc2F)c1 ZINC000924720463 1128110972 /nfs/dbraw/zinc/11/09/72/1128110972.db2.gz IEOMCQSICWBORF-WDEREUQCSA-N 1 2 292.329 3.780 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+]Cc2ccc(F)c(Cl)c2)nn1 ZINC000924904160 1128116553 /nfs/dbraw/zinc/11/65/53/1128116553.db2.gz ZLOAJOFGHGFITF-SNVBAGLBSA-N 1 2 296.777 3.502 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2ccns2)cs1 ZINC000925035043 1128119422 /nfs/dbraw/zinc/11/94/22/1128119422.db2.gz XEHPXOOVFAVSIC-BDAKNGLRSA-N 1 2 267.423 3.574 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCc2oc(C)nc21)c1c(C)noc1C ZINC000925637035 1128135226 /nfs/dbraw/zinc/13/52/26/1128135226.db2.gz GXLBPEDUDFPMFR-QWHCGFSZSA-N 1 2 289.379 3.706 20 0 CHADLO CCCCN(C(=O)/C=C\c1[nH]cc[nH+]1)[C@H](C)c1ccccc1 ZINC000493402446 1128140656 /nfs/dbraw/zinc/14/06/56/1128140656.db2.gz QBRPDBGYNKRYGN-JQPIKURYSA-N 1 2 297.402 3.813 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@H]2c2ccccn2)s1 ZINC000542467359 1128140060 /nfs/dbraw/zinc/14/00/60/1128140060.db2.gz SQRWDOYMVHZJFY-AWEZNQCLSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@H]2c2ccccn2)s1 ZINC000542467359 1128140061 /nfs/dbraw/zinc/14/00/61/1128140061.db2.gz SQRWDOYMVHZJFY-AWEZNQCLSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1cc([C@H]([NH2+][C@H](C)c2ncco2)C2CC2)ccc1F ZINC000925642898 1128135858 /nfs/dbraw/zinc/13/58/58/1128135858.db2.gz HTAKVXJBCKRNLQ-IAQYHMDHSA-N 1 2 274.339 3.924 20 0 CHADLO C[C@@H](Cc1ccccn1)Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000925759875 1128141253 /nfs/dbraw/zinc/14/12/53/1128141253.db2.gz QXSAHDMKKUBIFI-HNNXBMFYSA-N 1 2 296.418 3.510 20 0 CHADLO CC(C)c1nsc(C[N@@H+]2CCS[C@@H]3CCCC[C@@H]32)n1 ZINC000929816848 1128157486 /nfs/dbraw/zinc/15/74/86/1128157486.db2.gz LOXNWMBSEQKYGK-NWDGAFQWSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)c1nsc(C[N@H+]2CCS[C@@H]3CCCC[C@@H]32)n1 ZINC000929816848 1128157487 /nfs/dbraw/zinc/15/74/87/1128157487.db2.gz LOXNWMBSEQKYGK-NWDGAFQWSA-N 1 2 297.493 3.522 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)on1 ZINC000926251523 1128163185 /nfs/dbraw/zinc/16/31/85/1128163185.db2.gz QMASBSGBRFYUGU-WDEREUQCSA-N 1 2 286.331 3.841 20 0 CHADLO C[C@H]1CC[N@H+](CCCOCCc2ccccc2)CC1(F)F ZINC000494235848 1128167163 /nfs/dbraw/zinc/16/71/63/1128167163.db2.gz ZIMZKLPVOBUCSY-HNNXBMFYSA-N 1 2 297.389 3.613 20 0 CHADLO C[C@H]1CC[N@@H+](CCCOCCc2ccccc2)CC1(F)F ZINC000494235848 1128167165 /nfs/dbraw/zinc/16/71/65/1128167165.db2.gz ZIMZKLPVOBUCSY-HNNXBMFYSA-N 1 2 297.389 3.613 20 0 CHADLO C[C@@H]1CC[N@H+](CCCCOc2ccccc2)CC1(F)F ZINC000494275817 1128167878 /nfs/dbraw/zinc/16/78/78/1128167878.db2.gz CHXFDTKCQSKRKT-CQSZACIVSA-N 1 2 283.362 3.823 20 0 CHADLO C[C@@H]1CC[N@@H+](CCCCOc2ccccc2)CC1(F)F ZINC000494275817 1128167880 /nfs/dbraw/zinc/16/78/80/1128167880.db2.gz CHXFDTKCQSKRKT-CQSZACIVSA-N 1 2 283.362 3.823 20 0 CHADLO Cc1[nH]c(CN2CCC(=Cc3ccccc3F)CC2)c(C)[nH+]1 ZINC000929821604 1128171501 /nfs/dbraw/zinc/17/15/01/1128171501.db2.gz BDZVJYXNBGJVCD-UHFFFAOYSA-N 1 2 299.393 3.845 20 0 CHADLO CCC[C@H]([NH2+]Cc1snnc1C)c1ncccc1Cl ZINC000926475639 1128174224 /nfs/dbraw/zinc/17/42/24/1128174224.db2.gz ZRSZNWHSMDIQEV-NSHDSACASA-N 1 2 296.827 3.526 20 0 CHADLO C[C@H](N[C@@H]1C[C@@]1(F)c1ccccc1)c1cn2c([nH+]1)CCCC2 ZINC000926614844 1128183988 /nfs/dbraw/zinc/18/39/88/1128183988.db2.gz LRVYHQQBFIGHOV-FDQGKXFDSA-N 1 2 299.393 3.507 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1cncc(F)c1 ZINC000225653424 1128187581 /nfs/dbraw/zinc/18/75/81/1128187581.db2.gz NZAFNYOFMMBEGS-CYBMUJFWSA-N 1 2 285.366 3.994 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@H](c1ccccn1)C1CCC1 ZINC000926753056 1128192258 /nfs/dbraw/zinc/19/22/58/1128192258.db2.gz PEWUIMXHZPAZIU-RDJZCZTQSA-N 1 2 297.402 3.886 20 0 CHADLO FCc1ccc(C[N@@H+]2CCc3ccc(F)c(F)c3C2)cc1 ZINC000777605670 1128194481 /nfs/dbraw/zinc/19/44/81/1128194481.db2.gz SJCUGOKAMOAJOA-UHFFFAOYSA-N 1 2 291.316 3.993 20 0 CHADLO FCc1ccc(C[N@H+]2CCc3ccc(F)c(F)c3C2)cc1 ZINC000777605670 1128194482 /nfs/dbraw/zinc/19/44/82/1128194482.db2.gz SJCUGOKAMOAJOA-UHFFFAOYSA-N 1 2 291.316 3.993 20 0 CHADLO Cc1[nH]c(CN(C)[C@@H](C)c2cccc(Cl)c2)c(C)[nH+]1 ZINC000929291618 1128268714 /nfs/dbraw/zinc/26/87/14/1128268714.db2.gz SVVJKZTUUXUWGU-NSHDSACASA-N 1 2 277.799 3.873 20 0 CHADLO C[C@@H](c1ncccn1)[N@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000929210560 1128264166 /nfs/dbraw/zinc/26/41/66/1128264166.db2.gz QDXHZBVYDCLIHM-AWEZNQCLSA-N 1 2 283.419 3.967 20 0 CHADLO C[C@@H](c1ncccn1)[N@@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000929210560 1128264169 /nfs/dbraw/zinc/26/41/69/1128264169.db2.gz QDXHZBVYDCLIHM-AWEZNQCLSA-N 1 2 283.419 3.967 20 0 CHADLO COc1ncc(C[N@H+](C)[C@H](C)c2ccc(F)c(F)c2)s1 ZINC000637887346 1128265773 /nfs/dbraw/zinc/26/57/73/1128265773.db2.gz YGLUPEQKDSLMJH-SECBINFHSA-N 1 2 298.358 3.623 20 0 CHADLO COc1ncc(C[N@@H+](C)[C@H](C)c2ccc(F)c(F)c2)s1 ZINC000637887346 1128265776 /nfs/dbraw/zinc/26/57/76/1128265776.db2.gz YGLUPEQKDSLMJH-SECBINFHSA-N 1 2 298.358 3.623 20 0 CHADLO CC[N@H+](C/C=C\c1ccncc1)Cc1c(F)cccc1F ZINC000494957595 1128216073 /nfs/dbraw/zinc/21/60/73/1128216073.db2.gz UUERUKIXYPSKMK-PLNGDYQASA-N 1 2 288.341 3.895 20 0 CHADLO CC[N@@H+](C/C=C\c1ccncc1)Cc1c(F)cccc1F ZINC000494957595 1128216076 /nfs/dbraw/zinc/21/60/76/1128216076.db2.gz UUERUKIXYPSKMK-PLNGDYQASA-N 1 2 288.341 3.895 20 0 CHADLO CC(C)c1nc(C[NH2+][C@@H](C)c2cncs2)cs1 ZINC000153255678 1128217996 /nfs/dbraw/zinc/21/79/96/1128217996.db2.gz LDRUNRUTKIQOBT-VIFPVBQESA-N 1 2 267.423 3.574 20 0 CHADLO Clc1csc(C[N@@H+]2CCOCC23CCCC3)c1 ZINC000494964520 1128218414 /nfs/dbraw/zinc/21/84/14/1128218414.db2.gz QGNMKMULTWDFAC-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Clc1csc(C[N@H+]2CCOCC23CCCC3)c1 ZINC000494964520 1128218415 /nfs/dbraw/zinc/21/84/15/1128218415.db2.gz QGNMKMULTWDFAC-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO CSCCCCC[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000494997500 1128222908 /nfs/dbraw/zinc/22/29/08/1128222908.db2.gz PNWCOUKKRDRZOZ-AWEZNQCLSA-N 1 2 296.484 3.549 20 0 CHADLO CCC1(C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CCCC1 ZINC000495050398 1128226173 /nfs/dbraw/zinc/22/61/73/1128226173.db2.gz TXLASAOJSCAUEF-HNNXBMFYSA-N 1 2 290.455 3.986 20 0 CHADLO CCCC[C@@H](COC)Nc1ccc([NH+](C)C)c(C)c1 ZINC000777644085 1128233596 /nfs/dbraw/zinc/23/35/96/1128233596.db2.gz ZODYNCLLQFXNSM-HNNXBMFYSA-N 1 2 264.413 3.678 20 0 CHADLO COC[C@@H](CC(C)C)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000777653263 1128234017 /nfs/dbraw/zinc/23/40/17/1128234017.db2.gz JWLQWJHWVNTENP-MRXNPFEDSA-N 1 2 276.424 3.542 20 0 CHADLO COC[C@@H](CC(C)C)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000777653263 1128234020 /nfs/dbraw/zinc/23/40/20/1128234020.db2.gz JWLQWJHWVNTENP-MRXNPFEDSA-N 1 2 276.424 3.542 20 0 CHADLO C[N@@H+](Cc1nccs1)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000929767505 1128286224 /nfs/dbraw/zinc/28/62/24/1128286224.db2.gz GUFYJNRUOBAFCT-TZMCWYRMSA-N 1 2 294.370 3.624 20 0 CHADLO C[N@H+](Cc1nccs1)C[C@@H]1[C@@H](c2ccccc2)C1(F)F ZINC000929767505 1128286226 /nfs/dbraw/zinc/28/62/26/1128286226.db2.gz GUFYJNRUOBAFCT-TZMCWYRMSA-N 1 2 294.370 3.624 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000929766487 1128286675 /nfs/dbraw/zinc/28/66/75/1128286675.db2.gz MZRJQNIUPKYNEH-RYUDHWBXSA-N 1 2 256.324 3.859 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000929766487 1128286678 /nfs/dbraw/zinc/28/66/78/1128286678.db2.gz MZRJQNIUPKYNEH-RYUDHWBXSA-N 1 2 256.324 3.859 20 0 CHADLO CC(C)c1nsc(C[NH2+][C@@H](C)c2ccccc2)n1 ZINC000930182588 1128304641 /nfs/dbraw/zinc/30/46/41/1128304641.db2.gz OUAPAMAVGNBGMN-NSHDSACASA-N 1 2 261.394 3.512 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1c(F)ccc(C)c1F ZINC000930222078 1128308437 /nfs/dbraw/zinc/30/84/37/1128308437.db2.gz MCTVDZURIPGIRC-SECBINFHSA-N 1 2 280.318 3.729 20 0 CHADLO CC(C)c1cnc(C[NH2+]C(C)(C)c2cccs2)o1 ZINC000754829628 1128309130 /nfs/dbraw/zinc/30/91/30/1128309130.db2.gz HLFZNWLSMDZQEU-UHFFFAOYSA-N 1 2 264.394 3.884 20 0 CHADLO C[C@H](c1ncccn1)[N@H+]1CC=C(c2cccc(Cl)c2)CC1 ZINC000930285943 1128313103 /nfs/dbraw/zinc/31/31/03/1128313103.db2.gz ZQQJOBGEPIUBSE-CYBMUJFWSA-N 1 2 299.805 3.980 20 0 CHADLO C[C@H](c1ncccn1)[N@@H+]1CC=C(c2cccc(Cl)c2)CC1 ZINC000930285943 1128313106 /nfs/dbraw/zinc/31/31/06/1128313106.db2.gz ZQQJOBGEPIUBSE-CYBMUJFWSA-N 1 2 299.805 3.980 20 0 CHADLO CCCC(CCC)C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001127365713 1128313483 /nfs/dbraw/zinc/31/34/83/1128313483.db2.gz NHLPOQXUPLXOEK-UHFFFAOYSA-N 1 2 277.412 3.611 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2ccccc2CF)n1 ZINC000930476604 1128320067 /nfs/dbraw/zinc/32/00/67/1128320067.db2.gz MPGOUJFEBNWYPU-HNNXBMFYSA-N 1 2 289.354 3.575 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2ccccc2CF)n1 ZINC000930476604 1128320073 /nfs/dbraw/zinc/32/00/73/1128320073.db2.gz MPGOUJFEBNWYPU-HNNXBMFYSA-N 1 2 289.354 3.575 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cc(F)c(F)c(F)c1F ZINC001235339390 1128324824 /nfs/dbraw/zinc/32/48/24/1128324824.db2.gz IPIKYSNNVYYVBG-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cc(F)c(F)c(F)c1F ZINC001235339390 1128324827 /nfs/dbraw/zinc/32/48/27/1128324827.db2.gz IPIKYSNNVYYVBG-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC000930650931 1128328959 /nfs/dbraw/zinc/32/89/59/1128328959.db2.gz RAAHEAROYLYOEQ-AWEZNQCLSA-N 1 2 287.325 3.634 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC000930650931 1128328962 /nfs/dbraw/zinc/32/89/62/1128328962.db2.gz RAAHEAROYLYOEQ-AWEZNQCLSA-N 1 2 287.325 3.634 20 0 CHADLO Brc1ccc(C[NH+]2CC(c3ccccc3)C2)o1 ZINC000393569335 1128343877 /nfs/dbraw/zinc/34/38/77/1128343877.db2.gz HQERTPZFRCSPCA-UHFFFAOYSA-N 1 2 292.176 3.642 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]Cc1nc(C2CCC2)no1 ZINC000932561174 1128414344 /nfs/dbraw/zinc/41/43/44/1128414344.db2.gz GAXMFADVABQUBX-NSHDSACASA-N 1 2 289.354 3.635 20 0 CHADLO CC(C)([NH2+]Cc1nncs1)c1ccc2ccccc2c1 ZINC000931335509 1128357304 /nfs/dbraw/zinc/35/73/04/1128357304.db2.gz WSPPXBNAAQIHPN-UHFFFAOYSA-N 1 2 283.400 3.716 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC000932556597 1128414578 /nfs/dbraw/zinc/41/45/78/1128414578.db2.gz NLLNFEGOWXYKHD-GHMZBOCLSA-N 1 2 289.354 3.806 20 0 CHADLO CCc1nc(C[N@H+](Cc2ccccc2)C2CCCCC2)no1 ZINC000054338418 1128361312 /nfs/dbraw/zinc/36/13/12/1128361312.db2.gz MUCOOFUOPMZXBP-UHFFFAOYSA-N 1 2 299.418 3.967 20 0 CHADLO CCc1nc(C[N@@H+](Cc2ccccc2)C2CCCCC2)no1 ZINC000054338418 1128361315 /nfs/dbraw/zinc/36/13/15/1128361315.db2.gz MUCOOFUOPMZXBP-UHFFFAOYSA-N 1 2 299.418 3.967 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(C(C)(C)C)n1)c1cc2cnccc2o1 ZINC000931566542 1128369737 /nfs/dbraw/zinc/36/97/37/1128369737.db2.gz YKUSFNKZVOPLAG-LLVKDONJSA-N 1 2 299.374 3.964 20 0 CHADLO C[C@@H]1CCC[C@@H](OC(=O)c2cccc(Cn3cc[nH+]c3)c2)C1 ZINC000916301996 1128415634 /nfs/dbraw/zinc/41/56/34/1128415634.db2.gz LTGUCKKFHYAAJF-RHSMWYFYSA-N 1 2 298.386 3.667 20 0 CHADLO Cc1ccnc(NCc2ccc(-n3cc[nH+]c3)cc2)c1Cl ZINC000763204589 1128375723 /nfs/dbraw/zinc/37/57/23/1128375723.db2.gz VCCZODFHFFSNQR-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO CCN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@H]1CCCC[C@H]1C ZINC000931700426 1128377855 /nfs/dbraw/zinc/37/78/55/1128377855.db2.gz OHMNABJUSCIWME-WBMJQRKESA-N 1 2 285.391 3.604 20 0 CHADLO Cc1c(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2F)cnn1C ZINC000562499308 1128405392 /nfs/dbraw/zinc/40/53/92/1128405392.db2.gz OPPYKAHQSNWMJM-LLVKDONJSA-N 1 2 295.789 3.714 20 0 CHADLO Cc1c(C[N@H+](C)[C@H](C)c2cccc(Cl)c2F)cnn1C ZINC000562499308 1128405394 /nfs/dbraw/zinc/40/53/94/1128405394.db2.gz OPPYKAHQSNWMJM-LLVKDONJSA-N 1 2 295.789 3.714 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@H](c2ccccn2)C2CC2)c1F ZINC000932387085 1128406878 /nfs/dbraw/zinc/40/68/78/1128406878.db2.gz WJMGVPWRRBLFLL-KRWDZBQOSA-N 1 2 288.341 3.909 20 0 CHADLO Fc1cccc(C[N@H+](Cc2nccs2)C2CCC2)c1 ZINC000932403353 1128407959 /nfs/dbraw/zinc/40/79/59/1128407959.db2.gz QXKPSKYCOYTQDM-UHFFFAOYSA-N 1 2 276.380 3.837 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2nccs2)C2CCC2)c1 ZINC000932403353 1128407960 /nfs/dbraw/zinc/40/79/60/1128407960.db2.gz QXKPSKYCOYTQDM-UHFFFAOYSA-N 1 2 276.380 3.837 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3[C@H](C)C2)cs1 ZINC000916152733 1128409946 /nfs/dbraw/zinc/40/99/46/1128409946.db2.gz XLRCXMWNGYAJIJ-LLVKDONJSA-N 1 2 258.390 3.571 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3[C@H](C)C2)cs1 ZINC000916152733 1128409950 /nfs/dbraw/zinc/40/99/50/1128409950.db2.gz XLRCXMWNGYAJIJ-LLVKDONJSA-N 1 2 258.390 3.571 20 0 CHADLO O=C(/C=C\c1ccsc1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000916418444 1128419723 /nfs/dbraw/zinc/41/97/23/1128419723.db2.gz GODJYZJKPKTOKZ-PLNGDYQASA-N 1 2 295.367 3.586 20 0 CHADLO CC(C)C[C@@H](NC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000562850714 1128423960 /nfs/dbraw/zinc/42/39/60/1128423960.db2.gz HUTSHTJRIIZJPI-DOTOQJQBSA-N 1 2 299.418 3.738 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+][C@@H](c1ccccc1)C(C)C ZINC000037283330 1128430063 /nfs/dbraw/zinc/43/00/63/1128430063.db2.gz DNVQKQJYVPUUJR-JKSUJKDBSA-N 1 2 277.408 3.561 20 0 CHADLO OC[C@H]([NH2+]Cc1ccccc1)c1c(Cl)cccc1Cl ZINC000038110914 1128438558 /nfs/dbraw/zinc/43/85/58/1128438558.db2.gz RQNKYHRSHUYAIR-AWEZNQCLSA-N 1 2 296.197 3.817 20 0 CHADLO Clc1cnc(C[N@@H+]2CCCSC[C@H]2C2CC2)s1 ZINC000933917503 1128447055 /nfs/dbraw/zinc/44/70/55/1128447055.db2.gz LUYNNVPXLNYQME-JTQLQIEISA-N 1 2 288.869 3.514 20 0 CHADLO Clc1cnc(C[N@H+]2CCCSC[C@H]2C2CC2)s1 ZINC000933917503 1128447058 /nfs/dbraw/zinc/44/70/58/1128447058.db2.gz LUYNNVPXLNYQME-JTQLQIEISA-N 1 2 288.869 3.514 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CCc2ncsc2C1 ZINC000563037276 1128447176 /nfs/dbraw/zinc/44/71/76/1128447176.db2.gz ZAPSQSJWWXUVRG-UHFFFAOYSA-N 1 2 281.384 3.562 20 0 CHADLO Cc1ccccc1[C@@H](C(C)C)[N@H+](C)Cc1csnn1 ZINC000125120490 1128447159 /nfs/dbraw/zinc/44/71/59/1128447159.db2.gz YHILGAHIMLNBSE-OAHLLOKOSA-N 1 2 275.421 3.676 20 0 CHADLO Cc1ccccc1[C@@H](C(C)C)[N@@H+](C)Cc1csnn1 ZINC000125120490 1128447161 /nfs/dbraw/zinc/44/71/61/1128447161.db2.gz YHILGAHIMLNBSE-OAHLLOKOSA-N 1 2 275.421 3.676 20 0 CHADLO CC(C)(C)CC(C)(C)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000934315920 1128457710 /nfs/dbraw/zinc/45/77/10/1128457710.db2.gz HQAAEKMKLPMMDD-UHFFFAOYSA-N 1 2 291.439 3.578 20 0 CHADLO CC(C)(C)CC(C)(C)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000934315920 1128457711 /nfs/dbraw/zinc/45/77/11/1128457711.db2.gz HQAAEKMKLPMMDD-UHFFFAOYSA-N 1 2 291.439 3.578 20 0 CHADLO Fc1ccc2[nH]c(-c3ccc(-n4cc[nH+]c4)cc3)nc2c1 ZINC000916854948 1128458177 /nfs/dbraw/zinc/45/81/77/1128458177.db2.gz QBUGRLPSKBTYQU-UHFFFAOYSA-N 1 2 278.290 3.555 20 0 CHADLO Cc1ccnc(C[N@H+]2CC=C(c3cccc(C)c3C)CC2)n1 ZINC000934842424 1128470732 /nfs/dbraw/zinc/47/07/32/1128470732.db2.gz XXYKFOLKHTXHHC-UHFFFAOYSA-N 1 2 293.414 3.691 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC=C(c3cccc(C)c3C)CC2)n1 ZINC000934842424 1128470734 /nfs/dbraw/zinc/47/07/34/1128470734.db2.gz XXYKFOLKHTXHHC-UHFFFAOYSA-N 1 2 293.414 3.691 20 0 CHADLO CCC[C@H](C)CC[C@@H](C)[N@@H+]1C[C@@H](CO)CC(F)(F)C1 ZINC001257475897 1128479550 /nfs/dbraw/zinc/47/95/50/1128479550.db2.gz IAGCRYSEQSXHJW-MJBXVCDLSA-N 1 2 277.399 3.541 20 0 CHADLO CCC[C@H](C)CC[C@@H](C)[N@H+]1C[C@@H](CO)CC(F)(F)C1 ZINC001257475897 1128479552 /nfs/dbraw/zinc/47/95/52/1128479552.db2.gz IAGCRYSEQSXHJW-MJBXVCDLSA-N 1 2 277.399 3.541 20 0 CHADLO Clc1ccc2c(c1)[C@H]([NH2+]Cc1nccs1)CCCO2 ZINC000128126800 1128487140 /nfs/dbraw/zinc/48/71/40/1128487140.db2.gz NDMJPBNYJXXCTF-GFCCVEGCSA-N 1 2 294.807 3.800 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1nc2ccccc2s1 ZINC000067715881 1128487441 /nfs/dbraw/zinc/48/74/41/1128487441.db2.gz VKXWHHYNKVINJX-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1nc2ccccc2s1 ZINC000067715881 1128487443 /nfs/dbraw/zinc/48/74/43/1128487443.db2.gz VKXWHHYNKVINJX-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO CC(C)OC[C@@H]1C[N@@H+]([C@H](C)c2ccc(Cl)cc2)CCO1 ZINC000564130100 1128495066 /nfs/dbraw/zinc/49/50/66/1128495066.db2.gz WNDWYXNJFYBHQT-CJNGLKHVSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@@H]1C[N@H+]([C@H](C)c2ccc(Cl)cc2)CCO1 ZINC000564130100 1128495068 /nfs/dbraw/zinc/49/50/68/1128495068.db2.gz WNDWYXNJFYBHQT-CJNGLKHVSA-N 1 2 297.826 3.527 20 0 CHADLO CCC(CC)C[N@H+](CC)Cn1[nH]c(C(C)(C)C)nc1=S ZINC000917454025 1128501097 /nfs/dbraw/zinc/50/10/97/1128501097.db2.gz CMURKPUNNNUMKI-UHFFFAOYSA-N 1 2 298.500 3.954 20 0 CHADLO CCC(CC)C[N@@H+](CC)Cn1[nH]c(C(C)(C)C)nc1=S ZINC000917454025 1128501100 /nfs/dbraw/zinc/50/11/00/1128501100.db2.gz CMURKPUNNNUMKI-UHFFFAOYSA-N 1 2 298.500 3.954 20 0 CHADLO CN(c1ccccc1)c1ccc(C[N@@H+]2CCC[C@H](F)C2)cn1 ZINC000565099477 1128518926 /nfs/dbraw/zinc/51/89/26/1128518926.db2.gz KFTKNHMPSCNUGJ-INIZCTEOSA-N 1 2 299.393 3.783 20 0 CHADLO CN(c1ccccc1)c1ccc(C[N@H+]2CCC[C@H](F)C2)cn1 ZINC000565099477 1128518928 /nfs/dbraw/zinc/51/89/28/1128518928.db2.gz KFTKNHMPSCNUGJ-INIZCTEOSA-N 1 2 299.393 3.783 20 0 CHADLO CC(C)[C@H](c1ccccc1)N(C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000565049976 1128519443 /nfs/dbraw/zinc/51/94/43/1128519443.db2.gz WNZRCPTZGPKBHC-CRAIPNDOSA-N 1 2 299.418 3.690 20 0 CHADLO C[C@H]1CC[C@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000565055465 1128519518 /nfs/dbraw/zinc/51/95/18/1128519518.db2.gz XWRXEIRULIRGRW-HDJSIYSDSA-N 1 2 283.375 3.637 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3c(Cl)cccc3C2)nc1 ZINC000565128668 1128520040 /nfs/dbraw/zinc/52/00/40/1128520040.db2.gz GJNOOXBXSJOQBM-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3c(Cl)cccc3C2)nc1 ZINC000565128668 1128520044 /nfs/dbraw/zinc/52/00/44/1128520044.db2.gz GJNOOXBXSJOQBM-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO C[C@H](CC(=O)NC1CC(C)(C)CC(C)(C)C1)n1cc[nH+]c1 ZINC000565366420 1128525642 /nfs/dbraw/zinc/52/56/42/1128525642.db2.gz CIFJXZHEFPBMQT-CYBMUJFWSA-N 1 2 291.439 3.555 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2ncccc2F)c(Cl)c1 ZINC000566062706 1128537371 /nfs/dbraw/zinc/53/73/71/1128537371.db2.gz GHNFSQVIZSGYBG-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO CCC(CC)[N@H+](Cn1nc(C)sc1=S)C1CC1 ZINC000918180380 1128538533 /nfs/dbraw/zinc/53/85/33/1128538533.db2.gz ZPYLTRDSPHHQFZ-UHFFFAOYSA-N 1 2 271.455 3.593 20 0 CHADLO CCC(CC)[N@@H+](Cn1nc(C)sc1=S)C1CC1 ZINC000918180380 1128538535 /nfs/dbraw/zinc/53/85/35/1128538535.db2.gz ZPYLTRDSPHHQFZ-UHFFFAOYSA-N 1 2 271.455 3.593 20 0 CHADLO CC[N@H+](CCC#N)Cc1cc(Cl)nc2ccccc12 ZINC000154705817 1128542520 /nfs/dbraw/zinc/54/25/20/1128542520.db2.gz LTLJAUSUXUAMJP-UHFFFAOYSA-N 1 2 273.767 3.624 20 0 CHADLO CC[N@@H+](CCC#N)Cc1cc(Cl)nc2ccccc12 ZINC000154705817 1128542522 /nfs/dbraw/zinc/54/25/22/1128542522.db2.gz LTLJAUSUXUAMJP-UHFFFAOYSA-N 1 2 273.767 3.624 20 0 CHADLO CC[C@@H](c1ccccc1)N(CC)C(=O)[C@H](C)Cc1c[nH]c[nH+]1 ZINC000566436456 1128544453 /nfs/dbraw/zinc/54/44/53/1128544453.db2.gz KMMDQAYCHNXDFY-PBHICJAKSA-N 1 2 299.418 3.588 20 0 CHADLO CC[C@@H](c1ccccc1)N(CC)C(=O)[C@H](C)Cc1c[nH+]c[nH]1 ZINC000566436456 1128544456 /nfs/dbraw/zinc/54/44/56/1128544456.db2.gz KMMDQAYCHNXDFY-PBHICJAKSA-N 1 2 299.418 3.588 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+]CC2CC2)C(F)F)c1 ZINC000566473927 1128545144 /nfs/dbraw/zinc/54/51/44/1128545144.db2.gz FCSZELWFESZUFN-AWEZNQCLSA-N 1 2 269.335 3.780 20 0 CHADLO CC(C)[C@H]1CN(CCCn2cc[nH+]c2)c2ccccc21 ZINC000566777135 1128551430 /nfs/dbraw/zinc/55/14/30/1128551430.db2.gz OZQHUCGFGYINBE-MRXNPFEDSA-N 1 2 269.392 3.533 20 0 CHADLO CCC1CCC(C[NH2+][C@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000567021382 1128556345 /nfs/dbraw/zinc/55/63/45/1128556345.db2.gz STLVUMQUFGKTDJ-DQPZFDDXSA-N 1 2 298.434 3.700 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2C[C@H](C)n3ccnc32)c(Cl)c1 ZINC000568033597 1128577044 /nfs/dbraw/zinc/57/70/44/1128577044.db2.gz SFDBIDQERYZEFK-SMDDNHRTSA-N 1 2 275.783 3.641 20 0 CHADLO CC[C@H]1CCCC[C@@H]1C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001127454919 1128581526 /nfs/dbraw/zinc/58/15/26/1128581526.db2.gz VKBMSKQMRBNGRL-KBPBESRZSA-N 1 2 289.423 3.611 20 0 CHADLO Cc1[nH]c(CNc2cccc(OC(F)(F)F)c2)[nH+]c1C ZINC000568534017 1128586956 /nfs/dbraw/zinc/58/69/56/1128586956.db2.gz SDNCBHNZZJDASH-UHFFFAOYSA-N 1 2 285.269 3.537 20 0 CHADLO C[C@H](C(=O)C(C)(C)C)n1c[nH+]c(Cc2ccccc2)c1 ZINC000919156016 1128592158 /nfs/dbraw/zinc/59/21/58/1128592158.db2.gz JXESGMOJLUERHL-CYBMUJFWSA-N 1 2 270.376 3.650 20 0 CHADLO CC(C)(C)c1cc(Cn2c[nH+]c(Cc3ccccc3)c2)no1 ZINC000919152112 1128592202 /nfs/dbraw/zinc/59/22/02/1128592202.db2.gz YFKQEXCAJDIOLL-UHFFFAOYSA-N 1 2 295.386 3.808 20 0 CHADLO CC1(C)CCC[C@H](n2c[nH+]c(Cc3ccccc3)c2)C1=O ZINC000919149724 1128592441 /nfs/dbraw/zinc/59/24/41/1128592441.db2.gz DEBKQLFIXPPYCX-INIZCTEOSA-N 1 2 282.387 3.794 20 0 CHADLO Cc1ccccc1-c1noc([C@@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923760588 1128593510 /nfs/dbraw/zinc/59/35/10/1128593510.db2.gz UUHYITSQESYFBI-OAHLLOKOSA-N 1 2 296.374 3.877 20 0 CHADLO CCc1c2cc(C)ccc2oc1[C@@H](C)[NH2+]Cc1ncccn1 ZINC000568883567 1128593739 /nfs/dbraw/zinc/59/37/39/1128593739.db2.gz MMKYJVHVCRYISI-CYBMUJFWSA-N 1 2 295.386 3.944 20 0 CHADLO Cc1ccc(Nc2ccc(CO)c(C(F)(F)F)c2)c(C)[nH+]1 ZINC001214675279 1128595185 /nfs/dbraw/zinc/59/51/85/1128595185.db2.gz IIZOYELVNUJHDB-UHFFFAOYSA-N 1 2 296.292 3.953 20 0 CHADLO CCc1cccc(NCc2[nH]c(C)c(C)[nH+]2)c1CC ZINC000569001891 1128596007 /nfs/dbraw/zinc/59/60/07/1128596007.db2.gz NZXAWNZAPLNFDW-UHFFFAOYSA-N 1 2 257.381 3.763 20 0 CHADLO Cc1nnsc1C[N@H+](Cc1ccccc1F)CC(C)C ZINC000570098575 1128615437 /nfs/dbraw/zinc/61/54/37/1128615437.db2.gz TWELCMIAQIKSSP-UHFFFAOYSA-N 1 2 293.411 3.644 20 0 CHADLO Cc1nnsc1C[N@@H+](Cc1ccccc1F)CC(C)C ZINC000570098575 1128615438 /nfs/dbraw/zinc/61/54/38/1128615438.db2.gz TWELCMIAQIKSSP-UHFFFAOYSA-N 1 2 293.411 3.644 20 0 CHADLO CC1(C)[C@H]([NH2+]C2(C(F)F)CC2)C[C@@H]1Oc1ccccc1 ZINC000556575023 1128604958 /nfs/dbraw/zinc/60/49/58/1128604958.db2.gz XQXCNBCWQLSNNK-OLZOCXBDSA-N 1 2 281.346 3.620 20 0 CHADLO C[N@H+](C/C=C/Cl)Cc1ccccc1OC(F)(F)F ZINC000043688492 1128606064 /nfs/dbraw/zinc/60/60/64/1128606064.db2.gz OIQXUULUJWRVLF-QPJJXVBHSA-N 1 2 279.689 3.770 20 0 CHADLO C[N@@H+](C/C=C/Cl)Cc1ccccc1OC(F)(F)F ZINC000043688492 1128606067 /nfs/dbraw/zinc/60/60/67/1128606067.db2.gz OIQXUULUJWRVLF-QPJJXVBHSA-N 1 2 279.689 3.770 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@H]1CCC(C)(C)C1 ZINC000569648844 1128606688 /nfs/dbraw/zinc/60/66/88/1128606688.db2.gz RAQMJZDOOGQNAE-HNNXBMFYSA-N 1 2 288.435 3.822 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CC[C@H](C)CC2)c(C)[nH+]1 ZINC000570217216 1128618390 /nfs/dbraw/zinc/61/83/90/1128618390.db2.gz WVZZTFFUDNRXOO-YPFXGUDJSA-N 1 2 260.381 3.772 20 0 CHADLO Fc1cc(N[C@H]2CC[C@@H]2C2CC2)ccc1-n1cc[nH+]c1 ZINC000570354567 1128621984 /nfs/dbraw/zinc/62/19/84/1128621984.db2.gz UIFJJEWYLLHQKM-HIFRSBDPSA-N 1 2 271.339 3.612 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(SC(C)C)cc2)o1 ZINC000542616252 1128639671 /nfs/dbraw/zinc/63/96/71/1128639671.db2.gz UBPWRQTZOPBDRY-NSHDSACASA-N 1 2 291.420 3.729 20 0 CHADLO CC[C@@H](F)C[N@H+](C)[C@]1(c2ccccc2)CCCCC1=O ZINC000572065390 1128641655 /nfs/dbraw/zinc/64/16/55/1128641655.db2.gz MNNSDJYHMRUVFF-WBVHZDCISA-N 1 2 277.383 3.705 20 0 CHADLO CC[C@@H](F)C[N@@H+](C)[C@]1(c2ccccc2)CCCCC1=O ZINC000572065390 1128641657 /nfs/dbraw/zinc/64/16/57/1128641657.db2.gz MNNSDJYHMRUVFF-WBVHZDCISA-N 1 2 277.383 3.705 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+](CC)Cc2ccccc2)n1 ZINC000132102485 1128643998 /nfs/dbraw/zinc/64/39/98/1128643998.db2.gz QHHBEZGFBNAIEG-CQSZACIVSA-N 1 2 287.407 3.995 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+](CC)Cc2ccccc2)n1 ZINC000132102485 1128644001 /nfs/dbraw/zinc/64/40/01/1128644001.db2.gz QHHBEZGFBNAIEG-CQSZACIVSA-N 1 2 287.407 3.995 20 0 CHADLO COc1ccc(C[N@@H+]2CCOC[C@H]2c2ccccc2)cc1C ZINC000556927093 1128646968 /nfs/dbraw/zinc/64/69/68/1128646968.db2.gz MMDVEIHXVCYBHW-SFHVURJKSA-N 1 2 297.398 3.577 20 0 CHADLO COc1ccc(C[N@H+]2CCOC[C@H]2c2ccccc2)cc1C ZINC000556927093 1128646973 /nfs/dbraw/zinc/64/69/73/1128646973.db2.gz MMDVEIHXVCYBHW-SFHVURJKSA-N 1 2 297.398 3.577 20 0 CHADLO C[C@@H]1CCC[C@H](C[NH2+][C@H](c2nnc[nH]2)c2ccccc2)[C@@H]1C ZINC000573522061 1128655499 /nfs/dbraw/zinc/65/54/99/1128655499.db2.gz XBUCPUKFDKCNGC-SRABZTEZSA-N 1 2 298.434 3.556 20 0 CHADLO CCC/C(C)=C\C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000920222761 1128674286 /nfs/dbraw/zinc/67/42/86/1128674286.db2.gz XXMWVSCINDMCBO-XFXZXTDPSA-N 1 2 287.338 3.696 20 0 CHADLO C[N@@H+](Cc1ccc2ccccc2c1)Cn1cc(Cl)cn1 ZINC000920256193 1128675047 /nfs/dbraw/zinc/67/50/47/1128675047.db2.gz ZAADWEZZVQMTQS-UHFFFAOYSA-N 1 2 285.778 3.779 20 0 CHADLO C[N@H+](Cc1ccc2ccccc2c1)Cn1cc(Cl)cn1 ZINC000920256193 1128675049 /nfs/dbraw/zinc/67/50/49/1128675049.db2.gz ZAADWEZZVQMTQS-UHFFFAOYSA-N 1 2 285.778 3.779 20 0 CHADLO C[C@H]1Cc2ccccc2[C@@H]1[NH2+]Cc1n[nH]c2ccccc21 ZINC000600816187 1128683130 /nfs/dbraw/zinc/68/31/30/1128683130.db2.gz SRSFYIWABPVPMV-KPZWWZAWSA-N 1 2 277.371 3.586 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](c1ccccc1)C1CCOCC1 ZINC000779065504 1128716236 /nfs/dbraw/zinc/71/62/36/1128716236.db2.gz PDKLXRDIFPBRFD-FZKQIMNGSA-N 1 2 299.418 3.537 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@@](F)(c3ccccc3)C2)s1 ZINC000578527957 1128720108 /nfs/dbraw/zinc/72/01/08/1128720108.db2.gz JFFDMZCEJLCVOZ-INIZCTEOSA-N 1 2 290.407 3.776 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@@](F)(c3ccccc3)C2)s1 ZINC000578527957 1128720110 /nfs/dbraw/zinc/72/01/10/1128720110.db2.gz JFFDMZCEJLCVOZ-INIZCTEOSA-N 1 2 290.407 3.776 20 0 CHADLO CCc1ccc(C[N@@H+]2Cc3ccc(C(=O)OC)cc3C2)cc1 ZINC000609767191 1128724845 /nfs/dbraw/zinc/72/48/45/1128724845.db2.gz HSWNWNCZTITZOM-UHFFFAOYSA-N 1 2 295.382 3.551 20 0 CHADLO CCc1ccc(C[N@H+]2Cc3ccc(C(=O)OC)cc3C2)cc1 ZINC000609767191 1128724849 /nfs/dbraw/zinc/72/48/49/1128724849.db2.gz HSWNWNCZTITZOM-UHFFFAOYSA-N 1 2 295.382 3.551 20 0 CHADLO C[C@H](C[N@@H+]1CCC[C@@H](F)C(F)(F)C1)c1ccccc1 ZINC001207899480 1117754779 /nfs/dbraw/zinc/75/47/79/1117754779.db2.gz BUZNIAWDLZLZOE-TZMCWYRMSA-N 1 2 271.326 3.859 20 0 CHADLO C[C@H](C[N@H+]1CCC[C@@H](F)C(F)(F)C1)c1ccccc1 ZINC001207899480 1117754785 /nfs/dbraw/zinc/75/47/85/1117754785.db2.gz BUZNIAWDLZLZOE-TZMCWYRMSA-N 1 2 271.326 3.859 20 0 CHADLO C[C@H](C[N@@H+]1CC[C@@H](CF)C(F)(F)C1)c1ccccc1 ZINC001207899898 1117755630 /nfs/dbraw/zinc/75/56/30/1117755630.db2.gz OPIWHJHDVYYYCD-OCCSQVGLSA-N 1 2 271.326 3.717 20 0 CHADLO C[C@H](C[N@H+]1CC[C@@H](CF)C(F)(F)C1)c1ccccc1 ZINC001207899898 1117755637 /nfs/dbraw/zinc/75/56/37/1117755637.db2.gz OPIWHJHDVYYYCD-OCCSQVGLSA-N 1 2 271.326 3.717 20 0 CHADLO CO[C@H](C(=O)Nc1c(C)cc(C)[nH+]c1C)C1CCCCC1 ZINC000622776412 1117765240 /nfs/dbraw/zinc/76/52/40/1117765240.db2.gz FHTOLOWDEMFIRL-INIZCTEOSA-N 1 2 290.407 3.541 20 0 CHADLO CO[C@@H](C(=O)Nc1c(C)cc(C)[nH+]c1C)C1CCCCC1 ZINC000622776413 1117765608 /nfs/dbraw/zinc/76/56/08/1117765608.db2.gz FHTOLOWDEMFIRL-MRXNPFEDSA-N 1 2 290.407 3.541 20 0 CHADLO Cc1cc(C)c(NC(=O)C2CCC3(CC3)CC2)c(C)[nH+]1 ZINC000622776992 1117767096 /nfs/dbraw/zinc/76/70/96/1117767096.db2.gz ZAWUBHDPHPOCOS-UHFFFAOYSA-N 1 2 272.392 3.916 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)o2)Cc2ccccc2O1 ZINC000430144474 1117773276 /nfs/dbraw/zinc/77/32/76/1117773276.db2.gz DOSATOVKZLAZJZ-LLVKDONJSA-N 1 2 277.751 3.716 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)o2)Cc2ccccc2O1 ZINC000430144474 1117773281 /nfs/dbraw/zinc/77/32/81/1117773281.db2.gz DOSATOVKZLAZJZ-LLVKDONJSA-N 1 2 277.751 3.716 20 0 CHADLO CCC[C@H]1[C@H](C)CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000654840591 1117788807 /nfs/dbraw/zinc/78/88/07/1117788807.db2.gz AJWAMIAQMHPBJD-CJNGLKHVSA-N 1 2 299.418 3.684 20 0 CHADLO CC(C)c1cnc(COc2cccc(-n3cc[nH+]c3)c2)o1 ZINC000072674197 1117797632 /nfs/dbraw/zinc/79/76/32/1117797632.db2.gz SHRDQEYMDCNALG-UHFFFAOYSA-N 1 2 283.331 3.563 20 0 CHADLO c1cnc2cc(CNc3c[nH+]cc4c3CCCC4)ccc2n1 ZINC000634572836 1117826308 /nfs/dbraw/zinc/82/63/08/1117826308.db2.gz PFCDPJJEKVYKHN-UHFFFAOYSA-N 1 2 290.370 3.516 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+]Cc3cccc(O)c3)co2)cc1 ZINC000072927069 1117844502 /nfs/dbraw/zinc/84/45/02/1117844502.db2.gz YWHDENLEJWALNW-UHFFFAOYSA-N 1 2 294.354 3.645 20 0 CHADLO CC(C)CCc1nc(C[N@H+]2C[C@H](C)[C@@H]2C)cs1 ZINC000339583150 1117888673 /nfs/dbraw/zinc/88/86/73/1117888673.db2.gz VLHBFWRKTMKACS-RYUDHWBXSA-N 1 2 252.427 3.572 20 0 CHADLO CC(C)CCc1nc(C[N@@H+]2C[C@H](C)[C@@H]2C)cs1 ZINC000339583150 1117888667 /nfs/dbraw/zinc/88/86/67/1117888667.db2.gz VLHBFWRKTMKACS-RYUDHWBXSA-N 1 2 252.427 3.572 20 0 CHADLO CCC(F)(F)C[NH2+]C/C(C)=C/c1ccccc1COC ZINC000623679390 1117888978 /nfs/dbraw/zinc/88/89/78/1117888978.db2.gz ULQWWDVYYFYNAW-UKTHLTGXSA-N 1 2 283.362 3.871 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1c(F)cccc1F ZINC000339599151 1117902260 /nfs/dbraw/zinc/90/22/60/1117902260.db2.gz RKSCAVCORWHIEL-UHFFFAOYSA-N 1 2 253.317 3.658 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1c(F)cccc1F ZINC000339599151 1117902264 /nfs/dbraw/zinc/90/22/64/1117902264.db2.gz RKSCAVCORWHIEL-UHFFFAOYSA-N 1 2 253.317 3.658 20 0 CHADLO CC[N@H+](Cc1ccncc1C)Cc1c(F)cccc1F ZINC000562515762 1117936527 /nfs/dbraw/zinc/93/65/27/1117936527.db2.gz CZBXXHUIVYIWPJ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccncc1C)Cc1c(F)cccc1F ZINC000562515762 1117936529 /nfs/dbraw/zinc/93/65/29/1117936529.db2.gz CZBXXHUIVYIWPJ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO COc1ccccc1CCC[N@@H+]1CCCCC(F)(F)C1 ZINC001208835748 1117938612 /nfs/dbraw/zinc/93/86/12/1117938612.db2.gz OSXXTWWVIMNMPO-UHFFFAOYSA-N 1 2 283.362 3.749 20 0 CHADLO COc1ccccc1CCC[N@H+]1CCCCC(F)(F)C1 ZINC001208835748 1117938615 /nfs/dbraw/zinc/93/86/15/1117938615.db2.gz OSXXTWWVIMNMPO-UHFFFAOYSA-N 1 2 283.362 3.749 20 0 CHADLO c1nc2c(s1)CCC[C@H]2[NH2+]Cc1ccc2ccccc2n1 ZINC000623874298 1117947287 /nfs/dbraw/zinc/94/72/87/1117947287.db2.gz FJHFKYJIKARUOX-OAHLLOKOSA-N 1 2 295.411 3.859 20 0 CHADLO Cc1ccnc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2cccc(F)c2)n1 ZINC000339661109 1117949760 /nfs/dbraw/zinc/94/97/60/1117949760.db2.gz QZKHIJZEOXFNHE-BLLLJJGKSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@H+]2C[C@@H](C)C[C@@H]2c2cccc(F)c2)n1 ZINC000339661109 1117949763 /nfs/dbraw/zinc/94/97/63/1117949763.db2.gz QZKHIJZEOXFNHE-BLLLJJGKSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@H+]2CC=C(c3ccc(Cl)cc3)CC2)n1 ZINC000339664382 1117949800 /nfs/dbraw/zinc/94/98/00/1117949800.db2.gz BLPQWMPARGYTMD-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC=C(c3ccc(Cl)cc3)CC2)n1 ZINC000339664382 1117949806 /nfs/dbraw/zinc/94/98/06/1117949806.db2.gz BLPQWMPARGYTMD-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO COc1cc(C)ccc1C[NH2+][C@@H]1CCCc2scnc21 ZINC000623904736 1117952637 /nfs/dbraw/zinc/95/26/37/1117952637.db2.gz IWIANMCRUPFYFJ-CYBMUJFWSA-N 1 2 288.416 3.627 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2nc(C)cs2)c[nH+]1 ZINC000623942910 1117959728 /nfs/dbraw/zinc/95/97/28/1117959728.db2.gz YDJSXGFZFUOVOK-GFCCVEGCSA-N 1 2 290.436 3.866 20 0 CHADLO Cc1cc(N2CC=C(C(F)(F)F)CC2)nc(C(C)C)[nH+]1 ZINC000339696914 1117959823 /nfs/dbraw/zinc/95/98/23/1117959823.db2.gz NMEPXCKMQXOLKE-UHFFFAOYSA-N 1 2 285.313 3.607 20 0 CHADLO COc1ccc[nH+]c1NC[C@H]1SCCc2ccccc21 ZINC000624024819 1117971494 /nfs/dbraw/zinc/97/14/94/1117971494.db2.gz ZYKYUXCKILCPHO-OAHLLOKOSA-N 1 2 286.400 3.533 20 0 CHADLO COc1cc(C)nc(C[N@@H+]2CCc3sccc3[C@@H]2C)c1 ZINC000339832419 1117982980 /nfs/dbraw/zinc/98/29/80/1117982980.db2.gz ADGMCEMOWCDLQG-LBPRGKRZSA-N 1 2 288.416 3.579 20 0 CHADLO COc1cc(C)nc(C[N@H+]2CCc3sccc3[C@@H]2C)c1 ZINC000339832419 1117982983 /nfs/dbraw/zinc/98/29/83/1117982983.db2.gz ADGMCEMOWCDLQG-LBPRGKRZSA-N 1 2 288.416 3.579 20 0 CHADLO CCN(Cc1cccc(F)c1)Cc1cc(OC)cc(C)[nH+]1 ZINC000339846234 1117985901 /nfs/dbraw/zinc/98/59/01/1117985901.db2.gz WLEJKJOJYGGLSU-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CC1=C[C@@H](C)C[N@@H+]([C@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000430284250 1117994147 /nfs/dbraw/zinc/99/41/47/1117994147.db2.gz LCIBWSXYEGAZRH-DGCLKSJQSA-N 1 2 292.810 3.565 20 0 CHADLO CC1=C[C@@H](C)C[N@H+]([C@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000430284250 1117994149 /nfs/dbraw/zinc/99/41/49/1117994149.db2.gz LCIBWSXYEGAZRH-DGCLKSJQSA-N 1 2 292.810 3.565 20 0 CHADLO Cc1cccc(CNc2ccc([NH+](C)C)cc2)c1F ZINC000083430662 1118017002 /nfs/dbraw/zinc/01/70/02/1118017002.db2.gz DFZNCVDKNXDGOC-UHFFFAOYSA-N 1 2 258.340 3.812 20 0 CHADLO COc1ccccc1[C@@H](C)N(C)c1[nH+]ccc(F)c1C ZINC001167108841 1118023888 /nfs/dbraw/zinc/02/38/88/1118023888.db2.gz IYOVQTRMFONRSV-GFCCVEGCSA-N 1 2 274.339 3.735 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2C[C@@H](C)[C@H]2c2ccccc2)o1 ZINC000081573745 1118025476 /nfs/dbraw/zinc/02/54/76/1118025476.db2.gz FZWIJOQOLKOTRM-DYVFJYSZSA-N 1 2 270.376 3.991 20 0 CHADLO CC(C)c1cnc(C[N@H+]2C[C@@H](C)[C@H]2c2ccccc2)o1 ZINC000081573745 1118025481 /nfs/dbraw/zinc/02/54/81/1118025481.db2.gz FZWIJOQOLKOTRM-DYVFJYSZSA-N 1 2 270.376 3.991 20 0 CHADLO CC[C@H](Nc1ccccc1OCCn1cc[nH+]c1)C(C)C ZINC000182940219 1118033460 /nfs/dbraw/zinc/03/34/60/1118033460.db2.gz CQMVSKQOYOSLNB-HNNXBMFYSA-N 1 2 287.407 3.809 20 0 CHADLO CCCCC[C@H](C(=O)Nc1cc(C)[nH+]cc1C)C(C)C ZINC001127733852 1118074541 /nfs/dbraw/zinc/07/45/41/1118074541.db2.gz PCPKTPJHMLPQLM-HNNXBMFYSA-N 1 2 276.424 3.911 20 0 CHADLO Cc1csc(C[NH2+][C@H](c2ccccc2)c2ccncc2)n1 ZINC000038090962 1118077085 /nfs/dbraw/zinc/07/70/85/1118077085.db2.gz MYRVDOMLYXIQOQ-QGZVFWFLSA-N 1 2 295.411 3.726 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)ccc2F)CCC1(F)F ZINC000579889428 1118080468 /nfs/dbraw/zinc/08/04/68/1118080468.db2.gz WIMWQSKTHKSQGM-SECBINFHSA-N 1 2 277.717 3.956 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)ccc2F)CCC1(F)F ZINC000579889428 1118080474 /nfs/dbraw/zinc/08/04/74/1118080474.db2.gz WIMWQSKTHKSQGM-SECBINFHSA-N 1 2 277.717 3.956 20 0 CHADLO COc1cc(F)c(C[NH+]2CCC(C)(F)CC2)cc1Cl ZINC001238789618 1118083491 /nfs/dbraw/zinc/08/34/91/1118083491.db2.gz ZIAPZWZNPXCUMM-UHFFFAOYSA-N 1 2 289.753 3.812 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCC[C@H](C3CC3)C2)c1 ZINC000652069065 1118091819 /nfs/dbraw/zinc/09/18/19/1118091819.db2.gz YKDOYJFTNVPPNX-GJZGRUSLSA-N 1 2 260.381 3.609 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3csc(C4CC4)n3)CC2)cc1 ZINC000340172994 1118096810 /nfs/dbraw/zinc/09/68/10/1118096810.db2.gz KQJBTCJHNBJVOX-UHFFFAOYSA-N 1 2 288.391 3.939 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CC[C@@H]3OCC[C@H]3C2)c1 ZINC001167212792 1118100200 /nfs/dbraw/zinc/10/02/00/1118100200.db2.gz GPOCMKVBVMXEJV-WFASDCNBSA-N 1 2 288.778 3.503 20 0 CHADLO C/C=C(/C=C\C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1)CC ZINC000764954990 1118126901 /nfs/dbraw/zinc/12/69/01/1118126901.db2.gz MDWKSKPXSMXNJJ-IQTRCOIWSA-N 1 2 299.349 3.862 20 0 CHADLO CCC[N@H+](CCSCc1ccccc1)CC(F)F ZINC001167267779 1118134877 /nfs/dbraw/zinc/13/48/77/1118134877.db2.gz JYATUIBKHITZPJ-UHFFFAOYSA-N 1 2 273.392 3.897 20 0 CHADLO CCC[N@@H+](CCSCc1ccccc1)CC(F)F ZINC001167267779 1118134878 /nfs/dbraw/zinc/13/48/78/1118134878.db2.gz JYATUIBKHITZPJ-UHFFFAOYSA-N 1 2 273.392 3.897 20 0 CHADLO CNc1ccc(Nc2ccc(OC)c(Cl)c2)c[nH+]1 ZINC001203456611 1118142548 /nfs/dbraw/zinc/14/25/48/1118142548.db2.gz MSMBPTVFQROKDZ-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO Cc1nc(NCc2cccc(C(F)(F)F)c2C)cc[nH+]1 ZINC001168539107 1118143806 /nfs/dbraw/zinc/14/38/06/1118143806.db2.gz RGWAITZXDGZCSH-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(OC(C)C)cc2)o1 ZINC000171977945 1118163082 /nfs/dbraw/zinc/16/30/82/1118163082.db2.gz ALLGEVLTLVRITA-NWDGAFQWSA-N 1 2 289.379 3.577 20 0 CHADLO C[C@H](Nc1[nH+]cnc2c1cnn2C(C)(C)C)C1CCCC1 ZINC000179784484 1128759646 /nfs/dbraw/zinc/75/96/46/1128759646.db2.gz OMHOCYBUIVBIQT-NSHDSACASA-N 1 2 287.411 3.572 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)[C@H](C)c2ccc(F)cc2)n1 ZINC000172011111 1118167756 /nfs/dbraw/zinc/16/77/56/1118167756.db2.gz OQONYGWZZIBYBF-CYBMUJFWSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)[C@H](C)c2ccc(F)cc2)n1 ZINC000172011111 1118167761 /nfs/dbraw/zinc/16/77/61/1118167761.db2.gz OQONYGWZZIBYBF-CYBMUJFWSA-N 1 2 275.371 3.796 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC000024050171 1118181069 /nfs/dbraw/zinc/18/10/69/1118181069.db2.gz YALKFBBGUXYQSO-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO C[C@H]([NH2+]Cc1ccnn1C1CCC1)c1c(F)cccc1F ZINC000921561247 1118200307 /nfs/dbraw/zinc/20/03/07/1118200307.db2.gz BQYMGKPICFNUDS-NSHDSACASA-N 1 2 291.345 3.737 20 0 CHADLO CCc1cccc(Nc2cc(OCOC)ccc2C)[nH+]1 ZINC001214361618 1118200576 /nfs/dbraw/zinc/20/05/76/1118200576.db2.gz NFEDJXQHLKBYJK-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1cc(-c2ccccc2)on1 ZINC000671626405 1118202562 /nfs/dbraw/zinc/20/25/62/1118202562.db2.gz IZLDLDAKGXBDLF-LLVKDONJSA-N 1 2 297.358 3.797 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc3[nH]ccc3c2)CCCC1 ZINC000655774702 1118207024 /nfs/dbraw/zinc/20/70/24/1118207024.db2.gz FAXHMRUUWSLXDN-UHFFFAOYSA-N 1 2 264.319 3.835 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@H](C)c2ccc(F)cc2Cl)o1 ZINC000389076642 1118225535 /nfs/dbraw/zinc/22/55/35/1118225535.db2.gz ZEMJKJATQDBFKL-MRVPVSSYSA-N 1 2 282.746 3.935 20 0 CHADLO Cc1ncsc1C[N@@H+](CC(=O)c1ccccc1)C(C)C ZINC001206987741 1118231981 /nfs/dbraw/zinc/23/19/81/1118231981.db2.gz USQYZILYJRXPMW-UHFFFAOYSA-N 1 2 288.416 3.545 20 0 CHADLO Cc1ncsc1C[N@H+](CC(=O)c1ccccc1)C(C)C ZINC001206987741 1118231983 /nfs/dbraw/zinc/23/19/83/1118231983.db2.gz USQYZILYJRXPMW-UHFFFAOYSA-N 1 2 288.416 3.545 20 0 CHADLO Cc1[nH+]cc(Nc2c(F)cc(F)cc2Cl)cc1N ZINC001210290000 1118236324 /nfs/dbraw/zinc/23/63/24/1118236324.db2.gz DOBNGVKJZVLZQK-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)Cc2ccc(Cl)cc2)n1 ZINC000172333276 1118240116 /nfs/dbraw/zinc/24/01/16/1118240116.db2.gz PVOGNFDHEUFTQU-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)Cc2ccc(Cl)cc2)n1 ZINC000172333276 1118240120 /nfs/dbraw/zinc/24/01/20/1118240120.db2.gz PVOGNFDHEUFTQU-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]C[C@H]2CCCC23CC3)C2CCCCC2)n1 ZINC000624316979 1118258062 /nfs/dbraw/zinc/25/80/62/1118258062.db2.gz VPLSAIBLNXWVGV-CABCVRRESA-N 1 2 288.439 3.596 20 0 CHADLO CSc1cccc(NCc2cccc3[nH+]ccn32)c1 ZINC001648854432 1118261237 /nfs/dbraw/zinc/26/12/37/1118261237.db2.gz ZINKXJISZIYKRV-UHFFFAOYSA-N 1 2 269.373 3.668 20 0 CHADLO Fc1ccc(F)c(CNc2cccc[nH+]2)c1Cl ZINC001648855963 1118261296 /nfs/dbraw/zinc/26/12/96/1118261296.db2.gz OHCAKIRHRPGYKR-UHFFFAOYSA-N 1 2 254.667 3.625 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2cscn2)[C@H]1c1ccccc1 ZINC000172452195 1118263495 /nfs/dbraw/zinc/26/34/95/1118263495.db2.gz YLXZXPXKQWCRTR-IUODEOHRSA-N 1 2 290.457 3.822 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2cscn2)[C@H]1c1ccccc1 ZINC000172452195 1118263497 /nfs/dbraw/zinc/26/34/97/1118263497.db2.gz YLXZXPXKQWCRTR-IUODEOHRSA-N 1 2 290.457 3.822 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H](c3ccn[nH]3)C2)c(Cl)c1 ZINC000683664876 1118267462 /nfs/dbraw/zinc/26/74/62/1118267462.db2.gz JBNIPJHTOZYIBW-CQSZACIVSA-N 1 2 289.810 3.751 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)c(Cl)c1 ZINC000683664876 1118267465 /nfs/dbraw/zinc/26/74/65/1118267465.db2.gz JBNIPJHTOZYIBW-CQSZACIVSA-N 1 2 289.810 3.751 20 0 CHADLO Fc1ccc(C[NH2+]Cc2csc(-c3ccccc3)n2)cn1 ZINC000189014405 1128766660 /nfs/dbraw/zinc/76/66/60/1128766660.db2.gz SAPOQARITUFXPZ-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO CC(C)SCCn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000282193956 1118283238 /nfs/dbraw/zinc/28/32/38/1118283238.db2.gz HNTRADLWBNTLRG-UHFFFAOYSA-N 1 2 289.326 3.592 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cncc(N(C)C)c1 ZINC001210472926 1118294208 /nfs/dbraw/zinc/29/42/08/1118294208.db2.gz FGRDRMGDDSPZQJ-UHFFFAOYSA-N 1 2 270.380 3.718 20 0 CHADLO Cc1cncc([C@@H](C)[NH2+]Cc2c(F)cc(F)cc2F)c1 ZINC000340487879 1118294853 /nfs/dbraw/zinc/29/48/53/1118294853.db2.gz MYDYASKVJNJUFQ-SNVBAGLBSA-N 1 2 280.293 3.658 20 0 CHADLO COCOc1ccc(Nc2cc[nH+]c(SC)c2)c(C)c1 ZINC001210492288 1118298919 /nfs/dbraw/zinc/29/89/19/1118298919.db2.gz WKGDRFARNBZGBU-UHFFFAOYSA-N 1 2 290.388 3.838 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+](C)Cc1ccco1 ZINC000060464409 1118299930 /nfs/dbraw/zinc/29/99/30/1118299930.db2.gz FQAPRRSLEYHQGJ-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+](C)Cc1ccco1 ZINC000060464409 1118299932 /nfs/dbraw/zinc/29/99/32/1118299932.db2.gz FQAPRRSLEYHQGJ-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C[C@H](O)c1cccc(Nc2cccc(C3CC3)[nH+]2)c1 ZINC001210509549 1118304266 /nfs/dbraw/zinc/30/42/66/1118304266.db2.gz WOOCLOOFDCOFOP-NSHDSACASA-N 1 2 254.333 3.756 20 0 CHADLO Cc1ccc([C@@H]([NH2+][C@@H](C)c2cn[nH]c2)c2ccccn2)cc1 ZINC000340503999 1118309713 /nfs/dbraw/zinc/30/97/13/1118309713.db2.gz YWTTXWZXENDSAJ-KBXCAEBGSA-N 1 2 292.386 3.553 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccns1 ZINC000926066332 1118309890 /nfs/dbraw/zinc/30/98/90/1118309890.db2.gz LOSDHNIKEPHOSP-LLVKDONJSA-N 1 2 273.405 3.699 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccns1 ZINC000926066332 1118309893 /nfs/dbraw/zinc/30/98/93/1118309893.db2.gz LOSDHNIKEPHOSP-LLVKDONJSA-N 1 2 273.405 3.699 20 0 CHADLO Fc1cc(Cl)ccc1C[NH2+]Cc1cccnc1Cl ZINC000189192401 1128769344 /nfs/dbraw/zinc/76/93/44/1128769344.db2.gz VQUVOMVGWSFXGK-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO CCC[NH+](CCC)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000029437508 1118318448 /nfs/dbraw/zinc/31/84/48/1118318448.db2.gz XKEYWDCTTLBKTR-UHFFFAOYSA-N 1 2 290.436 3.687 20 0 CHADLO CCCc1nc(C[N@@H+](Cc2ccccc2)CC2CCC2)no1 ZINC000065161225 1118332848 /nfs/dbraw/zinc/33/28/48/1118332848.db2.gz GUYPJGVMGICFFS-UHFFFAOYSA-N 1 2 299.418 3.824 20 0 CHADLO CCCc1nc(C[N@H+](Cc2ccccc2)CC2CCC2)no1 ZINC000065161225 1118332853 /nfs/dbraw/zinc/33/28/53/1118332853.db2.gz GUYPJGVMGICFFS-UHFFFAOYSA-N 1 2 299.418 3.824 20 0 CHADLO Cc1cc(N[C@@H]2CSC[C@@H]2C)[nH+]c2ccccc12 ZINC000397785781 1118348101 /nfs/dbraw/zinc/34/81/01/1118348101.db2.gz FVMQQIGPHTUUKA-SMDDNHRTSA-N 1 2 258.390 3.707 20 0 CHADLO CCOc1cc(Nc2ccc(C(C)(C)O)cc2)cc(C)[nH+]1 ZINC001210616776 1118350746 /nfs/dbraw/zinc/35/07/46/1118350746.db2.gz PQCLKADXVFWOSV-UHFFFAOYSA-N 1 2 286.375 3.760 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2cc(F)ccc2o1 ZINC001210726626 1118369918 /nfs/dbraw/zinc/36/99/18/1118369918.db2.gz PKPPMBBUTVKRKE-UHFFFAOYSA-N 1 2 258.252 3.719 20 0 CHADLO Clc1ccc(C[N@@H+]2Cc3ccccc3C3(CC3)C2)cn1 ZINC000186237889 1118398533 /nfs/dbraw/zinc/39/85/33/1118398533.db2.gz ILUZGLBYXYYAEU-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Clc1ccc(C[N@H+]2Cc3ccccc3C3(CC3)C2)cn1 ZINC000186237889 1118398536 /nfs/dbraw/zinc/39/85/36/1118398536.db2.gz ILUZGLBYXYYAEU-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(-c3ccco3)s2)C[C@H]1F ZINC000683834821 1118401478 /nfs/dbraw/zinc/40/14/78/1118401478.db2.gz KFEJUWLDGUDIHR-CMPLNLGQSA-N 1 2 280.368 3.583 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(-c3ccco3)s2)C[C@H]1F ZINC000683834821 1118401480 /nfs/dbraw/zinc/40/14/80/1118401480.db2.gz KFEJUWLDGUDIHR-CMPLNLGQSA-N 1 2 280.368 3.583 20 0 CHADLO CCc1cccc(C)c1N(CCCOC)c1[nH+]cccc1N ZINC001210875843 1118414389 /nfs/dbraw/zinc/41/43/89/1118414389.db2.gz ZSBCQEDUHKHSHG-UHFFFAOYSA-N 1 2 299.418 3.709 20 0 CHADLO Nc1c(F)cc(Nc2cccc(C3CC3)[nH+]2)cc1F ZINC001210907399 1118416080 /nfs/dbraw/zinc/41/60/80/1118416080.db2.gz JNSNCUMAYLMHHA-UHFFFAOYSA-N 1 2 261.275 3.563 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1cc(C)ns1 ZINC000683890483 1118429367 /nfs/dbraw/zinc/42/93/67/1118429367.db2.gz IOYNZNHFQMFPQI-UHFFFAOYSA-N 1 2 286.404 3.823 20 0 CHADLO C[C@H](C1CC1)[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000782183337 1118449098 /nfs/dbraw/zinc/44/90/98/1118449098.db2.gz KFOJGURSUMPYLX-BMIGLBTASA-N 1 2 283.774 3.651 20 0 CHADLO C[C@H](C1CC1)[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000782183337 1118449099 /nfs/dbraw/zinc/44/90/99/1118449099.db2.gz KFOJGURSUMPYLX-BMIGLBTASA-N 1 2 283.774 3.651 20 0 CHADLO CSc1cncc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001211071775 1118455863 /nfs/dbraw/zinc/45/58/63/1118455863.db2.gz RLEHRSNTOFRBFQ-UHFFFAOYSA-N 1 2 282.372 3.733 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@@H](C)c2ccc3ccccc3n2)n1 ZINC000564826368 1118461901 /nfs/dbraw/zinc/46/19/01/1118461901.db2.gz PIENUUXEQOPMAJ-AWEZNQCLSA-N 1 2 294.402 3.863 20 0 CHADLO COc1cccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c1C ZINC000622777016 1118477845 /nfs/dbraw/zinc/47/78/45/1118477845.db2.gz ZWNQDKUHWWNMDZ-UHFFFAOYSA-N 1 2 284.359 3.576 20 0 CHADLO Cc1cc(C)c(Nc2cc(Br)cnc2F)c[nH+]1 ZINC001211264374 1118492681 /nfs/dbraw/zinc/49/26/81/1118492681.db2.gz AYDWEWRPLVNFQK-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@@H](C)OC3(CCCCC3)C2)s1 ZINC000656281075 1118496260 /nfs/dbraw/zinc/49/62/60/1118496260.db2.gz RCDABNLGIXQHBB-CYBMUJFWSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@@H](C)OC3(CCCCC3)C2)s1 ZINC000656281075 1118496262 /nfs/dbraw/zinc/49/62/62/1118496262.db2.gz RCDABNLGIXQHBB-CYBMUJFWSA-N 1 2 294.464 3.629 20 0 CHADLO Cc1cscc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC001125473938 1118504834 /nfs/dbraw/zinc/50/48/34/1118504834.db2.gz PORCXRZYOBMLKJ-UHFFFAOYSA-N 1 2 260.362 3.629 20 0 CHADLO Cc1cnc(F)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001211503830 1118516867 /nfs/dbraw/zinc/51/68/67/1118516867.db2.gz NURVWVMVMDCJPI-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO CC[C@@H]1CCc2ccccc2N1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000580894720 1118518473 /nfs/dbraw/zinc/51/84/73/1118518473.db2.gz FBZLWNOEQRSJMA-GDBMZVCRSA-N 1 2 297.402 3.592 20 0 CHADLO Cc1c[nH+]cc(Nc2c(F)c(F)nc(F)c2Cl)c1C ZINC001213522769 1118524748 /nfs/dbraw/zinc/52/47/48/1118524748.db2.gz GHOCFBUKKZJADL-UHFFFAOYSA-N 1 2 287.672 3.908 20 0 CHADLO Cc1c[nH+]cc(Nc2cnccc2Br)c1C ZINC001213525428 1118529189 /nfs/dbraw/zinc/52/91/89/1118529189.db2.gz WKEQGTRQKCQPRW-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+]2Cc2ccc3c(n2)CCC3)c1 ZINC000656445280 1118551520 /nfs/dbraw/zinc/55/15/20/1118551520.db2.gz SUBIKLWWOHHRQH-GOSISDBHSA-N 1 2 282.362 3.656 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+]2Cc2ccc3c(n2)CCC3)c1 ZINC000656445280 1118551521 /nfs/dbraw/zinc/55/15/21/1118551521.db2.gz SUBIKLWWOHHRQH-GOSISDBHSA-N 1 2 282.362 3.656 20 0 CHADLO CCc1sc(-c2nnc(C[N@H+]3[C@H](C)C[C@@H]3C)o2)cc1C ZINC000684209123 1118568726 /nfs/dbraw/zinc/56/87/26/1118568726.db2.gz YYKWCBQHBUSXAZ-PHIMTYICSA-N 1 2 291.420 3.652 20 0 CHADLO CCc1sc(-c2nnc(C[N@@H+]3[C@H](C)C[C@@H]3C)o2)cc1C ZINC000684209123 1118568728 /nfs/dbraw/zinc/56/87/28/1118568728.db2.gz YYKWCBQHBUSXAZ-PHIMTYICSA-N 1 2 291.420 3.652 20 0 CHADLO COc1cccc2c(N3CCCC(F)(F)CC3)cc[nH+]c12 ZINC000624521437 1118575622 /nfs/dbraw/zinc/57/56/22/1118575622.db2.gz IZIVAOUMQVXZNO-UHFFFAOYSA-N 1 2 292.329 3.869 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(CCC(C)C)no2)s1 ZINC000754668216 1118579874 /nfs/dbraw/zinc/57/98/74/1118579874.db2.gz NCXOXGJIKLDXHA-LBPRGKRZSA-N 1 2 293.436 3.879 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(F)F)c1ccc(OC(F)F)cc1 ZINC000389954138 1118590153 /nfs/dbraw/zinc/59/01/53/1118590153.db2.gz YPTCDRJGBYHCQD-YUMQZZPRSA-N 1 2 265.250 3.592 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2cccc(Cl)c2)o1 ZINC000341072362 1118600060 /nfs/dbraw/zinc/60/00/60/1118600060.db2.gz MLKGVIITRRRIHX-UWVGGRQHSA-N 1 2 279.771 3.697 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc(C(F)(F)F)cc2)nc1 ZINC000341185860 1118613181 /nfs/dbraw/zinc/61/31/81/1118613181.db2.gz BKQJUDSGJYCNSV-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO CS[C@@H]1CCCC[N@H+](Cc2csc(Cl)n2)C1 ZINC000687923775 1128789687 /nfs/dbraw/zinc/78/96/87/1128789687.db2.gz JKAXSSQCSONAOZ-SNVBAGLBSA-N 1 2 276.858 3.514 20 0 CHADLO CS[C@@H]1CCCC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000687923775 1128789688 /nfs/dbraw/zinc/78/96/88/1128789688.db2.gz JKAXSSQCSONAOZ-SNVBAGLBSA-N 1 2 276.858 3.514 20 0 CHADLO Fc1ccc(C[NH+]2CC3(CC(F)C3)C2)c(C(F)(F)F)c1 ZINC000656695574 1118638107 /nfs/dbraw/zinc/63/81/07/1118638107.db2.gz MYHJSQDQHGALIG-UHFFFAOYSA-N 1 2 291.263 3.778 20 0 CHADLO Clc1sccc1C[NH2+]CCc1ccc2cn[nH]c2c1 ZINC000341267882 1118644845 /nfs/dbraw/zinc/64/48/45/1118644845.db2.gz JEROHGGISGYQBR-UHFFFAOYSA-N 1 2 291.807 3.610 20 0 CHADLO CC(C)c1cc(N(C)Cc2cscn2)nc(C(C)C)[nH+]1 ZINC000341271537 1118646337 /nfs/dbraw/zinc/64/63/37/1118646337.db2.gz PENJMNLWGIIZOM-UHFFFAOYSA-N 1 2 290.436 3.816 20 0 CHADLO CC1(CC(=O)Nc2cccc(-n3cc[nH+]c3)c2)CCCC1 ZINC000341322465 1118664630 /nfs/dbraw/zinc/66/46/30/1118664630.db2.gz OJUUNHZSDJONDU-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO C[C@]1([NH2+]Cc2ncccc2Cl)CCOc2ccccc21 ZINC000933370948 1118671155 /nfs/dbraw/zinc/67/11/55/1118671155.db2.gz RDOYSTYVWXIEMA-INIZCTEOSA-N 1 2 288.778 3.523 20 0 CHADLO Cn1cc(C[N@H+](C)Cc2ccccc2Cl)c(C2CC2)n1 ZINC000891477145 1118691640 /nfs/dbraw/zinc/69/16/40/1118691640.db2.gz AOJSZKIHERNIAX-UHFFFAOYSA-N 1 2 289.810 3.583 20 0 CHADLO Cn1cc(C[N@@H+](C)Cc2ccccc2Cl)c(C2CC2)n1 ZINC000891477145 1118691642 /nfs/dbraw/zinc/69/16/42/1118691642.db2.gz AOJSZKIHERNIAX-UHFFFAOYSA-N 1 2 289.810 3.583 20 0 CHADLO Cn1cc(C[N@H+](Cc2ccccc2F)C2CC2)c(C2CC2)n1 ZINC000891517252 1118700198 /nfs/dbraw/zinc/70/01/98/1118700198.db2.gz SPZCMOSLYMKQIB-UHFFFAOYSA-N 1 2 299.393 3.601 20 0 CHADLO Cn1cc(C[N@@H+](Cc2ccccc2F)C2CC2)c(C2CC2)n1 ZINC000891517252 1118700201 /nfs/dbraw/zinc/70/02/01/1118700201.db2.gz SPZCMOSLYMKQIB-UHFFFAOYSA-N 1 2 299.393 3.601 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C(C)(C)C)cc1 ZINC000090169690 1118711518 /nfs/dbraw/zinc/71/15/18/1118711518.db2.gz PNNUHOHLCOZNQD-UHFFFAOYSA-N 1 2 257.381 3.813 20 0 CHADLO Cc1cc(N2CC[C@H](c3ccccc3C)C2)nc(C2CC2)[nH+]1 ZINC000341465020 1118713040 /nfs/dbraw/zinc/71/30/40/1118713040.db2.gz SNKCWJRZNFXPEX-INIZCTEOSA-N 1 2 293.414 3.965 20 0 CHADLO Cc1ccc(CN(C)c2cc(C)[nH+]c(C3CC3)n2)s1 ZINC000341490798 1118716343 /nfs/dbraw/zinc/71/63/43/1118716343.db2.gz UZKTWMWADOMQQO-UHFFFAOYSA-N 1 2 273.405 3.669 20 0 CHADLO Cc1cc(N(Cc2ccc(F)cc2)C2CC2)nc(C2CC2)[nH+]1 ZINC000341500366 1118716898 /nfs/dbraw/zinc/71/68/98/1118716898.db2.gz MGSLGFVQAPHWQF-UHFFFAOYSA-N 1 2 297.377 3.971 20 0 CHADLO Cc1cc(N(C)[C@@H](C)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000341480029 1118717216 /nfs/dbraw/zinc/71/72/16/1118717216.db2.gz KWRXTWUPFNZOCF-ZDUSSCGKSA-N 1 2 267.376 3.860 20 0 CHADLO COc1nccc(C[N@@H+]2CCC[C@@H]2c2ccsc2)c1F ZINC000891617841 1118721996 /nfs/dbraw/zinc/72/19/96/1118721996.db2.gz KNTBHSYHPQBHQS-CYBMUJFWSA-N 1 2 292.379 3.628 20 0 CHADLO COc1nccc(C[N@H+]2CCC[C@@H]2c2ccsc2)c1F ZINC000891617841 1118721998 /nfs/dbraw/zinc/72/19/98/1118721998.db2.gz KNTBHSYHPQBHQS-CYBMUJFWSA-N 1 2 292.379 3.628 20 0 CHADLO COc1ccc(F)c(F)c1C[N@H+](C)Cc1ccccc1 ZINC001143404290 1118737093 /nfs/dbraw/zinc/73/70/93/1118737093.db2.gz KSTZRSVAQAELLF-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1ccc(F)c(F)c1C[N@@H+](C)Cc1ccccc1 ZINC001143404290 1118737098 /nfs/dbraw/zinc/73/70/98/1118737098.db2.gz KSTZRSVAQAELLF-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO c1cn(CCSCc2ccccc2C2CC2)c[nH+]1 ZINC000341561017 1118741646 /nfs/dbraw/zinc/74/16/46/1118741646.db2.gz RJMQLJDPCWXJNY-UHFFFAOYSA-N 1 2 258.390 3.694 20 0 CHADLO c1ccc([C@H]2CC[N@H+]2Cc2nc3cccnc3s2)cc1 ZINC000341576045 1118744069 /nfs/dbraw/zinc/74/40/69/1118744069.db2.gz OAAIFPZGEFJLME-CQSZACIVSA-N 1 2 281.384 3.638 20 0 CHADLO c1ccc([C@H]2CC[N@@H+]2Cc2nc3cccnc3s2)cc1 ZINC000341576045 1118744072 /nfs/dbraw/zinc/74/40/72/1118744072.db2.gz OAAIFPZGEFJLME-CQSZACIVSA-N 1 2 281.384 3.638 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2CCCc3sccc32)[nH+]1 ZINC000341590578 1118748893 /nfs/dbraw/zinc/74/88/93/1118748893.db2.gz UVQFMOYSIHUWPL-LBPRGKRZSA-N 1 2 284.388 3.817 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccccc2CC(=O)OC)cc1 ZINC001213062895 1118749011 /nfs/dbraw/zinc/74/90/11/1118749011.db2.gz IWPDJNLXGWLPSN-UHFFFAOYSA-N 1 2 298.386 3.602 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccccc2CC(=O)OC)cc1 ZINC001213062895 1118749013 /nfs/dbraw/zinc/74/90/13/1118749013.db2.gz IWPDJNLXGWLPSN-UHFFFAOYSA-N 1 2 298.386 3.602 20 0 CHADLO COc1ccc(C)cc1C[NH2+]Cc1ccn(C2CCCC2)n1 ZINC000129081674 1118756687 /nfs/dbraw/zinc/75/66/87/1118756687.db2.gz DXNZEOSRQPUFRL-UHFFFAOYSA-N 1 2 299.418 3.605 20 0 CHADLO CCC[C@H]1[C@H](C)CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000933697174 1118774552 /nfs/dbraw/zinc/77/45/52/1118774552.db2.gz OKDVLAOITCLRPI-WBMJQRKESA-N 1 2 285.391 3.604 20 0 CHADLO CC1=C[C@@H](C)C[N@H+](Cc2cnc(C(F)(F)F)s2)C1 ZINC000430869321 1118780089 /nfs/dbraw/zinc/78/00/89/1118780089.db2.gz XTRBKTBVLDFGCC-MRVPVSSYSA-N 1 2 276.327 3.560 20 0 CHADLO CC1=C[C@@H](C)C[N@@H+](Cc2cnc(C(F)(F)F)s2)C1 ZINC000430869321 1118780091 /nfs/dbraw/zinc/78/00/91/1118780091.db2.gz XTRBKTBVLDFGCC-MRVPVSSYSA-N 1 2 276.327 3.560 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@H]2c2nccn2CC)o1 ZINC000430871469 1118781110 /nfs/dbraw/zinc/78/11/10/1118781110.db2.gz XSFXZMOEOFYDGO-INIZCTEOSA-N 1 2 287.407 3.786 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@H]2c2nccn2CC)o1 ZINC000430871469 1118781113 /nfs/dbraw/zinc/78/11/13/1118781113.db2.gz XSFXZMOEOFYDGO-INIZCTEOSA-N 1 2 287.407 3.786 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2c(C)cccc2C)s1 ZINC000933764672 1118792215 /nfs/dbraw/zinc/79/22/15/1118792215.db2.gz WVBZYERQSDAROK-GFCCVEGCSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2c(C)cccc2C)s1 ZINC000933764672 1118792216 /nfs/dbraw/zinc/79/22/16/1118792216.db2.gz WVBZYERQSDAROK-GFCCVEGCSA-N 1 2 275.421 3.656 20 0 CHADLO C[N@H+](CC1CCCCC1)Cn1ncn(C(C)(C)C)c1=S ZINC000068869760 1128801405 /nfs/dbraw/zinc/80/14/05/1128801405.db2.gz MUQMMTXFEFQNDF-UHFFFAOYSA-N 1 2 296.484 3.639 20 0 CHADLO C[N@@H+](CC1CCCCC1)Cn1ncn(C(C)(C)C)c1=S ZINC000068869760 1128801410 /nfs/dbraw/zinc/80/14/10/1128801410.db2.gz MUQMMTXFEFQNDF-UHFFFAOYSA-N 1 2 296.484 3.639 20 0 CHADLO CC(C)c1cc(NCc2ccccn2)nc(C(C)C)[nH+]1 ZINC000090065222 1118802775 /nfs/dbraw/zinc/80/27/75/1118802775.db2.gz RIGPOCUKUBLTJU-UHFFFAOYSA-N 1 2 270.380 3.731 20 0 CHADLO CCS[C@H]1CCCC[C@@H]1Nc1cc(C)[nH+]c(C2CC2)n1 ZINC000426422141 1118873533 /nfs/dbraw/zinc/87/35/33/1118873533.db2.gz UTVJXMNEVZZSBZ-KBPBESRZSA-N 1 2 291.464 3.561 20 0 CHADLO CC[C@@H]1CCCC[C@H]1N(C)C(=O)Nc1cc(C)[nH+]cc1C ZINC000535775017 1118884413 /nfs/dbraw/zinc/88/44/13/1118884413.db2.gz RHHDEXFPVVMAOJ-GDBMZVCRSA-N 1 2 289.423 3.553 20 0 CHADLO CC(C)[N@H+](Cc1ccccn1)Cc1cc(F)c(F)cc1F ZINC000535813270 1118889404 /nfs/dbraw/zinc/88/94/04/1118889404.db2.gz XWFDHZIOAIERDE-UHFFFAOYSA-N 1 2 294.320 3.910 20 0 CHADLO CC(C)[N@@H+](Cc1ccccn1)Cc1cc(F)c(F)cc1F ZINC000535813270 1118889408 /nfs/dbraw/zinc/88/94/08/1118889408.db2.gz XWFDHZIOAIERDE-UHFFFAOYSA-N 1 2 294.320 3.910 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CCC(F)(F)CC1 ZINC000684603643 1118891521 /nfs/dbraw/zinc/89/15/21/1118891521.db2.gz HMXLWKIZZCCIPS-UHFFFAOYSA-N 1 2 292.329 3.787 20 0 CHADLO CCS[C@@H](C)c1noc(C[NH+]2C3CCCC2CCC3)n1 ZINC000610820852 1118899013 /nfs/dbraw/zinc/89/90/13/1118899013.db2.gz IPBAFGSBOMNOAT-HIFPTAJRSA-N 1 2 295.452 3.791 20 0 CHADLO CCc1cc(C)cc(CC)c1NC(=O)CCCn1cc[nH+]c1 ZINC000684642931 1118926607 /nfs/dbraw/zinc/92/66/07/1118926607.db2.gz ORKBVNFWCLTYGX-UHFFFAOYSA-N 1 2 299.418 3.735 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]C/C(Cl)=C\Cl ZINC000255456685 1118959104 /nfs/dbraw/zinc/95/91/04/1118959104.db2.gz AWWBKUMFIKUKGN-GTUWVTDSSA-N 1 2 278.154 3.804 20 0 CHADLO Cc1cc(N(C)[C@H](C)c2cccnc2)nc(C2CCC2)[nH+]1 ZINC000892094942 1118963922 /nfs/dbraw/zinc/96/39/22/1118963922.db2.gz FGOIWNINCWVEPP-CYBMUJFWSA-N 1 2 282.391 3.645 20 0 CHADLO CCN(Cc1ccc(Cl)s1)Cc1[nH]c(C)c(C)[nH+]1 ZINC000628121242 1128813511 /nfs/dbraw/zinc/81/35/11/1128813511.db2.gz XSUQFUOCXLATRP-UHFFFAOYSA-N 1 2 283.828 3.764 20 0 CHADLO Cc1ccc(NCc2nc(C(C)(C)C)co2)c(C)[nH+]1 ZINC000724398286 1118986874 /nfs/dbraw/zinc/98/68/74/1118986874.db2.gz FYELQTFRGHMSCO-UHFFFAOYSA-N 1 2 259.353 3.596 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nccn2C2CC2)[C@@H](c2ccco2)C1 ZINC000892153323 1118989837 /nfs/dbraw/zinc/98/98/37/1118989837.db2.gz OCLIMVYWNSCGQS-UKRRQHHQSA-N 1 2 285.391 3.784 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nccn2C2CC2)[C@@H](c2ccco2)C1 ZINC000892153323 1118989839 /nfs/dbraw/zinc/98/98/39/1118989839.db2.gz OCLIMVYWNSCGQS-UKRRQHHQSA-N 1 2 285.391 3.784 20 0 CHADLO Cc1cccc2sc(N3CCC(n4cc[nH+]c4)CC3)nc21 ZINC000892159718 1118994613 /nfs/dbraw/zinc/99/46/13/1118994613.db2.gz PPPOEPLEDZQQOU-UHFFFAOYSA-N 1 2 298.415 3.643 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+]Cc1nccn1C(C)C ZINC000657323930 1119000702 /nfs/dbraw/zinc/00/07/02/1119000702.db2.gz JBJQFRFXCBURLJ-UHFFFAOYSA-N 1 2 287.407 3.541 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)o1 ZINC000628130616 1128815148 /nfs/dbraw/zinc/81/51/48/1128815148.db2.gz FVGPOIWDOIVHFB-ZBEGNZNMSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)o1 ZINC000628130616 1128815154 /nfs/dbraw/zinc/81/51/54/1128815154.db2.gz FVGPOIWDOIVHFB-ZBEGNZNMSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@@H](C)c2cc(Cl)ccc2Cl)n1 ZINC000657335593 1119008892 /nfs/dbraw/zinc/00/88/92/1119008892.db2.gz HZHOXGYZBXBBDD-VIFPVBQESA-N 1 2 284.190 3.876 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@H+](C)Cc2nccn2C)cc1 ZINC000263800232 1119040935 /nfs/dbraw/zinc/04/09/35/1119040935.db2.gz NXFJPHXBCUKYCP-CQSZACIVSA-N 1 2 271.408 3.737 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@@H+](C)Cc2nccn2C)cc1 ZINC000263800232 1119040939 /nfs/dbraw/zinc/04/09/39/1119040939.db2.gz NXFJPHXBCUKYCP-CQSZACIVSA-N 1 2 271.408 3.737 20 0 CHADLO COc1ccc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)nc1 ZINC000342470546 1119043102 /nfs/dbraw/zinc/04/31/02/1119043102.db2.gz IYECUUBGBJYQJI-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)nc1 ZINC000342470546 1119043105 /nfs/dbraw/zinc/04/31/05/1119043105.db2.gz IYECUUBGBJYQJI-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1ccsc1C[N@@H+](C)Cc1nc(C)c2ccccc2n1 ZINC000582316870 1119049446 /nfs/dbraw/zinc/04/94/46/1119049446.db2.gz JCBKDGCSOSQCDN-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1ccsc1C[N@H+](C)Cc1nc(C)c2ccccc2n1 ZINC000582316870 1119049448 /nfs/dbraw/zinc/04/94/48/1119049448.db2.gz JCBKDGCSOSQCDN-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CCSc3ccc(Cl)cc32)no1 ZINC000044693046 1119058438 /nfs/dbraw/zinc/05/84/38/1119058438.db2.gz QSIFJDLDRYQIGF-ZDUSSCGKSA-N 1 2 294.807 3.963 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@@H](c2cccs2)C2CC2)n1 ZINC000342507480 1119058807 /nfs/dbraw/zinc/05/88/07/1119058807.db2.gz IHKYNFGWVYRZJY-OAHLLOKOSA-N 1 2 291.420 3.571 20 0 CHADLO COc1ccc([C@@H]([NH2+][C@H]2CCCc3[nH]ncc32)C2CC2)cc1 ZINC000353401811 1128818955 /nfs/dbraw/zinc/81/89/55/1128818955.db2.gz IIHZBGPBSRJIDB-WMZOPIPTSA-N 1 2 297.402 3.537 20 0 CHADLO CSc1ccccc1C[N@@H+]1CCOC[C@H]1c1ccccc1 ZINC000511109748 1119067623 /nfs/dbraw/zinc/06/76/23/1119067623.db2.gz KZMVRRYOMSMZMT-KRWDZBQOSA-N 1 2 299.439 3.982 20 0 CHADLO CSc1ccccc1C[N@H+]1CCOC[C@H]1c1ccccc1 ZINC000511109748 1119067626 /nfs/dbraw/zinc/06/76/26/1119067626.db2.gz KZMVRRYOMSMZMT-KRWDZBQOSA-N 1 2 299.439 3.982 20 0 CHADLO Nc1cc(Cl)cnc1Nc1cccc2cc[nH+]cc21 ZINC000148277990 1119075099 /nfs/dbraw/zinc/07/50/99/1119075099.db2.gz QPXIUZPYMMYXOC-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO COCC[C@H]([NH2+]Cc1ccc(F)c(F)c1F)c1ccco1 ZINC000657430673 1119082933 /nfs/dbraw/zinc/08/29/33/1119082933.db2.gz UZIRPKSRZUBJRQ-LBPRGKRZSA-N 1 2 299.292 3.564 20 0 CHADLO CCCCCN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC000342572675 1119088301 /nfs/dbraw/zinc/08/83/01/1119088301.db2.gz GGPNMWSLWXMENU-UHFFFAOYSA-N 1 2 299.418 3.913 20 0 CHADLO C[N@H+](Cc1ccc(F)c(F)c1)Cc1ccc(F)cc1F ZINC000097099362 1119114345 /nfs/dbraw/zinc/11/43/45/1119114345.db2.gz UDLBLGYSZGUNCX-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1ccc(F)c(F)c1)Cc1ccc(F)cc1F ZINC000097099362 1119114348 /nfs/dbraw/zinc/11/43/48/1119114348.db2.gz UDLBLGYSZGUNCX-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1cc2ccncc2s1 ZINC000657467711 1119114888 /nfs/dbraw/zinc/11/48/88/1119114888.db2.gz WOBVYHCNGMXVKA-GFCCVEGCSA-N 1 2 268.332 3.574 20 0 CHADLO c1cn(-c2ccccc2)nc1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000342675552 1119121048 /nfs/dbraw/zinc/12/10/48/1119121048.db2.gz LDFUPSCUCJZYED-IBGZPJMESA-N 1 2 289.382 3.819 20 0 CHADLO c1cn(-c2ccccc2)nc1C[N@H+]1CC[C@H]1c1ccccc1 ZINC000342675552 1119121049 /nfs/dbraw/zinc/12/10/49/1119121049.db2.gz LDFUPSCUCJZYED-IBGZPJMESA-N 1 2 289.382 3.819 20 0 CHADLO CC[N@H+](Cc1ncc(COC)s1)Cc1ccccc1C ZINC000342686481 1119126521 /nfs/dbraw/zinc/12/65/21/1119126521.db2.gz YDGJUNJXUKVDHL-UHFFFAOYSA-N 1 2 290.432 3.620 20 0 CHADLO CC[N@@H+](Cc1ncc(COC)s1)Cc1ccccc1C ZINC000342686481 1119126523 /nfs/dbraw/zinc/12/65/23/1119126523.db2.gz YDGJUNJXUKVDHL-UHFFFAOYSA-N 1 2 290.432 3.620 20 0 CHADLO C[N@H+](Cc1nc(C2CC2)cs1)Cc1ccccc1 ZINC000342692154 1119127877 /nfs/dbraw/zinc/12/78/77/1119127877.db2.gz JIKTWPFRWBPMOD-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO C[N@@H+](Cc1nc(C2CC2)cs1)Cc1ccccc1 ZINC000342692154 1119127879 /nfs/dbraw/zinc/12/78/79/1119127879.db2.gz JIKTWPFRWBPMOD-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO Cc1[nH]ncc1C[NH2+]C1(c2ccc(Cl)cc2)CCCC1 ZINC000116348578 1119149586 /nfs/dbraw/zinc/14/95/86/1119149586.db2.gz GCJPEERPNFVQCJ-UHFFFAOYSA-N 1 2 289.810 3.931 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C(C)(C)c3ccccc3)cc2[nH+]1 ZINC000342738781 1119149784 /nfs/dbraw/zinc/14/97/84/1119149784.db2.gz JWKYYTPNOKPQDU-UHFFFAOYSA-N 1 2 293.370 3.788 20 0 CHADLO C[N@H+](Cc1cscn1)[C@@H]1CCc2ccc(Cl)cc21 ZINC000342766850 1119158555 /nfs/dbraw/zinc/15/85/55/1119158555.db2.gz XZKZRFVWMNBWMV-CQSZACIVSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1cscn1)[C@@H]1CCc2ccc(Cl)cc21 ZINC000342766850 1119158556 /nfs/dbraw/zinc/15/85/56/1119158556.db2.gz XZKZRFVWMNBWMV-CQSZACIVSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1c[nH+]c(CN2CC(C)(C)c3c2cccc3Cl)n1C ZINC000342774835 1119161573 /nfs/dbraw/zinc/16/15/73/1119161573.db2.gz IISOFZBKVPKWMW-UHFFFAOYSA-N 1 2 289.810 3.680 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nc(-c3ccncc3)cs2)o1 ZINC000076895415 1119188318 /nfs/dbraw/zinc/18/83/18/1119188318.db2.gz MAWXAHZGWDBBDS-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO COc1cc(C)c([C@H](C)Nc2cccc[nH+]2)cc1OC ZINC000076750726 1119189238 /nfs/dbraw/zinc/18/92/38/1119189238.db2.gz GXOYMCIJNUTRCB-LBPRGKRZSA-N 1 2 272.348 3.580 20 0 CHADLO C[N@@H+](Cc1ccn(-c2ccccc2)n1)Cc1cccs1 ZINC000077203490 1119190022 /nfs/dbraw/zinc/19/00/22/1119190022.db2.gz JXUCNCOWBPTGRE-UHFFFAOYSA-N 1 2 283.400 3.566 20 0 CHADLO C[N@H+](Cc1ccn(-c2ccccc2)n1)Cc1cccs1 ZINC000077203490 1119190023 /nfs/dbraw/zinc/19/00/23/1119190023.db2.gz JXUCNCOWBPTGRE-UHFFFAOYSA-N 1 2 283.400 3.566 20 0 CHADLO COc1cccc(F)c1CNc1ccc([NH+](C)C)c(C)c1 ZINC001167609088 1119194636 /nfs/dbraw/zinc/19/46/36/1119194636.db2.gz RJDWWQBROHFSTP-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C)n1)c1ccc(F)cc1F ZINC000078294732 1119207275 /nfs/dbraw/zinc/20/72/75/1119207275.db2.gz YXRDVPSDUOIDOO-CYBMUJFWSA-N 1 2 263.291 3.626 20 0 CHADLO C[C@@H]1CCCN1c1cc(N2CCC[C@@H]2C)c2ccncc2[nH+]1 ZINC000342848458 1119207800 /nfs/dbraw/zinc/20/78/00/1119207800.db2.gz LPVYCWLAFKOHQI-UONOGXRCSA-N 1 2 296.418 3.607 20 0 CHADLO Cc1ccc(-c2ccnc(NCc3cc[nH+]c(N)c3)c2)cc1 ZINC001167614389 1119210839 /nfs/dbraw/zinc/21/08/39/1119210839.db2.gz QBYLBBUYPOZQDE-UHFFFAOYSA-N 1 2 290.370 3.646 20 0 CHADLO CCCCOc1cc(F)ccc1NCc1cc[nH+]c(N)c1 ZINC001167617808 1119215995 /nfs/dbraw/zinc/21/59/95/1119215995.db2.gz VNCBJMMACJUZNO-UHFFFAOYSA-N 1 2 289.354 3.594 20 0 CHADLO C[C@H]1CN(c2cccc[nH+]2)CC[C@@H]1c1ccccc1 ZINC000078410766 1119221829 /nfs/dbraw/zinc/22/18/29/1119221829.db2.gz FCJUULUQWNVEFI-HOCLYGCPSA-N 1 2 252.361 3.712 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ncc4ccccc4n3)cc2)[nH]1 ZINC001213085749 1119224976 /nfs/dbraw/zinc/22/49/76/1119224976.db2.gz VKZPSBMLMNICOJ-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC000120054151 1119228450 /nfs/dbraw/zinc/22/84/50/1119228450.db2.gz VZUDQVIDHZVTNM-VXGBXAGGSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(-c3ncc[nH]3)cc2)[nH+]1 ZINC001213085810 1119230906 /nfs/dbraw/zinc/23/09/06/1119230906.db2.gz XYJZIZOCXPHUDB-UHFFFAOYSA-N 1 2 289.342 3.776 20 0 CHADLO CCCc1csc(C[NH2+]C(C)(C)c2c(C)noc2C)n1 ZINC000353123704 1119232661 /nfs/dbraw/zinc/23/26/61/1119232661.db2.gz XEELDRAJTVOEHC-UHFFFAOYSA-N 1 2 293.436 3.725 20 0 CHADLO CO[C@H](CNc1cccc[nH+]1)c1cccc(Cl)c1 ZINC000078559526 1119234208 /nfs/dbraw/zinc/23/42/08/1119234208.db2.gz SYKWRCWLBMGOOY-CYBMUJFWSA-N 1 2 262.740 3.535 20 0 CHADLO Cc1nn(C(C)C)c(C)c1CNc1ccc([NH+](C)C)cc1 ZINC000892312116 1119237100 /nfs/dbraw/zinc/23/71/00/1119237100.db2.gz AMKFXVJPHFNQFB-UHFFFAOYSA-N 1 2 286.423 3.759 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2ccco2)c2ccccn2)c1 ZINC000153515637 1119241949 /nfs/dbraw/zinc/24/19/49/1119241949.db2.gz YICBLWHFMAKRAQ-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628175797 1119248400 /nfs/dbraw/zinc/24/84/00/1119248400.db2.gz KHIUAPXUHIXPIA-NSHDSACASA-N 1 2 286.350 3.810 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628175797 1119248402 /nfs/dbraw/zinc/24/84/02/1119248402.db2.gz KHIUAPXUHIXPIA-NSHDSACASA-N 1 2 286.350 3.810 20 0 CHADLO Cc1cccc(C)c1OCCCOc1cc[nH+]cc1 ZINC000431505408 1119254558 /nfs/dbraw/zinc/25/45/58/1119254558.db2.gz JCEVFDKPKMHKLW-UHFFFAOYSA-N 1 2 257.333 3.546 20 0 CHADLO CCc1nc(N2CC=C(c3ccccc3C)C2)cc(C)[nH+]1 ZINC000342937670 1119255924 /nfs/dbraw/zinc/25/59/24/1119255924.db2.gz HLVGXKZCWHMOFP-UHFFFAOYSA-N 1 2 279.387 3.559 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)N(Cc1[nH]c(C)c(C)[nH+]1)[C@H]2C ZINC000628236905 1128833663 /nfs/dbraw/zinc/83/36/63/1128833663.db2.gz UMBKZEUPNCPRQF-FZMZJTMJSA-N 1 2 299.418 3.543 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@@H+](Cc1nc(C)c(C)[nH]1)[C@H]2C ZINC000628236905 1128833666 /nfs/dbraw/zinc/83/36/66/1128833666.db2.gz UMBKZEUPNCPRQF-FZMZJTMJSA-N 1 2 299.418 3.543 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@H+](Cc1nc(C)c(C)[nH]1)[C@H]2C ZINC000628236905 1128833672 /nfs/dbraw/zinc/83/36/72/1128833672.db2.gz UMBKZEUPNCPRQF-FZMZJTMJSA-N 1 2 299.418 3.543 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](C)c2cccc3ccccc32)n1 ZINC000079287777 1119276343 /nfs/dbraw/zinc/27/63/43/1119276343.db2.gz ZNGRESWOCDBVKC-VXGBXAGGSA-N 1 2 281.359 3.943 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@@H+](C)C1CC(F)(F)C1 ZINC001236597972 1128836733 /nfs/dbraw/zinc/83/67/33/1128836733.db2.gz FILKZKHWSSPPAN-UHFFFAOYSA-N 1 2 295.160 3.926 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[N@H+](C)C1CC(F)(F)C1 ZINC001236597972 1128836739 /nfs/dbraw/zinc/83/67/39/1128836739.db2.gz FILKZKHWSSPPAN-UHFFFAOYSA-N 1 2 295.160 3.926 20 0 CHADLO CC(C)C[N@H+](Cn1nc(C2CC2)sc1=S)C1CC1 ZINC000080581231 1128835765 /nfs/dbraw/zinc/83/57/65/1128835765.db2.gz MVGAJCFVHAMTSH-UHFFFAOYSA-N 1 2 283.466 3.629 20 0 CHADLO CC(C)C[N@@H+](Cn1nc(C2CC2)sc1=S)C1CC1 ZINC000080581231 1128835771 /nfs/dbraw/zinc/83/57/71/1128835771.db2.gz MVGAJCFVHAMTSH-UHFFFAOYSA-N 1 2 283.466 3.629 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H]2c2ccncc2)cs1 ZINC000154064987 1119300153 /nfs/dbraw/zinc/30/01/53/1119300153.db2.gz KMGPZSXMOBJIOD-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H]2c2ccncc2)cs1 ZINC000154064987 1119300155 /nfs/dbraw/zinc/30/01/55/1119300155.db2.gz KMGPZSXMOBJIOD-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccon1)c1cc(C)ccc1OC ZINC000349838088 1119300957 /nfs/dbraw/zinc/30/09/57/1119300957.db2.gz WYYUMIOSZXXYLM-TZMCWYRMSA-N 1 2 274.364 3.794 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccc1OC(F)F)c1ccon1 ZINC000349838490 1119303053 /nfs/dbraw/zinc/30/30/53/1119303053.db2.gz RDYBFLKQDJYCCN-UWVGGRQHSA-N 1 2 282.290 3.688 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2coc3ccccc32)s1 ZINC000926461022 1119305268 /nfs/dbraw/zinc/30/52/68/1119305268.db2.gz ICJXOEOAKXGYQF-JTQLQIEISA-N 1 2 287.388 3.698 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC=C(c3cccc(Cl)c3)CC2)n1 ZINC000628255408 1128837364 /nfs/dbraw/zinc/83/73/64/1128837364.db2.gz LPQTZROESGHGPR-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC=C(c3cccc(Cl)c3)CC2)n1 ZINC000628255408 1128837368 /nfs/dbraw/zinc/83/73/68/1128837368.db2.gz LPQTZROESGHGPR-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1cncs1 ZINC000154775151 1119318804 /nfs/dbraw/zinc/31/88/04/1119318804.db2.gz LPQLOCIZKXUFFS-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO Cc1cc(Nc2cc[nH+]c3ccc(Cl)cc23)ccc1CO ZINC001211573049 1119336502 /nfs/dbraw/zinc/33/65/02/1119336502.db2.gz QRHLNHORQUEYFS-UHFFFAOYSA-N 1 2 298.773 3.854 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]C[C@H]2CCC[N@@H+]2CC)cc1 ZINC000535990245 1119337289 /nfs/dbraw/zinc/33/72/89/1119337289.db2.gz WZEUNXLWQFYYIT-GOEBONIOSA-N 1 2 292.492 3.934 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]C[C@H]2CCC[N@H+]2CC)cc1 ZINC000535990245 1119337291 /nfs/dbraw/zinc/33/72/91/1119337291.db2.gz WZEUNXLWQFYYIT-GOEBONIOSA-N 1 2 292.492 3.934 20 0 CHADLO Cc1noc([C@H](C)[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)n1 ZINC000092427391 1119338353 /nfs/dbraw/zinc/33/83/53/1119338353.db2.gz ZIUONJDTXOWMAU-AWEZNQCLSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1noc([C@H](C)[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)n1 ZINC000092427391 1119338356 /nfs/dbraw/zinc/33/83/56/1119338356.db2.gz ZIUONJDTXOWMAU-AWEZNQCLSA-N 1 2 297.402 3.845 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4ocnc4c3)cc2)[nH]1 ZINC001213087879 1119342749 /nfs/dbraw/zinc/34/27/49/1119342749.db2.gz FNXWQXAICLSUKT-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO CCc1nc(C)c(C[N@H+](C)Cc2cc(F)c(F)c(F)c2)o1 ZINC000428317209 1119345038 /nfs/dbraw/zinc/34/50/38/1119345038.db2.gz BQPMGFSYUAZWDY-UHFFFAOYSA-N 1 2 298.308 3.595 20 0 CHADLO CCc1nc(C)c(C[N@@H+](C)Cc2cc(F)c(F)c(F)c2)o1 ZINC000428317209 1119345039 /nfs/dbraw/zinc/34/50/39/1119345039.db2.gz BQPMGFSYUAZWDY-UHFFFAOYSA-N 1 2 298.308 3.595 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1cc(F)c(F)cc1F ZINC000092753882 1119347484 /nfs/dbraw/zinc/34/74/84/1119347484.db2.gz FTVGQJYDOAVMIU-UHFFFAOYSA-N 1 2 285.231 3.878 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1cc(F)c(F)cc1F ZINC000092753882 1119347490 /nfs/dbraw/zinc/34/74/90/1119347490.db2.gz FTVGQJYDOAVMIU-UHFFFAOYSA-N 1 2 285.231 3.878 20 0 CHADLO Cc1noc([C@@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)n1 ZINC000092822337 1119349019 /nfs/dbraw/zinc/34/90/19/1119349019.db2.gz NFAWJXIUBPJYCI-CYBMUJFWSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)n1 ZINC000092822337 1119349022 /nfs/dbraw/zinc/34/90/22/1119349022.db2.gz NFAWJXIUBPJYCI-CYBMUJFWSA-N 1 2 283.375 3.537 20 0 CHADLO C[N@H+](Cc1ccon1)C(c1ccccc1)c1ccccc1 ZINC000569628663 1119350222 /nfs/dbraw/zinc/35/02/22/1119350222.db2.gz GLAZIERQRWUOAW-UHFFFAOYSA-N 1 2 278.355 3.896 20 0 CHADLO C[N@@H+](Cc1ccon1)C(c1ccccc1)c1ccccc1 ZINC000569628663 1119350226 /nfs/dbraw/zinc/35/02/26/1119350226.db2.gz GLAZIERQRWUOAW-UHFFFAOYSA-N 1 2 278.355 3.896 20 0 CHADLO Oc1ccc(F)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088981 1119372139 /nfs/dbraw/zinc/37/21/39/1119372139.db2.gz NNLYXDCJCPPYBH-UHFFFAOYSA-N 1 2 269.279 3.665 20 0 CHADLO C=C(Br)C[N@@H+](C)[C@@H](C)c1ccc(F)cc1 ZINC000052439839 1119378422 /nfs/dbraw/zinc/37/84/22/1119378422.db2.gz YXFFFSJHMVZFCR-JTQLQIEISA-N 1 2 272.161 3.727 20 0 CHADLO C=C(Br)C[N@H+](C)[C@@H](C)c1ccc(F)cc1 ZINC000052439839 1119378424 /nfs/dbraw/zinc/37/84/24/1119378424.db2.gz YXFFFSJHMVZFCR-JTQLQIEISA-N 1 2 272.161 3.727 20 0 CHADLO CCc1ccc(C[N@H+](Cc2nc(C)c(C)[nH]2)C2CC2)cc1 ZINC000628130762 1119416261 /nfs/dbraw/zinc/41/62/61/1119416261.db2.gz JNSVYRSRKVAHQD-UHFFFAOYSA-N 1 2 283.419 3.754 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2nc(C)c(C)[nH]2)C2CC2)cc1 ZINC000628130762 1119416264 /nfs/dbraw/zinc/41/62/64/1119416264.db2.gz JNSVYRSRKVAHQD-UHFFFAOYSA-N 1 2 283.419 3.754 20 0 CHADLO CCc1ccc(CN(Cc2[nH]c(C)c(C)[nH+]2)C2CC2)cc1 ZINC000628130762 1119416266 /nfs/dbraw/zinc/41/62/66/1119416266.db2.gz JNSVYRSRKVAHQD-UHFFFAOYSA-N 1 2 283.419 3.754 20 0 CHADLO Nc1cccc2ccn(-c3ccc(-c4[nH]cc[nH+]4)cc3)c21 ZINC001213090934 1119422295 /nfs/dbraw/zinc/42/22/95/1119422295.db2.gz NMJXBDYASXKSDE-UHFFFAOYSA-N 1 2 274.327 3.603 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+]2CCC[C@H]2c2ccco2)n1 ZINC000247580879 1119435745 /nfs/dbraw/zinc/43/57/45/1119435745.db2.gz YLCGQOMDNLUXAY-STQMWFEESA-N 1 2 289.379 3.903 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+]2CCC[C@H]2c2ccco2)n1 ZINC000247580879 1119435747 /nfs/dbraw/zinc/43/57/47/1119435747.db2.gz YLCGQOMDNLUXAY-STQMWFEESA-N 1 2 289.379 3.903 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](CO)c2cccc(Cl)c2F)o1 ZINC000343506439 1119436960 /nfs/dbraw/zinc/43/69/60/1119436960.db2.gz NWXHVAMWISKQRX-MFKMUULPSA-N 1 2 297.757 3.765 20 0 CHADLO CC[C@H]([NH2+]C1(c2ccccc2Cl)CC1)c1ccn(C)n1 ZINC000647315556 1119439381 /nfs/dbraw/zinc/43/93/81/1119439381.db2.gz PTWFINIFFCBFHI-AWEZNQCLSA-N 1 2 289.810 3.804 20 0 CHADLO CN(CCCn1cc[nH+]c1)c1ncc(-c2ccccc2)s1 ZINC000133035683 1119462144 /nfs/dbraw/zinc/46/21/44/1119462144.db2.gz NOKCYBCAUQILGG-UHFFFAOYSA-N 1 2 298.415 3.533 20 0 CHADLO CC[C@H](c1ccccc1)N(CC)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000635448242 1119469342 /nfs/dbraw/zinc/46/93/42/1119469342.db2.gz YERBYZANUNEHCJ-BFYDXBDKSA-N 1 2 297.402 3.513 20 0 CHADLO CC[C@H](c1ccccc1)N(CC)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000635448242 1119469345 /nfs/dbraw/zinc/46/93/45/1119469345.db2.gz YERBYZANUNEHCJ-BFYDXBDKSA-N 1 2 297.402 3.513 20 0 CHADLO COc1cc([C@H](C)[NH2+]Cc2cscn2)ccc1SC ZINC000127380024 1119486919 /nfs/dbraw/zinc/48/69/19/1119486919.db2.gz SXELAASQWBBDLV-JTQLQIEISA-N 1 2 294.445 3.724 20 0 CHADLO Cc1cc([C@@H](C)Nc2[nH+]c(C)nc3[nH]ccc32)c(C)o1 ZINC000080786830 1119502784 /nfs/dbraw/zinc/50/27/84/1119502784.db2.gz NCLLYBFRPKWQAP-SECBINFHSA-N 1 2 270.336 3.649 20 0 CHADLO C[C@]1(CNc2ccc(-n3cc[nH+]c3)c(F)c2)CCCS1 ZINC000628196143 1119515719 /nfs/dbraw/zinc/51/57/19/1119515719.db2.gz CQQDQLRGGIJMRP-OAHLLOKOSA-N 1 2 291.395 3.709 20 0 CHADLO CC(C)c1nnc2n1CC[N@@H+](C[C@H](C)c1ccccc1)[C@H]2C ZINC000251694462 1119523231 /nfs/dbraw/zinc/52/32/31/1119523231.db2.gz JQCBVHBUUWVJGW-GJZGRUSLSA-N 1 2 298.434 3.582 20 0 CHADLO CC(C)c1nnc2n1CC[N@H+](C[C@H](C)c1ccccc1)[C@H]2C ZINC000251694462 1119523235 /nfs/dbraw/zinc/52/32/35/1119523235.db2.gz JQCBVHBUUWVJGW-GJZGRUSLSA-N 1 2 298.434 3.582 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]C2(c3ccccc3F)CCC2)c2nccn21 ZINC000647332622 1119523578 /nfs/dbraw/zinc/52/35/78/1119523578.db2.gz BCWVKYYPSCFYJX-SWLSCSKDSA-N 1 2 285.366 3.697 20 0 CHADLO Cc1ccc(CNc2cccc[nH+]2)c(OCC2CC2)c1 ZINC000088741727 1119536865 /nfs/dbraw/zinc/53/68/65/1119536865.db2.gz BZGXVCZWTUYXRK-UHFFFAOYSA-N 1 2 268.360 3.791 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1cccc(N2CCCC2)c1 ZINC000088758981 1119537708 /nfs/dbraw/zinc/53/77/08/1119537708.db2.gz ZQRIERLIJHDFOO-CQSZACIVSA-N 1 2 267.376 3.855 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](C[C@H]1CCOC1)c1ccccc1 ZINC000246039044 1119552045 /nfs/dbraw/zinc/55/20/45/1119552045.db2.gz WXPZZNVWHWRBHM-JOQOYGCGSA-N 1 2 299.418 3.537 20 0 CHADLO Cc1ccc(O)cc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001214343246 1128854303 /nfs/dbraw/zinc/85/43/03/1128854303.db2.gz IHRPPPOZPRHSKF-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cnc(C2CCC2)s1 ZINC000092451897 1119561887 /nfs/dbraw/zinc/56/18/87/1119561887.db2.gz XXFLZBXKYPSKPC-UHFFFAOYSA-N 1 2 276.405 3.944 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cnc(C2CCC2)s1 ZINC000092451897 1119561890 /nfs/dbraw/zinc/56/18/90/1119561890.db2.gz XXFLZBXKYPSKPC-UHFFFAOYSA-N 1 2 276.405 3.944 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@@H](C)c2ccc(F)cn2)on1 ZINC000092753074 1119566766 /nfs/dbraw/zinc/56/67/66/1119566766.db2.gz IRHUTZIZWPRTLN-NSHDSACASA-N 1 2 291.370 3.963 20 0 CHADLO C[N@H+](Cc1nc2ccccc2o1)Cc1cc(F)cc(F)c1 ZINC000093339008 1119569151 /nfs/dbraw/zinc/56/91/51/1119569151.db2.gz URDBZVNIKBEZLS-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2o1)Cc1cc(F)cc(F)c1 ZINC000093339008 1119569155 /nfs/dbraw/zinc/56/91/55/1119569155.db2.gz URDBZVNIKBEZLS-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO Fc1ccc2nc(C[N@H+](Cc3ccncc3)C3CC3)oc2c1 ZINC000093221474 1119570644 /nfs/dbraw/zinc/57/06/44/1119570644.db2.gz VHEJHSOQNAHHIA-UHFFFAOYSA-N 1 2 297.333 3.527 20 0 CHADLO Fc1ccc2nc(C[N@@H+](Cc3ccncc3)C3CC3)oc2c1 ZINC000093221474 1119570645 /nfs/dbraw/zinc/57/06/45/1119570645.db2.gz VHEJHSOQNAHHIA-UHFFFAOYSA-N 1 2 297.333 3.527 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCn2ccnc21)c1ccc(Cl)cc1Cl ZINC000294200297 1119589783 /nfs/dbraw/zinc/58/97/83/1119589783.db2.gz SXJRUWQLPJWEFA-RNCFNFMXSA-N 1 2 296.201 3.986 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cc(F)ccc1F)c1ccco1 ZINC000156565288 1119598916 /nfs/dbraw/zinc/59/89/16/1119598916.db2.gz JJKTZNHGMLWDKK-HZMBPMFUSA-N 1 2 281.302 3.596 20 0 CHADLO C[C@@H]1CO[C@H](C(F)(F)F)C[N@@H+]1Cc1ccccc1C1CC1 ZINC000685440995 1119607607 /nfs/dbraw/zinc/60/76/07/1119607607.db2.gz QTBXTHZFQGKZMG-ABAIWWIYSA-N 1 2 299.336 3.716 20 0 CHADLO C[C@@H]1CO[C@H](C(F)(F)F)C[N@H+]1Cc1ccccc1C1CC1 ZINC000685440995 1119607608 /nfs/dbraw/zinc/60/76/08/1119607608.db2.gz QTBXTHZFQGKZMG-ABAIWWIYSA-N 1 2 299.336 3.716 20 0 CHADLO c1cn(-c2cccc(N[C@@H]3CCCSCC3)c2)c[nH+]1 ZINC000657959011 1119652560 /nfs/dbraw/zinc/65/25/60/1119652560.db2.gz CXQYOHIAVZDXNJ-CYBMUJFWSA-N 1 2 273.405 3.570 20 0 CHADLO FCC[C@@H]1C[N@H+](Cc2ccc(-c3ccccc3)o2)CCO1 ZINC000628457705 1128862573 /nfs/dbraw/zinc/86/25/73/1128862573.db2.gz JWCLXRNYXKVEEL-OAHLLOKOSA-N 1 2 289.350 3.507 20 0 CHADLO FCC[C@@H]1C[N@@H+](Cc2ccc(-c3ccccc3)o2)CCO1 ZINC000628457705 1128862578 /nfs/dbraw/zinc/86/25/78/1128862578.db2.gz JWCLXRNYXKVEEL-OAHLLOKOSA-N 1 2 289.350 3.507 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@H]2CCc3c[nH+]cn3C2)c1 ZINC000628431553 1128860928 /nfs/dbraw/zinc/86/09/28/1128860928.db2.gz YVJCRSBHGBTKNN-HIFRSBDPSA-N 1 2 297.402 3.598 20 0 CHADLO C[C@H]([NH2+]C1(C(F)F)CC1)c1cc2ccncc2s1 ZINC000658003974 1119665216 /nfs/dbraw/zinc/66/52/16/1119665216.db2.gz NCISFHIUADJOEA-QMMMGPOBSA-N 1 2 268.332 3.745 20 0 CHADLO Cc1cc(C)cc(C[NH2+][C@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000658175957 1119696534 /nfs/dbraw/zinc/69/65/34/1119696534.db2.gz DKDXDRGBTLPJEI-KRWDZBQOSA-N 1 2 298.434 3.833 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)C(C)(C)C)c1cccc(CO)c1 ZINC000658337963 1119727359 /nfs/dbraw/zinc/72/73/59/1119727359.db2.gz XQRVQCKJYLFSGT-NSHDSACASA-N 1 2 271.351 3.511 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+]C1(c2cccc(Cl)c2)CCC1 ZINC000926638760 1119759867 /nfs/dbraw/zinc/75/98/67/1119759867.db2.gz MKVDPSVKULPSJG-JTQLQIEISA-N 1 2 291.782 3.761 20 0 CHADLO CC[N@H+](Cc1ncc(Cl)n1C)[C@H](C)c1ccc(F)cc1 ZINC000053088281 1119770969 /nfs/dbraw/zinc/77/09/69/1119770969.db2.gz AFQKLJQLOKQTKP-LLVKDONJSA-N 1 2 295.789 3.796 20 0 CHADLO CC[N@@H+](Cc1ncc(Cl)n1C)[C@H](C)c1ccc(F)cc1 ZINC000053088281 1119770971 /nfs/dbraw/zinc/77/09/71/1119770971.db2.gz AFQKLJQLOKQTKP-LLVKDONJSA-N 1 2 295.789 3.796 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2cc(Cl)ccc21)c1csnn1 ZINC000336281109 1119775408 /nfs/dbraw/zinc/77/54/08/1119775408.db2.gz XMFMUJQXYXNWAR-PELKAZGASA-N 1 2 279.796 3.530 20 0 CHADLO Cc1cc([C@@H]([NH2+][C@@H]2CCCc3[nH]ncc32)C2CC2)ccc1F ZINC000375895267 1119779907 /nfs/dbraw/zinc/77/99/07/1119779907.db2.gz LBSASWLABRDZIE-AEFFLSMTSA-N 1 2 299.393 3.976 20 0 CHADLO Clc1scc(C[NH+]2CC([C@H]3CCOC3)C2)c1Cl ZINC000376020142 1119810397 /nfs/dbraw/zinc/81/03/97/1119810397.db2.gz DRTSFQLRHFSYKK-QMMMGPOBSA-N 1 2 292.231 3.523 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccnn1CC1CCC1)c1cscn1 ZINC000926690400 1119814550 /nfs/dbraw/zinc/81/45/50/1119814550.db2.gz AZWKQQLTDFEWFS-RYUDHWBXSA-N 1 2 290.436 3.552 20 0 CHADLO Cc1ccc(N2CCC[N@H+](C/C(Cl)=C\Cl)CC2)cc1 ZINC000763492412 1128873031 /nfs/dbraw/zinc/87/30/31/1128873031.db2.gz IKMYGRCCNRKPQK-SDNWHVSQSA-N 1 2 299.245 3.826 20 0 CHADLO Cc1ccc(N2CCC[N@@H+](C/C(Cl)=C\Cl)CC2)cc1 ZINC000763492412 1128873036 /nfs/dbraw/zinc/87/30/36/1128873036.db2.gz IKMYGRCCNRKPQK-SDNWHVSQSA-N 1 2 299.245 3.826 20 0 CHADLO O=C1CCCc2cc(Nc3cccc4[nH+]ccn43)ccc21 ZINC001213100297 1119866279 /nfs/dbraw/zinc/86/62/79/1119866279.db2.gz OLIAAPHKTJZOLO-UHFFFAOYSA-N 1 2 277.327 3.597 20 0 CHADLO Cc1ccccc1-c1noc(C[NH2+][C@H](C)c2ccccc2)n1 ZINC000589031897 1119872040 /nfs/dbraw/zinc/87/20/40/1119872040.db2.gz POASAYOLDHRMKL-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](C)c2ccccc2C(F)(F)F)n1 ZINC000066391015 1119879249 /nfs/dbraw/zinc/87/92/49/1119879249.db2.gz MMZYCJQIXWOHAR-DTWKUNHWSA-N 1 2 299.296 3.809 20 0 CHADLO COc1ccccc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000000572195 1119931186 /nfs/dbraw/zinc/93/11/86/1119931186.db2.gz JXRWQRVLFQSHRB-UHFFFAOYSA-N 1 2 282.387 3.908 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](C)c2csc(C(C)C)n2)n1 ZINC000186262320 1119982395 /nfs/dbraw/zinc/98/23/95/1119982395.db2.gz KFJMYMJEYVNMOS-LBPRGKRZSA-N 1 2 275.421 3.821 20 0 CHADLO C[C@@H]([NH2+]Cc1ccoc1)c1nc(C(F)(F)F)cs1 ZINC000343832458 1119995709 /nfs/dbraw/zinc/99/57/09/1119995709.db2.gz DWWHEUYNOIMXHR-SSDOTTSWSA-N 1 2 276.283 3.606 20 0 CHADLO C[C@@H](CN(C)C(=O)c1ccc(-n2cc[nH+]c2)cc1)C(C)(C)C ZINC000636432577 1119999642 /nfs/dbraw/zinc/99/96/42/1119999642.db2.gz ALQNMEZLMPJEIO-AWEZNQCLSA-N 1 2 299.418 3.627 20 0 CHADLO Cc1occc1C[NH2+]Cc1c(F)cccc1OC(F)F ZINC000414367129 1120001278 /nfs/dbraw/zinc/00/12/78/1120001278.db2.gz QYLWMQKXRMLNMK-UHFFFAOYSA-N 1 2 285.265 3.618 20 0 CHADLO Cc1ccc([S@](=O)Cc2ccc(C)[nH+]c2C)c(C)c1 ZINC000187061299 1120010347 /nfs/dbraw/zinc/01/03/47/1120010347.db2.gz CUMDHDWMCYPGDA-LJQANCHMSA-N 1 2 273.401 3.623 20 0 CHADLO C[C@@H]1COCC[N@H+](Cc2csc(C3CCCCC3)n2)C1 ZINC000376502770 1120016977 /nfs/dbraw/zinc/01/69/77/1120016977.db2.gz YRNFTDDPINUYOX-ZDUSSCGKSA-N 1 2 294.464 3.659 20 0 CHADLO C[C@@H]1COCC[N@@H+](Cc2csc(C3CCCCC3)n2)C1 ZINC000376502770 1120016979 /nfs/dbraw/zinc/01/69/79/1120016979.db2.gz YRNFTDDPINUYOX-ZDUSSCGKSA-N 1 2 294.464 3.659 20 0 CHADLO C[C@H](Oc1cc(N)cc[nH+]1)c1cccc(Br)c1 ZINC001218336118 1120033868 /nfs/dbraw/zinc/03/38/68/1120033868.db2.gz GIOSALQYJFUJLC-VIFPVBQESA-N 1 2 293.164 3.566 20 0 CHADLO Nc1ccc(Nc2cc(Cl)ccc2Br)c[nH+]1 ZINC000088122315 1120043354 /nfs/dbraw/zinc/04/33/54/1120043354.db2.gz HRVQEJLWYDIXDH-UHFFFAOYSA-N 1 2 298.571 3.823 20 0 CHADLO CC(=O)Nc1cccc([C@H](C)Nc2ccc(C)[nH+]c2C)c1 ZINC000094664597 1120053678 /nfs/dbraw/zinc/05/36/78/1120053678.db2.gz UGHPGZOQLRKKQN-LBPRGKRZSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@@H]3CC34CCC4)cc2)[nH+]c1C ZINC000921661166 1120058830 /nfs/dbraw/zinc/05/88/30/1120058830.db2.gz YUPARVIWFLVSOI-HNNXBMFYSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(Cl)cc2Cl)nn1C ZINC000282278725 1120076440 /nfs/dbraw/zinc/07/64/40/1120076440.db2.gz RQAXGDVPIRDSTE-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cc(F)ccc1OC(F)F ZINC000659815567 1120115994 /nfs/dbraw/zinc/11/59/94/1120115994.db2.gz GXVCDXBBNFYDKP-UHFFFAOYSA-N 1 2 285.265 3.652 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cc(F)ccc1OC(F)F ZINC000659815567 1120115998 /nfs/dbraw/zinc/11/59/98/1120115998.db2.gz GXVCDXBBNFYDKP-UHFFFAOYSA-N 1 2 285.265 3.652 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)co1 ZINC000659811335 1120116047 /nfs/dbraw/zinc/11/60/47/1120116047.db2.gz QDVXIDUUIMPHAJ-NSHDSACASA-N 1 2 270.332 3.922 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)co1 ZINC000659811335 1120116049 /nfs/dbraw/zinc/11/60/49/1120116049.db2.gz QDVXIDUUIMPHAJ-NSHDSACASA-N 1 2 270.332 3.922 20 0 CHADLO Cc1nc(N[C@H]2CCNc3ccccc32)c2c([nH+]1)CCCC2 ZINC001168640440 1120127569 /nfs/dbraw/zinc/12/75/69/1120127569.db2.gz IPCLAWJWDWEPDN-KRWDZBQOSA-N 1 2 294.402 3.633 20 0 CHADLO Cc1ccc(N[C@@H](C)c2ccc([S@](C)=O)cc2)c(C)[nH+]1 ZINC001116496134 1120134934 /nfs/dbraw/zinc/13/49/34/1120134934.db2.gz SMQNAQSIHUGEIZ-YUNKPMOVSA-N 1 2 288.416 3.609 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cnc3ccsc3c2)cs1 ZINC000085433724 1120158488 /nfs/dbraw/zinc/15/84/88/1120158488.db2.gz IIWMGNYFLFPFNX-SECBINFHSA-N 1 2 289.429 3.912 20 0 CHADLO C[C@@H]1C[C@H](CO[NH+]=C(N)c2cccc3ccccc32)CCO1 ZINC000783029736 1120176582 /nfs/dbraw/zinc/17/65/82/1120176582.db2.gz PEKKWHONRRYUBJ-ZIAGYGMSSA-N 1 2 298.386 3.501 20 0 CHADLO CCCCCN(C(=O)CCc1c[nH]c[nH+]1)[C@H](C)CCC ZINC000475252664 1120186910 /nfs/dbraw/zinc/18/69/10/1120186910.db2.gz DODZAHNNBLVRDR-CQSZACIVSA-N 1 2 279.428 3.550 20 0 CHADLO CCCCCN(C(=O)CCc1c[nH+]c[nH]1)[C@H](C)CCC ZINC000475252664 1120186911 /nfs/dbraw/zinc/18/69/11/1120186911.db2.gz DODZAHNNBLVRDR-CQSZACIVSA-N 1 2 279.428 3.550 20 0 CHADLO Nc1ccc(Cl)cc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001212434298 1120193512 /nfs/dbraw/zinc/19/35/12/1120193512.db2.gz XUOOKXZBTHDCBE-UHFFFAOYSA-N 1 2 284.750 3.852 20 0 CHADLO CC(C)O[C@@H](C[N@@H+]1CCC[C@H]1C(F)F)c1ccccc1 ZINC000644680381 1120200667 /nfs/dbraw/zinc/20/06/67/1120200667.db2.gz IAJGNPKDCRLKME-GJZGRUSLSA-N 1 2 283.362 3.882 20 0 CHADLO CC(C)O[C@@H](C[N@H+]1CCC[C@H]1C(F)F)c1ccccc1 ZINC000644680381 1120200670 /nfs/dbraw/zinc/20/06/70/1120200670.db2.gz IAJGNPKDCRLKME-GJZGRUSLSA-N 1 2 283.362 3.882 20 0 CHADLO Cc1cccc(-c2ccc(CNc3cc[nH+]c(C)n3)cc2)c1 ZINC000462858564 1120213597 /nfs/dbraw/zinc/21/35/97/1120213597.db2.gz YVTJSUKYOPDCIE-UHFFFAOYSA-N 1 2 289.382 3.794 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2cc(C(CC)CC)no2)o1 ZINC000893065144 1120213827 /nfs/dbraw/zinc/21/38/27/1120213827.db2.gz YSAFTIGUFZRHGE-UHFFFAOYSA-N 1 2 292.379 3.860 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC=C(c3ccccn3)C2)cs1 ZINC000584538027 1120216053 /nfs/dbraw/zinc/21/60/53/1120216053.db2.gz DCYDQPVVGDHKQE-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC=C(c3ccccn3)C2)cs1 ZINC000584538027 1120216055 /nfs/dbraw/zinc/21/60/55/1120216055.db2.gz DCYDQPVVGDHKQE-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2nc(C)c(C)s2)sc1C ZINC000177775057 1120221897 /nfs/dbraw/zinc/22/18/97/1120221897.db2.gz NXSWSHAIMRUQAM-UHFFFAOYSA-N 1 2 295.477 3.858 20 0 CHADLO CC(C)OCCC[NH2+]c1cc2c3c(c1)CCCN3CCC2 ZINC001168681100 1120238786 /nfs/dbraw/zinc/23/87/86/1120238786.db2.gz KWQWSSLCNGFYGU-UHFFFAOYSA-N 1 2 288.435 3.612 20 0 CHADLO CC(C)OCCCNc1cc2c3c(c1)CCC[NH+]3CCC2 ZINC001168681100 1120238791 /nfs/dbraw/zinc/23/87/91/1120238791.db2.gz KWQWSSLCNGFYGU-UHFFFAOYSA-N 1 2 288.435 3.612 20 0 CHADLO CC[N@H+](Cc1c(F)cccc1F)[C@@H](C)c1ccncc1 ZINC000075681859 1120264276 /nfs/dbraw/zinc/26/42/76/1120264276.db2.gz RAWMZTJQKBTYJR-LBPRGKRZSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@@H+](Cc1c(F)cccc1F)[C@@H](C)c1ccncc1 ZINC000075681859 1120264279 /nfs/dbraw/zinc/26/42/79/1120264279.db2.gz RAWMZTJQKBTYJR-LBPRGKRZSA-N 1 2 276.330 3.943 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)[C@H](C)[C@@H](C)O1 ZINC000672261178 1120267960 /nfs/dbraw/zinc/26/79/60/1120267960.db2.gz JTYZAJSKWHSADV-IJLUTSLNSA-N 1 2 290.794 3.890 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)[C@H](C)[C@H](C)O1 ZINC000672261179 1120268065 /nfs/dbraw/zinc/26/80/65/1120268065.db2.gz JTYZAJSKWHSADV-UTUOFQBUSA-N 1 2 290.794 3.890 20 0 CHADLO Clc1cccc2c(N3CCO[C@@H](C4CC4)C3)cc[nH+]c12 ZINC000672253756 1120268307 /nfs/dbraw/zinc/26/83/07/1120268307.db2.gz VOPHQHQWMWAAOF-OAHLLOKOSA-N 1 2 288.778 3.503 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2cscn2)cc1Cl ZINC000112538498 1120287520 /nfs/dbraw/zinc/28/75/20/1120287520.db2.gz ZODXFKRPRALAFH-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000181121348 1120289240 /nfs/dbraw/zinc/28/92/40/1120289240.db2.gz RJVBGGGJFFLIIN-HZMBPMFUSA-N 1 2 271.763 3.651 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000181121348 1120289242 /nfs/dbraw/zinc/28/92/42/1120289242.db2.gz RJVBGGGJFFLIIN-HZMBPMFUSA-N 1 2 271.763 3.651 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2ncc(Cl)n2C)cc1C ZINC000181140361 1120289895 /nfs/dbraw/zinc/28/98/95/1120289895.db2.gz WPNZYCQBVZIJRY-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2ncc(Cl)n2C)cc1C ZINC000181140361 1120289898 /nfs/dbraw/zinc/28/98/98/1120289898.db2.gz WPNZYCQBVZIJRY-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO FCC1CC[NH+](Cc2c(Cl)cncc2Cl)CC1 ZINC001137608458 1120290973 /nfs/dbraw/zinc/29/09/73/1120290973.db2.gz UIEGBIVMGOBUNB-UHFFFAOYSA-N 1 2 277.170 3.570 20 0 CHADLO Oc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCC1(F)F)CCC2 ZINC000398082746 1120296703 /nfs/dbraw/zinc/29/67/03/1120296703.db2.gz SJXQNXDDXBBWJS-UONOGXRCSA-N 1 2 267.319 3.547 20 0 CHADLO Cc1cc(N(C)C)cc(C)c1-c1ccc2[nH+]ccn2c1 ZINC001240218826 1120302680 /nfs/dbraw/zinc/30/26/80/1120302680.db2.gz KXXKSKJRQNUZFY-UHFFFAOYSA-N 1 2 265.360 3.684 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2F)co1 ZINC000659958896 1120310645 /nfs/dbraw/zinc/31/06/45/1120310645.db2.gz GNHRDWNNSOKBKI-BZNIZROVSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1nc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2F)co1 ZINC000659958896 1120310648 /nfs/dbraw/zinc/31/06/48/1120310648.db2.gz GNHRDWNNSOKBKI-BZNIZROVSA-N 1 2 274.339 3.705 20 0 CHADLO COCc1csc(CNc2ccc([NH+](C)C)cc2)c1 ZINC000695566983 1120311407 /nfs/dbraw/zinc/31/14/07/1120311407.db2.gz NWHDRUXSSVAJGA-UHFFFAOYSA-N 1 2 276.405 3.573 20 0 CHADLO CCCCCC[C@@H](C)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178348803 1120318213 /nfs/dbraw/zinc/31/82/13/1120318213.db2.gz WXGSPTIBPGGXQH-CQSZACIVSA-N 1 2 287.407 3.557 20 0 CHADLO CCC[C@@H]1C[N@@H+]([C@H](C)c2cccc(Cl)c2)CCO1 ZINC000533932514 1120328634 /nfs/dbraw/zinc/32/86/34/1120328634.db2.gz YHOLWMLWOZSXGW-IUODEOHRSA-N 1 2 267.800 3.902 20 0 CHADLO CCC[C@@H]1C[N@H+]([C@H](C)c2cccc(Cl)c2)CCO1 ZINC000533932514 1120328638 /nfs/dbraw/zinc/32/86/38/1120328638.db2.gz YHOLWMLWOZSXGW-IUODEOHRSA-N 1 2 267.800 3.902 20 0 CHADLO COCCOc1ccc([C@H](C)[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000672622111 1120336900 /nfs/dbraw/zinc/33/69/00/1120336900.db2.gz RWTMQCSPEBVXJH-WFASDCNBSA-N 1 2 299.361 3.550 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+][C@H]1CCCOc2ccccc21 ZINC000672622258 1120336909 /nfs/dbraw/zinc/33/69/09/1120336909.db2.gz DWAOYABJXMXGPE-JSGCOSHPSA-N 1 2 267.319 3.678 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCC1(F)F)c1cccc(OC)c1 ZINC000672622874 1120337562 /nfs/dbraw/zinc/33/75/62/1120337562.db2.gz WNXAZBSXRQCCJZ-KBPBESRZSA-N 1 2 269.335 3.924 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@@H]1CCCC1(F)F)CCCO2 ZINC000672619456 1120338270 /nfs/dbraw/zinc/33/82/70/1120338270.db2.gz BJWGBPDJGQVUQV-UKRRQHHQSA-N 1 2 281.346 3.986 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)cs1)c1cc(O)cc(F)c1 ZINC000925547566 1120344534 /nfs/dbraw/zinc/34/45/34/1120344534.db2.gz PMUYWKWZNMCBNY-VIFPVBQESA-N 1 2 292.379 3.716 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cc(C2CC2)no1)c1nc(C)cs1 ZINC000660091631 1120373997 /nfs/dbraw/zinc/37/39/97/1120373997.db2.gz PPGGZOLNCGTEGK-OAHLLOKOSA-N 1 2 291.420 3.732 20 0 CHADLO COc1c(F)ccc(Nc2cccc3[nH+]c(C)cn32)c1F ZINC001212492641 1120382706 /nfs/dbraw/zinc/38/27/06/1120382706.db2.gz GQDYDYNFWSBIOF-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(F)c(OC)c1F ZINC001212494449 1120386558 /nfs/dbraw/zinc/38/65/58/1120386558.db2.gz KPHQOLHRGRJGSW-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143615254 1120389782 /nfs/dbraw/zinc/38/97/82/1120389782.db2.gz AKCFDWIYHGLXTO-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143615254 1120389788 /nfs/dbraw/zinc/38/97/88/1120389788.db2.gz AKCFDWIYHGLXTO-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO CC[C@H](CC(F)(F)F)Nc1nc(C)[nH+]c2c1CCCC2 ZINC000545474612 1120391167 /nfs/dbraw/zinc/39/11/67/1120391167.db2.gz VGLHIFYCWGWNGR-SNVBAGLBSA-N 1 2 287.329 3.807 20 0 CHADLO CC1(C)C[C@H](Nc2cccc[nH+]2)c2cc(F)ccc2O1 ZINC000651416098 1120393520 /nfs/dbraw/zinc/39/35/20/1120393520.db2.gz XHTCKMGJBQICRV-ZDUSSCGKSA-N 1 2 272.323 3.935 20 0 CHADLO C/C(=C\C(C)(C)C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000186653217 1120403550 /nfs/dbraw/zinc/40/35/50/1120403550.db2.gz TXPQNXUEYHCHEI-PKNBQFBNSA-N 1 2 260.381 3.938 20 0 CHADLO CC[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@H]1CCOC1 ZINC000312420669 1120409740 /nfs/dbraw/zinc/40/97/40/1120409740.db2.gz YMPUCZPPTBTGAQ-WMLDXEAASA-N 1 2 274.408 3.514 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@H]1CCOC1 ZINC000312420669 1120409743 /nfs/dbraw/zinc/40/97/43/1120409743.db2.gz YMPUCZPPTBTGAQ-WMLDXEAASA-N 1 2 274.408 3.514 20 0 CHADLO COCOc1ccc(C)c(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001214356936 1128915369 /nfs/dbraw/zinc/91/53/69/1128915369.db2.gz MBIQHNBKCUTSLS-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO CC(C)n1ccc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001203160318 1120429737 /nfs/dbraw/zinc/42/97/37/1120429737.db2.gz UTHJZIFUVFKICU-UHFFFAOYSA-N 1 2 270.380 3.808 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C2CC2)no1)c1cccc(F)c1F ZINC000660203579 1120440774 /nfs/dbraw/zinc/44/07/74/1120440774.db2.gz FOPBMHHIRAPSPR-VIFPVBQESA-N 1 2 278.302 3.681 20 0 CHADLO Cc1c(Cl)ccc(C[NH2+][C@@H](C)c2ccn(C)n2)c1Cl ZINC000922180895 1120448340 /nfs/dbraw/zinc/44/83/40/1120448340.db2.gz JIMJVEBULRNBHH-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000584807978 1120453437 /nfs/dbraw/zinc/45/34/37/1120453437.db2.gz CICGJKRREDCUJY-UHFFFAOYSA-N 1 2 280.371 3.949 20 0 CHADLO Cc1ccoc1C[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000584807978 1120453439 /nfs/dbraw/zinc/45/34/39/1120453439.db2.gz CICGJKRREDCUJY-UHFFFAOYSA-N 1 2 280.371 3.949 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1ccnn1C1CCC1 ZINC000922194928 1120459185 /nfs/dbraw/zinc/45/91/85/1120459185.db2.gz OMXJVSHLBUZZNS-UHFFFAOYSA-N 1 2 295.390 3.590 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC[C@H]1CCO[C@H](C)C1 ZINC000543207281 1120463792 /nfs/dbraw/zinc/46/37/92/1120463792.db2.gz ODGVQRKAXXTESE-CABCVRRESA-N 1 2 284.403 3.582 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cc3ccccc3o2)c(C)o1 ZINC000660258164 1120469913 /nfs/dbraw/zinc/46/99/13/1120469913.db2.gz YHVCBBZBAIIYCY-SNVBAGLBSA-N 1 2 270.332 3.888 20 0 CHADLO Fc1ccc(C[N@@H+]2CCSC[C@@H]2c2ccccc2)cn1 ZINC000189293450 1120479278 /nfs/dbraw/zinc/47/92/78/1120479278.db2.gz DPMCBDJCNRTCSW-OAHLLOKOSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ccc(C[N@H+]2CCSC[C@@H]2c2ccccc2)cn1 ZINC000189293450 1120479283 /nfs/dbraw/zinc/47/92/83/1120479283.db2.gz DPMCBDJCNRTCSW-OAHLLOKOSA-N 1 2 288.391 3.511 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(C(F)(F)F)c1C ZINC000417834471 1120485009 /nfs/dbraw/zinc/48/50/09/1120485009.db2.gz VIFDUEXOBUXEOG-UHFFFAOYSA-N 1 2 283.297 3.842 20 0 CHADLO Cc1ncc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)o1 ZINC000930964489 1120490488 /nfs/dbraw/zinc/49/04/88/1120490488.db2.gz DCYFVMJJZKISIP-HNNXBMFYSA-N 1 2 272.348 3.693 20 0 CHADLO Cc1ncc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)o1 ZINC000930964489 1120490491 /nfs/dbraw/zinc/49/04/91/1120490491.db2.gz DCYFVMJJZKISIP-HNNXBMFYSA-N 1 2 272.348 3.693 20 0 CHADLO C[C@H](OCCn1cc[nH+]c1)c1ccccc1C(F)(F)F ZINC001222134824 1120492107 /nfs/dbraw/zinc/49/21/07/1120492107.db2.gz PFYSSYVTKKXZOE-NSHDSACASA-N 1 2 284.281 3.680 20 0 CHADLO CCc1c(NCc2c[nH+]cn2CC)cnn1C(CC)CC ZINC000418027529 1120507340 /nfs/dbraw/zinc/50/73/40/1120507340.db2.gz HIUAUOOEORAXDM-UHFFFAOYSA-N 1 2 289.427 3.635 20 0 CHADLO OCC1(CCNc2cc[nH+]c3c(Cl)cccc23)CCC1 ZINC000651465153 1120507438 /nfs/dbraw/zinc/50/74/38/1120507438.db2.gz BMLDFUAMFXDAHI-UHFFFAOYSA-N 1 2 290.794 3.853 20 0 CHADLO COc1cc(C[NH2+][C@H](C)c2cscn2)ccc1Cl ZINC000651467894 1120512899 /nfs/dbraw/zinc/51/28/99/1120512899.db2.gz KZZKARTWAHXVFH-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+]Cc1ccnn1C1CCC1 ZINC000922336563 1120520129 /nfs/dbraw/zinc/52/01/29/1120520129.db2.gz ZDOUEVFZVRBLPM-QZTJIDSGSA-N 1 2 299.393 3.723 20 0 CHADLO CCc1c2ccccc2oc1[C@H](C)[NH2+]Cc1cocn1 ZINC000397870017 1120528765 /nfs/dbraw/zinc/52/87/65/1120528765.db2.gz FITFPAGCHRECBI-NSHDSACASA-N 1 2 270.332 3.834 20 0 CHADLO CCC[C@@H](CC1CCCC1)C(=O)Nc1cc[nH+]c(C)c1 ZINC000499815674 1120534610 /nfs/dbraw/zinc/53/46/10/1120534610.db2.gz UPGPEQIUDDUAST-HNNXBMFYSA-N 1 2 274.408 3.747 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000397969926 1120537701 /nfs/dbraw/zinc/53/77/01/1120537701.db2.gz ARRHEGCAIZJVGD-RISCZKNCSA-N 1 2 269.335 3.924 20 0 CHADLO CC(C)c1cc(N2CC[C@]3(CC3(F)F)C2)nc(C(C)C)[nH+]1 ZINC000651487714 1120542213 /nfs/dbraw/zinc/54/22/13/1120542213.db2.gz GDRUJQXZQFHHTN-HNNXBMFYSA-N 1 2 295.377 3.959 20 0 CHADLO Fc1ccccc1[C@@H](Cc1ccccc1)[NH2+]Cc1cc[nH]n1 ZINC000123909356 1120547865 /nfs/dbraw/zinc/54/78/65/1120547865.db2.gz RTVOXQYSCNRGEV-GOSISDBHSA-N 1 2 295.361 3.622 20 0 CHADLO Fc1cccc2c1CC[C@@H]2[NH2+][C@H]1CCCC1(F)F ZINC000398002432 1120549198 /nfs/dbraw/zinc/54/91/98/1120549198.db2.gz FPJHZMRNGWFKMT-STQMWFEESA-N 1 2 255.283 3.590 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)sc2Cl)C[C@H](C)[C@H]1O ZINC000661622265 1120555848 /nfs/dbraw/zinc/55/58/48/1120555848.db2.gz RNGDSJFLVLBLHP-VROVMSAKSA-N 1 2 294.247 3.504 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)sc2Cl)C[C@H](C)[C@H]1O ZINC000661622265 1120555850 /nfs/dbraw/zinc/55/58/50/1120555850.db2.gz RNGDSJFLVLBLHP-VROVMSAKSA-N 1 2 294.247 3.504 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2nc(C(C)C)no2)cc1 ZINC000193016641 1120562572 /nfs/dbraw/zinc/56/25/72/1120562572.db2.gz FATPZCUGBHAJBL-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2nc(C(C)C)no2)cc1 ZINC000193016641 1120562575 /nfs/dbraw/zinc/56/25/75/1120562575.db2.gz FATPZCUGBHAJBL-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+][C@@H](C)c1nc2ccccc2s1 ZINC000783247450 1120564577 /nfs/dbraw/zinc/56/45/77/1120564577.db2.gz XMOBTHAUDWSCNN-NEPJUHHUSA-N 1 2 298.415 3.807 20 0 CHADLO CCCOc1cccc(C[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000398090488 1120566449 /nfs/dbraw/zinc/56/64/49/1120566449.db2.gz VUSOZPPNCAAWIX-CQSZACIVSA-N 1 2 269.335 3.753 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)c[nH+]1)C1CCCC1 ZINC000561782114 1120570744 /nfs/dbraw/zinc/57/07/44/1120570744.db2.gz QHZZLGRJDQHREZ-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(F)c(OC)c2)c1 ZINC000652067671 1120577353 /nfs/dbraw/zinc/57/73/53/1120577353.db2.gz CKNFAZKMMOJOKQ-LLVKDONJSA-N 1 2 290.338 3.549 20 0 CHADLO CC[C@@H](CNc1cc(COC)cc[nH+]1)c1ccccc1 ZINC000652068497 1120577487 /nfs/dbraw/zinc/57/74/87/1120577487.db2.gz FVJMYSVCXLYKQI-HNNXBMFYSA-N 1 2 270.376 3.834 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)Cc2ccccc2C)c1 ZINC000652068305 1120577503 /nfs/dbraw/zinc/57/75/03/1120577503.db2.gz ZFELSIOKXIHSLF-AWEZNQCLSA-N 1 2 270.376 3.580 20 0 CHADLO COCc1cc[nH+]c(NC2CC(c3ccc(C)cc3)C2)c1 ZINC000652068494 1120577916 /nfs/dbraw/zinc/57/79/16/1120577916.db2.gz FOCPTIRIGGSERR-UHFFFAOYSA-N 1 2 282.387 3.895 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCCC[C@@H]1c1ccc(F)cc1 ZINC000364392323 1120578079 /nfs/dbraw/zinc/57/80/79/1120578079.db2.gz RRTFBPBWRFOUFW-MRXNPFEDSA-N 1 2 287.382 3.769 20 0 CHADLO CCn1ccnc1C[N@H+]1CCCC[C@@H]1c1ccc(F)cc1 ZINC000364392323 1120578084 /nfs/dbraw/zinc/57/80/84/1120578084.db2.gz RRTFBPBWRFOUFW-MRXNPFEDSA-N 1 2 287.382 3.769 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)C(F)(F)F ZINC000624957478 1120585047 /nfs/dbraw/zinc/58/50/47/1120585047.db2.gz BVAIQMIDOWLRGC-VIFPVBQESA-N 1 2 297.280 3.604 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2c(Cl)ccc3cccnc32)C1 ZINC000652077046 1120595381 /nfs/dbraw/zinc/59/53/81/1120595381.db2.gz LQJYCTZRQWBCJE-GFCCVEGCSA-N 1 2 278.758 3.822 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2c(Cl)ccc3cccnc32)C1 ZINC000652077046 1120595386 /nfs/dbraw/zinc/59/53/86/1120595386.db2.gz LQJYCTZRQWBCJE-GFCCVEGCSA-N 1 2 278.758 3.822 20 0 CHADLO CCCc1nc(C)c(C[N@H+](C)Cc2cc(F)cc(F)c2)o1 ZINC000428985750 1120599855 /nfs/dbraw/zinc/59/98/55/1120599855.db2.gz LCKXLBGMQNEKMR-UHFFFAOYSA-N 1 2 294.345 3.846 20 0 CHADLO CCCc1nc(C)c(C[N@@H+](C)Cc2cc(F)cc(F)c2)o1 ZINC000428985750 1120599860 /nfs/dbraw/zinc/59/98/60/1120599860.db2.gz LCKXLBGMQNEKMR-UHFFFAOYSA-N 1 2 294.345 3.846 20 0 CHADLO Cc1cc(F)ncc1CNc1ccc2c(c1)CCC[N@H+]2C ZINC000893366847 1120602220 /nfs/dbraw/zinc/60/22/20/1120602220.db2.gz CSMVWQZMYFYIAS-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO Cc1cc(F)ncc1CNc1ccc2c(c1)CCC[N@@H+]2C ZINC000893366847 1120602222 /nfs/dbraw/zinc/60/22/22/1120602222.db2.gz CSMVWQZMYFYIAS-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cnc(Cl)s1)CC2 ZINC000759882140 1120603034 /nfs/dbraw/zinc/60/30/34/1120603034.db2.gz IHHKRSROZJCFOH-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cnc(Cl)s1)CC2 ZINC000759882140 1120603038 /nfs/dbraw/zinc/60/30/38/1120603038.db2.gz IHHKRSROZJCFOH-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Fc1ccc(/C=C\C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000673618684 1120607872 /nfs/dbraw/zinc/60/78/72/1120607872.db2.gz YZDAWFNVMMTBPT-XQJDBVBESA-N 1 2 255.283 3.616 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@](F)(c3ccccc3C)C2)c(C)o1 ZINC000660487650 1120639243 /nfs/dbraw/zinc/63/92/43/1120639243.db2.gz WPLQJNBGGKDLIO-QGZVFWFLSA-N 1 2 288.366 3.671 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@](F)(c3ccccc3C)C2)c(C)o1 ZINC000660487650 1120639247 /nfs/dbraw/zinc/63/92/47/1120639247.db2.gz WPLQJNBGGKDLIO-QGZVFWFLSA-N 1 2 288.366 3.671 20 0 CHADLO C[N@H+](Cc1noc(C2CCC2)n1)C1CCC(C)(C)CC1 ZINC000625226905 1120650325 /nfs/dbraw/zinc/65/03/25/1120650325.db2.gz STGBWHSBOCEJJG-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO C[N@@H+](Cc1noc(C2CCC2)n1)C1CCC(C)(C)CC1 ZINC000625226905 1120650328 /nfs/dbraw/zinc/65/03/28/1120650328.db2.gz STGBWHSBOCEJJG-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO COc1cc(C[N@@H+]2CC(C)(C)[C@H]2c2cccs2)sn1 ZINC000660557963 1120657737 /nfs/dbraw/zinc/65/77/37/1120657737.db2.gz PIYJALRKMDGRDF-CYBMUJFWSA-N 1 2 294.445 3.796 20 0 CHADLO COc1cc(C[N@H+]2CC(C)(C)[C@H]2c2cccs2)sn1 ZINC000660557963 1120657739 /nfs/dbraw/zinc/65/77/39/1120657739.db2.gz PIYJALRKMDGRDF-CYBMUJFWSA-N 1 2 294.445 3.796 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625272157 1120658731 /nfs/dbraw/zinc/65/87/31/1120658731.db2.gz QNMIGSTWRNRLDW-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625272157 1120658734 /nfs/dbraw/zinc/65/87/34/1120658734.db2.gz QNMIGSTWRNRLDW-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1nsc2ccccc12 ZINC000660615242 1120668374 /nfs/dbraw/zinc/66/83/74/1120668374.db2.gz RGLMXHRKZAQQCY-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1nsc2ccccc12 ZINC000660615242 1120668376 /nfs/dbraw/zinc/66/83/76/1120668376.db2.gz RGLMXHRKZAQQCY-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@H](c3ccccn3)C2)s1 ZINC000660625037 1120669827 /nfs/dbraw/zinc/66/98/27/1120669827.db2.gz CUYDUZMBIAGTME-NSHDSACASA-N 1 2 293.823 3.571 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@H](c3ccccn3)C2)s1 ZINC000660625037 1120669830 /nfs/dbraw/zinc/66/98/30/1120669830.db2.gz CUYDUZMBIAGTME-NSHDSACASA-N 1 2 293.823 3.571 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625445747 1120681573 /nfs/dbraw/zinc/68/15/73/1120681573.db2.gz QJSADADIAYUDKN-UHFFFAOYSA-N 1 2 265.740 3.579 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625445747 1120681577 /nfs/dbraw/zinc/68/15/77/1120681577.db2.gz QJSADADIAYUDKN-UHFFFAOYSA-N 1 2 265.740 3.579 20 0 CHADLO C[C@@H]1CCC[C@@H](C[N@@H+](C)[C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000660765892 1120686520 /nfs/dbraw/zinc/68/65/20/1120686520.db2.gz YFMYFXCTKNYRLC-INMHGKMJSA-N 1 2 298.434 3.652 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cnc(-c3cccs3)s2)C1 ZINC000355370911 1120702279 /nfs/dbraw/zinc/70/22/79/1120702279.db2.gz VWLYFKFODJXCCV-ZDUSSCGKSA-N 1 2 282.409 3.806 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cnc(-c3cccs3)s2)C1 ZINC000355370911 1120702282 /nfs/dbraw/zinc/70/22/82/1120702282.db2.gz VWLYFKFODJXCCV-ZDUSSCGKSA-N 1 2 282.409 3.806 20 0 CHADLO C[C@@H](c1ccc(OC(F)(F)F)cc1)[N@H+](C)Cc1cnc[nH]1 ZINC000661087307 1120714659 /nfs/dbraw/zinc/71/46/59/1120714659.db2.gz QKVPZTYIQYWALX-JTQLQIEISA-N 1 2 299.296 3.501 20 0 CHADLO C[C@@H](c1ccc(OC(F)(F)F)cc1)[N@@H+](C)Cc1cnc[nH]1 ZINC000661087307 1120714663 /nfs/dbraw/zinc/71/46/63/1120714663.db2.gz QKVPZTYIQYWALX-JTQLQIEISA-N 1 2 299.296 3.501 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2ccc(F)c(Cl)c21)c1ccn(C)n1 ZINC000651829832 1120755955 /nfs/dbraw/zinc/75/59/55/1120755955.db2.gz OLQUDEUPHBKREX-ZANVPECISA-N 1 2 293.773 3.551 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@H+]([C@@H]1CCCOC1)CC2 ZINC000661372616 1120758289 /nfs/dbraw/zinc/75/82/89/1120758289.db2.gz FKGBKNZSACDIMZ-LLVKDONJSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@@H+]([C@@H]1CCCOC1)CC2 ZINC000661372616 1120758293 /nfs/dbraw/zinc/75/82/93/1120758293.db2.gz FKGBKNZSACDIMZ-LLVKDONJSA-N 1 2 286.202 3.531 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccc(C(C)C)cc3C2)no1 ZINC000661376583 1120758721 /nfs/dbraw/zinc/75/87/21/1120758721.db2.gz ZSOSSUAOOQOZDC-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccc(C(C)C)cc3C2)no1 ZINC000661376583 1120758724 /nfs/dbraw/zinc/75/87/24/1120758724.db2.gz ZSOSSUAOOQOZDC-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO C[C@H]1COC2(CCC2)C[N@@H+]1Cc1sccc1Cl ZINC000661464021 1120769385 /nfs/dbraw/zinc/76/93/85/1120769385.db2.gz WJHMNPGOGJYHFF-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO C[C@H]1COC2(CCC2)C[N@H+]1Cc1sccc1Cl ZINC000661464021 1120769389 /nfs/dbraw/zinc/76/93/89/1120769389.db2.gz WJHMNPGOGJYHFF-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO FC1(F)C(F)(F)C12CC[NH+](Cc1ccc(Cl)o1)CC2 ZINC000651902066 1120772539 /nfs/dbraw/zinc/77/25/39/1120772539.db2.gz ASUJQNBTDJBREP-UHFFFAOYSA-N 1 2 297.679 3.799 20 0 CHADLO CCCCc1noc(C[N@H+](C)[C@H](C)c2ccc(C)cc2)n1 ZINC000047960005 1120799251 /nfs/dbraw/zinc/79/92/51/1120799251.db2.gz DUHSOSVUSXNIHG-CQSZACIVSA-N 1 2 287.407 3.914 20 0 CHADLO CCCCc1noc(C[N@@H+](C)[C@H](C)c2ccc(C)cc2)n1 ZINC000047960005 1120799254 /nfs/dbraw/zinc/79/92/54/1120799254.db2.gz DUHSOSVUSXNIHG-CQSZACIVSA-N 1 2 287.407 3.914 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1F)Cc1ccc(Cl)cc1 ZINC000048014478 1120801026 /nfs/dbraw/zinc/80/10/26/1120801026.db2.gz LWASDZLBJBJRDR-UHFFFAOYSA-N 1 2 291.753 3.794 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1F)Cc1ccc(Cl)cc1 ZINC000048014478 1120801027 /nfs/dbraw/zinc/80/10/27/1120801027.db2.gz LWASDZLBJBJRDR-UHFFFAOYSA-N 1 2 291.753 3.794 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)c(C)s1 ZINC000335942819 1120815306 /nfs/dbraw/zinc/81/53/06/1120815306.db2.gz LUEXSJYNTWEELE-YGRLFVJLSA-N 1 2 275.421 3.816 20 0 CHADLO CC[C@H](Nc1cc(COC)cc[nH+]1)c1cccc(F)c1 ZINC000652330867 1120828027 /nfs/dbraw/zinc/82/80/27/1120828027.db2.gz RBIVLSLJBPMPSR-HNNXBMFYSA-N 1 2 274.339 3.930 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCC[C@@H]2CCCF)c1 ZINC000652330829 1120828571 /nfs/dbraw/zinc/82/85/71/1120828571.db2.gz QKYYSLQUXGPNGG-ZIAGYGMSSA-N 1 2 266.360 3.558 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(C)cc2OC)c1 ZINC000652331009 1120830048 /nfs/dbraw/zinc/83/00/48/1120830048.db2.gz VMZQHOTXRQRQQV-CYBMUJFWSA-N 1 2 286.375 3.718 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2C[C@@H](OC(C)(C)C)C2(C)C)c1 ZINC000652331791 1120830705 /nfs/dbraw/zinc/83/07/05/1120830705.db2.gz UJHYKRNZVQMPSE-ZIAGYGMSSA-N 1 2 292.423 3.622 20 0 CHADLO Cc1cnc(NCc2c[nH+]cn2CC2CCC2)c(Cl)c1 ZINC001161529938 1120843929 /nfs/dbraw/zinc/84/39/29/1120843929.db2.gz PVOIXZWXKQJWLH-UHFFFAOYSA-N 1 2 290.798 3.652 20 0 CHADLO CCOc1cccc(N[C@H](C)c2cn3c([nH+]2)CCCC3)c1 ZINC000925741730 1120858422 /nfs/dbraw/zinc/85/84/22/1120858422.db2.gz ZUGDFTXXHNJRBF-CYBMUJFWSA-N 1 2 285.391 3.791 20 0 CHADLO Cc1nnc(C[N@H+](C)CCCc2ccc(Cl)cc2)s1 ZINC000662260793 1120912546 /nfs/dbraw/zinc/91/25/46/1120912546.db2.gz QIEYZUQZVAHSKE-UHFFFAOYSA-N 1 2 295.839 3.565 20 0 CHADLO Cc1nnc(C[N@@H+](C)CCCc2ccc(Cl)cc2)s1 ZINC000662260793 1120912548 /nfs/dbraw/zinc/91/25/48/1120912548.db2.gz QIEYZUQZVAHSKE-UHFFFAOYSA-N 1 2 295.839 3.565 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CCOC(C)(C)C2)c1 ZINC000601965177 1120916795 /nfs/dbraw/zinc/91/67/95/1120916795.db2.gz WCBJPAQMROFKML-ZDUSSCGKSA-N 1 2 280.437 3.704 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccc(F)cc1F ZINC000161768057 1120925864 /nfs/dbraw/zinc/92/58/64/1120925864.db2.gz UESPXZNWJNWUCN-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO Cc1ccsc1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000161767167 1120926195 /nfs/dbraw/zinc/92/61/95/1120926195.db2.gz PVLVTJAFEXRGEW-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662365101 1120930652 /nfs/dbraw/zinc/93/06/52/1120930652.db2.gz QAMZAQWHIVLGSV-UEKVPHQBSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+]1C[C@@H](C)O[C@@](C)(C(F)F)C1 ZINC000662365101 1120930656 /nfs/dbraw/zinc/93/06/56/1120930656.db2.gz QAMZAQWHIVLGSV-UEKVPHQBSA-N 1 2 287.325 3.631 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@@H+]1CCO[C@@](C)(C(F)F)C1 ZINC000662370678 1120931428 /nfs/dbraw/zinc/93/14/28/1120931428.db2.gz GUHYVWMWTKCJCH-DZGCQCFKSA-N 1 2 287.325 3.633 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@H+]1CCO[C@@](C)(C(F)F)C1 ZINC000662370678 1120931434 /nfs/dbraw/zinc/93/14/34/1120931434.db2.gz GUHYVWMWTKCJCH-DZGCQCFKSA-N 1 2 287.325 3.633 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2CCc3ccccc3-n3ccnc32)o1 ZINC000663350263 1120942529 /nfs/dbraw/zinc/94/25/29/1120942529.db2.gz VNSIRUHJXUTSED-MRXNPFEDSA-N 1 2 293.370 3.551 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2cc(C(C)(C)C)oc2C)s1 ZINC000893581155 1120946110 /nfs/dbraw/zinc/94/61/10/1120946110.db2.gz WJXMVVZRSUHOSQ-SECBINFHSA-N 1 2 293.436 3.896 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2cc(C(C)(C)C)oc2C)s1 ZINC000893581161 1120946517 /nfs/dbraw/zinc/94/65/17/1120946517.db2.gz WJXMVVZRSUHOSQ-VIFPVBQESA-N 1 2 293.436 3.896 20 0 CHADLO CCc1sc(C(=O)Nc2ccc3[nH+]ccn3c2)cc1C ZINC000603785782 1120968804 /nfs/dbraw/zinc/96/88/04/1120968804.db2.gz IHWPBTAXLWTTOG-UHFFFAOYSA-N 1 2 285.372 3.519 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CC2C[C@@H]3CC1C[C@H](C2)C3 ZINC000663454196 1120976536 /nfs/dbraw/zinc/97/65/36/1120976536.db2.gz GVQZPEWYXYFIBA-DGKWVBSXSA-N 1 2 293.414 3.832 20 0 CHADLO CC(C)[C@H](c1ccccc1Cl)[N@H+](C)Cc1cc[nH]n1 ZINC000093422466 1128955523 /nfs/dbraw/zinc/95/55/23/1128955523.db2.gz QZFIIZOBBPUOTI-OAHLLOKOSA-N 1 2 277.799 3.892 20 0 CHADLO CC(C)[C@H](c1ccccc1Cl)[N@@H+](C)Cc1cc[nH]n1 ZINC000093422466 1128955527 /nfs/dbraw/zinc/95/55/27/1128955527.db2.gz QZFIIZOBBPUOTI-OAHLLOKOSA-N 1 2 277.799 3.892 20 0 CHADLO CNc1ccc(Nc2ccc(-c3ccccc3)nc2)c[nH+]1 ZINC001203448742 1121016782 /nfs/dbraw/zinc/01/67/82/1121016782.db2.gz HZRMDDGKQKPPJX-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cncc(C(F)(F)F)c1 ZINC001174739774 1121018019 /nfs/dbraw/zinc/01/80/19/1121018019.db2.gz NFDGCMVZPBVUHF-UHFFFAOYSA-N 1 2 283.253 3.638 20 0 CHADLO FCC1(CF)CC(Nc2ccc(N3CCCCC3)[nH+]c2)C1 ZINC000657965656 1121018166 /nfs/dbraw/zinc/01/81/66/1121018166.db2.gz ASYSAUQNDMYPHR-UHFFFAOYSA-N 1 2 295.377 3.572 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2c(C)c(C)c(C)c(C)c2C)o1 ZINC000543542709 1121037395 /nfs/dbraw/zinc/03/73/95/1121037395.db2.gz BYEAXRXXZUENRH-AWEZNQCLSA-N 1 2 287.407 3.771 20 0 CHADLO CCCc1nc(C[NH+]2C[C@H](C)C(F)(F)[C@@H](C)C2)cs1 ZINC000625644697 1121043834 /nfs/dbraw/zinc/04/38/34/1121043834.db2.gz CGPKEBJQWTWQGY-QWRGUYRKSA-N 1 2 288.407 3.819 20 0 CHADLO C[C@H]1C[NH+](Cc2csc(C3CC3)n2)C[C@H](C)C1(F)F ZINC000625652167 1121046283 /nfs/dbraw/zinc/04/62/83/1121046283.db2.gz BXCRBJAPINFTTO-UWVGGRQHSA-N 1 2 286.391 3.744 20 0 CHADLO Cc1nc(N[C@H]2CCC[C@@H]2c2ccccc2)cc[nH+]1 ZINC000343995262 1121048043 /nfs/dbraw/zinc/04/80/43/1121048043.db2.gz QZCMGPSDLCPTQF-CABCVRRESA-N 1 2 253.349 3.533 20 0 CHADLO COc1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1C ZINC001174829201 1121049243 /nfs/dbraw/zinc/04/92/43/1121049243.db2.gz HDQUTFCJXUQKJR-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO C(=C/c1ccccc1)\CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000432402121 1121049818 /nfs/dbraw/zinc/04/98/18/1121049818.db2.gz PAMFDGJRTMOWFW-QPJJXVBHSA-N 1 2 275.355 3.998 20 0 CHADLO Cc1ccc(CNc2[nH+]c3cccc(Cl)c3n2C)s1 ZINC000466625951 1121061367 /nfs/dbraw/zinc/06/13/67/1121061367.db2.gz CMAGAPIKGOETLN-UHFFFAOYSA-N 1 2 291.807 3.631 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc2ccccc2c1 ZINC001174873038 1121075299 /nfs/dbraw/zinc/07/52/99/1121075299.db2.gz QKISZTNDTPKTPL-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO Cc1cn2cccc(Nc3cccc4ncccc43)c2[nH+]1 ZINC001174903735 1121084078 /nfs/dbraw/zinc/08/40/78/1121084078.db2.gz KFMSMMWWFUONJM-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO CC(=O)OCc1cccc(C)c1Nc1[nH+]cccc1C ZINC001212767342 1121084978 /nfs/dbraw/zinc/08/49/78/1121084978.db2.gz ASNAPJRDOURXGU-UHFFFAOYSA-N 1 2 270.332 3.505 20 0 CHADLO C[C@@H](CC(=O)N1c2ccccc2C(C)(C)[C@H]1C)n1cc[nH+]c1 ZINC000619018537 1128961389 /nfs/dbraw/zinc/96/13/89/1128961389.db2.gz ARKRJXLVKQHSTE-UONOGXRCSA-N 1 2 297.402 3.547 20 0 CHADLO C[C@@H]([NH2+]Cc1ccno1)c1cccc(Cl)c1Cl ZINC000223834376 1121089127 /nfs/dbraw/zinc/08/91/27/1121089127.db2.gz UMGMLMHROUGDFN-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO FC(F)C1([NH2+]Cc2cccc3cccnc32)CCCC1 ZINC000674135370 1121094116 /nfs/dbraw/zinc/09/41/16/1121094116.db2.gz MEUOHSPTTIBYCN-UHFFFAOYSA-N 1 2 276.330 3.902 20 0 CHADLO COc1cc(F)c(Nc2[nH+]c3ccccc3n2C)cc1F ZINC001174924731 1121098581 /nfs/dbraw/zinc/09/85/81/1121098581.db2.gz YJQHVQAMUJBLKV-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO CC[C@H]1CCCC[C@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344204749 1121120318 /nfs/dbraw/zinc/12/03/18/1121120318.db2.gz DVWSVYNHICBHTC-WMLDXEAASA-N 1 2 297.402 3.571 20 0 CHADLO COc1cccc(F)c1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001174993230 1121121411 /nfs/dbraw/zinc/12/14/11/1121121411.db2.gz ZFBJFMLDZHHUCM-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO COc1cccc(F)c1Nc1ccccc1-n1cc[nH+]c1 ZINC001174992782 1121121447 /nfs/dbraw/zinc/12/14/47/1121121447.db2.gz QFWQHIAJQZLFEY-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO COc1cccc(F)c1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001174994553 1121122643 /nfs/dbraw/zinc/12/26/43/1121122643.db2.gz QXNZILYLPXFRFK-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO CC(C)CCC[C@@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348848004 1121125114 /nfs/dbraw/zinc/12/51/14/1121125114.db2.gz LRIWXVURKBGPQD-OAHLLOKOSA-N 1 2 286.423 3.953 20 0 CHADLO C[C@@H]1C[C@@H]2CCCC[C@@H]2N1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000674386102 1121126529 /nfs/dbraw/zinc/12/65/29/1121126529.db2.gz KZZSYUVSPHXINQ-IPYPFGDCSA-N 1 2 298.390 3.519 20 0 CHADLO CCOc1cc(F)cc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001175006963 1121130538 /nfs/dbraw/zinc/13/05/38/1121130538.db2.gz YYSXCYMANUMMGU-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](CC1CCC1)c1ccccc1 ZINC000344298569 1121137396 /nfs/dbraw/zinc/13/73/96/1121137396.db2.gz CBIQHWICILRCGQ-QGZVFWFLSA-N 1 2 269.392 3.739 20 0 CHADLO Cc1cc(CO[C@H]2CCc3ccccc32)cc(C)[nH+]1 ZINC001223418865 1121146390 /nfs/dbraw/zinc/14/63/90/1121146390.db2.gz BWPDPTWXILHTBF-KRWDZBQOSA-N 1 2 253.345 3.903 20 0 CHADLO CCOc1ccc(F)c(Nc2c[nH+]ccc2OC)c1F ZINC001175037206 1121153855 /nfs/dbraw/zinc/15/38/55/1121153855.db2.gz LWYPJWQAULLGEN-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CCOc1cc(Nc2c(F)cc(O)cc2F)cc(C)[nH+]1 ZINC001175098521 1121163613 /nfs/dbraw/zinc/16/36/13/1121163613.db2.gz MWZJKWWHPKUHJX-UHFFFAOYSA-N 1 2 280.274 3.516 20 0 CHADLO CCOc1cc(F)c(Nc2c[nH+]ccc2OC)cc1F ZINC001175055478 1121163788 /nfs/dbraw/zinc/16/37/88/1121163788.db2.gz OAMAZFBPDRTMSI-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO COc1cc(CNc2[nH+]c3ccccc3cc2C)ccn1 ZINC000063106189 1121198632 /nfs/dbraw/zinc/19/86/32/1121198632.db2.gz PYXQXKGIBILZMU-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO Clc1nc(C[N@@H+]2CCCC3(CC3)CC2)cs1 ZINC000702579242 1121205756 /nfs/dbraw/zinc/20/57/56/1121205756.db2.gz BAHXUZAAFCZOJW-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO Clc1nc(C[N@H+]2CCCC3(CC3)CC2)cs1 ZINC000702579242 1121205761 /nfs/dbraw/zinc/20/57/61/1121205761.db2.gz BAHXUZAAFCZOJW-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO CC(=O)c1ccccc1Nc1cccc2[nH+]c(C)cn21 ZINC001175172163 1121214842 /nfs/dbraw/zinc/21/48/42/1121214842.db2.gz FFHMQYISXLDQCB-UHFFFAOYSA-N 1 2 265.316 3.589 20 0 CHADLO Cc1ccc(NCc2cc(C)c(O)c(C)c2)c(C)[nH+]1 ZINC000094664089 1121218758 /nfs/dbraw/zinc/21/87/58/1121218758.db2.gz URCHVIFZDHRGRW-UHFFFAOYSA-N 1 2 256.349 3.633 20 0 CHADLO CCOc1cc(CNc2ccc(C)[nH+]c2C)ccc1OC ZINC000094664236 1121218882 /nfs/dbraw/zinc/21/88/82/1121218882.db2.gz FNFJVFLJUOCGNM-UHFFFAOYSA-N 1 2 286.375 3.718 20 0 CHADLO CCn1ccnc1C[NH2+][C@@H](c1ccc(F)cc1F)C(C)C ZINC000675157490 1121226591 /nfs/dbraw/zinc/22/65/91/1121226591.db2.gz TYDUKQQOBMXCRY-MRXNPFEDSA-N 1 2 293.361 3.668 20 0 CHADLO CCOc1ccc(Nc2[nH+]c3ccccc3n2C)c(F)c1 ZINC001175247441 1121244680 /nfs/dbraw/zinc/24/46/80/1121244680.db2.gz WCBMJDHOLXXDQX-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(F)cc1OCC ZINC001175287116 1121254651 /nfs/dbraw/zinc/25/46/51/1121254651.db2.gz LQEXDZIXLBFODB-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO CSc1cc(Nc2ccc3nc(C)oc3c2)cc[nH+]1 ZINC001213066060 1121266900 /nfs/dbraw/zinc/26/69/00/1121266900.db2.gz ZEWOFEBTTWKEPG-UHFFFAOYSA-N 1 2 271.345 3.997 20 0 CHADLO Cc1nc2ccc(Nc3ccc(N(C)C)[nH+]c3)cc2s1 ZINC001175341227 1121272573 /nfs/dbraw/zinc/27/25/73/1121272573.db2.gz FNFNIMXHRPMIEZ-UHFFFAOYSA-N 1 2 284.388 3.809 20 0 CHADLO COc1c(Cl)cccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175343125 1121272709 /nfs/dbraw/zinc/27/27/09/1121272709.db2.gz XQZCYEFCZGDPRQ-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO CN(C)c1ccc(Nc2cnc3cccc(F)c3c2)c[nH+]1 ZINC001175342234 1121272771 /nfs/dbraw/zinc/27/27/71/1121272771.db2.gz QKRRIOVFTMPUFU-UHFFFAOYSA-N 1 2 282.322 3.579 20 0 CHADLO CN(C)c1ccc(Nc2ccc(Cl)c(F)c2F)c[nH+]1 ZINC001175344798 1121273620 /nfs/dbraw/zinc/27/36/20/1121273620.db2.gz HUBYYJSKOIEZNK-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO Cc1cc(F)cc(C)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001175343590 1121273846 /nfs/dbraw/zinc/27/38/46/1121273846.db2.gz JUBYANJZEUIIEG-UHFFFAOYSA-N 1 2 259.328 3.647 20 0 CHADLO CN(C)c1ccc(Nc2ccc(-c3ncco3)cc2)c[nH+]1 ZINC001175346064 1121274084 /nfs/dbraw/zinc/27/40/84/1121274084.db2.gz LELYKEUHJLOQNT-UHFFFAOYSA-N 1 2 280.331 3.546 20 0 CHADLO CN(C)c1ccc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001175344442 1121274609 /nfs/dbraw/zinc/27/46/09/1121274609.db2.gz ZTYSZSINWWQYSO-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO Oc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1F ZINC001212550204 1121283429 /nfs/dbraw/zinc/28/34/29/1121283429.db2.gz AIHIQBRBGCYCCZ-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1ccc(C[S@](=O)[C@H]2CCc3ccccc32)c(C)[nH+]1 ZINC000614035243 1121286757 /nfs/dbraw/zinc/28/67/57/1121286757.db2.gz SIBMXCDCLWDMMA-PXNSSMCTSA-N 1 2 285.412 3.635 20 0 CHADLO CN(C)c1ccc(Nc2nc(C(C)(C)C)cs2)c[nH+]1 ZINC001175337745 1121289212 /nfs/dbraw/zinc/28/92/12/1121289212.db2.gz LXMUJHJANJOAQU-UHFFFAOYSA-N 1 2 276.409 3.645 20 0 CHADLO COc1ncc(C)cc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001175337337 1121289488 /nfs/dbraw/zinc/28/94/88/1121289488.db2.gz OTZHZNVCAVQBAK-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO CN(C)c1ccc(Nc2cc(-c3ccccc3)no2)c[nH+]1 ZINC001175338563 1121290552 /nfs/dbraw/zinc/29/05/52/1121290552.db2.gz HZVUCPYTDMLICD-UHFFFAOYSA-N 1 2 280.331 3.546 20 0 CHADLO CN(C)c1ccc(Nc2cncc(-c3ccccc3)c2)c[nH+]1 ZINC001175340745 1121290932 /nfs/dbraw/zinc/29/09/32/1121290932.db2.gz DQDKNGRHOLZWPA-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CCc1ccc(OC)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175349825 1121292271 /nfs/dbraw/zinc/29/22/71/1121292271.db2.gz RLADVXWPSZIONT-UHFFFAOYSA-N 1 2 297.402 3.996 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCc3ccc(F)cc32)cc1 ZINC000182490537 1128975649 /nfs/dbraw/zinc/97/56/49/1128975649.db2.gz COERBNDWQKHXAS-QGZVFWFLSA-N 1 2 270.351 3.991 20 0 CHADLO Cc1cc(F)cc(Nc2ccc(N3CCCC3)[nH+]c2)c1O ZINC001175352040 1121294501 /nfs/dbraw/zinc/29/45/01/1121294501.db2.gz PYCSDUODEINSLJ-UHFFFAOYSA-N 1 2 287.338 3.579 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@@H]3CCC[C@@H]32)nc(C2CC2)[nH+]1 ZINC000338813102 1121321795 /nfs/dbraw/zinc/32/17/95/1121321795.db2.gz KHZZSUSNCLJEGJ-KGLIPLIRSA-N 1 2 271.408 3.677 20 0 CHADLO Fc1ccc(F)c(C[C@@H]2CCCN2c2cccc[nH+]2)c1 ZINC000418977096 1121355066 /nfs/dbraw/zinc/35/50/66/1121355066.db2.gz JMCFHQNJABMDQB-AWEZNQCLSA-N 1 2 274.314 3.571 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2nn(C)cc2c1 ZINC001213496890 1121358788 /nfs/dbraw/zinc/35/87/88/1121358788.db2.gz CMDBCDLMEGQDJT-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO Cc1ccc2n[nH]cc2c1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001175525955 1121367030 /nfs/dbraw/zinc/36/70/30/1121367030.db2.gz LHSJEJTULUYIGP-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CCCC[C@H]2C)c(C)[nH+]1 ZINC000408405270 1121370148 /nfs/dbraw/zinc/37/01/48/1121370148.db2.gz CHKXMCOMUHFMHV-QMTHXVAHSA-N 1 2 275.396 3.707 20 0 CHADLO COc1cc(C)cc(Nc2cc[nH+]c(SC)c2)c1 ZINC001211726655 1121373806 /nfs/dbraw/zinc/37/38/06/1121373806.db2.gz PGYBBPZXBRQAKK-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO CCCC[C@@H](C)[C@H](C)Nc1ccc(N2CCOCC2)c[nH+]1 ZINC000675859107 1121374444 /nfs/dbraw/zinc/37/44/44/1121374444.db2.gz GDDMXPFCRKQPSB-CABCVRRESA-N 1 2 291.439 3.545 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1csc(-c2ccco2)n1 ZINC000676042709 1121399241 /nfs/dbraw/zinc/39/92/41/1121399241.db2.gz DCBTZXWOPUQXHJ-UHFFFAOYSA-N 1 2 294.420 3.650 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1csc(-c2ccco2)n1 ZINC000676042709 1121399248 /nfs/dbraw/zinc/39/92/48/1121399248.db2.gz DCBTZXWOPUQXHJ-UHFFFAOYSA-N 1 2 294.420 3.650 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1nnc(C(C)(C)C)[nH]1)C1CC1 ZINC000432832575 1121399204 /nfs/dbraw/zinc/39/92/04/1121399204.db2.gz ZUFWSYTWVLRGRW-CYBMUJFWSA-N 1 2 298.434 3.828 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1nnc(C(C)(C)C)[nH]1)C1CC1 ZINC000432832575 1121399214 /nfs/dbraw/zinc/39/92/14/1121399214.db2.gz ZUFWSYTWVLRGRW-CYBMUJFWSA-N 1 2 298.434 3.828 20 0 CHADLO COc1ccc2c(c1F)CC[C@@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000676045934 1121401222 /nfs/dbraw/zinc/40/12/22/1121401222.db2.gz AYTWBXCBFFQWHV-WCQYABFASA-N 1 2 285.309 3.599 20 0 CHADLO CC[N@H+](Cc1cccc(OC)c1)Cc1ccncc1Cl ZINC001139847809 1121405169 /nfs/dbraw/zinc/40/51/69/1121405169.db2.gz OWHVAQDFOGHQGV-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1cccc(OC)c1)Cc1ccncc1Cl ZINC001139847809 1121405172 /nfs/dbraw/zinc/40/51/72/1121405172.db2.gz OWHVAQDFOGHQGV-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2cn(C)nc2c1 ZINC001175612942 1121411492 /nfs/dbraw/zinc/41/14/92/1121411492.db2.gz ZOWGTLFKBXCNMQ-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccc(C)cc2F)s1 ZINC000631085267 1128983912 /nfs/dbraw/zinc/98/39/12/1128983912.db2.gz JLEBFMSFCNFXGK-LLVKDONJSA-N 1 2 264.369 3.750 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@H]1CCCCO1 ZINC000705983372 1121416445 /nfs/dbraw/zinc/41/64/45/1121416445.db2.gz HETLIQDQMGAQNN-WMLDXEAASA-N 1 2 274.408 3.656 20 0 CHADLO CCOc1cc(NCc2c[nH+]c3ccc(C)cn23)ccc1C ZINC000676420586 1121433803 /nfs/dbraw/zinc/43/38/03/1121433803.db2.gz UKWDVVLCCZBAKC-UHFFFAOYSA-N 1 2 295.386 3.962 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ccc3ocnc3c2)c[nH+]1 ZINC001175712957 1121435194 /nfs/dbraw/zinc/43/51/94/1121435194.db2.gz OTPHTJXMUDLILN-UHFFFAOYSA-N 1 2 294.236 3.567 20 0 CHADLO Cc1c(F)cccc1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175712443 1121435306 /nfs/dbraw/zinc/43/53/06/1121435306.db2.gz LFKFYTIXBVQUIT-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)c(F)c1 ZINC001175712804 1121435336 /nfs/dbraw/zinc/43/53/36/1121435336.db2.gz SBZDFBLQRMGKNU-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2c(F)cccc2F)c[nH+]1 ZINC001175712141 1121435398 /nfs/dbraw/zinc/43/53/98/1121435398.db2.gz GXZACVZAOXYSJO-UHFFFAOYSA-N 1 2 289.207 3.704 20 0 CHADLO COc1cc(Nc2c[nH+]c(N)cc2C(F)(F)F)ccc1C ZINC001175717805 1121436526 /nfs/dbraw/zinc/43/65/26/1121436526.db2.gz QNPPENPMYGWXEJ-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CCc1ccc(N)c(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175717631 1121436857 /nfs/dbraw/zinc/43/68/57/1121436857.db2.gz XIEUYDQLUTVGSI-UHFFFAOYSA-N 1 2 296.296 3.571 20 0 CHADLO CCOc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cn1 ZINC001175741081 1121445285 /nfs/dbraw/zinc/44/52/85/1121445285.db2.gz WRWGGUTWKLWYGJ-UHFFFAOYSA-N 1 2 288.738 3.525 20 0 CHADLO Clc1ccc(Cl)c(C[N@@H+]2CCC23CCCC3)n1 ZINC000707131295 1121450170 /nfs/dbraw/zinc/45/01/70/1121450170.db2.gz QETDONKZWPYZCQ-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Clc1ccc(Cl)c(C[N@H+]2CCC23CCCC3)n1 ZINC000707131295 1121450174 /nfs/dbraw/zinc/45/01/74/1121450174.db2.gz QETDONKZWPYZCQ-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cnc(N)cc1C(F)(F)F ZINC001175708981 1121450362 /nfs/dbraw/zinc/45/03/62/1121450362.db2.gz XAAUUPYKQBTEHL-UHFFFAOYSA-N 1 2 296.296 3.692 20 0 CHADLO c1nn(C2CCC2)cc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001175756017 1121453649 /nfs/dbraw/zinc/45/36/49/1121453649.db2.gz HVQLDMVKSGFXAC-UHFFFAOYSA-N 1 2 297.406 3.737 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CCC[C@@H](Nc3ccccc3)C2)n1 ZINC000894063951 1121463470 /nfs/dbraw/zinc/46/34/70/1121463470.db2.gz HFLUSSYUSNSYPU-MRXNPFEDSA-N 1 2 298.434 3.610 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CCC[C@@H](Nc3ccccc3)C2)n1 ZINC000894063951 1121463473 /nfs/dbraw/zinc/46/34/73/1121463473.db2.gz HFLUSSYUSNSYPU-MRXNPFEDSA-N 1 2 298.434 3.610 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cnn(-c3ccccc3)c2)c1 ZINC001175791551 1121465350 /nfs/dbraw/zinc/46/53/50/1121465350.db2.gz UDRVPIBKLRDUBC-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1[nH]c(CNc2ccccc2OC(F)(F)F)[nH+]c1C ZINC000709028702 1121467184 /nfs/dbraw/zinc/46/71/84/1121467184.db2.gz CWFCBJFCWBPQTQ-UHFFFAOYSA-N 1 2 285.269 3.537 20 0 CHADLO Fc1ccc(OCCSc2[nH+]cc3ccccn32)cc1 ZINC000072099293 1121470577 /nfs/dbraw/zinc/47/05/77/1121470577.db2.gz DSWKBOFGZGIQSL-UHFFFAOYSA-N 1 2 288.347 3.645 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@@H](C)c2nc(C3CC3)no2)c1C ZINC000428736740 1121474499 /nfs/dbraw/zinc/47/44/99/1121474499.db2.gz UVMGWOLSGKCPRN-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@@H](C)c2nc(C3CC3)no2)c1C ZINC000428736740 1121474501 /nfs/dbraw/zinc/47/45/01/1121474501.db2.gz UVMGWOLSGKCPRN-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc2c(Nc3ccc(F)cn3)cccc2[nH+]1 ZINC001175851018 1121480641 /nfs/dbraw/zinc/48/06/41/1121480641.db2.gz XCZYLNQYLJFDNU-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1cc(C[NH+]2CC(C)(CC(F)F)C2)cc(Cl)n1 ZINC000843425262 1121481692 /nfs/dbraw/zinc/48/16/92/1121481692.db2.gz ZIMKWPFYCMTJGC-UHFFFAOYSA-N 1 2 274.742 3.521 20 0 CHADLO Cc1cn2cccc(Nc3ccc4scnc4c3)c2[nH+]1 ZINC001175911451 1121495619 /nfs/dbraw/zinc/49/56/19/1121495619.db2.gz OBFUBMUJVUOYCK-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(C)c(N)c(C)c2)c1 ZINC001175930867 1121499277 /nfs/dbraw/zinc/49/92/77/1121499277.db2.gz CXDARJSAHRCIPE-UHFFFAOYSA-N 1 2 255.365 3.977 20 0 CHADLO c1sc(Cc2ccccc2)nc1C[NH+](C1CC1)C1CC1 ZINC000625837619 1121521967 /nfs/dbraw/zinc/52/19/67/1121521967.db2.gz MGCQDHOIUCRKMK-UHFFFAOYSA-N 1 2 284.428 3.861 20 0 CHADLO c1cn(-c2cccc(Nc3cccc4c3OCC4)c2)c[nH+]1 ZINC001176075953 1121530345 /nfs/dbraw/zinc/53/03/45/1121530345.db2.gz FCEZUGZDHGPFFN-UHFFFAOYSA-N 1 2 277.327 3.551 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000711469002 1121536145 /nfs/dbraw/zinc/53/61/45/1121536145.db2.gz GCWWRYRTHSVZGO-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000711469002 1121536150 /nfs/dbraw/zinc/53/61/50/1121536150.db2.gz GCWWRYRTHSVZGO-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO COc1cccc2[nH]c(Nc3[nH+]cccc3C)cc21 ZINC001176059278 1121543634 /nfs/dbraw/zinc/54/36/34/1121543634.db2.gz DPSYOMUHFOXVLB-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2c[nH]c(-c3ccccc3)n2)s1 ZINC000631224171 1128992678 /nfs/dbraw/zinc/99/26/78/1128992678.db2.gz OXROCTHGEDIVCK-NSHDSACASA-N 1 2 298.415 3.692 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2occc2C)c1 ZINC000429370326 1121548187 /nfs/dbraw/zinc/54/81/87/1121548187.db2.gz UYAPDSOCTVUHNK-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2occc2C)c1 ZINC000429370326 1121548194 /nfs/dbraw/zinc/54/81/94/1121548194.db2.gz UYAPDSOCTVUHNK-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1cc(Nc2cccc3[nH+]c(C)cn32)c(F)cc1F ZINC001176106838 1121551831 /nfs/dbraw/zinc/55/18/31/1121551831.db2.gz JSCOHHSZPMKBAP-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cncc(C(F)(F)F)c1 ZINC000429373156 1121552068 /nfs/dbraw/zinc/55/20/68/1121552068.db2.gz QPQHZCFNKBLTFX-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cncc(C(F)(F)F)c1 ZINC000429373156 1121552073 /nfs/dbraw/zinc/55/20/73/1121552073.db2.gz QPQHZCFNKBLTFX-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc2c(ccc(F)c2F)c1 ZINC001176118106 1121556361 /nfs/dbraw/zinc/55/63/61/1121556361.db2.gz BXIMEJHAYTXPDE-UHFFFAOYSA-N 1 2 287.269 3.660 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)cc1Br ZINC001176120486 1121556663 /nfs/dbraw/zinc/55/66/63/1121556663.db2.gz BRQDGGCYTPHQLM-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2sc(C)nc2c1 ZINC001176120965 1121556938 /nfs/dbraw/zinc/55/69/38/1121556938.db2.gz CHTGHEOHJCJGLS-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C)c2ncccc12 ZINC001176120520 1121557015 /nfs/dbraw/zinc/55/70/15/1121557015.db2.gz CDBFHEISFYNDIC-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO CCCc1nc(C)c(COc2ccccc2-n2cc[nH+]c2)o1 ZINC000663977785 1121557602 /nfs/dbraw/zinc/55/76/02/1121557602.db2.gz BPYVEBIVHGDHMF-UHFFFAOYSA-N 1 2 297.358 3.700 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)cccc1OC(C)C ZINC001176124022 1121558626 /nfs/dbraw/zinc/55/86/26/1121558626.db2.gz MSUTVLFRPYWHKX-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2ccc(C)nc21 ZINC001176121580 1121559134 /nfs/dbraw/zinc/55/91/34/1121559134.db2.gz YLDIYFZLPKCPNV-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc(CC(C)C)cc1C ZINC001176121718 1121559224 /nfs/dbraw/zinc/55/92/24/1121559224.db2.gz LYXFSUFWLGNRID-UHFFFAOYSA-N 1 2 271.364 3.736 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C)c(OC(F)F)c1 ZINC001176124988 1121559948 /nfs/dbraw/zinc/55/99/48/1121559948.db2.gz ULFYGVIZHSAZEB-UHFFFAOYSA-N 1 2 280.274 3.744 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1ccc(F)cc1F ZINC000625979817 1121565279 /nfs/dbraw/zinc/56/52/79/1121565279.db2.gz DKVZGXRKCXMWNU-SECBINFHSA-N 1 2 261.262 3.584 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1ccc(F)cc1F ZINC000625979817 1121565284 /nfs/dbraw/zinc/56/52/84/1121565284.db2.gz DKVZGXRKCXMWNU-SECBINFHSA-N 1 2 261.262 3.584 20 0 CHADLO C[C@H]1COCC[N@@H+]1Cc1ccc(-c2ccccc2Cl)o1 ZINC000509403689 1121574546 /nfs/dbraw/zinc/57/45/46/1121574546.db2.gz PVPBPHYTWQYGIS-LBPRGKRZSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@H]1COCC[N@H+]1Cc1ccc(-c2ccccc2Cl)o1 ZINC000509403689 1121574548 /nfs/dbraw/zinc/57/45/48/1121574548.db2.gz PVPBPHYTWQYGIS-LBPRGKRZSA-N 1 2 291.778 3.821 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc(C)ccc1OC)C2 ZINC000626020760 1121578500 /nfs/dbraw/zinc/57/85/00/1121578500.db2.gz BXZLXRPNAWDSBN-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc(C)ccc1OC)C2 ZINC000626020760 1121578504 /nfs/dbraw/zinc/57/85/04/1121578504.db2.gz BXZLXRPNAWDSBN-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cccc2c1C[N@@H+]([C@@H](C)c1cccc(F)c1)C2 ZINC000626021794 1121581184 /nfs/dbraw/zinc/58/11/84/1121581184.db2.gz PXBBVZDIEORNGW-LBPRGKRZSA-N 1 2 271.335 3.911 20 0 CHADLO COc1cccc2c1C[N@H+]([C@@H](C)c1cccc(F)c1)C2 ZINC000626021794 1121581192 /nfs/dbraw/zinc/58/11/92/1121581192.db2.gz PXBBVZDIEORNGW-LBPRGKRZSA-N 1 2 271.335 3.911 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)[nH]1 ZINC000411421717 1121584521 /nfs/dbraw/zinc/58/45/21/1121584521.db2.gz BOJCJMHDMKSKNA-AWEZNQCLSA-N 1 2 296.418 3.580 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)[nH]1 ZINC000411421717 1121584528 /nfs/dbraw/zinc/58/45/28/1121584528.db2.gz BOJCJMHDMKSKNA-AWEZNQCLSA-N 1 2 296.418 3.580 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1ccnc(Cl)c1 ZINC000711897821 1121586291 /nfs/dbraw/zinc/58/62/91/1121586291.db2.gz ZBLGWJUBAPQSPA-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1ccnc(Cl)c1 ZINC000711897821 1121586298 /nfs/dbraw/zinc/58/62/98/1121586298.db2.gz ZBLGWJUBAPQSPA-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)C1CC2(C1)CCCCC2 ZINC000614277740 1121593224 /nfs/dbraw/zinc/59/32/24/1121593224.db2.gz WNMOKWJDGCNSNO-UHFFFAOYSA-N 1 2 283.375 3.633 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(OC)c(O)c2)cc1 ZINC001176259639 1121595512 /nfs/dbraw/zinc/59/55/12/1121595512.db2.gz LJLSZWQQCMHPPX-UHFFFAOYSA-N 1 2 272.348 3.601 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(OC)c(O)c2)cc1 ZINC001176259639 1121595515 /nfs/dbraw/zinc/59/55/15/1121595515.db2.gz LJLSZWQQCMHPPX-UHFFFAOYSA-N 1 2 272.348 3.601 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cc(-c3ccco3)on2)c(C)o1 ZINC000411519313 1121600446 /nfs/dbraw/zinc/60/04/46/1121600446.db2.gz LWKVTYVAQSMODC-NSHDSACASA-N 1 2 286.331 3.995 20 0 CHADLO O[C@@H]1CCCC[C@@H]1[C@@H]1CCCN1c1cc2ccccc2c[nH+]1 ZINC000631263761 1128996378 /nfs/dbraw/zinc/99/63/78/1128996378.db2.gz UENBMRCVKZMFSR-FGTMMUONSA-N 1 2 296.414 3.755 20 0 CHADLO CCN(C)c1ccc(Nc2cc(Cl)cc(OC)c2)c[nH+]1 ZINC001176346996 1121603825 /nfs/dbraw/zinc/60/38/25/1121603825.db2.gz GKAKFBUKYVPAED-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ccc2c(c1)CC(=O)N2 ZINC001176429372 1121619912 /nfs/dbraw/zinc/61/99/12/1121619912.db2.gz VZHSOPQBMINFLG-UHFFFAOYSA-N 1 2 295.386 3.827 20 0 CHADLO Cc1cc(C)c(Nc2cc(OC(C)C)ncc2F)c[nH+]1 ZINC001176482896 1121635942 /nfs/dbraw/zinc/63/59/42/1121635942.db2.gz YHDYGCOSSNWKLJ-UHFFFAOYSA-N 1 2 275.327 3.763 20 0 CHADLO c1ccc2c(c1)CC[C@H](Nc1ccc(N3CCCC3)c[nH+]1)C2 ZINC000513008465 1121662091 /nfs/dbraw/zinc/66/20/91/1121662091.db2.gz GMGLMSANEXCQHU-KRWDZBQOSA-N 1 2 293.414 3.651 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccccc2Cl)s1 ZINC000052100883 1121678932 /nfs/dbraw/zinc/67/89/32/1121678932.db2.gz FJKVJRWEMOJLDL-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccccc2Cl)s1 ZINC000052100883 1121678935 /nfs/dbraw/zinc/67/89/35/1121678935.db2.gz FJKVJRWEMOJLDL-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC(C)c1cc(Nc2ccc[nH+]c2N2CCCC2)on1 ZINC001176744342 1121686169 /nfs/dbraw/zinc/68/61/69/1121686169.db2.gz UJFPRZGDYIFRRY-UHFFFAOYSA-N 1 2 272.352 3.537 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)n(C2CCC2)n1 ZINC001176749932 1121687121 /nfs/dbraw/zinc/68/71/21/1121687121.db2.gz NVLPNTKPBGLZBK-UHFFFAOYSA-N 1 2 297.406 3.655 20 0 CHADLO CC(C)c1cccc(Nc2ccc[nH+]c2N2CCCC2)n1 ZINC001176750499 1121688160 /nfs/dbraw/zinc/68/81/60/1121688160.db2.gz UYQIYMOTFLZFSA-UHFFFAOYSA-N 1 2 282.391 3.944 20 0 CHADLO Cc1cc(C)c(Nc2ccnn2Cc2ccccc2)c[nH+]1 ZINC001176703848 1121688413 /nfs/dbraw/zinc/68/84/13/1121688413.db2.gz TWCJBSAFVFPZBK-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO Fc1cc(CNc2cccc[nH+]2)ccc1C(F)F ZINC001177371228 1121725576 /nfs/dbraw/zinc/72/55/76/1121725576.db2.gz ZBESYDUKOOXPOE-UHFFFAOYSA-N 1 2 252.239 3.770 20 0 CHADLO Nc1c(Cl)cc(Cl)cc1CNc1cccc[nH+]1 ZINC001177371250 1121725587 /nfs/dbraw/zinc/72/55/87/1121725587.db2.gz ZKGGYODOBGRBOX-UHFFFAOYSA-N 1 2 268.147 3.583 20 0 CHADLO Cc1cc(CNc2ccn(C(C)C)n2)c2c([nH+]1)CCCC2 ZINC000894192696 1121728870 /nfs/dbraw/zinc/72/88/70/1121728870.db2.gz OSRHYEILHORGID-UHFFFAOYSA-N 1 2 284.407 3.658 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2ncc[nH]2)c(OC(C)(C)C)c1 ZINC000285893158 1121762972 /nfs/dbraw/zinc/76/29/72/1121762972.db2.gz CIRNNDPZPICKJY-CYBMUJFWSA-N 1 2 287.407 3.746 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ncc(CC)s2)c1 ZINC001178187881 1121770730 /nfs/dbraw/zinc/77/07/30/1121770730.db2.gz AQKZTBAXYJABOA-UHFFFAOYSA-N 1 2 293.461 3.966 20 0 CHADLO Cc1cc(CNc2cnc3ccccc3c2)cc(C)[nH+]1 ZINC001178331965 1121778944 /nfs/dbraw/zinc/77/89/44/1121778944.db2.gz YFGWGZWQJIDBNK-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO Cc1nc(N(C)Cc2ccsc2)cc(C(C)C)[nH+]1 ZINC001166821801 1121784201 /nfs/dbraw/zinc/78/42/01/1121784201.db2.gz HMAMLHVYXLTYIV-UHFFFAOYSA-N 1 2 261.394 3.606 20 0 CHADLO CC(C)C[N@H+](C)Cn1nc(-c2ccccc2)sc1=S ZINC000729980906 1121788874 /nfs/dbraw/zinc/78/88/74/1121788874.db2.gz JYZOPMJMDODZQO-UHFFFAOYSA-N 1 2 293.461 3.886 20 0 CHADLO CC(C)C[N@@H+](C)Cn1nc(-c2ccccc2)sc1=S ZINC000729980906 1121788881 /nfs/dbraw/zinc/78/88/81/1121788881.db2.gz JYZOPMJMDODZQO-UHFFFAOYSA-N 1 2 293.461 3.886 20 0 CHADLO CC[C@H]1CCC[C@@H](C[NH2+]CC(F)(F)Br)C1 ZINC001178665326 1121804043 /nfs/dbraw/zinc/80/40/43/1121804043.db2.gz BKCYJAKGRMKJPX-VHSXEESVSA-N 1 2 284.188 3.780 20 0 CHADLO COc1ccc2[nH]c(Nc3cc(C)cc[nH+]3)cc2c1 ZINC001249879745 1121807374 /nfs/dbraw/zinc/80/73/74/1121807374.db2.gz INRALUVARFTPEW-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(COC(C)(C)C)c1)c1ncco1 ZINC000924905383 1121827280 /nfs/dbraw/zinc/82/72/80/1121827280.db2.gz UIGPQRCTSRHZIH-ZDUSSCGKSA-N 1 2 288.391 3.841 20 0 CHADLO CC[C@@H](N[C@@H](C)c1ccc(-n2cc[nH+]c2)cc1)C(F)(F)F ZINC000345159517 1121850631 /nfs/dbraw/zinc/85/06/31/1121850631.db2.gz HPGLMHMYEZKIAW-SMDDNHRTSA-N 1 2 297.324 3.864 20 0 CHADLO Cc1cc(C(=O)Nc2cccc3[nH+]ccn32)ccc1Cl ZINC000345373401 1121879308 /nfs/dbraw/zinc/87/93/08/1121879308.db2.gz DEDCITDUEWZREE-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO Cc1cc(NCc2c[nH]nc2-c2ccc(F)cc2)c[nH+]c1C ZINC001179453143 1121879803 /nfs/dbraw/zinc/87/98/03/1121879803.db2.gz CUQDBOAZZOLQNQ-UHFFFAOYSA-N 1 2 296.349 3.840 20 0 CHADLO Cc1cc(C)c2cc(NCc3cscn3)ccc2[nH+]1 ZINC001179588341 1121891384 /nfs/dbraw/zinc/89/13/84/1121891384.db2.gz AQANUXZNLLCADT-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(-c2nc([C@@H]3CC3(C)C)no2)c1 ZINC000345892661 1121926875 /nfs/dbraw/zinc/92/68/75/1121926875.db2.gz XIZMJALZLRMNPO-ZDUSSCGKSA-N 1 2 294.358 3.744 20 0 CHADLO C[C@@H](Oc1ccc(-c2c[nH+]cn2C)cc1)C1CCC1 ZINC001231082951 1121964004 /nfs/dbraw/zinc/96/40/04/1121964004.db2.gz YEYFTJWKDQYMHT-GFCCVEGCSA-N 1 2 256.349 3.655 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc3c2OC(F)(F)O3)o1 ZINC001180532314 1121993936 /nfs/dbraw/zinc/99/39/36/1121993936.db2.gz ORPZUSKKHIVUPN-UHFFFAOYSA-N 1 2 295.285 3.542 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc3c2OC(F)(F)O3)o1 ZINC001180532314 1121993940 /nfs/dbraw/zinc/99/39/40/1121993940.db2.gz ORPZUSKKHIVUPN-UHFFFAOYSA-N 1 2 295.285 3.542 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1nccn1CC)c1cc(C)ccn1 ZINC000631632929 1121997111 /nfs/dbraw/zinc/99/71/11/1121997111.db2.gz PFNBEBGCKMTBKG-HUUCEWRRSA-N 1 2 286.423 3.798 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2cccc(F)c2F)c(C)s1 ZINC000631726223 1129024337 /nfs/dbraw/zinc/02/43/37/1129024337.db2.gz PJTOEEMIXWREPO-MRVPVSSYSA-N 1 2 282.359 3.889 20 0 CHADLO Fc1cccc(C[NH2+][C@H]2CCCc3scnc32)c1F ZINC000631726756 1129024340 /nfs/dbraw/zinc/02/43/40/1129024340.db2.gz SYXAUKZFTVXDFB-NSHDSACASA-N 1 2 280.343 3.589 20 0 CHADLO Nc1ccc(C[N@@H+]2CCC[C@@H]2c2nccs2)c(Cl)c1 ZINC000710574730 1122011792 /nfs/dbraw/zinc/01/17/92/1122011792.db2.gz DALSYWSQQMNVIQ-CYBMUJFWSA-N 1 2 293.823 3.716 20 0 CHADLO Nc1ccc(C[N@H+]2CCC[C@@H]2c2nccs2)c(Cl)c1 ZINC000710574730 1122011797 /nfs/dbraw/zinc/01/17/97/1122011797.db2.gz DALSYWSQQMNVIQ-CYBMUJFWSA-N 1 2 293.823 3.716 20 0 CHADLO CC(C)[C@@H](c1ccccc1)[N@H+](C)Cc1nn(C)cc1Cl ZINC000420910136 1122043007 /nfs/dbraw/zinc/04/30/07/1122043007.db2.gz DVOGZLBOTPTYGU-INIZCTEOSA-N 1 2 291.826 3.903 20 0 CHADLO CC(C)[C@@H](c1ccccc1)[N@@H+](C)Cc1nn(C)cc1Cl ZINC000420910136 1122043012 /nfs/dbraw/zinc/04/30/12/1122043012.db2.gz DVOGZLBOTPTYGU-INIZCTEOSA-N 1 2 291.826 3.903 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@H+](Cc2ncc(C3CC3)o2)C1 ZINC000420937821 1122046009 /nfs/dbraw/zinc/04/60/09/1122046009.db2.gz ADPYFRCQUPAVPY-SNVBAGLBSA-N 1 2 288.313 3.716 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@@H+](Cc2ncc(C3CC3)o2)C1 ZINC000420937821 1122046015 /nfs/dbraw/zinc/04/60/15/1122046015.db2.gz ADPYFRCQUPAVPY-SNVBAGLBSA-N 1 2 288.313 3.716 20 0 CHADLO C[N@H+](Cc1ncc(Cl)s1)C1CC(OC(C)(C)C)C1 ZINC000421004325 1122058178 /nfs/dbraw/zinc/05/81/78/1122058178.db2.gz ICINCZLNFQHOGJ-UHFFFAOYSA-N 1 2 288.844 3.574 20 0 CHADLO C[N@@H+](Cc1ncc(Cl)s1)C1CC(OC(C)(C)C)C1 ZINC000421004325 1122058186 /nfs/dbraw/zinc/05/81/86/1122058186.db2.gz ICINCZLNFQHOGJ-UHFFFAOYSA-N 1 2 288.844 3.574 20 0 CHADLO FC[C@H]([NH2+][C@@H]1CCCc2ncccc21)c1ccc(F)cc1 ZINC000631797687 1129029544 /nfs/dbraw/zinc/02/95/44/1129029544.db2.gz DRZAUGDBVQWJMA-SJORKVTESA-N 1 2 288.341 3.899 20 0 CHADLO C[C@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1ccncc1 ZINC000631798517 1129029689 /nfs/dbraw/zinc/02/96/89/1129029689.db2.gz UMSIKNOOZUDOSK-XHDPSFHLSA-N 1 2 262.303 3.582 20 0 CHADLO FC[C@H]([NH2+][C@H]1CCCc2cccnc21)c1ccc(F)cc1 ZINC000631798062 1129029864 /nfs/dbraw/zinc/02/98/64/1129029864.db2.gz MHNXPCKJOIKLKR-HOTGVXAUSA-N 1 2 288.341 3.899 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1N[C@H]1CCC12CCC2 ZINC000502406093 1122079515 /nfs/dbraw/zinc/07/95/15/1122079515.db2.gz NRJCFUKPZVSYRA-HNNXBMFYSA-N 1 2 271.408 3.817 20 0 CHADLO F[C@@H]1CCCC[C@H]1[NH2+]Cc1ncc(C(F)(F)F)s1 ZINC000414512982 1122099104 /nfs/dbraw/zinc/09/91/04/1122099104.db2.gz OOSVPLDIJCJZKC-HTQZYQBOSA-N 1 2 282.306 3.532 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2ccccc2C)nn1C ZINC000421337568 1122101286 /nfs/dbraw/zinc/10/12/86/1122101286.db2.gz ZOZZFCGFKKJHNN-LLVKDONJSA-N 1 2 277.799 3.541 20 0 CHADLO CC[C@@H]1C[NH+](Cc2cnc(C3CCC3)s2)C[C@@H](CC)O1 ZINC000421388868 1122109321 /nfs/dbraw/zinc/10/93/21/1122109321.db2.gz KXZSYFDWFAQDEX-ZIAGYGMSSA-N 1 2 294.464 3.800 20 0 CHADLO Cc1ccc(Nc2c(C)nccc2Br)c(C)[nH+]1 ZINC001203370633 1122119220 /nfs/dbraw/zinc/11/92/20/1122119220.db2.gz QICYQNPWKKGTTE-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CCC[C@H]1CCC[C@@H]1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421576039 1122138694 /nfs/dbraw/zinc/13/86/94/1122138694.db2.gz LNCWHUJCZIMJNF-HOTGVXAUSA-N 1 2 274.408 3.531 20 0 CHADLO CC[C@H](C)C[N@@H+]1CCOC[C@@H]1c1nc2ccccc2s1 ZINC000502805534 1122148970 /nfs/dbraw/zinc/14/89/70/1122148970.db2.gz APGLMBWMEOQEDJ-GXTWGEPZSA-N 1 2 290.432 3.716 20 0 CHADLO CC[C@H](C)C[N@H+]1CCOC[C@@H]1c1nc2ccccc2s1 ZINC000502805534 1122148974 /nfs/dbraw/zinc/14/89/74/1122148974.db2.gz APGLMBWMEOQEDJ-GXTWGEPZSA-N 1 2 290.432 3.716 20 0 CHADLO COc1cccc2c(C[NH2+][C@H](C)c3csnn3)cccc12 ZINC000414552546 1122152094 /nfs/dbraw/zinc/15/20/94/1122152094.db2.gz RLBMXJAQIAEOCO-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO CC(C)c1ccc(C[NH2+]Cc2nnc(C3CC3)s2)cc1 ZINC000414560683 1122162958 /nfs/dbraw/zinc/16/29/58/1122162958.db2.gz XOTWTEBHSCGENS-UHFFFAOYSA-N 1 2 287.432 3.829 20 0 CHADLO Cc1cc(F)cc([C@]2(F)CC[N@@H+](Cc3cccn3C)C2)c1 ZINC000503043491 1122163500 /nfs/dbraw/zinc/16/35/00/1122163500.db2.gz CSFOLCWCOCDMRO-KRWDZBQOSA-N 1 2 290.357 3.543 20 0 CHADLO Cc1cc(F)cc([C@]2(F)CC[N@H+](Cc3cccn3C)C2)c1 ZINC000503043491 1122163502 /nfs/dbraw/zinc/16/35/02/1122163502.db2.gz CSFOLCWCOCDMRO-KRWDZBQOSA-N 1 2 290.357 3.543 20 0 CHADLO COc1cccc(C2([NH2+]C/C(Cl)=C/Cl)CC2)c1 ZINC000271725319 1122172520 /nfs/dbraw/zinc/17/25/20/1122172520.db2.gz RAMSRPBDZVCCCJ-FLIBITNWSA-N 1 2 272.175 3.593 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(CC(C)C)no1)c1ccccc1 ZINC000271733038 1122172765 /nfs/dbraw/zinc/17/27/65/1122172765.db2.gz YVJNWTFTBNPVJS-CQSZACIVSA-N 1 2 273.380 3.509 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc3c(c1)C[C@H](C)O3)C2 ZINC001182593901 1122178558 /nfs/dbraw/zinc/17/85/58/1122178558.db2.gz CSJCEYMBVMJZRX-ZDUSSCGKSA-N 1 2 295.382 3.534 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc3c(c1)C[C@H](C)O3)C2 ZINC001182593901 1122178560 /nfs/dbraw/zinc/17/85/60/1122178560.db2.gz CSJCEYMBVMJZRX-ZDUSSCGKSA-N 1 2 295.382 3.534 20 0 CHADLO CCOC(=O)c1ccc(Nc2cc(C)cc[nH+]2)cc1C ZINC001250085111 1122184448 /nfs/dbraw/zinc/18/44/48/1122184448.db2.gz YYXKVSQHLIRPRK-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO Cc1ncc([C@H](C)Nc2ccc([NH+](C)C)cc2C)c(C)n1 ZINC000271958949 1122185090 /nfs/dbraw/zinc/18/50/90/1122185090.db2.gz QTKXRNYTHUVMMS-ZDUSSCGKSA-N 1 2 284.407 3.641 20 0 CHADLO Cc1csc(C2([NH2+][C@H](C)c3ccco3)CCC2)n1 ZINC000272027223 1122188125 /nfs/dbraw/zinc/18/81/25/1122188125.db2.gz YYPFEZBIIXLVNT-LLVKDONJSA-N 1 2 262.378 3.775 20 0 CHADLO Cc1ccncc1[C@@H](C)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000272207808 1122195326 /nfs/dbraw/zinc/19/53/26/1122195326.db2.gz COTWURZSHAEPRY-OAHLLOKOSA-N 1 2 296.418 3.948 20 0 CHADLO CC(C)COc1ccccc1C[N@@H+]1Cc2ccc(O)cc2C1 ZINC001182968814 1122198621 /nfs/dbraw/zinc/19/86/21/1122198621.db2.gz FLPONLBGCUCWIN-UHFFFAOYSA-N 1 2 297.398 3.943 20 0 CHADLO CC(C)COc1ccccc1C[N@H+]1Cc2ccc(O)cc2C1 ZINC001182968814 1122198626 /nfs/dbraw/zinc/19/86/26/1122198626.db2.gz FLPONLBGCUCWIN-UHFFFAOYSA-N 1 2 297.398 3.943 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)N[C@@H](C)c1ccc(-n2cc[nH+]c2)cc1 ZINC001183019166 1122202421 /nfs/dbraw/zinc/20/24/21/1122202421.db2.gz VMDADLZSLFJOIQ-ZNMIVQPWSA-N 1 2 299.418 3.732 20 0 CHADLO CSC1(C[NH2+]CC(F)(F)c2ccc(F)cc2F)CC1 ZINC001183687225 1122234733 /nfs/dbraw/zinc/23/47/33/1122234733.db2.gz UYXZREPNRGLWIX-UHFFFAOYSA-N 1 2 293.329 3.542 20 0 CHADLO CCCc1noc(C[NH2+][C@H](CC)c2ccc(Cl)s2)n1 ZINC000273553140 1122238424 /nfs/dbraw/zinc/23/84/24/1122238424.db2.gz XRCHGDQENDRSKJ-SECBINFHSA-N 1 2 299.827 3.978 20 0 CHADLO Fc1ccc([C@@H]2CC[N@@H+]2Cc2cnn(CC3CCC3)c2)cc1 ZINC001184142829 1122255533 /nfs/dbraw/zinc/25/55/33/1122255533.db2.gz LHRJTOQPHPGSFA-SFHVURJKSA-N 1 2 299.393 3.769 20 0 CHADLO Fc1ccc([C@@H]2CC[N@H+]2Cc2cnn(CC3CCC3)c2)cc1 ZINC001184142829 1122255539 /nfs/dbraw/zinc/25/55/39/1122255539.db2.gz LHRJTOQPHPGSFA-SFHVURJKSA-N 1 2 299.393 3.769 20 0 CHADLO CCOC(=O)[C@H](C)[N@H+](C/C(C)=C\c1ccccc1)C1CC1 ZINC000503570276 1122268174 /nfs/dbraw/zinc/26/81/74/1122268174.db2.gz FCXNWZKVAHHYSE-ZSMUJPCHSA-N 1 2 287.403 3.506 20 0 CHADLO CCOC(=O)[C@H](C)[N@@H+](C/C(C)=C\c1ccccc1)C1CC1 ZINC000503570276 1122268177 /nfs/dbraw/zinc/26/81/77/1122268177.db2.gz FCXNWZKVAHHYSE-ZSMUJPCHSA-N 1 2 287.403 3.506 20 0 CHADLO CCc1nc(OC)ccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001203589360 1122286600 /nfs/dbraw/zinc/28/66/00/1122286600.db2.gz GRPFIAPWQGSWOL-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO Cc1nc(N[C@@H]2CCC[C@@H](C)[C@@H]2C)c2c([nH+]1)CCCC2 ZINC001184617172 1122289426 /nfs/dbraw/zinc/28/94/26/1122289426.db2.gz KBHWDFNJBXHCAZ-TYNCELHUSA-N 1 2 273.424 3.900 20 0 CHADLO c1noc2cc(Nc3ccc[nH+]c3N3CCCC3)ccc12 ZINC001184965232 1122303498 /nfs/dbraw/zinc/30/34/98/1122303498.db2.gz UUTKXRUXOQWCLA-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO Cc1ncoc1C[NH2+][C@H](C)c1ccc(F)cc1Cl ZINC000894288709 1122305077 /nfs/dbraw/zinc/30/50/77/1122305077.db2.gz COJHXEYHUICIRJ-MRVPVSSYSA-N 1 2 268.719 3.626 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccccc1 ZINC000774816357 1122313123 /nfs/dbraw/zinc/31/31/23/1122313123.db2.gz XJYJFENAOCVUSK-CQSZACIVSA-N 1 2 287.407 3.998 20 0 CHADLO Cc1nc(N2CCC[C@@](C)(c3ccccc3)C2)c(C)c(C)[nH+]1 ZINC001185331680 1122334543 /nfs/dbraw/zinc/33/45/43/1122334543.db2.gz QSCWDFVZOJNTPJ-LJQANCHMSA-N 1 2 295.430 3.960 20 0 CHADLO Cc1nc(NCC[C@]2(C)CC2(F)F)c2c([nH+]1)CCCC2 ZINC000664400160 1122337440 /nfs/dbraw/zinc/33/74/40/1122337440.db2.gz VBXTUANASLNUMC-CQSZACIVSA-N 1 2 281.350 3.511 20 0 CHADLO CCC1(CNc2nc3ccccc3n3c[nH+]cc23)CCC1 ZINC001185639510 1122352713 /nfs/dbraw/zinc/35/27/13/1122352713.db2.gz JRKASEQWZQRFCO-UHFFFAOYSA-N 1 2 280.375 3.875 20 0 CHADLO Cc1nc(NCC(C)(C)c2cccc(Cl)c2F)cc[nH+]1 ZINC001186211588 1122380164 /nfs/dbraw/zinc/38/01/64/1122380164.db2.gz LKDGWTGHCDVPBW-UHFFFAOYSA-N 1 2 293.773 3.967 20 0 CHADLO CCc1cc(NC[C@H]2CCCCC2(F)F)nc(CC)[nH+]1 ZINC001186549651 1122398921 /nfs/dbraw/zinc/39/89/21/1122398921.db2.gz CPSISSSOFOMFBJ-LLVKDONJSA-N 1 2 283.366 3.839 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1nc2ccc(F)cc2s1 ZINC000430102318 1122404436 /nfs/dbraw/zinc/40/44/36/1122404436.db2.gz ACKIHXNFTAUCKF-UHFFFAOYSA-N 1 2 290.363 3.969 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1nc2ccc(F)cc2s1 ZINC000430102318 1122404439 /nfs/dbraw/zinc/40/44/39/1122404439.db2.gz ACKIHXNFTAUCKF-UHFFFAOYSA-N 1 2 290.363 3.969 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nnc(C(C)(C)C)s2)c1 ZINC001203655231 1122422872 /nfs/dbraw/zinc/42/28/72/1122422872.db2.gz YPCUQMOHRKYGPP-UHFFFAOYSA-N 1 2 262.382 3.591 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3nc(C(C)C)nn3c2)c1 ZINC001203660383 1122426771 /nfs/dbraw/zinc/42/67/71/1122426771.db2.gz HKEURHSWSKLVBL-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc3c2ccn3C)c1 ZINC001203663406 1122429051 /nfs/dbraw/zinc/42/90/51/1122429051.db2.gz JQFDQRSEOBFVCC-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO CCC[N@H+](CCCc1ccccc1OC)CC(F)F ZINC000505591197 1122429106 /nfs/dbraw/zinc/42/91/06/1122429106.db2.gz LXVMIJRWRHKXJU-UHFFFAOYSA-N 1 2 271.351 3.605 20 0 CHADLO COc1ccc(Nc2cc(C)c[nH+]c2C)c2ncccc12 ZINC001203663819 1122431245 /nfs/dbraw/zinc/43/12/45/1122431245.db2.gz SVMINWJWXVBKCH-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO C[C@@H](OC(=O)[C@H]1CCCC[N@@H+]1C)c1cccc(Cl)c1F ZINC000775133866 1122434325 /nfs/dbraw/zinc/43/43/25/1122434325.db2.gz NQZAVFNSTCKFGQ-ZWNOBZJWSA-N 1 2 299.773 3.568 20 0 CHADLO C[C@@H](OC(=O)[C@H]1CCCC[N@H+]1C)c1cccc(Cl)c1F ZINC000775133866 1122434328 /nfs/dbraw/zinc/43/43/28/1122434328.db2.gz NQZAVFNSTCKFGQ-ZWNOBZJWSA-N 1 2 299.773 3.568 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncc(C(F)(F)F)s2)C(C)(C)C1 ZINC000435126848 1122436079 /nfs/dbraw/zinc/43/60/79/1122436079.db2.gz RPWHNKXLZLYCAV-MRVPVSSYSA-N 1 2 278.343 3.782 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1ccc(Cl)c(Cl)c1 ZINC000620409563 1129055207 /nfs/dbraw/zinc/05/52/07/1129055207.db2.gz XZHYRGUYTUWJBQ-UHFFFAOYSA-N 1 2 298.173 3.609 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@@H+]1Cc1ccccc1C(F)(F)F ZINC000505639859 1122446974 /nfs/dbraw/zinc/44/69/74/1122446974.db2.gz RFINCWQMWSOAMJ-AAEUAGOBSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@H+]1Cc1ccccc1C(F)(F)F ZINC000505639859 1122446976 /nfs/dbraw/zinc/44/69/76/1122446976.db2.gz RFINCWQMWSOAMJ-AAEUAGOBSA-N 1 2 287.325 3.705 20 0 CHADLO CC(C)CCn1cccc1C[N@@H+]1CCn2cccc2[C@H]1C ZINC000505638924 1122448712 /nfs/dbraw/zinc/44/87/12/1122448712.db2.gz OXROMYSBVIVEGP-MRXNPFEDSA-N 1 2 285.435 3.913 20 0 CHADLO CC(C)CCn1cccc1C[N@H+]1CCn2cccc2[C@H]1C ZINC000505638924 1122448713 /nfs/dbraw/zinc/44/87/13/1122448713.db2.gz OXROMYSBVIVEGP-MRXNPFEDSA-N 1 2 285.435 3.913 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1ccc(Cl)cc1Cl ZINC000620409215 1129055506 /nfs/dbraw/zinc/05/55/06/1129055506.db2.gz FVNWIPSLMAJYRA-UHFFFAOYSA-N 1 2 298.173 3.609 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)[C@@H](C)c1cc(F)ccc1F ZINC000425331037 1122455387 /nfs/dbraw/zinc/45/53/87/1122455387.db2.gz WNXTZDIZMWJTOI-LBPRGKRZSA-N 1 2 293.361 3.935 20 0 CHADLO Fc1cccc(F)c1C[NH2+]Cc1ccc(Cl)o1 ZINC000620438712 1129057433 /nfs/dbraw/zinc/05/74/33/1129057433.db2.gz CWQNBJRHFPNMHS-UHFFFAOYSA-N 1 2 257.667 3.501 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)[nH]c1C ZINC000628132513 1122481551 /nfs/dbraw/zinc/48/15/51/1122481551.db2.gz HSFZXXFVZLCPNE-GFCCVEGCSA-N 1 2 277.799 3.873 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)[nH]c1C ZINC000628132513 1122481552 /nfs/dbraw/zinc/48/15/52/1122481552.db2.gz HSFZXXFVZLCPNE-GFCCVEGCSA-N 1 2 277.799 3.873 20 0 CHADLO Cc1[nH]c(CN(C)[C@H](C)c2ccc(Cl)cc2)[nH+]c1C ZINC000628132513 1122481555 /nfs/dbraw/zinc/48/15/55/1122481555.db2.gz HSFZXXFVZLCPNE-GFCCVEGCSA-N 1 2 277.799 3.873 20 0 CHADLO CC(C)(C)c1cccc(C(=O)Nc2ccn3cc[nH+]c3c2)c1 ZINC001188969726 1122482642 /nfs/dbraw/zinc/48/26/42/1122482642.db2.gz BUNQARSJWPXZGV-UHFFFAOYSA-N 1 2 293.370 3.884 20 0 CHADLO Cc1ccc2[nH+]c(CSc3ccc4n[nH]cc4c3)cn2c1 ZINC001189530406 1122504535 /nfs/dbraw/zinc/50/45/35/1122504535.db2.gz CXKWNVDCOIXNIM-UHFFFAOYSA-N 1 2 294.383 3.811 20 0 CHADLO OC1CCC(CNc2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000664528425 1122515091 /nfs/dbraw/zinc/51/50/91/1122515091.db2.gz JFAZFEGTNAKLHX-UHFFFAOYSA-N 1 2 290.794 3.851 20 0 CHADLO CC(C)(C)CC(C)(C)NC(=O)c1ccccc1-n1cc[nH+]c1 ZINC001190107682 1122524685 /nfs/dbraw/zinc/52/46/85/1122524685.db2.gz UOXOZDVXGGWSMS-UHFFFAOYSA-N 1 2 299.418 3.817 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](CC(=O)OC(C)(C)C)C1CC1 ZINC000505780565 1122527210 /nfs/dbraw/zinc/52/72/10/1122527210.db2.gz ADCAJXXAZAUQLR-ZDUSSCGKSA-N 1 2 275.392 3.554 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](CC(=O)OC(C)(C)C)C1CC1 ZINC000505780565 1122527215 /nfs/dbraw/zinc/52/72/15/1122527215.db2.gz ADCAJXXAZAUQLR-ZDUSSCGKSA-N 1 2 275.392 3.554 20 0 CHADLO CC(C)c1ccccc1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001190251201 1122530578 /nfs/dbraw/zinc/53/05/78/1122530578.db2.gz FMPNOKLQTFWQRV-UHFFFAOYSA-N 1 2 279.343 3.710 20 0 CHADLO FC(F)(F)CNc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001161629801 1122534417 /nfs/dbraw/zinc/53/44/17/1122534417.db2.gz NAUXVUCGIKOARL-UHFFFAOYSA-N 1 2 284.203 3.650 20 0 CHADLO CC[C@](C)([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccccc1 ZINC000775390357 1122539912 /nfs/dbraw/zinc/53/99/12/1122539912.db2.gz LPLUEMQQANBUCQ-KRWDZBQOSA-N 1 2 287.407 3.782 20 0 CHADLO C[C@@H]1CC[C@@H](C[N@H+](C)Cc2c(Cl)cccc2Cl)O1 ZINC001190560603 1122543204 /nfs/dbraw/zinc/54/32/04/1122543204.db2.gz LZMJXLKMKIVHOI-MNOVXSKESA-N 1 2 288.218 3.993 20 0 CHADLO C[C@@H]1CC[C@@H](C[N@@H+](C)Cc2c(Cl)cccc2Cl)O1 ZINC001190560603 1122543205 /nfs/dbraw/zinc/54/32/05/1122543205.db2.gz LZMJXLKMKIVHOI-MNOVXSKESA-N 1 2 288.218 3.993 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccn(C(C)C)n1)c1ccccc1F ZINC000516104422 1122568038 /nfs/dbraw/zinc/56/80/38/1122568038.db2.gz HJYSIIOLSOASGQ-MRXNPFEDSA-N 1 2 275.371 3.844 20 0 CHADLO COC(=O)[C@H](c1cccc(Cl)c1)[N@H+]1CC[C@H](C(C)C)C1 ZINC001191539757 1122604053 /nfs/dbraw/zinc/60/40/53/1122604053.db2.gz GKKUEBLDBXDQRP-ZFWWWQNUSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1cccc(Cl)c1)[N@@H+]1CC[C@H](C(C)C)C1 ZINC001191539757 1122604051 /nfs/dbraw/zinc/60/40/51/1122604051.db2.gz GKKUEBLDBXDQRP-ZFWWWQNUSA-N 1 2 295.810 3.532 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1c[nH]nc1-c1ccsc1 ZINC000437575402 1122641501 /nfs/dbraw/zinc/64/15/01/1122641501.db2.gz DCJACECDAOERJY-LBPRGKRZSA-N 1 2 298.415 3.517 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1c[nH]nc1-c1ccsc1 ZINC000437575402 1122641504 /nfs/dbraw/zinc/64/15/04/1122641504.db2.gz DCJACECDAOERJY-LBPRGKRZSA-N 1 2 298.415 3.517 20 0 CHADLO Cc1[nH+]cc(NC(=O)Nc2ccc3sccc3c2)n1C ZINC001192426658 1122643528 /nfs/dbraw/zinc/64/35/28/1122643528.db2.gz OUOBVDDOWIJBEA-UHFFFAOYSA-N 1 2 286.360 3.587 20 0 CHADLO CC1(C)C[NH+](CC(=O)c2ccccc2F)CC(C)(C)C1 ZINC001193103942 1122676756 /nfs/dbraw/zinc/67/67/56/1122676756.db2.gz OLUCZLLMVGWUFN-UHFFFAOYSA-N 1 2 277.383 3.767 20 0 CHADLO C[C@@H]1CCOCC[N@H+]1Cc1c(Cl)cccc1Cl ZINC000775570402 1122677416 /nfs/dbraw/zinc/67/74/16/1122677416.db2.gz KZFDIGWHULVFKG-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1CCOCC[N@@H+]1Cc1c(Cl)cccc1Cl ZINC000775570402 1122677412 /nfs/dbraw/zinc/67/74/12/1122677412.db2.gz KZFDIGWHULVFKG-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO CCOc1ccccc1N(C)Cc1c[nH+]c2ccc(C)cn12 ZINC000438290005 1122689537 /nfs/dbraw/zinc/68/95/37/1122689537.db2.gz GGOOCPJUAWUDTI-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1c(F)cccc1NCc1c[nH+]cn1Cc1ccccc1 ZINC000438291019 1122689877 /nfs/dbraw/zinc/68/98/77/1122689877.db2.gz JILXAUMEDGOBBH-UHFFFAOYSA-N 1 2 295.361 3.991 20 0 CHADLO CC1(C)CCCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000438385992 1122695894 /nfs/dbraw/zinc/69/58/94/1122695894.db2.gz OAPQZFHPZICBBD-UHFFFAOYSA-N 1 2 297.402 3.525 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)c(-c3cccs3)c2)CCO1 ZINC000506262744 1122707289 /nfs/dbraw/zinc/70/72/89/1122707289.db2.gz QHVVDOYILIWIKG-GFCCVEGCSA-N 1 2 291.391 3.775 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)c(-c3cccs3)c2)CCO1 ZINC000506262744 1122707291 /nfs/dbraw/zinc/70/72/91/1122707291.db2.gz QHVVDOYILIWIKG-GFCCVEGCSA-N 1 2 291.391 3.775 20 0 CHADLO Cc1nocc1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000295225727 1122744861 /nfs/dbraw/zinc/74/48/61/1122744861.db2.gz CDBOMLVBKOCTSW-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1nocc1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000295225727 1122744865 /nfs/dbraw/zinc/74/48/65/1122744865.db2.gz CDBOMLVBKOCTSW-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO CCCc1csc(C[N@H+](C)[C@@H](C)c2ccncc2)n1 ZINC000426362676 1122774851 /nfs/dbraw/zinc/77/48/51/1122774851.db2.gz BPVBCKSSQHKEAM-LBPRGKRZSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1csc(C[N@@H+](C)[C@@H](C)c2ccncc2)n1 ZINC000426362676 1122774859 /nfs/dbraw/zinc/77/48/59/1122774859.db2.gz BPVBCKSSQHKEAM-LBPRGKRZSA-N 1 2 275.421 3.684 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](CC)Cc2cccc(Cl)c2)o1 ZINC000153989493 1129080034 /nfs/dbraw/zinc/08/00/34/1129080034.db2.gz WVEUWEUWWLTDSQ-LLVKDONJSA-N 1 2 293.798 3.869 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](CC)Cc2cccc(Cl)c2)o1 ZINC000153989493 1129080037 /nfs/dbraw/zinc/08/00/37/1129080037.db2.gz WVEUWEUWWLTDSQ-LLVKDONJSA-N 1 2 293.798 3.869 20 0 CHADLO CCON(Cc1ccccc1)Cc1cc(C)cc(C)[nH+]1 ZINC001195196066 1122853741 /nfs/dbraw/zinc/85/37/41/1122853741.db2.gz OYPJGQZTHOXXDO-UHFFFAOYSA-N 1 2 270.376 3.652 20 0 CHADLO Cc1noc2ncc(C[N@@H+](C)Cc3cc(C)ccc3F)cc12 ZINC000894571008 1122875405 /nfs/dbraw/zinc/87/54/05/1122875405.db2.gz KLTGPKNCMVPBJE-UHFFFAOYSA-N 1 2 299.349 3.611 20 0 CHADLO Cc1noc2ncc(C[N@H+](C)Cc3cc(C)ccc3F)cc12 ZINC000894571008 1122875410 /nfs/dbraw/zinc/87/54/10/1122875410.db2.gz KLTGPKNCMVPBJE-UHFFFAOYSA-N 1 2 299.349 3.611 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1Cc1cc(C)co1 ZINC000894644344 1122886093 /nfs/dbraw/zinc/88/60/93/1122886093.db2.gz KRXFUZPOEIRYBG-HNNXBMFYSA-N 1 2 273.380 3.532 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1Cc1cc(C)co1 ZINC000894644344 1122886096 /nfs/dbraw/zinc/88/60/96/1122886096.db2.gz KRXFUZPOEIRYBG-HNNXBMFYSA-N 1 2 273.380 3.532 20 0 CHADLO CC(C)(C)c1coc(C[NH+]2CC(Cc3ccccc3)C2)n1 ZINC000894819384 1122908123 /nfs/dbraw/zinc/90/81/23/1122908123.db2.gz MIPWKYBYNXPJRE-UHFFFAOYSA-N 1 2 284.403 3.647 20 0 CHADLO C[N@H+](Cc1cccc(F)c1)Cc1cccc(O)c1Cl ZINC000506942314 1122912787 /nfs/dbraw/zinc/91/27/87/1122912787.db2.gz HHHOQBFVIGWPBR-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1)Cc1cccc(O)c1Cl ZINC000506942314 1122912789 /nfs/dbraw/zinc/91/27/89/1122912789.db2.gz HHHOQBFVIGWPBR-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO CC[C@H](C[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)[C@@H]1C)OC ZINC001196254248 1122912942 /nfs/dbraw/zinc/91/29/42/1122912942.db2.gz LHHJQIZGRFXBHG-APHBMKBZSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C[N@H+]1CCO[C@@H](c2cccc(Cl)c2)[C@@H]1C)OC ZINC001196254248 1122912944 /nfs/dbraw/zinc/91/29/44/1122912944.db2.gz LHHJQIZGRFXBHG-APHBMKBZSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)C1(C)CC[NH+](Cc2ncn(-c3ccccc3)n2)CC1 ZINC000426754468 1122913973 /nfs/dbraw/zinc/91/39/73/1122913973.db2.gz QMLSIUGOMPVESA-UHFFFAOYSA-N 1 2 298.434 3.525 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(C2CC2)n1)c1cccs1 ZINC000426886337 1122920958 /nfs/dbraw/zinc/92/09/58/1122920958.db2.gz LCDWWIITIAMZRR-GFCCVEGCSA-N 1 2 273.405 3.709 20 0 CHADLO CCCn1cc(C[N@H+](CC)Cc2ccccc2Cl)cn1 ZINC000507118738 1122927368 /nfs/dbraw/zinc/92/73/68/1122927368.db2.gz DPGAEAOOXDRXCP-UHFFFAOYSA-N 1 2 291.826 3.969 20 0 CHADLO CCCn1cc(C[N@@H+](CC)Cc2ccccc2Cl)cn1 ZINC000507118738 1122927372 /nfs/dbraw/zinc/92/73/72/1122927372.db2.gz DPGAEAOOXDRXCP-UHFFFAOYSA-N 1 2 291.826 3.969 20 0 CHADLO Cc1ccc(O)c(NC2=CC[C@H](C(F)(F)F)CC2)[nH+]1 ZINC001196766793 1122932127 /nfs/dbraw/zinc/93/21/27/1122932127.db2.gz GZJNTACHWGRVNW-VIFPVBQESA-N 1 2 272.270 3.754 20 0 CHADLO COCc1nc(C[N@H+](C)[C@H](C)c2ccc(C)cc2)cs1 ZINC000507207839 1122937387 /nfs/dbraw/zinc/93/73/87/1122937387.db2.gz CPXJOSYENGFLNW-CYBMUJFWSA-N 1 2 290.432 3.791 20 0 CHADLO COCc1nc(C[N@@H+](C)[C@H](C)c2ccc(C)cc2)cs1 ZINC000507207839 1122937390 /nfs/dbraw/zinc/93/73/90/1122937390.db2.gz CPXJOSYENGFLNW-CYBMUJFWSA-N 1 2 290.432 3.791 20 0 CHADLO CC(C)c1cccc(C(=O)Nc2ccc3[nH+]ccn3c2)c1 ZINC001280275351 1122941240 /nfs/dbraw/zinc/94/12/40/1122941240.db2.gz CWXCLKBBDJSKTM-UHFFFAOYSA-N 1 2 279.343 3.710 20 0 CHADLO CC1(C)Cc2n[nH]cc2C[N@@H+](Cc2ccc(Cl)s2)C1 ZINC000664865005 1122947328 /nfs/dbraw/zinc/94/73/28/1122947328.db2.gz CDYCZFGCMIUJLZ-UHFFFAOYSA-N 1 2 295.839 3.709 20 0 CHADLO CC1(C)Cc2n[nH]cc2C[N@H+](Cc2ccc(Cl)s2)C1 ZINC000664865005 1122947334 /nfs/dbraw/zinc/94/73/34/1122947334.db2.gz CDYCZFGCMIUJLZ-UHFFFAOYSA-N 1 2 295.839 3.709 20 0 CHADLO C[C@@]1(C(N)=O)CCCC[N@@H+]1Cc1ccc(Cl)s1 ZINC000334249720 1122973485 /nfs/dbraw/zinc/97/34/85/1122973485.db2.gz XDEXWQKRJXJLAF-LBPRGKRZSA-N 1 2 272.801 3.681 20 0 CHADLO C[C@@]1(C(N)=O)CCCC[N@H+]1Cc1ccc(Cl)s1 ZINC000334249720 1122973488 /nfs/dbraw/zinc/97/34/88/1122973488.db2.gz XDEXWQKRJXJLAF-LBPRGKRZSA-N 1 2 272.801 3.681 20 0 CHADLO Cc1cc(N[C@H]2CCOC(C)(C)C2)[nH+]c2ccccc12 ZINC000160701520 1122990688 /nfs/dbraw/zinc/99/06/88/1122990688.db2.gz NNQDNKVBWZEPBR-ZDUSSCGKSA-N 1 2 270.376 3.913 20 0 CHADLO Cc1oc(C(C)C)nc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334348537 1122992170 /nfs/dbraw/zinc/99/21/70/1122992170.db2.gz YXZUAWYHZYDNJR-UHFFFAOYSA-N 1 2 287.363 3.679 20 0 CHADLO C[C@@H]1CC[C@@H](C)[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000154738358 1129091802 /nfs/dbraw/zinc/09/18/02/1129091802.db2.gz VJQJHZHRUATKOY-RKDXNWHRSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1CC[C@@H](C)[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000154738358 1129091806 /nfs/dbraw/zinc/09/18/06/1129091806.db2.gz VJQJHZHRUATKOY-RKDXNWHRSA-N 1 2 278.343 3.782 20 0 CHADLO CC1(C)CCC[C@@H]([NH2+]CC(F)(F)Br)C1 ZINC000306709408 1123000811 /nfs/dbraw/zinc/00/08/11/1123000811.db2.gz LQZNYEYYDDMYDF-MRVPVSSYSA-N 1 2 270.161 3.533 20 0 CHADLO CCc1nc2c(s1)[C@@H]([N@H+](C)Cc1coc(C)n1)CCC2 ZINC000647968713 1123007139 /nfs/dbraw/zinc/00/71/39/1123007139.db2.gz SNNOUSVBRITWQI-ZDUSSCGKSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1nc2c(s1)[C@@H]([N@@H+](C)Cc1coc(C)n1)CCC2 ZINC000647968713 1123007144 /nfs/dbraw/zinc/00/71/44/1123007144.db2.gz SNNOUSVBRITWQI-ZDUSSCGKSA-N 1 2 291.420 3.511 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CCCOc3cc(Cl)ccc32)no1 ZINC000334424270 1123011192 /nfs/dbraw/zinc/01/11/92/1123011192.db2.gz GNFCPIVPDIVYCF-CQSZACIVSA-N 1 2 292.766 3.640 20 0 CHADLO COc1cccc2c(N[C@H]3CCCC[C@@H]3OC)cc[nH+]c12 ZINC000440559905 1123014141 /nfs/dbraw/zinc/01/41/41/1123014141.db2.gz MALJRAPFMGLFCY-GJZGRUSLSA-N 1 2 286.375 3.613 20 0 CHADLO COc1cccc2c(N[C@H]3CCCC[C@H]3OC)cc[nH+]c12 ZINC000440566693 1123016522 /nfs/dbraw/zinc/01/65/22/1123016522.db2.gz MALJRAPFMGLFCY-LSDHHAIUSA-N 1 2 286.375 3.613 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCCC[C@H]1c1nc(C)cs1 ZINC000440689454 1123027847 /nfs/dbraw/zinc/02/78/47/1123027847.db2.gz BYPDSYMSDNEIJT-NEPJUHHUSA-N 1 2 256.390 3.727 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCCC[C@H]1c1nc(C)cs1 ZINC000440689454 1123027851 /nfs/dbraw/zinc/02/78/51/1123027851.db2.gz BYPDSYMSDNEIJT-NEPJUHHUSA-N 1 2 256.390 3.727 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(-c2ccccc2)cn1 ZINC001199608770 1123034334 /nfs/dbraw/zinc/03/43/34/1123034334.db2.gz KZLYCJZSDLGHCE-UHFFFAOYSA-N 1 2 278.359 3.577 20 0 CHADLO CC[C@H](F)C[N@@H+]1C[C@H](c2ccccc2C)OC[C@@H]1C ZINC000440793183 1123041243 /nfs/dbraw/zinc/04/12/43/1123041243.db2.gz OOSLWSMBHCZGJY-OFQRWUPVSA-N 1 2 265.372 3.505 20 0 CHADLO CC[C@H](F)C[N@H+]1C[C@H](c2ccccc2C)OC[C@@H]1C ZINC000440793183 1123041247 /nfs/dbraw/zinc/04/12/47/1123041247.db2.gz OOSLWSMBHCZGJY-OFQRWUPVSA-N 1 2 265.372 3.505 20 0 CHADLO CC(C)(O)C[N@H+](C/C(Cl)=C\Cl)Cc1ccccc1 ZINC000776033369 1123048115 /nfs/dbraw/zinc/04/81/15/1123048115.db2.gz LDXODYKCMRNXLC-MDWZMJQESA-N 1 2 288.218 3.579 20 0 CHADLO CC(C)(O)C[N@@H+](C/C(Cl)=C\Cl)Cc1ccccc1 ZINC000776033369 1123048121 /nfs/dbraw/zinc/04/81/21/1123048121.db2.gz LDXODYKCMRNXLC-MDWZMJQESA-N 1 2 288.218 3.579 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+][C@H](C)c1ccc(F)cc1F ZINC000164778295 1123051443 /nfs/dbraw/zinc/05/14/43/1123051443.db2.gz FIXUUFAVDLQNQG-GFCCVEGCSA-N 1 2 293.361 3.668 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@H+]1Cc1cccnc1Cl ZINC000794436658 1123064171 /nfs/dbraw/zinc/06/41/71/1123064171.db2.gz VGFJIVFHHFODFM-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1cccnc1Cl ZINC000794436658 1123064172 /nfs/dbraw/zinc/06/41/72/1123064172.db2.gz VGFJIVFHHFODFM-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO Cc1nc(C[N@@H+]2CCCCC[C@@H]2/C=C\c2ccccc2)no1 ZINC000441165329 1123065132 /nfs/dbraw/zinc/06/51/32/1123065132.db2.gz HRQZRICTAOYTOF-WFTPILKQSA-N 1 2 297.402 3.836 20 0 CHADLO Cc1nc(C[N@H+]2CCCCC[C@@H]2/C=C\c2ccccc2)no1 ZINC000441165329 1123065136 /nfs/dbraw/zinc/06/51/36/1123065136.db2.gz HRQZRICTAOYTOF-WFTPILKQSA-N 1 2 297.402 3.836 20 0 CHADLO C[C@@H]1C[C@H](c2cccc(F)c2)[N@H+](CC(=O)OC(C)(C)C)C1 ZINC000441324285 1123078795 /nfs/dbraw/zinc/07/87/95/1123078795.db2.gz NEWLXANXIZTISO-IUODEOHRSA-N 1 2 293.382 3.550 20 0 CHADLO C[C@@H]1C[C@H](c2cccc(F)c2)[N@@H+](CC(=O)OC(C)(C)C)C1 ZINC000441324285 1123078800 /nfs/dbraw/zinc/07/88/00/1123078800.db2.gz NEWLXANXIZTISO-IUODEOHRSA-N 1 2 293.382 3.550 20 0 CHADLO COc1cc(Nc2ccc(N)[nH+]c2)c(Cl)cc1Cl ZINC001201283663 1123080859 /nfs/dbraw/zinc/08/08/59/1123080859.db2.gz BYBSZWMEYBDZJR-UHFFFAOYSA-N 1 2 284.146 3.723 20 0 CHADLO Cc1nc2cc(C)c(Nc3ccc(N)[nH+]c3)cc2s1 ZINC001201291841 1123083822 /nfs/dbraw/zinc/08/38/22/1123083822.db2.gz FMMTTXIUGAXVDM-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO Cc1cccn2c(CNc3ccc4c(c3)CCCO4)c[nH+]c12 ZINC001201272801 1123083969 /nfs/dbraw/zinc/08/39/69/1123083969.db2.gz CSWZKHNTLBRLJZ-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Nc1ccc(Nc2nc3cccc(Cl)c3s2)c[nH+]1 ZINC001201272688 1123084201 /nfs/dbraw/zinc/08/42/01/1123084201.db2.gz SLMHNMCQQXVGPR-UHFFFAOYSA-N 1 2 276.752 3.671 20 0 CHADLO Cc1c(F)cc(Nc2ccc(N)[nH+]c2)cc1Br ZINC001201293081 1123084408 /nfs/dbraw/zinc/08/44/08/1123084408.db2.gz XMAMVHYXCFPPAZ-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO CC(C)COc1cccc(F)c1Nc1ccc(N)[nH+]c1 ZINC001201295851 1123084748 /nfs/dbraw/zinc/08/47/48/1123084748.db2.gz UMHTYFYPPYBOIH-UHFFFAOYSA-N 1 2 275.327 3.581 20 0 CHADLO Nc1ccc(Nc2ccc(Oc3ccccc3)nc2)c[nH+]1 ZINC001201276607 1123085540 /nfs/dbraw/zinc/08/55/40/1123085540.db2.gz XTFNUXVCOWZXQI-UHFFFAOYSA-N 1 2 278.315 3.595 20 0 CHADLO Nc1ccc(Nc2ccccc2-c2ccco2)c[nH+]1 ZINC001201295841 1123085726 /nfs/dbraw/zinc/08/57/26/1123085726.db2.gz UABRQVLDBFENRI-UHFFFAOYSA-N 1 2 251.289 3.667 20 0 CHADLO Cc1c(Nc2cc(C)cc(C)[nH+]2)cnn1-c1ccccc1 ZINC001201362981 1123087528 /nfs/dbraw/zinc/08/75/28/1123087528.db2.gz QDDTXMMRSTXNFX-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1c(Nc2ccn3cc[nH+]c3c2)cnn1-c1ccccc1 ZINC001201370163 1123088436 /nfs/dbraw/zinc/08/84/36/1123088436.db2.gz GXKBOUZEFDBRPS-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO CC(C)(C)CCNc1cccc(Cc2ccncc2)[nH+]1 ZINC001161677588 1123099194 /nfs/dbraw/zinc/09/91/94/1123099194.db2.gz XPVFMOHQOMMYME-UHFFFAOYSA-N 1 2 269.392 3.916 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2cncc(C)c2)nc(CC)[nH+]1 ZINC000895039340 1123103990 /nfs/dbraw/zinc/10/39/90/1123103990.db2.gz ZEBNGRKPSJXSCO-INIZCTEOSA-N 1 2 296.418 3.646 20 0 CHADLO CC(C)(C)C[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001201441820 1123105548 /nfs/dbraw/zinc/10/55/48/1123105548.db2.gz NGRBSCQIRGRDHN-CQSZACIVSA-N 1 2 267.800 3.759 20 0 CHADLO CC(C)(C)C[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001201441820 1123105549 /nfs/dbraw/zinc/10/55/49/1123105549.db2.gz NGRBSCQIRGRDHN-CQSZACIVSA-N 1 2 267.800 3.759 20 0 CHADLO CC(=O)Nc1ccc(Nc2ccc([NH2+]C(C)C)cc2)cn1 ZINC001201489043 1123108374 /nfs/dbraw/zinc/10/83/74/1123108374.db2.gz YAWXCMIUPMMZLZ-UHFFFAOYSA-N 1 2 284.363 3.604 20 0 CHADLO c1c[nH+]c(Nc2ccc(C3CC3)cc2)c(N2CCOCC2)c1 ZINC001201674682 1123133848 /nfs/dbraw/zinc/13/38/48/1123133848.db2.gz GCGPYISPJRFAAL-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(C3CC3)nc2)[nH+]1 ZINC001201699072 1123137595 /nfs/dbraw/zinc/13/75/95/1123137595.db2.gz HPNPEHJKRUVTMS-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO CCOc1cc(Nc2ccc(C3CC3)nc2)cc(C)[nH+]1 ZINC001201707127 1123138468 /nfs/dbraw/zinc/13/84/68/1123138468.db2.gz VRQYMNDAWNYUNN-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(C2CC2)nc1 ZINC001201712626 1123138483 /nfs/dbraw/zinc/13/84/83/1123138483.db2.gz DZXRLRWBJCVCFR-UHFFFAOYSA-N 1 2 253.349 3.968 20 0 CHADLO Cc1cc(C[C@H](C)Nc2cccc[nH+]2)n(-c2ccccc2)n1 ZINC000161823866 1123140267 /nfs/dbraw/zinc/14/02/67/1123140267.db2.gz MPCFJJVGGBRTDK-AWEZNQCLSA-N 1 2 292.386 3.619 20 0 CHADLO CC(C)c1cc(N[C@@H](CO)c2ccccc2)nc(C(C)C)[nH+]1 ZINC000090070820 1123152701 /nfs/dbraw/zinc/15/27/01/1123152701.db2.gz ZQPILRUHANVICD-INIZCTEOSA-N 1 2 299.418 3.869 20 0 CHADLO Cc1cc(C)cc(-n2ccn(C[N@@H+](C)C3CC3)c2=S)c1 ZINC000049227394 1123159696 /nfs/dbraw/zinc/15/96/96/1123159696.db2.gz FSUCLSSGEAVZJT-UHFFFAOYSA-N 1 2 287.432 3.677 20 0 CHADLO Cc1cc(C)cc(-n2ccn(C[N@H+](C)C3CC3)c2=S)c1 ZINC000049227394 1123159698 /nfs/dbraw/zinc/15/96/98/1123159698.db2.gz FSUCLSSGEAVZJT-UHFFFAOYSA-N 1 2 287.432 3.677 20 0 CHADLO Cc1[nH+]cc(NC(=O)Nc2ccc(Cl)cc2Cl)n1C ZINC001202073415 1123175333 /nfs/dbraw/zinc/17/53/33/1123175333.db2.gz IDTILGMPFFDQMS-UHFFFAOYSA-N 1 2 299.161 3.679 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CC[C@@H]3CCCC[C@@H]32)cs1 ZINC000895084951 1123177814 /nfs/dbraw/zinc/17/78/14/1123177814.db2.gz DKJAODUQCQIPHY-ONGXEEELSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CC[C@@H]3CCCC[C@@H]32)cs1 ZINC000895084951 1123177817 /nfs/dbraw/zinc/17/78/17/1123177817.db2.gz DKJAODUQCQIPHY-ONGXEEELSA-N 1 2 290.354 3.926 20 0 CHADLO CCOC1CC2(C[C@H]2Nc2ccc3ccc(OC)cc3[nH+]2)C1 ZINC000895091020 1123189057 /nfs/dbraw/zinc/18/90/57/1123189057.db2.gz RFLUWKGXFLKWDV-BFHRDPOASA-N 1 2 298.386 3.613 20 0 CHADLO CC1=C[C@H](C)C[N@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000797526312 1123191012 /nfs/dbraw/zinc/19/10/12/1123191012.db2.gz LZSHILVKGXSTBY-VIFPVBQESA-N 1 2 271.191 3.786 20 0 CHADLO CC1=C[C@H](C)C[N@@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000797526312 1123191014 /nfs/dbraw/zinc/19/10/14/1123191014.db2.gz LZSHILVKGXSTBY-VIFPVBQESA-N 1 2 271.191 3.786 20 0 CHADLO CC[N@H+](Cc1cc(C)on1)[C@@H](C)c1ccc(F)cc1 ZINC000053088237 1123199219 /nfs/dbraw/zinc/19/92/19/1123199219.db2.gz DOJQVEFRRTUIRL-LBPRGKRZSA-N 1 2 262.328 3.705 20 0 CHADLO CC[N@@H+](Cc1cc(C)on1)[C@@H](C)c1ccc(F)cc1 ZINC000053088237 1123199220 /nfs/dbraw/zinc/19/92/20/1123199220.db2.gz DOJQVEFRRTUIRL-LBPRGKRZSA-N 1 2 262.328 3.705 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc3cc(F)ccc3n2)C[C@@H]1F ZINC001141640429 1123200315 /nfs/dbraw/zinc/20/03/15/1123200315.db2.gz ZDUROTZXJQOARI-JKSUJKDBSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc3cc(F)ccc3n2)C[C@@H]1F ZINC001141640429 1123200319 /nfs/dbraw/zinc/20/03/19/1123200319.db2.gz ZDUROTZXJQOARI-JKSUJKDBSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc3cc(F)ccc3n2)C[C@H]1F ZINC001141640428 1123200332 /nfs/dbraw/zinc/20/03/32/1123200332.db2.gz ZDUROTZXJQOARI-HZPDHXFCSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc3cc(F)ccc3n2)C[C@H]1F ZINC001141640428 1123200336 /nfs/dbraw/zinc/20/03/36/1123200336.db2.gz ZDUROTZXJQOARI-HZPDHXFCSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@H]1C[C@H](Nc2[nH+]ccc3cc(Cl)ccc32)CCO1 ZINC001161692058 1123203038 /nfs/dbraw/zinc/20/30/38/1123203038.db2.gz RRGZMEGHDSUULR-GXFFZTMASA-N 1 2 276.767 3.868 20 0 CHADLO CCCCCCOCC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000107440886 1123222488 /nfs/dbraw/zinc/22/24/88/1123222488.db2.gz XBRIXXQNAKNJRD-UHFFFAOYSA-N 1 2 278.396 3.542 20 0 CHADLO Nc1cc(Nc2ccn3cc[nH+]c3c2)cc(C(F)(F)F)c1 ZINC001204977344 1123226799 /nfs/dbraw/zinc/22/67/99/1123226799.db2.gz FHQZQYUVIIQOLK-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccccc1 ZINC000182517767 1123231419 /nfs/dbraw/zinc/23/14/19/1123231419.db2.gz XSDGBLVFARSDFV-ZDUSSCGKSA-N 1 2 291.354 3.819 20 0 CHADLO Cc1[nH]c(CNc2ccc(OC3CCCC3)cc2)[nH+]c1C ZINC000850048634 1123239313 /nfs/dbraw/zinc/23/93/13/1123239313.db2.gz TVTZLPLVPRUGHM-UHFFFAOYSA-N 1 2 285.391 3.960 20 0 CHADLO Cc1[nH]c(CNc2cc(F)c(OC(C)C)c(F)c2)[nH+]c1C ZINC000850243302 1123249068 /nfs/dbraw/zinc/24/90/68/1123249068.db2.gz DGQXZEHGQRRDPV-UHFFFAOYSA-N 1 2 295.333 3.704 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2c(c1)[C@@H](O)CCCC2 ZINC000850363719 1123257424 /nfs/dbraw/zinc/25/74/24/1123257424.db2.gz KGTDTDNLNDUUEJ-SFHVURJKSA-N 1 2 299.418 3.836 20 0 CHADLO C[N@@H+]1CCCc2cc(NC3CC(CF)(CF)C3)ccc21 ZINC000850457702 1123270337 /nfs/dbraw/zinc/27/03/37/1123270337.db2.gz JJFKTLWSNCJIIW-UHFFFAOYSA-N 1 2 280.362 3.569 20 0 CHADLO C[N@H+]1CCCc2cc(NC3CC(CF)(CF)C3)ccc21 ZINC000850457702 1123270338 /nfs/dbraw/zinc/27/03/38/1123270338.db2.gz JJFKTLWSNCJIIW-UHFFFAOYSA-N 1 2 280.362 3.569 20 0 CHADLO CN1CCCc2cc([NH2+]C3CC(CF)(CF)C3)ccc21 ZINC000850457702 1123270339 /nfs/dbraw/zinc/27/03/39/1123270339.db2.gz JJFKTLWSNCJIIW-UHFFFAOYSA-N 1 2 280.362 3.569 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@@H+](C)Cc2nnc(C(C)C)[nH]2)c1 ZINC000428247323 1123309512 /nfs/dbraw/zinc/30/95/12/1123309512.db2.gz MYYQOBVIDQFWOC-AWEZNQCLSA-N 1 2 286.423 3.738 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@H+](C)Cc2nnc(C(C)C)[nH]2)c1 ZINC000428247323 1123309517 /nfs/dbraw/zinc/30/95/17/1123309517.db2.gz MYYQOBVIDQFWOC-AWEZNQCLSA-N 1 2 286.423 3.738 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2cc(C(F)(F)F)ccc2Cl)C1 ZINC000353883213 1123312468 /nfs/dbraw/zinc/31/24/68/1123312468.db2.gz SLUXNOQMTVTVTM-LLVKDONJSA-N 1 2 293.716 3.580 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2cc(C(F)(F)F)ccc2Cl)C1 ZINC000353883213 1123312471 /nfs/dbraw/zinc/31/24/71/1123312471.db2.gz SLUXNOQMTVTVTM-LLVKDONJSA-N 1 2 293.716 3.580 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CC[C@H](C2CCC2)C1 ZINC000665288304 1123329599 /nfs/dbraw/zinc/32/95/99/1123329599.db2.gz JMNOZGSFZMGZTP-HNNXBMFYSA-N 1 2 289.423 3.739 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CC[C@H](C2CCC2)C1 ZINC000665288304 1123329604 /nfs/dbraw/zinc/32/96/04/1123329604.db2.gz JMNOZGSFZMGZTP-HNNXBMFYSA-N 1 2 289.423 3.739 20 0 CHADLO Cc1cncc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)c1 ZINC000442674080 1123333065 /nfs/dbraw/zinc/33/30/65/1123333065.db2.gz BHEYWWJKYHZLEK-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cncc(C[N@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)c1 ZINC000442674080 1123333067 /nfs/dbraw/zinc/33/30/67/1123333067.db2.gz BHEYWWJKYHZLEK-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO CCCCN(CCCC)C(=O)C[N@H+](C)[C@@H](C)c1ccco1 ZINC000521814093 1123354197 /nfs/dbraw/zinc/35/41/97/1123354197.db2.gz GXPOTIYIDLKBGC-HNNXBMFYSA-N 1 2 294.439 3.701 20 0 CHADLO CCCCN(CCCC)C(=O)C[N@@H+](C)[C@@H](C)c1ccco1 ZINC000521814093 1123354199 /nfs/dbraw/zinc/35/41/99/1123354199.db2.gz GXPOTIYIDLKBGC-HNNXBMFYSA-N 1 2 294.439 3.701 20 0 CHADLO FC(F)Oc1cccc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204983045 1123368306 /nfs/dbraw/zinc/36/83/06/1123368306.db2.gz NFRSJGLPNKJALX-UHFFFAOYSA-N 1 2 275.258 3.679 20 0 CHADLO C[C@]1(C(N)=O)CCCC[N@H+]1Cc1sccc1Cl ZINC000335763040 1123426080 /nfs/dbraw/zinc/42/60/80/1123426080.db2.gz FTEVWDRXCORRAN-GFCCVEGCSA-N 1 2 272.801 3.681 20 0 CHADLO Cc1cc(CNC(=O)Nc2c(C)cccc2C)cc(C)[nH+]1 ZINC000335539647 1123377785 /nfs/dbraw/zinc/37/77/85/1123377785.db2.gz QUNDQLKZNYVCQK-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)n1 ZINC000851768684 1123379306 /nfs/dbraw/zinc/37/93/06/1123379306.db2.gz CSIDHMIAHLWHRK-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)n1 ZINC000851768684 1123379310 /nfs/dbraw/zinc/37/93/10/1123379310.db2.gz CSIDHMIAHLWHRK-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO CCc1nocc1C[NH2+][C@@H](C)c1nc2ccccc2s1 ZINC000851928611 1123389714 /nfs/dbraw/zinc/38/97/14/1123389714.db2.gz OLMXVYNENOHAKF-JTQLQIEISA-N 1 2 287.388 3.698 20 0 CHADLO CC(C)Cc1noc(/C=C/c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000113717742 1123390714 /nfs/dbraw/zinc/39/07/14/1123390714.db2.gz NEJHCLFYRDNFAF-VMPITWQZSA-N 1 2 294.358 3.624 20 0 CHADLO CC(C)COC(=O)C[N@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000776574453 1123401250 /nfs/dbraw/zinc/40/12/50/1123401250.db2.gz HPWPHRVCLXBBPB-ZDUSSCGKSA-N 1 2 289.375 3.625 20 0 CHADLO CC(C)COC(=O)C[N@@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000776574453 1123401253 /nfs/dbraw/zinc/40/12/53/1123401253.db2.gz HPWPHRVCLXBBPB-ZDUSSCGKSA-N 1 2 289.375 3.625 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2c2nc3ccccc3o2)[nH+]c1C ZINC000895191931 1123403572 /nfs/dbraw/zinc/40/35/72/1123403572.db2.gz CWFCWUMFQKGXNY-ZDUSSCGKSA-N 1 2 282.347 3.509 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398400672 1123403637 /nfs/dbraw/zinc/40/36/37/1123403637.db2.gz WGDSBMQYFTZPOK-NXEZZACHSA-N 1 2 279.434 3.672 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccc(Cl)nc1)CC2 ZINC000852403788 1123404198 /nfs/dbraw/zinc/40/41/98/1123404198.db2.gz HONICWSPRLTFQR-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccc(Cl)nc1)CC2 ZINC000852403788 1123404200 /nfs/dbraw/zinc/40/42/00/1123404200.db2.gz HONICWSPRLTFQR-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Fc1ccc([C@@H]2CC[N@@H+]2Cc2cccc3nsnc32)cc1 ZINC000852469430 1123405639 /nfs/dbraw/zinc/40/56/39/1123405639.db2.gz VHPWLKOCLHKRBB-HNNXBMFYSA-N 1 2 299.374 3.777 20 0 CHADLO Fc1ccc([C@@H]2CC[N@H+]2Cc2cccc3nsnc32)cc1 ZINC000852469430 1123405643 /nfs/dbraw/zinc/40/56/43/1123405643.db2.gz VHPWLKOCLHKRBB-HNNXBMFYSA-N 1 2 299.374 3.777 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+](Cc1cnsn1)C(C)C ZINC000852690404 1123412603 /nfs/dbraw/zinc/41/26/03/1123412603.db2.gz CMFLEAUGPONZLN-HNNXBMFYSA-N 1 2 275.421 3.900 20 0 CHADLO CC[C@@H](c1ccccc1)[N@@H+](Cc1cnsn1)C(C)C ZINC000852690404 1123412606 /nfs/dbraw/zinc/41/26/06/1123412606.db2.gz CMFLEAUGPONZLN-HNNXBMFYSA-N 1 2 275.421 3.900 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@@H](C)c2nccs2)cnn1C(C)(C)C ZINC000191273608 1123417128 /nfs/dbraw/zinc/41/71/28/1123417128.db2.gz WXUAAIZCILESAV-QWRGUYRKSA-N 1 2 292.452 3.815 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]C[C@H](O)c1cccc(Cl)c1 ZINC000853124446 1123425339 /nfs/dbraw/zinc/42/53/39/1123425339.db2.gz GDXQTPAQFLCQPU-LBPRGKRZSA-N 1 2 291.769 3.644 20 0 CHADLO C[C@]1(C(N)=O)CCCC[N@@H+]1Cc1sccc1Cl ZINC000335763040 1123426079 /nfs/dbraw/zinc/42/60/79/1123426079.db2.gz FTEVWDRXCORRAN-GFCCVEGCSA-N 1 2 272.801 3.681 20 0 CHADLO CC1C[C@H]2CC[C@@H](C1)[N@H+]2Cn1nc(C2CC2)sc1=S ZINC000853604706 1123444177 /nfs/dbraw/zinc/44/41/77/1123444177.db2.gz AHHRFQAFOSUBRS-CLYYMRHHSA-N 1 2 295.477 3.772 20 0 CHADLO CC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cn1nc(C2CC2)sc1=S ZINC000853604706 1123444178 /nfs/dbraw/zinc/44/41/78/1123444178.db2.gz AHHRFQAFOSUBRS-CLYYMRHHSA-N 1 2 295.477 3.772 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2CSc3ccccc32)cs1 ZINC000335851236 1123446753 /nfs/dbraw/zinc/44/67/53/1123446753.db2.gz TYDOZRBKIIMFAE-TVQRCGJNSA-N 1 2 276.430 3.949 20 0 CHADLO CCCc1ccc(NC(=O)C(C)(C)n2c[nH+]c(C)c2)cc1 ZINC000895532789 1123488478 /nfs/dbraw/zinc/48/84/78/1123488478.db2.gz PAYQPBDAMANHAA-UHFFFAOYSA-N 1 2 285.391 3.518 20 0 CHADLO C[C@@H]1C[NH+](Cc2nc(C(C)(C)C)co2)C[C@@H](C)C1(F)F ZINC000895551662 1123491125 /nfs/dbraw/zinc/49/11/25/1123491125.db2.gz WCLJDMBGHVIOTD-GHMZBOCLSA-N 1 2 286.366 3.695 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2ccnc(Cl)c2s1)C(F)F ZINC000895725957 1123509303 /nfs/dbraw/zinc/50/93/03/1123509303.db2.gz VXKXBJOTKVTLTP-ZCFIWIBFSA-N 1 2 276.739 3.693 20 0 CHADLO Cc1ncoc1CNc1ccc2[nH+]c(C)cc(C)c2c1 ZINC000895879633 1123524663 /nfs/dbraw/zinc/52/46/63/1123524663.db2.gz SRMITDPRIWEOQU-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)c(F)c2)s1 ZINC000273736765 1129128073 /nfs/dbraw/zinc/12/80/73/1129128073.db2.gz UTBTZCLYLIJVKV-HTQZYQBOSA-N 1 2 283.347 3.537 20 0 CHADLO CC[N@@H+](Cc1ccn(-c2ccccc2)n1)Cc1cccs1 ZINC000338984704 1123542945 /nfs/dbraw/zinc/54/29/45/1123542945.db2.gz GNWQMZLIEBHTMR-UHFFFAOYSA-N 1 2 297.427 3.956 20 0 CHADLO CC[N@H+](Cc1ccn(-c2ccccc2)n1)Cc1cccs1 ZINC000338984704 1123542948 /nfs/dbraw/zinc/54/29/48/1123542948.db2.gz GNWQMZLIEBHTMR-UHFFFAOYSA-N 1 2 297.427 3.956 20 0 CHADLO COc1cccc(C[NH2+]Cc2nc(C(C)C)cs2)c1F ZINC000192696663 1123562846 /nfs/dbraw/zinc/56/28/46/1123562846.db2.gz DERCCQKHQXSVFS-UHFFFAOYSA-N 1 2 294.395 3.704 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@](F)(c3ccccc3F)C2)cn1 ZINC000338996919 1123563440 /nfs/dbraw/zinc/56/34/40/1123563440.db2.gz KXUBDNGGBUGXJB-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@](F)(c3ccccc3F)C2)cn1 ZINC000338996919 1123563441 /nfs/dbraw/zinc/56/34/41/1123563441.db2.gz KXUBDNGGBUGXJB-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1cc(C[NH2+]Cc2csc(-c3ccco3)n2)c(C)o1 ZINC000114505045 1123564415 /nfs/dbraw/zinc/56/44/15/1123564415.db2.gz CZBPTYBNAOGYLC-UHFFFAOYSA-N 1 2 288.372 3.903 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCC(F)(C2CC2)CC1 ZINC000896237693 1123565465 /nfs/dbraw/zinc/56/54/65/1123565465.db2.gz RNQZGGNIFKCGSN-UHFFFAOYSA-N 1 2 285.366 3.536 20 0 CHADLO Cc1nc(N2C[C@H](c3ccccc3)C[C@@H]2C)c(C)c(C)[nH+]1 ZINC000474770564 1123584310 /nfs/dbraw/zinc/58/43/10/1123584310.db2.gz FZMAHIDEBAPSCA-YVEFUNNKSA-N 1 2 281.403 3.784 20 0 CHADLO CCSc1cccc(NC(=O)c2cccc3[nH+]ccn32)c1 ZINC000776927109 1123593953 /nfs/dbraw/zinc/59/39/53/1123593953.db2.gz HDJVPGKGUMDDTD-UHFFFAOYSA-N 1 2 297.383 3.699 20 0 CHADLO CC(C)(C)[C@@H]1CCCN(C(=O)c2cccc3[nH+]ccn32)CC1 ZINC000776931940 1123596301 /nfs/dbraw/zinc/59/63/01/1123596301.db2.gz NJUCOQIZPGMVRN-CQSZACIVSA-N 1 2 299.418 3.623 20 0 CHADLO COc1ccsc1C[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000631284033 1123597192 /nfs/dbraw/zinc/59/71/92/1123597192.db2.gz NKOJXHVHKRBWAO-LBPRGKRZSA-N 1 2 283.343 3.696 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)C[N@@H+]1Cn1ncsc1=S ZINC000115512562 1123618286 /nfs/dbraw/zinc/61/82/86/1123618286.db2.gz NJTJDIZGBLMNQA-DGCLKSJQSA-N 1 2 291.445 3.510 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)C[N@H+]1Cn1ncsc1=S ZINC000115512562 1123618288 /nfs/dbraw/zinc/61/82/88/1123618288.db2.gz NJTJDIZGBLMNQA-DGCLKSJQSA-N 1 2 291.445 3.510 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H]2CCc3ccc(Cl)nc32)on1 ZINC000857905130 1123625336 /nfs/dbraw/zinc/62/53/36/1123625336.db2.gz YHBZMQDBKFKRBW-LBPRGKRZSA-N 1 2 291.782 3.624 20 0 CHADLO Fc1ccc(Oc2ccc(C[NH2+]Cc3cocn3)cc2)cc1 ZINC000192281927 1123637641 /nfs/dbraw/zinc/63/76/41/1123637641.db2.gz KXBJGKRNRDKETI-UHFFFAOYSA-N 1 2 298.317 3.896 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@@H](C)c3ccns3)co2)cc1 ZINC000858316916 1123644475 /nfs/dbraw/zinc/64/44/75/1123644475.db2.gz NUBXNISUZMGVDK-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1cc([C@@H]2CCCN2c2[nH+]ccc3ccccc32)no1 ZINC000858341000 1123646470 /nfs/dbraw/zinc/64/64/70/1123646470.db2.gz VHRPLXQUZBIOEM-INIZCTEOSA-N 1 2 279.343 3.873 20 0 CHADLO Cc1cc(C)c(Cl)c(C[NH+]2C[C@H](C)O[C@@H](C)C2)c1 ZINC001237699582 1129136142 /nfs/dbraw/zinc/13/61/42/1129136142.db2.gz XFJMFPYYGHODOB-STQMWFEESA-N 1 2 267.800 3.566 20 0 CHADLO Cc1ccc(Cl)c(NC(=O)c2cccc3[nH+]ccn32)c1 ZINC000777028897 1123655348 /nfs/dbraw/zinc/65/53/48/1123655348.db2.gz VKYBMJNJDZWHHK-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@@H](C)[C@H]2c2ccccc2)s1 ZINC000346204161 1123672023 /nfs/dbraw/zinc/67/20/23/1123672023.db2.gz PBWBOUHQHHSRMH-WBMJQRKESA-N 1 2 272.417 3.899 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@@H](C)[C@H]2c2ccccc2)s1 ZINC000346204161 1123672024 /nfs/dbraw/zinc/67/20/24/1123672024.db2.gz PBWBOUHQHHSRMH-WBMJQRKESA-N 1 2 272.417 3.899 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@@H](CO)c2ccccc2)cs1 ZINC000346528426 1123692309 /nfs/dbraw/zinc/69/23/09/1123692309.db2.gz PEQXAURERZZWQC-JSGCOSHPSA-N 1 2 290.432 3.651 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2nc(C3CC3)no2)cc1 ZINC000281310408 1123694565 /nfs/dbraw/zinc/69/45/65/1123694565.db2.gz HYPRLGLLSMFIBK-SNVBAGLBSA-N 1 2 289.404 3.520 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000281352143 1123698453 /nfs/dbraw/zinc/69/84/53/1123698453.db2.gz MPZUXPWFKLJZBX-UHFFFAOYSA-N 1 2 298.434 3.985 20 0 CHADLO CCC[C@@H](C)CCCOC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000859729169 1123707022 /nfs/dbraw/zinc/70/70/22/1123707022.db2.gz BPHVTMQFPYDYSK-ZIAGYGMSSA-N 1 2 266.385 3.594 20 0 CHADLO CCC[C@@H](C)CCCOC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000859729167 1123707353 /nfs/dbraw/zinc/70/73/53/1123707353.db2.gz BPHVTMQFPYDYSK-KGLIPLIRSA-N 1 2 266.385 3.594 20 0 CHADLO Cn1nccc1[C@@H]1CCCC[N@@H+]1Cc1ccc(Cl)s1 ZINC000281434076 1123708086 /nfs/dbraw/zinc/70/80/86/1123708086.db2.gz ZVCVZZMBHUWTSV-ZDUSSCGKSA-N 1 2 295.839 3.862 20 0 CHADLO Cn1nccc1[C@@H]1CCCC[N@H+]1Cc1ccc(Cl)s1 ZINC000281434076 1123708090 /nfs/dbraw/zinc/70/80/90/1123708090.db2.gz ZVCVZZMBHUWTSV-ZDUSSCGKSA-N 1 2 295.839 3.862 20 0 CHADLO FC(F)C(F)(F)C[N@@H+]1C[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C1 ZINC000859930417 1123715753 /nfs/dbraw/zinc/71/57/53/1123715753.db2.gz WLQVDYBPHVBDPD-RWMBFGLXSA-N 1 2 287.300 3.622 20 0 CHADLO FC(F)C(F)(F)C[N@H+]1C[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C1 ZINC000859930417 1123715754 /nfs/dbraw/zinc/71/57/54/1123715754.db2.gz WLQVDYBPHVBDPD-RWMBFGLXSA-N 1 2 287.300 3.622 20 0 CHADLO C/C=C/C[C@H]1CCC[N@H+](Cc2csc(Cl)n2)C1 ZINC000860184823 1123724309 /nfs/dbraw/zinc/72/43/09/1123724309.db2.gz KBTINDMIWCAJBT-VUDBWIFFSA-N 1 2 270.829 3.975 20 0 CHADLO C/C=C/C[C@H]1CCC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000860184823 1123724312 /nfs/dbraw/zinc/72/43/12/1123724312.db2.gz KBTINDMIWCAJBT-VUDBWIFFSA-N 1 2 270.829 3.975 20 0 CHADLO COc1ccncc1C[N@H+](C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000346893321 1123730766 /nfs/dbraw/zinc/73/07/66/1123730766.db2.gz UTLULENPNNIGNG-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1ccncc1C[N@@H+](C)[C@@H](C)c1ccc(F)c(F)c1 ZINC000346893321 1123730768 /nfs/dbraw/zinc/73/07/68/1123730768.db2.gz UTLULENPNNIGNG-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](C)c2ccc(SC)cc2)n1 ZINC000281717152 1123741471 /nfs/dbraw/zinc/74/14/71/1123741471.db2.gz CUHUIEWBYZMZGC-GHMZBOCLSA-N 1 2 291.420 3.766 20 0 CHADLO C[C@@H](c1nnc(-c2ccccc2)o1)[N@@H+]1CCC[C@](C)(F)C1 ZINC000336267309 1123754568 /nfs/dbraw/zinc/75/45/68/1123754568.db2.gz GILYZTYBASCBFL-LRDDRELGSA-N 1 2 289.354 3.622 20 0 CHADLO C[C@@H](c1nnc(-c2ccccc2)o1)[N@H+]1CCC[C@](C)(F)C1 ZINC000336267309 1123754572 /nfs/dbraw/zinc/75/45/72/1123754572.db2.gz GILYZTYBASCBFL-LRDDRELGSA-N 1 2 289.354 3.622 20 0 CHADLO Cc1nnc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2C)s1 ZINC000336295883 1123757638 /nfs/dbraw/zinc/75/76/38/1123757638.db2.gz VRROSKRFPQYMPN-AWEZNQCLSA-N 1 2 291.395 3.631 20 0 CHADLO Cc1nnc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2C)s1 ZINC000336295883 1123757640 /nfs/dbraw/zinc/75/76/40/1123757640.db2.gz VRROSKRFPQYMPN-AWEZNQCLSA-N 1 2 291.395 3.631 20 0 CHADLO CCC[N@H+](CC[C@@H](C)OCc1ccccc1)CC(F)F ZINC000475064468 1123777015 /nfs/dbraw/zinc/77/70/15/1123777015.db2.gz OVLOVTVIORIPOL-CQSZACIVSA-N 1 2 285.378 3.959 20 0 CHADLO CCC[N@@H+](CC[C@@H](C)OCc1ccccc1)CC(F)F ZINC000475064468 1123777017 /nfs/dbraw/zinc/77/70/17/1123777017.db2.gz OVLOVTVIORIPOL-CQSZACIVSA-N 1 2 285.378 3.959 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(F)c(F)c2OC)c[nH+]1 ZINC001211892972 1123789345 /nfs/dbraw/zinc/78/93/45/1123789345.db2.gz RQMBLVGCWDBSIU-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@@H]2c2nc3ccccc3s2)o1 ZINC000862212529 1123793259 /nfs/dbraw/zinc/79/32/59/1123793259.db2.gz JFKXVAAOLURKMH-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@@H]2c2nc3ccccc3s2)o1 ZINC000862212529 1123793263 /nfs/dbraw/zinc/79/32/63/1123793263.db2.gz JFKXVAAOLURKMH-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(O)c2Cl)CC2(CCCC2)O1 ZINC000648076768 1123793448 /nfs/dbraw/zinc/79/34/48/1123793448.db2.gz JFRPZJZNXRIDAA-LBPRGKRZSA-N 1 2 295.810 3.579 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(O)c2Cl)CC2(CCCC2)O1 ZINC000648076768 1123793449 /nfs/dbraw/zinc/79/34/49/1123793449.db2.gz JFRPZJZNXRIDAA-LBPRGKRZSA-N 1 2 295.810 3.579 20 0 CHADLO Cc1cc(N[C@@H](C)C2CC(F)(F)C2)ccc1[NH+](C)C ZINC000862259427 1123796102 /nfs/dbraw/zinc/79/61/02/1123796102.db2.gz AUOCPOFTPNDULD-NSHDSACASA-N 1 2 268.351 3.907 20 0 CHADLO Cc1cc([NH2+][C@@H](C)C2CC(F)(F)C2)ccc1N(C)C ZINC000862259427 1123796104 /nfs/dbraw/zinc/79/61/04/1123796104.db2.gz AUOCPOFTPNDULD-NSHDSACASA-N 1 2 268.351 3.907 20 0 CHADLO Clc1ccc2[nH]c(NCc3cccs3)[nH+]c2c1 ZINC000082741451 1129147023 /nfs/dbraw/zinc/14/70/23/1129147023.db2.gz ZRTYFHMKHHVOQI-UHFFFAOYSA-N 1 2 263.753 3.890 20 0 CHADLO Clc1ccc2[nH+]c(NCc3cccs3)[nH]c2c1 ZINC000082741451 1129147025 /nfs/dbraw/zinc/14/70/25/1129147025.db2.gz ZRTYFHMKHHVOQI-UHFFFAOYSA-N 1 2 263.753 3.890 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000475276855 1123830973 /nfs/dbraw/zinc/83/09/73/1123830973.db2.gz CBRDSUTVQILOCF-CBAPKCEASA-N 1 2 297.242 3.877 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000475276855 1123830979 /nfs/dbraw/zinc/83/09/79/1123830979.db2.gz CBRDSUTVQILOCF-CBAPKCEASA-N 1 2 297.242 3.877 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(C2CCC2)no1)c1ccccc1 ZINC000639560697 1123858288 /nfs/dbraw/zinc/85/82/88/1123858288.db2.gz GWASAMOXBNTJCN-HNNXBMFYSA-N 1 2 285.391 3.968 20 0 CHADLO C[C@@H](OCCCNc1cccc[nH+]1)c1ccccc1 ZINC000301089915 1123873598 /nfs/dbraw/zinc/87/35/98/1123873598.db2.gz ZTDKKVDXEGBUGM-CQSZACIVSA-N 1 2 256.349 3.661 20 0 CHADLO c1ccc(CCC2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000301087239 1123873911 /nfs/dbraw/zinc/87/39/11/1123873911.db2.gz LJZYXSVJWKQGPN-UHFFFAOYSA-N 1 2 266.388 3.931 20 0 CHADLO COc1ccc(CNc2cc(C)[nH+]c(C(C)C)n2)cc1F ZINC000301115649 1123876776 /nfs/dbraw/zinc/87/67/76/1123876776.db2.gz XXSXRCQTNZSTCD-UHFFFAOYSA-N 1 2 289.354 3.668 20 0 CHADLO CCC[NH2+][C@@H](c1cccc(OC(C)C)c1)C(F)F ZINC000158691190 1129151152 /nfs/dbraw/zinc/15/11/52/1129151152.db2.gz VLRSBUBECCLQPY-ZDUSSCGKSA-N 1 2 257.324 3.780 20 0 CHADLO c1csc([C@H](Nc2cccc[nH+]2)c2ccccc2)n1 ZINC000301197364 1123880492 /nfs/dbraw/zinc/88/04/92/1123880492.db2.gz NWYUBLUFIQUXKW-CQSZACIVSA-N 1 2 267.357 3.740 20 0 CHADLO Cc1ccnc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC000301281894 1123886452 /nfs/dbraw/zinc/88/64/52/1123886452.db2.gz OOEVPPPYMIYNGS-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO CC[C@H](C)n1cc(-c2cccc(Cn3cc[nH+]c3)c2)cn1 ZINC000863689732 1123890582 /nfs/dbraw/zinc/89/05/82/1123890582.db2.gz FNBJKHGDZPVTCR-AWEZNQCLSA-N 1 2 280.375 3.766 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2cc(C)[nH+]c(C(C)C)n2)C12CCC2 ZINC000301402497 1123898391 /nfs/dbraw/zinc/89/83/91/1123898391.db2.gz DCHKUHCSEVUMTH-KGLIPLIRSA-N 1 2 289.423 3.668 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000339064505 1123901215 /nfs/dbraw/zinc/90/12/15/1123901215.db2.gz JBIVNTNCKUKBCX-MRXNPFEDSA-N 1 2 284.407 3.574 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000339064505 1123901219 /nfs/dbraw/zinc/90/12/19/1123901219.db2.gz JBIVNTNCKUKBCX-MRXNPFEDSA-N 1 2 284.407 3.574 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCCOC2CCCC2)c1 ZINC000301494435 1123905715 /nfs/dbraw/zinc/90/57/15/1123905715.db2.gz AUYZZEZANSNOLD-UHFFFAOYSA-N 1 2 288.313 3.862 20 0 CHADLO CCC(=CC(=O)Nc1ccccc1-n1cc[nH+]c1)CC ZINC000119879647 1123918259 /nfs/dbraw/zinc/91/82/59/1123918259.db2.gz BNUNAUSERNYJMB-UHFFFAOYSA-N 1 2 269.348 3.557 20 0 CHADLO Cc1ccc(CNc2cccc[nH+]2)c(OC[C@@H]2CCCO2)c1 ZINC000301626416 1123918859 /nfs/dbraw/zinc/91/88/59/1123918859.db2.gz QHEWGPHMFLQHLS-INIZCTEOSA-N 1 2 298.386 3.560 20 0 CHADLO CCN(c1cc(C)[nH+]c(C(C)C)n1)[C@@H](C)c1cccnc1 ZINC000301637781 1123919935 /nfs/dbraw/zinc/91/99/35/1123919935.db2.gz FPZDJWFPFNROAW-AWEZNQCLSA-N 1 2 284.407 3.891 20 0 CHADLO C[C@H]1C[N@H+](Cc2cncc(Cl)c2)[C@@H]1c1ccccc1 ZINC000621261677 1129153488 /nfs/dbraw/zinc/15/34/88/1129153488.db2.gz ZLASTDDNXCKANQ-LRDDRELGSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cncc(Cl)c2)[C@@H]1c1ccccc1 ZINC000621261677 1129153489 /nfs/dbraw/zinc/15/34/89/1129153489.db2.gz ZLASTDDNXCKANQ-LRDDRELGSA-N 1 2 272.779 3.928 20 0 CHADLO Cc1nn(C[N@@H+](C)[C@H]2CCC(C)(C)C2)c(=S)n1C1CC1 ZINC000794686828 1123927965 /nfs/dbraw/zinc/92/79/65/1123927965.db2.gz RUWNNBGICIXWMW-ZDUSSCGKSA-N 1 2 294.468 3.525 20 0 CHADLO Cc1nn(C[N@H+](C)[C@H]2CCC(C)(C)C2)c(=S)n1C1CC1 ZINC000794686828 1123927970 /nfs/dbraw/zinc/92/79/70/1123927970.db2.gz RUWNNBGICIXWMW-ZDUSSCGKSA-N 1 2 294.468 3.525 20 0 CHADLO Cc1cccn2cc(C[S@@](=O)C[C@H](C)C(C)(C)C)[nH+]c12 ZINC000864471450 1123929913 /nfs/dbraw/zinc/92/99/13/1123929913.db2.gz AGXYOHZTLRKTTA-RBZFPXEDSA-N 1 2 292.448 3.574 20 0 CHADLO CC(C)c1nn(C[N@H+](C)[C@@H]2CCC(C)(C)C2)c(=S)n1C ZINC000794687532 1123932400 /nfs/dbraw/zinc/93/24/00/1123932400.db2.gz VASOZPKWFZXRQX-GFCCVEGCSA-N 1 2 296.484 3.542 20 0 CHADLO CC(C)c1nn(C[N@@H+](C)[C@@H]2CCC(C)(C)C2)c(=S)n1C ZINC000794687532 1123932402 /nfs/dbraw/zinc/93/24/02/1123932402.db2.gz VASOZPKWFZXRQX-GFCCVEGCSA-N 1 2 296.484 3.542 20 0 CHADLO CC(C)c1cc(N[C@H](CCO)C(C)C)nc(C(C)C)[nH+]1 ZINC000301829022 1123936242 /nfs/dbraw/zinc/93/62/42/1123936242.db2.gz QSIRPJAYIGHDOL-CYBMUJFWSA-N 1 2 279.428 3.542 20 0 CHADLO CC(C)c1cc(NCCn2cccc2)nc(C(C)C)[nH+]1 ZINC000301845511 1123937458 /nfs/dbraw/zinc/93/74/58/1123937458.db2.gz ABHYMBZSOBKGBQ-UHFFFAOYSA-N 1 2 272.396 3.637 20 0 CHADLO Nc1nc(N[C@@H](c2ccccc2)C2CC2)[nH+]c2ccccc12 ZINC000301875291 1123940207 /nfs/dbraw/zinc/94/02/07/1123940207.db2.gz KBAPZZLMAPHQAN-INIZCTEOSA-N 1 2 290.370 3.775 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NCC1(C2CC2)CCC1 ZINC000301944663 1123945833 /nfs/dbraw/zinc/94/58/33/1123945833.db2.gz WVWRYEIGYJGWCV-UHFFFAOYSA-N 1 2 299.422 3.574 20 0 CHADLO Cc1csc([C@@H](C)CNc2cc(C)[nH+]c(C(C)C)n2)n1 ZINC000302126674 1123956060 /nfs/dbraw/zinc/95/60/60/1123956060.db2.gz IQHWBOSBOPRYMT-JTQLQIEISA-N 1 2 290.436 3.889 20 0 CHADLO C[C@H]([NH2+]Cc1cccnc1F)c1ccc(F)cc1Cl ZINC000794826140 1123962243 /nfs/dbraw/zinc/96/22/43/1123962243.db2.gz AHJDMUMMYWJYTG-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO COc1cccc2c(N(C)Cc3ccsc3)cc[nH+]c12 ZINC000302449990 1123968667 /nfs/dbraw/zinc/96/86/67/1123968667.db2.gz PBHJBSXPQRWURM-UHFFFAOYSA-N 1 2 284.384 3.941 20 0 CHADLO CC[C@H](C)Oc1cccc(NCc2c[nH+]cn2C(C)C)n1 ZINC000865295579 1123970597 /nfs/dbraw/zinc/97/05/97/1123970597.db2.gz IXTVKGLXWNNYQJ-ZDUSSCGKSA-N 1 2 288.395 3.648 20 0 CHADLO Cc1ccc2c(CCNc3cccc[nH+]3)cn(C)c2c1 ZINC000302523785 1123971105 /nfs/dbraw/zinc/97/11/05/1123971105.db2.gz YWRXXCYTHBLZRM-UHFFFAOYSA-N 1 2 265.360 3.536 20 0 CHADLO CO[C@H]1CN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC[C@H]1C ZINC000302583973 1123973810 /nfs/dbraw/zinc/97/38/10/1123973810.db2.gz UORCFLCTSMVDQW-HIFRSBDPSA-N 1 2 291.439 3.585 20 0 CHADLO COc1cccc2c(NCc3cccc(C)n3)c(C)c[nH+]c12 ZINC000302652932 1123975975 /nfs/dbraw/zinc/97/59/75/1123975975.db2.gz NNOKAQMRTUBEIL-UHFFFAOYSA-N 1 2 293.370 3.867 20 0 CHADLO CC(C)(C)c1cn(Cc2c(Cl)cncc2Cl)c[nH+]1 ZINC000865376399 1123976418 /nfs/dbraw/zinc/97/64/18/1123976418.db2.gz LVKVIYOXRFHPCS-UHFFFAOYSA-N 1 2 284.190 3.931 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+]Cc1cn(C2CC2)cn1 ZINC000865416036 1123982028 /nfs/dbraw/zinc/98/20/28/1123982028.db2.gz VDSRZMLXXPQJSP-AWEZNQCLSA-N 1 2 299.418 3.856 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc([NH+](C)C)cc2)C12CCCC2 ZINC000085679326 1129158790 /nfs/dbraw/zinc/15/87/90/1129158790.db2.gz VOSSFTCMYPPDFY-SJORKVTESA-N 1 2 288.435 3.902 20 0 CHADLO CCO[C@H]1C[C@@H]([NH2+]c2ccc(N(C)C)cc2)C12CCCC2 ZINC000085679326 1129158792 /nfs/dbraw/zinc/15/87/92/1129158792.db2.gz VOSSFTCMYPPDFY-SJORKVTESA-N 1 2 288.435 3.902 20 0 CHADLO CCc1nc(C[NH2+]Cc2cccc(OC(C)(C)C)c2)co1 ZINC000865592021 1123996862 /nfs/dbraw/zinc/99/68/62/1123996862.db2.gz ODDXTOBWHGLWPR-UHFFFAOYSA-N 1 2 288.391 3.704 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(OC(C)(C)C)c1)c1csnn1 ZINC000865603633 1123998133 /nfs/dbraw/zinc/99/81/33/1123998133.db2.gz JQZARGVJMGCYTE-NSHDSACASA-N 1 2 291.420 3.566 20 0 CHADLO CCOc1cc(F)cc(C[NH2+][C@H](C)c2cscn2)c1 ZINC000865622830 1124000373 /nfs/dbraw/zinc/00/03/73/1124000373.db2.gz GCJCKADOLJCWMU-SNVBAGLBSA-N 1 2 280.368 3.532 20 0 CHADLO Clc1cnn(C[N@@H+]2CCC[C@H]2/C=C/c2ccccc2)c1 ZINC000779890540 1129160052 /nfs/dbraw/zinc/16/00/52/1129160052.db2.gz WVEZNMGSXFTREM-FDMDGMSGSA-N 1 2 287.794 3.672 20 0 CHADLO Clc1cnn(C[N@H+]2CCC[C@H]2/C=C/c2ccccc2)c1 ZINC000779890540 1129160054 /nfs/dbraw/zinc/16/00/54/1129160054.db2.gz WVEZNMGSXFTREM-FDMDGMSGSA-N 1 2 287.794 3.672 20 0 CHADLO Cc1cc(CNc2ccccc2OCCF)cc(C)[nH+]1 ZINC001202519346 1124006790 /nfs/dbraw/zinc/00/67/90/1124006790.db2.gz GZWYHKNRXQQVDZ-UHFFFAOYSA-N 1 2 274.339 3.659 20 0 CHADLO CON(CC(C)(C)C)c1cc[nH+]cc1C(F)(F)F ZINC000865799244 1124015492 /nfs/dbraw/zinc/01/54/92/1124015492.db2.gz MDHABDJLSHEUOS-UHFFFAOYSA-N 1 2 262.275 3.514 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+][C@H](C)C(C)(F)F ZINC000389449846 1124024793 /nfs/dbraw/zinc/02/47/93/1124024793.db2.gz RJHBCCJNMVCSRI-SNVBAGLBSA-N 1 2 253.292 3.875 20 0 CHADLO CC(C)Oc1cccc(C[NH2+][C@H](C)C(C)(F)F)c1 ZINC000389473943 1124028631 /nfs/dbraw/zinc/02/86/31/1124028631.db2.gz WJXVPAGNRZRDIW-LLVKDONJSA-N 1 2 257.324 3.607 20 0 CHADLO Cc1ccc2cc(C[NH2+][C@H](C)C(C)(F)F)ccc2n1 ZINC000389459015 1124029255 /nfs/dbraw/zinc/02/92/55/1124029255.db2.gz DHBMJHZXMYGBMD-LLVKDONJSA-N 1 2 264.319 3.677 20 0 CHADLO Cc1cc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)ccc1F ZINC000866220157 1124038579 /nfs/dbraw/zinc/03/85/79/1124038579.db2.gz CAWOTKMTIKRMQF-RDJZCZTQSA-N 1 2 289.325 3.696 20 0 CHADLO Cc1cc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)ccc1F ZINC000866220158 1124038865 /nfs/dbraw/zinc/03/88/65/1124038865.db2.gz CAWOTKMTIKRMQF-WBVHZDCISA-N 1 2 289.325 3.696 20 0 CHADLO F[C@H]1COc2ccccc2[C@H]1[NH2+]C1(c2ccccc2)CC1 ZINC000866318798 1124051747 /nfs/dbraw/zinc/05/17/47/1124051747.db2.gz FMPAESGPPZCCFE-DOTOQJQBSA-N 1 2 283.346 3.737 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cc(Cl)ccc2F)ccn1 ZINC000444712453 1124056343 /nfs/dbraw/zinc/05/63/43/1124056343.db2.gz YRJUQFGKYNMXGU-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cc(Cl)ccc2F)ccn1 ZINC000444712453 1124056347 /nfs/dbraw/zinc/05/63/47/1124056347.db2.gz YRJUQFGKYNMXGU-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3C[C@H]3c3ccccc3)cc2[nH+]1 ZINC000794920269 1124064782 /nfs/dbraw/zinc/06/47/82/1124064782.db2.gz IHGYZJPQUUMAQG-LSDHHAIUSA-N 1 2 291.354 3.614 20 0 CHADLO CCc1nc(C)c(C[NH2+]C2(c3nccs3)CCCC2)o1 ZINC000428378065 1124067252 /nfs/dbraw/zinc/06/72/52/1124067252.db2.gz HZYBSWOOLSLFEL-UHFFFAOYSA-N 1 2 291.420 3.561 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1noc2ccc(F)cc12 ZINC000866511745 1124076555 /nfs/dbraw/zinc/07/65/55/1124076555.db2.gz SLDXVEKKKDPPRF-NSHDSACASA-N 1 2 299.349 3.775 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CCCCC2CC2)C2CCCCC2)n1 ZINC000866540629 1124080618 /nfs/dbraw/zinc/08/06/18/1124080618.db2.gz APUZXSQYNHNAIQ-OAHLLOKOSA-N 1 2 276.428 3.596 20 0 CHADLO C[C@H]([NH2+]Cc1nn(C)cc1C(F)F)c1ccccc1Cl ZINC000866563832 1124083482 /nfs/dbraw/zinc/08/34/82/1124083482.db2.gz PPEZLUKODUVNID-VIFPVBQESA-N 1 2 299.752 3.862 20 0 CHADLO O=C(Oc1cccc(-n2cc[nH+]c2)c1)OC1CCCCC1 ZINC000866816926 1124096617 /nfs/dbraw/zinc/09/66/17/1124096617.db2.gz MCBMXSSZSJUUCZ-UHFFFAOYSA-N 1 2 286.331 3.720 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCCC3(CCCC3)C2)no1 ZINC000445862930 1124106032 /nfs/dbraw/zinc/10/60/32/1124106032.db2.gz JUMDYUFAKRPTNS-UHFFFAOYSA-N 1 2 277.412 3.568 20 0 CHADLO CCCCc1nc(C[N@H+]2CCCC3(CCCC3)C2)no1 ZINC000445862930 1124106034 /nfs/dbraw/zinc/10/60/34/1124106034.db2.gz JUMDYUFAKRPTNS-UHFFFAOYSA-N 1 2 277.412 3.568 20 0 CHADLO C[C@@H]1C[NH+](Cc2csc(C(C)(C)C)n2)C[C@@H](C)S1 ZINC000525272983 1124119042 /nfs/dbraw/zinc/11/90/42/1124119042.db2.gz INLWLNFFVQFAAA-GHMZBOCLSA-N 1 2 284.494 3.766 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cc(O)cc(F)c2)c1 ZINC000446975933 1124129911 /nfs/dbraw/zinc/12/99/11/1124129911.db2.gz TZJDZGOOLPFERU-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cc(O)cc(F)c2)c1 ZINC000446975933 1124129912 /nfs/dbraw/zinc/12/99/12/1124129912.db2.gz TZJDZGOOLPFERU-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nnc(C(C)C)[nH]2)C(C)C)s1 ZINC000428462986 1124130676 /nfs/dbraw/zinc/13/06/76/1124130676.db2.gz MQJLYCWYHWNPQE-UHFFFAOYSA-N 1 2 292.452 3.709 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nnc(C(C)C)[nH]2)C(C)C)s1 ZINC000428462986 1124130678 /nfs/dbraw/zinc/13/06/78/1124130678.db2.gz MQJLYCWYHWNPQE-UHFFFAOYSA-N 1 2 292.452 3.709 20 0 CHADLO Cc1ccc(F)cc1NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000635072447 1129172206 /nfs/dbraw/zinc/17/22/06/1129172206.db2.gz TXOFPVJBXFLACZ-HNNXBMFYSA-N 1 2 289.354 3.557 20 0 CHADLO Cc1cncc(C[NH2+][C@@H](C(F)F)C2CCCCC2)c1 ZINC000621402018 1129173744 /nfs/dbraw/zinc/17/37/44/1129173744.db2.gz QRRMCTDTOAGXAT-CQSZACIVSA-N 1 2 268.351 3.694 20 0 CHADLO CC(C)C[C@H](C(=O)Nc1ccc2[nH]ccc2c1)n1cc[nH+]c1 ZINC000635200218 1129175424 /nfs/dbraw/zinc/17/54/24/1129175424.db2.gz UYHUJZXLKVCYRN-MRXNPFEDSA-N 1 2 296.374 3.590 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCCC(=O)Nc1ccccc1 ZINC000819408684 1131245414 /nfs/dbraw/zinc/24/54/14/1131245414.db2.gz KUGIQVNVIRQJDV-UHFFFAOYSA-N 1 2 297.402 3.502 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC[C@H](O)c1ccccc1C ZINC000819409705 1131245603 /nfs/dbraw/zinc/24/56/03/1131245603.db2.gz UECSGIUUNBPJIX-SFHVURJKSA-N 1 2 284.403 3.515 20 0 CHADLO CN(C)c1[nH+]ccc2cc(NC(=O)C(F)=C3CCC3)ccc21 ZINC000819546055 1131253983 /nfs/dbraw/zinc/25/39/83/1131253983.db2.gz QLCZXKFKJXZSQU-UHFFFAOYSA-N 1 2 299.349 3.647 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nncs1)c1ccc(Cl)cc1F ZINC001119806014 1131264023 /nfs/dbraw/zinc/26/40/23/1131264023.db2.gz LTMJWNCKECJEDC-ZDUSSCGKSA-N 1 2 299.802 3.818 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc(C(C)C)cs2)c(C)o1 ZINC000122045288 1124461473 /nfs/dbraw/zinc/46/14/73/1124461473.db2.gz PCGAMXVLTIIGAF-UHFFFAOYSA-N 1 2 264.394 3.766 20 0 CHADLO CC(C)(C)OC(=O)C[N@H+](Cc1ccoc1)C1CCCCC1 ZINC000525635885 1124450643 /nfs/dbraw/zinc/45/06/43/1124450643.db2.gz VPSLXRNHZDJCRO-UHFFFAOYSA-N 1 2 293.407 3.756 20 0 CHADLO CC(C)(C)OC(=O)C[N@@H+](Cc1ccoc1)C1CCCCC1 ZINC000525635885 1124450649 /nfs/dbraw/zinc/45/06/49/1124450649.db2.gz VPSLXRNHZDJCRO-UHFFFAOYSA-N 1 2 293.407 3.756 20 0 CHADLO Cc1ccc(C[S@@](=O)C(C)(C)c2ccccc2)c(C)[nH+]1 ZINC000447150666 1124453428 /nfs/dbraw/zinc/45/34/28/1124453428.db2.gz MBUYPBPXDIQOAD-HXUWFJFHSA-N 1 2 287.428 3.882 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1csc(COc2ccccc2)n1 ZINC000525997050 1124487848 /nfs/dbraw/zinc/48/78/48/1124487848.db2.gz TZAYURSFPDCTSR-BETUJISGSA-N 1 2 288.416 3.705 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1csc(COc2ccccc2)n1 ZINC000525997050 1124487851 /nfs/dbraw/zinc/48/78/51/1124487851.db2.gz TZAYURSFPDCTSR-BETUJISGSA-N 1 2 288.416 3.705 20 0 CHADLO C[N@H+](Cc1cc(Br)ccc1F)CC1(F)CC1 ZINC000525978669 1124488565 /nfs/dbraw/zinc/48/85/65/1124488565.db2.gz PSRVADSVSFVPQZ-UHFFFAOYSA-N 1 2 290.151 3.522 20 0 CHADLO C[N@@H+](Cc1cc(Br)ccc1F)CC1(F)CC1 ZINC000525978669 1124488569 /nfs/dbraw/zinc/48/85/69/1124488569.db2.gz PSRVADSVSFVPQZ-UHFFFAOYSA-N 1 2 290.151 3.522 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CCc2cccc(F)c2[C@@H]1C ZINC000525978493 1124489071 /nfs/dbraw/zinc/48/90/71/1124489071.db2.gz MEDJMJKWZKZQDT-ZDUSSCGKSA-N 1 2 287.382 3.722 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CCc2cccc(F)c2[C@@H]1C ZINC000525978493 1124489075 /nfs/dbraw/zinc/48/90/75/1124489075.db2.gz MEDJMJKWZKZQDT-ZDUSSCGKSA-N 1 2 287.382 3.722 20 0 CHADLO COc1ccsc1[C@@H](C)NCC[N@@H+]1CCCC(C)(C)C1 ZINC000447577154 1124498154 /nfs/dbraw/zinc/49/81/54/1124498154.db2.gz FYKGLTAUVGFSSS-CYBMUJFWSA-N 1 2 296.480 3.529 20 0 CHADLO COc1ccsc1[C@@H](C)NCC[N@H+]1CCCC(C)(C)C1 ZINC000447577154 1124498156 /nfs/dbraw/zinc/49/81/56/1124498156.db2.gz FYKGLTAUVGFSSS-CYBMUJFWSA-N 1 2 296.480 3.529 20 0 CHADLO Cc1cc(OCc2c[nH+]cn2C)c(C(C)(C)C)cc1F ZINC000447794236 1124527352 /nfs/dbraw/zinc/52/73/52/1124527352.db2.gz HJLURBPOLHFDDD-UHFFFAOYSA-N 1 2 276.355 3.744 20 0 CHADLO FC(F)(F)CCC[N@@H+]1CCc2c3ccccc3[nH]c2C1 ZINC001119931946 1131267172 /nfs/dbraw/zinc/26/71/72/1131267172.db2.gz QOURZHPEXSTOPA-UHFFFAOYSA-N 1 2 282.309 3.869 20 0 CHADLO FC(F)(F)CCC[N@H+]1CCc2c3ccccc3[nH]c2C1 ZINC001119931946 1131267175 /nfs/dbraw/zinc/26/71/75/1131267175.db2.gz QOURZHPEXSTOPA-UHFFFAOYSA-N 1 2 282.309 3.869 20 0 CHADLO Cc1cc(CNc2ccc(N3CCCC3)[nH+]c2)c(C)o1 ZINC000123244022 1124577898 /nfs/dbraw/zinc/57/78/98/1124577898.db2.gz SIOBKYAQFNKNIM-UHFFFAOYSA-N 1 2 271.364 3.504 20 0 CHADLO Cc1onc(-c2ccccc2)c1C(=O)Nc1cc[nH+]c(C)c1 ZINC000017820717 1124585435 /nfs/dbraw/zinc/58/54/35/1124585435.db2.gz BDVXWVXWLFOBRT-UHFFFAOYSA-N 1 2 293.326 3.606 20 0 CHADLO C[C@H](CC(=O)Nc1ccc(F)c(C(F)F)c1)n1cc[nH+]c1 ZINC000871273736 1124608292 /nfs/dbraw/zinc/60/82/92/1124608292.db2.gz IZVWCRZFXFSSSB-SECBINFHSA-N 1 2 297.280 3.550 20 0 CHADLO C[C@]1(C(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)CC=CCC1 ZINC000448748266 1124613227 /nfs/dbraw/zinc/61/32/27/1124613227.db2.gz GYXMMXSLBGLMRI-KRWDZBQOSA-N 1 2 299.349 3.696 20 0 CHADLO C[C@H]1CCC[C@@H](N(C)C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000117598220 1124619468 /nfs/dbraw/zinc/61/94/68/1124619468.db2.gz MXUDZZPLEWJWSY-GOEBONIOSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1cccc(C[N@@H+]2CCO[C@H](c3cccs3)C2)c1F ZINC000449017071 1124630041 /nfs/dbraw/zinc/63/00/41/1124630041.db2.gz GQKOBEQULDAUCF-AWEZNQCLSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1cccc(C[N@H+]2CCO[C@H](c3cccs3)C2)c1F ZINC000449017071 1124630043 /nfs/dbraw/zinc/63/00/43/1124630043.db2.gz GQKOBEQULDAUCF-AWEZNQCLSA-N 1 2 291.391 3.769 20 0 CHADLO CC[C@@H](Nc1ccccc1OCCn1cc[nH+]c1)C1CCC1 ZINC000449048114 1124641540 /nfs/dbraw/zinc/64/15/40/1124641540.db2.gz DVEJILHAFRZZLA-MRXNPFEDSA-N 1 2 299.418 3.953 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3ncccc3Cl)CC2)cc1 ZINC000528413650 1124657099 /nfs/dbraw/zinc/65/70/99/1124657099.db2.gz MXOGPRKPIZAWML-UHFFFAOYSA-N 1 2 276.742 3.653 20 0 CHADLO COc1ccc(F)c(C[NH2+][C@@H](C)c2cccc(O)c2F)c1 ZINC000872086648 1124679502 /nfs/dbraw/zinc/67/95/02/1124679502.db2.gz BMYTUPHUNCUURO-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO COc1ccsc1[C@H](C)[NH2+]Cc1ncc(Cl)s1 ZINC000872115394 1124686867 /nfs/dbraw/zinc/68/68/67/1124686867.db2.gz KITXJCFXABMNJW-ZETCQYMHSA-N 1 2 288.825 3.717 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ncccc1Cl)CC2 ZINC000528544758 1124687349 /nfs/dbraw/zinc/68/73/49/1124687349.db2.gz BJRZYGZCTSUDQO-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ncccc1Cl)CC2 ZINC000528544758 1124687352 /nfs/dbraw/zinc/68/73/52/1124687352.db2.gz BJRZYGZCTSUDQO-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(-c3ccncc3)cs2)o1 ZINC000872121860 1124688512 /nfs/dbraw/zinc/68/85/12/1124688512.db2.gz HJGLEZOEZMMZCZ-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1c[nH]c2c1cccc2F ZINC001137059351 1124710670 /nfs/dbraw/zinc/71/06/70/1124710670.db2.gz XTQCEVALOHKLNK-LBPRGKRZSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1c[nH]c2c1cccc2F ZINC001137059351 1124710677 /nfs/dbraw/zinc/71/06/77/1124710677.db2.gz XTQCEVALOHKLNK-LBPRGKRZSA-N 1 2 283.350 3.685 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(F)c(OC)c1)C(F)F ZINC000449363672 1124712803 /nfs/dbraw/zinc/71/28/03/1124712803.db2.gz QETNSCNKZXHSEW-GZMMTYOYSA-N 1 2 261.287 3.529 20 0 CHADLO CCC(=O)c1c(Cl)cccc1N[C@H](C)Cn1cc[nH+]c1 ZINC000872408714 1124712875 /nfs/dbraw/zinc/71/28/75/1124712875.db2.gz HHZZMXJTVOTNER-LLVKDONJSA-N 1 2 291.782 3.630 20 0 CHADLO CS[C@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000449445572 1124739865 /nfs/dbraw/zinc/73/98/65/1124739865.db2.gz JYBUJEOTPZIUER-QMMMGPOBSA-N 1 2 282.261 3.992 20 0 CHADLO C[C@@H]([NH2+]C/C=C\c1ccc(F)cc1F)C(C)(F)F ZINC000449412071 1124730288 /nfs/dbraw/zinc/73/02/88/1124730288.db2.gz DPFXIWBEXJINQJ-ZBJFTSOASA-N 1 2 261.262 3.611 20 0 CHADLO CS[C@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000449445572 1124739862 /nfs/dbraw/zinc/73/98/62/1124739862.db2.gz JYBUJEOTPZIUER-QMMMGPOBSA-N 1 2 282.261 3.992 20 0 CHADLO CC(C)n1nccc1CNc1[nH]c2ccc(Cl)cc2[nH+]1 ZINC000873188270 1124749742 /nfs/dbraw/zinc/74/97/42/1124749742.db2.gz HDKZKAAKWPZODU-UHFFFAOYSA-N 1 2 289.770 3.606 20 0 CHADLO CC(C)n1nccc1CNc1[nH]c2cc(Cl)ccc2[nH+]1 ZINC000873188270 1124749753 /nfs/dbraw/zinc/74/97/53/1124749753.db2.gz HDKZKAAKWPZODU-UHFFFAOYSA-N 1 2 289.770 3.606 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1nc(Br)cs1 ZINC001137780118 1131416393 /nfs/dbraw/zinc/41/63/93/1131416393.db2.gz WKDLILJDGALRTF-VIFPVBQESA-N 1 2 289.242 3.670 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1nc(Br)cs1 ZINC001137780118 1131416394 /nfs/dbraw/zinc/41/63/94/1131416394.db2.gz WKDLILJDGALRTF-VIFPVBQESA-N 1 2 289.242 3.670 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2ccc(-c3ccccc3)o2)c2nccn21 ZINC000449573074 1124806760 /nfs/dbraw/zinc/80/67/60/1124806760.db2.gz PKHHPIPUFIDXDF-CZUORRHYSA-N 1 2 293.370 3.939 20 0 CHADLO c1coc([C@@H]2[N@H+](Cc3cnc4ccccn34)CC23CCC3)c1 ZINC000449628297 1124826118 /nfs/dbraw/zinc/82/61/18/1124826118.db2.gz BXQWFQPWSWCTPV-KRWDZBQOSA-N 1 2 293.370 3.655 20 0 CHADLO c1coc([C@@H]2[N@@H+](Cc3cnc4ccccn34)CC23CCC3)c1 ZINC000449628297 1124826146 /nfs/dbraw/zinc/82/61/46/1124826146.db2.gz BXQWFQPWSWCTPV-KRWDZBQOSA-N 1 2 293.370 3.655 20 0 CHADLO CC(C)c1ccc(NC(=O)N2CC[NH2+]C3=CCCC[C@@H]32)cc1 ZINC001239309073 1131313206 /nfs/dbraw/zinc/31/32/06/1131313206.db2.gz KREKGPTWQHNWHR-KRWDZBQOSA-N 1 2 299.418 3.684 20 0 CHADLO CC1CCC([NH2+]c2ccc(N3CCOCC3)cc2)CC1 ZINC000020545514 1124848949 /nfs/dbraw/zinc/84/89/49/1124848949.db2.gz BMHPAXBLIFBJGJ-UHFFFAOYSA-N 1 2 274.408 3.514 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000449837992 1124878495 /nfs/dbraw/zinc/87/84/95/1124878495.db2.gz YREAXOQOAJRTTJ-GJZGRUSLSA-N 1 2 291.439 3.578 20 0 CHADLO CCC1(CC)CCCN1C(=O)NCc1c[nH+]c(C)cc1C ZINC000875650859 1124898276 /nfs/dbraw/zinc/89/82/76/1124898276.db2.gz NHXIOXRJORFJFU-UHFFFAOYSA-N 1 2 289.423 3.563 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1ccc(Cl)nn1 ZINC000876740124 1124975467 /nfs/dbraw/zinc/97/54/67/1124975467.db2.gz HISVRELPMHHONL-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1ccc(Cl)nn1 ZINC000876740124 1124975468 /nfs/dbraw/zinc/97/54/68/1124975468.db2.gz HISVRELPMHHONL-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(Cl)nn2)[C@H](c2ccco2)C1 ZINC000876780802 1124976778 /nfs/dbraw/zinc/97/67/78/1124976778.db2.gz BWXWHFPYZLQIES-AAEUAGOBSA-N 1 2 291.782 3.696 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(Cl)nn2)[C@H](c2ccco2)C1 ZINC000876780802 1124976783 /nfs/dbraw/zinc/97/67/83/1124976783.db2.gz BWXWHFPYZLQIES-AAEUAGOBSA-N 1 2 291.782 3.696 20 0 CHADLO FC(F)(F)CC[N@@H+](Cc1nc(Cl)cs1)CC1CC1 ZINC000876806635 1124979281 /nfs/dbraw/zinc/97/92/81/1124979281.db2.gz RKWQGAIXFKYBDV-UHFFFAOYSA-N 1 2 298.761 3.961 20 0 CHADLO FC(F)(F)CC[N@H+](Cc1nc(Cl)cs1)CC1CC1 ZINC000876806635 1124979283 /nfs/dbraw/zinc/97/92/83/1124979283.db2.gz RKWQGAIXFKYBDV-UHFFFAOYSA-N 1 2 298.761 3.961 20 0 CHADLO C[C@@H](c1csnn1)[N@H+](C)CCC1CCCCC1 ZINC000450337887 1124986243 /nfs/dbraw/zinc/98/62/43/1124986243.db2.gz HPQIGGZHAPPYSI-NSHDSACASA-N 1 2 253.415 3.501 20 0 CHADLO C[C@@H](c1csnn1)[N@@H+](C)CCC1CCCCC1 ZINC000450337887 1124986249 /nfs/dbraw/zinc/98/62/49/1124986249.db2.gz HPQIGGZHAPPYSI-NSHDSACASA-N 1 2 253.415 3.501 20 0 CHADLO C[N@H+](Cc1ccsc1C(F)(F)F)Cc1cccnc1 ZINC000529543259 1124988098 /nfs/dbraw/zinc/98/80/98/1124988098.db2.gz STMGJLVAYXKSDT-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1ccsc1C(F)(F)F)Cc1cccnc1 ZINC000529543259 1124988103 /nfs/dbraw/zinc/98/81/03/1124988103.db2.gz STMGJLVAYXKSDT-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO CCO[C@H](C)c1nc(C[N@@H+]2CCCC(C)(C)C2)cs1 ZINC000120809417 1124993432 /nfs/dbraw/zinc/99/34/32/1124993432.db2.gz RBZQKPKQTJFEIO-GFCCVEGCSA-N 1 2 282.453 3.863 20 0 CHADLO CCO[C@H](C)c1nc(C[N@H+]2CCCC(C)(C)C2)cs1 ZINC000120809417 1124993439 /nfs/dbraw/zinc/99/34/39/1124993439.db2.gz RBZQKPKQTJFEIO-GFCCVEGCSA-N 1 2 282.453 3.863 20 0 CHADLO CC[N@H+](CC1CCC(=O)CC1)c1cccc(C)c1C ZINC000450516962 1125050167 /nfs/dbraw/zinc/05/01/67/1125050167.db2.gz DCNNQOQUWABEBC-UHFFFAOYSA-N 1 2 259.393 3.889 20 0 CHADLO CC[N@@H+](CC1CCC(=O)CC1)c1cccc(C)c1C ZINC000450516962 1125050174 /nfs/dbraw/zinc/05/01/74/1125050174.db2.gz DCNNQOQUWABEBC-UHFFFAOYSA-N 1 2 259.393 3.889 20 0 CHADLO Clc1csc(C[N@H+]2CCSC[C@H]2C2CCC2)n1 ZINC000877594562 1125050611 /nfs/dbraw/zinc/05/06/11/1125050611.db2.gz VFXHDGDNVAEHLW-JTQLQIEISA-N 1 2 288.869 3.514 20 0 CHADLO CC(C)C[N@H+](CC1CCC(=O)CC1)c1ccccc1 ZINC000450522918 1125050601 /nfs/dbraw/zinc/05/06/01/1125050601.db2.gz BIBYYRNUAWCRTN-UHFFFAOYSA-N 1 2 259.393 3.908 20 0 CHADLO CC(C)C[N@@H+](CC1CCC(=O)CC1)c1ccccc1 ZINC000450522918 1125050609 /nfs/dbraw/zinc/05/06/09/1125050609.db2.gz BIBYYRNUAWCRTN-UHFFFAOYSA-N 1 2 259.393 3.908 20 0 CHADLO Clc1csc(C[N@@H+]2CCSC[C@H]2C2CCC2)n1 ZINC000877594562 1125050604 /nfs/dbraw/zinc/05/06/04/1125050604.db2.gz VFXHDGDNVAEHLW-JTQLQIEISA-N 1 2 288.869 3.514 20 0 CHADLO COC[C@@]1(C)CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000450601141 1125063948 /nfs/dbraw/zinc/06/39/48/1125063948.db2.gz ALHQHGCRHRITNL-KRWDZBQOSA-N 1 2 291.439 3.586 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000450652252 1125078327 /nfs/dbraw/zinc/07/83/27/1125078327.db2.gz MOLGKXKWYCMESN-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000450652252 1125078333 /nfs/dbraw/zinc/07/83/33/1125078333.db2.gz MOLGKXKWYCMESN-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO CC(C)(C)c1cn(Cc2coc(C(C)(C)C)n2)c[nH+]1 ZINC000877891530 1125096617 /nfs/dbraw/zinc/09/66/17/1125096617.db2.gz SNVQHCHLQXOQET-UHFFFAOYSA-N 1 2 261.369 3.514 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2scnc2Cl)CC1 ZINC000878147264 1125124309 /nfs/dbraw/zinc/12/43/09/1125124309.db2.gz BPOGGJKPFGEEJH-UHFFFAOYSA-N 1 2 284.734 3.571 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1scnc1Cl ZINC000878158130 1125126400 /nfs/dbraw/zinc/12/64/00/1125126400.db2.gz VAEZJMRMUWZRSC-GFCCVEGCSA-N 1 2 295.839 3.862 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1scnc1Cl ZINC000878158130 1125126403 /nfs/dbraw/zinc/12/64/03/1125126403.db2.gz VAEZJMRMUWZRSC-GFCCVEGCSA-N 1 2 295.839 3.862 20 0 CHADLO CC(C)(C)CC(C)(C)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000303695939 1125139488 /nfs/dbraw/zinc/13/94/88/1125139488.db2.gz QPVKTAGNRKGLDR-UHFFFAOYSA-N 1 2 299.418 3.817 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC000878317859 1125140254 /nfs/dbraw/zinc/14/02/54/1125140254.db2.gz SSQJCCOIZJSVOJ-OAHLLOKOSA-N 1 2 285.391 3.532 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC000878317859 1125140258 /nfs/dbraw/zinc/14/02/58/1125140258.db2.gz SSQJCCOIZJSVOJ-OAHLLOKOSA-N 1 2 285.391 3.532 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000878314645 1125140484 /nfs/dbraw/zinc/14/04/84/1125140484.db2.gz YOGRLTRITAMMMX-JTQLQIEISA-N 1 2 284.281 3.886 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000878314645 1125140486 /nfs/dbraw/zinc/14/04/86/1125140486.db2.gz YOGRLTRITAMMMX-JTQLQIEISA-N 1 2 284.281 3.886 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2cc(C(F)(F)F)co2)n1 ZINC000878420900 1125151597 /nfs/dbraw/zinc/15/15/97/1125151597.db2.gz RJEISHVLLGAVMF-MRVPVSSYSA-N 1 2 290.310 3.914 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@H+](Cc2coc(C3CC3)n2)C1 ZINC000878427644 1125153325 /nfs/dbraw/zinc/15/33/25/1125153325.db2.gz FWWVOYKIXUAETL-LRDDRELGSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2coc(C3CC3)n2)C1 ZINC000878427644 1125153329 /nfs/dbraw/zinc/15/33/29/1125153329.db2.gz FWWVOYKIXUAETL-LRDDRELGSA-N 1 2 283.375 3.530 20 0 CHADLO Cc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000121829094 1125156500 /nfs/dbraw/zinc/15/65/00/1125156500.db2.gz SPGJHERSMSDHHM-UHFFFAOYSA-N 1 2 263.344 3.793 20 0 CHADLO CCOc1ccccc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000121827685 1125156783 /nfs/dbraw/zinc/15/67/83/1125156783.db2.gz FJRDBIABJHLKQD-UHFFFAOYSA-N 1 2 293.370 3.883 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nocc1C ZINC000878509592 1125158101 /nfs/dbraw/zinc/15/81/01/1125158101.db2.gz RJEQQUVLLKHCPQ-LCYFTJDESA-N 1 2 256.349 3.518 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nocc1C ZINC000878509592 1125158105 /nfs/dbraw/zinc/15/81/05/1125158105.db2.gz RJEQQUVLLKHCPQ-LCYFTJDESA-N 1 2 256.349 3.518 20 0 CHADLO C[C@@H]1C[C@@H]2C[C@@H]2C[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001136459022 1131336240 /nfs/dbraw/zinc/33/62/40/1131336240.db2.gz DPQCSLKTQQBTHF-XKVFNRALSA-N 1 2 295.386 3.697 20 0 CHADLO Cc1cc(NC(=O)c2cccc(C)c2Cl)cc[nH+]1 ZINC000122451911 1125190834 /nfs/dbraw/zinc/19/08/34/1125190834.db2.gz SATGAAQWUZUZQW-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H]2c2ccccn2)cs1 ZINC000531439774 1125219588 /nfs/dbraw/zinc/21/95/88/1125219588.db2.gz KHLWQFVVHVFOSI-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H]2c2ccccn2)cs1 ZINC000531439774 1125219596 /nfs/dbraw/zinc/21/95/96/1125219596.db2.gz KHLWQFVVHVFOSI-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2scnc2Cl)c1 ZINC000879751956 1125230863 /nfs/dbraw/zinc/23/08/63/1125230863.db2.gz JYCAGOQGJHRARC-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2scnc2Cl)c1 ZINC000879751956 1125230868 /nfs/dbraw/zinc/23/08/68/1125230868.db2.gz JYCAGOQGJHRARC-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1ccc(-c2nc(C[NH+]3CCC(F)CC3)cs2)o1 ZINC000880239164 1125259402 /nfs/dbraw/zinc/25/94/02/1125259402.db2.gz WVLABEBYTOGPID-UHFFFAOYSA-N 1 2 280.368 3.645 20 0 CHADLO C[C@]1(c2ccccc2)CCC[N@@H+]1Cc1nc(C(F)F)no1 ZINC000880371294 1125268614 /nfs/dbraw/zinc/26/86/14/1125268614.db2.gz FBYQKNRZUNXUTD-OAHLLOKOSA-N 1 2 293.317 3.518 20 0 CHADLO C[C@]1(c2ccccc2)CCC[N@H+]1Cc1nc(C(F)F)no1 ZINC000880371294 1125268618 /nfs/dbraw/zinc/26/86/18/1125268618.db2.gz FBYQKNRZUNXUTD-OAHLLOKOSA-N 1 2 293.317 3.518 20 0 CHADLO FC(F)[C@H]([NH2+]CC1CCOCC1)c1cccc(Cl)c1 ZINC000880535315 1125277620 /nfs/dbraw/zinc/27/76/20/1125277620.db2.gz CAYWNFNSMGASNA-CYBMUJFWSA-N 1 2 289.753 3.662 20 0 CHADLO FC(F)[C@@H]([NH2+]C[C@H]1CCCOC1)c1cccc(Cl)c1 ZINC000880537107 1125279621 /nfs/dbraw/zinc/27/96/21/1125279621.db2.gz KWKNGYQCJLEXLC-MFKMUULPSA-N 1 2 289.753 3.662 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2ccccc2-c2ccccc2)C[C@@H]1F ZINC001142331116 1125294072 /nfs/dbraw/zinc/29/40/72/1125294072.db2.gz JRAHJHGPTJKIFS-CALCHBBNSA-N 1 2 273.326 3.845 20 0 CHADLO F[C@@H]1C[N@H+](Cc2ccccc2-c2ccccc2)C[C@@H]1F ZINC001142331116 1125294077 /nfs/dbraw/zinc/29/40/77/1125294077.db2.gz JRAHJHGPTJKIFS-CALCHBBNSA-N 1 2 273.326 3.845 20 0 CHADLO Cc1cc(Nc2ccccc2-n2cc[nH+]c2)cc(C)c1O ZINC001211910831 1125295877 /nfs/dbraw/zinc/29/58/77/1125295877.db2.gz BAMWZDVHDDXIIZ-UHFFFAOYSA-N 1 2 279.343 3.938 20 0 CHADLO C[N@H+](CCCF)[C@H](CCc1ccccc1)C(F)F ZINC000626135819 1125297755 /nfs/dbraw/zinc/29/77/55/1125297755.db2.gz IZAMBQVZJRUVJV-CYBMUJFWSA-N 1 2 259.315 3.544 20 0 CHADLO C[N@@H+](CCCF)[C@H](CCc1ccccc1)C(F)F ZINC000626135819 1125297759 /nfs/dbraw/zinc/29/77/59/1125297759.db2.gz IZAMBQVZJRUVJV-CYBMUJFWSA-N 1 2 259.315 3.544 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H]1C[C@H]1c1c(F)cccc1Cl ZINC000666160965 1125303106 /nfs/dbraw/zinc/30/31/06/1125303106.db2.gz BZFPCMPVZFFVSW-LLHLLMPMSA-N 1 2 293.773 3.717 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2ccco2)c1 ZINC000191877737 1125328852 /nfs/dbraw/zinc/32/88/52/1125328852.db2.gz GIEPINOKAFRSIE-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2ccco2)c1 ZINC000191877737 1125328854 /nfs/dbraw/zinc/32/88/54/1125328854.db2.gz GIEPINOKAFRSIE-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO Fc1cccc(Cl)c1CNc1cc[nH+]cc1F ZINC000192149745 1125331353 /nfs/dbraw/zinc/33/13/53/1125331353.db2.gz VHVMAMXHVJFZKI-UHFFFAOYSA-N 1 2 254.667 3.625 20 0 CHADLO CCc1cc(N[C@@H](c2ccncc2)C2CC2)nc(CC)[nH+]1 ZINC000897423124 1125333390 /nfs/dbraw/zinc/33/33/90/1125333390.db2.gz HHSYPECCZZEPEZ-QGZVFWFLSA-N 1 2 282.391 3.560 20 0 CHADLO CC(C)c1c[nH]c(CNc2ccc([NH+]3CCCC3)cc2)n1 ZINC000882907108 1125361076 /nfs/dbraw/zinc/36/10/76/1125361076.db2.gz LQJUXJSDQFWLLC-UHFFFAOYSA-N 1 2 284.407 3.745 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(OC(F)F)cc2)c1 ZINC000213622639 1125363780 /nfs/dbraw/zinc/36/37/80/1125363780.db2.gz IHKHYVBOCNGCPO-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO CC[N@H+](Cc1nc2c(s1)CCC2)Cc1occc1C ZINC000666458245 1125372219 /nfs/dbraw/zinc/37/22/19/1125372219.db2.gz SHBFFEHHBJPYGX-UHFFFAOYSA-N 1 2 276.405 3.555 20 0 CHADLO CC[N@@H+](Cc1nc2c(s1)CCC2)Cc1occc1C ZINC000666458245 1125372222 /nfs/dbraw/zinc/37/22/22/1125372222.db2.gz SHBFFEHHBJPYGX-UHFFFAOYSA-N 1 2 276.405 3.555 20 0 CHADLO CC(C)[N@H+](Cc1ccn(C2CCCC2)n1)Cc1ccccn1 ZINC000532421289 1125372284 /nfs/dbraw/zinc/37/22/84/1125372284.db2.gz ZVKOCAMPSKJWPI-UHFFFAOYSA-N 1 2 298.434 3.804 20 0 CHADLO CC(C)[N@@H+](Cc1ccn(C2CCCC2)n1)Cc1ccccn1 ZINC000532421289 1125372285 /nfs/dbraw/zinc/37/22/85/1125372285.db2.gz ZVKOCAMPSKJWPI-UHFFFAOYSA-N 1 2 298.434 3.804 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2cc(C3CC3)ccc2F)n1 ZINC000883132512 1125372708 /nfs/dbraw/zinc/37/27/08/1125372708.db2.gz UIXHSJIRYSYPKZ-UHFFFAOYSA-N 1 2 287.382 3.839 20 0 CHADLO CCC1(CC)CCCN(C(=O)Nc2cc(C)[nH+]cc2C)C1 ZINC000666495727 1125376682 /nfs/dbraw/zinc/37/66/82/1125376682.db2.gz BBUCPZXAJKGRQD-UHFFFAOYSA-N 1 2 289.423 3.554 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+][C@H](C)c2cc3cnccc3o2)n1 ZINC000883199496 1125376779 /nfs/dbraw/zinc/37/67/79/1125376779.db2.gz GWOWRQFILRARFN-LLVKDONJSA-N 1 2 284.363 3.525 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](CC)c2sccc2Cl)[nH]1 ZINC000883208186 1125378183 /nfs/dbraw/zinc/37/81/83/1125378183.db2.gz AQEKWKBRANOXDN-PSASIEDQSA-N 1 2 298.843 3.884 20 0 CHADLO CC(F)(F)C[NH2+][C@@H](c1ccc(F)cc1)C1CCOCC1 ZINC000883224372 1125379591 /nfs/dbraw/zinc/37/95/91/1125379591.db2.gz KRUNTZSBJMSTQU-AWEZNQCLSA-N 1 2 287.325 3.538 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C(C)C)[nH]1)c1sccc1Cl ZINC000883225288 1125379901 /nfs/dbraw/zinc/37/99/01/1125379901.db2.gz CZGNMLZYPDEYPX-JTQLQIEISA-N 1 2 298.843 3.884 20 0 CHADLO CCN(Cc1c[nH+]c2ccc(C)cn12)c1ccccc1 ZINC000508658233 1125381416 /nfs/dbraw/zinc/38/14/16/1125381416.db2.gz URIKCRSLIXVJGG-UHFFFAOYSA-N 1 2 265.360 3.669 20 0 CHADLO Cc1ccccc1-c1cc(C[NH+]2CCOCC2)ccc1F ZINC001239504114 1131351604 /nfs/dbraw/zinc/35/16/04/1131351604.db2.gz LPHYHFYNBXQIAL-UHFFFAOYSA-N 1 2 285.362 3.633 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2CCCc3scnc32)c(Cl)n1 ZINC000883302341 1125392938 /nfs/dbraw/zinc/39/29/38/1125392938.db2.gz VYHFIQYNDNSFRM-LLVKDONJSA-N 1 2 293.823 3.667 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+]C2(c3ncccn3)CCC2)cc1 ZINC000883313317 1125393337 /nfs/dbraw/zinc/39/33/37/1125393337.db2.gz AQUKGMRDCPBIJJ-AWEZNQCLSA-N 1 2 297.402 3.605 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]C1(c3ncccn3)CCC1)CCC2 ZINC000883312839 1125393711 /nfs/dbraw/zinc/39/37/11/1125393711.db2.gz NZRIBILLKVGYDD-INIZCTEOSA-N 1 2 297.377 3.662 20 0 CHADLO Clc1cccc2c1CC[C@H]2[NH2+]C1(c2ncccn2)CCC1 ZINC000883313487 1125393874 /nfs/dbraw/zinc/39/38/74/1125393874.db2.gz FKFIDDMRISIIQW-OAHLLOKOSA-N 1 2 299.805 3.786 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc3c(c2)COC3)c[nH+]c1C ZINC000883342317 1125398303 /nfs/dbraw/zinc/39/83/03/1125398303.db2.gz SNEAVBITEIGIPU-CYBMUJFWSA-N 1 2 268.360 3.902 20 0 CHADLO Cc1c([C@@H](C)Nc2c[nH+]c(C)c(C)c2)cnn1C(C)C ZINC000883343042 1125398466 /nfs/dbraw/zinc/39/84/66/1125398466.db2.gz XUVPSNUQKPNPDA-CYBMUJFWSA-N 1 2 272.396 3.957 20 0 CHADLO CC(=O)Nc1ccc([C@H](C)Nc2c[nH+]c(C)c(C)c2)cc1 ZINC000883342579 1125398831 /nfs/dbraw/zinc/39/88/31/1125398831.db2.gz DSKWOMRQHJDDTE-ZDUSSCGKSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(-c3ccco3)on2)s1 ZINC000171161373 1125404727 /nfs/dbraw/zinc/40/47/27/1125404727.db2.gz IWODCQIZYDVQIU-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(-c3ccco3)on2)s1 ZINC000171161373 1125404729 /nfs/dbraw/zinc/40/47/29/1125404729.db2.gz IWODCQIZYDVQIU-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1cc([N@@H+]2CCCc3cc(N)ccc32)cc(C)c1O ZINC001211918517 1125406083 /nfs/dbraw/zinc/40/60/83/1125406083.db2.gz GXLHHDQZQGTGIC-UHFFFAOYSA-N 1 2 268.360 3.676 20 0 CHADLO Cc1cc([N@H+]2CCCc3cc(N)ccc32)cc(C)c1O ZINC001211918517 1125406087 /nfs/dbraw/zinc/40/60/87/1125406087.db2.gz GXLHHDQZQGTGIC-UHFFFAOYSA-N 1 2 268.360 3.676 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1cc2cccnc2o1 ZINC000883380944 1125406371 /nfs/dbraw/zinc/40/63/71/1125406371.db2.gz DCJIQFRODVFYKU-SJORKVTESA-N 1 2 296.345 3.943 20 0 CHADLO Cc1cc(C)c(C[NH2+][C@@H](CF)c2ccc(F)cc2)c(C)n1 ZINC000640155523 1125412652 /nfs/dbraw/zinc/41/26/52/1125412652.db2.gz WNTKLTQUXUJJGD-KRWDZBQOSA-N 1 2 290.357 3.946 20 0 CHADLO Cc1ccc2c(Nc3cnc(Cl)nc3)cccc2[nH+]1 ZINC001212794957 1125414147 /nfs/dbraw/zinc/41/41/47/1125414147.db2.gz GWGIRRULCTXVLL-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO Cc1ccc2c(Nc3ccc(F)nc3)cccc2[nH+]1 ZINC001212795011 1125415961 /nfs/dbraw/zinc/41/59/61/1125415961.db2.gz JPEIULIAMPJZLL-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1cccc(C[NH2+]C2(c3c(F)cccc3F)CCC2)n1 ZINC000626178180 1125420613 /nfs/dbraw/zinc/42/06/13/1125420613.db2.gz KANHRMCUGCUFQA-UHFFFAOYSA-N 1 2 288.341 3.837 20 0 CHADLO Fc1ccccc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000035039003 1125423231 /nfs/dbraw/zinc/42/32/31/1125423231.db2.gz ASOMCIGOZSOOTH-UHFFFAOYSA-N 1 2 267.307 3.624 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc(-c2cccnc2)c1 ZINC000120224768 1125428497 /nfs/dbraw/zinc/42/84/97/1125428497.db2.gz NSVAABMYQGDHAV-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc(-c2cccnc2)c1 ZINC000120224768 1125428500 /nfs/dbraw/zinc/42/85/00/1125428500.db2.gz NSVAABMYQGDHAV-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000348244577 1125429036 /nfs/dbraw/zinc/42/90/36/1125429036.db2.gz NOICNXINRXAWRJ-GXSJLCMTSA-N 1 2 292.370 3.868 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000348244577 1125429039 /nfs/dbraw/zinc/42/90/39/1125429039.db2.gz NOICNXINRXAWRJ-GXSJLCMTSA-N 1 2 292.370 3.868 20 0 CHADLO Cc1cn2cc(NC(=O)c3ccc(Cl)cc3)ccc2[nH+]1 ZINC000041305669 1125432879 /nfs/dbraw/zinc/43/28/79/1125432879.db2.gz JHRYVIAILNIKDN-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO CCCNC(=O)Nc1ccc(Oc2cc[nH+]c(C)c2)cc1 ZINC001202593806 1125441824 /nfs/dbraw/zinc/44/18/24/1125441824.db2.gz OWTMMMUYNPOSBZ-UHFFFAOYSA-N 1 2 285.347 3.714 20 0 CHADLO CC(C)C[C@@H](C(=O)NC(C)(C)c1ccccc1)n1cc[nH+]c1 ZINC000635430349 1129181568 /nfs/dbraw/zinc/18/15/68/1129181568.db2.gz JZZHHZYIVBUNIJ-INIZCTEOSA-N 1 2 299.418 3.522 20 0 CHADLO CCOc1cncc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)c1 ZINC000621730313 1129188038 /nfs/dbraw/zinc/18/80/38/1129188038.db2.gz HXMHKUNCBHNALJ-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO CCOc1cncc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)c1 ZINC000621730313 1129188040 /nfs/dbraw/zinc/18/80/40/1129188040.db2.gz HXMHKUNCBHNALJ-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2ccccc2[nH]1)c1nc(C(C)(C)C)no1 ZINC000621811689 1129198468 /nfs/dbraw/zinc/19/84/68/1129198468.db2.gz BKZWDCXCLMIFNQ-LLVKDONJSA-N 1 2 298.390 3.699 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccsc2C(F)F)c[nH+]1 ZINC000822017799 1131358195 /nfs/dbraw/zinc/35/81/95/1131358195.db2.gz SLVWUULPVOFURE-UHFFFAOYSA-N 1 2 296.342 3.628 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2nnc(C)s2)cs1 ZINC000296438303 1129222356 /nfs/dbraw/zinc/22/23/56/1129222356.db2.gz MLVPXXFKZATJCA-RKDXNWHRSA-N 1 2 267.423 3.628 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1c2c(nn1C)CCCC2)C1CC1 ZINC000637970649 1129238741 /nfs/dbraw/zinc/23/87/41/1129238741.db2.gz HEVQBTMWDDIEDI-ZDUSSCGKSA-N 1 2 299.418 3.618 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1c2c(nn1C)CCCC2)C1CC1 ZINC000637970649 1129238743 /nfs/dbraw/zinc/23/87/43/1129238743.db2.gz HEVQBTMWDDIEDI-ZDUSSCGKSA-N 1 2 299.418 3.618 20 0 CHADLO Cn1c(C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)cc2ccccc21 ZINC000637990548 1129238862 /nfs/dbraw/zinc/23/88/62/1129238862.db2.gz DYVFEYGVOKBWFL-QGZVFWFLSA-N 1 2 294.402 3.629 20 0 CHADLO Cn1c(C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)cc2ccccc21 ZINC000637990548 1129238865 /nfs/dbraw/zinc/23/88/65/1129238865.db2.gz DYVFEYGVOKBWFL-QGZVFWFLSA-N 1 2 294.402 3.629 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1[C@@H](c1nccn1C)C1CC1 ZINC000638085576 1129242911 /nfs/dbraw/zinc/24/29/11/1129242911.db2.gz RHTSRWJEGRWXEI-SJKOYZFVSA-N 1 2 299.393 3.630 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1[C@@H](c1nccn1C)C1CC1 ZINC000638085576 1129242913 /nfs/dbraw/zinc/24/29/13/1129242913.db2.gz RHTSRWJEGRWXEI-SJKOYZFVSA-N 1 2 299.393 3.630 20 0 CHADLO CCC[C@H](CC)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000638567019 1129262733 /nfs/dbraw/zinc/26/27/33/1129262733.db2.gz HFEXZGAARYEMCG-UONOGXRCSA-N 1 2 264.417 3.594 20 0 CHADLO Cc1[nH]c2ccc(Nc3cccc4ncn(C)c43)cc2[nH+]1 ZINC001213076471 1129269431 /nfs/dbraw/zinc/26/94/31/1129269431.db2.gz SUNJWAJXQOIUSC-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]C/C=C\c2c(C)cc(C)cc2C)n1 ZINC000639098495 1129281306 /nfs/dbraw/zinc/28/13/06/1129281306.db2.gz PYHNADZKULGJBV-LMVHVUTASA-N 1 2 285.391 3.667 20 0 CHADLO CC(C)O[C@@H](C[NH2+]C(C)(C)C(F)F)c1ccccc1 ZINC000639118266 1129284014 /nfs/dbraw/zinc/28/40/14/1129284014.db2.gz CLFMZBQYNXBBBK-ZDUSSCGKSA-N 1 2 271.351 3.786 20 0 CHADLO C/C(=C\C(=O)Nc1ccc2[nH+]ccn2c1)c1cccc(F)c1 ZINC000733969875 1129288735 /nfs/dbraw/zinc/28/87/35/1129288735.db2.gz WCLWASKJMKIYDU-FMIVXFBMSA-N 1 2 295.317 3.515 20 0 CHADLO C[N@H+](Cc1cc[nH]n1)Cc1ccc2oc3ccccc3c2c1 ZINC000733997319 1129290973 /nfs/dbraw/zinc/29/09/73/1129290973.db2.gz OVKZMLOGDHSIHO-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO C[N@@H+](Cc1cc[nH]n1)Cc1ccc2oc3ccccc3c2c1 ZINC000733997319 1129290976 /nfs/dbraw/zinc/29/09/76/1129290976.db2.gz OVKZMLOGDHSIHO-UHFFFAOYSA-N 1 2 291.354 3.941 20 0 CHADLO Nc1c(F)cccc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000639235521 1129295803 /nfs/dbraw/zinc/29/58/03/1129295803.db2.gz RYEPYSIUFSMBAR-INIZCTEOSA-N 1 2 286.350 3.728 20 0 CHADLO Nc1c(F)cccc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000639235521 1129295807 /nfs/dbraw/zinc/29/58/07/1129295807.db2.gz RYEPYSIUFSMBAR-INIZCTEOSA-N 1 2 286.350 3.728 20 0 CHADLO CCOC(=O)c1oc2ccccc2c1CNc1cccc[nH+]1 ZINC000734141160 1129296977 /nfs/dbraw/zinc/29/69/77/1129296977.db2.gz LSOILJNQMSTXKT-UHFFFAOYSA-N 1 2 296.326 3.617 20 0 CHADLO CC(C)([NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)C1CCC1 ZINC000639249151 1129297198 /nfs/dbraw/zinc/29/71/98/1129297198.db2.gz XXHNQKALSIUNTB-CQSZACIVSA-N 1 2 276.428 3.594 20 0 CHADLO COc1cc(C)c([C@@H](C)[N@@H+]2CCCc3[nH]ncc3C2)cc1C ZINC000639340465 1129304753 /nfs/dbraw/zinc/30/47/53/1129304753.db2.gz OEFBKCXIPQERSY-CQSZACIVSA-N 1 2 299.418 3.545 20 0 CHADLO COc1cc(C)c([C@@H](C)[N@H+]2CCCc3[nH]ncc3C2)cc1C ZINC000639340465 1129304756 /nfs/dbraw/zinc/30/47/56/1129304756.db2.gz OEFBKCXIPQERSY-CQSZACIVSA-N 1 2 299.418 3.545 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccccc1 ZINC000639439782 1129315691 /nfs/dbraw/zinc/31/56/91/1129315691.db2.gz IZSQHVZXVQMVOX-QGZVFWFLSA-N 1 2 285.391 3.509 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1nc(CC2CC2)no1)C1CC1 ZINC000639537088 1129322501 /nfs/dbraw/zinc/32/25/01/1129322501.db2.gz PWXRFSUJOUZLOB-CYBMUJFWSA-N 1 2 297.402 3.748 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1nc(CC2CC2)no1)C1CC1 ZINC000639537088 1129322507 /nfs/dbraw/zinc/32/25/07/1129322507.db2.gz PWXRFSUJOUZLOB-CYBMUJFWSA-N 1 2 297.402 3.748 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2nc(C3CCC3)no2)CC1 ZINC000639548830 1129323305 /nfs/dbraw/zinc/32/33/05/1129323305.db2.gz WCAGZBJCWVFDFS-UHFFFAOYSA-N 1 2 275.396 3.515 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2nc(C3CCC3)no2)CC1 ZINC000639548830 1129323309 /nfs/dbraw/zinc/32/33/09/1129323309.db2.gz WCAGZBJCWVFDFS-UHFFFAOYSA-N 1 2 275.396 3.515 20 0 CHADLO CC(C)(C)C1CC[NH+](Cn2ccc(C(F)(F)F)n2)CC1 ZINC000734829336 1129323353 /nfs/dbraw/zinc/32/33/53/1129323353.db2.gz JUKVXPWTMGDKTM-UHFFFAOYSA-N 1 2 289.345 3.618 20 0 CHADLO c1ccc([C@@H](CC2CC2)[NH2+]Cc2nc(CC3CC3)no2)cc1 ZINC000639565774 1129324392 /nfs/dbraw/zinc/32/43/92/1129324392.db2.gz SNKYVCBQAPURJS-MRXNPFEDSA-N 1 2 297.402 3.653 20 0 CHADLO CC(C)(C)c1csc(C[N@@H+]2CCC[C@H]2c2cn[nH]c2)n1 ZINC000639777362 1129333200 /nfs/dbraw/zinc/33/32/00/1129333200.db2.gz CELPTRJXUUPIBO-LBPRGKRZSA-N 1 2 290.436 3.501 20 0 CHADLO CC(C)(C)c1csc(C[N@H+]2CCC[C@H]2c2cn[nH]c2)n1 ZINC000639777362 1129333205 /nfs/dbraw/zinc/33/32/05/1129333205.db2.gz CELPTRJXUUPIBO-LBPRGKRZSA-N 1 2 290.436 3.501 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCC[C@@H]2c2cn[nH]c2)c1 ZINC000639776703 1129333263 /nfs/dbraw/zinc/33/32/63/1129333263.db2.gz DTHJRQFCHUXITC-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCC[C@@H]2c2cn[nH]c2)c1 ZINC000639776703 1129333268 /nfs/dbraw/zinc/33/32/68/1129333268.db2.gz DTHJRQFCHUXITC-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H]1CCO[C@H]1c1ccc(Cl)cc1 ZINC000639907650 1129339523 /nfs/dbraw/zinc/33/95/23/1129339523.db2.gz LBVMADRPLNTENN-OLZOCXBDSA-N 1 2 289.753 3.805 20 0 CHADLO Cc1cccc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccco2)n1 ZINC000639928729 1129341057 /nfs/dbraw/zinc/34/10/57/1129341057.db2.gz ZOFTZQIOCSQPQS-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cccc(C[N@H+]2CC(C)(C)[C@@H]2c2ccco2)n1 ZINC000639928729 1129341062 /nfs/dbraw/zinc/34/10/62/1129341062.db2.gz ZOFTZQIOCSQPQS-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C2CC2)no1)c1ccc(F)cc1 ZINC000639929863 1129341134 /nfs/dbraw/zinc/34/11/34/1129341134.db2.gz REQDMAUQANETOB-MRXNPFEDSA-N 1 2 289.354 3.501 20 0 CHADLO CC(=O)Nc1cccc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001239530098 1131367791 /nfs/dbraw/zinc/36/77/91/1131367791.db2.gz ICCRRUCEYBTQMS-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=CC3CCCCC3)cc2[nH+]1 ZINC000735466958 1129347899 /nfs/dbraw/zinc/34/78/99/1129347899.db2.gz VOWHEPMUZQHMHK-JXMROGBWSA-N 1 2 283.375 3.946 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1ncc(C(C)C)o1)C(C)C ZINC000735870903 1129359537 /nfs/dbraw/zinc/35/95/37/1129359537.db2.gz RDYRMHQYROQJPF-MRXNPFEDSA-N 1 2 287.407 3.988 20 0 CHADLO CCC1CCC([N@H+](C)CC(F)(F)C(F)F)CC1 ZINC000736621232 1129377736 /nfs/dbraw/zinc/37/77/36/1129377736.db2.gz RSRVNRXJFJOMAT-UHFFFAOYSA-N 1 2 255.299 3.787 20 0 CHADLO CCC1CCC([N@@H+](C)CC(F)(F)C(F)F)CC1 ZINC000736621232 1129377739 /nfs/dbraw/zinc/37/77/39/1129377739.db2.gz RSRVNRXJFJOMAT-UHFFFAOYSA-N 1 2 255.299 3.787 20 0 CHADLO Fc1c[nH+]ccc1N1CCC(OC2CCCCC2)CC1 ZINC000641324144 1129378608 /nfs/dbraw/zinc/37/86/08/1129378608.db2.gz RRFIQFAIIPZLML-UHFFFAOYSA-N 1 2 278.371 3.539 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cn3cc[nH]c3=S)CC2)c1 ZINC000092295899 1129385772 /nfs/dbraw/zinc/38/57/72/1129385772.db2.gz XKNHXCLNIJVUCN-UHFFFAOYSA-N 1 2 285.416 3.601 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cn3cc[nH]c3=S)CC2)c1 ZINC000092295899 1129385776 /nfs/dbraw/zinc/38/57/76/1129385776.db2.gz XKNHXCLNIJVUCN-UHFFFAOYSA-N 1 2 285.416 3.601 20 0 CHADLO Cc1nc2c(ccn2C)c(NCCC2=CCCCC2)[nH+]1 ZINC001168771232 1129388193 /nfs/dbraw/zinc/38/81/93/1129388193.db2.gz VBNMEUPZSVZYIU-UHFFFAOYSA-N 1 2 270.380 3.579 20 0 CHADLO CSc1ccc(C[NH2+]Cc2nc(C(C)C)cs2)o1 ZINC000641742800 1129389891 /nfs/dbraw/zinc/38/98/91/1129389891.db2.gz RYBMYLHNHVWIFH-UHFFFAOYSA-N 1 2 282.434 3.871 20 0 CHADLO C[C@H]([NH2+]CCc1cccc2ccccc21)C(=O)OC(C)(C)C ZINC000738418305 1129393778 /nfs/dbraw/zinc/39/37/78/1129393778.db2.gz WISHOQNYODUEFG-AWEZNQCLSA-N 1 2 299.414 3.702 20 0 CHADLO CCOC(=O)CCCC[N@H+](CC)c1ccc(C)cc1 ZINC000739911725 1129409092 /nfs/dbraw/zinc/40/90/92/1129409092.db2.gz AZVAGXCCGUCHEY-UHFFFAOYSA-N 1 2 263.381 3.555 20 0 CHADLO CCOC(=O)CCCC[N@@H+](CC)c1ccc(C)cc1 ZINC000739911725 1129409094 /nfs/dbraw/zinc/40/90/94/1129409094.db2.gz AZVAGXCCGUCHEY-UHFFFAOYSA-N 1 2 263.381 3.555 20 0 CHADLO COc1ccc2c(c1)[C@@H](Nc1ccc([NH+](C)C)cc1)CC2 ZINC000092835801 1129409638 /nfs/dbraw/zinc/40/96/38/1129409638.db2.gz AAULHWVUISFKIV-SFHVURJKSA-N 1 2 282.387 3.861 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](c3cccc(F)c3)C2)c(C)o1 ZINC000092975751 1129414326 /nfs/dbraw/zinc/41/43/26/1129414326.db2.gz NJFZLCRVFMULHU-QGZVFWFLSA-N 1 2 289.350 3.609 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](c3cccc(F)c3)C2)c(C)o1 ZINC000092975751 1129414329 /nfs/dbraw/zinc/41/43/29/1129414329.db2.gz NJFZLCRVFMULHU-QGZVFWFLSA-N 1 2 289.350 3.609 20 0 CHADLO COc1ccc(-c2ccc(NC(=[NH2+])C(C)(C)C)nn2)cc1 ZINC001155180004 1129443966 /nfs/dbraw/zinc/44/39/66/1129443966.db2.gz HHBSGULDWYAEQI-UHFFFAOYSA-N 1 2 284.363 3.587 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@H+](Cn2ncsc2=S)C1 ZINC000795741407 1129446736 /nfs/dbraw/zinc/44/67/36/1129446736.db2.gz FQVIOIDMPZFKCM-WCQYABFASA-N 1 2 291.445 3.715 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@@H+](Cn2ncsc2=S)C1 ZINC000795741407 1129446738 /nfs/dbraw/zinc/44/67/38/1129446738.db2.gz FQVIOIDMPZFKCM-WCQYABFASA-N 1 2 291.445 3.715 20 0 CHADLO CCCCCC[C@H](C)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000742066278 1129467059 /nfs/dbraw/zinc/46/70/59/1129467059.db2.gz SEOYBBPOOLPJRK-JKSUJKDBSA-N 1 2 291.439 3.653 20 0 CHADLO Fc1cccc2c1C[C@@H]([N@@H+]1CCCCC(F)(F)C1)CC2 ZINC001170985841 1131377180 /nfs/dbraw/zinc/37/71/80/1131377180.db2.gz OTRKWAQZYFYYJA-ZDUSSCGKSA-N 1 2 283.337 3.804 20 0 CHADLO Fc1cccc2c1C[C@@H]([N@H+]1CCCCC(F)(F)C1)CC2 ZINC001170985841 1131377186 /nfs/dbraw/zinc/37/71/86/1131377186.db2.gz OTRKWAQZYFYYJA-ZDUSSCGKSA-N 1 2 283.337 3.804 20 0 CHADLO Oc1cccc(C[NH2+]C2(c3ccc(F)cc3)CC2)c1Cl ZINC000645154274 1129489483 /nfs/dbraw/zinc/48/94/83/1129489483.db2.gz NSLPCDPWBROVMM-UHFFFAOYSA-N 1 2 291.753 3.964 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(CCc3ccccc3)n2)[C@H]1C ZINC000645216116 1129491566 /nfs/dbraw/zinc/49/15/66/1129491566.db2.gz JOXSJMWSAKEXDY-KGLIPLIRSA-N 1 2 286.444 3.769 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(CCc3ccccc3)n2)[C@H]1C ZINC000645216116 1129491567 /nfs/dbraw/zinc/49/15/67/1129491567.db2.gz JOXSJMWSAKEXDY-KGLIPLIRSA-N 1 2 286.444 3.769 20 0 CHADLO COC(C)(C)[C@H](C)[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC000645462291 1129498740 /nfs/dbraw/zinc/49/87/40/1129498740.db2.gz ONUWUHVJHJCSFP-JTQLQIEISA-N 1 2 291.769 3.835 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2csnn2)CC(C)C)cc1 ZINC000645972535 1129515656 /nfs/dbraw/zinc/51/56/56/1129515656.db2.gz HGOFLEBXNOHXAY-UHFFFAOYSA-N 1 2 289.448 3.759 20 0 CHADLO CCc1ccc(C[N@H+](Cc2csnn2)CC(C)C)cc1 ZINC000645972535 1129515659 /nfs/dbraw/zinc/51/56/59/1129515659.db2.gz HGOFLEBXNOHXAY-UHFFFAOYSA-N 1 2 289.448 3.759 20 0 CHADLO CC(C)[N@H+](Cc1ccccn1)Cc1cccc(O)c1Cl ZINC000646064034 1129519931 /nfs/dbraw/zinc/51/99/31/1129519931.db2.gz GQQHSODIWCHEKL-UHFFFAOYSA-N 1 2 290.794 3.851 20 0 CHADLO CC(C)[N@@H+](Cc1ccccn1)Cc1cccc(O)c1Cl ZINC000646064034 1129519933 /nfs/dbraw/zinc/51/99/33/1129519933.db2.gz GQQHSODIWCHEKL-UHFFFAOYSA-N 1 2 290.794 3.851 20 0 CHADLO CCCC[N@@H+](Cc1ccccc1F)Cn1cc[nH]c1=S ZINC000743356824 1129521024 /nfs/dbraw/zinc/52/10/24/1129521024.db2.gz PINXRBKOLRPIKD-UHFFFAOYSA-N 1 2 293.411 3.571 20 0 CHADLO CCCC[N@H+](Cc1ccccc1F)Cn1cc[nH]c1=S ZINC000743356824 1129521026 /nfs/dbraw/zinc/52/10/26/1129521026.db2.gz PINXRBKOLRPIKD-UHFFFAOYSA-N 1 2 293.411 3.571 20 0 CHADLO S=c1[nH]ccn1C[N@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000743348025 1129521572 /nfs/dbraw/zinc/52/15/72/1129521572.db2.gz HOJLEZOSXHYHFO-CYBMUJFWSA-N 1 2 293.823 3.620 20 0 CHADLO S=c1[nH]ccn1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000743348025 1129521574 /nfs/dbraw/zinc/52/15/74/1129521574.db2.gz HOJLEZOSXHYHFO-CYBMUJFWSA-N 1 2 293.823 3.620 20 0 CHADLO Cc1ccc(C2([NH2+]Cc3nc(C(C)(C)C)no3)CCC2)cc1 ZINC000646132433 1129525563 /nfs/dbraw/zinc/52/55/63/1129525563.db2.gz YLMVJEORDJTMDS-UHFFFAOYSA-N 1 2 299.418 3.845 20 0 CHADLO c1csc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccccc2)n1 ZINC000646227898 1129529615 /nfs/dbraw/zinc/52/96/15/1129529615.db2.gz LHQJXAHAOBCPJI-OAHLLOKOSA-N 1 2 270.401 3.870 20 0 CHADLO c1csc(C[N@H+]2CC3(CCC3)[C@H]2c2ccccc2)n1 ZINC000646227898 1129529620 /nfs/dbraw/zinc/52/96/20/1129529620.db2.gz LHQJXAHAOBCPJI-OAHLLOKOSA-N 1 2 270.401 3.870 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)CCC(C)(C)C)c(C)[nH+]1 ZINC000646408387 1129535424 /nfs/dbraw/zinc/53/54/24/1129535424.db2.gz MLPDDURPHDBCQZ-UHFFFAOYSA-N 1 2 277.412 3.907 20 0 CHADLO CCc1cnc(C[N@H+]2CC=C(c3ccc(C)cc3)CC2)o1 ZINC000743835929 1129538490 /nfs/dbraw/zinc/53/84/90/1129538490.db2.gz LCVYYXRELKQELB-UHFFFAOYSA-N 1 2 282.387 3.835 20 0 CHADLO CCc1cnc(C[N@@H+]2CC=C(c3ccc(C)cc3)CC2)o1 ZINC000743835929 1129538492 /nfs/dbraw/zinc/53/84/92/1129538492.db2.gz LCVYYXRELKQELB-UHFFFAOYSA-N 1 2 282.387 3.835 20 0 CHADLO CCCCOC(=O)C[N@@H+]1C[C@@H](C)C[C@H]1c1cccc(F)c1 ZINC000743854668 1129540255 /nfs/dbraw/zinc/54/02/55/1129540255.db2.gz HMENPYLYRUFHAH-BBRMVZONSA-N 1 2 293.382 3.552 20 0 CHADLO CCCCOC(=O)C[N@H+]1C[C@@H](C)C[C@H]1c1cccc(F)c1 ZINC000743854668 1129540256 /nfs/dbraw/zinc/54/02/56/1129540256.db2.gz HMENPYLYRUFHAH-BBRMVZONSA-N 1 2 293.382 3.552 20 0 CHADLO OCC[C@H]([NH2+]Cc1ccsc1Cl)c1ccccc1F ZINC000647249951 1129560773 /nfs/dbraw/zinc/56/07/73/1129560773.db2.gz WVQOUNGSGFQSKT-ZDUSSCGKSA-N 1 2 299.798 3.754 20 0 CHADLO Fc1ccccc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001239553794 1131382422 /nfs/dbraw/zinc/38/24/22/1131382422.db2.gz CFISDAWGTFYTSS-UHFFFAOYSA-N 1 2 256.255 3.818 20 0 CHADLO FC1CCC(C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000647350458 1129574172 /nfs/dbraw/zinc/57/41/72/1129574172.db2.gz YHYIKQNCUQFBMC-ZALBZXLWSA-N 1 2 294.418 3.544 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C/c2cccs2)c(C)[nH+]1 ZINC000097039975 1129583034 /nfs/dbraw/zinc/58/30/34/1129583034.db2.gz UKJYSBFWGVOLSO-VOTSOKGWSA-N 1 2 272.373 3.720 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2csc3ccccc23)co1 ZINC000647958381 1129589036 /nfs/dbraw/zinc/58/90/36/1129589036.db2.gz SDJXOIJDDLFPNM-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2csc3ccccc23)co1 ZINC000647958381 1129589038 /nfs/dbraw/zinc/58/90/38/1129589038.db2.gz SDJXOIJDDLFPNM-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2coc(C)n2)o1 ZINC000647965644 1129590058 /nfs/dbraw/zinc/59/00/58/1129590058.db2.gz DPPREMBQJLYBLK-XHDPSFHLSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2coc(C)n2)o1 ZINC000647965644 1129590060 /nfs/dbraw/zinc/59/00/60/1129590060.db2.gz DPPREMBQJLYBLK-XHDPSFHLSA-N 1 2 274.364 3.858 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2sccc2Cl)C1 ZINC000648058897 1129594373 /nfs/dbraw/zinc/59/43/73/1129594373.db2.gz JWFVJQUASGFMTH-UHFFFAOYSA-N 1 2 265.756 3.879 20 0 CHADLO CC[C@H](OC(=O)[C@@H]1CCCC[N@@H+]1C)c1ccc(Cl)cc1 ZINC000745080795 1129594632 /nfs/dbraw/zinc/59/46/32/1129594632.db2.gz UOTWZGZAFGVFCN-GJZGRUSLSA-N 1 2 295.810 3.819 20 0 CHADLO CC[C@H](OC(=O)[C@@H]1CCCC[N@H+]1C)c1ccc(Cl)cc1 ZINC000745080795 1129594636 /nfs/dbraw/zinc/59/46/36/1129594636.db2.gz UOTWZGZAFGVFCN-GJZGRUSLSA-N 1 2 295.810 3.819 20 0 CHADLO CC(C)C[N@@H+](Cc1ccccc1F)Cn1cc(Cl)cn1 ZINC000746061447 1129623222 /nfs/dbraw/zinc/62/32/22/1129623222.db2.gz AHVZADLOEBQUMF-UHFFFAOYSA-N 1 2 295.789 3.791 20 0 CHADLO CC(C)C[N@H+](Cc1ccccc1F)Cn1cc(Cl)cn1 ZINC000746061447 1129623224 /nfs/dbraw/zinc/62/32/24/1129623224.db2.gz AHVZADLOEBQUMF-UHFFFAOYSA-N 1 2 295.789 3.791 20 0 CHADLO COc1cccc(-c2cc(C)cn3cc[nH+]c23)c1Cl ZINC001241858310 1129624185 /nfs/dbraw/zinc/62/41/85/1129624185.db2.gz GBCKRMLDQAAFIZ-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000648912156 1129629790 /nfs/dbraw/zinc/62/97/90/1129629790.db2.gz SNFMPRPEFJLJTQ-CVEARBPZSA-N 1 2 291.439 3.509 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1cc(Br)cs1 ZINC000380203929 1129639231 /nfs/dbraw/zinc/63/92/31/1129639231.db2.gz VLFJDJYVDVQLAF-VIFPVBQESA-N 1 2 296.180 3.788 20 0 CHADLO CCOc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001239563571 1131388669 /nfs/dbraw/zinc/38/86/69/1131388669.db2.gz JUJHVUCVXMIVBW-UHFFFAOYSA-N 1 2 264.328 3.938 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NCC1CCCCC1 ZINC000766390957 1129661672 /nfs/dbraw/zinc/66/16/72/1129661672.db2.gz SSZQYGWPFAUPAY-UHFFFAOYSA-N 1 2 287.411 3.574 20 0 CHADLO Clc1ccc(C[N@@H+]2CC[C@H]2c2ccccc2)cn1 ZINC000746456639 1129666159 /nfs/dbraw/zinc/66/61/59/1129666159.db2.gz BUUNQGHKNYASSG-AWEZNQCLSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccc(C[N@H+]2CC[C@H]2c2ccccc2)cn1 ZINC000746456639 1129666162 /nfs/dbraw/zinc/66/61/62/1129666162.db2.gz BUUNQGHKNYASSG-AWEZNQCLSA-N 1 2 258.752 3.682 20 0 CHADLO Cc1ccccc1-c1nc(C[N@@H+]2CCC23CCCC3)no1 ZINC000649657392 1129666837 /nfs/dbraw/zinc/66/68/37/1129666837.db2.gz YWAOKSOSVSUWPK-UHFFFAOYSA-N 1 2 283.375 3.564 20 0 CHADLO Cc1ccccc1-c1nc(C[N@H+]2CCC23CCCC3)no1 ZINC000649657392 1129666839 /nfs/dbraw/zinc/66/68/39/1129666839.db2.gz YWAOKSOSVSUWPK-UHFFFAOYSA-N 1 2 283.375 3.564 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2oc3c(cccc3C)c2C)n1 ZINC000649819413 1129679695 /nfs/dbraw/zinc/67/96/95/1129679695.db2.gz WFSLHOZWLIVUKG-GFCCVEGCSA-N 1 2 299.374 3.934 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2oc3c(cccc3C)c2C)n1 ZINC000649819413 1129679696 /nfs/dbraw/zinc/67/96/96/1129679696.db2.gz WFSLHOZWLIVUKG-GFCCVEGCSA-N 1 2 299.374 3.934 20 0 CHADLO FC(F)(F)c1ccc(C2([NH2+]Cc3cscn3)CC2)cc1 ZINC000649884869 1129683691 /nfs/dbraw/zinc/68/36/91/1129683691.db2.gz CCFQSKNZTPDRLL-UHFFFAOYSA-N 1 2 298.333 3.941 20 0 CHADLO Cc1c[nH]c2cccc(NCCc3cn4ccccc4[nH+]3)c12 ZINC001169003800 1129689446 /nfs/dbraw/zinc/68/94/46/1129689446.db2.gz HERUASSMQLFYHR-UHFFFAOYSA-N 1 2 290.370 3.779 20 0 CHADLO CC(C)(C)CC(C)(C)Nc1ccn2cc(N)[nH+]c2c1 ZINC001169053112 1129693184 /nfs/dbraw/zinc/69/31/84/1129693184.db2.gz XUNHPEKLJUIBEN-UHFFFAOYSA-N 1 2 260.385 3.543 20 0 CHADLO COCc1cc[nH+]c(NCc2ccccc2OCC2CC2)c1 ZINC000650244996 1129702599 /nfs/dbraw/zinc/70/25/99/1129702599.db2.gz XYGWWZYMBSXORY-UHFFFAOYSA-N 1 2 298.386 3.629 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2ccc(-c3cnn(C)c3)s2)c1 ZINC000650242818 1129702750 /nfs/dbraw/zinc/70/27/50/1129702750.db2.gz ZQLNKWHLCJPMRM-UHFFFAOYSA-N 1 2 298.415 3.773 20 0 CHADLO CC[C@H]([NH2+]C/C=C/c1ccc(Cl)cc1)c1noc(C)n1 ZINC001169112177 1129703639 /nfs/dbraw/zinc/70/36/39/1129703639.db2.gz NBSCLFYMTUUWCY-NNTXTVRGSA-N 1 2 291.782 3.786 20 0 CHADLO Cc1cn2cccc(Nc3ccc(Cl)c(O)c3)c2[nH+]1 ZINC001212588391 1129717112 /nfs/dbraw/zinc/71/71/12/1129717112.db2.gz OEUIDNVMPJSTTD-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO C[C@H]1C[C@@H](Nc2cc(N3CCCC3)nc[nH+]2)c2ccccc21 ZINC001169368329 1129721071 /nfs/dbraw/zinc/72/10/71/1129721071.db2.gz WXTRINPRFXVWAA-XJKSGUPXSA-N 1 2 294.402 3.737 20 0 CHADLO C[C@H]1C[C@@H](Nc2cc(N3CCCC3)[nH+]cn2)c2ccccc21 ZINC001169368329 1129721074 /nfs/dbraw/zinc/72/10/74/1129721074.db2.gz WXTRINPRFXVWAA-XJKSGUPXSA-N 1 2 294.402 3.737 20 0 CHADLO C[C@H]1C[C@@H](Nc2ccn3cc[nH+]c3c2)c2ccccc21 ZINC001169373434 1129721773 /nfs/dbraw/zinc/72/17/73/1129721773.db2.gz HBQYUOOMQGSRAZ-BLLLJJGKSA-N 1 2 263.344 3.995 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccn3cc[nH+]c3c2)c2ccccc21 ZINC001169373440 1129721905 /nfs/dbraw/zinc/72/19/05/1129721905.db2.gz HBQYUOOMQGSRAZ-WBMJQRKESA-N 1 2 263.344 3.995 20 0 CHADLO COc1cc[nH+]cc1N[C@@H]1C[C@H](C)c2ccccc21 ZINC001169379239 1129722942 /nfs/dbraw/zinc/72/29/42/1129722942.db2.gz WNZMYBMJXXQUDL-SMDDNHRTSA-N 1 2 254.333 3.751 20 0 CHADLO CCOC(=O)CCC[N@H+](CC(C)(C)C)c1ccccc1 ZINC001169484081 1129728500 /nfs/dbraw/zinc/72/85/00/1129728500.db2.gz YPOQZCYFGRTLNT-UHFFFAOYSA-N 1 2 277.408 3.882 20 0 CHADLO CCOC(=O)CCC[N@@H+](CC(C)(C)C)c1ccccc1 ZINC001169484081 1129728503 /nfs/dbraw/zinc/72/85/03/1129728503.db2.gz YPOQZCYFGRTLNT-UHFFFAOYSA-N 1 2 277.408 3.882 20 0 CHADLO Cc1ccc2cc(N[C@@H](C)c3cnccn3)[nH+]cc2c1 ZINC001169512935 1129731322 /nfs/dbraw/zinc/73/13/22/1129731322.db2.gz COISVHOQDKRAEN-LBPRGKRZSA-N 1 2 264.332 3.506 20 0 CHADLO CCc1ccc([N@H+](CC[C@H]2CCOC2=O)CC(C)C)cc1 ZINC000796477804 1129740261 /nfs/dbraw/zinc/74/02/61/1129740261.db2.gz MOZYDMPSDPSAGO-INIZCTEOSA-N 1 2 289.419 3.665 20 0 CHADLO CCc1ccc([N@@H+](CC[C@H]2CCOC2=O)CC(C)C)cc1 ZINC000796477804 1129740263 /nfs/dbraw/zinc/74/02/63/1129740263.db2.gz MOZYDMPSDPSAGO-INIZCTEOSA-N 1 2 289.419 3.665 20 0 CHADLO c1[nH+]c2cc(N[C@H]3CCCOC3)ccc2n1-c1ccccc1 ZINC001169666105 1129744696 /nfs/dbraw/zinc/74/46/96/1129744696.db2.gz QBADCSILLANAEL-HNNXBMFYSA-N 1 2 293.370 3.616 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1cc3ccccc3o1)CCO2 ZINC001169715876 1129750440 /nfs/dbraw/zinc/75/04/40/1129750440.db2.gz PYFCUEBAGMAUBM-UHFFFAOYSA-N 1 2 297.329 3.967 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1cc3ccccc3o1)CCO2 ZINC001169715876 1129750442 /nfs/dbraw/zinc/75/04/42/1129750442.db2.gz PYFCUEBAGMAUBM-UHFFFAOYSA-N 1 2 297.329 3.967 20 0 CHADLO CC1(C)C[C@@H]([NH2+]Cc2nccs2)c2cc(F)ccc2O1 ZINC000651949599 1129751935 /nfs/dbraw/zinc/75/19/35/1129751935.db2.gz PHCARFPMVRWIJT-GFCCVEGCSA-N 1 2 292.379 3.674 20 0 CHADLO Cc1c[nH]nc1C[N@H+](C)Cc1oc2c(cccc2C)c1C ZINC000651973778 1129753710 /nfs/dbraw/zinc/75/37/10/1129753710.db2.gz BYXUMTDNHXHCNY-UHFFFAOYSA-N 1 2 283.375 3.713 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](C)Cc1oc2c(cccc2C)c1C ZINC000651973778 1129753714 /nfs/dbraw/zinc/75/37/14/1129753714.db2.gz BYXUMTDNHXHCNY-UHFFFAOYSA-N 1 2 283.375 3.713 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)Cc1oc2c(cccc2C)c1C ZINC000651973778 1129753718 /nfs/dbraw/zinc/75/37/18/1129753718.db2.gz BYXUMTDNHXHCNY-UHFFFAOYSA-N 1 2 283.375 3.713 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)Cc1oc2c(cccc2C)c1C ZINC000651973778 1129753720 /nfs/dbraw/zinc/75/37/20/1129753720.db2.gz BYXUMTDNHXHCNY-UHFFFAOYSA-N 1 2 283.375 3.713 20 0 CHADLO COc1c(C)c[nH+]c(CNC(=O)CCCC(C)(C)C)c1C ZINC000103388802 1129754823 /nfs/dbraw/zinc/75/48/23/1129754823.db2.gz DTFPSZIXPBBHSO-UHFFFAOYSA-N 1 2 292.423 3.540 20 0 CHADLO c1ccc([C@@H]2C[C@H]2Nc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC001169811703 1129757831 /nfs/dbraw/zinc/75/78/31/1129757831.db2.gz BLIQNJHOPYPWSA-DLBZAZTESA-N 1 2 279.387 3.650 20 0 CHADLO C[C@H]([NH2+]Cc1nc(Cl)ccc1Cl)c1cccnc1 ZINC000766843827 1129759048 /nfs/dbraw/zinc/75/90/48/1129759048.db2.gz KDVSXAIGIWOZOH-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO CC(C)c1cc(NCCc2cncs2)nc(C(C)C)[nH+]1 ZINC001169862425 1129762623 /nfs/dbraw/zinc/76/26/23/1129762623.db2.gz AAXRYIFXAHUDBT-UHFFFAOYSA-N 1 2 290.436 3.835 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2ccns2)c2ccccn2)cc1 ZINC001169952245 1129767478 /nfs/dbraw/zinc/76/74/78/1129767478.db2.gz BTVRQOGQFJHZQB-QGZVFWFLSA-N 1 2 295.411 3.726 20 0 CHADLO CC1=C(C)C[N@@H+](Cc2nc(Cl)ccc2Cl)CC1 ZINC000796591114 1129796467 /nfs/dbraw/zinc/79/64/67/1129796467.db2.gz ZRUJJMWBYGHHJW-UHFFFAOYSA-N 1 2 271.191 3.931 20 0 CHADLO Cc1oc2ncnc(NCCc3[nH+]cc(C)cc3C)c2c1C ZINC000653474537 1129780160 /nfs/dbraw/zinc/78/01/60/1129780160.db2.gz SKGVMUQPQROERJ-UHFFFAOYSA-N 1 2 296.374 3.506 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)c2ccco2)ccc1-n1cc[nH+]c1 ZINC001136624687 1131397495 /nfs/dbraw/zinc/39/74/95/1131397495.db2.gz FVBNNXHLQZAFKN-CYBMUJFWSA-N 1 2 295.342 3.516 20 0 CHADLO Cc1cc(C[NH2+]Cc2coc(-c3cccs3)n2)c(C)o1 ZINC000767349811 1129787117 /nfs/dbraw/zinc/78/71/17/1129787117.db2.gz ZFCXTZJJPNNGDR-UHFFFAOYSA-N 1 2 288.372 3.903 20 0 CHADLO Cc1cc(CNc2ccc(N3CCCCC3)c[nH+]2)c(C)o1 ZINC000767350391 1129787249 /nfs/dbraw/zinc/78/72/49/1129787249.db2.gz KTTPAPZVAQLUJG-UHFFFAOYSA-N 1 2 285.391 3.894 20 0 CHADLO COc1ccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)cc1F ZINC001239581722 1131398090 /nfs/dbraw/zinc/39/80/90/1131398090.db2.gz UUFQTVNOBYJYGS-UHFFFAOYSA-N 1 2 295.304 3.841 20 0 CHADLO CC1=C(C)C[N@H+](Cc2nc(Cl)ccc2Cl)CC1 ZINC000796591114 1129796464 /nfs/dbraw/zinc/79/64/64/1129796464.db2.gz ZRUJJMWBYGHHJW-UHFFFAOYSA-N 1 2 271.191 3.931 20 0 CHADLO COc1cc(C)c(NCc2c[nH+]c3c(C)cccn23)cc1C ZINC000767737806 1129811150 /nfs/dbraw/zinc/81/11/50/1129811150.db2.gz LMTGPWZVNZWLHG-UHFFFAOYSA-N 1 2 295.386 3.880 20 0 CHADLO CN(C)c1cc(CSCc2c(F)cccc2F)cc[nH+]1 ZINC000767875201 1129818943 /nfs/dbraw/zinc/81/89/43/1129818943.db2.gz MNDISSXUOWSKLL-UHFFFAOYSA-N 1 2 294.370 3.859 20 0 CHADLO CC(C)=C[C@@H]1[C@@H](C(=O)NCc2c[nH+]c(C)cc2C)C1(C)C ZINC000654382955 1129826563 /nfs/dbraw/zinc/82/65/63/1129826563.db2.gz PYKKNXFNJLLIBV-CVEARBPZSA-N 1 2 286.419 3.553 20 0 CHADLO CC(C)([NH2+]C/C(Cl)=C/Cl)c1ccccc1F ZINC000381114248 1129834582 /nfs/dbraw/zinc/83/45/82/1129834582.db2.gz GSUIUXUYISSSBH-CLFYSBASSA-N 1 2 262.155 3.969 20 0 CHADLO Cc1cc(-c2ccc(OC(F)F)cc2)c2[nH+]ccn2c1 ZINC001242441317 1129838967 /nfs/dbraw/zinc/83/89/67/1129838967.db2.gz WTUBFWSQRFNDBH-UHFFFAOYSA-N 1 2 274.270 3.911 20 0 CHADLO CCCOC1C[NH+](Cc2cc3ccccc3s2)C1 ZINC001137685367 1131401230 /nfs/dbraw/zinc/40/12/30/1131401230.db2.gz JDFYRVVFQBQAKC-UHFFFAOYSA-N 1 2 261.390 3.512 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1cccs1 ZINC000724388998 1129852269 /nfs/dbraw/zinc/85/22/69/1129852269.db2.gz VFUGDBYSRDSSDP-JTQLQIEISA-N 1 2 264.394 3.884 20 0 CHADLO COc1ccccc1/C=C\C[N@@H+]1CCC[C@H]1c1cc(C)on1 ZINC000768431071 1129856228 /nfs/dbraw/zinc/85/62/28/1129856228.db2.gz UYBXVMYPXJQBMO-AFCDSYGPSA-N 1 2 298.386 3.842 20 0 CHADLO COc1ccccc1/C=C\C[N@H+]1CCC[C@H]1c1cc(C)on1 ZINC000768431071 1129856232 /nfs/dbraw/zinc/85/62/32/1129856232.db2.gz UYBXVMYPXJQBMO-AFCDSYGPSA-N 1 2 298.386 3.842 20 0 CHADLO Cc1cc(Cl)c2c(c1)[C@@H]([NH2+]Cc1ccon1)CCCO2 ZINC000768513147 1129859271 /nfs/dbraw/zinc/85/92/71/1129859271.db2.gz XMOSERZRLSCRNJ-AWEZNQCLSA-N 1 2 292.766 3.640 20 0 CHADLO CC(C)c1ccc2c(c1)[C@@H]([NH2+]Cc1ccon1)CCCO2 ZINC000768512224 1129859372 /nfs/dbraw/zinc/85/93/72/1129859372.db2.gz PBMDSGDUODFHNO-INIZCTEOSA-N 1 2 286.375 3.802 20 0 CHADLO CO[C@H](CNc1[nH+]c2ccccc2cc1C)C(F)(F)F ZINC000768523119 1129860365 /nfs/dbraw/zinc/86/03/65/1129860365.db2.gz XCDJXNMUEWMQDT-GFCCVEGCSA-N 1 2 284.281 3.532 20 0 CHADLO Cc1ccc([C@H](O)CNc2[nH+]c3ccccc3cc2C)o1 ZINC000768539138 1129861270 /nfs/dbraw/zinc/86/12/70/1129861270.db2.gz UBSGOTQHUQFNGC-OAHLLOKOSA-N 1 2 282.343 3.590 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(C(F)(F)F)s2)no1 ZINC000800311624 1129866077 /nfs/dbraw/zinc/86/60/77/1129866077.db2.gz QBOHITSMTIWPCI-MRVPVSSYSA-N 1 2 290.310 3.914 20 0 CHADLO FC(F)C1CC([NH2+]c2ccc(N3CCSCC3)cc2)C1 ZINC000768671932 1129868706 /nfs/dbraw/zinc/86/87/06/1129868706.db2.gz REVZFMQKKVYZEF-UHFFFAOYSA-N 1 2 298.402 3.695 20 0 CHADLO CCCC[C@H](C)C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000800447820 1129874021 /nfs/dbraw/zinc/87/40/21/1129874021.db2.gz RZVFWGVJNPGPGY-LBPRGKRZSA-N 1 2 297.468 3.750 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@@H]1CCC12CCOCC2 ZINC000800484249 1129878016 /nfs/dbraw/zinc/87/80/16/1129878016.db2.gz XHENGZGRHXZWKT-QGZVFWFLSA-N 1 2 286.419 3.658 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+][C@@H]1CCC12CCOCC2 ZINC000800484249 1129878021 /nfs/dbraw/zinc/87/80/21/1129878021.db2.gz XHENGZGRHXZWKT-QGZVFWFLSA-N 1 2 286.419 3.658 20 0 CHADLO Cc1cc(Cl)cc(-c2c[nH+]c3c(c2)CCCN3)c1 ZINC001242519073 1129899628 /nfs/dbraw/zinc/89/96/28/1129899628.db2.gz AOODEBGEUMKLGQ-UHFFFAOYSA-N 1 2 258.752 3.711 20 0 CHADLO CCOc1cc(Nc2cnc3[nH]cc(C)c3c2)cc(C)[nH+]1 ZINC001206028845 1129922023 /nfs/dbraw/zinc/92/20/23/1129922023.db2.gz MUUDNZOVJHXBTE-UHFFFAOYSA-N 1 2 282.347 3.717 20 0 CHADLO FC(F)(F)C[C@@H]1CC[N@@H+](C/C(Cl)=C/Cl)C1 ZINC000769865088 1129927068 /nfs/dbraw/zinc/92/70/68/1129927068.db2.gz NIXRSRLQRQMEOJ-OJKBXMANSA-N 1 2 262.102 3.580 20 0 CHADLO FC(F)(F)C[C@@H]1CC[N@H+](C/C(Cl)=C/Cl)C1 ZINC000769865088 1129927067 /nfs/dbraw/zinc/92/70/67/1129927067.db2.gz NIXRSRLQRQMEOJ-OJKBXMANSA-N 1 2 262.102 3.580 20 0 CHADLO C[N@H+](C/C(Cl)=C\Cl)[C@H]1CCc2ccccc21 ZINC000746499144 1129933602 /nfs/dbraw/zinc/93/36/02/1129933602.db2.gz GAFNGPKYLVEKJO-YKWSONSWSA-N 1 2 256.176 3.925 20 0 CHADLO C[N@@H+](C/C(Cl)=C\Cl)[C@H]1CCc2ccccc21 ZINC000746499144 1129933603 /nfs/dbraw/zinc/93/36/03/1129933603.db2.gz GAFNGPKYLVEKJO-YKWSONSWSA-N 1 2 256.176 3.925 20 0 CHADLO CC(C)c1cccc(NC(=O)c2cccc3[nH+]ccn32)c1 ZINC000770056040 1129937486 /nfs/dbraw/zinc/93/74/86/1129937486.db2.gz CVTZKZVBTOJZNR-UHFFFAOYSA-N 1 2 279.343 3.710 20 0 CHADLO CN(C(=O)c1cccc2[nH+]ccn21)C1CCCCCCC1 ZINC000770077328 1129938030 /nfs/dbraw/zinc/93/80/30/1129938030.db2.gz JMEWBUHAMCNRBY-UHFFFAOYSA-N 1 2 285.391 3.519 20 0 CHADLO CC[N@H+](CCc1ccccc1)C/C(Cl)=C\Cl ZINC000746502208 1129944653 /nfs/dbraw/zinc/94/46/53/1129944653.db2.gz GULAHBDFVFYANJ-JLHYYAGUSA-N 1 2 258.192 3.870 20 0 CHADLO CC[N@@H+](CCc1ccccc1)C/C(Cl)=C\Cl ZINC000746502208 1129944654 /nfs/dbraw/zinc/94/46/54/1129944654.db2.gz GULAHBDFVFYANJ-JLHYYAGUSA-N 1 2 258.192 3.870 20 0 CHADLO COc1ccc([NH2+]C[C@@H]2C[C@H]2c2ccccc2)c(OC)c1 ZINC000770401719 1129946026 /nfs/dbraw/zinc/94/60/26/1129946026.db2.gz DQOHPWUNNNOJOF-HOCLYGCPSA-N 1 2 283.371 3.919 20 0 CHADLO CCc1onc(C)c1CSCc1cc(OC)cc(C)[nH+]1 ZINC000901780165 1129952215 /nfs/dbraw/zinc/95/22/15/1129952215.db2.gz ZEMUIDGMEDQGRP-UHFFFAOYSA-N 1 2 292.404 3.691 20 0 CHADLO CC(C)CC(=O)CC[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000829012961 1131410076 /nfs/dbraw/zinc/41/00/76/1131410076.db2.gz XFFKKNFDOQUMIG-UHFFFAOYSA-N 1 2 285.840 3.765 20 0 CHADLO CC(C)CC(=O)CC[N@H+]1CCc2sc(Cl)cc2C1 ZINC000829012961 1131410080 /nfs/dbraw/zinc/41/00/80/1131410080.db2.gz XFFKKNFDOQUMIG-UHFFFAOYSA-N 1 2 285.840 3.765 20 0 CHADLO CCCC(=CC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)CCC ZINC000770736232 1129957545 /nfs/dbraw/zinc/95/75/45/1129957545.db2.gz HYQWYNCUOAZYIZ-MRXNPFEDSA-N 1 2 289.423 3.573 20 0 CHADLO C[C@H]1CCCC[C@H]1CC(=O)OCc1cccc2[nH+]ccn21 ZINC000770921260 1129962974 /nfs/dbraw/zinc/96/29/74/1129962974.db2.gz OFRFSDXSMJUMJO-KBPBESRZSA-N 1 2 286.375 3.594 20 0 CHADLO O=C(/C=C\C1CCCCC1)OCc1cccc2[nH+]ccn21 ZINC000770933005 1129964351 /nfs/dbraw/zinc/96/43/51/1129964351.db2.gz ZYRCZJKTQMOXKK-KTKRTIGZSA-N 1 2 284.359 3.514 20 0 CHADLO O=C(OCCn1cc[nH+]c1)c1ccc(C2CCCCC2)cc1 ZINC000771888524 1129993623 /nfs/dbraw/zinc/99/36/23/1129993623.db2.gz QCZMXYCIXWZGSZ-UHFFFAOYSA-N 1 2 298.386 3.788 20 0 CHADLO C[C@H](COC(=O)[C@@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000780091553 1129997955 /nfs/dbraw/zinc/99/79/55/1129997955.db2.gz ZQBBJWHXYCCNRA-CRAIPNDOSA-N 1 2 297.398 3.636 20 0 CHADLO CN(C)c1cc(CSCCCC(C)(F)F)cc[nH+]1 ZINC000902370678 1130004384 /nfs/dbraw/zinc/00/43/84/1130004384.db2.gz QQSDORIBYSBPOS-UHFFFAOYSA-N 1 2 274.380 3.816 20 0 CHADLO CC(=O)c1cccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001239637036 1130027741 /nfs/dbraw/zinc/02/77/41/1130027741.db2.gz UNFRVYRNDMHUGY-UHFFFAOYSA-N 1 2 262.312 3.946 20 0 CHADLO COc1ccc2c(Cl)cc(Cl)nc2c1NC=[NH2+] ZINC001157076988 1130031981 /nfs/dbraw/zinc/03/19/81/1130031981.db2.gz BANTVKUBNLKKDQ-UHFFFAOYSA-N 1 2 270.119 3.569 20 0 CHADLO C[N@@H+]1CCc2oc(-c3ccc(-c4ccoc4)cc3)nc2C1 ZINC001239692351 1130056784 /nfs/dbraw/zinc/05/67/84/1130056784.db2.gz UNKWGDXKBCTMLY-UHFFFAOYSA-N 1 2 280.327 3.590 20 0 CHADLO C[N@H+]1CCc2oc(-c3ccc(-c4ccoc4)cc3)nc2C1 ZINC001239692351 1130056790 /nfs/dbraw/zinc/05/67/90/1130056790.db2.gz UNKWGDXKBCTMLY-UHFFFAOYSA-N 1 2 280.327 3.590 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)c1cn(C)nn1)c1ccccc1Cl ZINC000902806893 1130061231 /nfs/dbraw/zinc/06/12/31/1130061231.db2.gz QBSFWSCGGWAEBU-XHDPSFHLSA-N 1 2 292.814 3.516 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)c1ccccc1Cl)c1nncn1C ZINC000903060793 1130107075 /nfs/dbraw/zinc/10/70/75/1130107075.db2.gz GCNWGBZVQHPKHO-SMDDNHRTSA-N 1 2 292.814 3.516 20 0 CHADLO CC(C)CCC[C@H](C)[NH2+]CC(=O)OCc1ccccc1 ZINC001170060742 1130109716 /nfs/dbraw/zinc/10/97/16/1130109716.db2.gz DGPXGIOPLPJFHC-HNNXBMFYSA-N 1 2 277.408 3.534 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1cnn(CC2CCC2)c1 ZINC000903092534 1130110186 /nfs/dbraw/zinc/11/01/86/1130110186.db2.gz LGRUNLMIOXGOKV-NEPJUHHUSA-N 1 2 290.436 3.552 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H](c2ccccn2)C2CC2)n1 ZINC000903111905 1130115420 /nfs/dbraw/zinc/11/54/20/1130115420.db2.gz DLDHPJJMOSKKAU-INIZCTEOSA-N 1 2 287.432 3.902 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnn(CC2CCC2)c1)c1cscn1 ZINC000903199259 1130129554 /nfs/dbraw/zinc/12/95/54/1130129554.db2.gz JLYILQCGJRRUFD-NWDGAFQWSA-N 1 2 290.436 3.552 20 0 CHADLO Cc1ccc(C[NH+]2CC(Oc3ccc(F)c(F)c3)C2)s1 ZINC001204033263 1130134392 /nfs/dbraw/zinc/13/43/92/1130134392.db2.gz NYGXOHJEFAMZML-UHFFFAOYSA-N 1 2 295.354 3.598 20 0 CHADLO Cc1c(-c2ccnc3ccccc32)ccc2[nH+]ccn21 ZINC001239854822 1130135604 /nfs/dbraw/zinc/13/56/04/1130135604.db2.gz DCHOZVFDELFNJL-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CCc2cccc(F)c2[C@@H]1C ZINC000787175067 1130137568 /nfs/dbraw/zinc/13/75/68/1130137568.db2.gz HTTSTNABDDQWJF-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CCc2cccc(F)c2[C@@H]1C ZINC000787175067 1130137569 /nfs/dbraw/zinc/13/75/69/1130137569.db2.gz HTTSTNABDDQWJF-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2ccc(Cl)nc2C(F)(F)F)C1 ZINC000787156045 1130138165 /nfs/dbraw/zinc/13/81/65/1130138165.db2.gz VUKUDZDCQOFTHP-SECBINFHSA-N 1 2 292.732 3.986 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2ccc(Cl)nc2C(F)(F)F)C1 ZINC000787156045 1130138167 /nfs/dbraw/zinc/13/81/67/1130138167.db2.gz VUKUDZDCQOFTHP-SECBINFHSA-N 1 2 292.732 3.986 20 0 CHADLO Cc1cnc(C)c(-c2cc(F)c(C[NH+](C)C)c(F)c2)c1 ZINC001239905407 1130150621 /nfs/dbraw/zinc/15/06/21/1130150621.db2.gz HHFORYQMSCXDDV-UHFFFAOYSA-N 1 2 276.330 3.705 20 0 CHADLO C[C@H]([NH2+][C@H]1COCc2cccc(Cl)c21)c1cncs1 ZINC000903312592 1130152115 /nfs/dbraw/zinc/15/21/15/1130152115.db2.gz WYTMKBNHCDSLDH-CABZTGNLSA-N 1 2 294.807 3.719 20 0 CHADLO Cc1cccnc1[C@H]([NH2+][C@@H](C)c1ncco1)C(C)(C)C ZINC000903331580 1130157961 /nfs/dbraw/zinc/15/79/61/1130157961.db2.gz ZDQCMCPNAAXLBG-JSGCOSHPSA-N 1 2 273.380 3.816 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](c2ccccn2)C2CC2)cs1 ZINC000903335694 1130160724 /nfs/dbraw/zinc/16/07/24/1130160724.db2.gz RYARRFORLKSTLO-ZUZCIYMTSA-N 1 2 273.405 3.648 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](c2ccccn2)C2CC2)c(C)s1 ZINC000903340998 1130162443 /nfs/dbraw/zinc/16/24/43/1130162443.db2.gz UNMMZEJXFIFRNQ-QLJPJBMISA-N 1 2 287.432 3.957 20 0 CHADLO Cc1cn2cc(-c3ccc4c(ccn4C)c3)ccc2[nH+]1 ZINC001239942048 1130163166 /nfs/dbraw/zinc/16/31/66/1130163166.db2.gz PVSPTXMKOIFCCX-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO Cc1cc2c[nH+]c(-c3ccc(OC(C)C)cc3)nc2[nH]1 ZINC001239958672 1130171412 /nfs/dbraw/zinc/17/14/12/1130171412.db2.gz UAKHGHMFRLJGPU-UHFFFAOYSA-N 1 2 267.332 3.672 20 0 CHADLO CCCOc1ccc(-c2c[nH+]c(N)c(C)c2)c(F)c1F ZINC001239969825 1130178127 /nfs/dbraw/zinc/17/81/27/1130178127.db2.gz VPQQORQFTKXYFV-UHFFFAOYSA-N 1 2 278.302 3.706 20 0 CHADLO CC(C)(C)NC(=O)c1ccc(-c2cccc3[nH+]ccn32)cc1 ZINC001239992286 1130183831 /nfs/dbraw/zinc/18/38/31/1130183831.db2.gz ZLUUSBPYRAHVMI-UHFFFAOYSA-N 1 2 293.370 3.530 20 0 CHADLO Cn1ccc2c[nH+]c(-c3ccc(N4CCCCC4)cc3)nc21 ZINC001240000675 1130188123 /nfs/dbraw/zinc/18/81/23/1130188123.db2.gz QDVAWMRAQKDKQR-UHFFFAOYSA-N 1 2 292.386 3.626 20 0 CHADLO COc1ccc(-c2ccc3[nH+]ccn3c2)cc1Cl ZINC001240031532 1130200079 /nfs/dbraw/zinc/20/00/79/1130200079.db2.gz LJMBHCYLYVOCNN-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO Cc1cc(-c2cc(F)cc3[nH]ccc32)c2[nH+]ccn2c1 ZINC001240037994 1130202483 /nfs/dbraw/zinc/20/24/83/1130202483.db2.gz KZQUKNMXKUGWKH-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO Cc1cc(-c2cccc(C(=O)N3CCCC3)c2)cc(C)[nH+]1 ZINC001240057583 1130205849 /nfs/dbraw/zinc/20/58/49/1130205849.db2.gz SNCZUSXFNSRYDN-UHFFFAOYSA-N 1 2 280.371 3.601 20 0 CHADLO CCCCNC(=O)c1cccc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240085832 1130210536 /nfs/dbraw/zinc/21/05/36/1130210536.db2.gz PXQOTNKHWXFAIT-UHFFFAOYSA-N 1 2 293.370 3.531 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cn1cc(-c2ccccc2)cn1 ZINC000748290612 1130227647 /nfs/dbraw/zinc/22/76/47/1130227647.db2.gz AGCZHGKJZAXSLU-UHFFFAOYSA-N 1 2 297.324 3.782 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cn1cc(-c2ccccc2)cn1 ZINC000748290612 1130227650 /nfs/dbraw/zinc/22/76/50/1130227650.db2.gz AGCZHGKJZAXSLU-UHFFFAOYSA-N 1 2 297.324 3.782 20 0 CHADLO COc1ccc2nccc(-c3ccc4[nH+]ccn4c3)c2c1 ZINC001240218309 1130235262 /nfs/dbraw/zinc/23/52/62/1130235262.db2.gz AOFXXTKJSKXUHK-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO COc1ccc2cc(-c3ccc4[nH+]ccn4c3)cnc2c1 ZINC001240218107 1130235598 /nfs/dbraw/zinc/23/55/98/1130235598.db2.gz AVPRFVKKQXFNNX-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1ccccc1-c1ccc(-c2ccc3[nH+]ccn3c2)nn1 ZINC001240217777 1130235800 /nfs/dbraw/zinc/23/58/00/1130235800.db2.gz WFMDPRSMFFFRFB-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO Fc1cccc2ncc(-c3ccc4[nH+]ccn4c3)cc12 ZINC001240219003 1130236663 /nfs/dbraw/zinc/23/66/63/1130236663.db2.gz UNZVYRCHTVGRFN-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ccc2ccc(-c3ccc4[nH+]ccn4c3)cc2n1 ZINC001240218743 1130236835 /nfs/dbraw/zinc/23/68/35/1130236835.db2.gz XAUQVMGQDGOHNO-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cc2ncccc2cc1-c1ccc2[nH+]ccn2c1 ZINC001240218576 1130237165 /nfs/dbraw/zinc/23/71/65/1130237165.db2.gz SCCFIUQLKSBYMH-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1ccc2c(cccc2-c2ccc3[nH+]ccn3c2)n1 ZINC001240218331 1130237667 /nfs/dbraw/zinc/23/76/67/1130237667.db2.gz KUJABVSVASFAER-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC1(C)COC(c2cccc(-c3ccc4[nH+]ccn4c3)c2)=N1 ZINC001240218597 1130237792 /nfs/dbraw/zinc/23/77/92/1130237792.db2.gz FYVVXEGAPPFXLK-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO Fc1cc(-c2ccc3[nH+]ccn3c2)cc(F)c1OC(F)F ZINC001240219570 1130238572 /nfs/dbraw/zinc/23/85/72/1130238572.db2.gz OAGWIIVHGVOXFU-UHFFFAOYSA-N 1 2 296.223 3.881 20 0 CHADLO Fc1cc(-c2ccc3[nH+]ccn3c2)ccc1OC(F)F ZINC001240219677 1130238668 /nfs/dbraw/zinc/23/86/68/1130238668.db2.gz RDCRUCYNSWICEA-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO Fc1cc(-c2ccc3[nH+]ccn3c2)cc2ccoc21 ZINC001240220585 1130238819 /nfs/dbraw/zinc/23/88/19/1130238819.db2.gz IPEXJBJQMKXFEK-UHFFFAOYSA-N 1 2 252.248 3.887 20 0 CHADLO COC(=O)c1ccc(C2CC2)c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240221319 1130239744 /nfs/dbraw/zinc/23/97/44/1130239744.db2.gz QYTDRGBPMGWVPZ-UHFFFAOYSA-N 1 2 292.338 3.665 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CC[C@@H](C)SC1 ZINC000689479780 1130258976 /nfs/dbraw/zinc/25/89/76/1130258976.db2.gz ABNVREGCTGXNFR-CHWSQXEVSA-N 1 2 264.438 3.757 20 0 CHADLO CC(C)COC(=O)[C@H](C)[NH2+][C@H]1CCC[C@@H]1c1ccccc1 ZINC000789579387 1130261391 /nfs/dbraw/zinc/26/13/91/1130261391.db2.gz GFRHTXHPPJPRHT-UAGQMJEPSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccnc(C(F)(F)F)c1 ZINC001240340355 1130270534 /nfs/dbraw/zinc/27/05/34/1130270534.db2.gz VMANTICULZQUFI-UHFFFAOYSA-N 1 2 252.239 3.779 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1cc(OC)ccc1Cl ZINC001137264411 1130271478 /nfs/dbraw/zinc/27/14/78/1130271478.db2.gz PBMYZSWGWVOPJR-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1cc(OC)ccc1Cl ZINC001137264411 1130271483 /nfs/dbraw/zinc/27/14/83/1130271483.db2.gz PBMYZSWGWVOPJR-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC(C)n1cnc2cc(-c3ccc4[nH+]ccn4c3)ccc21 ZINC001240369737 1130281223 /nfs/dbraw/zinc/28/12/23/1130281223.db2.gz QAZNFBCIQNEKHM-UHFFFAOYSA-N 1 2 276.343 3.932 20 0 CHADLO CC(C)n1cnc2cc(-c3cc[nH+]c(N(C)C)c3)ccc21 ZINC001240370320 1130281552 /nfs/dbraw/zinc/28/15/52/1130281552.db2.gz ZLZMIIKZIBSPNU-UHFFFAOYSA-N 1 2 280.375 3.745 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2cccnc2)c1Cl ZINC001137286066 1130295361 /nfs/dbraw/zinc/29/53/61/1130295361.db2.gz UXFFYYGSRARSRQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2cccnc2)c1Cl ZINC001137286066 1130295367 /nfs/dbraw/zinc/29/53/67/1130295367.db2.gz UXFFYYGSRARSRQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC(C)(O)c1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001240410089 1130295928 /nfs/dbraw/zinc/29/59/28/1130295928.db2.gz JMGIJXMIWPKJKO-UHFFFAOYSA-N 1 2 296.345 3.906 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cnn(CCC(C)C)c2)cc1 ZINC001240420538 1130301581 /nfs/dbraw/zinc/30/15/81/1130301581.db2.gz UMMBYOSSTKCFQA-UHFFFAOYSA-N 1 2 285.391 3.958 20 0 CHADLO Oc1ccc(F)c(C[NH+]2CCC(c3ccco3)CC2)c1F ZINC000826877212 1130304571 /nfs/dbraw/zinc/30/45/71/1130304571.db2.gz DDBYFTOYIWEZEL-UHFFFAOYSA-N 1 2 293.313 3.643 20 0 CHADLO CN(C)c1cc(-c2ccc(C(=O)OC(C)(C)C)cc2)cc[nH+]1 ZINC001240440460 1130313741 /nfs/dbraw/zinc/31/37/41/1130313741.db2.gz BSYYSLDSHVNTEM-UHFFFAOYSA-N 1 2 298.386 3.770 20 0 CHADLO Cc1nn(C)c2ccc(-c3cc(C)cn4cc[nH+]c34)cc12 ZINC001240459233 1130319521 /nfs/dbraw/zinc/31/95/21/1130319521.db2.gz UQKCRRZIGASINK-UHFFFAOYSA-N 1 2 276.343 3.505 20 0 CHADLO Cc1nn(C)c2ccc(-c3c(C)cc[nH+]c3C)cc12 ZINC001240459640 1130319600 /nfs/dbraw/zinc/31/96/00/1130319600.db2.gz TZGCYZOXVZJXBL-UHFFFAOYSA-N 1 2 251.333 3.561 20 0 CHADLO Cc1cc2cc(-c3cccc(-c4c[nH+]cn4C)c3)cnc2[nH]1 ZINC001240488219 1130324991 /nfs/dbraw/zinc/32/49/91/1130324991.db2.gz MFQHGYCWADPDTB-UHFFFAOYSA-N 1 2 288.354 3.939 20 0 CHADLO CC(C)c1cc(N2CC3(CC(F)C3)C2)nc(C(C)C)[nH+]1 ZINC000677745378 1130328766 /nfs/dbraw/zinc/32/87/66/1130328766.db2.gz MZMRYGCUBYPYHM-UHFFFAOYSA-N 1 2 277.387 3.662 20 0 CHADLO Cc1cc2c(ccnc2-c2ccn3cc[nH+]c3c2)s1 ZINC001240495996 1130328936 /nfs/dbraw/zinc/32/89/36/1130328936.db2.gz FQOMQZGGYTUXQT-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO COc1ccc2ccc(-c3ccn4cc[nH+]c4c3)nc2c1 ZINC001240497183 1130329205 /nfs/dbraw/zinc/32/92/05/1130329205.db2.gz LUCOUSVBVNHBAC-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1ccc(C(F)(F)F)nc1-c1ccn2cc[nH+]c2c1 ZINC001240497388 1130329345 /nfs/dbraw/zinc/32/93/45/1130329345.db2.gz YLLHUYFZHJSAOV-UHFFFAOYSA-N 1 2 281.212 3.554 20 0 CHADLO c1cn2ccc(-c3cc(C4CC4)nc(C4CC4)n3)cc2[nH+]1 ZINC001240504663 1130332659 /nfs/dbraw/zinc/33/26/59/1130332659.db2.gz UPHYPUZJCPKHSS-UHFFFAOYSA-N 1 2 276.343 3.546 20 0 CHADLO Cc1nc(C(C)(C)C)nc(-c2ccn3cc[nH+]c3c2)c1C ZINC001240504446 1130333295 /nfs/dbraw/zinc/33/32/95/1130333295.db2.gz PBHNMVKGYKHFCL-UHFFFAOYSA-N 1 2 280.375 3.706 20 0 CHADLO c1cn2ccc(-c3cnc(Oc4ccccc4)nc3)cc2[nH+]1 ZINC001240508805 1130334605 /nfs/dbraw/zinc/33/46/05/1130334605.db2.gz KDVZSZKGTKGAQR-UHFFFAOYSA-N 1 2 288.310 3.584 20 0 CHADLO Fc1cc(F)c(F)c(-c2ccn3cc[nH+]c3c2)c1F ZINC001240509403 1130334947 /nfs/dbraw/zinc/33/49/47/1130334947.db2.gz YSQZZBKPTGOROX-UHFFFAOYSA-N 1 2 266.197 3.558 20 0 CHADLO Fc1ccc2ncc(-c3ccn4cc[nH+]c4c3)cc2c1 ZINC001240510564 1130335270 /nfs/dbraw/zinc/33/52/70/1130335270.db2.gz NXJRHYFQVUVUQX-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ccc2cnccc2c1-c1ccn2cc[nH+]c2c1 ZINC001240510735 1130335566 /nfs/dbraw/zinc/33/55/66/1130335566.db2.gz QHNSPAFEWOSPHV-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1cccc2ncc(-c3ccn4cc[nH+]c4c3)cc12 ZINC001240511505 1130335788 /nfs/dbraw/zinc/33/57/88/1130335788.db2.gz FSOBYJCYEOKGHX-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Fc1cccc2cc(-c3ccn4cc[nH+]c4c3)cnc12 ZINC001240511270 1130336616 /nfs/dbraw/zinc/33/66/16/1130336616.db2.gz CJIMBYKVQRRDKU-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CCOCc1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240512292 1130337050 /nfs/dbraw/zinc/33/70/50/1130337050.db2.gz SZSYVEVNTUIIDJ-UHFFFAOYSA-N 1 2 252.317 3.538 20 0 CHADLO Cc1cccc2c(-c3ccn4cc[nH+]c4c3)ccnc12 ZINC001240512514 1130337127 /nfs/dbraw/zinc/33/71/27/1130337127.db2.gz USEVBLIZHDZCMB-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO c1cn2ccc(-c3ccnc(OCC4CCC4)c3)cc2[nH+]1 ZINC001240513697 1130337840 /nfs/dbraw/zinc/33/78/40/1130337840.db2.gz ZZFLFNJNTSCACL-UHFFFAOYSA-N 1 2 279.343 3.575 20 0 CHADLO Cc1ncc2ccccc2c1-c1ccn2cc[nH+]c2c1 ZINC001240518245 1130340282 /nfs/dbraw/zinc/34/02/82/1130340282.db2.gz MKINNGIPFGTFPD-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC1(C)Cc2c(cccc2-c2ccn3cc[nH+]c3c2)NC1=O ZINC001240517661 1130341329 /nfs/dbraw/zinc/34/13/29/1130341329.db2.gz AXFFEWIAOKLMRE-UHFFFAOYSA-N 1 2 291.354 3.522 20 0 CHADLO CCOc1cc(C)ccc1-c1ccn2cc[nH+]c2c1 ZINC001240519214 1130341369 /nfs/dbraw/zinc/34/13/69/1130341369.db2.gz ZXUZVRGCRGPQHH-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO C[C@@H]([NH2+]Cc1nccc2ccccc21)c1cscn1 ZINC000678114488 1130350578 /nfs/dbraw/zinc/35/05/78/1130350578.db2.gz HTBQLAUOQPWUSU-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2ccccc2C2CC2)no1 ZINC000678200660 1130369971 /nfs/dbraw/zinc/36/99/71/1130369971.db2.gz BRLXGJPUVDFQDO-KRWDZBQOSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2ccccc2C2CC2)no1 ZINC000678200660 1130369975 /nfs/dbraw/zinc/36/99/75/1130369975.db2.gz BRLXGJPUVDFQDO-KRWDZBQOSA-N 1 2 297.402 3.983 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)cn1 ZINC000678283761 1130391390 /nfs/dbraw/zinc/39/13/90/1130391390.db2.gz REGVBPUEUKCAEO-MRXNPFEDSA-N 1 2 273.355 3.550 20 0 CHADLO CC(C)n1cc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)cn1 ZINC000678283761 1130391394 /nfs/dbraw/zinc/39/13/94/1130391394.db2.gz REGVBPUEUKCAEO-MRXNPFEDSA-N 1 2 273.355 3.550 20 0 CHADLO Cc1cc(O)ccc1-c1cc[nH+]c(N2CCCCC2)c1 ZINC001240643266 1130395449 /nfs/dbraw/zinc/39/54/49/1130395449.db2.gz BCOHCSUOZMOMJM-UHFFFAOYSA-N 1 2 268.360 3.753 20 0 CHADLO COc1cc(-c2ccc3[nH+]ccn3c2C)cc(F)c1F ZINC001240663091 1130408806 /nfs/dbraw/zinc/40/88/06/1130408806.db2.gz CUEOZIQGOPMMTF-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO Cc1cc(N[C@@H](C)c2cnccc2C)nc(C2CC2)[nH+]1 ZINC000678408569 1130410324 /nfs/dbraw/zinc/41/03/24/1130410324.db2.gz NOKWNQGTYCVJRH-LBPRGKRZSA-N 1 2 268.364 3.539 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)c(F)cc(F)c1F)c1cncs1 ZINC000678594765 1130434287 /nfs/dbraw/zinc/43/42/87/1130434287.db2.gz HNWCMILBLFZDFW-LURJTMIESA-N 1 2 290.285 3.550 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2c1cccc2Cl)c1ccc(F)cn1 ZINC000678597894 1130436640 /nfs/dbraw/zinc/43/66/40/1130436640.db2.gz JJENIHCIOBCQSB-YMTOWFKASA-N 1 2 292.741 3.658 20 0 CHADLO C[C@H]([NH2+]Cc1ncccn1)c1ccc(SC(F)F)cc1 ZINC000792281853 1130440965 /nfs/dbraw/zinc/44/09/65/1130440965.db2.gz NOOLIYYUYGGJEI-JTQLQIEISA-N 1 2 295.358 3.642 20 0 CHADLO Cc1c(F)cccc1-c1cc[nH+]c(N2CCCC2)c1 ZINC001240728690 1130442416 /nfs/dbraw/zinc/44/24/16/1130442416.db2.gz LGCLFZKASGZNJA-UHFFFAOYSA-N 1 2 256.324 3.796 20 0 CHADLO COCOc1cc(C)ccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001240747002 1130452525 /nfs/dbraw/zinc/45/25/25/1130452525.db2.gz FHDJZKZYBIIHET-UHFFFAOYSA-N 1 2 294.354 3.830 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[N@@H+]1Cc2cccnc2C1 ZINC001170264941 1130470103 /nfs/dbraw/zinc/47/01/03/1130470103.db2.gz BFXPPKNFAWEJIR-LBPRGKRZSA-N 1 2 272.779 3.682 20 0 CHADLO C[C@@H](Cc1ccccc1Cl)[N@H+]1Cc2cccnc2C1 ZINC001170264941 1130470106 /nfs/dbraw/zinc/47/01/06/1130470106.db2.gz BFXPPKNFAWEJIR-LBPRGKRZSA-N 1 2 272.779 3.682 20 0 CHADLO COc1ccc[nH+]c1NCc1coc(-c2ccc(C)cc2)n1 ZINC000678941960 1130476694 /nfs/dbraw/zinc/47/66/94/1130476694.db2.gz ZKNJJJVUXKPDOZ-UHFFFAOYSA-N 1 2 295.342 3.666 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H](C)c2cccc(F)c2F)on1 ZINC000678946402 1130477375 /nfs/dbraw/zinc/47/73/75/1130477375.db2.gz WFHHKGSDNZQQIT-SNVBAGLBSA-N 1 2 280.318 3.927 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1cocn1)c1cc2ccccc2o1 ZINC000679050049 1130487994 /nfs/dbraw/zinc/48/79/94/1130487994.db2.gz WDWJCTQUTRRVEG-INIZCTEOSA-N 1 2 270.332 3.908 20 0 CHADLO COc1ccc2c(c1)C[C@H](Nc1c[nH+]c(C)c(C)c1)CC2 ZINC001170408129 1130491796 /nfs/dbraw/zinc/49/17/96/1130491796.db2.gz JJYNLJQHXDZUPI-MRXNPFEDSA-N 1 2 282.387 3.676 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679220448 1130504076 /nfs/dbraw/zinc/50/40/76/1130504076.db2.gz XZFFAWICHZITPH-ZWNOBZJWSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679220448 1130504078 /nfs/dbraw/zinc/50/40/78/1130504078.db2.gz XZFFAWICHZITPH-ZWNOBZJWSA-N 1 2 271.763 3.529 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679228347 1130505344 /nfs/dbraw/zinc/50/53/44/1130505344.db2.gz WQOFZJKJYQAVTN-GPCCPHFNSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679228347 1130505347 /nfs/dbraw/zinc/50/53/47/1130505347.db2.gz WQOFZJKJYQAVTN-GPCCPHFNSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccccc2Br)C[C@@H]1F ZINC000679224892 1130505514 /nfs/dbraw/zinc/50/55/14/1130505514.db2.gz UXXVGJXCXQBJBR-MFKMUULPSA-N 1 2 286.188 3.629 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccccc2Br)C[C@@H]1F ZINC000679224892 1130505520 /nfs/dbraw/zinc/50/55/20/1130505520.db2.gz UXXVGJXCXQBJBR-MFKMUULPSA-N 1 2 286.188 3.629 20 0 CHADLO Nc1cn2ccc(-c3ccc4ccccc4c3)cc2[nH+]1 ZINC001240909485 1130511390 /nfs/dbraw/zinc/51/13/90/1130511390.db2.gz IDZJQOCZYZURLX-UHFFFAOYSA-N 1 2 259.312 3.737 20 0 CHADLO c1sc(C2CCCCC2)nc1C[N@H+]1CC=CCC1 ZINC000679321509 1130513223 /nfs/dbraw/zinc/51/32/23/1130513223.db2.gz FVJVFWXCBKQLJP-UHFFFAOYSA-N 1 2 262.422 3.953 20 0 CHADLO c1sc(C2CCCCC2)nc1C[N@@H+]1CC=CCC1 ZINC000679321509 1130513226 /nfs/dbraw/zinc/51/32/26/1130513226.db2.gz FVJVFWXCBKQLJP-UHFFFAOYSA-N 1 2 262.422 3.953 20 0 CHADLO CN(C)c1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1 ZINC001240914885 1130514756 /nfs/dbraw/zinc/51/47/56/1130514756.db2.gz SQKQYMOJVHTVPX-UHFFFAOYSA-N 1 2 277.371 3.664 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]Cc1cc2ccccc2s1 ZINC000679335621 1130516706 /nfs/dbraw/zinc/51/67/06/1130516706.db2.gz MVMLAGFGQWLORN-JTQLQIEISA-N 1 2 271.389 3.784 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]Cc1cc(C)cc2c(C)c(C)[nH]c21 ZINC000679339312 1130517242 /nfs/dbraw/zinc/51/72/42/1130517242.db2.gz GEIUEGIHIAHAAV-ZDUSSCGKSA-N 1 2 296.418 3.975 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H]1CCCO[C@H]1c1ccc(C)cc1 ZINC000679345480 1130518398 /nfs/dbraw/zinc/51/83/98/1130518398.db2.gz SSZXDLQYSOZOGA-VHSSKADRSA-N 1 2 299.418 3.597 20 0 CHADLO C[C@@H]([NH2+]CC1(F)CC1)c1nc(-c2ccc(Cl)cc2)no1 ZINC000793169798 1130525775 /nfs/dbraw/zinc/52/57/75/1130525775.db2.gz TTWQELFUPYRCBC-SECBINFHSA-N 1 2 295.745 3.543 20 0 CHADLO Cc1cn2c(cccc2-c2cccc(C(=O)OC(C)C)c2)[nH+]1 ZINC001240946835 1130528935 /nfs/dbraw/zinc/52/89/35/1130528935.db2.gz YRRQNIZQMRZONM-UHFFFAOYSA-N 1 2 294.354 3.875 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCO[C@H](c3ccccc3)C2)[nH]1 ZINC001137376853 1130533526 /nfs/dbraw/zinc/53/35/26/1130533526.db2.gz SKXGFEPYADHMNC-KRWDZBQOSA-N 1 2 298.430 3.886 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCO[C@H](c3ccccc3)C2)[nH]1 ZINC001137376853 1130533528 /nfs/dbraw/zinc/53/35/28/1130533528.db2.gz SKXGFEPYADHMNC-KRWDZBQOSA-N 1 2 298.430 3.886 20 0 CHADLO CCCCC[C@](C)(CC)C(=O)OCCc1c[nH+]cn1C ZINC000793240532 1130534767 /nfs/dbraw/zinc/53/47/67/1130534767.db2.gz GBQGVUDUPGCEQQ-INIZCTEOSA-N 1 2 280.412 3.502 20 0 CHADLO CCC[C@@H](CC1CCCC1)C(=O)OCCc1c[nH+]cn1C ZINC000793241380 1130535276 /nfs/dbraw/zinc/53/52/76/1130535276.db2.gz KTZANPSPAGZXOG-HNNXBMFYSA-N 1 2 292.423 3.502 20 0 CHADLO CC[C@H](CC1CCCCC1)C(=O)OCCc1c[nH+]cn1C ZINC000793240298 1130535563 /nfs/dbraw/zinc/53/55/63/1130535563.db2.gz YVIHZHRAYMQKOE-OAHLLOKOSA-N 1 2 292.423 3.502 20 0 CHADLO Cc1nnc(C[N@@H+]2CCC[C@@H]2/C=C\c2ccccc2)s1 ZINC000679544527 1130538677 /nfs/dbraw/zinc/53/86/77/1130538677.db2.gz GSEWPRFPNVVULI-FJVVXJACSA-N 1 2 285.416 3.524 20 0 CHADLO Cc1nnc(C[N@H+]2CCC[C@@H]2/C=C\c2ccccc2)s1 ZINC000679544527 1130538684 /nfs/dbraw/zinc/53/86/84/1130538684.db2.gz GSEWPRFPNVVULI-FJVVXJACSA-N 1 2 285.416 3.524 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1ccc(Cl)cn1 ZINC000679545625 1130538909 /nfs/dbraw/zinc/53/89/09/1130538909.db2.gz LHQIWVIMXPNWBA-NXEZZACHSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1ccc(Cl)cn1 ZINC000679545625 1130538913 /nfs/dbraw/zinc/53/89/13/1130538913.db2.gz LHQIWVIMXPNWBA-NXEZZACHSA-N 1 2 292.732 3.898 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)n1 ZINC000679547014 1130539309 /nfs/dbraw/zinc/53/93/09/1130539309.db2.gz YCUSNTVJAMNEDF-VXGBXAGGSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)n1 ZINC000679547014 1130539312 /nfs/dbraw/zinc/53/93/12/1130539312.db2.gz YCUSNTVJAMNEDF-VXGBXAGGSA-N 1 2 289.345 3.627 20 0 CHADLO Cc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)cc1N ZINC001240963757 1130540458 /nfs/dbraw/zinc/54/04/58/1130540458.db2.gz KPRWFWGFZGSBMG-UHFFFAOYSA-N 1 2 263.344 3.645 20 0 CHADLO Cc1c[nH]c2ncc(-c3ccc(-c4[nH]cc[nH+]4)cc3)cc12 ZINC001240964575 1130540650 /nfs/dbraw/zinc/54/06/50/1130540650.db2.gz SEANUIWLLQVGCC-UHFFFAOYSA-N 1 2 274.327 3.928 20 0 CHADLO Fc1ccc(Cl)cc1C[NH+]1CCC(F)(F)CC1 ZINC000793361108 1130545294 /nfs/dbraw/zinc/54/52/94/1130545294.db2.gz DSHGWRFSLNOWNQ-UHFFFAOYSA-N 1 2 263.690 3.710 20 0 CHADLO COC(=O)CCCCC/[NH+]=C/c1c(O)ccc2ccccc21 ZINC000005293285 1130552373 /nfs/dbraw/zinc/55/23/73/1130552373.db2.gz DCHVGUVYHHAOLK-CPNJWEJPSA-N 1 2 299.370 3.698 20 0 CHADLO CN(C)c1cccc(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001240986420 1130552827 /nfs/dbraw/zinc/55/28/27/1130552827.db2.gz MDYDSQVFZWLBBL-UHFFFAOYSA-N 1 2 277.371 3.820 20 0 CHADLO COc1cccc(F)c1-c1[nH+]c(C)cc2[nH]ccc21 ZINC001241011834 1130565373 /nfs/dbraw/zinc/56/53/73/1130565373.db2.gz OHAJRDUBQUVOSH-UHFFFAOYSA-N 1 2 256.280 3.686 20 0 CHADLO CCCCOc1ccc([C@H](C)[NH2+]Cc2ccon2)cc1 ZINC000793531611 1130571870 /nfs/dbraw/zinc/57/18/70/1130571870.db2.gz DKPXJKSMRPKNBG-ZDUSSCGKSA-N 1 2 274.364 3.704 20 0 CHADLO O=C(OCCCn1cc[nH+]c1)c1ccc(C2=CCCC2)cc1 ZINC000811259493 1130598135 /nfs/dbraw/zinc/59/81/35/1130598135.db2.gz YHDPKBRKJRPCNS-UHFFFAOYSA-N 1 2 296.370 3.698 20 0 CHADLO CC(C)n1ccn(C[N@@H+]2CCC(F)(F)[C@H](C)C2)c1=S ZINC000811778670 1130643461 /nfs/dbraw/zinc/64/34/61/1130643461.db2.gz DRFUMIMEXHTPEO-LLVKDONJSA-N 1 2 289.395 3.535 20 0 CHADLO CC(C)n1ccn(C[N@H+]2CCC(F)(F)[C@H](C)C2)c1=S ZINC000811778670 1130643466 /nfs/dbraw/zinc/64/34/66/1130643466.db2.gz DRFUMIMEXHTPEO-LLVKDONJSA-N 1 2 289.395 3.535 20 0 CHADLO Cc1cc(C(F)(F)F)nn1C[NH+]1CCC(C(C)C)CC1 ZINC000811751813 1130643601 /nfs/dbraw/zinc/64/36/01/1130643601.db2.gz NIFZKOWJCPTLIL-UHFFFAOYSA-N 1 2 289.345 3.536 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H](C)c2ccncc2)cc1 ZINC001234584776 1130658138 /nfs/dbraw/zinc/65/81/38/1130658138.db2.gz JTOHEFNKTBJQQA-LBPRGKRZSA-N 1 2 270.332 3.583 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H](C)c2ccccn2)cc1 ZINC001234588244 1130659299 /nfs/dbraw/zinc/65/92/99/1130659299.db2.gz JUHVJHTYNJURSE-GFCCVEGCSA-N 1 2 270.332 3.583 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H]2CCOc3ccccc32)cc1 ZINC001234587602 1130659422 /nfs/dbraw/zinc/65/94/22/1130659422.db2.gz CFZFDBPXAVEAJI-KRWDZBQOSA-N 1 2 297.354 3.951 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H](C)Cc2ccco2)cc1 ZINC001234587921 1130659584 /nfs/dbraw/zinc/65/95/84/1130659584.db2.gz FXTQDILHIZJFSH-LBPRGKRZSA-N 1 2 273.332 3.651 20 0 CHADLO CC(C)CCC1CC[NH+](Cc2ncc(Cl)n2C)CC1 ZINC000759768186 1130672376 /nfs/dbraw/zinc/67/23/76/1130672376.db2.gz MHXLPEWCBSCHJD-UHFFFAOYSA-N 1 2 283.847 3.722 20 0 CHADLO CC(C)[C@H](C)[N@H+](C)Cn1nc(C(F)(F)F)sc1=S ZINC000780428043 1130680528 /nfs/dbraw/zinc/68/05/28/1130680528.db2.gz AYKIKENAZHCDAI-ZETCQYMHSA-N 1 2 299.387 3.627 20 0 CHADLO CC(C)[C@H](C)[N@@H+](C)Cn1nc(C(F)(F)F)sc1=S ZINC000780428043 1130680532 /nfs/dbraw/zinc/68/05/32/1130680532.db2.gz AYKIKENAZHCDAI-ZETCQYMHSA-N 1 2 299.387 3.627 20 0 CHADLO Cc1cc(CC[NH2+][C@H](C)C(=O)OC(C)(C)C)ccc1Cl ZINC000812308868 1130682060 /nfs/dbraw/zinc/68/20/60/1130682060.db2.gz USAWFUNOGVMATB-GFCCVEGCSA-N 1 2 297.826 3.511 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1cccc(N3CCCC3)c1)C2 ZINC001235097523 1130710169 /nfs/dbraw/zinc/71/01/69/1130710169.db2.gz VRKJAROAIRKVMO-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1cccc(N3CCCC3)c1)C2 ZINC001235097523 1130710174 /nfs/dbraw/zinc/71/01/74/1130710174.db2.gz VRKJAROAIRKVMO-UHFFFAOYSA-N 1 2 296.389 3.942 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCCC[C@](C)(F)C2)c(F)c1 ZINC001235190811 1130720439 /nfs/dbraw/zinc/72/04/39/1130720439.db2.gz SBOXYCGBTSCFLJ-HNNXBMFYSA-N 1 2 271.326 3.987 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCCC[C@](C)(F)C2)c(F)c1 ZINC001235190811 1130720442 /nfs/dbraw/zinc/72/04/42/1130720442.db2.gz SBOXYCGBTSCFLJ-HNNXBMFYSA-N 1 2 271.326 3.987 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@@H+]1Cc1cc(F)c(F)c(F)c1F ZINC001235355531 1130735517 /nfs/dbraw/zinc/73/55/17/1130735517.db2.gz UGKIXSXZXMJQGW-DTWKUNHWSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@H+]1Cc1cc(F)c(F)c(F)c1F ZINC001235355531 1130735522 /nfs/dbraw/zinc/73/55/22/1130735522.db2.gz UGKIXSXZXMJQGW-DTWKUNHWSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1ncc(Cl)cn1 ZINC001235415613 1130740257 /nfs/dbraw/zinc/74/02/57/1130740257.db2.gz YFQNXGQIBDUMMS-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1ncc(Cl)cn1 ZINC001235415613 1130740262 /nfs/dbraw/zinc/74/02/62/1130740262.db2.gz YFQNXGQIBDUMMS-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO C[N@H+](C/C(Cl)=C/Cl)CC1(c2ccccc2)CC1 ZINC000781929136 1130750169 /nfs/dbraw/zinc/75/01/69/1130750169.db2.gz KWRXIZDRRFHORP-LCYFTJDESA-N 1 2 270.203 3.969 20 0 CHADLO C[N@@H+](C/C(Cl)=C/Cl)CC1(c2ccccc2)CC1 ZINC000781929136 1130750174 /nfs/dbraw/zinc/75/01/74/1130750174.db2.gz KWRXIZDRRFHORP-LCYFTJDESA-N 1 2 270.203 3.969 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC[C@H]2c2ncccn2)c1 ZINC001235541243 1130753609 /nfs/dbraw/zinc/75/36/09/1130753609.db2.gz SDWGNKAERMLKNI-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC[C@H]2c2ncccn2)c1 ZINC001235541243 1130753613 /nfs/dbraw/zinc/75/36/13/1130753613.db2.gz SDWGNKAERMLKNI-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)c1 ZINC001235535594 1130753657 /nfs/dbraw/zinc/75/36/57/1130753657.db2.gz UUNJIUNRWVWCBP-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)c1 ZINC001235535594 1130753661 /nfs/dbraw/zinc/75/36/61/1130753661.db2.gz UUNJIUNRWVWCBP-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)[C@@H]1CCC[C@@H]2CCCC[C@@H]12 ZINC001133686107 1130755384 /nfs/dbraw/zinc/75/53/84/1130755384.db2.gz RXMXYLJFYLQFSN-ARFHVFGLSA-N 1 2 286.419 3.665 20 0 CHADLO Cc1cc(NCc2ccc(F)c(C)c2)nc(C(C)C)[nH+]1 ZINC000813318419 1130758479 /nfs/dbraw/zinc/75/84/79/1130758479.db2.gz UHAKVXZVZZNPJL-UHFFFAOYSA-N 1 2 273.355 3.968 20 0 CHADLO CC[N@H+](CCOC)Cc1c(F)cc(Cl)cc1Cl ZINC001235741467 1130775405 /nfs/dbraw/zinc/77/54/05/1130775405.db2.gz BOJLKYULCWUQOP-UHFFFAOYSA-N 1 2 280.170 3.601 20 0 CHADLO CC[N@@H+](CCOC)Cc1c(F)cc(Cl)cc1Cl ZINC001235741467 1130775409 /nfs/dbraw/zinc/77/54/09/1130775409.db2.gz BOJLKYULCWUQOP-UHFFFAOYSA-N 1 2 280.170 3.601 20 0 CHADLO Cc1nc2cc(C[N@H+](C)Cc3nccs3)ccc2s1 ZINC001235863545 1130789575 /nfs/dbraw/zinc/78/95/75/1130789575.db2.gz ORLBPJRLTIZQRA-UHFFFAOYSA-N 1 2 289.429 3.693 20 0 CHADLO Cc1nc2cc(C[N@@H+](C)Cc3nccs3)ccc2s1 ZINC001235863545 1130789579 /nfs/dbraw/zinc/78/95/79/1130789579.db2.gz ORLBPJRLTIZQRA-UHFFFAOYSA-N 1 2 289.429 3.693 20 0 CHADLO C[C@H](C[NH2+][C@H](c1ncn[nH]1)C1CCCCC1)C(C)(C)C ZINC000813857991 1130789760 /nfs/dbraw/zinc/78/97/60/1130789760.db2.gz RMRTXTVMQDBBIM-OCCSQVGLSA-N 1 2 278.444 3.698 20 0 CHADLO CC(C)C[N@H+](C)Cc1c(Br)ccnc1Cl ZINC001235871752 1130790324 /nfs/dbraw/zinc/79/03/24/1130790324.db2.gz JWAMUYWJZCVLOE-UHFFFAOYSA-N 1 2 291.620 3.585 20 0 CHADLO CC(C)C[N@@H+](C)Cc1c(Br)ccnc1Cl ZINC001235871752 1130790326 /nfs/dbraw/zinc/79/03/26/1130790326.db2.gz JWAMUYWJZCVLOE-UHFFFAOYSA-N 1 2 291.620 3.585 20 0 CHADLO CC(C)(C)CCCO[NH+]=C(N)Cc1cccc(F)c1 ZINC000783149708 1130798577 /nfs/dbraw/zinc/79/85/77/1130798577.db2.gz SVVLNDUMUYJHFI-UHFFFAOYSA-N 1 2 266.360 3.693 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+][C@@H]1CC[C@H]1C ZINC000783278716 1130810435 /nfs/dbraw/zinc/81/04/35/1130810435.db2.gz CKXIAFXVNGLHLE-IUODEOHRSA-N 1 2 276.449 3.759 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1c(F)ccc(N)c1F ZINC001236042745 1130836118 /nfs/dbraw/zinc/83/61/18/1130836118.db2.gz TWFHFPULHPCWHO-UHFFFAOYSA-N 1 2 294.320 3.708 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1c(F)ccc(N)c1F ZINC001236042745 1130836124 /nfs/dbraw/zinc/83/61/24/1130836124.db2.gz TWFHFPULHPCWHO-UHFFFAOYSA-N 1 2 294.320 3.708 20 0 CHADLO CNc1cc(-c2ccc(-c3ccncc3)cc2)cc[nH+]1 ZINC001236041552 1130836242 /nfs/dbraw/zinc/83/62/42/1130836242.db2.gz KNHJKQPHQCQGOT-UHFFFAOYSA-N 1 2 261.328 3.852 20 0 CHADLO Cc1cc(-c2ccnn2Cc2ccccc2)cn2cc[nH+]c12 ZINC001236049558 1130838591 /nfs/dbraw/zinc/83/85/91/1130838591.db2.gz PHFSRUSUCSVPKR-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccnn1Cc1ccccc1 ZINC001236050222 1130839893 /nfs/dbraw/zinc/83/98/93/1130839893.db2.gz VTKSAPJMHOKPLN-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO Cc1nn(-c2ccccc2)c(Cl)c1COc1cc[nH+]cc1 ZINC000814265933 1130854113 /nfs/dbraw/zinc/85/41/13/1130854113.db2.gz TUNAPGLOIJNNDJ-UHFFFAOYSA-N 1 2 299.761 3.808 20 0 CHADLO Nc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)cc1F)C2 ZINC001236105031 1130864461 /nfs/dbraw/zinc/86/44/61/1130864461.db2.gz KLIPTQGCFVOBBI-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)cc1F)C2 ZINC001236105031 1130864465 /nfs/dbraw/zinc/86/44/65/1130864465.db2.gz KLIPTQGCFVOBBI-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2c(F)ccc(N)c2F)cc1C ZINC001236241704 1130903746 /nfs/dbraw/zinc/90/37/46/1130903746.db2.gz USIFMWJQOUPATJ-UHFFFAOYSA-N 1 2 290.357 3.796 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2c(F)ccc(N)c2F)cc1C ZINC001236241704 1130903749 /nfs/dbraw/zinc/90/37/49/1130903749.db2.gz USIFMWJQOUPATJ-UHFFFAOYSA-N 1 2 290.357 3.796 20 0 CHADLO Cc1cc(-c2ccc(N)[nH+]c2)ccc1C(F)(F)F ZINC001236313714 1130912258 /nfs/dbraw/zinc/91/22/58/1130912258.db2.gz YCCJPNVOPBGKJN-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO C[NH+](C)[C@H](C(=O)O[C@H]1CCc2ccccc21)c1ccccc1 ZINC000784588889 1130912234 /nfs/dbraw/zinc/91/22/34/1130912234.db2.gz OHWKMFUREDVGRN-ROUUACIJSA-N 1 2 295.382 3.520 20 0 CHADLO Nc1ccc(-c2ccc3[nH]c(C(F)(F)F)cc3c2)c[nH+]1 ZINC001236319155 1130913312 /nfs/dbraw/zinc/91/33/12/1130913312.db2.gz WCHNRMQQYVVZCU-UHFFFAOYSA-N 1 2 277.249 3.831 20 0 CHADLO CCCCOc1c(F)cc(-c2ccc(N)[nH+]c2)cc1F ZINC001236315134 1130913382 /nfs/dbraw/zinc/91/33/82/1130913382.db2.gz CVBSUTYPVFCXPS-UHFFFAOYSA-N 1 2 278.302 3.788 20 0 CHADLO COc1cc(OC(F)(F)F)ccc1-c1c[nH+]c(N)c(C)c1 ZINC001236383049 1130923325 /nfs/dbraw/zinc/92/33/25/1130923325.db2.gz SMWZCDZMOCKLQD-UHFFFAOYSA-N 1 2 298.264 3.546 20 0 CHADLO CC(C)Nc1ccc(-c2ccc(Cn3cc[nH+]c3)cn2)cc1 ZINC001236483883 1130953127 /nfs/dbraw/zinc/95/31/27/1130953127.db2.gz TVFLBELWALBKPN-UHFFFAOYSA-N 1 2 292.386 3.814 20 0 CHADLO COc1ccc2cc(Nc3ccc(N)[nH+]c3)ccc2c1 ZINC001159281409 1130956318 /nfs/dbraw/zinc/95/63/18/1130956318.db2.gz YAHLXZGSGVQFCN-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc2c(c1)CCC(C)(C)O2 ZINC000815146925 1130967289 /nfs/dbraw/zinc/96/72/89/1130967289.db2.gz MEVNYYCMIJSREJ-UHFFFAOYSA-N 1 2 269.335 3.535 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCC[C@@H]2c2c(F)cccc2F)o1 ZINC001236593301 1130982674 /nfs/dbraw/zinc/98/26/74/1130982674.db2.gz APHNKSYXNIRKAU-CQSZACIVSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCC[C@@H]2c2c(F)cccc2F)o1 ZINC001236593301 1130982678 /nfs/dbraw/zinc/98/26/78/1130982678.db2.gz APHNKSYXNIRKAU-CQSZACIVSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001236611805 1130983037 /nfs/dbraw/zinc/98/30/37/1130983037.db2.gz CZSFSXUNGPOKTR-OBJOEFQTSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001236611805 1130983041 /nfs/dbraw/zinc/98/30/41/1130983041.db2.gz CZSFSXUNGPOKTR-OBJOEFQTSA-N 1 2 298.199 3.547 20 0 CHADLO CC1CC[NH+](Cc2cnc(Cl)c(C(F)(F)F)c2)CC1 ZINC001236620654 1130985058 /nfs/dbraw/zinc/98/50/58/1130985058.db2.gz XRGUDLFKSCHCND-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO CC[N@@H+](Cc1ccc(F)c(O)c1)Cc1cccc(F)c1F ZINC001236709251 1130998221 /nfs/dbraw/zinc/99/82/21/1130998221.db2.gz WFQQYBULEDWWQH-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO FC(F)(F)c1ccc(C[NH+]2CCC2)cc1Br ZINC001236715549 1130999972 /nfs/dbraw/zinc/99/99/72/1130999972.db2.gz OJXYIJQFTQYPNV-UHFFFAOYSA-N 1 2 294.114 3.674 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cccc(F)c2)c(F)cc1F ZINC001236818353 1131014608 /nfs/dbraw/zinc/01/46/08/1131014608.db2.gz UCBUBKPXTJLIDC-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cccc(F)c2)c(F)cc1F ZINC001236818353 1131014614 /nfs/dbraw/zinc/01/46/14/1131014614.db2.gz UCBUBKPXTJLIDC-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1c(F)cc(F)cc1F ZINC000815649148 1131016683 /nfs/dbraw/zinc/01/66/83/1131016683.db2.gz IJSXTRJROLDJBQ-SNVBAGLBSA-N 1 2 295.304 3.963 20 0 CHADLO Cc1cccc(C[N@@H+]2CCO[C@H](C(C)C)C2)c1Cl ZINC001236858433 1131022721 /nfs/dbraw/zinc/02/27/21/1131022721.db2.gz MZKACPPAJKROSS-AWEZNQCLSA-N 1 2 267.800 3.505 20 0 CHADLO CCCCc1nc(NCOc2ccccc2)cc(C)[nH+]1 ZINC001170805120 1131029063 /nfs/dbraw/zinc/02/90/63/1131029063.db2.gz YQVMACTWSQXJGZ-UHFFFAOYSA-N 1 2 271.364 3.576 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)Cc1ccc(C2CCC2)cc1 ZINC001237108678 1131052722 /nfs/dbraw/zinc/05/27/22/1131052722.db2.gz NSGQGDZHIOLFND-UHFFFAOYSA-N 1 2 289.419 3.728 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc(C2CCC2)cc1 ZINC001237108678 1131052724 /nfs/dbraw/zinc/05/27/24/1131052724.db2.gz NSGQGDZHIOLFND-UHFFFAOYSA-N 1 2 289.419 3.728 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1ccc(C3CCC3)cc1)C2 ZINC001237109230 1131053111 /nfs/dbraw/zinc/05/31/11/1131053111.db2.gz YGXNOEYZMUYJSQ-UHFFFAOYSA-N 1 2 282.387 3.809 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1ccc(C3CCC3)cc1)C2 ZINC001237109230 1131053116 /nfs/dbraw/zinc/05/31/16/1131053116.db2.gz YGXNOEYZMUYJSQ-UHFFFAOYSA-N 1 2 282.387 3.809 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N[C@H]3CCOC[C@H]3C)c2)cc1 ZINC001170814340 1131059939 /nfs/dbraw/zinc/05/99/39/1131059939.db2.gz AXIPEPYCAOMDRM-PBHICJAKSA-N 1 2 282.387 3.894 20 0 CHADLO S=C1C=COC1C[N@@H+]1CCc2c3ccccc3oc2C1 ZINC001237183905 1131065261 /nfs/dbraw/zinc/06/52/61/1131065261.db2.gz MKQPJMMXQIDZOA-UHFFFAOYSA-N 1 2 285.368 3.873 20 0 CHADLO S=C1C=COC1C[N@H+]1CCc2c3ccccc3oc2C1 ZINC001237183905 1131065268 /nfs/dbraw/zinc/06/52/68/1131065268.db2.gz MKQPJMMXQIDZOA-UHFFFAOYSA-N 1 2 285.368 3.873 20 0 CHADLO Cc1cccc2c(C)cc(NCc3nccc(Cl)n3)[nH+]c12 ZINC001159474012 1131065315 /nfs/dbraw/zinc/06/53/15/1131065315.db2.gz QLJXXAOZPHPLLL-UHFFFAOYSA-N 1 2 298.777 3.907 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccncc2C(F)(F)F)CCC1(F)F ZINC001237284283 1131077666 /nfs/dbraw/zinc/07/76/66/1131077666.db2.gz BTESPLLTPQBIDQ-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccncc2C(F)(F)F)CCC1(F)F ZINC001237284283 1131077668 /nfs/dbraw/zinc/07/76/68/1131077668.db2.gz BTESPLLTPQBIDQ-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2ccncc2C(F)(F)F)C1 ZINC001237285646 1131078244 /nfs/dbraw/zinc/07/82/44/1131078244.db2.gz PVTGYFMONNBLPF-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2ccncc2C(F)(F)F)C1 ZINC001237285646 1131078248 /nfs/dbraw/zinc/07/82/48/1131078248.db2.gz PVTGYFMONNBLPF-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO C[N@H+](Cc1cc2ccccn2n1)Cc1cccc(Cl)c1 ZINC001237444003 1131098163 /nfs/dbraw/zinc/09/81/63/1131098163.db2.gz WBZVPCBSAPALGF-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@@H+](Cc1cc2ccccn2n1)Cc1cccc(Cl)c1 ZINC001237444003 1131098169 /nfs/dbraw/zinc/09/81/69/1131098169.db2.gz WBZVPCBSAPALGF-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@H+](Cc1cc2ccccn2n1)Cc1ccc(Cl)cc1 ZINC001237447841 1131098183 /nfs/dbraw/zinc/09/81/83/1131098183.db2.gz ZHNKQRBHBOATQZ-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@@H+](Cc1cc2ccccn2n1)Cc1ccc(Cl)cc1 ZINC001237447841 1131098188 /nfs/dbraw/zinc/09/81/88/1131098188.db2.gz ZHNKQRBHBOATQZ-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[C@@H]1CC(=O)CC[N@@H+]1Cc1cccc2c(F)cccc12 ZINC001237520254 1131109398 /nfs/dbraw/zinc/10/93/98/1131109398.db2.gz DFYODDIPAOHYGJ-GFCCVEGCSA-N 1 2 271.335 3.532 20 0 CHADLO C[C@@H]1CC(=O)CC[N@H+]1Cc1cccc2c(F)cccc12 ZINC001237520254 1131109401 /nfs/dbraw/zinc/10/94/01/1131109401.db2.gz DFYODDIPAOHYGJ-GFCCVEGCSA-N 1 2 271.335 3.532 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@@H+](Cc2cc(Cl)nc(Cl)c2O)C1 ZINC001237523384 1131110775 /nfs/dbraw/zinc/11/07/75/1131110775.db2.gz QMQNOCYNDHBCJV-DTORHVGOSA-N 1 2 289.206 3.572 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@H+](Cc2cc(Cl)nc(Cl)c2O)C1 ZINC001237523384 1131110780 /nfs/dbraw/zinc/11/07/80/1131110780.db2.gz QMQNOCYNDHBCJV-DTORHVGOSA-N 1 2 289.206 3.572 20 0 CHADLO Fc1cc(Cl)c(C[N@@H+]2C[C@@H]3C[C@@H]3C2)c(Cl)c1 ZINC001237594494 1131116931 /nfs/dbraw/zinc/11/69/31/1131116931.db2.gz UVYVZOKXWHWGMO-OCAPTIKFSA-N 1 2 260.139 3.584 20 0 CHADLO Fc1cc(Cl)c(C[N@H+]2C[C@@H]3C[C@@H]3C2)c(Cl)c1 ZINC001237594494 1131116935 /nfs/dbraw/zinc/11/69/35/1131116935.db2.gz UVYVZOKXWHWGMO-OCAPTIKFSA-N 1 2 260.139 3.584 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@@H+]1Cc1c(Cl)cccc1OC(F)F ZINC000816646663 1131119230 /nfs/dbraw/zinc/11/92/30/1131119230.db2.gz HAEMFTOTZXPZFC-DTWKUNHWSA-N 1 2 275.726 3.782 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@H+]1Cc1c(Cl)cccc1OC(F)F ZINC000816646663 1131119233 /nfs/dbraw/zinc/11/92/33/1131119233.db2.gz HAEMFTOTZXPZFC-DTWKUNHWSA-N 1 2 275.726 3.782 20 0 CHADLO C[C@@H](C(=O)N1CCCCC[C@H]1c1ccccc1)n1cc[nH+]c1 ZINC001115901286 1131122234 /nfs/dbraw/zinc/12/22/34/1131122234.db2.gz JNUOHPCRMGJZCP-RDJZCZTQSA-N 1 2 297.402 3.588 20 0 CHADLO CCc1[nH]ncc1C[N@H+](Cc1ccccn1)C1CCCCC1 ZINC001237660167 1131125326 /nfs/dbraw/zinc/12/53/26/1131125326.db2.gz VNUSCKZXSWDVEN-UHFFFAOYSA-N 1 2 298.434 3.702 20 0 CHADLO CCc1[nH]ncc1C[N@@H+](Cc1ccccn1)C1CCCCC1 ZINC001237660167 1131125328 /nfs/dbraw/zinc/12/53/28/1131125328.db2.gz VNUSCKZXSWDVEN-UHFFFAOYSA-N 1 2 298.434 3.702 20 0 CHADLO Cc1cc(C[N@H+]2[C@@H]3CC[C@H]2CC(=O)C3)c(Cl)cc1Cl ZINC001237697181 1131128983 /nfs/dbraw/zinc/12/89/83/1131128983.db2.gz OAVKYHBLUHYZNX-TXEJJXNPSA-N 1 2 298.213 3.998 20 0 CHADLO Cc1cc(C[N@@H+]2[C@@H]3CC[C@H]2CC(=O)C3)c(Cl)cc1Cl ZINC001237697181 1131128985 /nfs/dbraw/zinc/12/89/85/1131128985.db2.gz OAVKYHBLUHYZNX-TXEJJXNPSA-N 1 2 298.213 3.998 20 0 CHADLO Cc1cc(NCCc2cccc(O)c2)[nH+]c2ccccc12 ZINC001116131664 1131130093 /nfs/dbraw/zinc/13/00/93/1131130093.db2.gz FAQHXHNIBOUQRQ-UHFFFAOYSA-N 1 2 278.355 3.903 20 0 CHADLO CCc1cc(C[N@@H+]2CCC[C@H](F)C2)ccc1Cl ZINC001237738285 1131134891 /nfs/dbraw/zinc/13/48/91/1131134891.db2.gz BWSOJCFHAIHOMX-ZDUSSCGKSA-N 1 2 255.764 3.836 20 0 CHADLO CCc1cc(C[N@H+]2CCC[C@H](F)C2)ccc1Cl ZINC001237738285 1131134893 /nfs/dbraw/zinc/13/48/93/1131134893.db2.gz BWSOJCFHAIHOMX-ZDUSSCGKSA-N 1 2 255.764 3.836 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+]1CCc2ncsc2C1 ZINC001237735869 1131135154 /nfs/dbraw/zinc/13/51/54/1131135154.db2.gz RQIVQQNLUSBLAA-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+]1CCc2ncsc2C1 ZINC001237735869 1131135160 /nfs/dbraw/zinc/13/51/60/1131135160.db2.gz RQIVQQNLUSBLAA-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CCc1cc(C[N@@H+]2CCc3ncsc3C2)ccc1Cl ZINC001237739598 1131136196 /nfs/dbraw/zinc/13/61/96/1131136196.db2.gz GFDBINIPSDKHKS-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CCc1cc(C[N@H+]2CCc3ncsc3C2)ccc1Cl ZINC001237739598 1131136199 /nfs/dbraw/zinc/13/61/99/1131136199.db2.gz GFDBINIPSDKHKS-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CC[C@@H](C)C[N@@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116248422 1131136489 /nfs/dbraw/zinc/13/64/89/1131136489.db2.gz ILDFBFDWKRYGDN-GHMZBOCLSA-N 1 2 273.829 3.821 20 0 CHADLO CC[C@@H](C)C[N@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116248422 1131136494 /nfs/dbraw/zinc/13/64/94/1131136494.db2.gz ILDFBFDWKRYGDN-GHMZBOCLSA-N 1 2 273.829 3.821 20 0 CHADLO CC(C)c1ocnc1C[NH2+]C1(c2cccc(F)c2)CC1 ZINC001116479751 1131149900 /nfs/dbraw/zinc/14/99/00/1131149900.db2.gz LADFUFVOHVMPHS-UHFFFAOYSA-N 1 2 274.339 3.716 20 0 CHADLO Cc1ccc(N[C@@H](C)c2ccc([S@@](C)=O)cc2)c(C)[nH+]1 ZINC001116496131 1131151473 /nfs/dbraw/zinc/15/14/73/1131151473.db2.gz SMQNAQSIHUGEIZ-FKIZINRSSA-N 1 2 288.416 3.609 20 0 CHADLO CSC1CC[NH+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237997751 1131159166 /nfs/dbraw/zinc/15/91/66/1131159166.db2.gz OLCQTEJADPTHRZ-UHFFFAOYSA-N 1 2 289.803 3.512 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1cncc(Cl)c1Cl ZINC001238007494 1131161628 /nfs/dbraw/zinc/16/16/28/1131161628.db2.gz KQYATPYUOFKMKH-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1cncc(Cl)c1Cl ZINC001238007494 1131161632 /nfs/dbraw/zinc/16/16/32/1131161632.db2.gz KQYATPYUOFKMKH-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[N@@H+]1CCO[C@@H]2C[C@@H]21 ZINC001238053690 1131164540 /nfs/dbraw/zinc/16/45/40/1131164540.db2.gz LUPKWMYTSXREKE-RBUKOAKNSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[N@H+]1CCO[C@@H]2C[C@@H]21 ZINC001238053690 1131164543 /nfs/dbraw/zinc/16/45/43/1131164543.db2.gz LUPKWMYTSXREKE-RBUKOAKNSA-N 1 2 295.382 3.760 20 0 CHADLO CC[C@H]1CCCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238150470 1131174114 /nfs/dbraw/zinc/17/41/14/1131174114.db2.gz VMRYQAPAFLHFCU-NSHDSACASA-N 1 2 271.763 3.949 20 0 CHADLO CC[C@H]1CCCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238150470 1131174117 /nfs/dbraw/zinc/17/41/17/1131174117.db2.gz VMRYQAPAFLHFCU-NSHDSACASA-N 1 2 271.763 3.949 20 0 CHADLO c1csc([C@@H]2CCC[N@H+]2Cc2cncc(C3CC3)c2)n1 ZINC001238191680 1131177402 /nfs/dbraw/zinc/17/74/02/1131177402.db2.gz YPCOREQFTLCDPX-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO c1csc([C@@H]2CCC[N@@H+]2Cc2cncc(C3CC3)c2)n1 ZINC001238191680 1131177405 /nfs/dbraw/zinc/17/74/05/1131177405.db2.gz YPCOREQFTLCDPX-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cccc(-c3cc[nH]n3)c1)C2 ZINC001238209404 1131181404 /nfs/dbraw/zinc/18/14/04/1131181404.db2.gz ZRWRFBMUJVMVRN-UHFFFAOYSA-N 1 2 293.345 3.732 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cccc(-c3cc[nH]n3)c1)C2 ZINC001238209404 1131181406 /nfs/dbraw/zinc/18/14/06/1131181406.db2.gz ZRWRFBMUJVMVRN-UHFFFAOYSA-N 1 2 293.345 3.732 20 0 CHADLO CC[C@@H](CC1CCCCC1)c1nnc(C[NH+](C)C)o1 ZINC001117260597 1131184836 /nfs/dbraw/zinc/18/48/36/1131184836.db2.gz ODIHWVPIYWFEIG-ZDUSSCGKSA-N 1 2 265.401 3.595 20 0 CHADLO CC(C)C1CC[NH+](Cc2c(F)ccnc2Cl)CC1 ZINC001238235455 1131186445 /nfs/dbraw/zinc/18/64/45/1131186445.db2.gz SMQCGTLCKNEFJF-UHFFFAOYSA-N 1 2 270.779 3.742 20 0 CHADLO CCOc1cc(Br)cc(C[NH+]2CC(C)(C)C2)c1 ZINC001238246310 1131186471 /nfs/dbraw/zinc/18/64/71/1131186471.db2.gz GAUZYBVMZLYROT-UHFFFAOYSA-N 1 2 298.224 3.690 20 0 CHADLO CC(=O)C1CC[NH+](Cc2cc(C)c(Cl)cc2F)CC1 ZINC001238303662 1131189864 /nfs/dbraw/zinc/18/98/64/1131189864.db2.gz SRZVWMFQOPMCNP-UHFFFAOYSA-N 1 2 283.774 3.589 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cc(C)c(Cl)cn1)C2 ZINC001238420884 1131198056 /nfs/dbraw/zinc/19/80/56/1131198056.db2.gz BUWNSACUJSKWFJ-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cc(C)c(Cl)cn1)C2 ZINC001238420884 1131198060 /nfs/dbraw/zinc/19/80/60/1131198060.db2.gz BUWNSACUJSKWFJ-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2csc(C)n2)c(Cl)c1 ZINC000818331673 1131208900 /nfs/dbraw/zinc/20/89/00/1131208900.db2.gz XLZILDZDMIVAQD-VIFPVBQESA-N 1 2 296.823 3.964 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H]3C[C@H]32)ccc1Oc1ccccc1 ZINC001238523520 1131213389 /nfs/dbraw/zinc/21/33/89/1131213389.db2.gz LXORCDYYHXDKQH-MJGOQNOKSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H]3C[C@H]32)ccc1Oc1ccccc1 ZINC001238523520 1131213393 /nfs/dbraw/zinc/21/33/93/1131213393.db2.gz LXORCDYYHXDKQH-MJGOQNOKSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1cc(F)cc(Br)c1C[NH+]1CC2(CCC2)C1 ZINC001238564277 1131216154 /nfs/dbraw/zinc/21/61/54/1131216154.db2.gz WSQQQINDLWXCTM-UHFFFAOYSA-N 1 2 298.199 3.883 20 0 CHADLO COc1cc(C)cc(F)c1C[N@H+](C)Cc1ccc(F)cc1 ZINC001238584133 1131218206 /nfs/dbraw/zinc/21/82/06/1131218206.db2.gz MQSIYRIKDDNHTM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cc(C)cc(F)c1C[N@@H+](C)Cc1ccc(F)cc1 ZINC001238584133 1131218209 /nfs/dbraw/zinc/21/82/09/1131218209.db2.gz MQSIYRIKDDNHTM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@H]32)cnc1C1CC1 ZINC001238615733 1131223529 /nfs/dbraw/zinc/22/35/29/1131223529.db2.gz JFHWONMWZVZXJK-DLBZAZTESA-N 1 2 298.430 3.601 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@H]32)cnc1C1CC1 ZINC001238615733 1131223533 /nfs/dbraw/zinc/22/35/33/1131223533.db2.gz JFHWONMWZVZXJK-DLBZAZTESA-N 1 2 298.430 3.601 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cc(-c2ccccc2)cnc1F ZINC001238666958 1131227288 /nfs/dbraw/zinc/22/72/88/1131227288.db2.gz LDQNXHRZSUZTRJ-MRXNPFEDSA-N 1 2 288.341 3.822 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cc(-c2ccccc2)cnc1F ZINC001238666958 1131227292 /nfs/dbraw/zinc/22/72/92/1131227292.db2.gz LDQNXHRZSUZTRJ-MRXNPFEDSA-N 1 2 288.341 3.822 20 0 CHADLO C[C@H]1CCCC[N@@H+](Cc2nc(Br)cs2)C1 ZINC001137773556 1131415519 /nfs/dbraw/zinc/41/55/19/1131415519.db2.gz CMNXQKVREZMWRH-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO C[C@H]1CCCC[N@H+](Cc2nc(Br)cs2)C1 ZINC001137773556 1131415517 /nfs/dbraw/zinc/41/55/17/1131415517.db2.gz CMNXQKVREZMWRH-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[NH+]1C2CCC1CC2 ZINC001137882696 1131428655 /nfs/dbraw/zinc/42/86/55/1131428655.db2.gz BCYRASGXHRKXRA-UHFFFAOYSA-N 1 2 257.711 3.745 20 0 CHADLO COCC1CCC(Nc2ccc([NH+](C)C)c(C)c2)CC1 ZINC000823534069 1131430712 /nfs/dbraw/zinc/43/07/12/1131430712.db2.gz OBXQKFTYELWASQ-UHFFFAOYSA-N 1 2 276.424 3.678 20 0 CHADLO COCC1CCC([NH2+]c2ccc(N(C)C)c(C)c2)CC1 ZINC000823534069 1131430714 /nfs/dbraw/zinc/43/07/14/1131430714.db2.gz OBXQKFTYELWASQ-UHFFFAOYSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1ccc(Nc2cc(Br)ccc2N)c(C)[nH+]1 ZINC001160279004 1131436883 /nfs/dbraw/zinc/43/68/83/1131436883.db2.gz ZSSPXZGABRTMGN-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCCCC23CC3)c1F ZINC001137932215 1131438178 /nfs/dbraw/zinc/43/81/78/1131438178.db2.gz NSIJHXRNZKRXRQ-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H](C(F)F)C2)c(F)c1F ZINC001137939409 1131439377 /nfs/dbraw/zinc/43/93/77/1131439377.db2.gz WATBELHRFMBKFD-SECBINFHSA-N 1 2 279.252 3.581 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H](C(F)F)C2)c(F)c1F ZINC001137939409 1131439379 /nfs/dbraw/zinc/43/93/79/1131439379.db2.gz WATBELHRFMBKFD-SECBINFHSA-N 1 2 279.252 3.581 20 0 CHADLO Cc1cc(N[C@@H]2CCc3ccc(O)cc3C2)[nH+]c2cc[nH]c21 ZINC001171038526 1131450722 /nfs/dbraw/zinc/45/07/22/1131450722.db2.gz BGMBFULLTQGYDE-CQSZACIVSA-N 1 2 293.370 3.546 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2ccc(C)c(C)c2)[nH+]1 ZINC001202815162 1131466022 /nfs/dbraw/zinc/46/60/22/1131466022.db2.gz DFKNMYKIMXMXKV-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO CC(=O)c1ccc([C@H](C)Nc2ccc3c([nH+]2)CCOC3)cc1 ZINC001171055198 1131469722 /nfs/dbraw/zinc/46/97/22/1131469722.db2.gz ZXGOCNZKFBGIRE-LBPRGKRZSA-N 1 2 296.370 3.530 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1c(C2CCC2)cnn1C ZINC000824955783 1131490413 /nfs/dbraw/zinc/49/04/13/1131490413.db2.gz BPIPZYYQZPUMJX-UHFFFAOYSA-N 1 2 298.434 3.674 20 0 CHADLO CC[N@H+](Cc1ccccc1F)Cc1cc(F)ccc1OC ZINC001138374946 1131495704 /nfs/dbraw/zinc/49/57/04/1131495704.db2.gz KRYZESDYWMWFLA-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1ccccc1F)Cc1cc(F)ccc1OC ZINC001138374946 1131495710 /nfs/dbraw/zinc/49/57/10/1131495710.db2.gz KRYZESDYWMWFLA-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCc1nc(C[NH2+]Cc2cc3cc(C)c(C)cc3o2)co1 ZINC000825050345 1131496034 /nfs/dbraw/zinc/49/60/34/1131496034.db2.gz KZQOCAVYKHWVPT-UHFFFAOYSA-N 1 2 284.359 3.890 20 0 CHADLO Cc1cc(C[N@@H+]2CCOCC23CCCCC3)cnc1Cl ZINC000825099280 1131496238 /nfs/dbraw/zinc/49/62/38/1131496238.db2.gz XPBGVSNXEXGOBA-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Cc1cc(C[N@H+]2CCOCC23CCCCC3)cnc1Cl ZINC000825099280 1131496241 /nfs/dbraw/zinc/49/62/41/1131496241.db2.gz XPBGVSNXEXGOBA-UHFFFAOYSA-N 1 2 294.826 3.579 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2ccoc2)c(F)c1 ZINC000825215045 1131501941 /nfs/dbraw/zinc/50/19/41/1131501941.db2.gz DOZCNTNZPQCGFO-OAHLLOKOSA-N 1 2 263.287 3.895 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2ccoc2)c(F)c1 ZINC000825215045 1131501943 /nfs/dbraw/zinc/50/19/43/1131501943.db2.gz DOZCNTNZPQCGFO-OAHLLOKOSA-N 1 2 263.287 3.895 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CC[C@](C)(F)[C@@H](F)C3)cc2c1 ZINC001138419065 1131502235 /nfs/dbraw/zinc/50/22/35/1131502235.db2.gz LLWNFEJTPOIGQA-HOTGVXAUSA-N 1 2 278.346 3.748 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CC[C@](C)(F)[C@@H](F)C3)cc2c1 ZINC001138419065 1131502237 /nfs/dbraw/zinc/50/22/37/1131502237.db2.gz LLWNFEJTPOIGQA-HOTGVXAUSA-N 1 2 278.346 3.748 20 0 CHADLO COc1ccc2[nH]cc(C[N@@H+]3Cc4cccc(F)c4C3)c2c1 ZINC001138510401 1131514502 /nfs/dbraw/zinc/51/45/02/1131514502.db2.gz BFXWQDQSENXXTN-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1ccc2[nH]cc(C[N@H+]3Cc4cccc(F)c4C3)c2c1 ZINC001138510401 1131514505 /nfs/dbraw/zinc/51/45/05/1131514505.db2.gz BFXWQDQSENXXTN-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2ccc(F)c(Cl)c2F)[C@@H](C)C1 ZINC001143629001 1131519398 /nfs/dbraw/zinc/51/93/98/1131519398.db2.gz NYHWSGARLIDPRJ-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2ccc(F)c(Cl)c2F)[C@@H](C)C1 ZINC001143629001 1131519401 /nfs/dbraw/zinc/51/94/01/1131519401.db2.gz NYHWSGARLIDPRJ-ONGXEEELSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(F)(F)[C@H](F)C2)c(C)s1 ZINC001138567190 1131522575 /nfs/dbraw/zinc/52/25/75/1131522575.db2.gz TWKZBHBOFHVFJL-LLVKDONJSA-N 1 2 263.328 3.544 20 0 CHADLO Cc1cc(C[N@H+]2CCC(F)(F)[C@H](F)C2)c(C)s1 ZINC001138567190 1131522577 /nfs/dbraw/zinc/52/25/77/1131522577.db2.gz TWKZBHBOFHVFJL-LLVKDONJSA-N 1 2 263.328 3.544 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CC[C@@H](F)C2)c1 ZINC001143672900 1131523191 /nfs/dbraw/zinc/52/31/91/1131523191.db2.gz VAIWNQKUFAJDHH-LLVKDONJSA-N 1 2 261.262 3.558 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CC[C@@H](F)C2)c1 ZINC001143672900 1131523193 /nfs/dbraw/zinc/52/31/93/1131523193.db2.gz VAIWNQKUFAJDHH-LLVKDONJSA-N 1 2 261.262 3.558 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCOCC23CCC3)c1 ZINC001143680607 1131523325 /nfs/dbraw/zinc/52/33/25/1131523325.db2.gz FOEZRPDGFIIAKN-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCOCC23CCC3)c1 ZINC001143680607 1131523330 /nfs/dbraw/zinc/52/33/30/1131523330.db2.gz FOEZRPDGFIIAKN-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO COc1ccc2c(C[N@@H+]3Cc4cccc(F)c4C3)c[nH]c2c1 ZINC001138588570 1131524116 /nfs/dbraw/zinc/52/41/16/1131524116.db2.gz RGXXJPSYOWIMAG-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1ccc2c(C[N@H+]3Cc4cccc(F)c4C3)c[nH]c2c1 ZINC001138588570 1131524119 /nfs/dbraw/zinc/52/41/19/1131524119.db2.gz RGXXJPSYOWIMAG-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO Fc1ccccc1-n1cccc1C[N@@H+]1CCc2occc2C1 ZINC001138632747 1131530681 /nfs/dbraw/zinc/53/06/81/1131530681.db2.gz FWFSGIQANCJGFB-UHFFFAOYSA-N 1 2 296.345 3.768 20 0 CHADLO Fc1ccccc1-n1cccc1C[N@H+]1CCc2occc2C1 ZINC001138632747 1131530686 /nfs/dbraw/zinc/53/06/86/1131530686.db2.gz FWFSGIQANCJGFB-UHFFFAOYSA-N 1 2 296.345 3.768 20 0 CHADLO Fc1ccc(F)c(C[NH+]2CCCCC2)c1Br ZINC001143811382 1131536056 /nfs/dbraw/zinc/53/60/56/1131536056.db2.gz HXAJDDWPVPWGCK-UHFFFAOYSA-N 1 2 290.151 3.713 20 0 CHADLO C[N@H+](Cc1c(F)ccc(F)c1Br)C(C)(C)C ZINC001143818632 1131536473 /nfs/dbraw/zinc/53/64/73/1131536473.db2.gz PRPDVDBPAQCXAM-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(F)c1Br)C(C)(C)C ZINC001143818632 1131536475 /nfs/dbraw/zinc/53/64/75/1131536475.db2.gz PRPDVDBPAQCXAM-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO COc1ccc(Cl)c(C[NH+]2CC3(C2)CCCC3)c1F ZINC001143892302 1131546777 /nfs/dbraw/zinc/54/67/77/1131546777.db2.gz VPABAKCOWVDBMY-UHFFFAOYSA-N 1 2 283.774 3.864 20 0 CHADLO CCCCc1ccc(C[N@H+](C)Cc2ccon2)s1 ZINC001138754869 1131547314 /nfs/dbraw/zinc/54/73/14/1131547314.db2.gz JZISPMCNEZBJAK-UHFFFAOYSA-N 1 2 264.394 3.711 20 0 CHADLO C[N@H+](Cc1cccnc1F)Cc1ccccc1C(F)(F)F ZINC001138787125 1131549859 /nfs/dbraw/zinc/54/98/59/1131549859.db2.gz CKOQFJQPVTWNJF-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cccnc1F)Cc1ccccc1C(F)(F)F ZINC001138787125 1131549863 /nfs/dbraw/zinc/54/98/63/1131549863.db2.gz CKOQFJQPVTWNJF-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Fc1ncccc1C[N@@H+]1CCSC[C@@H]1c1ccccc1 ZINC001138787745 1131550081 /nfs/dbraw/zinc/55/00/81/1131550081.db2.gz NSXNXYPDKXIORM-OAHLLOKOSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ncccc1C[N@H+]1CCSC[C@@H]1c1ccccc1 ZINC001138787745 1131550083 /nfs/dbraw/zinc/55/00/83/1131550083.db2.gz NSXNXYPDKXIORM-OAHLLOKOSA-N 1 2 288.391 3.511 20 0 CHADLO CCN(C(=O)C[C@H](C)n1cc[nH+]c1)c1ccc(C)cc1C ZINC000844317514 1131551075 /nfs/dbraw/zinc/55/10/75/1131551075.db2.gz BHDBNVLZKOCLDE-HNNXBMFYSA-N 1 2 285.391 3.504 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1ccc2occc2c1 ZINC001138824488 1131552255 /nfs/dbraw/zinc/55/22/55/1131552255.db2.gz CBWJPROIMCUIIU-UHFFFAOYSA-N 1 2 289.375 3.596 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc2occc2c1 ZINC001138824488 1131552259 /nfs/dbraw/zinc/55/22/59/1131552259.db2.gz CBWJPROIMCUIIU-UHFFFAOYSA-N 1 2 289.375 3.596 20 0 CHADLO c1cc2cc(C[N@@H+]3CCC[C@H]3c3ncccn3)ccc2o1 ZINC001138826161 1131554047 /nfs/dbraw/zinc/55/40/47/1131554047.db2.gz QTALQFPUQNUYLF-HNNXBMFYSA-N 1 2 279.343 3.560 20 0 CHADLO c1cc2cc(C[N@H+]3CCC[C@H]3c3ncccn3)ccc2o1 ZINC001138826161 1131554051 /nfs/dbraw/zinc/55/40/51/1131554051.db2.gz QTALQFPUQNUYLF-HNNXBMFYSA-N 1 2 279.343 3.560 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1c[nH]c2c1cccc2Cl ZINC001138834179 1131554743 /nfs/dbraw/zinc/55/47/43/1131554743.db2.gz VVZKIHHQGQIIME-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1c[nH]c2c1cccc2Cl ZINC001138834179 1131554746 /nfs/dbraw/zinc/55/47/46/1131554746.db2.gz VVZKIHHQGQIIME-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO Cc1ccc2cc(C[NH+]3CCSCC3)c(Cl)nc2c1 ZINC001138858407 1131555900 /nfs/dbraw/zinc/55/59/00/1131555900.db2.gz CQLBHAJDFBACBM-UHFFFAOYSA-N 1 2 292.835 3.745 20 0 CHADLO C[N@H+](Cc1csc(C(C)(C)O)n1)[C@@H]1C=CCCCCC1 ZINC000832389490 1131556500 /nfs/dbraw/zinc/55/65/00/1131556500.db2.gz IVFMYSWXECSCHH-CQSZACIVSA-N 1 2 294.464 3.691 20 0 CHADLO C[N@@H+](Cc1csc(C(C)(C)O)n1)[C@@H]1C=CCCCCC1 ZINC000832389490 1131556502 /nfs/dbraw/zinc/55/65/02/1131556502.db2.gz IVFMYSWXECSCHH-CQSZACIVSA-N 1 2 294.464 3.691 20 0 CHADLO CC(C)c1nc(C[NH+]2CC(c3ccccc3)C2)cs1 ZINC001138887896 1131557548 /nfs/dbraw/zinc/55/75/48/1131557548.db2.gz VZQCYLHHSZCWFU-UHFFFAOYSA-N 1 2 272.417 3.866 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(F)cnc2Cl)c1 ZINC001138891238 1131558141 /nfs/dbraw/zinc/55/81/41/1131558141.db2.gz QPFDBLUJBNNFFL-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(F)cnc2Cl)c1 ZINC001138891238 1131558146 /nfs/dbraw/zinc/55/81/46/1131558146.db2.gz QPFDBLUJBNNFFL-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1c(F)ccc(OC)c1F ZINC001143970375 1131561292 /nfs/dbraw/zinc/56/12/92/1131561292.db2.gz JEXNOMXVJOXPDJ-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1c(F)ccc(OC)c1F ZINC001143970375 1131561294 /nfs/dbraw/zinc/56/12/94/1131561294.db2.gz JEXNOMXVJOXPDJ-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO FC1(F)[C@H]2C[N@H+](Cc3ccc(Cl)cc3Cl)C[C@H]21 ZINC000844456505 1131562598 /nfs/dbraw/zinc/56/25/98/1131562598.db2.gz YOQBUCFXINQZMH-AOOOYVTPSA-N 1 2 278.129 3.690 20 0 CHADLO FC1(F)[C@H]2C[N@@H+](Cc3ccc(Cl)cc3Cl)C[C@H]21 ZINC000844456505 1131562601 /nfs/dbraw/zinc/56/26/01/1131562601.db2.gz YOQBUCFXINQZMH-AOOOYVTPSA-N 1 2 278.129 3.690 20 0 CHADLO Clc1cnc2[nH]ccc2c1C[NH+]1Cc2ccccc2C1 ZINC001138946875 1131562757 /nfs/dbraw/zinc/56/27/57/1131562757.db2.gz GGSZXEGIFZJOGD-UHFFFAOYSA-N 1 2 283.762 3.684 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+]2CCC[C@]3(CC3(F)F)C2)n1 ZINC000844435226 1131563952 /nfs/dbraw/zinc/56/39/52/1131563952.db2.gz DTTWMXOQJKFOBQ-FZMZJTMJSA-N 1 2 299.365 3.594 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+]2CCC[C@]3(CC3(F)F)C2)n1 ZINC000844435226 1131563956 /nfs/dbraw/zinc/56/39/56/1131563956.db2.gz DTTWMXOQJKFOBQ-FZMZJTMJSA-N 1 2 299.365 3.594 20 0 CHADLO CC[C@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1ccc(C)cc1 ZINC000844462933 1131568102 /nfs/dbraw/zinc/56/81/02/1131568102.db2.gz RAPOHRMZBJCDGC-HOCLYGCPSA-N 1 2 286.375 3.837 20 0 CHADLO Cc1ccc(F)c([C@@H](C)OC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000844462109 1131568150 /nfs/dbraw/zinc/56/81/50/1131568150.db2.gz VYFSWBSISBMZCU-QWHCGFSZSA-N 1 2 290.338 3.586 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@@H+]1C[C@@H](C)C[C@H]1CF ZINC001139006583 1131570991 /nfs/dbraw/zinc/57/09/91/1131570991.db2.gz WPVJHNYOQRUZIZ-FZMZJTMJSA-N 1 2 285.790 3.919 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@H+]1C[C@@H](C)C[C@H]1CF ZINC001139006583 1131570994 /nfs/dbraw/zinc/57/09/94/1131570994.db2.gz WPVJHNYOQRUZIZ-FZMZJTMJSA-N 1 2 285.790 3.919 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1C[C@@H]2C[C@H]1C[C@H]2F ZINC001139051272 1131575786 /nfs/dbraw/zinc/57/57/86/1131575786.db2.gz HNHUYLPFUHNZNR-OVYXKVPISA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1C[C@@H]2C[C@H]1C[C@H]2F ZINC001139051272 1131575789 /nfs/dbraw/zinc/57/57/89/1131575789.db2.gz HNHUYLPFUHNZNR-OVYXKVPISA-N 1 2 275.701 3.551 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)cc(Cl)cc2F)CCCO1 ZINC001139051547 1131576292 /nfs/dbraw/zinc/57/62/92/1131576292.db2.gz SLNPJAYVWYHSLM-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO c1ccc(Oc2ccccc2C[N@@H+]2C[C@H]3CC[C@@H]2CO3)cc1 ZINC001139052671 1131577334 /nfs/dbraw/zinc/57/73/34/1131577334.db2.gz JXSSVTURNXXZLB-SJLPKXTDSA-N 1 2 295.382 3.842 20 0 CHADLO c1ccc(Oc2ccccc2C[N@H+]2C[C@H]3CC[C@@H]2CO3)cc1 ZINC001139052671 1131577335 /nfs/dbraw/zinc/57/73/35/1131577335.db2.gz JXSSVTURNXXZLB-SJLPKXTDSA-N 1 2 295.382 3.842 20 0 CHADLO c1c2ccccc2oc1C[NH+]1CC(Oc2ccccc2)C1 ZINC001139065223 1131577817 /nfs/dbraw/zinc/57/78/17/1131577817.db2.gz DWOOEPOLBJCJHO-UHFFFAOYSA-N 1 2 279.339 3.696 20 0 CHADLO Fc1ccc(OC2C[NH+](Cc3cc4ccccc4o3)C2)cc1 ZINC001139065060 1131577979 /nfs/dbraw/zinc/57/79/79/1131577979.db2.gz PGXCZJVHCGJPOY-UHFFFAOYSA-N 1 2 297.329 3.835 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc3ccccc3o1)C2 ZINC001139063858 1131578563 /nfs/dbraw/zinc/57/85/63/1131578563.db2.gz ARGDDVQTVPLDDQ-UHFFFAOYSA-N 1 2 279.339 3.957 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc3ccccc3o1)C2 ZINC001139063858 1131578567 /nfs/dbraw/zinc/57/85/67/1131578567.db2.gz ARGDDVQTVPLDDQ-UHFFFAOYSA-N 1 2 279.339 3.957 20 0 CHADLO CC[N@H+](Cc1cc(-c2ccccc2)ccc1OC)C1COC1 ZINC001139070847 1131579044 /nfs/dbraw/zinc/57/90/44/1131579044.db2.gz WKRNWQRDUANVNG-UHFFFAOYSA-N 1 2 297.398 3.583 20 0 CHADLO CC[N@@H+](Cc1cc(-c2ccccc2)ccc1OC)C1COC1 ZINC001139070847 1131579048 /nfs/dbraw/zinc/57/90/48/1131579048.db2.gz WKRNWQRDUANVNG-UHFFFAOYSA-N 1 2 297.398 3.583 20 0 CHADLO Cc1cc(C)c(CNC(=O)/C=C\C2CCCCCC2)c[nH+]1 ZINC000844563883 1131580024 /nfs/dbraw/zinc/58/00/24/1131580024.db2.gz LNYYHYFMSRSHKU-KTKRTIGZSA-N 1 2 286.419 3.841 20 0 CHADLO COc1cc(O)ccc1C[N@H+](C)Cc1ccccc1Cl ZINC001139140435 1131584767 /nfs/dbraw/zinc/58/47/67/1131584767.db2.gz LPSGADLNCRHXGZ-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1cc(O)ccc1C[N@@H+](C)Cc1ccccc1Cl ZINC001139140435 1131584771 /nfs/dbraw/zinc/58/47/71/1131584771.db2.gz LPSGADLNCRHXGZ-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO Fc1cc(F)c(C[NH+]2C3CCC2CC3)cc1Cl ZINC001144130514 1131590655 /nfs/dbraw/zinc/59/06/55/1131590655.db2.gz JWLPXKFQIOEXIP-UHFFFAOYSA-N 1 2 257.711 3.745 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1[nH]nc3ccc(F)cc31)C2 ZINC001144191673 1131595826 /nfs/dbraw/zinc/59/58/26/1131595826.db2.gz WFXPUAVKDDRPPG-UHFFFAOYSA-N 1 2 281.334 3.526 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1[nH]nc3ccc(F)cc31)C2 ZINC001144191673 1131595830 /nfs/dbraw/zinc/59/58/30/1131595830.db2.gz WFXPUAVKDDRPPG-UHFFFAOYSA-N 1 2 281.334 3.526 20 0 CHADLO Cc1cc(Br)cc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c1 ZINC001144214796 1131597994 /nfs/dbraw/zinc/59/79/94/1131597994.db2.gz PSVULVXOPOYJGT-UBHSHLNASA-N 1 2 298.199 3.547 20 0 CHADLO Cc1cc(Br)cc(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c1 ZINC001144214796 1131597999 /nfs/dbraw/zinc/59/79/99/1131597999.db2.gz PSVULVXOPOYJGT-UBHSHLNASA-N 1 2 298.199 3.547 20 0 CHADLO Cc1cc(Br)cc(C[N@H+]2C[C@@H](F)C[C@H]2C)c1 ZINC001144213046 1131598232 /nfs/dbraw/zinc/59/82/32/1131598232.db2.gz RNYXGNBXOPBAAA-MFKMUULPSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1cc(Br)cc(C[N@@H+]2C[C@@H](F)C[C@H]2C)c1 ZINC001144213046 1131598234 /nfs/dbraw/zinc/59/82/34/1131598234.db2.gz RNYXGNBXOPBAAA-MFKMUULPSA-N 1 2 286.188 3.690 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1cc(Cl)cnc1Cl ZINC001139351313 1131604065 /nfs/dbraw/zinc/60/40/65/1131604065.db2.gz VGMIBYAPJMUFJN-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1cc(Cl)cnc1Cl ZINC001139351313 1131604067 /nfs/dbraw/zinc/60/40/67/1131604067.db2.gz VGMIBYAPJMUFJN-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO FC(F)C1CC[NH+](Cc2cc(Cl)cnc2Cl)CC1 ZINC001139355117 1131604197 /nfs/dbraw/zinc/60/41/97/1131604197.db2.gz MCPIJBURCJXTRM-UHFFFAOYSA-N 1 2 295.160 3.866 20 0 CHADLO Oc1ccc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)s2)nc1 ZINC001144265052 1131606986 /nfs/dbraw/zinc/60/69/86/1131606986.db2.gz JJXDBTWCIFVEHW-GFCCVEGCSA-N 1 2 294.807 3.839 20 0 CHADLO Oc1ccc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)s2)nc1 ZINC001144265052 1131606989 /nfs/dbraw/zinc/60/69/89/1131606989.db2.gz JJXDBTWCIFVEHW-GFCCVEGCSA-N 1 2 294.807 3.839 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2cc(Cl)ccn2)cn1 ZINC001139565837 1131616193 /nfs/dbraw/zinc/61/61/93/1131616193.db2.gz GOQMKMSXUXWROR-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2cc(Cl)ccn2)cn1 ZINC001139565837 1131616195 /nfs/dbraw/zinc/61/61/95/1131616195.db2.gz GOQMKMSXUXWROR-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO CC[N@H+](C)Cc1c(Cl)ccnc1NC(=O)OC(C)(C)C ZINC001139579169 1131617761 /nfs/dbraw/zinc/61/77/61/1131617761.db2.gz FFTAFSZVFANYOF-UHFFFAOYSA-N 1 2 299.802 3.534 20 0 CHADLO CC[N@@H+](C)Cc1c(Cl)ccnc1NC(=O)OC(C)(C)C ZINC001139579169 1131617764 /nfs/dbraw/zinc/61/77/64/1131617764.db2.gz FFTAFSZVFANYOF-UHFFFAOYSA-N 1 2 299.802 3.534 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2cc[nH+]c3c2CCC3)c(C)c1 ZINC001202950814 1131618847 /nfs/dbraw/zinc/61/88/47/1131618847.db2.gz JBRSTIVAVACWCF-UHFFFAOYSA-N 1 2 295.386 3.561 20 0 CHADLO CCOc1ccc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)cc1Cl ZINC001139593252 1131619924 /nfs/dbraw/zinc/61/99/24/1131619924.db2.gz NMYRRTYZBNMLKJ-MFKMUULPSA-N 1 2 271.763 3.529 20 0 CHADLO CCOc1ccc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)cc1Cl ZINC001139593252 1131619926 /nfs/dbraw/zinc/61/99/26/1131619926.db2.gz NMYRRTYZBNMLKJ-MFKMUULPSA-N 1 2 271.763 3.529 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCCC(=O)[C@H](C)C2)cc1Cl ZINC001139592828 1131619937 /nfs/dbraw/zinc/61/99/37/1131619937.db2.gz IWRHGGFUCRZMBH-GFCCVEGCSA-N 1 2 295.810 3.540 20 0 CHADLO CCOc1ccc(C[N@H+]2CCCC(=O)[C@H](C)C2)cc1Cl ZINC001139592828 1131619943 /nfs/dbraw/zinc/61/99/43/1131619943.db2.gz IWRHGGFUCRZMBH-GFCCVEGCSA-N 1 2 295.810 3.540 20 0 CHADLO C[C@H](CC(=O)OCC(C)(C)C1CCCC1)n1cc[nH+]c1 ZINC000845498431 1131638138 /nfs/dbraw/zinc/63/81/38/1131638138.db2.gz DTKHAQGPAKJGSZ-CYBMUJFWSA-N 1 2 278.396 3.594 20 0 CHADLO CCOC(=O)[C@H]1CCCC[N@@H+]1Cc1ccccc1C(F)F ZINC001139799549 1131638187 /nfs/dbraw/zinc/63/81/87/1131638187.db2.gz RBDNOLKPGOBPRO-CQSZACIVSA-N 1 2 297.345 3.542 20 0 CHADLO CCOC(=O)[C@H]1CCCC[N@H+]1Cc1ccccc1C(F)F ZINC001139799549 1131638192 /nfs/dbraw/zinc/63/81/92/1131638192.db2.gz RBDNOLKPGOBPRO-CQSZACIVSA-N 1 2 297.345 3.542 20 0 CHADLO COc1ncc(C[N@@H+]2CCC[C@H]2c2ccccc2F)s1 ZINC001139817301 1131638732 /nfs/dbraw/zinc/63/87/32/1131638732.db2.gz HMMDTBQWXKWVEV-AWEZNQCLSA-N 1 2 292.379 3.628 20 0 CHADLO COc1ncc(C[N@H+]2CCC[C@H]2c2ccccc2F)s1 ZINC001139817301 1131638735 /nfs/dbraw/zinc/63/87/35/1131638735.db2.gz HMMDTBQWXKWVEV-AWEZNQCLSA-N 1 2 292.379 3.628 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CC[C@H](F)C1 ZINC001139886241 1131646296 /nfs/dbraw/zinc/64/62/96/1131646296.db2.gz DGZVIOKSBVHTJB-LBPRGKRZSA-N 1 2 261.262 3.558 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CC[C@H](F)C1 ZINC001139886241 1131646299 /nfs/dbraw/zinc/64/62/99/1131646299.db2.gz DGZVIOKSBVHTJB-LBPRGKRZSA-N 1 2 261.262 3.558 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cc(C(F)(F)F)ccc1C ZINC001139886707 1131646466 /nfs/dbraw/zinc/64/64/66/1131646466.db2.gz JPHGMHKWDZMXEU-HNNXBMFYSA-N 1 2 299.336 3.957 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cc(C(F)(F)F)ccc1C ZINC001139886707 1131646468 /nfs/dbraw/zinc/64/64/68/1131646468.db2.gz JPHGMHKWDZMXEU-HNNXBMFYSA-N 1 2 299.336 3.957 20 0 CHADLO CCCOC1C[NH+](Cc2ccc(F)c3ccccc23)C1 ZINC001139891008 1131647442 /nfs/dbraw/zinc/64/74/42/1131647442.db2.gz LTVDVUFTKXTKSX-UHFFFAOYSA-N 1 2 273.351 3.590 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(F)cc(O)cc2F)Cc2ccccc21 ZINC001144542143 1131653288 /nfs/dbraw/zinc/65/32/88/1131653288.db2.gz PWURMINNCQIWCW-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(F)cc(O)cc2F)Cc2ccccc21 ZINC001144542143 1131653293 /nfs/dbraw/zinc/65/32/93/1131653293.db2.gz PWURMINNCQIWCW-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1c(F)cc(O)cc1F ZINC001144541689 1131653589 /nfs/dbraw/zinc/65/35/89/1131653589.db2.gz KGZZVIHTEXWMGK-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1c(F)cc(O)cc1F ZINC001144541689 1131653591 /nfs/dbraw/zinc/65/35/91/1131653591.db2.gz KGZZVIHTEXWMGK-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cc1)Cc1cc(O)ccc1F ZINC001140048781 1131659071 /nfs/dbraw/zinc/65/90/71/1131659071.db2.gz PAXACOMOEDTGNY-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cc1)Cc1cc(O)ccc1F ZINC001140048781 1131659073 /nfs/dbraw/zinc/65/90/73/1131659073.db2.gz PAXACOMOEDTGNY-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1c(F)cc(O)cc1F ZINC001144559961 1131659038 /nfs/dbraw/zinc/65/90/38/1131659038.db2.gz SVFNWKSZOREUKM-NSHDSACASA-N 1 2 289.325 3.617 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1c(F)cc(O)cc1F ZINC001144559961 1131659041 /nfs/dbraw/zinc/65/90/41/1131659041.db2.gz SVFNWKSZOREUKM-NSHDSACASA-N 1 2 289.325 3.617 20 0 CHADLO CC[C@@H](OC(=O)c1cccc(Cn2cc[nH+]c2)c1)C(C)C ZINC000845852467 1131666298 /nfs/dbraw/zinc/66/62/98/1131666298.db2.gz QQQMYRZAPXHBER-MRXNPFEDSA-N 1 2 286.375 3.523 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@@H+]2Cc2cccc(F)n2)c1 ZINC001140134845 1131666690 /nfs/dbraw/zinc/66/66/90/1131666690.db2.gz BERGITZFVLYJBW-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@H+]2Cc2cccc(F)n2)c1 ZINC001140134845 1131666697 /nfs/dbraw/zinc/66/66/97/1131666697.db2.gz BERGITZFVLYJBW-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO c1[nH+]c(CN(Cc2ccccc2)C2CCC2)c2ccccn12 ZINC001144627212 1131667326 /nfs/dbraw/zinc/66/73/26/1131667326.db2.gz JOWYXIRGQDXLST-UHFFFAOYSA-N 1 2 291.398 3.889 20 0 CHADLO COc1ccc(CNc2ccc([NH+](C)C)c(C)c2)c(F)c1 ZINC000845914798 1131668142 /nfs/dbraw/zinc/66/81/42/1131668142.db2.gz NKTRIPZYDBOOEW-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(Cl)nc2)c(F)c1 ZINC000845901973 1131669042 /nfs/dbraw/zinc/66/90/42/1131669042.db2.gz JVMBFEWANXTLPN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(Cl)nc2)c(F)c1 ZINC000845901973 1131669044 /nfs/dbraw/zinc/66/90/44/1131669044.db2.gz JVMBFEWANXTLPN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cnc(Cl)s2)ccc1C ZINC001140156418 1131669513 /nfs/dbraw/zinc/66/95/13/1131669513.db2.gz FVBHKKBPIINXON-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cnc(Cl)s2)ccc1C ZINC001140156418 1131669516 /nfs/dbraw/zinc/66/95/16/1131669516.db2.gz FVBHKKBPIINXON-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(F)c(O)cc2F)c1 ZINC001144647102 1131674073 /nfs/dbraw/zinc/67/40/73/1131674073.db2.gz YPJCZPQBHXYWAR-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO COc1ccc(Nc2ccn3cc[nH+]c3c2)cc1OC(C)C ZINC001203017732 1131683385 /nfs/dbraw/zinc/68/33/85/1131683385.db2.gz NYGWJPVAKRQNKW-UHFFFAOYSA-N 1 2 297.358 3.874 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@]3(CC3(F)F)C2)cc1F ZINC000846107145 1131686679 /nfs/dbraw/zinc/68/66/79/1131686679.db2.gz GDRAGPCAPRYUMZ-ZDUSSCGKSA-N 1 2 291.263 3.725 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@]3(CC3(F)F)C2)cc1F ZINC000846107145 1131686682 /nfs/dbraw/zinc/68/66/82/1131686682.db2.gz GDRAGPCAPRYUMZ-ZDUSSCGKSA-N 1 2 291.263 3.725 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144729180 1131693040 /nfs/dbraw/zinc/69/30/40/1131693040.db2.gz DBUQEHRWHCDATD-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144729180 1131693043 /nfs/dbraw/zinc/69/30/43/1131693043.db2.gz DBUQEHRWHCDATD-NSHDSACASA-N 1 2 289.753 3.618 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(OC)c(COC)c1)C(F)F ZINC000834828177 1131708299 /nfs/dbraw/zinc/70/82/99/1131708299.db2.gz QMAQYYYBCORZIZ-GXFFZTMASA-N 1 2 287.350 3.536 20 0 CHADLO CCCOc1ccc([C@H](C)[NH2+]Cc2coc(CC)n2)cc1 ZINC000834899823 1131724388 /nfs/dbraw/zinc/72/43/88/1131724388.db2.gz LEAHIBMHGNRCNC-ZDUSSCGKSA-N 1 2 288.391 3.877 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c[nH]c3cccc(Cl)c23)CCC1=O ZINC001140433382 1131734872 /nfs/dbraw/zinc/73/48/72/1131734872.db2.gz YIBAVOAUBGARFS-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c[nH]c3cccc(Cl)c23)CCC1=O ZINC001140433382 1131734878 /nfs/dbraw/zinc/73/48/78/1131734878.db2.gz YIBAVOAUBGARFS-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cnc(Cl)c(F)c2)C2CC2)o1 ZINC000846775086 1131738453 /nfs/dbraw/zinc/73/84/53/1131738453.db2.gz ZINMVNKQQSZMPI-UHFFFAOYSA-N 1 2 294.757 3.940 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cnc(Cl)c(F)c2)C2CC2)o1 ZINC000846775086 1131738457 /nfs/dbraw/zinc/73/84/57/1131738457.db2.gz ZINMVNKQQSZMPI-UHFFFAOYSA-N 1 2 294.757 3.940 20 0 CHADLO CC(C)Sc1ccc([C@@H](C)[NH2+]Cc2cnsn2)cc1 ZINC000846843834 1131749072 /nfs/dbraw/zinc/74/90/72/1131749072.db2.gz IHNIMJHQBSZJLT-LLVKDONJSA-N 1 2 293.461 3.889 20 0 CHADLO CCOc1cc(C)c(Nc2ccc(C)[nH+]c2C)cn1 ZINC001203040998 1131756853 /nfs/dbraw/zinc/75/68/53/1131756853.db2.gz KSGMSQDTNBQBTL-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO COc1cc(F)cc(C[N@@H+]2CCc3c(F)cccc3C2)c1 ZINC001140483875 1131760689 /nfs/dbraw/zinc/76/06/89/1131760689.db2.gz BYKTUYJSYWEAGI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(F)cc(C[N@H+]2CCc3c(F)cccc3C2)c1 ZINC001140483875 1131760695 /nfs/dbraw/zinc/76/06/95/1131760695.db2.gz BYKTUYJSYWEAGI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCOc1cc(C)c(Nc2ccc[nH+]c2N2CCCC2)cn1 ZINC001203042078 1131764299 /nfs/dbraw/zinc/76/42/99/1131764299.db2.gz PQRKSNNVGLWPSZ-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO COc1cc2c(cc1O[C@H]1CC[C@@H](C)CC1)C=[NH+]CC2 ZINC001228091642 1131807566 /nfs/dbraw/zinc/80/75/66/1131807566.db2.gz CYPSDTASZJBQOI-JNSHFYNHSA-N 1 2 273.376 3.628 20 0 CHADLO Cc1[nH]c2ccc(OC[C@H](C)Oc3ccccc3)cc2[nH+]1 ZINC001228328575 1131858377 /nfs/dbraw/zinc/85/83/77/1131858377.db2.gz GPCXDQNGFLURQU-LBPRGKRZSA-N 1 2 282.343 3.718 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H]3CCc4c3nccc4Cl)cc2[nH+]1 ZINC001228326113 1131858731 /nfs/dbraw/zinc/85/87/31/1131858731.db2.gz FPZSSNIFEPIHFP-OAHLLOKOSA-N 1 2 299.761 3.986 20 0 CHADLO Cc1[nH]c2ccc(OC[C@@H]3CCc4ccccc4O3)cc2[nH+]1 ZINC001228327828 1131859381 /nfs/dbraw/zinc/85/93/81/1131859381.db2.gz WFTHAWIFJKIGGD-HNNXBMFYSA-N 1 2 294.354 3.644 20 0 CHADLO Cc1[nH]c2ccc(OC[C@@H](C)OCc3ccccc3)cc2[nH+]1 ZINC001228327609 1131860345 /nfs/dbraw/zinc/86/03/45/1131860345.db2.gz SCVYSTBSUYGPNK-CYBMUJFWSA-N 1 2 296.370 3.855 20 0 CHADLO FC(F)(F)c1ccc(C[NH+]2CCC2)c(Br)c1 ZINC001140710513 1131892499 /nfs/dbraw/zinc/89/24/99/1131892499.db2.gz KCZRFKTWNDWIEA-UHFFFAOYSA-N 1 2 294.114 3.674 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2c(C)oc3ccccc32)no1 ZINC000282774154 1131893163 /nfs/dbraw/zinc/89/31/63/1131893163.db2.gz PUYPHVHHUULWAP-LLVKDONJSA-N 1 2 270.332 3.888 20 0 CHADLO CCc1ccc(C[N@@H+]2CCC[C@@H]2c2csc(C)n2)cn1 ZINC000348169785 1131898808 /nfs/dbraw/zinc/89/88/08/1131898808.db2.gz BUBATDFDOZVCHK-MRXNPFEDSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1ccc(C[N@H+]2CCC[C@@H]2c2csc(C)n2)cn1 ZINC000348169785 1131898817 /nfs/dbraw/zinc/89/88/17/1131898817.db2.gz BUBATDFDOZVCHK-MRXNPFEDSA-N 1 2 287.432 3.746 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2cccc(OC(F)F)c2)no1 ZINC000282790252 1131902511 /nfs/dbraw/zinc/90/25/11/1131902511.db2.gz QDVWPGBYPDLRKE-WDEREUQCSA-N 1 2 296.317 3.996 20 0 CHADLO Brc1ccnc(C[NH+]2CC3(C2)CCCCC3)c1 ZINC001140766244 1131909559 /nfs/dbraw/zinc/90/95/59/1131909559.db2.gz DRCAFSRBCFLOLR-UHFFFAOYSA-N 1 2 295.224 3.610 20 0 CHADLO CC(C)Oc1ccc(OC2C[NH+](Cc3ccccc3)C2)cc1 ZINC001228582552 1131915517 /nfs/dbraw/zinc/91/55/17/1131915517.db2.gz AFZKDGKHNZNMDO-UHFFFAOYSA-N 1 2 297.398 3.737 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+]1Cc2ccc(F)cc2C1 ZINC001140782513 1131916564 /nfs/dbraw/zinc/91/65/64/1131916564.db2.gz ZVDOXGRVUATZLB-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO Cc1cc(F)ccc1C[N@H+]1Cc2ccc(F)cc2C1 ZINC001140782513 1131916569 /nfs/dbraw/zinc/91/65/69/1131916569.db2.gz ZVDOXGRVUATZLB-UHFFFAOYSA-N 1 2 259.299 3.789 20 0 CHADLO F[C@@H]1C[N@H+](Cc2coc3ccccc23)CCC1(F)F ZINC001140782333 1131916580 /nfs/dbraw/zinc/91/65/80/1131916580.db2.gz ABTZLITUVBDBLJ-CYBMUJFWSA-N 1 2 269.266 3.612 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2coc3ccccc23)CCC1(F)F ZINC001140782333 1131916586 /nfs/dbraw/zinc/91/65/86/1131916586.db2.gz ABTZLITUVBDBLJ-CYBMUJFWSA-N 1 2 269.266 3.612 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3occc3C2)c(Cl)c1 ZINC001140800400 1131923881 /nfs/dbraw/zinc/92/38/81/1131923881.db2.gz FYEBLSOPDYCETG-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO C[C@@H]([NH2+]Cc1scnc1Cl)c1ccc(F)cc1F ZINC000713448066 1131962392 /nfs/dbraw/zinc/96/23/92/1131962392.db2.gz YUMWUMIPJPRMFP-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO Clc1ccc([C@H]2CCC[N@@H+]2Cc2cnco2)s1 ZINC001140932182 1131965777 /nfs/dbraw/zinc/96/57/77/1131965777.db2.gz DJCIVCSKXFWZAE-SNVBAGLBSA-N 1 2 268.769 3.727 20 0 CHADLO Clc1ccc([C@H]2CCC[N@H+]2Cc2cnco2)s1 ZINC001140932182 1131965780 /nfs/dbraw/zinc/96/57/80/1131965780.db2.gz DJCIVCSKXFWZAE-SNVBAGLBSA-N 1 2 268.769 3.727 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1cnco1 ZINC001140932977 1131966042 /nfs/dbraw/zinc/96/60/42/1131966042.db2.gz HUYGWOMUOVZHGY-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1cnco1 ZINC001140932977 1131966048 /nfs/dbraw/zinc/96/60/48/1131966048.db2.gz HUYGWOMUOVZHGY-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@H+](C)Cc1ncco1 ZINC001141012688 1131985492 /nfs/dbraw/zinc/98/54/92/1131985492.db2.gz WBQBQNWWDRVKCJ-SNVBAGLBSA-N 1 2 295.180 3.630 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@@H+](C)Cc1ncco1 ZINC001141012688 1131985498 /nfs/dbraw/zinc/98/54/98/1131985498.db2.gz WBQBQNWWDRVKCJ-SNVBAGLBSA-N 1 2 295.180 3.630 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1cnccc1C ZINC001141037583 1131990962 /nfs/dbraw/zinc/99/09/62/1131990962.db2.gz XOOLYNBIOXQVOP-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1cnccc1C ZINC001141037583 1131990969 /nfs/dbraw/zinc/99/09/69/1131990969.db2.gz XOOLYNBIOXQVOP-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CCCn1cc([C@@H](C)[NH2+][C@H](C)c2ccccc2Cl)nn1 ZINC000715877909 1131995128 /nfs/dbraw/zinc/99/51/28/1131995128.db2.gz POIPPYITDSZGRL-VXGBXAGGSA-N 1 2 292.814 3.753 20 0 CHADLO CC(C)(C)c1cccc(C[NH+]2CC(Oc3cccnc3)C2)c1 ZINC001141104088 1132017334 /nfs/dbraw/zinc/01/73/34/1132017334.db2.gz PWQOCMWYBBHZCI-UHFFFAOYSA-N 1 2 296.414 3.642 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@H+](C)Cc1cocn1 ZINC001141131247 1132025215 /nfs/dbraw/zinc/02/52/15/1132025215.db2.gz ASOLHPYHNXDAIA-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@@H+](C)Cc1cocn1 ZINC001141131247 1132025221 /nfs/dbraw/zinc/02/52/21/1132025221.db2.gz ASOLHPYHNXDAIA-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO CC(C)(Nc1cc(-c2ccncc2)cc[nH+]1)c1ccncc1 ZINC001171513785 1132047193 /nfs/dbraw/zinc/04/71/93/1132047193.db2.gz QXZLLJVDZPBKSB-UHFFFAOYSA-N 1 2 290.370 3.886 20 0 CHADLO CC(C)(Nc1[nH+]cccc1-n1cccc1)c1ccncc1 ZINC001171513768 1132047881 /nfs/dbraw/zinc/04/78/81/1132047881.db2.gz QFCNRDLVUKHTKU-UHFFFAOYSA-N 1 2 278.359 3.615 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C)ccc1Cl ZINC001141236735 1132054383 /nfs/dbraw/zinc/05/43/83/1132054383.db2.gz ZIPPZEPJNCVQHY-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C)ccc1Cl ZINC001141236735 1132054392 /nfs/dbraw/zinc/05/43/92/1132054392.db2.gz ZIPPZEPJNCVQHY-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO COc1cc(F)c(C[N@@H+]2CCCC[C@](C)(F)C2)cc1OC ZINC001141274936 1132068690 /nfs/dbraw/zinc/06/86/90/1132068690.db2.gz BDIXVELALXVSAA-INIZCTEOSA-N 1 2 299.361 3.557 20 0 CHADLO COc1cc(F)c(C[N@H+]2CCCC[C@](C)(F)C2)cc1OC ZINC001141274936 1132068698 /nfs/dbraw/zinc/06/86/98/1132068698.db2.gz BDIXVELALXVSAA-INIZCTEOSA-N 1 2 299.361 3.557 20 0 CHADLO CC[C@@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccc(C)c(F)c1 ZINC001171670086 1132075197 /nfs/dbraw/zinc/07/51/97/1132075197.db2.gz ACLMFVYPSISAEL-HNNXBMFYSA-N 1 2 295.398 3.686 20 0 CHADLO CC[C@@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccc(C)c(F)c1 ZINC001171670086 1132075206 /nfs/dbraw/zinc/07/52/06/1132075206.db2.gz ACLMFVYPSISAEL-HNNXBMFYSA-N 1 2 295.398 3.686 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(F)c(Cl)c2)CC2(CCC2)O1 ZINC001171715037 1132084018 /nfs/dbraw/zinc/08/40/18/1132084018.db2.gz ZWDKGKSARGDRIT-NSHDSACASA-N 1 2 283.774 3.623 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(F)c(Cl)c2)CC2(CCC2)O1 ZINC001171715037 1132084025 /nfs/dbraw/zinc/08/40/25/1132084025.db2.gz ZWDKGKSARGDRIT-NSHDSACASA-N 1 2 283.774 3.623 20 0 CHADLO CC[C@@H]1C[C@H]1C[N@@H+]1CCO[C@H](c2c(F)cccc2Cl)C1 ZINC001171764235 1132090949 /nfs/dbraw/zinc/09/09/49/1132090949.db2.gz HFZDBYNNHWFGBU-XUJVJEKNSA-N 1 2 297.801 3.899 20 0 CHADLO CC[C@@H]1C[C@H]1C[N@H+]1CCO[C@H](c2c(F)cccc2Cl)C1 ZINC001171764235 1132090956 /nfs/dbraw/zinc/09/09/56/1132090956.db2.gz HFZDBYNNHWFGBU-XUJVJEKNSA-N 1 2 297.801 3.899 20 0 CHADLO C[C@]1(C2CC2)C[N@H+](Cc2cccc(C(F)(F)F)c2)CCO1 ZINC001171921102 1132108242 /nfs/dbraw/zinc/10/82/42/1132108242.db2.gz SGSAMQARVJCRCC-OAHLLOKOSA-N 1 2 299.336 3.706 20 0 CHADLO C[C@]1(C2CC2)C[N@@H+](Cc2cccc(C(F)(F)F)c2)CCO1 ZINC001171921102 1132108247 /nfs/dbraw/zinc/10/82/47/1132108247.db2.gz SGSAMQARVJCRCC-OAHLLOKOSA-N 1 2 299.336 3.706 20 0 CHADLO CCCC[C@@H](CC)CNC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001141458617 1132132742 /nfs/dbraw/zinc/13/27/42/1132132742.db2.gz KFEAMVGIPKDJCF-GFCCVEGCSA-N 1 2 273.380 3.509 20 0 CHADLO CCCC(=O)[C@@H](CCC)Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229953555 1132135298 /nfs/dbraw/zinc/13/52/98/1132135298.db2.gz ASSQVRDQHDNMFA-QGZVFWFLSA-N 1 2 286.375 3.789 20 0 CHADLO C[C@H]1CCC[C@@H](Oc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC001229955019 1132137365 /nfs/dbraw/zinc/13/73/65/1132137365.db2.gz XIVRQISVLASPOK-XJKSGUPXSA-N 1 2 256.349 3.830 20 0 CHADLO CCCC[N@H+](Cc1cnn(CC)n1)[C@@H](C)c1ccc(C)o1 ZINC000838796908 1132148091 /nfs/dbraw/zinc/14/80/91/1132148091.db2.gz LGDHENVMRFVKLI-AWEZNQCLSA-N 1 2 290.411 3.563 20 0 CHADLO CCCC[N@@H+](Cc1cnn(CC)n1)[C@@H](C)c1ccc(C)o1 ZINC000838796908 1132148097 /nfs/dbraw/zinc/14/80/97/1132148097.db2.gz LGDHENVMRFVKLI-AWEZNQCLSA-N 1 2 290.411 3.563 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccc(C)nc2Cl)n1 ZINC000838928629 1132159164 /nfs/dbraw/zinc/15/91/64/1132159164.db2.gz QJKUZYCSDWEQAX-SNVBAGLBSA-N 1 2 281.812 3.659 20 0 CHADLO CCCCCC[C@@H](CCC)[NH2+]C1(C(=O)OCC)CC1 ZINC001172377375 1132169829 /nfs/dbraw/zinc/16/98/29/1132169829.db2.gz APZVHHHELABPRJ-CQSZACIVSA-N 1 2 269.429 3.811 20 0 CHADLO CCOCC[C@H](C)[N@@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001172431192 1132206942 /nfs/dbraw/zinc/20/69/42/1132206942.db2.gz MINSKTZDBRHKOQ-HOCLYGCPSA-N 1 2 297.389 3.926 20 0 CHADLO CCOCC[C@H](C)[N@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001172431192 1132206948 /nfs/dbraw/zinc/20/69/48/1132206948.db2.gz MINSKTZDBRHKOQ-HOCLYGCPSA-N 1 2 297.389 3.926 20 0 CHADLO CCCCCC[C@H](CCC)[N@@H+]1CCC(=O)[C@H](F)C1 ZINC001172386305 1132211018 /nfs/dbraw/zinc/21/10/18/1132211018.db2.gz LVWJREIHWSLJPZ-UONOGXRCSA-N 1 2 257.393 3.738 20 0 CHADLO CCCCCC[C@H](CCC)[N@H+]1CCC(=O)[C@H](F)C1 ZINC001172386305 1132211024 /nfs/dbraw/zinc/21/10/24/1132211024.db2.gz LVWJREIHWSLJPZ-UONOGXRCSA-N 1 2 257.393 3.738 20 0 CHADLO Oc1cc2c(cc1O[C@H]1CCc3cc(F)ccc31)CC[NH+]=C2 ZINC001230921036 1132227874 /nfs/dbraw/zinc/22/78/74/1132227874.db2.gz CDDIFQKLIWRMIV-KRWDZBQOSA-N 1 2 297.329 3.573 20 0 CHADLO CC[C@H]1CCCC[C@H]1Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920534 1132228130 /nfs/dbraw/zinc/22/81/30/1132228130.db2.gz OTLSZWXVVMAJEG-BLLLJJGKSA-N 1 2 273.376 3.715 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@H]2C=CCCC2)cc1 ZINC001231082220 1132252555 /nfs/dbraw/zinc/25/25/55/1132252555.db2.gz NXXQJSOJQJZQQB-AWEZNQCLSA-N 1 2 254.333 3.575 20 0 CHADLO C[C@H](COC(C)(C)C)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231083305 1132253533 /nfs/dbraw/zinc/25/35/33/1132253533.db2.gz IKGLZVAMWGJRMA-GFCCVEGCSA-N 1 2 274.364 3.659 20 0 CHADLO c1c[nH+]c(-c2ccc(O[C@H]3COCc4ccccc43)cc2)[nH]1 ZINC001231080987 1132253664 /nfs/dbraw/zinc/25/36/64/1132253664.db2.gz JQWQRZKLOULEQD-KRWDZBQOSA-N 1 2 292.338 3.727 20 0 CHADLO CCCCOC[C@@H](C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231081770 1132253716 /nfs/dbraw/zinc/25/37/16/1132253716.db2.gz LXBUPXHISMMRQJ-CQSZACIVSA-N 1 2 288.391 3.671 20 0 CHADLO C[C@@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1cccnc1F ZINC001231084170 1132254933 /nfs/dbraw/zinc/25/49/33/1132254933.db2.gz ZDGXJYUEDLPINX-GFCCVEGCSA-N 1 2 297.333 3.761 20 0 CHADLO C[C@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1cccnc1 ZINC001231083988 1132255355 /nfs/dbraw/zinc/25/53/55/1132255355.db2.gz RUBGLJYSHZLWJF-LBPRGKRZSA-N 1 2 265.316 3.612 20 0 CHADLO CCCCCC[C@@H](CCCC)[NH2+]C1(C(=O)OC)CC1 ZINC001172510503 1132257264 /nfs/dbraw/zinc/25/72/64/1132257264.db2.gz DIPNOXBQVYBIHE-CQSZACIVSA-N 1 2 269.429 3.811 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH2+][C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001172551143 1132264370 /nfs/dbraw/zinc/26/43/70/1132264370.db2.gz MVJDTKGBWWOKKA-USXIJHARSA-N 1 2 289.419 3.644 20 0 CHADLO Cc1ccc(C[N@H+](C)CC(=O)c2ccccc2)cc1Cl ZINC001231423868 1132291277 /nfs/dbraw/zinc/29/12/77/1132291277.db2.gz KPAXSWUEKRADDL-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO Cc1ccc(C[N@@H+](C)CC(=O)c2ccccc2)cc1Cl ZINC001231423868 1132291281 /nfs/dbraw/zinc/29/12/81/1132291281.db2.gz KPAXSWUEKRADDL-UHFFFAOYSA-N 1 2 287.790 3.963 20 0 CHADLO C(=C/c1ccc(C[NH+]2CC3(CCO3)C2)cc1)\c1ccccc1 ZINC001231487474 1132301813 /nfs/dbraw/zinc/30/18/13/1132301813.db2.gz BWGBUJLJUSJWDY-VOTSOKGWSA-N 1 2 291.394 3.832 20 0 CHADLO C[N@H+](Cc1cccc(-c2ccccc2)c1)Cc1ncccn1 ZINC001231507359 1132309004 /nfs/dbraw/zinc/30/90/04/1132309004.db2.gz AAVVRTJFZPZEPB-UHFFFAOYSA-N 1 2 289.382 3.776 20 0 CHADLO C[N@@H+](Cc1cccc(-c2ccccc2)c1)Cc1ncccn1 ZINC001231507359 1132309012 /nfs/dbraw/zinc/30/90/12/1132309012.db2.gz AAVVRTJFZPZEPB-UHFFFAOYSA-N 1 2 289.382 3.776 20 0 CHADLO CC(C)OC(=O)CC[C@H](C)[N@H+]1Cc2cccc(Cl)c2C1 ZINC001172773731 1132313482 /nfs/dbraw/zinc/31/34/82/1132313482.db2.gz QWGILKRQGJUEBS-LBPRGKRZSA-N 1 2 295.810 3.776 20 0 CHADLO CC(C)OC(=O)CC[C@@H](C)[N@@H+]1Cc2cccc(Cl)c2C1 ZINC001172773730 1132313437 /nfs/dbraw/zinc/31/34/37/1132313437.db2.gz QWGILKRQGJUEBS-GFCCVEGCSA-N 1 2 295.810 3.776 20 0 CHADLO CC(C)OC(=O)CC[C@@H](C)[N@H+]1Cc2cccc(Cl)c2C1 ZINC001172773730 1132313443 /nfs/dbraw/zinc/31/34/43/1132313443.db2.gz QWGILKRQGJUEBS-GFCCVEGCSA-N 1 2 295.810 3.776 20 0 CHADLO CC(C)OC(=O)CC[C@H](C)[N@@H+]1Cc2cccc(Cl)c2C1 ZINC001172773731 1132313473 /nfs/dbraw/zinc/31/34/73/1132313473.db2.gz QWGILKRQGJUEBS-LBPRGKRZSA-N 1 2 295.810 3.776 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ccc(O)c(C(F)(F)F)c2)C1 ZINC001231524886 1132313803 /nfs/dbraw/zinc/31/38/03/1132313803.db2.gz XQKDUEVLCJVCNQ-CYBMUJFWSA-N 1 2 291.288 3.735 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ccc(O)c(C(F)(F)F)c2)C1 ZINC001231524886 1132313808 /nfs/dbraw/zinc/31/38/08/1132313808.db2.gz XQKDUEVLCJVCNQ-CYBMUJFWSA-N 1 2 291.288 3.735 20 0 CHADLO F[C@H]1CCCC[N@H+](Cc2coc(-c3ccccc3)n2)C1 ZINC001231630626 1132330245 /nfs/dbraw/zinc/33/02/45/1132330245.db2.gz SHROAOOHIJHXFQ-AWEZNQCLSA-N 1 2 274.339 3.666 20 0 CHADLO F[C@H]1CCCC[N@@H+](Cc2coc(-c3ccccc3)n2)C1 ZINC001231630626 1132330250 /nfs/dbraw/zinc/33/02/50/1132330250.db2.gz SHROAOOHIJHXFQ-AWEZNQCLSA-N 1 2 274.339 3.666 20 0 CHADLO CCc1ccc(C[N@H+](CC(=O)c2ccccc2)C(C)C)nc1 ZINC001231641946 1132331096 /nfs/dbraw/zinc/33/10/96/1132331096.db2.gz KOARWORGIHZQEX-UHFFFAOYSA-N 1 2 296.414 3.737 20 0 CHADLO CCc1ccc(C[N@@H+](CC(=O)c2ccccc2)C(C)C)nc1 ZINC001231641946 1132331099 /nfs/dbraw/zinc/33/10/99/1132331099.db2.gz KOARWORGIHZQEX-UHFFFAOYSA-N 1 2 296.414 3.737 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCOC(C)(C)C1 ZINC001172848830 1132337867 /nfs/dbraw/zinc/33/78/67/1132337867.db2.gz HCMCYSQBVDKUFL-NSHDSACASA-N 1 2 285.790 3.521 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCOC(C)(C)C1 ZINC001172848830 1132337873 /nfs/dbraw/zinc/33/78/73/1132337873.db2.gz HCMCYSQBVDKUFL-NSHDSACASA-N 1 2 285.790 3.521 20 0 CHADLO Cc1ccc(C[NH+]2CCSCC2)c(Cl)c1Cl ZINC001231661983 1132338662 /nfs/dbraw/zinc/33/86/62/1132338662.db2.gz YLWGDOOBWLWICK-UHFFFAOYSA-N 1 2 276.232 3.851 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCCCC12COC2 ZINC001172859310 1132344594 /nfs/dbraw/zinc/34/45/94/1132344594.db2.gz ZWOSYSFZRRLMIN-GFCCVEGCSA-N 1 2 297.801 3.665 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCCCC12COC2 ZINC001172859310 1132344603 /nfs/dbraw/zinc/34/46/03/1132344603.db2.gz ZWOSYSFZRRLMIN-GFCCVEGCSA-N 1 2 297.801 3.665 20 0 CHADLO CC(C)[C@@H]1C[N@@H+]([C@H](C)Cc2c(F)cccc2Cl)CCO1 ZINC001172863162 1132345004 /nfs/dbraw/zinc/34/50/04/1132345004.db2.gz USQUQLQHIMPFPV-WBMJQRKESA-N 1 2 299.817 3.767 20 0 CHADLO CC(C)[C@@H]1C[N@H+]([C@H](C)Cc2c(F)cccc2Cl)CCO1 ZINC001172863162 1132345008 /nfs/dbraw/zinc/34/50/08/1132345008.db2.gz USQUQLQHIMPFPV-WBMJQRKESA-N 1 2 299.817 3.767 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)nc1)Cc1ccc(Cl)c(O)c1 ZINC001231736214 1132350057 /nfs/dbraw/zinc/35/00/57/1132350057.db2.gz FPWXXWXALPLENP-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[C@H](CSc1ccc(Cl)cc1)[NH2+]CC(F)F ZINC001172871338 1132351629 /nfs/dbraw/zinc/35/16/29/1132351629.db2.gz NWFMKIXZJMCKCV-MRVPVSSYSA-N 1 2 265.756 3.675 20 0 CHADLO Oc1cc(C[N@@H+]2CCC[C@H]2c2cccnc2)ccc1Cl ZINC001231733290 1132352353 /nfs/dbraw/zinc/35/23/53/1132352353.db2.gz QYHQCKLEWXKJKZ-HNNXBMFYSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cc(C[N@H+]2CCC[C@H]2c2cccnc2)ccc1Cl ZINC001231733290 1132352360 /nfs/dbraw/zinc/35/23/60/1132352360.db2.gz QYHQCKLEWXKJKZ-HNNXBMFYSA-N 1 2 288.778 3.778 20 0 CHADLO c1cc2c(c(CNc3c[nH+]cc4c3CCCC4)n1)CCCC2 ZINC001203119171 1132357329 /nfs/dbraw/zinc/35/73/29/1132357329.db2.gz PGKFSNLUKXGYEL-UHFFFAOYSA-N 1 2 293.414 3.846 20 0 CHADLO CCCCc1ccc(C[N@@H+]2Cc3cccnc3C2)cc1 ZINC001231779746 1132358276 /nfs/dbraw/zinc/35/82/76/1132358276.db2.gz GMRFDACHPDHRGO-UHFFFAOYSA-N 1 2 266.388 3.940 20 0 CHADLO CCCCc1ccc(C[N@H+]2Cc3cccnc3C2)cc1 ZINC001231779746 1132358284 /nfs/dbraw/zinc/35/82/84/1132358284.db2.gz GMRFDACHPDHRGO-UHFFFAOYSA-N 1 2 266.388 3.940 20 0 CHADLO COc1cc(Cl)c(C[NH+]2CCC(F)CC2)c(Cl)c1 ZINC001142277263 1132362104 /nfs/dbraw/zinc/36/21/04/1132362104.db2.gz QHWFYBXPHBFHLR-UHFFFAOYSA-N 1 2 292.181 3.936 20 0 CHADLO COC(=O)[C@@H](C)[N@H+](C)Cc1ccc(-c2ccc(C)cc2)cc1 ZINC001142306109 1132364898 /nfs/dbraw/zinc/36/48/98/1132364898.db2.gz YQOFDSWFEAFKNB-OAHLLOKOSA-N 1 2 297.398 3.655 20 0 CHADLO COC(=O)[C@@H](C)[N@@H+](C)Cc1ccc(-c2ccc(C)cc2)cc1 ZINC001142306109 1132364905 /nfs/dbraw/zinc/36/49/05/1132364905.db2.gz YQOFDSWFEAFKNB-OAHLLOKOSA-N 1 2 297.398 3.655 20 0 CHADLO C[C@@H]1CC(=O)CC[N@@H+]1Cc1ccc(-c2ccccc2)o1 ZINC001231810417 1132368388 /nfs/dbraw/zinc/36/83/88/1132368388.db2.gz PHLFMZOVYUQYKB-CYBMUJFWSA-N 1 2 269.344 3.500 20 0 CHADLO C[C@@H]1CC(=O)CC[N@H+]1Cc1ccc(-c2ccccc2)o1 ZINC001231810417 1132368396 /nfs/dbraw/zinc/36/83/96/1132368396.db2.gz PHLFMZOVYUQYKB-CYBMUJFWSA-N 1 2 269.344 3.500 20 0 CHADLO Oc1cccc(F)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212696628 1132374994 /nfs/dbraw/zinc/37/49/94/1132374994.db2.gz AXLVZYUXLRPCHI-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1c(O)ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1C ZINC001212697859 1132377583 /nfs/dbraw/zinc/37/75/83/1132377583.db2.gz XSSBNLBBDMZDIH-UHFFFAOYSA-N 1 2 293.370 3.997 20 0 CHADLO CCCOC1CCC([N@@H+]2CC[C@H](CF)C(F)(F)C2)CC1 ZINC001173095398 1132385132 /nfs/dbraw/zinc/38/51/32/1132385132.db2.gz LYCPBNQWUKLYSE-IYXRBSQSSA-N 1 2 293.373 3.651 20 0 CHADLO CCCOC1CCC([N@H+]2CC[C@H](CF)C(F)(F)C2)CC1 ZINC001173095398 1132385140 /nfs/dbraw/zinc/38/51/40/1132385140.db2.gz LYCPBNQWUKLYSE-IYXRBSQSSA-N 1 2 293.373 3.651 20 0 CHADLO CC(C)c1ccccc1C[N@@H+]1CCOC[C@H]1c1cccnc1 ZINC001231877345 1132388201 /nfs/dbraw/zinc/38/82/01/1132388201.db2.gz BMLYHCVPLVGLFL-IBGZPJMESA-N 1 2 296.414 3.779 20 0 CHADLO CC(C)c1ccccc1C[N@H+]1CCOC[C@H]1c1cccnc1 ZINC001231877345 1132388208 /nfs/dbraw/zinc/38/82/08/1132388208.db2.gz BMLYHCVPLVGLFL-IBGZPJMESA-N 1 2 296.414 3.779 20 0 CHADLO COc1cccc2c(C[N@@H+]3Cc4cccc(F)c4C3)c[nH]c21 ZINC001231900509 1132396443 /nfs/dbraw/zinc/39/64/43/1132396443.db2.gz KGZBQFQLGMYSAA-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1cccc2c(C[N@H+]3Cc4cccc(F)c4C3)c[nH]c21 ZINC001231900509 1132396451 /nfs/dbraw/zinc/39/64/51/1132396451.db2.gz KGZBQFQLGMYSAA-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO Cc1nc(C(C)C)ccc1CO[NH+]=C(N)c1ccccc1 ZINC000842013644 1132402654 /nfs/dbraw/zinc/40/26/54/1132402654.db2.gz QYAHLUKXHVZGLP-UHFFFAOYSA-N 1 2 283.375 3.560 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ncccc2Cl)cn1 ZINC001231960693 1132403739 /nfs/dbraw/zinc/40/37/39/1132403739.db2.gz RIEXHKKAMKAKSC-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ncccc2Cl)cn1 ZINC001231960693 1132403743 /nfs/dbraw/zinc/40/37/43/1132403743.db2.gz RIEXHKKAMKAKSC-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO FC(F)(F)c1cnc(Cl)c(C[N@@H+]2CCC3(CC3)C2)c1 ZINC001232007516 1132410825 /nfs/dbraw/zinc/41/08/25/1132410825.db2.gz HEUCURUEJBSTOS-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO FC(F)(F)c1cnc(Cl)c(C[N@H+]2CCC3(CC3)C2)c1 ZINC001232007516 1132410827 /nfs/dbraw/zinc/41/08/27/1132410827.db2.gz HEUCURUEJBSTOS-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO Fc1cc(F)c(C[N@H+]2C[C@H]3[C@H](CC3(F)F)C2)c(Cl)c1 ZINC001232098338 1132421838 /nfs/dbraw/zinc/42/18/38/1132421838.db2.gz VZWMVUCSHKLVLW-XCBNKYQSSA-N 1 2 293.691 3.705 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2C[C@H]3[C@H](CC3(F)F)C2)c(Cl)c1 ZINC001232098338 1132421840 /nfs/dbraw/zinc/42/18/40/1132421840.db2.gz VZWMVUCSHKLVLW-XCBNKYQSSA-N 1 2 293.691 3.705 20 0 CHADLO Fc1cc(F)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c(Cl)c1 ZINC001232105030 1132424466 /nfs/dbraw/zinc/42/44/66/1132424466.db2.gz ZQSPWIAWJVXNHI-DXBFQKDVSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c(Cl)c1 ZINC001232105030 1132424471 /nfs/dbraw/zinc/42/44/71/1132424471.db2.gz ZQSPWIAWJVXNHI-DXBFQKDVSA-N 1 2 275.701 3.551 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(Cl)ccc2F)c1 ZINC001232154996 1132429987 /nfs/dbraw/zinc/42/99/87/1132429987.db2.gz BJHBAKFAGATVIT-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(Cl)ccc2F)c1 ZINC001232154996 1132429990 /nfs/dbraw/zinc/42/99/90/1132429990.db2.gz BJHBAKFAGATVIT-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1scnc1C[N@@H+](C)Cc1cccc2cccnc21 ZINC001232203440 1132433040 /nfs/dbraw/zinc/43/30/40/1132433040.db2.gz CNAYCSWNASUJQN-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1scnc1C[N@H+](C)Cc1cccc2cccnc21 ZINC001232203440 1132433044 /nfs/dbraw/zinc/43/30/44/1132433044.db2.gz CNAYCSWNASUJQN-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Oc1ccc(C[N@@H+]2Cc3ccc(F)cc3C2)c2cccnc12 ZINC001232275856 1132446125 /nfs/dbraw/zinc/44/61/25/1132446125.db2.gz GHQNHPPPMKNGCC-UHFFFAOYSA-N 1 2 294.329 3.595 20 0 CHADLO Oc1ccc(C[N@H+]2Cc3ccc(F)cc3C2)c2cccnc12 ZINC001232275856 1132446129 /nfs/dbraw/zinc/44/61/29/1132446129.db2.gz GHQNHPPPMKNGCC-UHFFFAOYSA-N 1 2 294.329 3.595 20 0 CHADLO CCSC[C@@H](C)N1CC[NH+]([C@H](C)c2ccccc2)CC1 ZINC001173304001 1132448301 /nfs/dbraw/zinc/44/83/01/1132448301.db2.gz CCZXRYANKUTKNN-HZPDHXFCSA-N 1 2 292.492 3.507 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccnn1CC(C)C ZINC000842669115 1132448708 /nfs/dbraw/zinc/44/87/08/1132448708.db2.gz AXPZNDUQFCQTQV-UHFFFAOYSA-N 1 2 286.423 3.526 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCC[C@@](C)(F)C2)cc1F ZINC001232419183 1132467814 /nfs/dbraw/zinc/46/78/14/1132467814.db2.gz CXFORSNSTAQOGH-CQSZACIVSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1ccc(C(F)(F)F)o1 ZINC001232447309 1132472238 /nfs/dbraw/zinc/47/22/38/1132472238.db2.gz UVZCDVNTZJNTOJ-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1ccc(C(F)(F)F)o1 ZINC001232447309 1132472243 /nfs/dbraw/zinc/47/22/43/1132472243.db2.gz UVZCDVNTZJNTOJ-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cc(Br)c(C[NH+]2CC(C)(C)C2)cc1F ZINC001232468467 1132475172 /nfs/dbraw/zinc/47/51/72/1132475172.db2.gz NGPCBZCBBTYAAY-UHFFFAOYSA-N 1 2 286.188 3.738 20 0 CHADLO CCc1csc(C[N@@H+]2CCC[C@H]2c2ccc(C)nc2)n1 ZINC001232474110 1132475210 /nfs/dbraw/zinc/47/52/10/1132475210.db2.gz OEYVJYUJBUCKEN-HNNXBMFYSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1csc(C[N@H+]2CCC[C@H]2c2ccc(C)nc2)n1 ZINC001232474110 1132475211 /nfs/dbraw/zinc/47/52/11/1132475211.db2.gz OEYVJYUJBUCKEN-HNNXBMFYSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1csc(C[N@@H+]2Cc3ccccc3C(C)(C)C2)n1 ZINC001232474490 1132476234 /nfs/dbraw/zinc/47/62/34/1132476234.db2.gz YXXIBVBZDHUDET-UHFFFAOYSA-N 1 2 286.444 3.999 20 0 CHADLO CCc1csc(C[N@H+]2Cc3ccccc3C(C)(C)C2)n1 ZINC001232474490 1132476236 /nfs/dbraw/zinc/47/62/36/1132476236.db2.gz YXXIBVBZDHUDET-UHFFFAOYSA-N 1 2 286.444 3.999 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCO[C@H](c2ccccc2)C1 ZINC001232484335 1132477287 /nfs/dbraw/zinc/47/72/87/1132477287.db2.gz DNUKWZHBFPDKPS-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCO[C@H](c2ccccc2)C1 ZINC001232484335 1132477289 /nfs/dbraw/zinc/47/72/89/1132477289.db2.gz DNUKWZHBFPDKPS-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC001232570905 1132485652 /nfs/dbraw/zinc/48/56/52/1132485652.db2.gz WPWFKKRPMMIEQP-LBPRGKRZSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC001232570905 1132485654 /nfs/dbraw/zinc/48/56/54/1132485654.db2.gz WPWFKKRPMMIEQP-LBPRGKRZSA-N 1 2 277.170 3.570 20 0 CHADLO C[N@H+](Cc1cc2ccoc2cn1)Cc1ccccc1F ZINC001232553393 1132485700 /nfs/dbraw/zinc/48/57/00/1132485700.db2.gz RASQHCPXOXIBQY-UHFFFAOYSA-N 1 2 270.307 3.599 20 0 CHADLO C[N@@H+](Cc1cc2ccoc2cn1)Cc1ccccc1F ZINC001232553393 1132485704 /nfs/dbraw/zinc/48/57/04/1132485704.db2.gz RASQHCPXOXIBQY-UHFFFAOYSA-N 1 2 270.307 3.599 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cc3ccoc3cn1)CC2 ZINC001232554398 1132488285 /nfs/dbraw/zinc/48/82/85/1132488285.db2.gz UITYPTJOCMTNSG-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cc3ccoc3cn1)CC2 ZINC001232554398 1132488288 /nfs/dbraw/zinc/48/82/88/1132488288.db2.gz UITYPTJOCMTNSG-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO CC[NH2+]Cc1noc(CCCc2ccc3ccccc3c2)n1 ZINC000843140816 1132496510 /nfs/dbraw/zinc/49/65/10/1132496510.db2.gz DXCSDRVMLJDSCM-UHFFFAOYSA-N 1 2 295.386 3.508 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1cccc2c1CCCC2 ZINC001232638508 1132499788 /nfs/dbraw/zinc/49/97/88/1132499788.db2.gz JVMBQJKFWMLERA-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1cccc2c1CCCC2 ZINC001232638508 1132499791 /nfs/dbraw/zinc/49/97/91/1132499791.db2.gz JVMBQJKFWMLERA-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO c1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC001173791624 1132527417 /nfs/dbraw/zinc/52/74/17/1132527417.db2.gz ZRWGCNSUSNGNCF-UHFFFAOYSA-N 1 2 253.349 3.816 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Br)co2)CCC1(F)F ZINC001232850142 1132530990 /nfs/dbraw/zinc/53/09/90/1132530990.db2.gz JOBLXONCSLLSRE-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Br)co2)CCC1(F)F ZINC001232850142 1132531000 /nfs/dbraw/zinc/53/10/00/1132531000.db2.gz JOBLXONCSLLSRE-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO COc1ccc(Nc2[nH+]ccc3ccccc32)cc1 ZINC001173805485 1132539059 /nfs/dbraw/zinc/53/90/59/1132539059.db2.gz MHRLLKSKSBZTQU-UHFFFAOYSA-N 1 2 250.301 3.987 20 0 CHADLO Cc1cc(C[N@@H+]2CCCCC23CC3)ncc1Br ZINC001232906410 1132539506 /nfs/dbraw/zinc/53/95/06/1132539506.db2.gz CXAPXSNTJAAPHX-UHFFFAOYSA-N 1 2 295.224 3.671 20 0 CHADLO Cc1cc(C[N@H+]2CCCCC23CC3)ncc1Br ZINC001232906410 1132539510 /nfs/dbraw/zinc/53/95/10/1132539510.db2.gz CXAPXSNTJAAPHX-UHFFFAOYSA-N 1 2 295.224 3.671 20 0 CHADLO Cc1cccc(Nc2ccccc2C[NH+]2CCOCC2)c1 ZINC001173807730 1132541843 /nfs/dbraw/zinc/54/18/43/1132541843.db2.gz BGZXCWFOFIRDOW-UHFFFAOYSA-N 1 2 282.387 3.571 20 0 CHADLO Fc1ccccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001173846336 1132548545 /nfs/dbraw/zinc/54/85/45/1132548545.db2.gz ZMXVQUZFEOJWIL-UHFFFAOYSA-N 1 2 253.280 3.755 20 0 CHADLO CCOc1ccccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001173850148 1132553339 /nfs/dbraw/zinc/55/33/39/1132553339.db2.gz SNRBYGNSRKJSDP-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Oc1cnc(Cl)cc1C[N@@H+]1CCCC2(CCCC2)C1 ZINC001233032577 1132564724 /nfs/dbraw/zinc/56/47/24/1132564724.db2.gz LUMSYZMLFYNLDO-UHFFFAOYSA-N 1 2 280.799 3.597 20 0 CHADLO C[C@@]1(Br)C[C@@H]1CNc1c[nH+]cc2c1CCCC2 ZINC001206637301 1132566258 /nfs/dbraw/zinc/56/62/58/1132566258.db2.gz ZIGFJGMXHRTNCW-BXUZGUMPSA-N 1 2 295.224 3.546 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(F)c(F)c1 ZINC001173864359 1132566341 /nfs/dbraw/zinc/56/63/41/1132566341.db2.gz JZVPHSWCDBGGEJ-UHFFFAOYSA-N 1 2 250.248 3.502 20 0 CHADLO Cc1sccc1NC(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC001151426221 1132570409 /nfs/dbraw/zinc/57/04/09/1132570409.db2.gz WFZJVYJCTBNAII-UHFFFAOYSA-N 1 2 297.383 3.554 20 0 CHADLO CCOc1c(Cl)cc(C[N@@H+]2CC[C@@H]2C)cc1Cl ZINC001233104656 1132571247 /nfs/dbraw/zinc/57/12/47/1132571247.db2.gz VJGANEWNMACUNF-VIFPVBQESA-N 1 2 274.191 3.986 20 0 CHADLO CCOc1c(Cl)cc(C[N@H+]2CC[C@@H]2C)cc1Cl ZINC001233104656 1132571251 /nfs/dbraw/zinc/57/12/51/1132571251.db2.gz VJGANEWNMACUNF-VIFPVBQESA-N 1 2 274.191 3.986 20 0 CHADLO Fc1ccc2c(c1)[nH]nc2C[N@@H+]1CC[C@@H](c2ccccc2)C1 ZINC001233120075 1132571979 /nfs/dbraw/zinc/57/19/79/1132571979.db2.gz UZOOVGDPNYQYKA-CQSZACIVSA-N 1 2 295.361 3.692 20 0 CHADLO Fc1ccc2c(c1)[nH]nc2C[N@H+]1CC[C@@H](c2ccccc2)C1 ZINC001233120075 1132571982 /nfs/dbraw/zinc/57/19/82/1132571982.db2.gz UZOOVGDPNYQYKA-CQSZACIVSA-N 1 2 295.361 3.692 20 0 CHADLO C(=Cc1ccccc1)Nc1ccc(N2CCCC2)[nH+]c1 ZINC001173871625 1132575387 /nfs/dbraw/zinc/57/53/87/1132575387.db2.gz QLCCOZCIKLPJSZ-ZHACJKMWSA-N 1 2 265.360 3.765 20 0 CHADLO Cc1cccc(C)c1Nc1ccc2c(c1)[nH+]cn2C ZINC001173874971 1132578320 /nfs/dbraw/zinc/57/83/20/1132578320.db2.gz HCNNDIKVKJXSFQ-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO Cc1ccc(Nc2cc3nsnc3cc2C)c(C)[nH+]1 ZINC001203376032 1132581487 /nfs/dbraw/zinc/58/14/87/1132581487.db2.gz GZKFOWLXUVAIHV-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(NC(C)=O)c2)cc1 ZINC001173832318 1132586761 /nfs/dbraw/zinc/58/67/61/1132586761.db2.gz HGGURODQUHWZRY-UHFFFAOYSA-N 1 2 283.375 3.845 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(NC(C)=O)c2)cc1 ZINC001173832318 1132586764 /nfs/dbraw/zinc/58/67/64/1132586764.db2.gz HGGURODQUHWZRY-UHFFFAOYSA-N 1 2 283.375 3.845 20 0 CHADLO CC(=O)Nc1cccc(Nc2[nH+]cccc2C(C)C)c1 ZINC001173833796 1132587960 /nfs/dbraw/zinc/58/79/60/1132587960.db2.gz NNZNUZDLTAAMNY-UHFFFAOYSA-N 1 2 269.348 3.907 20 0 CHADLO Cc1ccc(Cl)cc1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC001233250927 1132589189 /nfs/dbraw/zinc/58/91/89/1132589189.db2.gz FZBYMFDFONRCLQ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(Cl)cc1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC001233250927 1132589194 /nfs/dbraw/zinc/58/91/94/1132589194.db2.gz FZBYMFDFONRCLQ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Fc1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001173835462 1132589303 /nfs/dbraw/zinc/58/93/03/1132589303.db2.gz MQHYXEWSTNTEHQ-UHFFFAOYSA-N 1 2 257.312 3.565 20 0 CHADLO Fc1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001173836347 1132590339 /nfs/dbraw/zinc/59/03/39/1132590339.db2.gz KMHLULRIVIYSSJ-UHFFFAOYSA-N 1 2 267.307 3.814 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2C(F)(F)F)c[nH+]1 ZINC001173841788 1132595393 /nfs/dbraw/zinc/59/53/93/1132595393.db2.gz FPSNIBFIKHNADF-UHFFFAOYSA-N 1 2 281.281 3.910 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1scc2c1CCCC2 ZINC001153621600 1132598286 /nfs/dbraw/zinc/59/82/86/1132598286.db2.gz WYSIKEWQEWYRHJ-UHFFFAOYSA-N 1 2 297.383 3.527 20 0 CHADLO COc1ccc(C(C)C)cc1Nc1[nH+]cc(C)cc1N ZINC001173917841 1132613036 /nfs/dbraw/zinc/61/30/36/1132613036.db2.gz OLXYMOYQHBMVMU-UHFFFAOYSA-N 1 2 271.364 3.848 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(OC)ccc1OC ZINC001173918984 1132613955 /nfs/dbraw/zinc/61/39/55/1132613955.db2.gz NFQJZCVWLQQBPJ-UHFFFAOYSA-N 1 2 272.348 3.713 20 0 CHADLO O=C(Nc1cc2ccccc2s1)c1cccc2[nH+]ccn21 ZINC001154517027 1132616715 /nfs/dbraw/zinc/61/67/15/1132616715.db2.gz AGKJJLOJMMBEIS-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO CC(=O)Nc1c(C)cc[nH+]c1N[C@H](c1ccccc1)C1CC1 ZINC001154644225 1132619176 /nfs/dbraw/zinc/61/91/76/1132619176.db2.gz DFEAYHMFUHFYPH-QGZVFWFLSA-N 1 2 295.386 3.912 20 0 CHADLO Clc1ncnc(Cl)c1C[N@@H+]1CCC2(CCCC2)C1 ZINC001233409673 1132619387 /nfs/dbraw/zinc/61/93/87/1132619387.db2.gz ISBVVZMHANOLSA-UHFFFAOYSA-N 1 2 286.206 3.550 20 0 CHADLO Clc1ncnc(Cl)c1C[N@H+]1CCC2(CCCC2)C1 ZINC001233409673 1132619392 /nfs/dbraw/zinc/61/93/92/1132619392.db2.gz ISBVVZMHANOLSA-UHFFFAOYSA-N 1 2 286.206 3.550 20 0 CHADLO CCSc1cc[nH+]c(NCc2nc3ccccc3o2)c1 ZINC001154655626 1132621603 /nfs/dbraw/zinc/62/16/03/1132621603.db2.gz FWFQGAFEYGJOLP-UHFFFAOYSA-N 1 2 285.372 3.947 20 0 CHADLO Cc1ncc(CNc2[nH+]c(C)cc(Cl)c2C)s1 ZINC001154794540 1132624569 /nfs/dbraw/zinc/62/45/69/1132624569.db2.gz HSCHZTKVXLEAPP-UHFFFAOYSA-N 1 2 267.785 3.729 20 0 CHADLO CCOc1cc(Nc2cc(F)ccc2OC)cc(C)[nH+]1 ZINC001173955998 1132630073 /nfs/dbraw/zinc/63/00/73/1132630073.db2.gz ZKIMEMKQKRJOOA-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CCSc1cc[nH+]c(NCc2cnc3cccc(C)n23)c1 ZINC001154950646 1132632743 /nfs/dbraw/zinc/63/27/43/1132632743.db2.gz PCEUSKJMESJSFJ-UHFFFAOYSA-N 1 2 298.415 3.762 20 0 CHADLO Cc1ccc2c(C)cc(NCCN3CCCCO3)[nH+]c2c1C ZINC001155003336 1132635191 /nfs/dbraw/zinc/63/51/91/1132635191.db2.gz ONCRRNBRSGXSOD-UHFFFAOYSA-N 1 2 299.418 3.599 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1ccc(C(C)C)c[nH+]1)C(=O)N2 ZINC001155110136 1132642336 /nfs/dbraw/zinc/64/23/36/1132642336.db2.gz QVHIAJKTXAJEAN-MRXNPFEDSA-N 1 2 281.359 3.619 20 0 CHADLO C[NH+](C)Cc1ccccc1Nc1ccccc1S ZINC001173929949 1132643184 /nfs/dbraw/zinc/64/31/84/1132643184.db2.gz NJIMNLMGKVISHT-UHFFFAOYSA-N 1 2 258.390 3.781 20 0 CHADLO CCOc1ccc(-c2ccc(NC(=[NH2+])C(C)(C)C)nn2)cc1 ZINC001155181586 1132646353 /nfs/dbraw/zinc/64/63/53/1132646353.db2.gz LZHPISGNOBSJFR-UHFFFAOYSA-N 1 2 298.390 3.978 20 0 CHADLO CC(C)c1ccc(N2CCO[C@@H](Cc3ccccc3)C2)[nH+]c1 ZINC001155276490 1132650938 /nfs/dbraw/zinc/65/09/38/1132650938.db2.gz BGFSCBDPDZAYLT-SFHVURJKSA-N 1 2 296.414 3.653 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(F)c3F)ccc21 ZINC001173949235 1132656529 /nfs/dbraw/zinc/65/65/29/1132656529.db2.gz QIAKFTYVTGMRMK-UHFFFAOYSA-N 1 2 259.259 3.595 20 0 CHADLO Cc1cn2cccc(Nc3cc(F)ccc3F)c2[nH+]1 ZINC001173950483 1132657456 /nfs/dbraw/zinc/65/74/56/1132657456.db2.gz BDTKXUXIVHZHMT-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)ccc2F)c[nH+]1 ZINC001173949653 1132657491 /nfs/dbraw/zinc/65/74/91/1132657491.db2.gz HTNZDVAYTPWHAJ-UHFFFAOYSA-N 1 2 263.291 3.560 20 0 CHADLO CCOC(CCCNc1[nH+]cc(SC)cc1C)OCC ZINC001155725807 1132665542 /nfs/dbraw/zinc/66/55/42/1132665542.db2.gz QXKRQEOETTXMKT-UHFFFAOYSA-N 1 2 298.452 3.703 20 0 CHADLO CSc1nc(NCc2cc(C)cc(C)c2)cc(C)[nH+]1 ZINC001155898295 1132671056 /nfs/dbraw/zinc/67/10/56/1132671056.db2.gz MECLGKDOKGOICC-UHFFFAOYSA-N 1 2 273.405 3.736 20 0 CHADLO CCN(C)c1ccc(Nc2cnc3ccccc3c2)c[nH+]1 ZINC001174001714 1132671865 /nfs/dbraw/zinc/67/18/65/1132671865.db2.gz GVXAOZFMRVTGRC-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO COCc1cc[nH+]c(NC(C)(C)Cc2ccc(F)cc2)c1 ZINC001155961822 1132673884 /nfs/dbraw/zinc/67/38/84/1132673884.db2.gz LZHZTJXRCLEXAY-UHFFFAOYSA-N 1 2 288.366 3.800 20 0 CHADLO Cc1[nH+]ccn1CCNc1cc(C)c2ccc(C)c(C)c2[nH+]1 ZINC001156010838 1132676162 /nfs/dbraw/zinc/67/61/62/1132676162.db2.gz QKHCSLQBAXTUST-UHFFFAOYSA-N 1 2 294.402 3.777 20 0 CHADLO Cc1[nH+]ccn1CCNc1cc(C)c2ccc(C)c(C)c2n1 ZINC001156010838 1132676167 /nfs/dbraw/zinc/67/61/67/1132676167.db2.gz QKHCSLQBAXTUST-UHFFFAOYSA-N 1 2 294.402 3.777 20 0 CHADLO Cc1ccccc1OCCCNc1[nH+]ccc(F)c1C ZINC001156033747 1132678350 /nfs/dbraw/zinc/67/83/50/1132678350.db2.gz COARINLQMOEJAZ-UHFFFAOYSA-N 1 2 274.339 3.719 20 0 CHADLO C=Cc1ccc(NC[C@H](C)Oc2ccc(OC)cc2)[nH+]c1 ZINC001156080679 1132680664 /nfs/dbraw/zinc/68/06/64/1132680664.db2.gz OYFVXPNAPZKWKV-ZDUSSCGKSA-N 1 2 284.359 3.613 20 0 CHADLO COc1cccc(Nc2cc[nH+]c(SC)c2)c1OC ZINC001174015930 1132690495 /nfs/dbraw/zinc/69/04/95/1132690495.db2.gz AZZISELRITZZBE-UHFFFAOYSA-N 1 2 276.361 3.564 20 0 CHADLO Nc1cc(Cl)[nH+]c(NC2CCC(C(F)(F)F)CC2)c1 ZINC001156414781 1132693296 /nfs/dbraw/zinc/69/32/96/1132693296.db2.gz HIFBBJYYNPKWLV-UHFFFAOYSA-N 1 2 293.720 3.850 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2N2CCCC2)c(C)c1 ZINC001174022639 1132696747 /nfs/dbraw/zinc/69/67/47/1132696747.db2.gz XPLJLGGYVFZQBO-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO Cc1c(F)cc[nH+]c1N(C)C(C)(C)Cc1ccccc1 ZINC001156516034 1132702612 /nfs/dbraw/zinc/70/26/12/1132702612.db2.gz WEHNZDCABDAFBV-UHFFFAOYSA-N 1 2 272.367 3.987 20 0 CHADLO Cc1cc(NCc2cc[nH+]c(N)c2)nc2c(Cl)cccc12 ZINC001156761849 1132708567 /nfs/dbraw/zinc/70/85/67/1132708567.db2.gz ZKLBFHAKMZEQIT-UHFFFAOYSA-N 1 2 298.777 3.786 20 0 CHADLO Cn1ccc2cc(Nc3ccc4c(c3)[nH+]cn4C)ccc21 ZINC001174098315 1132715778 /nfs/dbraw/zinc/71/57/78/1132715778.db2.gz JXKYSLZVZANMGI-UHFFFAOYSA-N 1 2 276.343 3.809 20 0 CHADLO Cc1ccc2cnccc2c1NCc1cccc2[nH+]ccn21 ZINC001203379475 1132721273 /nfs/dbraw/zinc/72/12/73/1132721273.db2.gz NLPDTMJUHAJLKS-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO Nc1cc(Cl)[nH+]c(N[C@@H]2C[C@H]2c2ccc(F)c(F)c2)c1 ZINC001157298702 1132726668 /nfs/dbraw/zinc/72/66/68/1132726668.db2.gz GSHNYKLNDIFNHZ-JOYOIKCWSA-N 1 2 295.720 3.563 20 0 CHADLO O=c1ccc(CNc2[nH+]ccc3cc(Cl)ccc32)c[nH]1 ZINC001157511837 1132733962 /nfs/dbraw/zinc/73/39/62/1132733962.db2.gz IPUCILMOVQSPLD-UHFFFAOYSA-N 1 2 285.734 3.601 20 0 CHADLO COC(=O)[C@@H]1C[C@H](Nc2cc(C)c3ccccc3[nH+]2)C1(C)C ZINC001157546474 1132734607 /nfs/dbraw/zinc/73/46/07/1132734607.db2.gz ALXMWUPZRUETNE-ZFWWWQNUSA-N 1 2 298.386 3.543 20 0 CHADLO O=C1CC(CNc2cc(SCc3ccccc3)cc[nH+]2)C1 ZINC001157611257 1132736858 /nfs/dbraw/zinc/73/68/58/1132736858.db2.gz NMMAKQJEKGFSPZ-UHFFFAOYSA-N 1 2 298.411 3.765 20 0 CHADLO Cc1cccc2c(C)cc(NC3(c4cccnn4)CC3)[nH+]c12 ZINC001157711317 1132739716 /nfs/dbraw/zinc/73/97/16/1132739716.db2.gz RVSCXBQFIYAMAM-UHFFFAOYSA-N 1 2 290.370 3.743 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H](c1ccccn1)C(F)(F)F ZINC001157684875 1132739739 /nfs/dbraw/zinc/73/97/39/1132739739.db2.gz SEQSUBJJYAKWQR-LBPRGKRZSA-N 1 2 297.280 3.509 20 0 CHADLO C=Cc1ccc(CNc2nc(C)[nH+]c3c2CCC3)cc1 ZINC001157778322 1132742482 /nfs/dbraw/zinc/74/24/82/1132742482.db2.gz UQKQYVFETCZUKR-UHFFFAOYSA-N 1 2 265.360 3.529 20 0 CHADLO Cc1nc(N[C@@H]2CCNc3ccccc32)cc(C(C)C)[nH+]1 ZINC001157830517 1132745399 /nfs/dbraw/zinc/74/53/99/1132745399.db2.gz ACKIGXABRWRZOB-OAHLLOKOSA-N 1 2 282.391 3.877 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H]2CCCc3cccnc32)c1 ZINC001157852192 1132749732 /nfs/dbraw/zinc/74/97/32/1132749732.db2.gz OJSJCCWPWZQCHS-CQSZACIVSA-N 1 2 285.416 3.829 20 0 CHADLO CCc1cccc(Nc2cccc(C(=O)NC(C)C)c2)[nH+]1 ZINC001174186247 1132754622 /nfs/dbraw/zinc/75/46/22/1132754622.db2.gz NLFYQVABBBLHIO-UHFFFAOYSA-N 1 2 283.375 3.526 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1NCCC1=CCCCC1 ZINC001157948030 1132756612 /nfs/dbraw/zinc/75/66/12/1132756612.db2.gz CPFCTRHKHFSMKD-UHFFFAOYSA-N 1 2 290.407 3.984 20 0 CHADLO Cc1nc(NCCCCc2ccccn2)cc(C(C)C)[nH+]1 ZINC001157967701 1132757173 /nfs/dbraw/zinc/75/71/73/1132757173.db2.gz TVWYPAZIZIIBPG-UHFFFAOYSA-N 1 2 284.407 3.738 20 0 CHADLO Cc1nn(C)c(C)c1Nc1c(C)cc[nH+]c1C(C)C ZINC001174227209 1132766640 /nfs/dbraw/zinc/76/66/40/1132766640.db2.gz MLEJKZXFYINRQZ-UHFFFAOYSA-N 1 2 258.369 3.607 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2C[C@@H](C)c3ccccc32)[nH+]1 ZINC001158468723 1132777817 /nfs/dbraw/zinc/77/78/17/1132777817.db2.gz OMDGZXLKHBAPCV-MEBBXXQBSA-N 1 2 278.359 3.927 20 0 CHADLO CCOc1cc(Nc2cccc(-n3cccn3)c2)cc(C)[nH+]1 ZINC001174298721 1132778345 /nfs/dbraw/zinc/77/83/45/1132778345.db2.gz BELNLVBVWWLSQL-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO CCN(CC)c1cc(N[C@@H]2C[C@H](C)c3ccccc32)[nH+]cn1 ZINC001158470572 1132779153 /nfs/dbraw/zinc/77/91/53/1132779153.db2.gz CXZFUGCXRWFGFQ-XJKSGUPXSA-N 1 2 296.418 3.983 20 0 CHADLO CCN(CC)c1cc(N[C@@H]2C[C@H](C)c3ccccc32)nc[nH+]1 ZINC001158470572 1132779155 /nfs/dbraw/zinc/77/91/55/1132779155.db2.gz CXZFUGCXRWFGFQ-XJKSGUPXSA-N 1 2 296.418 3.983 20 0 CHADLO CN(C)c1ccc(Nc2cccc(N3CCCCC3)c2)c[nH+]1 ZINC001174300784 1132779866 /nfs/dbraw/zinc/77/98/66/1132779866.db2.gz BMWHXGGJWDJFHP-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO Cc1cc(NC[C@@H](C)c2ccc(O)cc2)nc(C2CC2)[nH+]1 ZINC001158606851 1132785099 /nfs/dbraw/zinc/78/50/99/1132785099.db2.gz HLNVENKGSNDUOY-LLVKDONJSA-N 1 2 283.375 3.584 20 0 CHADLO Cc1nc(NCCc2coc3ccccc23)c2c([nH+]1)CCC2 ZINC001158661506 1132788039 /nfs/dbraw/zinc/78/80/39/1132788039.db2.gz KFAXBHJPZXAVTJ-UHFFFAOYSA-N 1 2 293.370 3.675 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(C(F)(F)F)nn2C)cc1 ZINC001174328504 1132794148 /nfs/dbraw/zinc/79/41/48/1132794148.db2.gz WBPKFRGHGFPHOR-UHFFFAOYSA-N 1 2 298.312 3.639 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(C(F)(F)F)nn2C)cc1 ZINC001174328504 1132794152 /nfs/dbraw/zinc/79/41/52/1132794152.db2.gz WBPKFRGHGFPHOR-UHFFFAOYSA-N 1 2 298.312 3.639 20 0 CHADLO Cc1ccn2cc(CN(C)c3cccc(C4CC4)[nH+]3)nc2c1 ZINC001158859765 1132796899 /nfs/dbraw/zinc/79/68/99/1132796899.db2.gz LWZFCBBAXURAPX-UHFFFAOYSA-N 1 2 292.386 3.552 20 0 CHADLO Cc1c[nH+]c(N(C)[C@H]2CCc3ccccc32)nc1NC(C)C ZINC001158917564 1132797357 /nfs/dbraw/zinc/79/73/57/1132797357.db2.gz ZTUYLDNBCNVCGK-INIZCTEOSA-N 1 2 296.418 3.729 20 0 CHADLO Cc1nc(N(C)CC(F)F)cc(C2CCCCC2)[nH+]1 ZINC001158934797 1132800756 /nfs/dbraw/zinc/80/07/56/1132800756.db2.gz VOZCVCWGDXOQMR-UHFFFAOYSA-N 1 2 269.339 3.534 20 0 CHADLO Cc1cccc2c(C)cc(N3C[C@@H]4CCCC(=O)[C@@H]4C3)[nH+]c12 ZINC001159001914 1132802988 /nfs/dbraw/zinc/80/29/88/1132802988.db2.gz USCQQMPVTUKZNM-GOEBONIOSA-N 1 2 294.398 3.657 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2n[nH]cc21 ZINC001174345027 1132804444 /nfs/dbraw/zinc/80/44/44/1132804444.db2.gz UQVJIAPLNBXBSY-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2[nH]ncc21 ZINC001174345027 1132804451 /nfs/dbraw/zinc/80/44/51/1132804451.db2.gz UQVJIAPLNBXBSY-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CCN(C)c1ccc(Nc2ccnc(C(F)(F)F)c2)c[nH+]1 ZINC001174361573 1132818886 /nfs/dbraw/zinc/81/88/86/1132818886.db2.gz QJWAXNVBAFNOCD-UHFFFAOYSA-N 1 2 296.296 3.695 20 0 CHADLO Cc1cc(F)cc(Cl)c1Nc1ccc(N)[nH+]c1 ZINC001159282357 1132823986 /nfs/dbraw/zinc/82/39/86/1132823986.db2.gz URRMRZKOUQVEGD-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ncncc3Cl)ccc21 ZINC001174380361 1132828600 /nfs/dbraw/zinc/82/86/00/1132828600.db2.gz BZZSEKHRRLQNGB-UHFFFAOYSA-N 1 2 287.754 3.804 20 0 CHADLO Cc1noc(Nc2ccc3c(c2)[nH+]cn3C(C)C)c1C ZINC001174381288 1132828968 /nfs/dbraw/zinc/82/89/68/1132828968.db2.gz UXRFWLWPJWCYKY-UHFFFAOYSA-N 1 2 270.336 3.966 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc2occc2c1 ZINC001174416709 1132832940 /nfs/dbraw/zinc/83/29/40/1132832940.db2.gz ANGUBNGVSDNUKS-UHFFFAOYSA-N 1 2 263.300 3.662 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ncccc2C(F)(F)F)o1 ZINC001204388822 1132844218 /nfs/dbraw/zinc/84/42/18/1132844218.db2.gz GEJJZPSFIXQVAA-UHFFFAOYSA-N 1 2 298.308 3.888 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ncccc2C(F)(F)F)o1 ZINC001204388822 1132844225 /nfs/dbraw/zinc/84/42/25/1132844225.db2.gz GEJJZPSFIXQVAA-UHFFFAOYSA-N 1 2 298.308 3.888 20 0 CHADLO Cc1cn2c(cccc2Nc2cnn(CCC(C)C)c2)[nH+]1 ZINC001174412504 1132850713 /nfs/dbraw/zinc/85/07/13/1132850713.db2.gz NXAIXCBCUPSTDJ-UHFFFAOYSA-N 1 2 283.379 3.629 20 0 CHADLO CC(C)CCn1cc(Nc2[nH+]c3ccccc3n2C)cn1 ZINC001174411784 1132851132 /nfs/dbraw/zinc/85/11/32/1132851132.db2.gz AZDSWOUFBJCEKE-UHFFFAOYSA-N 1 2 283.379 3.560 20 0 CHADLO c1coc(-c2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2)n1 ZINC001174415669 1132855191 /nfs/dbraw/zinc/85/51/91/1132855191.db2.gz PXKJZHSLCDRBHP-UHFFFAOYSA-N 1 2 276.299 3.913 20 0 CHADLO COc1cc[nH+]c(Nc2cc(F)ccc2C(F)(F)F)c1 ZINC001174485373 1132858159 /nfs/dbraw/zinc/85/81/59/1132858159.db2.gz QENJWNOLIRUVMS-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO CNc1ccc(Nc2cccc(C)c2Br)c[nH+]1 ZINC001159616012 1132875623 /nfs/dbraw/zinc/87/56/23/1132875623.db2.gz XOLIXKNNDUOGNF-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO CCOc1ncccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001174455413 1132866652 /nfs/dbraw/zinc/86/66/52/1132866652.db2.gz NRVOWVKIEQNSSW-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO CC(C)(C[NH3+])c1ccc(Nc2cccc(S)c2)cc1 ZINC001159580912 1132866855 /nfs/dbraw/zinc/86/68/55/1132866855.db2.gz BSHQZUAFWDBHNA-UHFFFAOYSA-N 1 2 272.417 3.955 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccccc3N)ccc21 ZINC001159591052 1132869362 /nfs/dbraw/zinc/86/93/62/1132869362.db2.gz HAIFBZYYKYAQKN-UHFFFAOYSA-N 1 2 266.348 3.943 20 0 CHADLO Cc1cc(NCc2cccc3ccnn32)[nH+]c2ccccc12 ZINC001159613254 1132875194 /nfs/dbraw/zinc/87/51/94/1132875194.db2.gz KYROJTKEFOJMGP-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(N)c2)nc(C(C)(C)C)[nH+]1 ZINC001159638977 1132880675 /nfs/dbraw/zinc/88/06/75/1132880675.db2.gz DIFPRLGVQQOOHA-LBPRGKRZSA-N 1 2 284.407 3.838 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)OCC3)c(N2CCCCC2)c1 ZINC001206672201 1132902542 /nfs/dbraw/zinc/90/25/42/1132902542.db2.gz PUKJYYDBSNDXNW-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(NC(=O)NCC)cc2)c1 ZINC001174519907 1132911628 /nfs/dbraw/zinc/91/16/28/1132911628.db2.gz UUUFWCRPKJFRRS-UHFFFAOYSA-N 1 2 298.390 3.919 20 0 CHADLO Cc1cccc(N)c1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174524164 1132912699 /nfs/dbraw/zinc/91/26/99/1132912699.db2.gz HCFGXGAAXQFWDV-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO c1noc2cc(Nc3ccc(N4CCCCC4)[nH+]c3)ccc12 ZINC001174522779 1132913136 /nfs/dbraw/zinc/91/31/36/1132913136.db2.gz BSAFIOADJJVIEV-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO Oc1cccc(F)c1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174524448 1132913325 /nfs/dbraw/zinc/91/33/25/1132913325.db2.gz KLALLDWAAFCLLW-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO CC(C)(O)c1cncc(Nc2cccc3cc[nH+]cc32)c1 ZINC001174590703 1132918643 /nfs/dbraw/zinc/91/86/43/1132918643.db2.gz CODMHRYGSYDLQI-UHFFFAOYSA-N 1 2 279.343 3.601 20 0 CHADLO Cc1ccc(Nc2cc(N)ccc2Br)c(C)[nH+]1 ZINC001159926093 1132926556 /nfs/dbraw/zinc/92/65/56/1132926556.db2.gz ADCWPOBDRWKDHV-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnc(C4CC4)nc3)ccc12 ZINC001174617941 1132928197 /nfs/dbraw/zinc/92/81/97/1132928197.db2.gz KHSPPOUYVNJORS-UHFFFAOYSA-N 1 2 276.343 3.954 20 0 CHADLO Cc1cccc2c(C)cc(N[C@H](C)c3ncc(F)cn3)[nH+]c12 ZINC001159959447 1132930099 /nfs/dbraw/zinc/93/00/99/1132930099.db2.gz KMUNATHRGGWQLU-GFCCVEGCSA-N 1 2 296.349 3.954 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnn([C@H]2CCCCO2)c1 ZINC001174603349 1132940027 /nfs/dbraw/zinc/94/00/27/1132940027.db2.gz WJIXMSGVHQBVNI-OAHLLOKOSA-N 1 2 286.379 3.592 20 0 CHADLO Cc1c(F)cccc1Nc1[nH+]cccc1N1CCCC1 ZINC001174666546 1132955645 /nfs/dbraw/zinc/95/56/45/1132955645.db2.gz CHQMAPHENDYZHY-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1c(F)cccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001174669123 1132957225 /nfs/dbraw/zinc/95/72/25/1132957225.db2.gz WAECDEGBCTZRTJ-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1Nc1ccc2c(c1)CCCO2 ZINC001174720526 1132964572 /nfs/dbraw/zinc/96/45/72/1132964572.db2.gz DNLKBICYALIAGG-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO c1ccc2c(CNc3cc4ccccc4c[nH+]3)noc2c1 ZINC001160581008 1132974583 /nfs/dbraw/zinc/97/45/83/1132974583.db2.gz ARIYGANISYJZRF-UHFFFAOYSA-N 1 2 275.311 3.988 20 0 CHADLO CSCc1ccc(NCCc2cc(F)cc(F)c2)[nH+]c1 ZINC001160574729 1132974826 /nfs/dbraw/zinc/97/48/26/1132974826.db2.gz JLPWYLMTIQYJRW-UHFFFAOYSA-N 1 2 294.370 3.877 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H](c1ccccc1)C1(O)CCC1 ZINC001160541888 1132974870 /nfs/dbraw/zinc/97/48/70/1132974870.db2.gz VGCMBDMCIPGZQP-HNNXBMFYSA-N 1 2 286.350 3.597 20 0 CHADLO Cc1cc(NC2CC3(C2)CC(F)(F)C3)nc(C2CC2)[nH+]1 ZINC001160590960 1132975460 /nfs/dbraw/zinc/97/54/60/1132975460.db2.gz CJFOVVMCQQZSPO-UHFFFAOYSA-N 1 2 279.334 3.652 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3ncncc3C(C)C)c[nH+]c12 ZINC001160683797 1132979042 /nfs/dbraw/zinc/97/90/42/1132979042.db2.gz UUXZBKPSQNTPJW-ZDUSSCGKSA-N 1 2 295.390 3.729 20 0 CHADLO Cc1noc2ccc(CNc3cc(C(C)C)[nH+]c(C)n3)cc12 ZINC001160864151 1132985678 /nfs/dbraw/zinc/98/56/78/1132985678.db2.gz YDOWKPWBZZEZRK-UHFFFAOYSA-N 1 2 296.374 3.970 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)cnc1Cl ZINC001213027239 1132986851 /nfs/dbraw/zinc/98/68/51/1132986851.db2.gz PXEKIVDPHIYEKP-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO O=c1cc(Nc2cccc3[nH+]c[nH]c32)c2ccccc2[nH]1 ZINC001213028584 1132988668 /nfs/dbraw/zinc/98/86/68/1132988668.db2.gz SATMVRIRSQJBTG-UHFFFAOYSA-N 1 2 276.299 3.560 20 0 CHADLO O=c1[nH]cc(Nc2cccc3[nH+]c[nH]c32)c2ccccc12 ZINC001213027998 1132988746 /nfs/dbraw/zinc/98/87/46/1132988746.db2.gz DQEUUYVYPSBRKI-UHFFFAOYSA-N 1 2 276.299 3.560 20 0 CHADLO Oc1ccc2ncc(Nc3cccc4[nH+]c[nH]c43)cc2c1 ZINC001213028556 1132989343 /nfs/dbraw/zinc/98/93/43/1132989343.db2.gz QUKHHLQNCFBCDD-UHFFFAOYSA-N 1 2 276.299 3.560 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)n(CC2CCC2)n1 ZINC001213029878 1132993849 /nfs/dbraw/zinc/99/38/49/1132993849.db2.gz WLOUUHMSZWOUJK-UHFFFAOYSA-N 1 2 281.363 3.612 20 0 CHADLO CCOc1cc(F)ccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213030605 1132994190 /nfs/dbraw/zinc/99/41/90/1132994190.db2.gz IMFSJPVWVDFSSI-UHFFFAOYSA-N 1 2 271.295 3.844 20 0 CHADLO Fc1ncc(C(F)(F)F)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213030789 1132994278 /nfs/dbraw/zinc/99/42/78/1132994278.db2.gz RDKWJLCZHZDXCV-UHFFFAOYSA-N 1 2 296.227 3.859 20 0 CHADLO c1[nH]c2c(cccc2Nc2cncc(N3CCCCC3)c2)[nH+]1 ZINC001213029861 1132994418 /nfs/dbraw/zinc/99/44/18/1132994418.db2.gz VXDIVYOJYHJHDS-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO CCOC(=O)c1ccc(Nc2cccc3[nH+]c[nH]c32)c(F)c1 ZINC001213030838 1132995925 /nfs/dbraw/zinc/99/59/25/1132995925.db2.gz UFZHRRWLFXHBOT-UHFFFAOYSA-N 1 2 299.305 3.622 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(C(C)(C)C)n1)C(F)(F)F ZINC001161382970 1132998695 /nfs/dbraw/zinc/99/86/95/1132998695.db2.gz WVJHXMVYIDTZHH-SECBINFHSA-N 1 2 275.318 3.835 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032721 1133001555 /nfs/dbraw/zinc/00/15/55/1133001555.db2.gz UVCWKYSTFJKYAJ-UHFFFAOYSA-N 1 2 269.279 3.648 20 0 CHADLO CCn1ncc2cc(Nc3cccc4[nH+]c[nH]c43)ccc21 ZINC001213033350 1133004364 /nfs/dbraw/zinc/00/43/64/1133004364.db2.gz AZMXKEZLSUOZHC-UHFFFAOYSA-N 1 2 277.331 3.676 20 0 CHADLO CCC(C)(C)c1ccc(CN2CCC(F)(F)[C@@H]([NH3+])C2)cc1 ZINC001206675681 1133004681 /nfs/dbraw/zinc/00/46/81/1133004681.db2.gz KWXUNJODFSOJHM-HNNXBMFYSA-N 1 2 296.405 3.543 20 0 CHADLO Cc1cc2c(ccnc2NCc2c[nH+]cn2CC2CCC2)o1 ZINC001161535511 1133005724 /nfs/dbraw/zinc/00/57/24/1133005724.db2.gz CRAPXFALITXZJP-UHFFFAOYSA-N 1 2 296.374 3.745 20 0 CHADLO CSc1cc(N[C@H](C)CCc2ccccc2)nc(C)[nH+]1 ZINC001161612142 1133008785 /nfs/dbraw/zinc/00/87/85/1133008785.db2.gz UUIWQPLYMAWKCD-GFCCVEGCSA-N 1 2 287.432 3.940 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@H](c1cncc(F)c1)C1CC1 ZINC001161814541 1133031487 /nfs/dbraw/zinc/03/14/87/1133031487.db2.gz IRVOTEVQLKKFRP-AWEZNQCLSA-N 1 2 275.302 3.626 20 0 CHADLO Cc1cc2cc(NCc3nc4ccccc4o3)[nH+]cc2[nH]1 ZINC001161965742 1133043586 /nfs/dbraw/zinc/04/35/86/1133043586.db2.gz BKMLLIYOTFFFSM-UHFFFAOYSA-N 1 2 278.315 3.625 20 0 CHADLO c1ccn(-c2ccc(NCc3nc4ccccc4o3)[nH+]c2)c1 ZINC001161967760 1133044760 /nfs/dbraw/zinc/04/47/60/1133044760.db2.gz PFFVVGSNIMVBNP-UHFFFAOYSA-N 1 2 290.326 3.626 20 0 CHADLO COc1ccc(CNc2cc(C)[nH+]c(C3CCC3)n2)cc1 ZINC001161970386 1133045142 /nfs/dbraw/zinc/04/51/42/1133045142.db2.gz IRGBFBPJRYRRHH-UHFFFAOYSA-N 1 2 283.375 3.673 20 0 CHADLO CC1(CNc2cc(N)cc(Cl)[nH+]2)CCC(F)(F)CC1 ZINC001162028497 1133049015 /nfs/dbraw/zinc/04/90/15/1133049015.db2.gz YDVOKTKSEYTXHD-UHFFFAOYSA-N 1 2 289.757 3.945 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCCc2ncc(C)cn2)c1 ZINC001162247348 1133064195 /nfs/dbraw/zinc/06/41/95/1133064195.db2.gz PLXMRFJYZCAPHF-UHFFFAOYSA-N 1 2 298.434 3.594 20 0 CHADLO Cc1oncc1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001162269671 1133066636 /nfs/dbraw/zinc/06/66/36/1133066636.db2.gz SLDQZVWSYFGTAS-UHFFFAOYSA-N 1 2 274.368 3.632 20 0 CHADLO Cc1cccc2c(C)cc(N[C@H]3C[C@]34CCCOC4)[nH+]c12 ZINC001162367999 1133072279 /nfs/dbraw/zinc/07/22/79/1133072279.db2.gz NCJYWUUOMSRENH-YJBOKZPZSA-N 1 2 282.387 3.833 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@H]1CCOc2c(F)cc(F)cc21 ZINC001162405092 1133075467 /nfs/dbraw/zinc/07/54/67/1133075467.db2.gz AZEOYYZIUMZPRS-ZDUSSCGKSA-N 1 2 294.276 3.743 20 0 CHADLO Cn1ncc2c1cccc2CNc1cc2ccccc2c[nH+]1 ZINC001162586569 1133094239 /nfs/dbraw/zinc/09/42/39/1133094239.db2.gz FDEIDQRGTUYMJR-UHFFFAOYSA-N 1 2 288.354 3.734 20 0 CHADLO CC(C)Oc1cc(NC[C@H]2CCC(F)(F)C2)cc[nH+]1 ZINC001162647911 1133099191 /nfs/dbraw/zinc/09/91/91/1133099191.db2.gz HZYOMUDNUYYEFQ-NSHDSACASA-N 1 2 270.323 3.716 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NC[C@@H]2CCC(F)(F)C2)c1 ZINC001162650436 1133100352 /nfs/dbraw/zinc/10/03/52/1133100352.db2.gz RBAPNJXEBLPFPD-GFCCVEGCSA-N 1 2 291.345 3.934 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@H]1CCc2ccc(C)cc21 ZINC001162823836 1133114367 /nfs/dbraw/zinc/11/43/67/1133114367.db2.gz AXYIQBYIFGDATH-HNNXBMFYSA-N 1 2 268.360 3.806 20 0 CHADLO CSc1cc(NCc2cn(C)c3ccccc23)nc(C)[nH+]1 ZINC001162827559 1133115675 /nfs/dbraw/zinc/11/56/75/1133115675.db2.gz MSBDUMOQOPXFTL-UHFFFAOYSA-N 1 2 298.415 3.611 20 0 CHADLO COc1cccc2[nH+]c(NCc3ccc(C)nc3)ccc21 ZINC001162865541 1133117615 /nfs/dbraw/zinc/11/76/15/1133117615.db2.gz MCVLWDWIKGCMJN-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CS[C@H](CNc1nc(C)[nH+]c2c1CCCC2)C(C)(C)C ZINC001163030405 1133126535 /nfs/dbraw/zinc/12/65/35/1133126535.db2.gz FJOGWOKXNURHKO-CQSZACIVSA-N 1 2 293.480 3.853 20 0 CHADLO Cc1cc(NC23CCC(CC2)C3)nc(C2CCC2)[nH+]1 ZINC001163030778 1133126763 /nfs/dbraw/zinc/12/67/63/1133126763.db2.gz IKXFJRYVWLVNQU-UHFFFAOYSA-N 1 2 257.381 3.797 20 0 CHADLO CCc1cc(NCCCCCSC)nc(CC)[nH+]1 ZINC001163106440 1133130733 /nfs/dbraw/zinc/13/07/33/1133130733.db2.gz LRQODEJMNJFHHG-UHFFFAOYSA-N 1 2 267.442 3.547 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H](c1ccccc1)c1cccnn1 ZINC001163103758 1133130899 /nfs/dbraw/zinc/13/08/99/1133130899.db2.gz HQINFLYRTHGWJP-INIZCTEOSA-N 1 2 294.333 3.521 20 0 CHADLO CCC(CC)N(CC)c1nc(C)[nH+]c2c1CCCC2 ZINC001163419518 1133148760 /nfs/dbraw/zinc/14/87/60/1133148760.db2.gz LDRVCEJTMMJCKQ-UHFFFAOYSA-N 1 2 261.413 3.679 20 0 CHADLO CCOc1cccc(CCNc2ccc3[nH+]cn(C)c3c2)c1 ZINC001163709235 1133166556 /nfs/dbraw/zinc/16/65/56/1133166556.db2.gz RIWVUPCDXPZYIQ-UHFFFAOYSA-N 1 2 295.386 3.627 20 0 CHADLO COc1cc2cc[nH+]c(N(C)C3CC(F)(F)C3)c2cc1F ZINC001163767665 1133174047 /nfs/dbraw/zinc/17/40/47/1133174047.db2.gz NJVQNJMTCOWGMQ-UHFFFAOYSA-N 1 2 296.292 3.616 20 0 CHADLO Cc1ccc2cc[nH+]c(N3CCC(=O)[C@H]4CCCC[C@@H]43)c2c1 ZINC001163789123 1133175425 /nfs/dbraw/zinc/17/54/25/1133175425.db2.gz AKNKLEJVDRAPBS-RDJZCZTQSA-N 1 2 294.398 3.881 20 0 CHADLO CSCCCNc1cc[nH+]c(OCc2ccccc2)c1 ZINC001163875008 1133181714 /nfs/dbraw/zinc/18/17/14/1133181714.db2.gz RWMLJAVPNPVNJM-UHFFFAOYSA-N 1 2 288.416 3.826 20 0 CHADLO CCCc1cc(NCCCSC)nc(CCC)[nH+]1 ZINC001163871469 1133182328 /nfs/dbraw/zinc/18/23/28/1133182328.db2.gz OEOJAYGYOUORML-UHFFFAOYSA-N 1 2 267.442 3.547 20 0 CHADLO CC(C)c1cc(N[C@@H]2C[C@@H](C)O[C@@H]2C)nc(C(C)C)[nH+]1 ZINC001163906270 1133184023 /nfs/dbraw/zinc/18/40/23/1133184023.db2.gz BSAFUKIGABTTJX-YRGRVCCFSA-N 1 2 277.412 3.701 20 0 CHADLO COc1ccc(O[C@@H](C)CNc2c[nH+]cc(C)c2C)cc1 ZINC001164051278 1133195139 /nfs/dbraw/zinc/19/51/39/1133195139.db2.gz PJVMCESWKZXSMD-ZDUSSCGKSA-N 1 2 286.375 3.586 20 0 CHADLO CCSc1cc[nH+]c(N2CC[C@H](CF)C(F)(F)C2)c1 ZINC001164100123 1133196898 /nfs/dbraw/zinc/19/68/98/1133196898.db2.gz VQXHXHWTUUNEDN-SNVBAGLBSA-N 1 2 290.354 3.625 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC[C@@H](CF)C(F)(F)C2)[nH+]1 ZINC001164095146 1133197013 /nfs/dbraw/zinc/19/70/13/1133197013.db2.gz SIOYCPIMLJQDJZ-JTQLQIEISA-N 1 2 292.732 3.783 20 0 CHADLO FC[C@H]1CCN(c2cc3ccccc3c[nH+]2)CC1(F)F ZINC001164092602 1133197087 /nfs/dbraw/zinc/19/70/87/1133197087.db2.gz VMAYEMAZFVNTLP-CYBMUJFWSA-N 1 2 280.293 3.666 20 0 CHADLO COc1ccc2[nH+]c(N3CC[C@@H](C)[C@H](F)C3)cc(C)c2c1 ZINC001164174856 1133203264 /nfs/dbraw/zinc/20/32/64/1133203264.db2.gz GTNWAQHAMWKYQL-IAQYHMDHSA-N 1 2 288.366 3.736 20 0 CHADLO Cn1c[nH+]c2ccc(NCc3cnc4ccccc4c3)cc21 ZINC001164201697 1133206840 /nfs/dbraw/zinc/20/68/40/1133206840.db2.gz KGGIGGHLSVFHMV-UHFFFAOYSA-N 1 2 288.354 3.734 20 0 CHADLO CCc1cc(NC[C@@H]2CCCC3(CCC3)O2)nc(CC)[nH+]1 ZINC001164265589 1133210374 /nfs/dbraw/zinc/21/03/74/1133210374.db2.gz WMQKSXIWNSUTHU-AWEZNQCLSA-N 1 2 289.423 3.505 20 0 CHADLO CCc1nc(N2Cc3ccccc3[C@@H]2CC)cc(C)[nH+]1 ZINC001164310025 1133212555 /nfs/dbraw/zinc/21/25/55/1133212555.db2.gz HFXIADZETGYZHD-HNNXBMFYSA-N 1 2 267.376 3.819 20 0 CHADLO Cc1cc(N2CC(C)(C)C[C@@]2(C)CO)[nH+]c2ccccc12 ZINC001164357656 1133215578 /nfs/dbraw/zinc/21/55/78/1133215578.db2.gz QFBHPULBCQPJMQ-SFHVURJKSA-N 1 2 284.403 3.531 20 0 CHADLO C[C@@]1(CF)CC(F)(F)CN1c1[nH+]ccc2ccccc21 ZINC001164557610 1133222289 /nfs/dbraw/zinc/22/22/89/1133222289.db2.gz KLEMVABYFFGYJT-AWEZNQCLSA-N 1 2 280.293 3.808 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2cccc(F)c2F)o1 ZINC001204394961 1133227818 /nfs/dbraw/zinc/22/78/18/1133227818.db2.gz WWJDDOZPRQMEMY-UHFFFAOYSA-N 1 2 265.303 3.752 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2cccc(F)c2F)o1 ZINC001204394961 1133227823 /nfs/dbraw/zinc/22/78/23/1133227823.db2.gz WWJDDOZPRQMEMY-UHFFFAOYSA-N 1 2 265.303 3.752 20 0 CHADLO Cc1cc(N2CCC23CCCC3)nc(C(C)(C)C)[nH+]1 ZINC001165279957 1133234969 /nfs/dbraw/zinc/23/49/69/1133234969.db2.gz KAFJDEGZGVIEAL-UHFFFAOYSA-N 1 2 259.397 3.605 20 0 CHADLO FC1CC2(C1)CCN(c1cc3ccccc3c[nH+]1)CC2 ZINC001165308065 1133237900 /nfs/dbraw/zinc/23/79/00/1133237900.db2.gz ABHXSBXVHZKUNQ-UHFFFAOYSA-N 1 2 270.351 3.953 20 0 CHADLO Cc1cc(N2CC[C@H]3CCCO[C@@H]3C2)[nH+]c2ccccc12 ZINC001165331998 1133239864 /nfs/dbraw/zinc/23/98/64/1133239864.db2.gz GDVMBRUBWRTVLV-RHSMWYFYSA-N 1 2 282.387 3.549 20 0 CHADLO CC(=O)Nc1c(C)cc[nH+]c1N1CCC2(CCCC2)CC1 ZINC001165323675 1133240345 /nfs/dbraw/zinc/24/03/45/1133240345.db2.gz WOHGPWFWWJKNLV-UHFFFAOYSA-N 1 2 287.407 3.509 20 0 CHADLO COc1cccc2c(NCCCc3ccncc3)cc[nH+]c12 ZINC001165546556 1133245799 /nfs/dbraw/zinc/24/57/99/1133245799.db2.gz KTIUKKDVCZXDCK-UHFFFAOYSA-N 1 2 293.370 3.683 20 0 CHADLO COCC(C)(C)Nc1ccc2c(c1)[nH+]cn2-c1ccccc1 ZINC001166002814 1133257193 /nfs/dbraw/zinc/25/71/93/1133257193.db2.gz QVQDXVSDBGUGMW-UHFFFAOYSA-N 1 2 295.386 3.862 20 0 CHADLO COc1ccc2[nH+]c(N(C)C(C)(C)C)cc(C)c2c1 ZINC001166527401 1133267909 /nfs/dbraw/zinc/26/79/09/1133267909.db2.gz LOCIMGPDRISVPU-UHFFFAOYSA-N 1 2 258.365 3.787 20 0 CHADLO CC(C)(C)c1ccc(N2CC[C@@H](c3ccncc3)C2)[nH+]c1 ZINC001166567844 1133271793 /nfs/dbraw/zinc/27/17/93/1133271793.db2.gz GAKAUPOFNNNUPW-OAHLLOKOSA-N 1 2 281.403 3.768 20 0 CHADLO COc1cc(Cn2c[nH+]c(C(C)(C)C)c2)ccc1C ZINC001166618250 1133277543 /nfs/dbraw/zinc/27/75/43/1133277543.db2.gz CDKMAAYRSMGACG-UHFFFAOYSA-N 1 2 258.365 3.546 20 0 CHADLO CSc1cc[nH+]c(N(C)Cc2cccc3cccnc32)c1 ZINC001166688163 1133282127 /nfs/dbraw/zinc/28/21/27/1133282127.db2.gz PHSIERIIQPXKAN-UHFFFAOYSA-N 1 2 295.411 3.988 20 0 CHADLO CC(C)[C@H]1COCCN1c1cc(-c2ccccc2)cc[nH+]1 ZINC001166997273 1133297252 /nfs/dbraw/zinc/29/72/52/1133297252.db2.gz KIYUFLXTLKXVIU-QGZVFWFLSA-N 1 2 282.387 3.610 20 0 CHADLO CCCc1cc(N2CCC[C@@H]2c2nccs2)nc(C)[nH+]1 ZINC001167040676 1133302158 /nfs/dbraw/zinc/30/21/58/1133302158.db2.gz BCHIRKMAFCFBNX-CYBMUJFWSA-N 1 2 288.420 3.536 20 0 CHADLO Cc1ccc(Nc2cnccc2C(F)(F)F)c(C)[nH+]1 ZINC001203367200 1133318325 /nfs/dbraw/zinc/31/83/25/1133318325.db2.gz JMUHWFVWBSNFNF-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1ccc(Nc2cc(-c3ccccc3O)no2)c(C)[nH+]1 ZINC001203369258 1133319571 /nfs/dbraw/zinc/31/95/71/1133319571.db2.gz NDRGVVMFNNAPNL-UHFFFAOYSA-N 1 2 281.315 3.803 20 0 CHADLO COc1cccc2cc(Nc3ccc(C)[nH+]c3C)cnc21 ZINC001203369455 1133320100 /nfs/dbraw/zinc/32/01/00/1133320100.db2.gz QSWWTFXPMZWUMG-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cccc2ncc(Nc3ccc(C)[nH+]c3C)cc21 ZINC001203369887 1133320429 /nfs/dbraw/zinc/32/04/29/1133320429.db2.gz UXZXBOLNTPGZGX-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CNc1ccc(Nc2cccc(C(F)(F)F)c2OC)c[nH+]1 ZINC001203457885 1133329108 /nfs/dbraw/zinc/32/91/08/1133329108.db2.gz CUALPOCQPAZIEB-UHFFFAOYSA-N 1 2 297.280 3.894 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc3c(C4CCC4)cnn3c2)c1 ZINC001203661687 1133349019 /nfs/dbraw/zinc/34/90/19/1133349019.db2.gz YRVRIMJKBHZDHV-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1cc2c(ccc(N)c2F)n1-c1cc(C)c[nH+]c1C ZINC001203663221 1133349501 /nfs/dbraw/zinc/34/95/01/1133349501.db2.gz DZMXPSNINMRELX-UHFFFAOYSA-N 1 2 269.323 3.672 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(C(=O)NC(C)C)c2)c1 ZINC001203663134 1133349952 /nfs/dbraw/zinc/34/99/52/1133349952.db2.gz KSWIEEHMSTYZDS-UHFFFAOYSA-N 1 2 283.375 3.580 20 0 CHADLO CC(=O)N1CCCc2ccc(Nc3cc(C)c[nH+]c3C)cc21 ZINC001203663349 1133351936 /nfs/dbraw/zinc/35/19/36/1133351936.db2.gz IIICLJZPDMXNHL-UHFFFAOYSA-N 1 2 295.386 3.741 20 0 CHADLO Cc1ccc(C)c(C[N@@H+]2CCC[C@@H](F)C(F)(F)C2)c1 ZINC001203743183 1133363393 /nfs/dbraw/zinc/36/33/93/1133363393.db2.gz NKXHAIPFICIMNF-CQSZACIVSA-N 1 2 271.326 3.873 20 0 CHADLO Cc1ccc(C)c(C[N@H+]2CCC[C@@H](F)C(F)(F)C2)c1 ZINC001203743183 1133363396 /nfs/dbraw/zinc/36/33/96/1133363396.db2.gz NKXHAIPFICIMNF-CQSZACIVSA-N 1 2 271.326 3.873 20 0 CHADLO COc1cc(C)c(C[N@@H+]2Cc3cnc(C)cc3C2)cc1C ZINC001203757624 1133367018 /nfs/dbraw/zinc/36/70/18/1133367018.db2.gz GTBKFKXYNPEMQV-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO COc1cc(C)c(C[N@H+]2Cc3cnc(C)cc3C2)cc1C ZINC001203757624 1133367022 /nfs/dbraw/zinc/36/70/22/1133367022.db2.gz GTBKFKXYNPEMQV-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3nc(Cl)ccc3C2)s1 ZINC001204033236 1133400900 /nfs/dbraw/zinc/40/09/00/1133400900.db2.gz MEFMPZIPIAINSP-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3nc(Cl)ccc3C2)s1 ZINC001204033236 1133400904 /nfs/dbraw/zinc/40/09/04/1133400904.db2.gz MEFMPZIPIAINSP-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1ccccn1 ZINC001204060165 1133405049 /nfs/dbraw/zinc/40/50/49/1133405049.db2.gz VXUGEFACDDQWMV-IAGOWNOFSA-N 1 2 276.424 3.845 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1ccccn1 ZINC001204060165 1133405052 /nfs/dbraw/zinc/40/50/52/1133405052.db2.gz VXUGEFACDDQWMV-IAGOWNOFSA-N 1 2 276.424 3.845 20 0 CHADLO Cc1ccc(C[NH+]2CC(Oc3ccc(F)c(F)c3)C2)cc1 ZINC001204171481 1133415373 /nfs/dbraw/zinc/41/53/73/1133415373.db2.gz JOIZZRDQMIHICB-UHFFFAOYSA-N 1 2 289.325 3.536 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2c(F)cccc2F)C1 ZINC001204202547 1133417748 /nfs/dbraw/zinc/41/77/48/1133417748.db2.gz HKANPCZHKHJZIA-AWEZNQCLSA-N 1 2 257.299 3.679 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2c(F)cccc2F)C1 ZINC001204202547 1133417752 /nfs/dbraw/zinc/41/77/52/1133417752.db2.gz HKANPCZHKHJZIA-AWEZNQCLSA-N 1 2 257.299 3.679 20 0 CHADLO FC[C@]1(C(F)(F)F)CC[N@H+](Cc2c(F)cccc2F)C1 ZINC001204202707 1133417801 /nfs/dbraw/zinc/41/78/01/1133417801.db2.gz LROPXTZVEPGKBJ-GFCCVEGCSA-N 1 2 297.242 3.689 20 0 CHADLO FC[C@]1(C(F)(F)F)CC[N@@H+](Cc2c(F)cccc2F)C1 ZINC001204202707 1133417803 /nfs/dbraw/zinc/41/78/03/1133417803.db2.gz LROPXTZVEPGKBJ-GFCCVEGCSA-N 1 2 297.242 3.689 20 0 CHADLO Fc1ccc2[nH]ccc2c1Nc1ccn2cc[nH+]c2c1 ZINC001204284571 1133429054 /nfs/dbraw/zinc/42/90/54/1133429054.db2.gz SFWQXHHNDZZVIG-UHFFFAOYSA-N 1 2 266.279 3.698 20 0 CHADLO Fc1cccc(C(F)(F)F)c1C[NH+]1CC2(CCC2)C1 ZINC001204462545 1133449766 /nfs/dbraw/zinc/44/97/66/1133449766.db2.gz YNTCPXOPWGWLBV-UHFFFAOYSA-N 1 2 273.273 3.830 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)oc1C ZINC001204541490 1133461704 /nfs/dbraw/zinc/46/17/04/1133461704.db2.gz LPOAURYNQQXYTO-KBXCAEBGSA-N 1 2 285.387 3.858 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)oc1C ZINC001204541490 1133461705 /nfs/dbraw/zinc/46/17/05/1133461705.db2.gz LPOAURYNQQXYTO-KBXCAEBGSA-N 1 2 285.387 3.858 20 0 CHADLO Fc1ccc(-c2cncc(C3CC3)c2)cc1-n1cc[nH+]c1 ZINC001204597464 1133465762 /nfs/dbraw/zinc/46/57/62/1133465762.db2.gz JDIJKABTJVSCEX-UHFFFAOYSA-N 1 2 279.318 3.951 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(-c3cccc(Cl)c3)o2)CCO1 ZINC001204693796 1133476713 /nfs/dbraw/zinc/47/67/13/1133476713.db2.gz GYWRQLPNAISOLR-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(-c3cccc(Cl)c3)o2)CCO1 ZINC001204693796 1133476717 /nfs/dbraw/zinc/47/67/17/1133476717.db2.gz GYWRQLPNAISOLR-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(OCc2ccccc2)nc1 ZINC001204750571 1133481508 /nfs/dbraw/zinc/48/15/08/1133481508.db2.gz SBTTWAARPZHAMX-DYWAFWCTSA-N 1 2 266.344 3.536 20 0 CHADLO Cc1cn2cccc(Nc3ccc4c(c3)c(C)nn4C)c2[nH+]1 ZINC001204839138 1133492036 /nfs/dbraw/zinc/49/20/36/1133492036.db2.gz BOGJNPZAFHTRSZ-UHFFFAOYSA-N 1 2 291.358 3.581 20 0 CHADLO Clc1cc(Cl)nc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204951075 1133505447 /nfs/dbraw/zinc/50/54/47/1133505447.db2.gz MULUTWCESXGPTP-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO FC(F)(F)c1csc(Nc2ccn3cc[nH+]c3c2)n1 ZINC001204950910 1133506145 /nfs/dbraw/zinc/50/61/45/1133506145.db2.gz GWANPMBBGQSNLC-UHFFFAOYSA-N 1 2 284.266 3.553 20 0 CHADLO Cc1ccccc1-n1nccc1Nc1ccn2cc[nH+]c2c1 ZINC001204966114 1133509129 /nfs/dbraw/zinc/50/91/29/1133509129.db2.gz MEMIKFBUNGQKNQ-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO Fc1cccc2cc(Nc3ccn4cc[nH+]c4c3)cnc12 ZINC001204966109 1133509666 /nfs/dbraw/zinc/50/96/66/1133509666.db2.gz LLCIOLOJJSNUMS-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO c1cn2ccc(Nc3cccnc3-c3ccccn3)cc2[nH+]1 ZINC001204971770 1133510480 /nfs/dbraw/zinc/51/04/80/1133510480.db2.gz PNWGXXVZGUBWSI-UHFFFAOYSA-N 1 2 287.326 3.535 20 0 CHADLO Cc1nc2ccc(Nc3ccn4cc[nH+]c4c3)cc2s1 ZINC001204977728 1133511205 /nfs/dbraw/zinc/51/12/05/1133511205.db2.gz JUJVWBWPYYDPHJ-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO Cc1cc(C)c(Nc2ccn3cc[nH+]c3c2)c(C)c1N ZINC001204982730 1133513279 /nfs/dbraw/zinc/51/32/79/1133513279.db2.gz HCBZKTPDBAVLNO-UHFFFAOYSA-N 1 2 266.348 3.585 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccnn2CC2CCC2)C2CC2)o1 ZINC001205083165 1133524921 /nfs/dbraw/zinc/52/49/21/1133524921.db2.gz VCDXHBIMKGEBKK-UHFFFAOYSA-N 1 2 299.418 3.749 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccnn2CC2CCC2)C2CC2)o1 ZINC001205083165 1133524927 /nfs/dbraw/zinc/52/49/27/1133524927.db2.gz VCDXHBIMKGEBKK-UHFFFAOYSA-N 1 2 299.418 3.749 20 0 CHADLO CCc1ccccc1C[NH2+]Cc1nc(-c2ccccc2)no1 ZINC001205298551 1133557443 /nfs/dbraw/zinc/55/74/43/1133557443.db2.gz FTAFNFHJGHUTAJ-UHFFFAOYSA-N 1 2 293.370 3.589 20 0 CHADLO Cc1cc(CN2C[C@H](C)Oc3c(F)cccc32)cc(C)[nH+]1 ZINC001205437360 1133576565 /nfs/dbraw/zinc/57/65/65/1133576565.db2.gz FSRJMXCTEQWYCU-ZDUSSCGKSA-N 1 2 286.350 3.625 20 0 CHADLO COc1ccc(F)cc1C[N@H+](C)Cc1cc(C)ccc1F ZINC001205554840 1133587558 /nfs/dbraw/zinc/58/75/58/1133587558.db2.gz LGWRPCHMRPNMRP-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(F)cc1C[N@@H+](C)Cc1cc(C)ccc1F ZINC001205554840 1133587563 /nfs/dbraw/zinc/58/75/63/1133587563.db2.gz LGWRPCHMRPNMRP-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCC[C@H]2c2nccs2)c1 ZINC001205655107 1133599694 /nfs/dbraw/zinc/59/96/94/1133599694.db2.gz JKSGSPJJPRYTAC-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCC[C@H]2c2nccs2)c1 ZINC001205655107 1133599697 /nfs/dbraw/zinc/59/96/97/1133599697.db2.gz JKSGSPJJPRYTAC-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO C[C@@H]1CSC[C@H]1[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC001205735044 1133606646 /nfs/dbraw/zinc/60/66/46/1133606646.db2.gz FZNOKVPGCCGWSV-BXKDBHETSA-N 1 2 291.794 3.773 20 0 CHADLO CSc1ccc(F)cc1-c1c[nH+]c2c(c1)CCCN2 ZINC001205990255 1133639080 /nfs/dbraw/zinc/63/90/80/1133639080.db2.gz LJQINDFWUXGPNO-UHFFFAOYSA-N 1 2 274.364 3.610 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnc2[nH]cc(C)c2c1 ZINC001206043065 1133647332 /nfs/dbraw/zinc/64/73/32/1133647332.db2.gz LWXMKRLNUOMTSE-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc(O)cc2F)cc1 ZINC001206073537 1133653844 /nfs/dbraw/zinc/65/38/44/1133653844.db2.gz WWHYDFOMBRMJDY-UHFFFAOYSA-N 1 2 268.291 3.599 20 0 CHADLO CC(C)Oc1cc(-c2cccc3[nH+]ccn32)ccc1F ZINC001206178785 1133667640 /nfs/dbraw/zinc/66/76/40/1133667640.db2.gz BJDLXGKAGZWBCO-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO Cc1cc(O)cc(-c2cc[nH+]c(N3CCCCC3)c2)c1 ZINC001206226029 1133672605 /nfs/dbraw/zinc/67/26/05/1133672605.db2.gz IZTCOMCTUAPWFA-UHFFFAOYSA-N 1 2 268.360 3.753 20 0 CHADLO OCc1cc[nH+]c(NCCc2cc(Cl)cc(Cl)c2)c1 ZINC001206366597 1133694673 /nfs/dbraw/zinc/69/46/73/1133694673.db2.gz WDVKRXPYEFBBKU-UHFFFAOYSA-N 1 2 297.185 3.535 20 0 CHADLO c1cc2cc(NC[C@@H]3CCc4ccccc4C3)[nH+]cc2[nH]1 ZINC001206477613 1133707503 /nfs/dbraw/zinc/70/75/03/1133707503.db2.gz PWTWUBLZBBLXGL-CYBMUJFWSA-N 1 2 277.371 3.780 20 0 CHADLO Oc1ccc(C[NH+]2CCC(C3CCC3)CC2)c(F)c1F ZINC001206598520 1133725234 /nfs/dbraw/zinc/72/52/34/1133725234.db2.gz RIKKVWLTJDVYKM-UHFFFAOYSA-N 1 2 281.346 3.683 20 0 CHADLO Oc1cc(F)cc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001206822854 1133752805 /nfs/dbraw/zinc/75/28/05/1133752805.db2.gz TWJOHPFYXWUGKS-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)Cc1ncccc1F ZINC001207035667 1133761339 /nfs/dbraw/zinc/76/13/39/1133761339.db2.gz MTKKDYSRMFANNO-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)Cc1ncccc1F ZINC001207035667 1133761343 /nfs/dbraw/zinc/76/13/43/1133761343.db2.gz MTKKDYSRMFANNO-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CS[C@H]1CCC[C@H](Nc2c[nH+]c(C)c(C)c2)C1 ZINC001207326494 1133791396 /nfs/dbraw/zinc/79/13/96/1133791396.db2.gz PFKVFFQKKXFQRK-JSGCOSHPSA-N 1 2 250.411 3.785 20 0 CHADLO COc1cccc2c1CC[C@H](Nc1c[nH+]c(C)c(C)c1)C2 ZINC001207327057 1133791881 /nfs/dbraw/zinc/79/18/81/1133791881.db2.gz WXBCUAJQRLRXFL-HNNXBMFYSA-N 1 2 282.387 3.676 20 0 CHADLO C[C@H]1CC[N@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342927 1133793290 /nfs/dbraw/zinc/79/32/90/1133793290.db2.gz RCPPRDJDWLPMLQ-JTQLQIEISA-N 1 2 267.310 3.789 20 0 CHADLO C[C@H]1CC[N@@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342927 1133793297 /nfs/dbraw/zinc/79/32/97/1133793297.db2.gz RCPPRDJDWLPMLQ-JTQLQIEISA-N 1 2 267.310 3.789 20 0 CHADLO Fc1ccccc1OC1C[NH+](CC2CCC(F)(F)CC2)C1 ZINC001207346938 1133794016 /nfs/dbraw/zinc/79/40/16/1133794016.db2.gz FONPIUXRYRBAMI-UHFFFAOYSA-N 1 2 299.336 3.714 20 0 CHADLO C[C@H]1CC[N@@H+](CCCc2cccc(F)c2)CC1(F)F ZINC001207599479 1133825613 /nfs/dbraw/zinc/82/56/13/1133825613.db2.gz PXUKRMSBYNLLDL-LBPRGKRZSA-N 1 2 271.326 3.735 20 0 CHADLO Fc1cccc(CCC[N@H+]2CCC[C@@H](F)C(F)(F)C2)c1 ZINC001207599758 1133825502 /nfs/dbraw/zinc/82/55/02/1133825502.db2.gz WBCAJTVWQGOBFT-CQSZACIVSA-N 1 2 289.316 3.828 20 0 CHADLO C[C@H]1CC[N@H+](CCCc2cccc(F)c2)CC1(F)F ZINC001207599479 1133825605 /nfs/dbraw/zinc/82/56/05/1133825605.db2.gz PXUKRMSBYNLLDL-LBPRGKRZSA-N 1 2 271.326 3.735 20 0 CHADLO Fc1cccc(CCC[N@@H+]2CCC[C@@H](F)C(F)(F)C2)c1 ZINC001207599758 1133825509 /nfs/dbraw/zinc/82/55/09/1133825509.db2.gz WBCAJTVWQGOBFT-CQSZACIVSA-N 1 2 289.316 3.828 20 0 CHADLO CCc1ccc2c(c1)C[N@@H+](Cc1nccn1C(C)C)CC2 ZINC001207862376 1133855633 /nfs/dbraw/zinc/85/56/33/1133855633.db2.gz XDVCCINEKPDCKY-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO CCc1ccc2c(c1)C[N@H+](Cc1nccn1C(C)C)CC2 ZINC001207862376 1133855642 /nfs/dbraw/zinc/85/56/42/1133855642.db2.gz XDVCCINEKPDCKY-UHFFFAOYSA-N 1 2 283.419 3.585 20 0 CHADLO C[C@@H]1C[C@H]([NH2+][C@H](c2ccccc2)C(F)F)C[C@H](C)O1 ZINC001207873284 1133856368 /nfs/dbraw/zinc/85/63/68/1133856368.db2.gz PWUFUAGIMDCKCJ-UVLXDEKHSA-N 1 2 269.335 3.538 20 0 CHADLO COC(C)(C)CCC[C@H](C)CC[N@@H+]1CCC(F)(F)C1 ZINC001208201496 1133906055 /nfs/dbraw/zinc/90/60/55/1133906055.db2.gz DWASYBKSAMDNNL-ZDUSSCGKSA-N 1 2 277.399 3.949 20 0 CHADLO COC(C)(C)CCC[C@H](C)CC[N@H+]1CCC(F)(F)C1 ZINC001208201496 1133906058 /nfs/dbraw/zinc/90/60/58/1133906058.db2.gz DWASYBKSAMDNNL-ZDUSSCGKSA-N 1 2 277.399 3.949 20 0 CHADLO C[C@@H](CC[N@@H+]1CC(F)(F)CC[C@H]1CO)CC(C)(C)C ZINC001208655335 1133922294 /nfs/dbraw/zinc/92/22/94/1133922294.db2.gz QRNPUFZBXXXRBJ-STQMWFEESA-N 1 2 277.399 3.541 20 0 CHADLO C[C@@H](CC[N@H+]1CC(F)(F)CC[C@H]1CO)CC(C)(C)C ZINC001208655335 1133922297 /nfs/dbraw/zinc/92/22/97/1133922297.db2.gz QRNPUFZBXXXRBJ-STQMWFEESA-N 1 2 277.399 3.541 20 0 CHADLO Cc1nc(C[N@@H+](C)C[C@H](C)c2cccc3ccccc32)no1 ZINC001208708596 1133935084 /nfs/dbraw/zinc/93/50/84/1133935084.db2.gz YMKIIWYVVJDVPE-ZDUSSCGKSA-N 1 2 295.386 3.767 20 0 CHADLO Cc1nc(C[N@H+](C)C[C@H](C)c2cccc3ccccc32)no1 ZINC001208708596 1133935088 /nfs/dbraw/zinc/93/50/88/1133935088.db2.gz YMKIIWYVVJDVPE-ZDUSSCGKSA-N 1 2 295.386 3.767 20 0 CHADLO CCOC(=O)C[N@H+](CCCC(C)C)Cc1ccsc1 ZINC001208736657 1133939319 /nfs/dbraw/zinc/93/93/19/1133939319.db2.gz LWDMVAOINNEQTA-UHFFFAOYSA-N 1 2 283.437 3.549 20 0 CHADLO CCOC(=O)C[N@@H+](CCCC(C)C)Cc1ccsc1 ZINC001208736657 1133939323 /nfs/dbraw/zinc/93/93/23/1133939323.db2.gz LWDMVAOINNEQTA-UHFFFAOYSA-N 1 2 283.437 3.549 20 0 CHADLO Fc1ccc(CCC[N@H+]2CCC[C@H](F)C(F)(F)C2)cc1 ZINC001208767234 1133941241 /nfs/dbraw/zinc/94/12/41/1133941241.db2.gz JNMZVRWYHZYVJH-AWEZNQCLSA-N 1 2 289.316 3.828 20 0 CHADLO Fc1ccc(CCC[N@@H+]2CCC[C@H](F)C(F)(F)C2)cc1 ZINC001208767234 1133941253 /nfs/dbraw/zinc/94/12/53/1133941253.db2.gz JNMZVRWYHZYVJH-AWEZNQCLSA-N 1 2 289.316 3.828 20 0 CHADLO O=C1CCC[N@@H+](CC2(c3ccccc3)CCCC2)C[C@@H]1F ZINC001208771818 1133943191 /nfs/dbraw/zinc/94/31/91/1133943191.db2.gz JIAJNFBXWUWOMV-INIZCTEOSA-N 1 2 289.394 3.501 20 0 CHADLO O=C1CCC[N@H+](CC2(c3ccccc3)CCCC2)C[C@@H]1F ZINC001208771818 1133943194 /nfs/dbraw/zinc/94/31/94/1133943194.db2.gz JIAJNFBXWUWOMV-INIZCTEOSA-N 1 2 289.394 3.501 20 0 CHADLO COc1cc(NC2=CCN(c3ccccc3)CC2)cc(C)[nH+]1 ZINC001209041687 1133971552 /nfs/dbraw/zinc/97/15/52/1133971552.db2.gz UHMVHRNQACIYHS-UHFFFAOYSA-N 1 2 295.386 3.605 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](CCc1cc(F)cc(F)c1)C2 ZINC001209140906 1133980096 /nfs/dbraw/zinc/98/00/96/1133980096.db2.gz RXJZNMQJMUWOMF-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](CCc1cc(F)cc(F)c1)C2 ZINC001209140906 1133980099 /nfs/dbraw/zinc/98/00/99/1133980099.db2.gz RXJZNMQJMUWOMF-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO CCc1cncc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001209190384 1133986020 /nfs/dbraw/zinc/98/60/20/1133986020.db2.gz ADRMEMMYNJRRFM-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO COCCCC[N@@H+]1CC[C@@H](Cc2ccccc2)C(F)(F)C1 ZINC001209224024 1133991162 /nfs/dbraw/zinc/99/11/62/1133991162.db2.gz RKBHFIQCHBMILP-INIZCTEOSA-N 1 2 297.389 3.613 20 0 CHADLO COCCCC[N@H+]1CC[C@@H](Cc2ccccc2)C(F)(F)C1 ZINC001209224024 1133991172 /nfs/dbraw/zinc/99/11/72/1133991172.db2.gz RKBHFIQCHBMILP-INIZCTEOSA-N 1 2 297.389 3.613 20 0 CHADLO CCSCc1cc[nH+]c(NCc2nc(C)cs2)c1 ZINC000090228443 1134003616 /nfs/dbraw/zinc/00/36/16/1134003616.db2.gz ORWDAQZWSHABCE-UHFFFAOYSA-N 1 2 279.434 3.712 20 0 CHADLO Cc1ccc(C[N@@H+]2CCn3cccc3[C@@H]2c2ccccc2)[nH]1 ZINC001209566351 1134036822 /nfs/dbraw/zinc/03/68/22/1134036822.db2.gz KOZUBPSIEVLXDW-IBGZPJMESA-N 1 2 291.398 3.730 20 0 CHADLO Cc1ccc(C[N@H+]2CCn3cccc3[C@@H]2c2ccccc2)[nH]1 ZINC001209566351 1134036824 /nfs/dbraw/zinc/03/68/24/1134036824.db2.gz KOZUBPSIEVLXDW-IBGZPJMESA-N 1 2 291.398 3.730 20 0 CHADLO Clc1c[nH]c2cccc(C[N@@H+]3CCn4cccc4C3)c12 ZINC001209653796 1134046775 /nfs/dbraw/zinc/04/67/75/1134046775.db2.gz BGYCIHSHZMOXDL-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Clc1c[nH]c2cccc(C[N@H+]3CCn4cccc4C3)c12 ZINC001209653796 1134046782 /nfs/dbraw/zinc/04/67/82/1134046782.db2.gz BGYCIHSHZMOXDL-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1c[nH]c2cc(F)ccc12 ZINC001209694377 1134052059 /nfs/dbraw/zinc/05/20/59/1134052059.db2.gz NKSOUTBOSJWOEF-GFCCVEGCSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1c[nH]c2cc(F)ccc12 ZINC001209694377 1134052071 /nfs/dbraw/zinc/05/20/71/1134052071.db2.gz NKSOUTBOSJWOEF-GFCCVEGCSA-N 1 2 283.350 3.685 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cc2cc(Cl)ccc2[nH]1 ZINC001209722190 1134054048 /nfs/dbraw/zinc/05/40/48/1134054048.db2.gz XOFMHZPDAYSIMI-INIZCTEOSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cc2cc(Cl)ccc2[nH]1 ZINC001209722190 1134054055 /nfs/dbraw/zinc/05/40/55/1134054055.db2.gz XOFMHZPDAYSIMI-INIZCTEOSA-N 1 2 290.794 3.765 20 0 CHADLO COc1ccc(C)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001209840586 1134077548 /nfs/dbraw/zinc/07/75/48/1134077548.db2.gz SPRKEQPDYRATMY-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO Clc1cccc2nc(Nc3ccc4[nH]c[nH+]c4c3)cn21 ZINC001209847204 1134081275 /nfs/dbraw/zinc/08/12/75/1134081275.db2.gz BWQPMHAKKJDDBM-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO COc1cc(C(F)(F)F)ccc1Nc1[nH+]cc(C)cc1N ZINC001209882073 1134094207 /nfs/dbraw/zinc/09/42/07/1134094207.db2.gz SWAHJHOLUKMOBG-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(Cl)cc1OC ZINC001209905105 1134099002 /nfs/dbraw/zinc/09/90/02/1134099002.db2.gz PRBZPIUVKLOPTL-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(O)c(C(F)(F)F)c2)[nH+]1 ZINC001209984169 1134116100 /nfs/dbraw/zinc/11/61/00/1134116100.db2.gz ISTVJGMHYDQBOX-UHFFFAOYSA-N 1 2 284.237 3.564 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(N(C)C)c(C)c1 ZINC001210039985 1134130819 /nfs/dbraw/zinc/13/08/19/1134130819.db2.gz JWSWMMOUAXKTJY-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO Cc1cc(-n2ccc3c2cc(F)cc3N)ccc1[NH+](C)C ZINC001210045443 1134133349 /nfs/dbraw/zinc/13/33/49/1134133349.db2.gz TXNRTUYVVFAXHE-UHFFFAOYSA-N 1 2 283.350 3.726 20 0 CHADLO CCOC(=O)c1ncsc1Nc1ccc2c[nH+]ccc2c1 ZINC001210046702 1134133825 /nfs/dbraw/zinc/13/38/25/1134133825.db2.gz NTURCEGRYRHGHZ-UHFFFAOYSA-N 1 2 299.355 3.612 20 0 CHADLO CC1(C)COc2cc(Nc3ccc4c[nH+]ccc4c3)nn2C1 ZINC001210046944 1134134715 /nfs/dbraw/zinc/13/47/15/1134134715.db2.gz AKVZQCPHDWGIPJ-UHFFFAOYSA-N 1 2 294.358 3.594 20 0 CHADLO COc1ccc(C(C)=O)cc1Nc1ccc(C)[nH+]c1C ZINC001210076266 1134141555 /nfs/dbraw/zinc/14/15/55/1134141555.db2.gz JKYMTADNKYQOJI-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COc1ccc(C(C)=O)cc1Nc1[nH+]cc(C)cc1C ZINC001210075105 1134141568 /nfs/dbraw/zinc/14/15/68/1134141568.db2.gz AJZXOWNPMLCZCN-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO Cc1cn2c(cccc2Nc2cnccc2C(F)(F)F)[nH+]1 ZINC001210090981 1134144016 /nfs/dbraw/zinc/14/40/16/1134144016.db2.gz HOKSQWYJIVRDAU-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO Cc1cc2n[nH]cc2cc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001210101170 1134145939 /nfs/dbraw/zinc/14/59/39/1134145939.db2.gz CIUYKAVOXPETRW-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)c(C)c(F)c1 ZINC001210107402 1134149428 /nfs/dbraw/zinc/14/94/28/1134149428.db2.gz OKFHZCUZWWRLGV-UHFFFAOYSA-N 1 2 264.275 3.811 20 0 CHADLO COc1cncc(Nc2cccc3cc[nH+]cc32)c1C ZINC001210224201 1134171298 /nfs/dbraw/zinc/17/12/98/1134171298.db2.gz STRKHRYNTUXISO-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO c1cc2cc[nH+]cc2c(Nc2cncc(N3CCCC3)c2)c1 ZINC001210225029 1134172466 /nfs/dbraw/zinc/17/24/66/1134172466.db2.gz AZOZRVYXYFBMAQ-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO FC(F)(F)c1cnnc(Nc2cccc3cc[nH+]cc32)c1 ZINC001210226450 1134172866 /nfs/dbraw/zinc/17/28/66/1134172866.db2.gz WPDADGRJBDVZFE-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO Oc1ccc(Nc2cccc3cc[nH+]cc32)c(F)c1F ZINC001210230778 1134173418 /nfs/dbraw/zinc/17/34/18/1134173418.db2.gz CBYXHUKUAICVGE-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO Oc1cc(Nc2cccc3cc[nH+]cc32)ccc1F ZINC001210231320 1134174096 /nfs/dbraw/zinc/17/40/96/1134174096.db2.gz PDBGJPDYSTVIBY-UHFFFAOYSA-N 1 2 254.264 3.823 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(C)c(CO)c2)c1 ZINC001210248059 1134178008 /nfs/dbraw/zinc/17/80/08/1134178008.db2.gz KDWZQQDRASVCMG-UHFFFAOYSA-N 1 2 256.349 3.578 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2Oc2cccnc2)cc1N ZINC001210287936 1134185769 /nfs/dbraw/zinc/18/57/69/1134185769.db2.gz HSHMHCZQBJZHFQ-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3ccc(Cl)cc3n2)cc1N ZINC001210285280 1134185873 /nfs/dbraw/zinc/18/58/73/1134185873.db2.gz MXPXTFHWIQCVOB-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2ccnn2-c2ccc(Cl)cc2)cc1N ZINC001210289068 1134187063 /nfs/dbraw/zinc/18/70/63/1134187063.db2.gz SQECQKWATSQFDC-UHFFFAOYSA-N 1 2 299.765 3.555 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)cc2Br)cc1N ZINC001210288349 1134187152 /nfs/dbraw/zinc/18/71/52/1134187152.db2.gz NMBXEVVFWCPINQ-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO COc1cc(C(F)(F)F)ccc1Nc1c[nH+]c(C)c(N)c1 ZINC001210291285 1134187453 /nfs/dbraw/zinc/18/74/53/1134187453.db2.gz YLAFXULBHCKXBH-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CC(=O)c1ccc(Cl)c(Nc2c[nH+]c(C)c(N)c2)c1 ZINC001210290858 1134187530 /nfs/dbraw/zinc/18/75/30/1134187530.db2.gz RTTRAAPWPDAVDZ-UHFFFAOYSA-N 1 2 275.739 3.572 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N)ccc1C(F)(F)F ZINC001210302932 1134188587 /nfs/dbraw/zinc/18/85/87/1134188587.db2.gz FONNIIFGWHLYPU-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO C[C@H](O)c1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001210508238 1134233915 /nfs/dbraw/zinc/23/39/15/1134233915.db2.gz NAYCKGPPUZJWBE-AWEZNQCLSA-N 1 2 293.370 3.728 20 0 CHADLO CSc1cc(Nc2cccc([C@H](C)O)c2)cc[nH+]1 ZINC001210507791 1134234273 /nfs/dbraw/zinc/23/42/73/1134234273.db2.gz GQGRDFZFWIFDAB-JTQLQIEISA-N 1 2 260.362 3.600 20 0 CHADLO C[C@@H](O)c1cccc(Nc2[nH+]cccc2C2CC2)c1 ZINC001210508911 1134235036 /nfs/dbraw/zinc/23/50/36/1134235036.db2.gz QVXMGQBXIULPNY-LLVKDONJSA-N 1 2 254.333 3.756 20 0 CHADLO c1c[nH+]c(N2CCCCC2)c(Nc2cccc3c2OCO3)c1 ZINC001210557348 1134248204 /nfs/dbraw/zinc/24/82/04/1134248204.db2.gz HSVMNJBXLRNXNV-UHFFFAOYSA-N 1 2 297.358 3.544 20 0 CHADLO CCOc1cc(Nc2ccc([C@@H](C)O)cc2)cc(C)[nH+]1 ZINC001210641408 1134266477 /nfs/dbraw/zinc/26/64/77/1134266477.db2.gz GDCQWRNDAZKSFZ-GFCCVEGCSA-N 1 2 272.348 3.586 20 0 CHADLO c1cn2c(cccc2Nc2cnc3sccc3c2)[nH+]1 ZINC001210687975 1134274721 /nfs/dbraw/zinc/27/47/21/1134274721.db2.gz MDHZVOONACWYAK-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO c1cn2cccc(Nc3cnc4sccc4c3)c2[nH+]1 ZINC001210691730 1134275794 /nfs/dbraw/zinc/27/57/94/1134275794.db2.gz MKRZDCLVKXFRRW-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO COc1cc(Nc2csc(Cl)c2)cc(C)[nH+]1 ZINC001210773632 1134293535 /nfs/dbraw/zinc/29/35/35/1134293535.db2.gz BTHBUOLBVATBKN-UHFFFAOYSA-N 1 2 254.742 3.857 20 0 CHADLO COc1cc(Nc2nccc3ccccc32)cc(C)[nH+]1 ZINC001210776413 1134294033 /nfs/dbraw/zinc/29/40/33/1134294033.db2.gz PBIIQTBFYUZIRY-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1ccc2cc(Nc3cc(C)[nH+]c(OC)c3)cnc2c1 ZINC001210779002 1134294936 /nfs/dbraw/zinc/29/49/36/1134294936.db2.gz AUOWADVWZUUARQ-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC(F)(F)F)nc1 ZINC001210820216 1134303770 /nfs/dbraw/zinc/30/37/70/1134303770.db2.gz POUOSCHVZAVEDD-UHFFFAOYSA-N 1 2 299.252 3.518 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4ccccc4n3)ccc21 ZINC001210820209 1134304182 /nfs/dbraw/zinc/30/41/82/1134304182.db2.gz CHLRCRYRRJYWEH-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO CCN(C)c1ccc(Nc2ccccc2OC2CC2)c[nH+]1 ZINC001210838894 1134308933 /nfs/dbraw/zinc/30/89/33/1134308933.db2.gz HGBKGAODBRJDPV-UHFFFAOYSA-N 1 2 283.375 3.823 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(F)c(N)c(F)c2)c1 ZINC001210907665 1134326187 /nfs/dbraw/zinc/32/61/87/1134326187.db2.gz RZUBFTJUAKFLMT-UHFFFAOYSA-N 1 2 263.291 3.638 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3c(c2)C(=O)CCC3)c[nH+]1 ZINC001210932800 1134331100 /nfs/dbraw/zinc/33/11/00/1134331100.db2.gz LHQHLBRYXMJVBP-UHFFFAOYSA-N 1 2 295.386 3.800 20 0 CHADLO C[NH+](C)CCc1ccc(Nc2cccc(S)c2)cc1 ZINC001210948581 1134336236 /nfs/dbraw/zinc/33/62/36/1134336236.db2.gz WZXIIHOBRSIFTL-UHFFFAOYSA-N 1 2 272.417 3.823 20 0 CHADLO CCOC(=O)CCc1cccc(Nc2ccc(C)c[nH+]2)c1 ZINC001211012703 1134348404 /nfs/dbraw/zinc/34/84/04/1134348404.db2.gz KVHFZJVWFXMOSS-UHFFFAOYSA-N 1 2 284.359 3.629 20 0 CHADLO Cc1ccc(Nc2cccc(OC(F)F)c2)[nH+]c1 ZINC001211012093 1134348833 /nfs/dbraw/zinc/34/88/33/1134348833.db2.gz UOLZAOBBELVXMQ-UHFFFAOYSA-N 1 2 250.248 3.735 20 0 CHADLO Cc1ccc(Nc2cccc3cc(O)ccc32)[nH+]c1 ZINC001211012792 1134349689 /nfs/dbraw/zinc/34/96/89/1134349689.db2.gz PRKVIVDPTAIVRN-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO Clc1ccncc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001211207590 1134390895 /nfs/dbraw/zinc/39/08/95/1134390895.db2.gz LIHOWOJULZJZNM-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO CSc1cc(Nc2cnccc2Br)cc[nH+]1 ZINC001211221743 1134395316 /nfs/dbraw/zinc/39/53/16/1134395316.db2.gz FWECFEBWTVVEOV-UHFFFAOYSA-N 1 2 296.193 3.705 20 0 CHADLO CCN(C)c1ccc(Nc2cc3ccccc3[nH]2)c[nH+]1 ZINC001211306938 1134407354 /nfs/dbraw/zinc/40/73/54/1134407354.db2.gz OSIHLXDYZRMADY-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO COCc1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213089766 1134425761 /nfs/dbraw/zinc/42/57/61/1134425761.db2.gz CXVJQWVFZYGWLK-UHFFFAOYSA-N 1 2 279.343 3.967 20 0 CHADLO CN1c2ccc(Nc3cccc(C4CC4)[nH+]3)cc2CCC1=O ZINC001213130954 1134430341 /nfs/dbraw/zinc/43/03/41/1134430341.db2.gz SATNWFJDFKTJFG-UHFFFAOYSA-N 1 2 293.370 3.612 20 0 CHADLO c1cn(-c2ccccc2Nc2ccc3c(c2)NCC3)c[nH+]1 ZINC001213151578 1134431054 /nfs/dbraw/zinc/43/10/54/1134431054.db2.gz ATTJJZHPLMHYMU-UHFFFAOYSA-N 1 2 276.343 3.584 20 0 CHADLO Cc1c[nH+]c(Nc2ccccc2OCC(C)C)c(N)c1 ZINC001213251759 1134437175 /nfs/dbraw/zinc/43/71/75/1134437175.db2.gz GTBLZBMSQDXUJY-UHFFFAOYSA-N 1 2 271.364 3.751 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2C(C)C)cc2c1OCC(=O)N2 ZINC001213286811 1134439080 /nfs/dbraw/zinc/43/90/80/1134439080.db2.gz IAHKUBBWCRBZLZ-UHFFFAOYSA-N 1 2 297.358 3.588 20 0 CHADLO Cc1nc(Cl)cc(Nc2cc(C3CC3)c[nH+]c2C)n1 ZINC001213489209 1134453262 /nfs/dbraw/zinc/45/32/62/1134453262.db2.gz LMPYMDVODNXGKT-UHFFFAOYSA-N 1 2 274.755 3.763 20 0 CHADLO Cc1ncc(Nc2cc(C3CC3)c[nH+]c2C)c(Cl)n1 ZINC001213491161 1134453998 /nfs/dbraw/zinc/45/39/98/1134453998.db2.gz AKSACXARYSNGGD-UHFFFAOYSA-N 1 2 274.755 3.763 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccnn1-c1ccccn1 ZINC001213496896 1134454918 /nfs/dbraw/zinc/45/49/18/1134454918.db2.gz CRWNJZRLRHNDIM-UHFFFAOYSA-N 1 2 291.358 3.592 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1-n1ccc2c1cc(N)cc2F ZINC001213501069 1134456059 /nfs/dbraw/zinc/45/60/59/1134456059.db2.gz GLAJZGGGODIWJD-UHFFFAOYSA-N 1 2 281.334 3.933 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1C(=O)OC2 ZINC001213503384 1134456924 /nfs/dbraw/zinc/45/69/24/1134456924.db2.gz CIABYGPPKXMTQS-UHFFFAOYSA-N 1 2 280.327 3.681 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)cnc2Cl)c[nH+]1 ZINC001213507943 1134457688 /nfs/dbraw/zinc/45/76/88/1134457688.db2.gz HVOMVAMWBSZLKL-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1cc(C)c(Nc2cnc(-c3ccc(O)cc3)nc2)c[nH+]1 ZINC001213511011 1134457704 /nfs/dbraw/zinc/45/77/04/1134457704.db2.gz RDKRJSVKEDHDRT-UHFFFAOYSA-N 1 2 292.342 3.605 20 0 CHADLO Cc1cc(C)c(Nc2cnc(Br)c(F)c2)c[nH+]1 ZINC001213510522 1134457888 /nfs/dbraw/zinc/45/78/88/1134457888.db2.gz DQWVAJBAHLLLLL-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1cc(C)c(Nc2cncc(N3CCCCC3)c2)c[nH+]1 ZINC001213511087 1134458001 /nfs/dbraw/zinc/45/80/01/1134458001.db2.gz VQJDSSQEOOEVNH-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO COC(=O)[C@@H](C)c1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001213520871 1134458802 /nfs/dbraw/zinc/45/88/02/1134458802.db2.gz KIWNCODWMLNAKQ-ZDUSSCGKSA-N 1 2 284.359 3.719 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnc(Br)c2)c1C ZINC001213522657 1134460049 /nfs/dbraw/zinc/46/00/49/1134460049.db2.gz FCNBOJCWJFVLLU-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3nnc(C4CCC4)n3c2)c1C ZINC001213527420 1134460140 /nfs/dbraw/zinc/46/01/40/1134460140.db2.gz KHDJDMNOZSHIHG-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc3ccc(O)cc3c2)c1C ZINC001213525434 1134460466 /nfs/dbraw/zinc/46/04/66/1134460466.db2.gz WSDHQVNCJWMLPR-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c[nH+]cc(Nc2cccnc2Br)c1C ZINC001213522918 1134460551 /nfs/dbraw/zinc/46/05/51/1134460551.db2.gz JLSUPMGCTUKPJK-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(-c3ccco3)no2)c1C ZINC001213527647 1134460488 /nfs/dbraw/zinc/46/04/88/1134460488.db2.gz XDMXOJFBGUOJCJ-UHFFFAOYSA-N 1 2 255.277 3.690 20 0 CHADLO Cc1c[nH+]cc(Nc2cccnc2OC(F)(F)F)c1C ZINC001213528700 1134460533 /nfs/dbraw/zinc/46/05/33/1134460533.db2.gz LULGWKYXZFMGCM-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]cc(Nc2nc(F)ccc2Br)c1C ZINC001213527533 1134460688 /nfs/dbraw/zinc/46/06/88/1134460688.db2.gz RDKHBRSEJPTMNF-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(N3CCOCC3)c(C)c2)c1C ZINC001213530798 1134461553 /nfs/dbraw/zinc/46/15/53/1134461553.db2.gz LYKPUQWKCTVEOG-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO COc1cccc(C(C)=O)c1Nc1c[nH+]cc(C)c1C ZINC001213532399 1134461883 /nfs/dbraw/zinc/46/18/83/1134461883.db2.gz GHUBCWNTFNPVPX-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO CC(=O)c1ccc(Nc2c[nH+]cc(C)c2C)c(F)c1 ZINC001213532584 1134462031 /nfs/dbraw/zinc/46/20/31/1134462031.db2.gz PPUZMENDKIDHSI-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3c2C(=O)N(C2CC2)C3)c1C ZINC001213536447 1134462498 /nfs/dbraw/zinc/46/24/98/1134462498.db2.gz JXVUSKCTBRPJKG-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO Cc1c[nH+]cc(Nc2c(N)cc(Cl)cc2F)c1C ZINC001213534336 1134462546 /nfs/dbraw/zinc/46/25/46/1134462546.db2.gz XJJPIUGYFWYVLU-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO Cc1ccc(CCCO)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213534364 1134463179 /nfs/dbraw/zinc/46/31/79/1134463179.db2.gz YNLHKITVMFQJQX-UHFFFAOYSA-N 1 2 270.376 3.675 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(COc2ccccc2)cc1 ZINC001213615139 1134469102 /nfs/dbraw/zinc/46/91/02/1134469102.db2.gz HYAJOSHZRKBDFA-UHFFFAOYSA-N 1 2 291.354 3.986 20 0 CHADLO Nc1cc(Cl)ccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001213652967 1134473763 /nfs/dbraw/zinc/47/37/63/1134473763.db2.gz XFVPFXPLBSOAAV-UHFFFAOYSA-N 1 2 298.777 3.911 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2cc[nH]c(=O)c2c1 ZINC001213959229 1134501545 /nfs/dbraw/zinc/50/15/45/1134501545.db2.gz ZDZVBAHABCZVBG-UHFFFAOYSA-N 1 2 279.343 3.950 20 0 CHADLO COc1cc(F)c(Nc2cccc3[nH+]ccn32)cc1Cl ZINC001214058132 1134507017 /nfs/dbraw/zinc/50/70/17/1134507017.db2.gz GRZPUFNYAVWCMO-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO COc1cc(Nc2cc(Cl)c(OC)cc2F)cc(C)[nH+]1 ZINC001214063033 1134507382 /nfs/dbraw/zinc/50/73/82/1134507382.db2.gz XVVLJMWKZOOKTR-UHFFFAOYSA-N 1 2 296.729 3.943 20 0 CHADLO Cc1cc(N)ccc1Nc1[nH+]cccc1N1CCCCC1 ZINC001214112841 1134512184 /nfs/dbraw/zinc/51/21/84/1134512184.db2.gz ZPUCLZDNJQJFCW-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)c(N)c1 ZINC001214182532 1134518139 /nfs/dbraw/zinc/51/81/39/1134518139.db2.gz XWBKSDSAQZTJLU-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)ccc2N)cc1 ZINC001214217108 1134522317 /nfs/dbraw/zinc/52/23/17/1134522317.db2.gz PJDNQVVXWNFSII-UHFFFAOYSA-N 1 2 259.328 3.608 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)ccc2N)cc1 ZINC001214217108 1134522320 /nfs/dbraw/zinc/52/23/20/1134522320.db2.gz PJDNQVVXWNFSII-UHFFFAOYSA-N 1 2 259.328 3.608 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)c(CO)c1 ZINC001214450871 1134539353 /nfs/dbraw/zinc/53/93/53/1134539353.db2.gz DMIOUDZBPXIQDR-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO COc1cc(Nc2c(Cl)ccc(O)c2F)[nH+]cc1C ZINC001214559344 1134550582 /nfs/dbraw/zinc/55/05/82/1134550582.db2.gz MYVKIWSKHVSGPL-UHFFFAOYSA-N 1 2 282.702 3.640 20 0 CHADLO Cc1cc(CO)ccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001214657918 1134562433 /nfs/dbraw/zinc/56/24/33/1134562433.db2.gz XMVXKGNHTTZLGR-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)ccc(C(C)=O)c1F ZINC001214755909 1134568662 /nfs/dbraw/zinc/56/86/62/1134568662.db2.gz TWTPQKWQMJBNDW-UHFFFAOYSA-N 1 2 292.285 3.705 20 0 CHADLO COCOc1c(C)cccc1Nc1cc[nH+]c(SC)c1 ZINC001214848802 1134577364 /nfs/dbraw/zinc/57/73/64/1134577364.db2.gz RNBVBOBSZIOBLH-UHFFFAOYSA-N 1 2 290.388 3.838 20 0 CHADLO COCOc1cc(C)c(Nc2cccc3[nH+]ccn32)c(C)c1 ZINC001215158945 1134602072 /nfs/dbraw/zinc/60/20/72/1134602072.db2.gz XLQKPHXCXGBSOE-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)cc1 ZINC000401617305 1134617990 /nfs/dbraw/zinc/61/79/90/1134617990.db2.gz RZWKJPZDQJVKQU-WBMJQRKESA-N 1 2 285.391 3.537 20 0 CHADLO COc1c(Nc2[nH+]c3ccccc3n2C)ccc(C)c1F ZINC001215600092 1134651328 /nfs/dbraw/zinc/65/13/28/1134651328.db2.gz SNINSRQUPJYFQU-UHFFFAOYSA-N 1 2 285.322 3.773 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(C)c(F)c2OC)c[nH+]1 ZINC001215601047 1134651590 /nfs/dbraw/zinc/65/15/90/1134651590.db2.gz AHVJVSQBSRWTRG-UHFFFAOYSA-N 1 2 289.354 3.737 20 0 CHADLO Cc1cc[nH+]c(Nc2cc(C(F)(F)F)ccc2CO)c1 ZINC001215680050 1134659079 /nfs/dbraw/zinc/65/90/79/1134659079.db2.gz CTNIYYPVSDQANL-UHFFFAOYSA-N 1 2 282.265 3.645 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c2c[nH]nc21 ZINC001215758201 1134675858 /nfs/dbraw/zinc/67/58/58/1134675858.db2.gz JTPKKDHIXVWYRO-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Cc1cc(C)c(Nc2cnc(OC(C)C)cc2C)c[nH+]1 ZINC001215770421 1134682103 /nfs/dbraw/zinc/68/21/03/1134682103.db2.gz IECKCZGOIOLWTG-UHFFFAOYSA-N 1 2 271.364 3.933 20 0 CHADLO Cc1cccc(Nc2cnc(OC(C)C)cc2C)[nH+]1 ZINC001215770573 1134682188 /nfs/dbraw/zinc/68/21/88/1134682188.db2.gz ZFZJOHZVQQXSAI-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO Cc1cc(C)c(Nc2cc(OC(C)C)cnc2F)c[nH+]1 ZINC001215781178 1134684655 /nfs/dbraw/zinc/68/46/55/1134684655.db2.gz MXCBPUOLSBQQCK-UHFFFAOYSA-N 1 2 275.327 3.763 20 0 CHADLO COc1cc(SC)c(Nc2c[nH+]c(C)cc2C)cn1 ZINC001215810183 1134693209 /nfs/dbraw/zinc/69/32/09/1134693209.db2.gz OVXDFAJOESXNHP-UHFFFAOYSA-N 1 2 275.377 3.568 20 0 CHADLO CSc1cc(C)ccc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001215872564 1134709866 /nfs/dbraw/zinc/70/98/66/1134709866.db2.gz VLQOKOJGNOBRSS-UHFFFAOYSA-N 1 2 283.400 3.946 20 0 CHADLO COCc1cc(OC)ccc1Nc1cccn2cc(C)[nH+]c12 ZINC001215976437 1134738411 /nfs/dbraw/zinc/73/84/11/1134738411.db2.gz CSFXDJYBFOWPKO-UHFFFAOYSA-N 1 2 297.358 3.541 20 0 CHADLO COCc1cc(OC)ccc1Nc1[nH+]cccc1C1CC1 ZINC001215979264 1134739697 /nfs/dbraw/zinc/73/96/97/1134739697.db2.gz WNCAVDCXJVCUAB-UHFFFAOYSA-N 1 2 284.359 3.858 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)c(F)c(F)c2N)cc1 ZINC001216012167 1134749922 /nfs/dbraw/zinc/74/99/22/1134749922.db2.gz JFDXUFGUCLLUJS-UHFFFAOYSA-N 1 2 295.308 3.886 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)c(F)c(F)c2N)cc1 ZINC001216012167 1134749926 /nfs/dbraw/zinc/74/99/26/1134749926.db2.gz JFDXUFGUCLLUJS-UHFFFAOYSA-N 1 2 295.308 3.886 20 0 CHADLO CCc1cc(Nc2ccccc2-n2cc[nH+]c2)ccc1N ZINC001216037735 1134755844 /nfs/dbraw/zinc/75/58/44/1134755844.db2.gz UAOGBDIJVOGPTE-UHFFFAOYSA-N 1 2 278.359 3.761 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(N)c(C(C)C)c1 ZINC001216042392 1134757624 /nfs/dbraw/zinc/75/76/24/1134757624.db2.gz KUIJSIPDYSOAPC-UHFFFAOYSA-N 1 2 271.364 3.930 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(N)c(C(C)C)c2)c[nH+]1 ZINC001216045085 1134759133 /nfs/dbraw/zinc/75/91/33/1134759133.db2.gz JQYJHXXFANAOCT-UHFFFAOYSA-N 1 2 284.407 3.987 20 0 CHADLO CSc1cc(Nc2cc(C)c(F)c(O)c2)cc[nH+]1 ZINC001216078893 1134769254 /nfs/dbraw/zinc/76/92/54/1134769254.db2.gz RZXJNJCCEMXFRX-UHFFFAOYSA-N 1 2 264.325 3.700 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NC[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000403414615 1134775300 /nfs/dbraw/zinc/77/53/00/1134775300.db2.gz LAGUGPBARXKLIQ-HZSPNIEDSA-N 1 2 260.381 3.717 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(C)c(CO)c(C)c2)c1 ZINC001216123253 1134785191 /nfs/dbraw/zinc/78/51/91/1134785191.db2.gz LMXPSZPPEBDALG-UHFFFAOYSA-N 1 2 270.376 3.887 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(F)cc(N(C)C)c2)[nH+]1 ZINC001216156898 1134792067 /nfs/dbraw/zinc/79/20/67/1134792067.db2.gz ATAXEITWXKCHSJ-UHFFFAOYSA-N 1 2 284.338 3.591 20 0 CHADLO COc1cc(CO)cc(Nc2c(C)cc[nH+]c2C(C)C)c1 ZINC001216200346 1134806980 /nfs/dbraw/zinc/80/69/80/1134806980.db2.gz JRNXXLWGNRMWOL-UHFFFAOYSA-N 1 2 286.375 3.758 20 0 CHADLO Fc1cccc2oc(Nc3cccn4cc[nH+]c34)cc21 ZINC001216292224 1134837449 /nfs/dbraw/zinc/83/74/49/1134837449.db2.gz WBKJOSYUXBTLHA-UHFFFAOYSA-N 1 2 267.263 3.963 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc2c(cccc2F)o1 ZINC001216293029 1134838589 /nfs/dbraw/zinc/83/85/89/1134838589.db2.gz LVTMRCGKEVEZRS-UHFFFAOYSA-N 1 2 281.290 3.801 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1c(Cl)ccnc1Cl ZINC001216302470 1134842408 /nfs/dbraw/zinc/84/24/08/1134842408.db2.gz QUQKPKVKUZUGKX-UHFFFAOYSA-N 1 2 293.157 3.618 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cc(Cl)ccc1O ZINC001216397508 1134880914 /nfs/dbraw/zinc/88/09/14/1134880914.db2.gz GHDZUUSAWMWDLG-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO Cc1cccc(Nc2cc(F)cc(C(C)(C)O)c2)[nH+]1 ZINC001216488543 1134905502 /nfs/dbraw/zinc/90/55/02/1134905502.db2.gz ZKQUVLKDXZGWQM-UHFFFAOYSA-N 1 2 260.312 3.500 20 0 CHADLO Cc1cc[nH+]c(Nc2cc(F)cc(C(C)(C)O)c2)c1 ZINC001216488334 1134906242 /nfs/dbraw/zinc/90/62/42/1134906242.db2.gz PBEAKYLKFKBWMN-UHFFFAOYSA-N 1 2 260.312 3.500 20 0 CHADLO CC(=O)c1cccc(Nc2ccc[nH+]c2N2CCCC2)c1F ZINC001216497469 1134908292 /nfs/dbraw/zinc/90/82/92/1134908292.db2.gz NBDOADKCHCKGGD-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO CC(=O)c1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1F ZINC001216500428 1134909082 /nfs/dbraw/zinc/90/90/82/1134909082.db2.gz PPOVZCRTCUPFSC-UHFFFAOYSA-N 1 2 295.317 3.958 20 0 CHADLO CCCOc1ccc(Nc2c[nH+]c(C)cc2C)cn1 ZINC001216585038 1134923386 /nfs/dbraw/zinc/92/33/86/1134923386.db2.gz AKLMVRIGUNPMJM-UHFFFAOYSA-N 1 2 257.337 3.626 20 0 CHADLO CCn1ncc2cc(Nc3cccn4cc(C)[nH+]c34)ccc21 ZINC001216588217 1134924856 /nfs/dbraw/zinc/92/48/56/1134924856.db2.gz DXFHITDXUQLGFJ-UHFFFAOYSA-N 1 2 291.358 3.756 20 0 CHADLO CCn1ncc2cc(Nc3cccc4[nH+]c(C)cn43)ccc21 ZINC001216588049 1134924991 /nfs/dbraw/zinc/92/49/91/1134924991.db2.gz LBTKARVTCBMNCW-UHFFFAOYSA-N 1 2 291.358 3.756 20 0 CHADLO CCn1ncc2cc(Nc3cccc(C)[nH+]3)ccc21 ZINC001216588353 1134926611 /nfs/dbraw/zinc/92/66/11/1134926611.db2.gz QIZLVACLWBECRR-UHFFFAOYSA-N 1 2 252.321 3.503 20 0 CHADLO Cc1c[nH+]c(Nc2cncc(OCC3CC3)c2)c(C)c1 ZINC001216597906 1134929224 /nfs/dbraw/zinc/92/92/24/1134929224.db2.gz CYCOZHJRGVMKMZ-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO Cc1noc2ccc(Nc3cccn4cc[nH+]c34)cc12 ZINC001216637679 1134936190 /nfs/dbraw/zinc/93/61/90/1134936190.db2.gz IESZTFOTLWKBRT-UHFFFAOYSA-N 1 2 264.288 3.528 20 0 CHADLO Clc1ccccc1-c1noc(-c2cccc3[nH+]ccn32)n1 ZINC001217499473 1134981157 /nfs/dbraw/zinc/98/11/57/1134981157.db2.gz IFJKIAFWOULPNG-UHFFFAOYSA-N 1 2 296.717 3.705 20 0 CHADLO CCc1cccc(-c2noc(-c3cccc4[nH+]ccn43)n2)c1 ZINC001217501137 1134981824 /nfs/dbraw/zinc/98/18/24/1134981824.db2.gz SOTROPSGUUHWDU-UHFFFAOYSA-N 1 2 290.326 3.614 20 0 CHADLO Cc1cc(O[C@H]2CC[NH2+]CC2(F)F)c(Cl)cc1Cl ZINC001218012303 1135041644 /nfs/dbraw/zinc/04/16/44/1135041644.db2.gz OMIBNGGLTFXDJO-NSHDSACASA-N 1 2 296.144 3.678 20 0 CHADLO FC1(F)C[NH2+]CC[C@@H]1Oc1ccc2ccccc2c1Cl ZINC001218006130 1135042120 /nfs/dbraw/zinc/04/21/20/1135042120.db2.gz ITHHMZJYQNXGLA-ZDUSSCGKSA-N 1 2 297.732 3.869 20 0 CHADLO CC(C)C[C@H](Cc1ccccc1)Oc1ccc[nH+]c1N ZINC001218357725 1135094327 /nfs/dbraw/zinc/09/43/27/1135094327.db2.gz KELXYDRKANTSLQ-OAHLLOKOSA-N 1 2 270.376 3.700 20 0 CHADLO CCC[C@H](Oc1ccc[nH+]c1N)c1ccc(OC)cc1 ZINC001218417820 1135102028 /nfs/dbraw/zinc/10/20/28/1135102028.db2.gz NLHBUSHRYDVMQJ-AWEZNQCLSA-N 1 2 272.348 3.593 20 0 CHADLO C[C@@H](Oc1cc(N)cc[nH+]1)c1cccc(OC(F)(F)F)c1 ZINC001218435501 1135104690 /nfs/dbraw/zinc/10/46/90/1135104690.db2.gz DECDTLREISUYHG-SECBINFHSA-N 1 2 298.264 3.702 20 0 CHADLO Cc1nc(N2CCc3ccccc3[C@@H]2C)c(C)c(C)[nH+]1 ZINC000197591426 1135188288 /nfs/dbraw/zinc/18/82/88/1135188288.db2.gz YKZNOTUYQWQEQM-ZDUSSCGKSA-N 1 2 267.376 3.526 20 0 CHADLO COc1c(F)c(C)ccc1-c1cccc2[nH+]c(C)cn21 ZINC001222305310 1135221907 /nfs/dbraw/zinc/22/19/07/1135221907.db2.gz OQVACTNOFAKTNZ-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO C[C@H](OCc1c[nH+]cn1C)c1ccc(C(F)(F)F)cc1 ZINC001222594970 1135254508 /nfs/dbraw/zinc/25/45/08/1135254508.db2.gz KKEBOSKJLLXOCH-JTQLQIEISA-N 1 2 284.281 3.717 20 0 CHADLO Cc1cc(CO[C@H](c2cccnc2)C(F)(F)F)cc(C)[nH+]1 ZINC001223422592 1135344031 /nfs/dbraw/zinc/34/40/31/1135344031.db2.gz XTRBNWVCIHFFNU-CQSZACIVSA-N 1 2 296.292 3.914 20 0 CHADLO CCOC(=[NH2+])CCCCOC1CC(C)(C)OC(C)(C)C1 ZINC001224967524 1135497039 /nfs/dbraw/zinc/49/70/39/1135497039.db2.gz WDWUDUJITCLKDA-UHFFFAOYSA-N 1 2 285.428 3.923 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](C)c1ccc(OC)cc1 ZINC001224964329 1135497464 /nfs/dbraw/zinc/49/74/64/1135497464.db2.gz XDQBJOXIIDGPFS-ZDUSSCGKSA-N 1 2 279.380 3.957 20 0 CHADLO c1ccc([C@H](Oc2cc[nH+]cc2)c2ccccn2)cc1 ZINC001225290579 1135535905 /nfs/dbraw/zinc/53/59/05/1135535905.db2.gz BMSHDCANPVPLNY-KRWDZBQOSA-N 1 2 262.312 3.645 20 0 CHADLO FC(F)c1cccc(OC2C[NH+](Cc3ccccc3)C2)c1 ZINC001225537965 1135584666 /nfs/dbraw/zinc/58/46/66/1135584666.db2.gz LMHWGLHNUGNWGE-UHFFFAOYSA-N 1 2 289.325 3.887 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H](C)c1cccc(N(C)C)c1 ZINC001227266184 1135811982 /nfs/dbraw/zinc/81/19/82/1135811982.db2.gz XRNNCCRIDSAPTL-AWEZNQCLSA-N 1 2 270.376 3.904 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cscc2C(F)(F)F)C[C@H](C)O1 ZINC000356059814 529398266 /nfs/dbraw/zinc/39/82/66/529398266.db2.gz RHEXDRPOBRRXIH-GXSJLCMTSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cscc2C(F)(F)F)C[C@H](C)O1 ZINC000356059814 529398267 /nfs/dbraw/zinc/39/82/67/529398267.db2.gz RHEXDRPOBRRXIH-GXSJLCMTSA-N 1 2 293.354 3.766 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc(Cl)ccc1Cl ZINC000336576948 529502889 /nfs/dbraw/zinc/50/28/89/529502889.db2.gz IJRQMLYMBVLHNO-UHFFFAOYSA-N 1 2 295.169 3.625 20 0 CHADLO CCc1[nH+]c(C)ccc1OCC(=O)Nc1cc(C)cc(C)c1 ZINC000352542150 529730378 /nfs/dbraw/zinc/73/03/78/529730378.db2.gz JISUBZZLGIXCLM-UHFFFAOYSA-N 1 2 298.386 3.587 20 0 CHADLO C[N@H+](C/C=C\c1ccc(F)c(F)c1)Cc1nccs1 ZINC000347877010 529820676 /nfs/dbraw/zinc/82/06/76/529820676.db2.gz XUNLUKPTGBEYQH-IHWYPQMZSA-N 1 2 280.343 3.567 20 0 CHADLO C[N@@H+](C/C=C\c1ccc(F)c(F)c1)Cc1nccs1 ZINC000347877010 529820677 /nfs/dbraw/zinc/82/06/77/529820677.db2.gz XUNLUKPTGBEYQH-IHWYPQMZSA-N 1 2 280.343 3.567 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cnc(C(C)(C)C)s1 ZINC000352652253 530013174 /nfs/dbraw/zinc/01/31/74/530013174.db2.gz MONUHAQQYGKCRY-UHFFFAOYSA-N 1 2 264.394 3.666 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cnc(C(C)(C)C)s1 ZINC000352652253 530013175 /nfs/dbraw/zinc/01/31/75/530013175.db2.gz MONUHAQQYGKCRY-UHFFFAOYSA-N 1 2 264.394 3.666 20 0 CHADLO CC(C)(C)[C@H]([NH2+]CCCC1CCOCC1)C(F)(F)F ZINC000574241962 335003560 /nfs/dbraw/zinc/00/35/60/335003560.db2.gz RFFJOOPGTPWVSW-LBPRGKRZSA-N 1 2 281.362 3.760 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ncc(C(C)(C)C)s2)CCS1 ZINC000448337466 261118371 /nfs/dbraw/zinc/11/83/71/261118371.db2.gz PRMYHSRWIDREIU-NSHDSACASA-N 1 2 284.494 3.768 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ncc(C(C)(C)C)s2)CCS1 ZINC000448337466 261118374 /nfs/dbraw/zinc/11/83/74/261118374.db2.gz PRMYHSRWIDREIU-NSHDSACASA-N 1 2 284.494 3.768 20 0 CHADLO Cc1ccc([C@H]2CCCN2C(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000574403465 335017056 /nfs/dbraw/zinc/01/70/56/335017056.db2.gz CRRKKCADJMRSML-DOTOQJQBSA-N 1 2 297.402 3.506 20 0 CHADLO COc1ccccc1[C@@H]1CCC[C@@H](C)[N@@H+]1Cc1ncccn1 ZINC000352201400 532984058 /nfs/dbraw/zinc/98/40/58/532984058.db2.gz FOXVNVFRBNMHFH-ZBFHGGJFSA-N 1 2 297.402 3.601 20 0 CHADLO COc1ccccc1[C@@H]1CCC[C@@H](C)[N@H+]1Cc1ncccn1 ZINC000352201400 532984065 /nfs/dbraw/zinc/98/40/65/532984065.db2.gz FOXVNVFRBNMHFH-ZBFHGGJFSA-N 1 2 297.402 3.601 20 0 CHADLO CC[C@@H](C)[C@H](C)N(C(=O)c1ccn2c(C)c[nH+]c2c1)C1CC1 ZINC000574820675 335050441 /nfs/dbraw/zinc/05/04/41/335050441.db2.gz RCAZTRMRJMESQN-OCCSQVGLSA-N 1 2 299.418 3.682 20 0 CHADLO COc1cc([C@H](C)[NH2+]Cc2csc(C(C)C)n2)ccn1 ZINC000347684456 533129516 /nfs/dbraw/zinc/12/95/16/533129516.db2.gz QQRMYPZIJQXVPM-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO Cc1ccc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)cc1-c1ncco1 ZINC000574883312 335055210 /nfs/dbraw/zinc/05/52/10/335055210.db2.gz BDZXIORTVXKVIX-SWLSCSKDSA-N 1 2 294.358 3.964 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2ncccn2)c2cccs2)cc1 ZINC000575058053 335060217 /nfs/dbraw/zinc/06/02/17/335060217.db2.gz REWIIZUNYKHXKE-INIZCTEOSA-N 1 2 299.374 3.556 20 0 CHADLO COCc1ccccc1/C=C(/C)CNc1c[nH+]ccc1OC ZINC000352694701 533254674 /nfs/dbraw/zinc/25/46/74/533254674.db2.gz YDEHCHNAXXEUFM-UVTDQMKNSA-N 1 2 298.386 3.752 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2ccc(Cl)c(C(F)(F)F)c2)C1 ZINC000353864761 533416170 /nfs/dbraw/zinc/41/61/70/533416170.db2.gz JJXQCFIIIQIPSY-SNVBAGLBSA-N 1 2 293.716 3.580 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2ccc(Cl)c(C(F)(F)F)c2)C1 ZINC000353864761 533416175 /nfs/dbraw/zinc/41/61/75/533416175.db2.gz JJXQCFIIIQIPSY-SNVBAGLBSA-N 1 2 293.716 3.580 20 0 CHADLO COc1cccc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000352662412 533483428 /nfs/dbraw/zinc/48/34/28/533483428.db2.gz ZBWMUHUKUHOXAW-OAHLLOKOSA-N 1 2 288.778 3.691 20 0 CHADLO COc1cccc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)n1 ZINC000352662412 533483435 /nfs/dbraw/zinc/48/34/35/533483435.db2.gz ZBWMUHUKUHOXAW-OAHLLOKOSA-N 1 2 288.778 3.691 20 0 CHADLO C[C@@H](CC1CCCCC1)C(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000348018282 533508791 /nfs/dbraw/zinc/50/87/91/533508791.db2.gz ZKKOYWLCXDVBMY-DZGCQCFKSA-N 1 2 289.423 3.680 20 0 CHADLO COc1ccc(CN(C)c2cc[nH+]c(C(C)C)n2)cc1C ZINC000347033321 533545566 /nfs/dbraw/zinc/54/55/66/533545566.db2.gz MXTRAZXMZMHIEF-UHFFFAOYSA-N 1 2 285.391 3.553 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2nccc(C)n2)cc1 ZINC000341797758 130037362 /nfs/dbraw/zinc/03/73/62/130037362.db2.gz LLXVACKJEFMQMU-CYBMUJFWSA-N 1 2 287.432 3.748 20 0 CHADLO COC[C@@H](C)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000341779584 130041316 /nfs/dbraw/zinc/04/13/16/130041316.db2.gz MNJTWKLMKZUHGE-JTQLQIEISA-N 1 2 264.756 3.583 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2cccc(C)c2C)o1 ZINC000341878873 130106031 /nfs/dbraw/zinc/10/60/31/130106031.db2.gz YDRXVWZRCBCJOP-OLZOCXBDSA-N 1 2 273.380 3.661 20 0 CHADLO c1cn(-c2ccc(CSCC3CCOCC3)cc2)c[nH+]1 ZINC000342018333 130202325 /nfs/dbraw/zinc/20/23/25/130202325.db2.gz IHXIFPPLVFEOOU-UHFFFAOYSA-N 1 2 288.416 3.532 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](CC)c2cc(F)ccc2F)o1 ZINC000341991532 130212951 /nfs/dbraw/zinc/21/29/51/130212951.db2.gz AJWQJIIHRSZTHM-ZANVPECISA-N 1 2 295.333 3.712 20 0 CHADLO c1cn(-c2ccc(CSCc3cccnc3)cc2)c[nH+]1 ZINC000341992307 130215347 /nfs/dbraw/zinc/21/53/47/130215347.db2.gz DXSZBEMMROQPPT-UHFFFAOYSA-N 1 2 281.384 3.701 20 0 CHADLO CCC[C@@H]1CCCN(c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000342213012 130387771 /nfs/dbraw/zinc/38/77/71/130387771.db2.gz AMAPDTKORVGQGJ-CYBMUJFWSA-N 1 2 259.397 3.679 20 0 CHADLO CCC[C@@H]1CCCN(c2cc[nH+]c3ccncc32)C1 ZINC000342213046 130388353 /nfs/dbraw/zinc/38/83/53/130388353.db2.gz ARVGTSJKJVSFQM-CYBMUJFWSA-N 1 2 255.365 3.646 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc(F)c2F)c(C)[nH+]1 ZINC000171427194 335065692 /nfs/dbraw/zinc/06/56/92/335065692.db2.gz GAFUIXIWLRJLTD-UHFFFAOYSA-N 1 2 276.286 3.537 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@H+]2CCCC[C@H]2C)c(Cl)c1 ZINC000007486454 170286476 /nfs/dbraw/zinc/28/64/76/170286476.db2.gz BTOFBUCFVJXXGA-CYBMUJFWSA-N 1 2 294.826 3.770 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@@H+]2CCCC[C@H]2C)c(Cl)c1 ZINC000007486454 170286477 /nfs/dbraw/zinc/28/64/77/170286477.db2.gz BTOFBUCFVJXXGA-CYBMUJFWSA-N 1 2 294.826 3.770 20 0 CHADLO c1coc([C@@H]([NH2+]Cc2cccnc2)c2ccccc2)c1 ZINC000020141096 171027654 /nfs/dbraw/zinc/02/76/54/171027654.db2.gz BPMREKXTDBEZNR-KRWDZBQOSA-N 1 2 264.328 3.554 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2cc(C)c(F)c(C)c2)s1 ZINC000343759004 224846430 /nfs/dbraw/zinc/84/64/30/224846430.db2.gz PVZSWMGEWJPUTO-NSHDSACASA-N 1 2 293.411 3.707 20 0 CHADLO Cc1ccc(NC(=O)Cc2ccc(Cl)c(F)c2)c(C)[nH+]1 ZINC000344456385 225064695 /nfs/dbraw/zinc/06/46/95/225064695.db2.gz NBLHPFKAFDIBKI-UHFFFAOYSA-N 1 2 292.741 3.672 20 0 CHADLO Clc1ccc(C[NH2+]Cc2nc(C3CC3)cs2)o1 ZINC000345012896 225229672 /nfs/dbraw/zinc/22/96/72/225229672.db2.gz AWPCSKWWGASGJV-UHFFFAOYSA-N 1 2 268.769 3.557 20 0 CHADLO Cc1cccc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)n1 ZINC000334634761 225180665 /nfs/dbraw/zinc/18/06/65/225180665.db2.gz WYTGFQOWXYLORT-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cccc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)n1 ZINC000334634761 225180666 /nfs/dbraw/zinc/18/06/66/225180666.db2.gz WYTGFQOWXYLORT-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1ncoc1-c1ccccc1 ZINC000344956965 225215549 /nfs/dbraw/zinc/21/55/49/225215549.db2.gz HGWNSRCQBAXYDP-UHFFFAOYSA-N 1 2 268.316 3.533 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000334698601 225278296 /nfs/dbraw/zinc/27/82/96/225278296.db2.gz UKZBJYHOJJHSHU-CJNGLKHVSA-N 1 2 283.375 3.666 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000334698601 225278300 /nfs/dbraw/zinc/27/83/00/225278300.db2.gz UKZBJYHOJJHSHU-CJNGLKHVSA-N 1 2 283.375 3.666 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2cc(C)c(O)cc2C)c(C)[nH+]1 ZINC000334705295 225281393 /nfs/dbraw/zinc/28/13/93/225281393.db2.gz DSOXVEJRCAOMRV-UHFFFAOYSA-N 1 2 299.374 3.973 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H]3CCCC[C@@H]3C2)c(C)[nH+]1 ZINC000334711339 225283884 /nfs/dbraw/zinc/28/38/84/225283884.db2.gz BGEVDYYGFXZQEF-HUUCEWRRSA-N 1 2 287.407 3.661 20 0 CHADLO Cc1nnc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)s1 ZINC000334721141 225288196 /nfs/dbraw/zinc/28/81/96/225288196.db2.gz WSDXMIFIAWKSGN-INIZCTEOSA-N 1 2 299.443 3.964 20 0 CHADLO Cc1nnc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)s1 ZINC000334721141 225288201 /nfs/dbraw/zinc/28/82/01/225288201.db2.gz WSDXMIFIAWKSGN-INIZCTEOSA-N 1 2 299.443 3.964 20 0 CHADLO Clc1ccc(C[NH+]2CC3(C2)CCOCC3)cc1Cl ZINC000334797624 225301578 /nfs/dbraw/zinc/30/15/78/225301578.db2.gz WOPVIEADWNABGS-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C2CCC(F)(F)CC2)n1 ZINC000334995284 225390455 /nfs/dbraw/zinc/39/04/55/225390455.db2.gz ZQJLHGOUAMRFBD-UHFFFAOYSA-N 1 2 293.317 3.657 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335040428 225399602 /nfs/dbraw/zinc/39/96/02/225399602.db2.gz KYVSLUHQAZRKIF-HXPMCKFVSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335040428 225399606 /nfs/dbraw/zinc/39/96/06/225399606.db2.gz KYVSLUHQAZRKIF-HXPMCKFVSA-N 1 2 299.867 3.634 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H]1CCC12CCCC2 ZINC000334891366 225350423 /nfs/dbraw/zinc/35/04/23/225350423.db2.gz ZVPAVJIJKCGKGJ-ZDUSSCGKSA-N 1 2 273.380 3.543 20 0 CHADLO Cc1cc(NC[C@H](C)c2c(Cl)cccc2Cl)nc[nH+]1 ZINC000521364139 260086494 /nfs/dbraw/zinc/08/64/94/260086494.db2.gz GZARUYWQJGYKIV-VIFPVBQESA-N 1 2 296.201 3.729 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000347353029 226123742 /nfs/dbraw/zinc/12/37/42/226123742.db2.gz LARWIQVTTNVWMZ-CQSZACIVSA-N 1 2 268.401 3.735 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000347353029 226123747 /nfs/dbraw/zinc/12/37/47/226123747.db2.gz LARWIQVTTNVWMZ-CQSZACIVSA-N 1 2 268.401 3.735 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@@H+]1CC[C@@](C)(F)C1 ZINC000347363299 226135466 /nfs/dbraw/zinc/13/54/66/226135466.db2.gz XJEUWTFRUGYKNN-ZUZCIYMTSA-N 1 2 297.324 3.926 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@H+]1CC[C@@](C)(F)C1 ZINC000347363299 226135469 /nfs/dbraw/zinc/13/54/69/226135469.db2.gz XJEUWTFRUGYKNN-ZUZCIYMTSA-N 1 2 297.324 3.926 20 0 CHADLO C[C@H]1CCC[C@H]1CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000347387574 226142592 /nfs/dbraw/zinc/14/25/92/226142592.db2.gz GIJPGUUHZAGYIL-KBPBESRZSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000347392630 226144488 /nfs/dbraw/zinc/14/44/88/226144488.db2.gz UFFXTLRDCDBCNG-HNNXBMFYSA-N 1 2 296.292 3.554 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2coc(-c3c(F)cccc3F)n2)C1 ZINC000347392630 226144492 /nfs/dbraw/zinc/14/44/92/226144492.db2.gz UFFXTLRDCDBCNG-HNNXBMFYSA-N 1 2 296.292 3.554 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347670967 226218678 /nfs/dbraw/zinc/21/86/78/226218678.db2.gz BLMOJKUBMLGEBH-LBPRGKRZSA-N 1 2 281.306 3.517 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347670967 226218680 /nfs/dbraw/zinc/21/86/80/226218680.db2.gz BLMOJKUBMLGEBH-LBPRGKRZSA-N 1 2 281.306 3.517 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)cc1 ZINC000347673091 226218886 /nfs/dbraw/zinc/21/88/86/226218886.db2.gz CWIYWNTZHQLZPU-OAHLLOKOSA-N 1 2 291.345 3.924 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)cc1 ZINC000347673091 226218889 /nfs/dbraw/zinc/21/88/89/226218889.db2.gz CWIYWNTZHQLZPU-OAHLLOKOSA-N 1 2 291.345 3.924 20 0 CHADLO COCC[C@H]([NH2+]Cc1c(F)cccc1Cl)c1ccco1 ZINC000347936395 226393888 /nfs/dbraw/zinc/39/38/88/226393888.db2.gz QZSGTJDXSHPIHL-AWEZNQCLSA-N 1 2 297.757 3.940 20 0 CHADLO Cc1cnc(C[NH+]2CCC(c3cccc(F)c3F)CC2)o1 ZINC000335872798 226963692 /nfs/dbraw/zinc/96/36/92/226963692.db2.gz YIQFTUZWMLREGM-UHFFFAOYSA-N 1 2 292.329 3.641 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000354522886 227022202 /nfs/dbraw/zinc/02/22/02/227022202.db2.gz STCOHKXHUIAGOK-LLVKDONJSA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000354522886 227022208 /nfs/dbraw/zinc/02/22/08/227022208.db2.gz STCOHKXHUIAGOK-LLVKDONJSA-N 1 2 279.252 3.778 20 0 CHADLO COC1CCC(CNc2[nH+]ccc3ccc(F)cc32)CC1 ZINC000354536487 227025333 /nfs/dbraw/zinc/02/53/33/227025333.db2.gz HBXFLYLGUVHNAJ-UHFFFAOYSA-N 1 2 288.366 3.991 20 0 CHADLO Cc1ccc(CCCN2CCc3cc(F)c(F)cc32)c[nH+]1 ZINC000354647519 227057454 /nfs/dbraw/zinc/05/74/54/227057454.db2.gz JUWJGQZCNZNLKO-UHFFFAOYSA-N 1 2 288.341 3.664 20 0 CHADLO NC(=O)[C@@H]1CCC[N@@H+]1Cc1c(Cl)oc2ccccc21 ZINC000336506746 227078344 /nfs/dbraw/zinc/07/83/44/227078344.db2.gz YSDNMSSHNJAJOC-NSHDSACASA-N 1 2 278.739 3.586 20 0 CHADLO NC(=O)[C@@H]1CCC[N@H+]1Cc1c(Cl)oc2ccccc21 ZINC000336506746 227078350 /nfs/dbraw/zinc/07/83/50/227078350.db2.gz YSDNMSSHNJAJOC-NSHDSACASA-N 1 2 278.739 3.586 20 0 CHADLO Clc1sccc1C[N@@H+]1C[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000354710110 227079003 /nfs/dbraw/zinc/07/90/03/227079003.db2.gz QOQBZTLCQYXIDU-JSGCOSHPSA-N 1 2 283.824 3.545 20 0 CHADLO Clc1sccc1C[N@H+]1C[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000354710110 227079009 /nfs/dbraw/zinc/07/90/09/227079009.db2.gz QOQBZTLCQYXIDU-JSGCOSHPSA-N 1 2 283.824 3.545 20 0 CHADLO Clc1sccc1C[N@@H+]1CCOC[C@H]1CC1CCC1 ZINC000354737370 227086062 /nfs/dbraw/zinc/08/60/62/227086062.db2.gz UMBXVQYZNQLRJA-CYBMUJFWSA-N 1 2 285.840 3.793 20 0 CHADLO Clc1sccc1C[N@H+]1CCOC[C@H]1CC1CCC1 ZINC000354737370 227086064 /nfs/dbraw/zinc/08/60/64/227086064.db2.gz UMBXVQYZNQLRJA-CYBMUJFWSA-N 1 2 285.840 3.793 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000336545906 227110578 /nfs/dbraw/zinc/11/05/78/227110578.db2.gz JCCCOSKAJFPGFL-MLGOLLRUSA-N 1 2 287.794 3.946 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000336545906 227110583 /nfs/dbraw/zinc/11/05/83/227110583.db2.gz JCCCOSKAJFPGFL-MLGOLLRUSA-N 1 2 287.794 3.946 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC000171818232 335081231 /nfs/dbraw/zinc/08/12/31/335081231.db2.gz WYHDVEXUDPZJEA-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO Cc1cc(C[N@@H+]2[C@H](C)Cc3cc(F)ccc3[C@@H]2C)no1 ZINC000354824957 227141596 /nfs/dbraw/zinc/14/15/96/227141596.db2.gz BYWBVSNBGGPPJQ-PWSUYJOCSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1cc(C[N@H+]2[C@H](C)Cc3cc(F)ccc3[C@@H]2C)no1 ZINC000354824957 227141601 /nfs/dbraw/zinc/14/16/01/227141601.db2.gz BYWBVSNBGGPPJQ-PWSUYJOCSA-N 1 2 274.339 3.630 20 0 CHADLO CCn1cncc1C[NH2+][C@H](C)c1nc(C(C)(C)C)cs1 ZINC000417909600 227149876 /nfs/dbraw/zinc/14/98/76/227149876.db2.gz KGCYIZMBTTYTEY-LLVKDONJSA-N 1 2 292.452 3.508 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC[C@@H]3CCC[C@@H]32)n1 ZINC000336610629 227179434 /nfs/dbraw/zinc/17/94/34/227179434.db2.gz VTJQDACNKMFYBY-IUCAKERBSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC[C@@H]3CCC[C@@H]32)n1 ZINC000336610629 227179437 /nfs/dbraw/zinc/17/94/37/227179437.db2.gz VTJQDACNKMFYBY-IUCAKERBSA-N 1 2 276.327 3.536 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CCCN1c1[nH]c2ccccc2[nH+]1 ZINC000480309816 227187137 /nfs/dbraw/zinc/18/71/37/227187137.db2.gz LCVJRACGEXWIMZ-VHSXEESVSA-N 1 2 283.297 3.730 20 0 CHADLO FC1=CCC[N@@H+]([C@H]2CCc3c2cccc3Cl)C1 ZINC000352781815 227215678 /nfs/dbraw/zinc/21/56/78/227215678.db2.gz ACBSBXCFEIOTLO-AWEZNQCLSA-N 1 2 251.732 3.886 20 0 CHADLO FC1=CCC[N@H+]([C@H]2CCc3c2cccc3Cl)C1 ZINC000352781815 227215682 /nfs/dbraw/zinc/21/56/82/227215682.db2.gz ACBSBXCFEIOTLO-AWEZNQCLSA-N 1 2 251.732 3.886 20 0 CHADLO COCc1ccc(CNc2ccc3ccccc3[nH+]2)cc1 ZINC000301100789 227449238 /nfs/dbraw/zinc/44/92/38/227449238.db2.gz QCXDGZQXUFUCJB-UHFFFAOYSA-N 1 2 278.355 3.993 20 0 CHADLO Cc1cc(N2CCC[C@@H]2CC2CCCCC2)nc[nH+]1 ZINC000301253199 227490048 /nfs/dbraw/zinc/49/00/48/227490048.db2.gz SMBOFRCEQGXWKW-OAHLLOKOSA-N 1 2 259.397 3.724 20 0 CHADLO Cc1cc(Oc2cccc(-n3cc[nH+]c3)c2)nc(C(C)C)n1 ZINC000301540916 227578952 /nfs/dbraw/zinc/57/89/52/227578952.db2.gz CEISBPNJXRQDNE-UHFFFAOYSA-N 1 2 294.358 3.886 20 0 CHADLO Cc1cc(N[C@H]2CCCOc3c(Cl)cccc32)nc[nH+]1 ZINC000301980908 227748366 /nfs/dbraw/zinc/74/83/66/227748366.db2.gz ASYOCUYLSVVQOC-ZDUSSCGKSA-N 1 2 289.766 3.764 20 0 CHADLO Fc1cc(F)c(C[NH+]2CCC(OC3CCC3)CC2)cc1F ZINC000418086942 228021883 /nfs/dbraw/zinc/02/18/83/228021883.db2.gz ARGYDPYINPZNQB-UHFFFAOYSA-N 1 2 299.336 3.637 20 0 CHADLO Cc1ccc(Cc2noc(/C=C3\CC[C@@H](C)C3)n2)c[nH+]1 ZINC000356104505 228121829 /nfs/dbraw/zinc/12/18/29/228121829.db2.gz AQQYDCIDTWHCCR-VSXLWIIGSA-N 1 2 269.348 3.567 20 0 CHADLO Fc1cccc2c1CC[N@H+](Cc1ccc(Cl)o1)C2 ZINC000353519329 228114156 /nfs/dbraw/zinc/11/41/56/228114156.db2.gz MVNDBWFICANLSA-UHFFFAOYSA-N 1 2 265.715 3.630 20 0 CHADLO Fc1cccc2c1CC[N@@H+](Cc1ccc(Cl)o1)C2 ZINC000353519329 228114160 /nfs/dbraw/zinc/11/41/60/228114160.db2.gz MVNDBWFICANLSA-UHFFFAOYSA-N 1 2 265.715 3.630 20 0 CHADLO Cc1c(F)cccc1-c1ccc(C[N@@H+](C)Cc2cc[nH]n2)o1 ZINC000353542796 228117838 /nfs/dbraw/zinc/11/78/38/228117838.db2.gz LRDJJBAIXPBQSI-UHFFFAOYSA-N 1 2 299.349 3.749 20 0 CHADLO Cc1c(F)cccc1-c1ccc(C[N@H+](C)Cc2cc[nH]n2)o1 ZINC000353542796 228117840 /nfs/dbraw/zinc/11/78/40/228117840.db2.gz LRDJJBAIXPBQSI-UHFFFAOYSA-N 1 2 299.349 3.749 20 0 CHADLO FC(F)Oc1ccc(C[N@@H+]2CCC[C@H]2C(F)F)cc1 ZINC000353163957 228064888 /nfs/dbraw/zinc/06/48/88/228064888.db2.gz DVPMYZLEANVFKA-NSHDSACASA-N 1 2 277.261 3.518 20 0 CHADLO FC(F)Oc1ccc(C[N@H+]2CCC[C@H]2C(F)F)cc1 ZINC000353163957 228064891 /nfs/dbraw/zinc/06/48/91/228064891.db2.gz DVPMYZLEANVFKA-NSHDSACASA-N 1 2 277.261 3.518 20 0 CHADLO Cc1cc(C)c(NC(=O)CCCC(F)(F)F)c(C)[nH+]1 ZINC000356004511 228082576 /nfs/dbraw/zinc/08/25/76/228082576.db2.gz GIBYHUBISRMUIH-UHFFFAOYSA-N 1 2 274.286 3.678 20 0 CHADLO Cc1ccc([C@H](C)NC(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC000353325105 228086453 /nfs/dbraw/zinc/08/64/53/228086453.db2.gz ZPNLVGAAVQXXTQ-ZDUSSCGKSA-N 1 2 294.358 3.525 20 0 CHADLO Cc1sccc1C[N@@H+]1C[C@@H](C(F)(F)F)OC(C)(C)C1 ZINC000353599203 228128578 /nfs/dbraw/zinc/12/85/78/228128578.db2.gz WQCJAFKCYIKISQ-NSHDSACASA-N 1 2 293.354 3.598 20 0 CHADLO Cc1sccc1C[N@H+]1C[C@@H](C(F)(F)F)OC(C)(C)C1 ZINC000353599203 228128580 /nfs/dbraw/zinc/12/85/80/228128580.db2.gz WQCJAFKCYIKISQ-NSHDSACASA-N 1 2 293.354 3.598 20 0 CHADLO C[C@H]1C[C@@H]1CC(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000353773485 228152634 /nfs/dbraw/zinc/15/26/34/228152634.db2.gz NYYLXVDKNMNJQO-QWHCGFSZSA-N 1 2 282.343 3.859 20 0 CHADLO Cc1ccc(CCCNc2ccc(F)c(C)c2)c[nH+]1 ZINC000353816728 228155757 /nfs/dbraw/zinc/15/57/57/228155757.db2.gz PQGFZWWPCFVYCF-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1ncc(Cl)s1 ZINC000351987792 228171425 /nfs/dbraw/zinc/17/14/25/228171425.db2.gz ARKISYVSYGMVBD-SECBINFHSA-N 1 2 282.796 3.695 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1ncc(Cl)s1 ZINC000351987792 228171426 /nfs/dbraw/zinc/17/14/26/228171426.db2.gz ARKISYVSYGMVBD-SECBINFHSA-N 1 2 282.796 3.695 20 0 CHADLO Clc1cnc(C[N@@H+]2CC[C@H]2Cc2ccccc2)s1 ZINC000351994373 228173219 /nfs/dbraw/zinc/17/32/19/228173219.db2.gz FZQVCBAPJHUDSH-LBPRGKRZSA-N 1 2 278.808 3.614 20 0 CHADLO Clc1cnc(C[N@H+]2CC[C@H]2Cc2ccccc2)s1 ZINC000351994373 228173220 /nfs/dbraw/zinc/17/32/20/228173220.db2.gz FZQVCBAPJHUDSH-LBPRGKRZSA-N 1 2 278.808 3.614 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC2(C3CC3)CCC2)c(C)[nH+]1 ZINC000333019549 228187615 /nfs/dbraw/zinc/18/76/15/228187615.db2.gz DFPAZAAXBDJCMG-UHFFFAOYSA-N 1 2 287.407 3.709 20 0 CHADLO NC(=O)[C@@H]1CCC[N@@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000333338550 228197453 /nfs/dbraw/zinc/19/74/53/228197453.db2.gz OHPYQOULPYTJSE-ZDUSSCGKSA-N 1 2 293.436 3.690 20 0 CHADLO NC(=O)[C@@H]1CCC[N@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000333338550 228197454 /nfs/dbraw/zinc/19/74/54/228197454.db2.gz OHPYQOULPYTJSE-ZDUSSCGKSA-N 1 2 293.436 3.690 20 0 CHADLO C[C@@H](c1csnn1)[N@H+]1CC=C(c2ccc(F)cc2)CC1 ZINC000336204144 228213506 /nfs/dbraw/zinc/21/35/06/228213506.db2.gz MSOUBJIZRXCHAN-NSHDSACASA-N 1 2 289.379 3.528 20 0 CHADLO C[C@@H](c1csnn1)[N@@H+]1CC=C(c2ccc(F)cc2)CC1 ZINC000336204144 228213507 /nfs/dbraw/zinc/21/35/07/228213507.db2.gz MSOUBJIZRXCHAN-NSHDSACASA-N 1 2 289.379 3.528 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2C[C@@H](C)n3cc[nH+]c32)c(C)c1 ZINC000333824198 228215967 /nfs/dbraw/zinc/21/59/67/228215967.db2.gz KIKLZGRSVMSLEM-KGLIPLIRSA-N 1 2 298.390 3.636 20 0 CHADLO CC[N@H+](Cc1ccco1)Cc1c(F)cccc1F ZINC000180104957 260093112 /nfs/dbraw/zinc/09/31/12/260093112.db2.gz GGPHATZNQQGVRB-UHFFFAOYSA-N 1 2 251.276 3.580 20 0 CHADLO CC[N@@H+](Cc1ccco1)Cc1c(F)cccc1F ZINC000180104957 260093114 /nfs/dbraw/zinc/09/31/14/260093114.db2.gz GGPHATZNQQGVRB-UHFFFAOYSA-N 1 2 251.276 3.580 20 0 CHADLO CCc1ccc(C[N@@H+]2CCO[C@H](c3ccc(F)cc3)C2)o1 ZINC000179427639 260047620 /nfs/dbraw/zinc/04/76/20/260047620.db2.gz NMVNYMYNRFNFMX-KRWDZBQOSA-N 1 2 289.350 3.555 20 0 CHADLO CCc1ccc(C[N@H+]2CCO[C@H](c3ccc(F)cc3)C2)o1 ZINC000179427639 260047622 /nfs/dbraw/zinc/04/76/22/260047622.db2.gz NMVNYMYNRFNFMX-KRWDZBQOSA-N 1 2 289.350 3.555 20 0 CHADLO CSc1cccc(NC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000037188248 260073411 /nfs/dbraw/zinc/07/34/11/260073411.db2.gz IGTZCTDTEDZISX-UHFFFAOYSA-N 1 2 299.443 3.884 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H]1c2ccccc2CC[C@@H]1C ZINC000179850087 260077280 /nfs/dbraw/zinc/07/72/80/260077280.db2.gz PEKKPEXEVRXSIO-YVEFUNNKSA-N 1 2 295.386 3.835 20 0 CHADLO CC[C@@H](CNC(=O)Nc1cc[nH+]cc1C)c1ccccc1 ZINC000179863972 260078350 /nfs/dbraw/zinc/07/83/50/260078350.db2.gz JIVCNFBONASGQG-AWEZNQCLSA-N 1 2 283.375 3.705 20 0 CHADLO Cc1ccc(C)c([C@H](C)[NH2+]Cc2nn(C)cc2Cl)c1 ZINC000393524395 260145470 /nfs/dbraw/zinc/14/54/70/260145470.db2.gz SGJKRGMFSWOBCG-LBPRGKRZSA-N 1 2 277.799 3.541 20 0 CHADLO CC[C@H]([NH2+]Cc1cn[nH]c1C)c1ccc(Cl)cc1 ZINC000037886395 260165628 /nfs/dbraw/zinc/16/56/28/260165628.db2.gz WZKXUGNQKOBJKQ-AWEZNQCLSA-N 1 2 263.772 3.612 20 0 CHADLO CC[C@@H]([NH2+]Cc1cn[nH]c1C)c1ccc(Cl)cc1 ZINC000037886397 260165652 /nfs/dbraw/zinc/16/56/52/260165652.db2.gz WZKXUGNQKOBJKQ-CQSZACIVSA-N 1 2 263.772 3.612 20 0 CHADLO Cc1cc([C@H](C)Nc2[nH+]c3ccccc3n2C)c(C)o1 ZINC000079046444 260173963 /nfs/dbraw/zinc/17/39/63/260173963.db2.gz WMSBGYIYDRKOTD-NSHDSACASA-N 1 2 269.348 3.956 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2nc3ccccc3s2)n1 ZINC000080311695 260178969 /nfs/dbraw/zinc/17/89/69/260178969.db2.gz QOIMATASUDFAAS-JTQLQIEISA-N 1 2 289.429 3.912 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)sc1Cl)c1ccnn1C ZINC000312777586 260201561 /nfs/dbraw/zinc/20/15/61/260201561.db2.gz IMMJSIIETQAMOD-SSDOTTSWSA-N 1 2 290.219 3.639 20 0 CHADLO CCC[N@@H+](Cc1cccc(OC(C)C)c1)CC(F)F ZINC000514006151 260219301 /nfs/dbraw/zinc/21/93/01/260219301.db2.gz AXZMODQGBMETSX-UHFFFAOYSA-N 1 2 271.351 3.951 20 0 CHADLO CCC[N@H+](Cc1cccc(OC(C)C)c1)CC(F)F ZINC000514006151 260219302 /nfs/dbraw/zinc/21/93/02/260219302.db2.gz AXZMODQGBMETSX-UHFFFAOYSA-N 1 2 271.351 3.951 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(-c2cnco2)cc1 ZINC000090208571 260239119 /nfs/dbraw/zinc/23/91/19/260239119.db2.gz OEGIIKXWLKLDLN-UHFFFAOYSA-N 1 2 282.347 3.731 20 0 CHADLO CCOc1cc(F)ccc1NCc1c[nH+]cn1C(C)C ZINC000090208224 260239190 /nfs/dbraw/zinc/23/91/90/260239190.db2.gz HPQMCTHISIPJLW-UHFFFAOYSA-N 1 2 277.343 3.614 20 0 CHADLO Cc1cccc(Cl)c1NCc1cn2ccccc2[nH+]1 ZINC000090522530 260366591 /nfs/dbraw/zinc/36/65/91/260366591.db2.gz OVRNDXBZLDEBMU-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO CC(C)O[C@@H](C[N@@H+]1CCC[C@@H]1C(F)F)c1ccccc1 ZINC000428984516 260804504 /nfs/dbraw/zinc/80/45/04/260804504.db2.gz IAJGNPKDCRLKME-CABCVRRESA-N 1 2 283.362 3.882 20 0 CHADLO CC(C)O[C@@H](C[N@H+]1CCC[C@@H]1C(F)F)c1ccccc1 ZINC000428984516 260804505 /nfs/dbraw/zinc/80/45/05/260804505.db2.gz IAJGNPKDCRLKME-CABCVRRESA-N 1 2 283.362 3.882 20 0 CHADLO CC(C)COC[C@H]([NH2+][C@@H](C)c1cncs1)c1ccco1 ZINC000152582006 261066405 /nfs/dbraw/zinc/06/64/05/261066405.db2.gz FSGIFQVMQAZMDV-STQMWFEESA-N 1 2 294.420 3.801 20 0 CHADLO Cc1cc(NC(=O)[C@@H](OC(C)(C)C)c2ccccc2)cc[nH+]1 ZINC000154476576 261092145 /nfs/dbraw/zinc/09/21/45/261092145.db2.gz FQZRCJPACPTJEQ-INIZCTEOSA-N 1 2 298.386 3.885 20 0 CHADLO C[N@H+](Cc1cccc(C(F)(F)F)c1)Cc1ccncc1F ZINC000449015730 261098017 /nfs/dbraw/zinc/09/80/17/261098017.db2.gz LZPCQXCJWKZEIA-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cccc(C(F)(F)F)c1)Cc1ccncc1F ZINC000449015730 261098018 /nfs/dbraw/zinc/09/80/18/261098018.db2.gz LZPCQXCJWKZEIA-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Cc1cccc(NC(=O)[C@@H](C)[NH+]2Cc3ccccc3C2)c1C ZINC000155530159 261102951 /nfs/dbraw/zinc/10/29/51/261102951.db2.gz LVUOJHIFZGQUMW-OAHLLOKOSA-N 1 2 294.398 3.646 20 0 CHADLO CC[N@H+](Cc1c(F)cccc1F)[C@H](C)c1ccccn1 ZINC000155863164 261108920 /nfs/dbraw/zinc/10/89/20/261108920.db2.gz PBXWPQBMFSQCEP-GFCCVEGCSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@@H+](Cc1c(F)cccc1F)[C@H](C)c1ccccn1 ZINC000155863164 261108922 /nfs/dbraw/zinc/10/89/22/261108922.db2.gz PBXWPQBMFSQCEP-GFCCVEGCSA-N 1 2 276.330 3.943 20 0 CHADLO Cc1ccc(NC(=O)N2CCCC[C@@H]2C(C)C)c(C)[nH+]1 ZINC000156176136 261116120 /nfs/dbraw/zinc/11/61/20/261116120.db2.gz JFFOQPYBCVLTHD-OAHLLOKOSA-N 1 2 275.396 3.741 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1ccccc1OC)c1ccc(C)o1 ZINC000156424561 261123029 /nfs/dbraw/zinc/12/30/29/261123029.db2.gz BNBWDUYJRVDSQF-ZFWWWQNUSA-N 1 2 289.375 3.635 20 0 CHADLO CC(C)([NH2+]Cc1nc2ccccc2s1)c1nccs1 ZINC000172353020 261153775 /nfs/dbraw/zinc/15/37/75/261153775.db2.gz XUFIMMUGRDSXPZ-UHFFFAOYSA-N 1 2 289.429 3.778 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@@H](C)c1ccccn1)c1ccco1 ZINC000158921790 261174392 /nfs/dbraw/zinc/17/43/92/261174392.db2.gz KDOGOFFRTRZPBA-GOEBONIOSA-N 1 2 288.391 3.739 20 0 CHADLO CC1(CNC(=O)c2cccc(Oc3cc[nH+]cc3)c2)CCC1 ZINC000175113123 261209362 /nfs/dbraw/zinc/20/93/62/261209362.db2.gz WCNQIIHSRJEXMD-UHFFFAOYSA-N 1 2 296.370 3.794 20 0 CHADLO Cc1ccc(NC(=O)c2cc3c(cc(F)cc3C)[nH]2)c(C)[nH+]1 ZINC000176207515 261271068 /nfs/dbraw/zinc/27/10/68/261271068.db2.gz UXMSDSKODXXFAG-UHFFFAOYSA-N 1 2 297.333 3.880 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+][C@H](C)c2ccc(C)o2)o1 ZINC000165311143 261368426 /nfs/dbraw/zinc/36/84/26/261368426.db2.gz VMYUZAJDFTUOTD-TZMCWYRMSA-N 1 2 277.364 3.782 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)[C@H](C)c2cccs2)n1 ZINC000172012242 261490275 /nfs/dbraw/zinc/49/02/75/261490275.db2.gz ZKAXSVYFLJKAJT-GFCCVEGCSA-N 1 2 263.410 3.719 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)[C@H](C)c2cccs2)n1 ZINC000172012242 261490276 /nfs/dbraw/zinc/49/02/76/261490276.db2.gz ZKAXSVYFLJKAJT-GFCCVEGCSA-N 1 2 263.410 3.719 20 0 CHADLO CC[N@H+](Cc1ccn(C(C)C)n1)[C@@H](C)c1cccc(O)c1 ZINC000174619999 261578468 /nfs/dbraw/zinc/57/84/68/261578468.db2.gz QLYCCHQCTNLSGC-AWEZNQCLSA-N 1 2 287.407 3.753 20 0 CHADLO CC[N@@H+](Cc1ccn(C(C)C)n1)[C@@H](C)c1cccc(O)c1 ZINC000174619999 261578470 /nfs/dbraw/zinc/57/84/70/261578470.db2.gz QLYCCHQCTNLSGC-AWEZNQCLSA-N 1 2 287.407 3.753 20 0 CHADLO Cc1[nH]ccc1C(=O)Nc1ccc2[nH]c(C(C)(C)C)[nH+]c2c1 ZINC000175181109 261597383 /nfs/dbraw/zinc/59/73/83/261597383.db2.gz JRWDRWRZTXKRQI-UHFFFAOYSA-N 1 2 296.374 3.749 20 0 CHADLO C[C@H](Nc1cccc([NH+]2CCCCCC2)c1)[C@H]1CCOC1 ZINC000179959370 261828201 /nfs/dbraw/zinc/82/82/01/261828201.db2.gz DRQFPTJINAIOIQ-HOTGVXAUSA-N 1 2 288.435 3.904 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1csc(C)n1 ZINC000181480510 261899323 /nfs/dbraw/zinc/89/93/23/261899323.db2.gz JBOIWMGGRKLBEF-UHFFFAOYSA-N 1 2 286.404 3.823 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2nnc(C(C)C)o2)c1C ZINC000183727724 261986112 /nfs/dbraw/zinc/98/61/12/261986112.db2.gz IRFDZFVPHDDJMU-ZDUSSCGKSA-N 1 2 273.380 3.661 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)s1)c1ccon1 ZINC000336744520 262000383 /nfs/dbraw/zinc/00/03/83/262000383.db2.gz QCKJMTIAUXBONG-HTQZYQBOSA-N 1 2 256.758 3.801 20 0 CHADLO COC[C@H]([NH2+]Cc1c(F)cccc1Cl)c1ccco1 ZINC000184597049 262023985 /nfs/dbraw/zinc/02/39/85/262023985.db2.gz PJGPVIVADQMIQM-ZDUSSCGKSA-N 1 2 283.730 3.549 20 0 CHADLO CC(C)(C)c1csc(C[NH2+]Cc2cc(O)cc(F)c2)n1 ZINC000185522104 262058757 /nfs/dbraw/zinc/05/87/57/262058757.db2.gz DWKOZXBZBUKRDV-UHFFFAOYSA-N 1 2 294.395 3.575 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2CCCSCC(C)C)no1 ZINC000363961797 262069787 /nfs/dbraw/zinc/06/97/87/262069787.db2.gz LMDPASXFVSPKJQ-AWEZNQCLSA-N 1 2 297.468 3.684 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2CCCSCC(C)C)no1 ZINC000363961797 262069788 /nfs/dbraw/zinc/06/97/88/262069788.db2.gz LMDPASXFVSPKJQ-AWEZNQCLSA-N 1 2 297.468 3.684 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@@H+]1Cc1nccn1C(F)F ZINC000185797140 262071358 /nfs/dbraw/zinc/07/13/58/262071358.db2.gz YVIJLEKOSRUBJS-AWEZNQCLSA-N 1 2 291.345 3.615 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@H+]1Cc1nccn1C(F)F ZINC000185797140 262071359 /nfs/dbraw/zinc/07/13/59/262071359.db2.gz YVIJLEKOSRUBJS-AWEZNQCLSA-N 1 2 291.345 3.615 20 0 CHADLO COc1cc(C[NH2+][C@@H](C)c2csc(C(C)C)n2)ccn1 ZINC000186233713 262085650 /nfs/dbraw/zinc/08/56/50/262085650.db2.gz DLNULCRULXTZRI-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO CCC[C@H]1CCCCN1C(=O)Nc1ccc(C)[nH+]c1C ZINC000186532767 262097589 /nfs/dbraw/zinc/09/75/89/262097589.db2.gz XKMNAEVZLVZMEH-AWEZNQCLSA-N 1 2 275.396 3.885 20 0 CHADLO CC(C)Oc1ccc(COCc2[nH]cc[nH+]2)cc1Cl ZINC000186647845 262103555 /nfs/dbraw/zinc/10/35/55/262103555.db2.gz RKNVFZLKAQJWNJ-UHFFFAOYSA-N 1 2 280.755 3.567 20 0 CHADLO CCn1nccc1CNc1ccc([N@@H+]2C[C@H]3CC[C@@H]2C3)cc1 ZINC000192215104 262199836 /nfs/dbraw/zinc/19/98/36/262199836.db2.gz AYWWKCLJUUOLIU-WMLDXEAASA-N 1 2 296.418 3.504 20 0 CHADLO CCn1nccc1CNc1ccc([N@H+]2C[C@H]3CC[C@@H]2C3)cc1 ZINC000192215104 262199838 /nfs/dbraw/zinc/19/98/38/262199838.db2.gz AYWWKCLJUUOLIU-WMLDXEAASA-N 1 2 296.418 3.504 20 0 CHADLO Oc1cccc(CNc2ccc([N@@H+]3C[C@H]4CC[C@@H]3C4)cc2)c1 ZINC000192217627 262199975 /nfs/dbraw/zinc/19/99/75/262199975.db2.gz UEKSXZHICFZFIT-MAUKXSAKSA-N 1 2 294.398 3.993 20 0 CHADLO Oc1cccc(CNc2ccc([N@H+]3C[C@H]4CC[C@@H]3C4)cc2)c1 ZINC000192217627 262199976 /nfs/dbraw/zinc/19/99/76/262199976.db2.gz UEKSXZHICFZFIT-MAUKXSAKSA-N 1 2 294.398 3.993 20 0 CHADLO CCCC[C@@H]([NH2+][C@@H](C(=O)OC)c1ccsc1)C1CCC1 ZINC000499622689 262204643 /nfs/dbraw/zinc/20/46/43/262204643.db2.gz AWSMUWUFRLOILH-HUUCEWRRSA-N 1 2 295.448 3.911 20 0 CHADLO Cc1ccc([NH2+]C[C@@H]2C[C@H]2C)c(OC[C@H]2CCCO2)c1 ZINC000488305008 262306911 /nfs/dbraw/zinc/30/69/11/262306911.db2.gz DDHHFMSLVTWWCG-QLFBSQMISA-N 1 2 275.392 3.621 20 0 CHADLO CC(C)[C@@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000171934027 335085483 /nfs/dbraw/zinc/08/54/83/335085483.db2.gz RSGPBEGZGJZCSL-LLVKDONJSA-N 1 2 291.782 3.756 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2cc(-c3ccco3)on2)n1 ZINC000411520978 262696681 /nfs/dbraw/zinc/69/66/81/262696681.db2.gz RXRYZVNNJHRFME-JTQLQIEISA-N 1 2 289.360 3.550 20 0 CHADLO CC[C@H](CC(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C)c1ccccc1 ZINC000276489464 263037054 /nfs/dbraw/zinc/03/70/54/263037054.db2.gz GFRLGGFKRJVYHX-RHSMWYFYSA-N 1 2 299.418 3.807 20 0 CHADLO Brc1ccc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)o1 ZINC000276818619 263038208 /nfs/dbraw/zinc/03/82/08/263038208.db2.gz ZCYIQRNSYQHFAP-GFCCVEGCSA-N 1 2 295.180 3.707 20 0 CHADLO Brc1ccc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)o1 ZINC000276818619 263038209 /nfs/dbraw/zinc/03/82/09/263038209.db2.gz ZCYIQRNSYQHFAP-GFCCVEGCSA-N 1 2 295.180 3.707 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccc(Cl)cc1 ZINC000279151233 263053490 /nfs/dbraw/zinc/05/34/90/263053490.db2.gz APEJLJVOQLHEDY-GXFFZTMASA-N 1 2 296.823 3.835 20 0 CHADLO CC[C@@H]1C[C@H](CNc2ccc3c(c2)CCC[NH2+]3)CCO1 ZINC000414844379 263059076 /nfs/dbraw/zinc/05/90/76/263059076.db2.gz DPLRLKLUWXWNJY-CZUORRHYSA-N 1 2 274.408 3.662 20 0 CHADLO CC(=O)Nc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)cc1 ZINC000414852823 263070032 /nfs/dbraw/zinc/07/00/32/263070032.db2.gz QQJUBSWHHJNWKC-UHFFFAOYSA-N 1 2 295.386 3.615 20 0 CHADLO COc1cc(CNc2ccc3c(c2)CCC[NH2+]3)cc(OC)c1 ZINC000414855680 263072143 /nfs/dbraw/zinc/07/21/43/263072143.db2.gz XEXBHIIQPVEPAF-UHFFFAOYSA-N 1 2 298.386 3.674 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)ncc1F ZINC000296956698 263198835 /nfs/dbraw/zinc/19/88/35/263198835.db2.gz UGLQSHIVEXGOKE-JTQLQIEISA-N 1 2 294.267 3.523 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)ncc1F ZINC000296956698 263198836 /nfs/dbraw/zinc/19/88/36/263198836.db2.gz UGLQSHIVEXGOKE-JTQLQIEISA-N 1 2 294.267 3.523 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1N(C)C)C(F)F ZINC000453248272 263208769 /nfs/dbraw/zinc/20/87/69/263208769.db2.gz PBCKLJREDCMTOO-JOYOIKCWSA-N 1 2 274.330 3.586 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(C)ccc1OC)C(F)F ZINC000453250634 263210048 /nfs/dbraw/zinc/21/00/48/263210048.db2.gz SBGXSJPWGBEKHL-PWSUYJOCSA-N 1 2 257.324 3.698 20 0 CHADLO C[C@H]([NH2+][C@H]1CCSc2ccc(F)cc21)C(C)(F)F ZINC000453277539 263216132 /nfs/dbraw/zinc/21/61/32/263216132.db2.gz DJTJQUYYKHQBEJ-KWQFWETISA-N 1 2 275.339 3.996 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+]Cc1c(Cl)cccc1Cl ZINC000525857622 263267863 /nfs/dbraw/zinc/26/78/63/263267863.db2.gz BCBNVKZDSOUJPJ-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCc2c1cccc2Cl ZINC000425345867 264016486 /nfs/dbraw/zinc/01/64/86/264016486.db2.gz KDEZKQGSRQCHEV-UHFFFAOYSA-N 1 2 275.783 3.680 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C(C)(C)C)o1)c1c(C)noc1C ZINC000330863546 264056487 /nfs/dbraw/zinc/05/64/87/264056487.db2.gz QVWHDANORFWYQP-GFCCVEGCSA-N 1 2 291.395 3.818 20 0 CHADLO Cc1cc(F)c(C[NH+]2CC(CC(F)F)C2)c(Cl)c1 ZINC000425365816 264211477 /nfs/dbraw/zinc/21/14/77/264211477.db2.gz MZUTWVVLUMWDDW-UHFFFAOYSA-N 1 2 277.717 3.875 20 0 CHADLO C[C@@]1(CNc2ccc3ccccc3[nH+]2)CCCS1 ZINC000126395441 264218812 /nfs/dbraw/zinc/21/88/12/264218812.db2.gz DJJGOQDTWQITQW-HNNXBMFYSA-N 1 2 258.390 3.932 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1ccn(-c2ccccc2F)n1 ZINC000188889779 264255293 /nfs/dbraw/zinc/25/52/93/264255293.db2.gz CALPDAKZQIREER-CYBMUJFWSA-N 1 2 299.349 3.797 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1ccn(-c2ccccc2F)n1 ZINC000188889779 264255297 /nfs/dbraw/zinc/25/52/97/264255297.db2.gz CALPDAKZQIREER-CYBMUJFWSA-N 1 2 299.349 3.797 20 0 CHADLO Clc1cc2c(c(Cl)c1)CC[C@@H]2[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000468241094 264272633 /nfs/dbraw/zinc/27/26/33/264272633.db2.gz PVPXIRDTRGBLJE-RWSFTLGLSA-N 1 2 298.213 3.844 20 0 CHADLO Clc1cc2c(c(Cl)c1)CC[C@@H]2[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000468241094 264272635 /nfs/dbraw/zinc/27/26/35/264272635.db2.gz PVPXIRDTRGBLJE-RWSFTLGLSA-N 1 2 298.213 3.844 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nc(C(F)F)no2)s1 ZINC000425397674 264276634 /nfs/dbraw/zinc/27/66/34/264276634.db2.gz BAIJGJGGIWMBDZ-VIFPVBQESA-N 1 2 299.346 3.714 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nc(C(F)F)no2)s1 ZINC000425397674 264276636 /nfs/dbraw/zinc/27/66/36/264276636.db2.gz BAIJGJGGIWMBDZ-VIFPVBQESA-N 1 2 299.346 3.714 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C2CC2)n1)c1c(C)noc1C ZINC000161664679 264293738 /nfs/dbraw/zinc/29/37/38/264293738.db2.gz DMSAUAOWKQRKOR-LBPRGKRZSA-N 1 2 272.352 3.522 20 0 CHADLO Cc1cc(N[C@H](C)C(C)(C)C)nc(-c2cccnc2)[nH+]1 ZINC000128703101 264300810 /nfs/dbraw/zinc/30/08/10/264300810.db2.gz IFJCAGMHMBIICQ-GFCCVEGCSA-N 1 2 270.380 3.694 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C(C)(C)C)nn1)c1ccc(Cl)s1 ZINC000189945111 264337848 /nfs/dbraw/zinc/33/78/48/264337848.db2.gz GZDMGJMBFJWOJP-SECBINFHSA-N 1 2 298.843 3.599 20 0 CHADLO CCCC[C@@H](CC)C(=O)NCc1c[nH+]c(C)cc1C ZINC000456860449 264364042 /nfs/dbraw/zinc/36/40/42/264364042.db2.gz NWSUNPWECAFWBX-CQSZACIVSA-N 1 2 262.397 3.531 20 0 CHADLO CC[C@H]1CCCC[C@@H]1OCC(=O)Nc1ccc(C)[nH+]c1C ZINC000185224859 265011218 /nfs/dbraw/zinc/01/12/18/265011218.db2.gz TXNFJGJSOTUDQH-HOCLYGCPSA-N 1 2 290.407 3.622 20 0 CHADLO CCOc1cc(Cl)ccc1C[NH2+][C@H](C)c1cc(C)on1 ZINC000353241047 265207881 /nfs/dbraw/zinc/20/78/81/265207881.db2.gz KSLGBXRAXMBEAJ-LLVKDONJSA-N 1 2 294.782 3.886 20 0 CHADLO CO[C@@H](c1ccccc1Cl)[C@H](C)Nc1cccc[nH+]1 ZINC000349901645 265214399 /nfs/dbraw/zinc/21/43/99/265214399.db2.gz CVUJFHDTSITHDC-XHDPSFHLSA-N 1 2 276.767 3.923 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1ccc(CC)cc1)C(=O)OCC ZINC000096867250 265391530 /nfs/dbraw/zinc/39/15/30/265391530.db2.gz GHMGKCPPVFDTJM-BBRMVZONSA-N 1 2 277.408 3.631 20 0 CHADLO Cc1ccc(CNc2cc(C(F)(F)F)cc[nH+]2)nc1C ZINC000314769279 266014752 /nfs/dbraw/zinc/01/47/52/266014752.db2.gz KXVHBQKTGXQXSN-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO CC[C@]1(C)CCCN(C(=O)c2ccc3[nH+]c(C)n(C)c3c2)C1 ZINC000356246850 266080208 /nfs/dbraw/zinc/08/02/08/266080208.db2.gz MHZJWAOIZXJANC-GOSISDBHSA-N 1 2 299.418 3.534 20 0 CHADLO C[C@H]1CCN(c2cc[nH+]c3ccncc32)CC12CCC2 ZINC000356772377 266172917 /nfs/dbraw/zinc/17/29/17/266172917.db2.gz AIIDBWOYSDAXBK-ZDUSSCGKSA-N 1 2 267.376 3.646 20 0 CHADLO C[C@@H]1C[C@@H](CNc2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000356796704 266175784 /nfs/dbraw/zinc/17/57/84/266175784.db2.gz RUFPACYNWXCGPW-NEPJUHHUSA-N 1 2 274.339 3.601 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)[C@H]2CCCOC2)c1 ZINC000356865918 266197298 /nfs/dbraw/zinc/19/72/98/266197298.db2.gz DRLSLXLKAWKHGV-JSGCOSHPSA-N 1 2 280.437 3.562 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2cc(-c3ccccc3)cs2)n1 ZINC000356895470 266206600 /nfs/dbraw/zinc/20/66/00/266206600.db2.gz PJJJCEJQVGSLAG-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)[C@@H](C)c1ncc(C)o1 ZINC000356958906 266218652 /nfs/dbraw/zinc/21/86/52/266218652.db2.gz WBXDNLRVMGMGDS-NSHDSACASA-N 1 2 294.782 3.838 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)[C@@H](C)c1ncc(C)o1 ZINC000356958906 266218657 /nfs/dbraw/zinc/21/86/57/266218657.db2.gz WBXDNLRVMGMGDS-NSHDSACASA-N 1 2 294.782 3.838 20 0 CHADLO FC1=CCC[N@H+](Cc2cccc(-c3ccccn3)c2)C1 ZINC000357210633 266267051 /nfs/dbraw/zinc/26/70/51/266267051.db2.gz BYHPZGLLPPTIOR-UHFFFAOYSA-N 1 2 268.335 3.808 20 0 CHADLO FC1=CCC[N@@H+](Cc2cccc(-c3ccccn3)c2)C1 ZINC000357210633 266267052 /nfs/dbraw/zinc/26/70/52/266267052.db2.gz BYHPZGLLPPTIOR-UHFFFAOYSA-N 1 2 268.335 3.808 20 0 CHADLO CC[C@H](c1nc(CC2CC2)no1)[N@H+](C)Cc1ccccc1 ZINC000357480800 266306018 /nfs/dbraw/zinc/30/60/18/266306018.db2.gz IWPRDDIUBRUVHI-OAHLLOKOSA-N 1 2 285.391 3.605 20 0 CHADLO CC[C@H](c1nc(CC2CC2)no1)[N@@H+](C)Cc1ccccc1 ZINC000357480800 266306021 /nfs/dbraw/zinc/30/60/21/266306021.db2.gz IWPRDDIUBRUVHI-OAHLLOKOSA-N 1 2 285.391 3.605 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)N[C@H](C)CCC(C)C)c1 ZINC000357603207 266334011 /nfs/dbraw/zinc/33/40/11/266334011.db2.gz ZQOAWTMHMAMONS-OAHLLOKOSA-N 1 2 299.418 3.735 20 0 CHADLO Cc1nnc(C[N@@H+](C)C2c3ccccc3-c3ccccc32)o1 ZINC000357675354 266345079 /nfs/dbraw/zinc/34/50/79/266345079.db2.gz QMLNGKOXJQKZRJ-UHFFFAOYSA-N 1 2 291.354 3.580 20 0 CHADLO Cc1nnc(C[N@H+](C)C2c3ccccc3-c3ccccc32)o1 ZINC000357675354 266345083 /nfs/dbraw/zinc/34/50/83/266345083.db2.gz QMLNGKOXJQKZRJ-UHFFFAOYSA-N 1 2 291.354 3.580 20 0 CHADLO CC[N@H+](Cc1nc([C@H](C)c2ccccc2C)no1)C1CC1 ZINC000357692163 266347509 /nfs/dbraw/zinc/34/75/09/266347509.db2.gz AAPCAHBRANRKJC-CYBMUJFWSA-N 1 2 285.391 3.514 20 0 CHADLO CC[N@@H+](Cc1nc([C@H](C)c2ccccc2C)no1)C1CC1 ZINC000357692163 266347512 /nfs/dbraw/zinc/34/75/12/266347512.db2.gz AAPCAHBRANRKJC-CYBMUJFWSA-N 1 2 285.391 3.514 20 0 CHADLO Cc1ccc(Cc2nc([C@H](C)c3ccccc3C)no2)c[nH+]1 ZINC000357706230 266349471 /nfs/dbraw/zinc/34/94/71/266349471.db2.gz HTDAQRILPUKIKU-CQSZACIVSA-N 1 2 293.370 3.824 20 0 CHADLO FC1=CCCN(c2[nH+]cccc2OCc2ccccc2)C1 ZINC000362651598 267029774 /nfs/dbraw/zinc/02/97/74/267029774.db2.gz WJRVDLJQSLJWOP-UHFFFAOYSA-N 1 2 284.334 3.724 20 0 CHADLO Cc1nc(NC2(Cc3cccc(F)c3)CCC2)cc[nH+]1 ZINC000362693258 267036431 /nfs/dbraw/zinc/03/64/31/267036431.db2.gz MPTGGWCLTYZEHT-UHFFFAOYSA-N 1 2 271.339 3.501 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367587933 267102255 /nfs/dbraw/zinc/10/22/55/267102255.db2.gz KTZMXCXLWWHYPI-HNNXBMFYSA-N 1 2 281.359 3.632 20 0 CHADLO FC(F)(F)c1cccc(C[N@@H+]2CCc3ncccc3C2)c1 ZINC000367860406 267123226 /nfs/dbraw/zinc/12/32/26/267123226.db2.gz SVCAZWOJOJBOEI-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc(C[N@H+]2CCc3ncccc3C2)c1 ZINC000367860406 267123227 /nfs/dbraw/zinc/12/32/27/267123227.db2.gz SVCAZWOJOJBOEI-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO Cc1c[nH]nc1C[NH2+]C(c1cccs1)c1cccs1 ZINC000368070893 267144756 /nfs/dbraw/zinc/14/47/56/267144756.db2.gz LBAINJUKKXWCNX-UHFFFAOYSA-N 1 2 289.429 3.720 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCc3ncccc3C2)c1 ZINC000368630039 267191881 /nfs/dbraw/zinc/19/18/81/267191881.db2.gz CKHHCGRTGXRTHA-UHFFFAOYSA-N 1 2 274.314 3.578 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCc3ncccc3C2)c1 ZINC000368630039 267191885 /nfs/dbraw/zinc/19/18/85/267191885.db2.gz CKHHCGRTGXRTHA-UHFFFAOYSA-N 1 2 274.314 3.578 20 0 CHADLO Cc1ccc(F)cc1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117565497 267216103 /nfs/dbraw/zinc/21/61/03/267216103.db2.gz YQOLIPVRZFGBIW-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO C[C@H]1COCC[N@H+](Cc2nc(C3CCCCC3)cs2)C1 ZINC000369320142 267235649 /nfs/dbraw/zinc/23/56/49/267235649.db2.gz VEMZQXHUZGQLTL-CYBMUJFWSA-N 1 2 294.464 3.659 20 0 CHADLO C[C@H]1COCC[N@@H+](Cc2nc(C3CCCCC3)cs2)C1 ZINC000369320142 267235651 /nfs/dbraw/zinc/23/56/51/267235651.db2.gz VEMZQXHUZGQLTL-CYBMUJFWSA-N 1 2 294.464 3.659 20 0 CHADLO CC[C@@H](COC)Nc1cccc(-c2cn3c([nH+]2)CCCC3)c1 ZINC000369850356 267272365 /nfs/dbraw/zinc/27/23/65/267272365.db2.gz GBWGZQQKHRQZAQ-HNNXBMFYSA-N 1 2 299.418 3.723 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000370053952 267286983 /nfs/dbraw/zinc/28/69/83/267286983.db2.gz SHMWWMXZJNOILK-MRXNPFEDSA-N 1 2 285.391 3.534 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000370053952 267286985 /nfs/dbraw/zinc/28/69/85/267286985.db2.gz SHMWWMXZJNOILK-MRXNPFEDSA-N 1 2 285.391 3.534 20 0 CHADLO CC(C)SCC[N@@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000370148101 267295067 /nfs/dbraw/zinc/29/50/67/267295067.db2.gz IWCRGFIZSVFBAN-CYBMUJFWSA-N 1 2 295.452 3.616 20 0 CHADLO CC(C)SCC[N@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000370148101 267295070 /nfs/dbraw/zinc/29/50/70/267295070.db2.gz IWCRGFIZSVFBAN-CYBMUJFWSA-N 1 2 295.452 3.616 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@H](CC(C)C)C2)cc[nH+]1 ZINC000119194920 267305901 /nfs/dbraw/zinc/30/59/01/267305901.db2.gz XJJHARMKXOFKSR-CQSZACIVSA-N 1 2 275.396 3.680 20 0 CHADLO Cc1ccc(N[C@@H](C)c2[nH]cc[nH+]2)cc1-c1ncco1 ZINC000370589143 267329844 /nfs/dbraw/zinc/32/98/44/267329844.db2.gz OGBBUHYTSSZMBA-NSHDSACASA-N 1 2 268.320 3.546 20 0 CHADLO CCC(CC)CN(CC)C(=O)Nc1cc[nH+]c(C)c1 ZINC000119416902 267333454 /nfs/dbraw/zinc/33/34/54/267333454.db2.gz MFJPSNSCQZVKKF-UHFFFAOYSA-N 1 2 263.385 3.680 20 0 CHADLO Cn1cc(Cl)cc1C[N@H+](C)Cc1cccc(F)c1F ZINC000120050758 267354204 /nfs/dbraw/zinc/35/42/04/267354204.db2.gz MOQGBIPHXAJWDI-UHFFFAOYSA-N 1 2 284.737 3.589 20 0 CHADLO Cn1cc(Cl)cc1C[N@@H+](C)Cc1cccc(F)c1F ZINC000120050758 267354207 /nfs/dbraw/zinc/35/42/07/267354207.db2.gz MOQGBIPHXAJWDI-UHFFFAOYSA-N 1 2 284.737 3.589 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(C)c(Cl)c(C)c1 ZINC000418137796 267371863 /nfs/dbraw/zinc/37/18/63/267371863.db2.gz INMKHJYTTGMWBC-UHFFFAOYSA-N 1 2 263.772 3.785 20 0 CHADLO CC[C@]1(C)C[C@H]1C(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000371247391 267376907 /nfs/dbraw/zinc/37/69/07/267376907.db2.gz MRCJZXDZXLKQGW-SUMWQHHRSA-N 1 2 283.375 3.760 20 0 CHADLO C[C@@H]1CCC[C@H](CC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C1 ZINC000120126088 267385524 /nfs/dbraw/zinc/38/55/24/267385524.db2.gz LZQDVPJHCKQKAD-QLFBSQMISA-N 1 2 289.423 3.680 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000376890896 268027153 /nfs/dbraw/zinc/02/71/53/268027153.db2.gz LGRLYEKUNAMPDK-CQSZACIVSA-N 1 2 275.421 3.548 20 0 CHADLO CCn1ccnc1C[N@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000376890896 268027156 /nfs/dbraw/zinc/02/71/56/268027156.db2.gz LGRLYEKUNAMPDK-CQSZACIVSA-N 1 2 275.421 3.548 20 0 CHADLO CCn1cc[nH+]c1CN1CC(C)(C)[C@H]1c1cccs1 ZINC000376890896 268027158 /nfs/dbraw/zinc/02/71/58/268027158.db2.gz LGRLYEKUNAMPDK-CQSZACIVSA-N 1 2 275.421 3.548 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncccn1)c1cccc(Cl)c1F ZINC000570867051 327628074 /nfs/dbraw/zinc/62/80/74/327628074.db2.gz HXVZXQPGPBVVPU-GFCCVEGCSA-N 1 2 279.746 3.510 20 0 CHADLO COCc1nc(CSCc2ccc(C)[nH+]c2C)cs1 ZINC000358664487 328890476 /nfs/dbraw/zinc/89/04/76/328890476.db2.gz UIXAEQFMHUTKFQ-UHFFFAOYSA-N 1 2 294.445 3.735 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2oc3ccccc3c2C)o1 ZINC000183628989 327763299 /nfs/dbraw/zinc/76/32/99/327763299.db2.gz ITJCWRMISCUZKC-GFCCVEGCSA-N 1 2 270.332 3.888 20 0 CHADLO CC(C)(C)c1noc(C[NH2+][C@@H](c2ccccc2)C2CC2)n1 ZINC000179104739 327799810 /nfs/dbraw/zinc/79/98/10/327799810.db2.gz YBIYFFUXIBJTDN-HNNXBMFYSA-N 1 2 285.391 3.608 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH2+][C@H](c2ccccc2)C2CC2)n1 ZINC000179102281 327799540 /nfs/dbraw/zinc/79/95/40/327799540.db2.gz WGYDMVYXSABSRQ-IUODEOHRSA-N 1 2 285.391 3.995 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1nccs1 ZINC000185902957 327850590 /nfs/dbraw/zinc/85/05/90/327850590.db2.gz YALGTOMHMDQYIZ-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO c1c(CNc2cc[nH+]c3ccncc23)sc2c1CCCC2 ZINC000531252935 327896196 /nfs/dbraw/zinc/89/61/96/327896196.db2.gz ATLALMDRBMJIQB-UHFFFAOYSA-N 1 2 295.411 3.604 20 0 CHADLO C[C@@H](CC(=O)NC1CC(C)(C)CC(C)(C)C1)n1cc[nH+]c1 ZINC000565366426 327945109 /nfs/dbraw/zinc/94/51/09/327945109.db2.gz CIFJXZHEFPBMQT-ZDUSSCGKSA-N 1 2 291.439 3.555 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](c2nccn2C)c2ccccc2)o1 ZINC000531688303 328024149 /nfs/dbraw/zinc/02/41/49/328024149.db2.gz JRAYANIHNUPONN-RHSMWYFYSA-N 1 2 295.386 3.762 20 0 CHADLO c1sc(C2CCCCC2)nc1C[NH+]1CCSCC1 ZINC000047986459 328034166 /nfs/dbraw/zinc/03/41/66/328034166.db2.gz ZTCBRMHEPAQRLM-UHFFFAOYSA-N 1 2 282.478 3.740 20 0 CHADLO CC[C@H]1COCC[N@@H+]1Cc1cnc(C2CCCCC2)s1 ZINC000534338913 328049518 /nfs/dbraw/zinc/04/95/18/328049518.db2.gz PTCPQXWFFHESQC-AWEZNQCLSA-N 1 2 294.464 3.802 20 0 CHADLO CC[C@H]1COCC[N@H+]1Cc1cnc(C2CCCCC2)s1 ZINC000534338913 328049519 /nfs/dbraw/zinc/04/95/19/328049519.db2.gz PTCPQXWFFHESQC-AWEZNQCLSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1cccc2c1CC[C@H]2Nc1nc(C)[nH+]cc1C ZINC000563420456 328063967 /nfs/dbraw/zinc/06/39/67/328063967.db2.gz HNAYLMFHDCQQER-OAHLLOKOSA-N 1 2 253.349 3.501 20 0 CHADLO CC[C@@H]([NH2+]C[C@H](C)c1ccccc1)C(=O)OC(C)(C)C ZINC000563592791 328075461 /nfs/dbraw/zinc/07/54/61/328075461.db2.gz QNYBVLZHWAUHNE-DZGCQCFKSA-N 1 2 277.408 3.500 20 0 CHADLO FCC1([NH2+][C@@H](CCc2ccccc2)C(F)F)CCC1 ZINC000571210217 328093307 /nfs/dbraw/zinc/09/33/07/328093307.db2.gz IZTSEHVITMCEED-ZDUSSCGKSA-N 1 2 271.326 3.735 20 0 CHADLO CCCCC1CCC(C(=O)NC(C)(C)c2[nH]cc[nH+]2)CC1 ZINC000571409217 328120068 /nfs/dbraw/zinc/12/00/68/328120068.db2.gz DACAZNRMKUENLR-UHFFFAOYSA-N 1 2 291.439 3.758 20 0 CHADLO COc1cccc2c(N[C@H]3CS[C@@H](C)C3)cc[nH+]c12 ZINC000413516501 328147737 /nfs/dbraw/zinc/14/77/37/328147737.db2.gz LKWJZRZUFRZKIK-WDEREUQCSA-N 1 2 274.389 3.549 20 0 CHADLO CCCN(C(=O)c1cccc(Cn2cc[nH+]c2)c1)C(C)(C)C ZINC000267933766 328181482 /nfs/dbraw/zinc/18/14/82/328181482.db2.gz PDPFMKMTWIWKIJ-UHFFFAOYSA-N 1 2 299.418 3.582 20 0 CHADLO c1cc2[nH+]ccc(N[C@H]3CCOC4(CCCCC4)C3)c2cn1 ZINC000268970569 328187884 /nfs/dbraw/zinc/18/78/84/328187884.db2.gz ODYBBAIFRYORGL-AWEZNQCLSA-N 1 2 297.402 3.924 20 0 CHADLO CC(C)OCCC[N@@H+](Cc1ccccc1)CC(F)F ZINC000177835857 329192845 /nfs/dbraw/zinc/19/28/45/329192845.db2.gz MMGJKUFMRAYBAZ-UHFFFAOYSA-N 1 2 271.351 3.569 20 0 CHADLO CC(C)OCCC[N@H+](Cc1ccccc1)CC(F)F ZINC000177835857 329192847 /nfs/dbraw/zinc/19/28/47/329192847.db2.gz MMGJKUFMRAYBAZ-UHFFFAOYSA-N 1 2 271.351 3.569 20 0 CHADLO COc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)cc1C ZINC000414849834 328237981 /nfs/dbraw/zinc/23/79/81/328237981.db2.gz MDNYXEWVERTFJH-UHFFFAOYSA-N 1 2 282.387 3.974 20 0 CHADLO CSc1cccc(F)c1C[NH2+]Cc1nc(C)cs1 ZINC000285385754 328247613 /nfs/dbraw/zinc/24/76/13/328247613.db2.gz BSPZILBSZUGASK-UHFFFAOYSA-N 1 2 282.409 3.602 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCC[C@H]2c2cc[nH]n2)c1 ZINC000285986722 328248694 /nfs/dbraw/zinc/24/86/94/328248694.db2.gz FOQNNXQNUXEPPI-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCC[C@H]2c2cc[nH]n2)c1 ZINC000285986722 328248696 /nfs/dbraw/zinc/24/86/96/328248696.db2.gz FOQNNXQNUXEPPI-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO CCCC[C@H](C)N(C)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000289720394 328255846 /nfs/dbraw/zinc/25/58/46/328255846.db2.gz URTTXHYLCWOAQA-ZDUSSCGKSA-N 1 2 288.395 3.685 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccccc2OCC2CC2)no1 ZINC000289998993 328257358 /nfs/dbraw/zinc/25/73/58/328257358.db2.gz YVCXJSDDQJOQJC-CYBMUJFWSA-N 1 2 286.375 3.623 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1cc(F)ncc1F ZINC000294611970 328282091 /nfs/dbraw/zinc/28/20/91/328282091.db2.gz WLFSLSPDBSICCD-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1cc(F)ncc1F ZINC000294611970 328282092 /nfs/dbraw/zinc/28/20/92/328282092.db2.gz WLFSLSPDBSICCD-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC[C@@H]1c1cccs1 ZINC000534978523 328372836 /nfs/dbraw/zinc/37/28/36/328372836.db2.gz CQNFVIQXQCCTNR-CQSZACIVSA-N 1 2 283.400 3.976 20 0 CHADLO Cc1ccccc1[C@H]1CCN(c2[nH]c3ccccc3[nH+]2)C1 ZINC000534979904 328372896 /nfs/dbraw/zinc/37/28/96/328372896.db2.gz FTBNDHLOCQNATC-AWEZNQCLSA-N 1 2 277.371 3.865 20 0 CHADLO CCCn1c(C)nnc1C[N@H+](C)[C@H]1CCCc2ccccc21 ZINC000535008708 328376196 /nfs/dbraw/zinc/37/61/96/328376196.db2.gz IIRWEMNFKZHIJJ-KRWDZBQOSA-N 1 2 298.434 3.506 20 0 CHADLO CCCn1c(C)nnc1C[N@@H+](C)[C@H]1CCCc2ccccc21 ZINC000535008708 328376198 /nfs/dbraw/zinc/37/61/98/328376198.db2.gz IIRWEMNFKZHIJJ-KRWDZBQOSA-N 1 2 298.434 3.506 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccccc1)c1cccnc1)c1cscn1 ZINC000535065737 328382743 /nfs/dbraw/zinc/38/27/43/328382743.db2.gz ZMKMLIYXYCAVQM-DYVFJYSZSA-N 1 2 295.411 3.978 20 0 CHADLO CCOc1ccccc1N(C)Cc1cn2cc(C)ccc2[nH+]1 ZINC000535115747 328388250 /nfs/dbraw/zinc/38/82/50/328388250.db2.gz KWGDRUAEKJGLFT-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1cc(F)ccc1CNc1ccc(N2CCCC2)[nH+]c1 ZINC000050575120 328444452 /nfs/dbraw/zinc/44/44/52/328444452.db2.gz DHBNZAPLKHFXJT-UHFFFAOYSA-N 1 2 285.366 3.741 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(-c2nc(CCC(C)C)no2)c1 ZINC000345941439 328568954 /nfs/dbraw/zinc/56/89/54/328568954.db2.gz OIQHPHLNVLMNHG-UHFFFAOYSA-N 1 2 296.374 3.819 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)c3ccoc3C(C)C)ccc2n1C ZINC000530539961 326856842 /nfs/dbraw/zinc/85/68/42/326856842.db2.gz ALXJHBLJUGJLKF-UHFFFAOYSA-N 1 2 297.358 3.850 20 0 CHADLO CCOCc1cccc(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000556416626 326940429 /nfs/dbraw/zinc/94/04/29/326940429.db2.gz ORCOYWQHDNJCGY-UHFFFAOYSA-N 1 2 295.386 3.791 20 0 CHADLO C[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCS1 ZINC000557558969 327013272 /nfs/dbraw/zinc/01/32/72/327013272.db2.gz NCLSKPHHFXSFMP-JTQLQIEISA-N 1 2 278.808 3.830 20 0 CHADLO CC(C)c1cc(N2CCC[C@@H](F)C2)nc(C(C)C)[nH+]1 ZINC000557672403 327020212 /nfs/dbraw/zinc/02/02/12/327020212.db2.gz AQYBNMLFZPKPPJ-GFCCVEGCSA-N 1 2 265.376 3.662 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccoc1)c1nccn1-c1ccccc1 ZINC000558126473 327075285 /nfs/dbraw/zinc/07/52/85/327075285.db2.gz SKHKVJPHVLSDFP-UONOGXRCSA-N 1 2 281.359 3.877 20 0 CHADLO C[C@@H]1C[C@H](C[N@@H+]2CCCCc3ccccc32)CCO1 ZINC000558407058 327091217 /nfs/dbraw/zinc/09/12/17/327091217.db2.gz BUIXMFCNFKJHDO-HUUCEWRRSA-N 1 2 259.393 3.644 20 0 CHADLO C[C@@H]1C[C@H](C[N@H+]2CCCCc3ccccc32)CCO1 ZINC000558407058 327091218 /nfs/dbraw/zinc/09/12/18/327091218.db2.gz BUIXMFCNFKJHDO-HUUCEWRRSA-N 1 2 259.393 3.644 20 0 CHADLO CN(C)c1[nH+]cccc1NC(=O)c1ccc(C(C)(C)C)cc1 ZINC000520001068 327104067 /nfs/dbraw/zinc/10/40/67/327104067.db2.gz OSZODQNFXMUMRW-UHFFFAOYSA-N 1 2 297.402 3.697 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2c(Cl)cccc2Cl)nn1C ZINC000558623826 327109692 /nfs/dbraw/zinc/10/96/92/327109692.db2.gz SSDIJJORBPCVOJ-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@H](C(C)(C)C)C[C@@H]2C)no1 ZINC000553988036 327130340 /nfs/dbraw/zinc/13/03/40/327130340.db2.gz WDPLTOSFLIXLRB-QWHCGFSZSA-N 1 2 279.428 3.669 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@H](C(C)(C)C)C[C@@H]2C)no1 ZINC000553988036 327130341 /nfs/dbraw/zinc/13/03/41/327130341.db2.gz WDPLTOSFLIXLRB-QWHCGFSZSA-N 1 2 279.428 3.669 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+]CC(C)(F)F)cc2c1C ZINC000559323228 327158918 /nfs/dbraw/zinc/15/89/18/327158918.db2.gz AUAZTVUJUFJWRN-UHFFFAOYSA-N 1 2 252.308 3.530 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1cc(C)cc(F)c1 ZINC000091510118 327170605 /nfs/dbraw/zinc/17/06/05/327170605.db2.gz JIAVOWZLHSTRKG-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO c1ccc2c(c1)CC(CNc1ccc(N3CCCC3)[nH+]c1)C2 ZINC000569416410 327173889 /nfs/dbraw/zinc/17/38/89/327173889.db2.gz UFZASQKNZNGXKC-UHFFFAOYSA-N 1 2 293.414 3.509 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1nc(C2CC2)cs1 ZINC000090458303 327178746 /nfs/dbraw/zinc/17/87/46/327178746.db2.gz LUBPVTZRCVFVPZ-LLVKDONJSA-N 1 2 288.416 3.880 20 0 CHADLO COC(C)(C)CN(C)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000536387227 327234773 /nfs/dbraw/zinc/23/47/73/327234773.db2.gz UVOPRLMHPQTBCW-UHFFFAOYSA-N 1 2 279.428 3.585 20 0 CHADLO CC(C)c1cc(NCCC(F)(F)F)nc(C(C)C)[nH+]1 ZINC000536389946 327234880 /nfs/dbraw/zinc/23/48/80/327234880.db2.gz GICSBIWOSQIEPY-UHFFFAOYSA-N 1 2 275.318 3.510 20 0 CHADLO CCC(CC)[C@H](C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000536420665 327236771 /nfs/dbraw/zinc/23/67/71/327236771.db2.gz NZNNUTWOYNNYDR-ZDUSSCGKSA-N 1 2 285.391 3.883 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1cc(F)c(C(F)(F)F)cc1F ZINC000559399992 327243723 /nfs/dbraw/zinc/24/37/23/327243723.db2.gz AALQIKJCIVRTDS-VIFPVBQESA-N 1 2 297.242 3.918 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1cc(F)c(C(F)(F)F)cc1F ZINC000559399992 327243725 /nfs/dbraw/zinc/24/37/25/327243725.db2.gz AALQIKJCIVRTDS-VIFPVBQESA-N 1 2 297.242 3.918 20 0 CHADLO CCc1ccc([C@H]2COCC[N@@H+]2C[C@H]2CCC(F)(F)C2)o1 ZINC000559791614 327261653 /nfs/dbraw/zinc/26/16/53/327261653.db2.gz VZVMRYOWBYGLTR-GXTWGEPZSA-N 1 2 299.361 3.651 20 0 CHADLO CCc1ccc([C@H]2COCC[N@H+]2C[C@H]2CCC(F)(F)C2)o1 ZINC000559791614 327261655 /nfs/dbraw/zinc/26/16/55/327261655.db2.gz VZVMRYOWBYGLTR-GXTWGEPZSA-N 1 2 299.361 3.651 20 0 CHADLO COc1cc([C@H](C)[NH2+]CC(C)(F)F)ccc1SC ZINC000559885011 327268057 /nfs/dbraw/zinc/26/80/57/327268057.db2.gz CPPHDMLLIBPURJ-VIFPVBQESA-N 1 2 275.364 3.723 20 0 CHADLO c1nc(C2CCCCC2)sc1C[N@H+](C1CC1)C1COC1 ZINC000560138360 327285889 /nfs/dbraw/zinc/28/58/89/327285889.db2.gz BZQFZXVZIAJGPI-UHFFFAOYSA-N 1 2 292.448 3.554 20 0 CHADLO c1nc(C2CCCCC2)sc1C[N@@H+](C1CC1)C1COC1 ZINC000560138360 327285890 /nfs/dbraw/zinc/28/58/90/327285890.db2.gz BZQFZXVZIAJGPI-UHFFFAOYSA-N 1 2 292.448 3.554 20 0 CHADLO C[C@H](CCC(C)(C)C)Nc1ccc(N2CCOCC2)c[nH+]1 ZINC000560243473 327292637 /nfs/dbraw/zinc/29/26/37/327292637.db2.gz AZKCJKPAKAZNCD-CQSZACIVSA-N 1 2 291.439 3.545 20 0 CHADLO Cc1ncsc1CCSCCc1[nH+]cc(C)cc1C ZINC000564980736 327319435 /nfs/dbraw/zinc/31/94/35/327319435.db2.gz INNMHKYDCFMVJJ-UHFFFAOYSA-N 1 2 292.473 3.982 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccc(Cl)cc1)[C@H]1CCCO1 ZINC000560579511 327327397 /nfs/dbraw/zinc/32/73/97/327327397.db2.gz AVOHULCZUPJSFN-ZWNOBZJWSA-N 1 2 289.753 3.589 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]CC(C)(F)F)c(Cl)c1 ZINC000583435333 327362013 /nfs/dbraw/zinc/36/20/13/327362013.db2.gz RCXFNTDJPNHCHA-MRVPVSSYSA-N 1 2 263.715 3.654 20 0 CHADLO CC[C@@H](CCO)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000561236093 327378073 /nfs/dbraw/zinc/37/80/73/327378073.db2.gz DGDMJYFFPWHCFK-NSHDSACASA-N 1 2 278.783 3.709 20 0 CHADLO Clc1cc2c(c(Cl)c1)CC[C@@H]2[NH2+]Cc1ncccn1 ZINC000579496509 327379959 /nfs/dbraw/zinc/37/99/59/327379959.db2.gz JGEOMFHTUUUOKI-ZDUSSCGKSA-N 1 2 294.185 3.561 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccc1OC(F)F)c1csnn1 ZINC000398320916 327382672 /nfs/dbraw/zinc/38/26/72/327382672.db2.gz WLKRKMBIAYFEIY-IUCAKERBSA-N 1 2 299.346 3.551 20 0 CHADLO C[C@@H]1CCN(c2cc(C(F)(F)F)cc[nH+]2)CC1(F)F ZINC000561336535 327387249 /nfs/dbraw/zinc/38/72/49/327387249.db2.gz UWNJYIANTXPWGF-MRVPVSSYSA-N 1 2 280.240 3.582 20 0 CHADLO Cn1cc(Cl)c(C[N@H+](C)[C@H]2CCCc3ccccc32)n1 ZINC000561469426 327396761 /nfs/dbraw/zinc/39/67/61/327396761.db2.gz QADAFGKCVYRONO-INIZCTEOSA-N 1 2 289.810 3.583 20 0 CHADLO Cn1cc(Cl)c(C[N@@H+](C)[C@H]2CCCc3ccccc32)n1 ZINC000561469426 327396763 /nfs/dbraw/zinc/39/67/63/327396763.db2.gz QADAFGKCVYRONO-INIZCTEOSA-N 1 2 289.810 3.583 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+]CC(C)(F)F)cc1 ZINC000561488042 327398739 /nfs/dbraw/zinc/39/87/39/327398739.db2.gz GCRWOSYJKQFSIE-LLVKDONJSA-N 1 2 257.324 3.780 20 0 CHADLO Cc1cc[nH+]c(NC[C@H](C)C(F)(F)F)c1Cl ZINC000381295288 327431706 /nfs/dbraw/zinc/43/17/06/327431706.db2.gz GCLDCXVCWIYQBT-ZETCQYMHSA-N 1 2 252.667 3.654 20 0 CHADLO Cc1c[nH+]cc(CCc2nc([C@H]3CCCC[C@@H]3C)no2)c1 ZINC000562367734 327475890 /nfs/dbraw/zinc/47/58/90/327475890.db2.gz PTZZWMASCNKELZ-ZFWWWQNUSA-N 1 2 285.391 3.852 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H]2CCCC2(C)C)n1 ZINC000562793138 327515323 /nfs/dbraw/zinc/51/53/23/327515323.db2.gz JQLALJMHRQQUGF-ZDUSSCGKSA-N 1 2 285.391 3.852 20 0 CHADLO CCCOc1cc(C)ccc1[NH2+]CC1CCOCC1 ZINC000052178523 328640388 /nfs/dbraw/zinc/64/03/88/328640388.db2.gz VEAXFVZYGARKCJ-UHFFFAOYSA-N 1 2 263.381 3.622 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nccn1C)c1ccccc1)c1cccs1 ZINC000532199473 328704835 /nfs/dbraw/zinc/70/48/35/328704835.db2.gz KCNIKGZBJMUXFQ-XJKSGUPXSA-N 1 2 297.427 3.922 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@H]3CC[C@@H](C)C3)ccc2n1C ZINC000532347103 328773333 /nfs/dbraw/zinc/77/33/33/328773333.db2.gz CEIOPVMNIUOZHS-YPMHNXCESA-N 1 2 285.391 3.647 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@@H]1CCCCC1(C)C ZINC000507708301 332819841 /nfs/dbraw/zinc/81/98/41/332819841.db2.gz HYZDLSXVUNBNSG-AWEZNQCLSA-N 1 2 274.408 3.611 20 0 CHADLO CN(CCCc1cc(-c2ccccc2)no1)c1cccc[nH+]1 ZINC000301146862 328826857 /nfs/dbraw/zinc/82/68/57/328826857.db2.gz MBUXHHFERMGCEY-UHFFFAOYSA-N 1 2 293.370 3.806 20 0 CHADLO C[C@@H]1CC(C(=O)Nc2ccccc2-n2cc[nH+]c2)C[C@@H](C)C1 ZINC000356330028 328861849 /nfs/dbraw/zinc/86/18/49/328861849.db2.gz SXEHWKFDSAXAIB-KBPBESRZSA-N 1 2 297.402 3.883 20 0 CHADLO Cc1ccc2oc(C(=O)Nc3cccc4[nH+]ccn43)cc2c1 ZINC000358776032 328894453 /nfs/dbraw/zinc/89/44/53/328894453.db2.gz DYHOTNIZAPXPEC-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO CC(C)c1nc(N2CCc3sccc3[C@@H]2C)cc[nH+]1 ZINC000358783145 328894877 /nfs/dbraw/zinc/89/48/77/328894877.db2.gz BTPDONOIHXUWEX-NSHDSACASA-N 1 2 273.405 3.785 20 0 CHADLO Fc1cccc2c3c([nH]c21)C[N@@H+](Cc1ccccn1)CCC3 ZINC000375989668 329006030 /nfs/dbraw/zinc/00/60/30/329006030.db2.gz TXDVODVOVNHKGN-UHFFFAOYSA-N 1 2 295.361 3.650 20 0 CHADLO Fc1cccc2c3c([nH]c21)C[N@H+](Cc1ccccn1)CCC3 ZINC000375989668 329006032 /nfs/dbraw/zinc/00/60/32/329006032.db2.gz TXDVODVOVNHKGN-UHFFFAOYSA-N 1 2 295.361 3.650 20 0 CHADLO c1cc(CNc2cccc3c2CN(c2cccc[nH+]2)C3)c[nH]1 ZINC000378275628 329044894 /nfs/dbraw/zinc/04/48/94/329044894.db2.gz VZYDRVJSFKEOBU-UHFFFAOYSA-N 1 2 290.370 3.542 20 0 CHADLO C=Cn1cc(C[N@@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000193681551 329060929 /nfs/dbraw/zinc/06/09/29/329060929.db2.gz ZRWKANZIKMWSTC-SUMWQHHRSA-N 1 2 297.402 3.865 20 0 CHADLO C=Cn1cc(C[N@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)cn1 ZINC000193681551 329060931 /nfs/dbraw/zinc/06/09/31/329060931.db2.gz ZRWKANZIKMWSTC-SUMWQHHRSA-N 1 2 297.402 3.865 20 0 CHADLO CCN(C(=O)c1ccc(C)c(Oc2cc[nH+]cc2)c1)C1CC1 ZINC000172056570 329080694 /nfs/dbraw/zinc/08/06/94/329080694.db2.gz XIIIEKZCPOWLSW-UHFFFAOYSA-N 1 2 296.370 3.807 20 0 CHADLO Cc1cc(NCCCC(C)(C)C)nc(-c2cccnc2)[nH+]1 ZINC000582575212 329110164 /nfs/dbraw/zinc/11/01/64/329110164.db2.gz PWJGGJYEQZRPKN-UHFFFAOYSA-N 1 2 284.407 3.507 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccn1)c1ncc(-c2ccccc2)o1 ZINC000173794275 329152298 /nfs/dbraw/zinc/15/22/98/329152298.db2.gz GXJALVHQEFHTFR-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)c(C)s1 ZINC000174133991 329154913 /nfs/dbraw/zinc/15/49/13/329154913.db2.gz GQDOROBDUGMXAH-JTQLQIEISA-N 1 2 293.436 3.896 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+](C)Cc1ccco1 ZINC000175033356 329162373 /nfs/dbraw/zinc/16/23/73/329162373.db2.gz QIMQVDWVHAHZNQ-LLVKDONJSA-N 1 2 262.353 3.758 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+](C)Cc1ccco1 ZINC000175033356 329162374 /nfs/dbraw/zinc/16/23/74/329162374.db2.gz QIMQVDWVHAHZNQ-LLVKDONJSA-N 1 2 262.353 3.758 20 0 CHADLO COc1cc(C[N@H+](C)Cc2nccs2)ccc1SC ZINC000175074606 329162940 /nfs/dbraw/zinc/16/29/40/329162940.db2.gz IEYFNQSJLWPPSB-UHFFFAOYSA-N 1 2 294.445 3.506 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2nccs2)ccc1SC ZINC000175074606 329162941 /nfs/dbraw/zinc/16/29/41/329162941.db2.gz IEYFNQSJLWPPSB-UHFFFAOYSA-N 1 2 294.445 3.506 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)s1)Cc1cccnc1OC ZINC000176453320 329175760 /nfs/dbraw/zinc/17/57/60/329175760.db2.gz PFSOGSDOSNZLLV-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)s1)Cc1cccnc1OC ZINC000176453320 329175761 /nfs/dbraw/zinc/17/57/61/329175761.db2.gz PFSOGSDOSNZLLV-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Clc1cccc(CN2CCCC[C@@H]2c2[nH]cc[nH+]2)c1 ZINC000177040617 329178473 /nfs/dbraw/zinc/17/84/73/329178473.db2.gz WLYCBUREFQSRLP-CQSZACIVSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1cccc(C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)c1 ZINC000177040617 329178474 /nfs/dbraw/zinc/17/84/74/329178474.db2.gz WLYCBUREFQSRLP-CQSZACIVSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1cccc(C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)c1 ZINC000177040617 329178475 /nfs/dbraw/zinc/17/84/75/329178475.db2.gz WLYCBUREFQSRLP-CQSZACIVSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccccc1CN1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000177040226 329178504 /nfs/dbraw/zinc/17/85/04/329178504.db2.gz ZKZFWEUEIARLBD-AWEZNQCLSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccccc1C[N@@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000177040226 329178505 /nfs/dbraw/zinc/17/85/05/329178505.db2.gz ZKZFWEUEIARLBD-AWEZNQCLSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccccc1C[N@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000177040226 329178507 /nfs/dbraw/zinc/17/85/07/329178507.db2.gz ZKZFWEUEIARLBD-AWEZNQCLSA-N 1 2 275.783 3.790 20 0 CHADLO Cc1ccc(SCc2ccc(-n3cc[nH+]c3)cc2)nc1 ZINC000177814899 329187409 /nfs/dbraw/zinc/18/74/09/329187409.db2.gz UHZHIPVGXNJCDC-UHFFFAOYSA-N 1 2 281.384 3.868 20 0 CHADLO Clc1cccc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000177686087 329188987 /nfs/dbraw/zinc/18/89/87/329188987.db2.gz PTASMMUGTYZGAY-OAHLLOKOSA-N 1 2 277.751 3.507 20 0 CHADLO Clc1cccc(C[N@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000177686087 329188988 /nfs/dbraw/zinc/18/89/88/329188988.db2.gz PTASMMUGTYZGAY-OAHLLOKOSA-N 1 2 277.751 3.507 20 0 CHADLO CCC[N@@H+](Cc1cn(C)nc1-c1cccs1)CC(F)F ZINC000179943472 329213808 /nfs/dbraw/zinc/21/38/08/329213808.db2.gz GRYPBEARQCUZQR-UHFFFAOYSA-N 1 2 299.390 3.626 20 0 CHADLO CCC[N@H+](Cc1cn(C)nc1-c1cccs1)CC(F)F ZINC000179943472 329213809 /nfs/dbraw/zinc/21/38/09/329213809.db2.gz GRYPBEARQCUZQR-UHFFFAOYSA-N 1 2 299.390 3.626 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc(-c3cccnc3)cc2)o1 ZINC000181323248 329231640 /nfs/dbraw/zinc/23/16/40/329231640.db2.gz PQCRMTCFOCMBDT-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)cc1Cl)c1ccccn1 ZINC000181804773 329237368 /nfs/dbraw/zinc/23/73/68/329237368.db2.gz RQHKMPTXULIJHP-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO CCC[C@@H](C)C[NH2+][C@H](c1noc(C)n1)c1ccccc1F ZINC000182244355 329241407 /nfs/dbraw/zinc/24/14/07/329241407.db2.gz YXLIDKCDVUVNSG-ABAIWWIYSA-N 1 2 291.370 3.632 20 0 CHADLO Cc1cc(N2Cc3ccccc3C[C@H]2C)nc(C2CC2)[nH+]1 ZINC000184175879 329256797 /nfs/dbraw/zinc/25/67/97/329256797.db2.gz PTIBLODVLOSPCR-CYBMUJFWSA-N 1 2 279.387 3.614 20 0 CHADLO CC(C)c1nc(N2Cc3ccccc3C[C@@H]2C)cc[nH+]1 ZINC000184174568 329256863 /nfs/dbraw/zinc/25/68/63/329256863.db2.gz PPABWPIHPUGLGD-ZDUSSCGKSA-N 1 2 267.376 3.551 20 0 CHADLO Cc1nc(CSCc2ccc(C)[nH+]c2C)cs1 ZINC000184822088 329263167 /nfs/dbraw/zinc/26/31/67/329263167.db2.gz BFDHUTQZXMACSS-UHFFFAOYSA-N 1 2 264.419 3.897 20 0 CHADLO Cc1ccc(COc2ccc3ncccc3c2)c(C)[nH+]1 ZINC000185359229 329271231 /nfs/dbraw/zinc/27/12/31/329271231.db2.gz YNXLXGGXTVIKSW-UHFFFAOYSA-N 1 2 264.328 3.826 20 0 CHADLO Cc1ccc(COc2cccc(C(F)(F)F)n2)c(C)[nH+]1 ZINC000185380661 329271386 /nfs/dbraw/zinc/27/13/86/329271386.db2.gz KYFOHJRHSDCKDF-UHFFFAOYSA-N 1 2 282.265 3.691 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000583081050 329311345 /nfs/dbraw/zinc/31/13/45/329311345.db2.gz CGNRNFOEFRTNER-CXAGYDPISA-N 1 2 282.387 3.547 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1c(F)cc(C)cc1Cl ZINC000459570879 329332381 /nfs/dbraw/zinc/33/23/81/329332381.db2.gz NAXKKYZYPQFOSN-NSHDSACASA-N 1 2 295.789 3.855 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NCc1c(F)cc(C)cc1Cl ZINC000459570879 329332382 /nfs/dbraw/zinc/33/23/82/329332382.db2.gz NAXKKYZYPQFOSN-NSHDSACASA-N 1 2 295.789 3.855 20 0 CHADLO Fc1cc(F)cc(CNc2[nH+]cccc2OC(F)F)c1 ZINC000185913918 329332536 /nfs/dbraw/zinc/33/25/36/329332536.db2.gz KKMWZJADUBVTSI-UHFFFAOYSA-N 1 2 286.228 3.573 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(Cl)c(Cl)c2)[nH]1 ZINC000392448700 329340660 /nfs/dbraw/zinc/34/06/60/329340660.db2.gz GFSTUHBQJXADDN-YUMQZZPRSA-N 1 2 299.205 3.832 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3ccccc3s2)c(C)n1 ZINC000186573802 329345555 /nfs/dbraw/zinc/34/55/55/329345555.db2.gz ZGJQHCUSIUUDCC-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3ccccc3s2)c(C)n1 ZINC000186573802 329345556 /nfs/dbraw/zinc/34/55/56/329345556.db2.gz ZGJQHCUSIUUDCC-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO C[C@@H](CC(F)(F)F)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000231749812 329378805 /nfs/dbraw/zinc/37/88/05/329378805.db2.gz LZMZMDOVEXFDPI-LURJTMIESA-N 1 2 272.192 3.853 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2nc(C)c(C)s2)cs1 ZINC000189755233 329387977 /nfs/dbraw/zinc/38/79/77/329387977.db2.gz RNLIFQFAGUMORR-CYBMUJFWSA-N 1 2 293.461 3.862 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2nc(C)c(C)s2)cs1 ZINC000189755233 329387979 /nfs/dbraw/zinc/38/79/79/329387979.db2.gz RNLIFQFAGUMORR-CYBMUJFWSA-N 1 2 293.461 3.862 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CC[C@@H](c2ccc(F)cc2)C1 ZINC000530429961 329390439 /nfs/dbraw/zinc/39/04/39/329390439.db2.gz MSZRXKILQJKUEA-CQSZACIVSA-N 1 2 295.361 3.706 20 0 CHADLO Cc1cc(N[C@@H]2CCOc3c(C)cccc32)nc(C2CC2)[nH+]1 ZINC000191687653 329421006 /nfs/dbraw/zinc/42/10/06/329421006.db2.gz XZPVDUABQVNWRU-OAHLLOKOSA-N 1 2 295.386 3.907 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ncccn1)c1ccc(Cl)cc1F ZINC000192172921 329430287 /nfs/dbraw/zinc/43/02/87/329430287.db2.gz AHPCHDWPVXFNKS-OAHLLOKOSA-N 1 2 293.773 3.756 20 0 CHADLO Cc1cnc(CNc2cc(C)[nH+]c3c(F)cccc23)o1 ZINC000192736319 329435618 /nfs/dbraw/zinc/43/56/18/329435618.db2.gz UPMZUHSIHJZHDM-UHFFFAOYSA-N 1 2 271.295 3.591 20 0 CHADLO Cc1cc[nH+]c(N[C@@H](C)c2ccccn2)c1Br ZINC000235542274 329440750 /nfs/dbraw/zinc/44/07/50/329440750.db2.gz HAKCGBNDQXHASI-JTQLQIEISA-N 1 2 292.180 3.721 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCO[C@@H](C)C1 ZINC000220085817 329508062 /nfs/dbraw/zinc/50/80/62/329508062.db2.gz QFMHHIMBVIYQGD-GXTWGEPZSA-N 1 2 256.349 3.523 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccc(Cl)o2)s1 ZINC000125186780 329578930 /nfs/dbraw/zinc/57/89/30/329578930.db2.gz GVPDBPQJSCFKHW-QMMMGPOBSA-N 1 2 256.758 3.549 20 0 CHADLO C[C@H]1CCN(C(=O)CCCC(C)(C)C)C[C@@H]1n1cc[nH+]c1 ZINC000125758742 329586815 /nfs/dbraw/zinc/58/68/15/329586815.db2.gz SXEFPGVHCPFTIW-GJZGRUSLSA-N 1 2 291.439 3.509 20 0 CHADLO CCCC(=O)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000044567426 329592494 /nfs/dbraw/zinc/59/24/94/329592494.db2.gz XARCXQOITZYMPE-UHFFFAOYSA-N 1 2 283.375 3.625 20 0 CHADLO Cc1c2cc(C)ccc2[nH]c1C(=O)Nc1cc[nH+]c(C)c1 ZINC000127176595 329597674 /nfs/dbraw/zinc/59/76/74/329597674.db2.gz JPKXLAQCUJNYDR-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO CC(C)c1cnc(SCc2[nH+]ccn2C)n1C(C)C ZINC000128899744 329612781 /nfs/dbraw/zinc/61/27/81/329612781.db2.gz STIPUBXSWBXJRK-UHFFFAOYSA-N 1 2 278.425 3.613 20 0 CHADLO c1coc(C[NH+](CCOCC2CCC2)Cc2ccco2)c1 ZINC000419182113 329712786 /nfs/dbraw/zinc/71/27/86/329712786.db2.gz YZNKNPMJHMKCBB-UHFFFAOYSA-N 1 2 289.375 3.692 20 0 CHADLO Cc1ccc(CSCCOCC2CCC2)c(C)[nH+]1 ZINC000419467050 329726677 /nfs/dbraw/zinc/72/66/77/329726677.db2.gz UKDZIJMHJBLFNL-UHFFFAOYSA-N 1 2 265.422 3.748 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSCc1ccn(C)n1 ZINC000419457733 329731191 /nfs/dbraw/zinc/73/11/91/329731191.db2.gz XSHDQRCTVTWRJX-UHFFFAOYSA-N 1 2 275.421 3.680 20 0 CHADLO CCCC1(c2nc(Cc3ccc[nH+]c3C)no2)CCC1 ZINC000420508910 329772368 /nfs/dbraw/zinc/77/23/68/329772368.db2.gz BKWBNZPDCDYYLT-UHFFFAOYSA-N 1 2 271.364 3.586 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H]2CCC[C@H](C)C2)n1 ZINC000420509998 329772608 /nfs/dbraw/zinc/77/26/08/329772608.db2.gz GXFXARMOHWOBFO-SMDDNHRTSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(CCCC2CCCC2)n1 ZINC000420510314 329772694 /nfs/dbraw/zinc/77/26/94/329772694.db2.gz IRDIJCGHHZHOEU-UHFFFAOYSA-N 1 2 285.391 3.877 20 0 CHADLO CCCC1(c2noc(C[NH+]3CCC(C)CC3)n2)CCC1 ZINC000420518491 329774651 /nfs/dbraw/zinc/77/46/51/329774651.db2.gz RTYHMRHSTSQWQB-UHFFFAOYSA-N 1 2 277.412 3.523 20 0 CHADLO CCc1cc(NC(=O)NCc2cc(C)[nH+]c(C)c2)ccc1C ZINC000421099323 329804361 /nfs/dbraw/zinc/80/43/61/329804361.db2.gz YQOKJLHIYSTWFP-UHFFFAOYSA-N 1 2 297.402 3.891 20 0 CHADLO Cc1[nH+]c2ccccc2c(NC(=O)[C@@H]2CC23CC3)c1C(C)C ZINC000421536870 329838139 /nfs/dbraw/zinc/83/81/39/329838139.db2.gz WLSCCBJISPRVMK-AWEZNQCLSA-N 1 2 294.398 3.827 20 0 CHADLO CCC[C@@](C)(CC)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421576498 329843177 /nfs/dbraw/zinc/84/31/77/329843177.db2.gz MXJJVPJPCPATGF-MRXNPFEDSA-N 1 2 262.397 3.531 20 0 CHADLO CCc1sc(C(=O)NCc2cc(C)[nH+]c(C)c2)cc1C ZINC000421562493 329846670 /nfs/dbraw/zinc/84/66/70/329846670.db2.gz VOWUCTJJNFWEDS-UHFFFAOYSA-N 1 2 288.416 3.561 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(Cl)cc3)CC2)cs1 ZINC000069878571 329854826 /nfs/dbraw/zinc/85/48/26/329854826.db2.gz JGXVUCDDZIJTIZ-UHFFFAOYSA-N 1 2 278.808 3.884 20 0 CHADLO Cc1ccc2nc(C)c(C(=O)Nc3cc[nH+]c(C)c3)cc2c1 ZINC000073138895 329860279 /nfs/dbraw/zinc/86/02/79/329860279.db2.gz HBLHHVKUHYDVEC-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO C[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CCCCC1 ZINC000076505208 329907266 /nfs/dbraw/zinc/90/72/66/329907266.db2.gz QCGKTARAYSNXPD-AWEZNQCLSA-N 1 2 297.402 3.571 20 0 CHADLO Cn1c(CCSCCCCCF)[nH+]c2ccccc21 ZINC000571749008 329919576 /nfs/dbraw/zinc/91/95/76/329919576.db2.gz DKOVUQPHZIOZDD-UHFFFAOYSA-N 1 2 280.412 3.989 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@@H]2C[C@@H]2C)o1)c1nccs1 ZINC000070942499 329933082 /nfs/dbraw/zinc/93/30/82/329933082.db2.gz ATTHBNCGBXNIHF-JBLDHEPKSA-N 1 2 262.378 3.710 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2cscn2)c(C)o1 ZINC000162396543 330016969 /nfs/dbraw/zinc/01/69/69/330016969.db2.gz ZXOUIVXXQVCGTG-NXEZZACHSA-N 1 2 250.367 3.765 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nn(C)cc2Cl)c(C)s1 ZINC000393334355 330074010 /nfs/dbraw/zinc/07/40/10/330074010.db2.gz RRDOVSLXNXBIRC-VIFPVBQESA-N 1 2 283.828 3.603 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+][C@@H](C)c1csnn1 ZINC000421863177 330098385 /nfs/dbraw/zinc/09/83/85/330098385.db2.gz XBCJRJMSQDBPET-BDAKNGLRSA-N 1 2 297.811 3.612 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+]CCOCC1CCC1 ZINC000572453100 330103953 /nfs/dbraw/zinc/10/39/53/330103953.db2.gz BSNBPGFANCGSGN-MRXNPFEDSA-N 1 2 297.389 3.659 20 0 CHADLO Cc1cccc2[nH+]c(CNC(=O)CCCC3CCCC3)cn21 ZINC000080180499 330109105 /nfs/dbraw/zinc/10/91/05/330109105.db2.gz IOLNENKAUIRKAV-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)[C@@H]1CCc2ccc(Cl)cc21 ZINC000580477799 330146134 /nfs/dbraw/zinc/14/61/34/330146134.db2.gz DPHOHRRPPYAGSN-CQSZACIVSA-N 1 2 295.810 3.601 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)[C@@H]1CCc2ccc(Cl)cc21 ZINC000580477799 330146135 /nfs/dbraw/zinc/14/61/35/330146135.db2.gz DPHOHRRPPYAGSN-CQSZACIVSA-N 1 2 295.810 3.601 20 0 CHADLO COc1ccccc1[C@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423213946 330178477 /nfs/dbraw/zinc/17/84/77/330178477.db2.gz KSVNWUKVHKCQKL-LBPRGKRZSA-N 1 2 268.360 3.836 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)cc1F ZINC000423213618 330178462 /nfs/dbraw/zinc/17/84/62/330178462.db2.gz KCTGCOVAVUZWRI-LLVKDONJSA-N 1 2 286.350 3.975 20 0 CHADLO CCO[C@H]1C[C@H]([NH2+]c2ccc3c(c2)CCN3)C12CCCC2 ZINC000423219524 330179222 /nfs/dbraw/zinc/17/92/22/330179222.db2.gz UBJOOTRTSVLYTH-IRXDYDNUSA-N 1 2 286.419 3.804 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc3c(c2)CC[NH2+]3)C12CCCC2 ZINC000423219524 330179224 /nfs/dbraw/zinc/17/92/24/330179224.db2.gz UBJOOTRTSVLYTH-IRXDYDNUSA-N 1 2 286.419 3.804 20 0 CHADLO Cc1nc2c(s1)[C@H](Nc1ccc3c(c1)CC[NH2+]3)CCC2 ZINC000423220212 330179474 /nfs/dbraw/zinc/17/94/74/330179474.db2.gz VAVYGZURIRJHIF-OAHLLOKOSA-N 1 2 285.416 3.909 20 0 CHADLO CCc1ccc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)o1 ZINC000423221652 330179849 /nfs/dbraw/zinc/17/98/49/330179849.db2.gz XLLWCPUYVPXDEG-NSHDSACASA-N 1 2 256.349 3.983 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccccc1F ZINC000423221815 330179874 /nfs/dbraw/zinc/17/98/74/330179874.db2.gz YHNRATYBYYSKMK-LLVKDONJSA-N 1 2 256.324 3.967 20 0 CHADLO C[C@H](CNc1ccc2ccccc2[nH+]1)CC(F)(F)F ZINC000396874480 330228189 /nfs/dbraw/zinc/22/81/89/330228189.db2.gz CSCQOYFBTALOFI-JTQLQIEISA-N 1 2 268.282 3.657 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@H+]([C@@H](C)c1ccccc1F)C2 ZINC000527621836 330266132 /nfs/dbraw/zinc/26/61/32/330266132.db2.gz LHGLJLKOOANQRY-ZDUSSCGKSA-N 1 2 299.393 3.858 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@@H+]([C@@H](C)c1ccccc1F)C2 ZINC000527621836 330266133 /nfs/dbraw/zinc/26/61/33/330266133.db2.gz LHGLJLKOOANQRY-ZDUSSCGKSA-N 1 2 299.393 3.858 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2ccncc2Cl)o1 ZINC000527640080 330268229 /nfs/dbraw/zinc/26/82/29/330268229.db2.gz BGUHMMPRUAOXAQ-MFKMUULPSA-N 1 2 276.767 3.741 20 0 CHADLO CSCCc1ccc(NCc2c[nH+]cn2C(C)C)cc1 ZINC000424139618 330279787 /nfs/dbraw/zinc/27/97/87/330279787.db2.gz DMBAXBDZSSUENM-UHFFFAOYSA-N 1 2 289.448 3.982 20 0 CHADLO CC(C)([NH2+]Cc1cscn1)c1nc2ccccc2s1 ZINC000527743768 330280186 /nfs/dbraw/zinc/28/01/86/330280186.db2.gz HWKSKFMAVVOSCR-UHFFFAOYSA-N 1 2 289.429 3.778 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2cc(F)c(Cl)cc21)c1csnn1 ZINC000527907877 330290523 /nfs/dbraw/zinc/29/05/23/330290523.db2.gz JBTJWKGUVRIABZ-JVXZTZIISA-N 1 2 297.786 3.669 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1csnn1)c1cc(C)ccc1C ZINC000527908477 330290609 /nfs/dbraw/zinc/29/06/09/330290609.db2.gz LPZSVSXHSCAZNT-OCCSQVGLSA-N 1 2 275.421 3.957 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000527902267 330293567 /nfs/dbraw/zinc/29/35/67/330293567.db2.gz NIQCUJGIWBZCNL-AWEZNQCLSA-N 1 2 295.386 3.839 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](c2ccccn2)C2CCC2)no1 ZINC000527964321 330300927 /nfs/dbraw/zinc/30/09/27/330300927.db2.gz JUXYPLRGJYXKIW-WBMJQRKESA-N 1 2 271.364 3.570 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H]2CCCC23CC3)n1 ZINC000528207354 330313148 /nfs/dbraw/zinc/31/31/48/330313148.db2.gz NFTABIMAJULMKB-CYBMUJFWSA-N 1 2 283.375 3.606 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1cc(CO)ccc1F ZINC000528342127 330319668 /nfs/dbraw/zinc/31/96/68/330319668.db2.gz XWLDTZJXNNOFPM-UHFFFAOYSA-N 1 2 299.798 3.665 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1cc(CO)ccc1F ZINC000528342127 330319669 /nfs/dbraw/zinc/31/96/69/330319669.db2.gz XWLDTZJXNNOFPM-UHFFFAOYSA-N 1 2 299.798 3.665 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2c(F)cc(C)cc2F)o1 ZINC000424181996 330319767 /nfs/dbraw/zinc/31/97/67/330319767.db2.gz SOYLXGVOFMTVDA-UHFFFAOYSA-N 1 2 280.318 3.504 20 0 CHADLO C/C(Cl)=C\C[N@@H+](C)Cc1ccc(Br)o1 ZINC000528343000 330319875 /nfs/dbraw/zinc/31/98/75/330319875.db2.gz WXVWKRJSUPVJKP-VMPITWQZSA-N 1 2 278.577 3.617 20 0 CHADLO C/C(Cl)=C\C[N@H+](C)Cc1ccc(Br)o1 ZINC000528343000 330319876 /nfs/dbraw/zinc/31/98/76/330319876.db2.gz WXVWKRJSUPVJKP-VMPITWQZSA-N 1 2 278.577 3.617 20 0 CHADLO C[C@@H](CCc1ccccc1)C[NH2+][C@@H](C)C(F)(F)F ZINC000424187240 330320083 /nfs/dbraw/zinc/32/00/83/330320083.db2.gz VDDRVDYBILMZAZ-RYUDHWBXSA-N 1 2 259.315 3.796 20 0 CHADLO C[C@@H]([NH2+]C[C@@H](C)CCc1ccccc1)C(F)(F)F ZINC000424187239 330320243 /nfs/dbraw/zinc/32/02/43/330320243.db2.gz VDDRVDYBILMZAZ-NWDGAFQWSA-N 1 2 259.315 3.796 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ncccc2Cl)c1 ZINC000528352814 330321586 /nfs/dbraw/zinc/32/15/86/330321586.db2.gz FMGNZDRGNLGNIU-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ncccc2Cl)c1 ZINC000528352814 330321587 /nfs/dbraw/zinc/32/15/87/330321587.db2.gz FMGNZDRGNLGNIU-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1ncccc1Cl ZINC000528360880 330322502 /nfs/dbraw/zinc/32/25/02/330322502.db2.gz RFXZXKRTZUVZBK-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1ncccc1Cl ZINC000528360880 330322503 /nfs/dbraw/zinc/32/25/03/330322503.db2.gz RFXZXKRTZUVZBK-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+](C)C/C=C/c1ccccc1 ZINC000533074823 330329198 /nfs/dbraw/zinc/32/91/98/330329198.db2.gz YARXZJJUROYCEM-PEKVBPLLSA-N 1 2 295.382 3.546 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+](C)C/C=C/c1ccccc1 ZINC000533074823 330329199 /nfs/dbraw/zinc/32/91/99/330329199.db2.gz YARXZJJUROYCEM-PEKVBPLLSA-N 1 2 295.382 3.546 20 0 CHADLO CC[C@H]([NH2+][C@H](c1ccc(Cl)cc1)C(C)C)C(=O)OC ZINC000106032193 330331259 /nfs/dbraw/zinc/33/12/59/330331259.db2.gz HCCMSTCKFCMDCI-KBPBESRZSA-N 1 2 283.799 3.578 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cnc(-c2ccccc2)nc1 ZINC000533246834 330355248 /nfs/dbraw/zinc/35/52/48/330355248.db2.gz SIGQMNUFIUMBTJ-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cnc(-c2ccccc2)nc1 ZINC000533246834 330355249 /nfs/dbraw/zinc/35/52/49/330355249.db2.gz SIGQMNUFIUMBTJ-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)c2c(F)cccc2F)c1C ZINC000533349004 330358445 /nfs/dbraw/zinc/35/84/45/330358445.db2.gz SYBFCXLKQTVRBO-UHFFFAOYSA-N 1 2 292.329 3.622 20 0 CHADLO Clc1ccc(Cc2nc(Cn3cc[nH+]c3)cs2)cc1 ZINC000126672671 330366544 /nfs/dbraw/zinc/36/65/44/330366544.db2.gz IFSHFGKVBIIPEC-UHFFFAOYSA-N 1 2 289.791 3.632 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)Sc2ccccc2)c(C)[nH+]1 ZINC000119165822 330370656 /nfs/dbraw/zinc/37/06/56/330370656.db2.gz FCUSRRADODPGSU-CYBMUJFWSA-N 1 2 286.400 3.818 20 0 CHADLO CC(C)(C)C[C@H]1CCC[N@@H+]1Cc1nnsc1Cl ZINC000057863442 330379023 /nfs/dbraw/zinc/37/90/23/330379023.db2.gz HLBNBYIEBFVFOS-SECBINFHSA-N 1 2 273.833 3.592 20 0 CHADLO CC(C)(C)C[C@H]1CCC[N@H+]1Cc1nnsc1Cl ZINC000057863442 330379024 /nfs/dbraw/zinc/37/90/24/330379024.db2.gz HLBNBYIEBFVFOS-SECBINFHSA-N 1 2 273.833 3.592 20 0 CHADLO CC(C)CO[C@@H]1CC[N@H+](Cc2csc(C(C)(C)C)n2)C1 ZINC000119832611 330379159 /nfs/dbraw/zinc/37/91/59/330379159.db2.gz VCACFTCZAISPTM-CQSZACIVSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)CO[C@@H]1CC[N@@H+](Cc2csc(C(C)(C)C)n2)C1 ZINC000119832611 330379160 /nfs/dbraw/zinc/37/91/60/330379160.db2.gz VCACFTCZAISPTM-CQSZACIVSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)c1cc(N(C)[C@@H]2CCSC2)nc(C(C)C)[nH+]1 ZINC000120458935 330388151 /nfs/dbraw/zinc/38/81/51/330388151.db2.gz AJRPHLQABQHJEO-GFCCVEGCSA-N 1 2 279.453 3.665 20 0 CHADLO Fc1ccc2oc(CSc3[nH+]cc4ccccn43)nc2c1 ZINC000120393872 330388430 /nfs/dbraw/zinc/38/84/30/330388430.db2.gz RTOVWRPUAIESQD-UHFFFAOYSA-N 1 2 299.330 3.907 20 0 CHADLO Cc1cc(C)cc(OCCCSCCn2cc[nH+]c2)c1 ZINC000090199758 330391226 /nfs/dbraw/zinc/39/12/26/330391226.db2.gz BFRVIWAHSHWQHH-UHFFFAOYSA-N 1 2 290.432 3.702 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C(C)(C)c2cccs2)n1 ZINC000514927369 330467356 /nfs/dbraw/zinc/46/73/56/330467356.db2.gz MSYLLIIPBBZTOG-UHFFFAOYSA-N 1 2 299.399 3.751 20 0 CHADLO CC(=O)Nc1ccc(-c2[nH]c3c([nH+]2)c(C)ccc3C)cc1 ZINC000430936339 330576998 /nfs/dbraw/zinc/57/69/98/330576998.db2.gz UKEYUOICYWRJEE-UHFFFAOYSA-N 1 2 279.343 3.805 20 0 CHADLO CCC1(O)CC[NH+](Cc2csc(Cl)c2Cl)CC1 ZINC000432753141 330606081 /nfs/dbraw/zinc/60/60/81/330606081.db2.gz AWMKJQHUPZFZNV-UHFFFAOYSA-N 1 2 294.247 3.792 20 0 CHADLO O[C@H](C[NH2+][C@H](c1ccccc1)C(F)F)C1CCCCC1 ZINC000433048072 330614287 /nfs/dbraw/zinc/61/42/87/330614287.db2.gz ZFQDARAVFMBKIR-HUUCEWRRSA-N 1 2 283.362 3.524 20 0 CHADLO CCCc1ccc(C[NH2+][C@@](C)(c2noc(C)n2)C2CC2)cc1 ZINC000433316207 330625477 /nfs/dbraw/zinc/62/54/77/330625477.db2.gz FOXJFPFQWZGNOG-GOSISDBHSA-N 1 2 299.418 3.746 20 0 CHADLO Cc1nc2[nH]ccc2c(N(C)Cc2cc3ccccc3o2)[nH+]1 ZINC000433778833 330631088 /nfs/dbraw/zinc/63/10/88/330631088.db2.gz CKVFXADTFUAZJS-UHFFFAOYSA-N 1 2 292.342 3.649 20 0 CHADLO COc1cccc2c(N3CCc4ccsc4C3)cc[nH+]c12 ZINC000436435469 330677537 /nfs/dbraw/zinc/67/75/37/330677537.db2.gz AYIQLDDZPRYBNK-UHFFFAOYSA-N 1 2 296.395 3.868 20 0 CHADLO Cn1c[nH+]cc1CNc1ccccc1Oc1cccc(F)c1 ZINC000439617946 330741215 /nfs/dbraw/zinc/74/12/15/330741215.db2.gz AGANSWYFNHOUBS-UHFFFAOYSA-N 1 2 297.333 3.964 20 0 CHADLO COc1cccc2c(N3[C@H](C)CC[C@H]3C)cc[nH+]c12 ZINC000440680011 330753951 /nfs/dbraw/zinc/75/39/51/330753951.db2.gz XENUURPOWGHKJF-VXGBXAGGSA-N 1 2 256.349 3.621 20 0 CHADLO Cc1cc(N[C@H](C)c2cccc(OC(F)(F)F)c2)nc[nH+]1 ZINC000441783475 330772297 /nfs/dbraw/zinc/77/22/97/330772297.db2.gz CJUOWCUFVFGESC-SNVBAGLBSA-N 1 2 297.280 3.857 20 0 CHADLO CC[C@H](F)CSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000442545600 330776559 /nfs/dbraw/zinc/77/65/59/330776559.db2.gz PWBUUBHBMYKQAV-ZDUSSCGKSA-N 1 2 264.369 3.854 20 0 CHADLO Cc1cc(N[C@H](C)c2[nH+]ccn2C)cc(C)c1Cl ZINC000442815888 330785250 /nfs/dbraw/zinc/78/52/50/330785250.db2.gz WXNHIIGDXQZKRW-LLVKDONJSA-N 1 2 263.772 3.863 20 0 CHADLO CC[C@H]([NH2+]C1CCC(C(F)F)CC1)C(F)(F)F ZINC000442859176 330787750 /nfs/dbraw/zinc/78/77/50/330787750.db2.gz QEAATYNSFYXJDP-HACHORDNSA-N 1 2 259.262 3.741 20 0 CHADLO CC[C@H](NC(=O)C[C@H](c1ccccc1)C(C)C)c1[nH]cc[nH+]1 ZINC000443111304 330795255 /nfs/dbraw/zinc/79/52/55/330795255.db2.gz CWDGFVYYIWGSCV-HOTGVXAUSA-N 1 2 299.418 3.807 20 0 CHADLO Cn1c(N2CCC[C@H]2c2ccco2)[nH+]c2cccc(F)c21 ZINC000443524456 330800839 /nfs/dbraw/zinc/80/08/39/330800839.db2.gz UKXXWIVEJSUXJL-ZDUSSCGKSA-N 1 2 285.322 3.647 20 0 CHADLO Cc1cccc([C@@H](C)Nc2cc[nH+]c3ccncc23)c1C ZINC000444086090 330809878 /nfs/dbraw/zinc/80/98/78/330809878.db2.gz WMXYZOQWMBCLEP-CQSZACIVSA-N 1 2 277.371 3.842 20 0 CHADLO CC(C)[C@@H]1CCCN(c2cc[nH+]c3ccncc32)CC1 ZINC000444070272 330809958 /nfs/dbraw/zinc/80/99/58/330809958.db2.gz AQTFUCTVEJOFJY-CQSZACIVSA-N 1 2 269.392 3.892 20 0 CHADLO Cc1ccc(NC(=O)N2CCC[C@@H](C(C)C)C2)c(C)[nH+]1 ZINC000444855663 330826982 /nfs/dbraw/zinc/82/69/82/330826982.db2.gz XWKQSHWBEQBQAU-CQSZACIVSA-N 1 2 275.396 3.598 20 0 CHADLO Cc1cc(C)c(NC(=O)CCO[C@@H](C)CC(C)C)c(C)[nH+]1 ZINC000445509438 330830271 /nfs/dbraw/zinc/83/02/71/330830271.db2.gz SWJHHHSLZBREJN-AWEZNQCLSA-N 1 2 292.423 3.787 20 0 CHADLO Cc1ccc(NC(=O)N2CCC[C@H]2CC(C)C)c(C)[nH+]1 ZINC000447175793 330862578 /nfs/dbraw/zinc/86/25/78/330862578.db2.gz OMGBMOUFBMIMGG-AWEZNQCLSA-N 1 2 275.396 3.741 20 0 CHADLO Cc1cc(OCc2cccnc2)c2cccc(C)c2[nH+]1 ZINC000447782720 330876587 /nfs/dbraw/zinc/87/65/87/330876587.db2.gz ILGWPYDENCKPMT-UHFFFAOYSA-N 1 2 264.328 3.826 20 0 CHADLO CC(F)(F)C(C)(C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448841090 330885560 /nfs/dbraw/zinc/88/55/60/330885560.db2.gz QAWQEYKOJILCKN-UHFFFAOYSA-N 1 2 293.317 3.697 20 0 CHADLO CC[C@@H](Nc1ccc(Cn2cc[nH+]c2)cn1)C1CCCC1 ZINC000449320322 330914472 /nfs/dbraw/zinc/91/44/72/330914472.db2.gz NHVYQQUHDKKLBU-MRXNPFEDSA-N 1 2 284.407 3.707 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(Br)c1)C(F)F ZINC000449365756 330919136 /nfs/dbraw/zinc/91/91/36/330919136.db2.gz WXLBWAPQMIBOLR-JTQLQIEISA-N 1 2 278.140 3.582 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cc2cc(OC)ccc2o1 ZINC000449563844 330937066 /nfs/dbraw/zinc/93/70/66/330937066.db2.gz YYUAAJJAIQHSIS-GFCCVEGCSA-N 1 2 299.374 3.509 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2cc3cc(F)ccc3o2)c2nccn21 ZINC000449573709 330938068 /nfs/dbraw/zinc/93/80/68/330938068.db2.gz RZHRPFAXIWBXCP-IINYFYTJSA-N 1 2 285.322 3.564 20 0 CHADLO CCSCc1ccc(NCc2[nH+]cc(C)n2C)cc1 ZINC000449605842 330938973 /nfs/dbraw/zinc/93/89/73/330938973.db2.gz ZTCWOSHFWISZTL-UHFFFAOYSA-N 1 2 275.421 3.594 20 0 CHADLO CCc1ncc(C[NH2+]Cc2c(Cl)cccc2Cl)o1 ZINC000449608838 330939452 /nfs/dbraw/zinc/93/94/52/330939452.db2.gz KOPHUURVXMHYIP-UHFFFAOYSA-N 1 2 285.174 3.834 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2coc(-c3ccccc3)n2)o1 ZINC000449612767 330939679 /nfs/dbraw/zinc/93/96/79/330939679.db2.gz OMCHQWCIQISPTD-UHFFFAOYSA-N 1 2 297.358 3.572 20 0 CHADLO C[C@@H]1COCCN(c2cc[nH+]c3cc(F)c(Cl)cc32)C1 ZINC000450406280 330976890 /nfs/dbraw/zinc/97/68/90/330976890.db2.gz QIOAMHVWDLUUPU-JTQLQIEISA-N 1 2 294.757 3.500 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC3(CCC3)[C@@H]2c2ccco2)[nH+]1 ZINC000450861184 331003550 /nfs/dbraw/zinc/00/35/50/331003550.db2.gz OTYNZVRETYYQTR-AWEZNQCLSA-N 1 2 294.358 3.591 20 0 CHADLO CC(=O)c1ccc(C[N@@H+](C)Cc2cc(C)ccc2F)cc1 ZINC000450866561 331003745 /nfs/dbraw/zinc/00/37/45/331003745.db2.gz XETOPMCNPKYIOW-UHFFFAOYSA-N 1 2 285.362 3.969 20 0 CHADLO CC(=O)c1ccc(C[N@H+](C)Cc2cc(C)ccc2F)cc1 ZINC000450866561 331003746 /nfs/dbraw/zinc/00/37/46/331003746.db2.gz XETOPMCNPKYIOW-UHFFFAOYSA-N 1 2 285.362 3.969 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC[C@H]1c1cc[nH]n1 ZINC000450873107 331004010 /nfs/dbraw/zinc/00/40/10/331004010.db2.gz CQMXQUVUBDNWIO-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC[C@H]1c1cc[nH]n1 ZINC000450873107 331004011 /nfs/dbraw/zinc/00/40/11/331004011.db2.gz CQMXQUVUBDNWIO-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1csc2nc(C)nc(NCc3c[nH+]c(C)cc3C)c12 ZINC000450915171 331007003 /nfs/dbraw/zinc/00/70/03/331007003.db2.gz YXGMXCVQYSMIJH-UHFFFAOYSA-N 1 2 298.415 3.932 20 0 CHADLO CC1=C(C(=O)N[C@@H](c2[nH]cc[nH+]2)C(C)C)CCC(C)(C)C1 ZINC000451107136 331015646 /nfs/dbraw/zinc/01/56/46/331015646.db2.gz XVSZCHDFKXXVEV-CQSZACIVSA-N 1 2 289.423 3.750 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(C2CC2)c1)c1csnn1 ZINC000451109629 331015787 /nfs/dbraw/zinc/01/57/87/331015787.db2.gz BIMRZLZSUYYUGS-QWRGUYRKSA-N 1 2 273.405 3.827 20 0 CHADLO F[C@H]1CC[N@H+](Cc2ncc(-c3cccc(Cl)c3)o2)C1 ZINC000451183588 331019291 /nfs/dbraw/zinc/01/92/91/331019291.db2.gz JBXSBXDRGLRBKH-LBPRGKRZSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@H]1CC[N@@H+](Cc2ncc(-c3cccc(Cl)c3)o2)C1 ZINC000451183588 331019292 /nfs/dbraw/zinc/01/92/92/331019292.db2.gz JBXSBXDRGLRBKH-LBPRGKRZSA-N 1 2 280.730 3.539 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@H+](Cc1nc(C3CC3)no1)C2 ZINC000451259026 331022170 /nfs/dbraw/zinc/02/21/70/331022170.db2.gz HGWGEYUPLZDROJ-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@@H+](Cc1nc(C3CC3)no1)C2 ZINC000451259026 331022171 /nfs/dbraw/zinc/02/21/71/331022171.db2.gz HGWGEYUPLZDROJ-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)CCCC(C)C ZINC000451391405 331027106 /nfs/dbraw/zinc/02/71/06/331027106.db2.gz GASBTKLYOJEYHG-OAHLLOKOSA-N 1 2 291.439 3.783 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451846824 331044563 /nfs/dbraw/zinc/04/45/63/331044563.db2.gz XDGFIKOADVIBPE-UKRRQHHQSA-N 1 2 291.391 3.538 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451846824 331044564 /nfs/dbraw/zinc/04/45/64/331044564.db2.gz XDGFIKOADVIBPE-UKRRQHHQSA-N 1 2 291.391 3.538 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@H](C)c2nc(C3CC3)no2)c1 ZINC000452098115 331054127 /nfs/dbraw/zinc/05/41/27/331054127.db2.gz ZCERZKRKWOLTON-NWDGAFQWSA-N 1 2 271.364 3.667 20 0 CHADLO O=C(CCCC1CCCC1)Nc1c[nH+]c2n1CCCC2 ZINC000452330321 331062473 /nfs/dbraw/zinc/06/24/73/331062473.db2.gz AAVWTSKXJPONFZ-UHFFFAOYSA-N 1 2 275.396 3.518 20 0 CHADLO CCc1ccc([C@@H](C)C(=O)Nc2c[nH+]c3n2CCCC3)cc1 ZINC000452331129 331062642 /nfs/dbraw/zinc/06/26/42/331062642.db2.gz CFYHOELYPJIZCH-CYBMUJFWSA-N 1 2 297.402 3.524 20 0 CHADLO Cc1cccc2cc(C(=O)Nc3c[nH+]c4n3CCCC4)oc21 ZINC000452335670 331062685 /nfs/dbraw/zinc/06/26/85/331062685.db2.gz RDJBLXUYMDASAT-UHFFFAOYSA-N 1 2 295.342 3.526 20 0 CHADLO Cc1cc2[nH+]cn(C[C@H](O)[C@@H](C)c3ccccc3)c2cc1C ZINC000453017113 331083642 /nfs/dbraw/zinc/08/36/42/331083642.db2.gz NLINBIHBMNRXRX-KXBFYZLASA-N 1 2 294.398 3.818 20 0 CHADLO Cc1cc(CNc2[nH+]cccc2OC(F)F)cs1 ZINC000453049425 331084809 /nfs/dbraw/zinc/08/48/09/331084809.db2.gz QOTSUFUHVSZMLO-UHFFFAOYSA-N 1 2 270.304 3.665 20 0 CHADLO Cc1ccc(-c2ccc(NCC3(C)CCOCC3)[nH+]c2)cn1 ZINC000453053365 331084953 /nfs/dbraw/zinc/08/49/53/331084953.db2.gz TVOFFCNJASXPCR-UHFFFAOYSA-N 1 2 297.402 3.681 20 0 CHADLO CCCOc1cc(C)ccc1C[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000453125555 331091750 /nfs/dbraw/zinc/09/17/50/331091750.db2.gz JHIGEOFPWQNNOV-HOCLYGCPSA-N 1 2 299.418 3.776 20 0 CHADLO CC/C(C)=C/c1nc(CCn2c(C)[nH+]c3ccccc32)no1 ZINC000453151934 331094646 /nfs/dbraw/zinc/09/46/46/331094646.db2.gz VMFBRIAHYVWFQO-VAWYXSNFSA-N 1 2 296.374 3.784 20 0 CHADLO CC[C@@H]([NH2+]CC(F)(F)c1ccccc1)c1ccncc1 ZINC000453203621 331101105 /nfs/dbraw/zinc/10/11/05/331101105.db2.gz FHQFJSGWZXWCTG-OAHLLOKOSA-N 1 2 276.330 3.914 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(OC(F)F)cc1)C(F)F ZINC000453233231 331104816 /nfs/dbraw/zinc/10/48/16/331104816.db2.gz HNQRFAUQHIEYEU-KCJUWKMLSA-N 1 2 279.277 3.982 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000453286556 331111223 /nfs/dbraw/zinc/11/12/23/331111223.db2.gz KKHYULSXTQWAPG-ZJUUUORDSA-N 1 2 296.361 3.611 20 0 CHADLO COc1cc(C)c([C@@H](C)[NH2+][C@H](C)C(C)(F)F)cc1OC ZINC000453293672 331112123 /nfs/dbraw/zinc/11/21/23/331112123.db2.gz OXNPPTWBYMVDQT-GHMZBOCLSA-N 1 2 287.350 3.707 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1cccc(OC)c1 ZINC000453294934 331112322 /nfs/dbraw/zinc/11/23/22/331112322.db2.gz PQDKARNAYKXJQH-GXFFZTMASA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)C(C)(F)F)c1cccc(OC)c1 ZINC000453294936 331112324 /nfs/dbraw/zinc/11/23/24/331112324.db2.gz PQDKARNAYKXJQH-ZWNOBZJWSA-N 1 2 257.324 3.780 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2ncc(C(F)(F)F)cn2)c1 ZINC000453299414 331112989 /nfs/dbraw/zinc/11/29/89/331112989.db2.gz KMOCNNQFYVWXDQ-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO Cc1ccc(-c2noc(C[N@@H+](C)Cc3ccccc3)n2)s1 ZINC000453331326 331117676 /nfs/dbraw/zinc/11/76/76/331117676.db2.gz TXADGEGAQFKUHK-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(-c2noc(C[N@H+](C)Cc3ccccc3)n2)s1 ZINC000453331326 331117677 /nfs/dbraw/zinc/11/76/77/331117677.db2.gz TXADGEGAQFKUHK-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CCCc1ncc(C[NH2+][C@H](C)c2ccc(F)cc2F)o1 ZINC000453370435 331123980 /nfs/dbraw/zinc/12/39/80/331123980.db2.gz HYXKMAIKTVSFSQ-SNVBAGLBSA-N 1 2 280.318 3.756 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H]2CCc3c2c(F)ccc3F)o1 ZINC000453383109 331126118 /nfs/dbraw/zinc/12/61/18/331126118.db2.gz MIBIUBXALCQIRA-CQSZACIVSA-N 1 2 292.329 3.682 20 0 CHADLO C[C@H](CC(C)(C)C)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000453425005 331130864 /nfs/dbraw/zinc/13/08/64/331130864.db2.gz NKNWEJJELYNQDG-TZMCWYRMSA-N 1 2 278.444 3.840 20 0 CHADLO C[C@@H]1COCC[C@H]1[NH2+]c1ccc(OC2CCCC2)cc1 ZINC000195053066 331133702 /nfs/dbraw/zinc/13/37/02/331133702.db2.gz MYJKSVPYLFAYEZ-CXAGYDPISA-N 1 2 275.392 3.845 20 0 CHADLO Cc1cc(Br)c(C[NH2+][C@H](C)C(C)(F)F)s1 ZINC000453594215 331135394 /nfs/dbraw/zinc/13/53/94/331135394.db2.gz KNVGUQPZHUPKKX-SSDOTTSWSA-N 1 2 298.196 3.952 20 0 CHADLO CC1(C)Cc2ccsc2C[N@@H+]1Cc1cscn1 ZINC000453612328 331136418 /nfs/dbraw/zinc/13/64/18/331136418.db2.gz AXGLVZCTFZDPAE-UHFFFAOYSA-N 1 2 264.419 3.542 20 0 CHADLO CC1(C)Cc2ccsc2C[N@H+]1Cc1cscn1 ZINC000453612328 331136419 /nfs/dbraw/zinc/13/64/19/331136419.db2.gz AXGLVZCTFZDPAE-UHFFFAOYSA-N 1 2 264.419 3.542 20 0 CHADLO Cc1[nH]ncc1C[N@@H+]1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000453617249 331136864 /nfs/dbraw/zinc/13/68/64/331136864.db2.gz RFFCZWMNROWRDC-QGZVFWFLSA-N 1 2 281.403 3.835 20 0 CHADLO Cc1[nH]ncc1C[N@H+]1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000453617249 331136865 /nfs/dbraw/zinc/13/68/65/331136865.db2.gz RFFCZWMNROWRDC-QGZVFWFLSA-N 1 2 281.403 3.835 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000455328891 331166414 /nfs/dbraw/zinc/16/64/14/331166414.db2.gz RAAQYRJUSCMXNY-ZDUSSCGKSA-N 1 2 286.379 3.591 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CCC(C)(C)C2)c(C)[nH+]1 ZINC000455507200 331171187 /nfs/dbraw/zinc/17/11/87/331171187.db2.gz YRPFEQOSTMBSFM-ZDUSSCGKSA-N 1 2 275.396 3.707 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000456353433 331190598 /nfs/dbraw/zinc/19/05/98/331190598.db2.gz MIVJPFCFMNDWGW-DZGCQCFKSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C[C@H](C)C1CCCCC1 ZINC000456366501 331191125 /nfs/dbraw/zinc/19/11/25/331191125.db2.gz BTVYHJKCYQGMNI-KBPBESRZSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)CCCC1CCCCC1 ZINC000456381139 331191857 /nfs/dbraw/zinc/19/18/57/331191857.db2.gz JWQGEETZSVZMPI-AWEZNQCLSA-N 1 2 291.439 3.831 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000456380492 331191890 /nfs/dbraw/zinc/19/18/90/331191890.db2.gz MGQXCNKRZGKMES-FMKPAKJESA-N 1 2 297.402 3.507 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)CCCCC(C)(C)C)c2[nH+]ccn21 ZINC000456416325 331192246 /nfs/dbraw/zinc/19/22/46/331192246.db2.gz JWBKHBXCCMRAIN-QWHCGFSZSA-N 1 2 277.412 3.612 20 0 CHADLO C[C@H](CCC(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456428243 331192456 /nfs/dbraw/zinc/19/24/56/331192456.db2.gz RGNFJCUHHNKOFB-IIAWOOMASA-N 1 2 297.402 3.589 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cccc(Cl)c2C)c[nH+]1 ZINC000456830511 331206454 /nfs/dbraw/zinc/20/64/54/331206454.db2.gz CXTQGDDDKFZPLN-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc(C3CCC3)cc2)c[nH+]1 ZINC000456838138 331207118 /nfs/dbraw/zinc/20/71/18/331207118.db2.gz LJUMGVWQMJTZQJ-UHFFFAOYSA-N 1 2 294.398 3.896 20 0 CHADLO CCc1ccc([C@@H](C)C(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000456846988 331207382 /nfs/dbraw/zinc/20/73/82/331207382.db2.gz UMGKRIGVKBGTPW-OAHLLOKOSA-N 1 2 296.414 3.681 20 0 CHADLO COc1ccc2c(c1)[C@@H](Nc1ccc(C)[nH+]c1)CCC2 ZINC000164637068 331271082 /nfs/dbraw/zinc/27/10/82/331271082.db2.gz FZHBOKCWDULFSC-KRWDZBQOSA-N 1 2 268.360 3.888 20 0 CHADLO FC(F)(F)c1ccc(Nc2ccc3c(c2)CCC[NH2+]3)nn1 ZINC000164660634 331275197 /nfs/dbraw/zinc/27/51/97/331275197.db2.gz NSGUMLMJXPKQJX-UHFFFAOYSA-N 1 2 294.280 3.597 20 0 CHADLO FC(F)C[N@H+](CCc1cccc(Cl)c1)C1CC1 ZINC000459344333 331277216 /nfs/dbraw/zinc/27/72/16/331277216.db2.gz ZMEGGUKSYIUXSJ-UHFFFAOYSA-N 1 2 259.727 3.612 20 0 CHADLO FC(F)C[N@@H+](CCc1cccc(Cl)c1)C1CC1 ZINC000459344333 331277217 /nfs/dbraw/zinc/27/72/17/331277217.db2.gz ZMEGGUKSYIUXSJ-UHFFFAOYSA-N 1 2 259.727 3.612 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2ncccc2C)c(Cl)c1 ZINC000459384639 331279526 /nfs/dbraw/zinc/27/95/26/331279526.db2.gz HOOANGYNIZRTOP-UHFFFAOYSA-N 1 2 278.758 3.781 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2C[C@@]2(C)C(C)C)c(C)[nH+]1 ZINC000459572325 331288682 /nfs/dbraw/zinc/28/86/82/331288682.db2.gz AFZRRGBOURCYEG-CJNGLKHVSA-N 1 2 275.396 3.563 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@]2(C)CC=CCC2)c(C)[nH+]1 ZINC000459578539 331289050 /nfs/dbraw/zinc/28/90/50/331289050.db2.gz IMVDWCVXWADFGI-INIZCTEOSA-N 1 2 273.380 3.627 20 0 CHADLO Clc1scc(C[NH+]2CC3(C2)CCCOC3)c1Cl ZINC000459602685 331290180 /nfs/dbraw/zinc/29/01/80/331290180.db2.gz GCODNLBLFGFREJ-UHFFFAOYSA-N 1 2 292.231 3.667 20 0 CHADLO COCCC1(C)C[NH+](Cc2csc(Cl)c2Cl)C1 ZINC000459628417 331291016 /nfs/dbraw/zinc/29/10/16/331291016.db2.gz CNCSBAOLRUWGIJ-UHFFFAOYSA-N 1 2 294.247 3.913 20 0 CHADLO CCc1ccc(C[NH2+][C@H](C)c2nc3c(s2)CCC3)o1 ZINC000459645753 331291815 /nfs/dbraw/zinc/29/18/15/331291815.db2.gz HGNXDDVWAZVZSM-SNVBAGLBSA-N 1 2 276.405 3.638 20 0 CHADLO Cc1cccnc1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459744290 331297695 /nfs/dbraw/zinc/29/76/95/331297695.db2.gz HLXFEOSJSWIZAN-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1cccnc1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459744290 331297696 /nfs/dbraw/zinc/29/76/96/331297696.db2.gz HLXFEOSJSWIZAN-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1ccn(C)n1 ZINC000459744967 331297765 /nfs/dbraw/zinc/29/77/65/331297765.db2.gz IBGYUAPWBBLRQW-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459770852 331299888 /nfs/dbraw/zinc/29/98/88/331299888.db2.gz SQEFUMHSTCEGKI-NSHDSACASA-N 1 2 258.752 3.812 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459770852 331299889 /nfs/dbraw/zinc/29/98/89/331299889.db2.gz SQEFUMHSTCEGKI-NSHDSACASA-N 1 2 258.752 3.812 20 0 CHADLO Clc1cc(C[N@@H+]2C[C@@H]3C[C@H]2CS3)c(Cl)s1 ZINC000459776081 331300224 /nfs/dbraw/zinc/30/02/24/331300224.db2.gz QSUVCODGCFCPKE-YUMQZZPRSA-N 1 2 280.245 3.745 20 0 CHADLO Clc1cc(C[N@H+]2C[C@@H]3C[C@H]2CS3)c(Cl)s1 ZINC000459776081 331300225 /nfs/dbraw/zinc/30/02/25/331300225.db2.gz QSUVCODGCFCPKE-YUMQZZPRSA-N 1 2 280.245 3.745 20 0 CHADLO C[C@@H]([NH2+]c1ccc(OC2CCCC2)cc1)[C@@H]1CCOC1 ZINC000165088800 331305731 /nfs/dbraw/zinc/30/57/31/331305731.db2.gz YKMDEJRPYDOUQO-ZIAGYGMSSA-N 1 2 275.392 3.845 20 0 CHADLO Cc1nc(N2CCC(CCc3ccccc3)CC2)cc[nH+]1 ZINC000462917266 331335072 /nfs/dbraw/zinc/33/50/72/331335072.db2.gz QHTHPQPUEPALHK-UHFFFAOYSA-N 1 2 281.403 3.634 20 0 CHADLO CC(C)CCOCC[N@@H+]1CCn2c(cc3ccccc32)C1 ZINC000463360675 331346508 /nfs/dbraw/zinc/34/65/08/331346508.db2.gz ZYPIVNIAEDDKPU-UHFFFAOYSA-N 1 2 286.419 3.520 20 0 CHADLO CC(C)CCOCC[N@H+]1CCn2c(cc3ccccc32)C1 ZINC000463360675 331346509 /nfs/dbraw/zinc/34/65/09/331346509.db2.gz ZYPIVNIAEDDKPU-UHFFFAOYSA-N 1 2 286.419 3.520 20 0 CHADLO CSc1ccc(N[C@@H]2CCC[C@H]([C@H]3CCOC3)C2)[nH+]c1 ZINC000467348244 331415609 /nfs/dbraw/zinc/41/56/09/331415609.db2.gz DZZSUAWMMAAQDO-MELADBBJSA-N 1 2 292.448 3.811 20 0 CHADLO C[C@@H]1CCC[C@H]1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000086673023 332918937 /nfs/dbraw/zinc/91/89/37/332918937.db2.gz LGOWKCFXQCOGEI-UKRRQHHQSA-N 1 2 259.397 3.672 20 0 CHADLO CC[C@H](C)[C@H](C)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000483245873 331863982 /nfs/dbraw/zinc/86/39/82/331863982.db2.gz JDEIOCLVXBSBJE-STQMWFEESA-N 1 2 257.381 3.934 20 0 CHADLO Cc1ccc(CCCN2CCCc3ccccc32)c[nH+]1 ZINC000483837068 331885927 /nfs/dbraw/zinc/88/59/27/331885927.db2.gz VTLOFRUVYBOWHN-UHFFFAOYSA-N 1 2 266.388 3.775 20 0 CHADLO Cc1cccn2cc(CSC[C@H]3CCO[C@H](C)C3)[nH+]c12 ZINC000485573939 331917374 /nfs/dbraw/zinc/91/73/74/331917374.db2.gz NPHWYJXVUCFJJO-KGLIPLIRSA-N 1 2 290.432 3.691 20 0 CHADLO C[C@H](Nc1cccc(-c2[nH+]ccn2C)c1)[C@@H]1CC1(C)C ZINC000487330263 331966630 /nfs/dbraw/zinc/96/66/30/331966630.db2.gz UZZRPKZBEFEFSW-WFASDCNBSA-N 1 2 269.392 3.934 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+]C[C@@H]1C[C@@H]1C ZINC000488324204 331999918 /nfs/dbraw/zinc/99/99/18/331999918.db2.gz RTUWAZMDUURVKA-JSGCOSHPSA-N 1 2 276.449 3.616 20 0 CHADLO Cc1ccc(N[C@H]2CS[C@@H](C(C)C)C2)c(C)[nH+]1 ZINC000488440068 332008622 /nfs/dbraw/zinc/00/86/22/332008622.db2.gz IUOSEFRPKPXLJA-TZMCWYRMSA-N 1 2 250.411 3.640 20 0 CHADLO CC(C)[C@@H]1C[C@@H](Nc2ccc(N3CCCC3)[nH+]c2)CS1 ZINC000488444201 332009360 /nfs/dbraw/zinc/00/93/60/332009360.db2.gz WIPPHSVXXYOGPH-CABCVRRESA-N 1 2 291.464 3.624 20 0 CHADLO Cc1cc(N2CC3(CCC3)[C@@H]2C(C)C)nc(C2CC2)[nH+]1 ZINC000488504260 332014374 /nfs/dbraw/zinc/01/43/74/332014374.db2.gz PKFNSAWAJJKNTE-HNNXBMFYSA-N 1 2 271.408 3.677 20 0 CHADLO CCCCN(Cc1cn2c(cccc2C)[nH+]1)CC(F)(F)F ZINC000488630855 332020664 /nfs/dbraw/zinc/02/06/64/332020664.db2.gz SOWNKCJLPASFQM-UHFFFAOYSA-N 1 2 299.340 3.807 20 0 CHADLO Cc1ccoc1CN(C)c1[nH+]ccc2ccc(F)cc21 ZINC000488774219 332025040 /nfs/dbraw/zinc/02/50/40/332025040.db2.gz XRUDWLGHMHKFAF-UHFFFAOYSA-N 1 2 270.307 3.912 20 0 CHADLO COc1ncccc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000489023195 332038179 /nfs/dbraw/zinc/03/81/79/332038179.db2.gz ARQPYROMHCPCAI-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ncccc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000489023195 332038180 /nfs/dbraw/zinc/03/81/80/332038180.db2.gz ARQPYROMHCPCAI-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CC(C)(C)c1ccc(C)cc1 ZINC000511884962 332946544 /nfs/dbraw/zinc/94/65/44/332946544.db2.gz AEVLEMORHZAFQY-UHFFFAOYSA-N 1 2 296.414 3.681 20 0 CHADLO Cc1cc(N2CC[C@@](F)(c3ccccc3)C2)nc(C2CC2)[nH+]1 ZINC000575349703 335096481 /nfs/dbraw/zinc/09/64/81/335096481.db2.gz PUOXRDKOMQFMRF-SFHVURJKSA-N 1 2 297.377 3.738 20 0 CHADLO O=C(/C=C\C1CC1)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000491913857 332375003 /nfs/dbraw/zinc/37/50/03/332375003.db2.gz KHEUFMNPCNLYKI-BAQGIRSFSA-N 1 2 280.327 3.779 20 0 CHADLO CCCc1csc(C[NH2+][C@@H](C)c2ncc(C)s2)n1 ZINC000494080761 332462739 /nfs/dbraw/zinc/46/27/39/332462739.db2.gz VSFXIVTXWBOGFY-JTQLQIEISA-N 1 2 281.450 3.711 20 0 CHADLO Cc1[nH+]c(-c2ccncc2)nc(NCCC2CCCC2)c1C ZINC000500496092 332611274 /nfs/dbraw/zinc/61/12/74/332611274.db2.gz BYLDQBUIBJIMFP-UHFFFAOYSA-N 1 2 296.418 3.570 20 0 CHADLO CCCCC1CCC(C(=O)Nc2cc[nH+]cc2C)CC1 ZINC000501297608 332630247 /nfs/dbraw/zinc/63/02/47/332630247.db2.gz ZMLDLTFFHCJXKM-UHFFFAOYSA-N 1 2 274.408 3.747 20 0 CHADLO CCN(CC)c1ccc(N[C@H]2CCC[C@@H]2CCOC)c[nH+]1 ZINC000502409799 332657579 /nfs/dbraw/zinc/65/75/79/332657579.db2.gz YJYQURDTSGWSKN-ZBFHGGJFSA-N 1 2 291.439 3.545 20 0 CHADLO CC[C@H]1C[C@@]1([NH2+]Cc1nc(C(C)C)no1)c1cccc(C)c1 ZINC000502580973 332666370 /nfs/dbraw/zinc/66/63/70/332666370.db2.gz KOECNICGQHMLEC-KSSFIOAISA-N 1 2 299.418 3.916 20 0 CHADLO Cc1cc(C[NH2+][C@@]2(c3ccc(Cl)cc3)C[C@H]2C)no1 ZINC000503438131 332689691 /nfs/dbraw/zinc/68/96/91/332689691.db2.gz RVPQQVHAHODJDD-BMIGLBTASA-N 1 2 276.767 3.661 20 0 CHADLO C/C=C/C[C@H]([NH2+]C/C(C)=C\c1ccccc1)C(=O)OCC ZINC000503571519 332692853 /nfs/dbraw/zinc/69/28/53/332692853.db2.gz PPJJAWJYWRYUEB-DCFGOZDGSA-N 1 2 287.403 3.577 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1CCC[C@@H]2CCCC[C@H]12 ZINC000512571916 332973838 /nfs/dbraw/zinc/97/38/38/332973838.db2.gz DPFBMCRTKFYSPW-HRCADAONSA-N 1 2 286.419 3.611 20 0 CHADLO CCc1cc(NCc2c[nH+]c3cc(C)ccn23)ccc1F ZINC000512584387 332974377 /nfs/dbraw/zinc/97/43/77/332974377.db2.gz YQEAOECRMOMVOR-UHFFFAOYSA-N 1 2 283.350 3.956 20 0 CHADLO c1c(CNc2ccc3c(c2)CCCC3)[nH+]c2ccccn12 ZINC000404497081 333012167 /nfs/dbraw/zinc/01/21/67/333012167.db2.gz AHVOCMOTCZLBRS-UHFFFAOYSA-N 1 2 277.371 3.825 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2cc3ccccc3o2)cc[nH+]1 ZINC000176369271 333041424 /nfs/dbraw/zinc/04/14/24/333041424.db2.gz VTFFQTBOJWTROH-LBPRGKRZSA-N 1 2 280.327 3.878 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCc2sccc2C1 ZINC000513881921 333046105 /nfs/dbraw/zinc/04/61/05/333046105.db2.gz XZIKWALXDLUQRE-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCc2sccc2C1 ZINC000513881921 333046106 /nfs/dbraw/zinc/04/61/06/333046106.db2.gz XZIKWALXDLUQRE-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nccs1)c1ccccc1)c1ccccn1 ZINC000177082645 333053344 /nfs/dbraw/zinc/05/33/44/333053344.db2.gz KZMVYXQYDWBOEN-XJKSGUPXSA-N 1 2 295.411 3.978 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(F)c(Cl)c2)c(C)[nH+]1 ZINC000178118102 333067962 /nfs/dbraw/zinc/06/79/62/333067962.db2.gz HTBVLBHCDFIRNL-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO COc1cc(C)[nH+]c(COc2cc(C)ccc2Cl)c1 ZINC000311809781 333072727 /nfs/dbraw/zinc/07/27/27/333072727.db2.gz GIWUQKXESWKVPZ-UHFFFAOYSA-N 1 2 277.751 3.939 20 0 CHADLO CCc1cccc(Cl)c1C[N@H+](C)Cc1cnn(C)c1C ZINC000517010146 333089527 /nfs/dbraw/zinc/08/95/27/333089527.db2.gz KMCQSEBOXHYLNH-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO CCc1cccc(Cl)c1C[N@@H+](C)Cc1cnn(C)c1C ZINC000517010146 333089528 /nfs/dbraw/zinc/08/95/28/333089528.db2.gz KMCQSEBOXHYLNH-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO CN(C)c1ccccc1C[NH2+]CC(F)(F)c1ccccc1 ZINC000520898876 333107351 /nfs/dbraw/zinc/10/73/51/333107351.db2.gz FPAVIXUZDSUELZ-UHFFFAOYSA-N 1 2 290.357 3.634 20 0 CHADLO Clc1ccc(C[N@@H+]2CC[C@H]2c2ccccc2)nc1 ZINC000521014158 333109718 /nfs/dbraw/zinc/10/97/18/333109718.db2.gz IKBGBFFYUZVGPY-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccc(C[N@H+]2CC[C@H]2c2ccccc2)nc1 ZINC000521014158 333109720 /nfs/dbraw/zinc/10/97/20/333109720.db2.gz IKBGBFFYUZVGPY-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cccc(Cl)c2)c1 ZINC000214055330 333116235 /nfs/dbraw/zinc/11/62/35/333116235.db2.gz ZNCKIZRBFLIBEH-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cccc(C)c2C)c1 ZINC000214069201 333116608 /nfs/dbraw/zinc/11/66/08/333116608.db2.gz DCVWGWYZKHQGTK-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO CCC1(CC)C[N@@H+]([C@@H](C)c2cccc(F)c2)CC[S@@]1=O ZINC000185239139 333137325 /nfs/dbraw/zinc/13/73/25/333137325.db2.gz QQLXEMQGVUYRGF-RBZFPXEDSA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@@H](C)c2cccc(F)c2)CC[S@@]1=O ZINC000185239139 333137326 /nfs/dbraw/zinc/13/73/26/333137326.db2.gz QQLXEMQGVUYRGF-RBZFPXEDSA-N 1 2 297.439 3.510 20 0 CHADLO C[C@H]1CCC[C@H]1CC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000186651034 333163943 /nfs/dbraw/zinc/16/39/43/333163943.db2.gz CBZULLABPHKXAL-KBPBESRZSA-N 1 2 297.402 3.852 20 0 CHADLO COc1ccc(C[C@H](C)CNc2cccc[nH+]2)cc1F ZINC000187125794 333168208 /nfs/dbraw/zinc/16/82/08/333168208.db2.gz LJJVTSYPOATCTB-LBPRGKRZSA-N 1 2 274.339 3.520 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@H](C)c2ncc(C)o2)cc1 ZINC000220887025 333170355 /nfs/dbraw/zinc/17/03/55/333170355.db2.gz GUWFUKBSSQDMSD-QWHCGFSZSA-N 1 2 258.365 3.957 20 0 CHADLO CCN(C)c1ccc(CNc2ccc(C)c(OC)c2C)c[nH+]1 ZINC000190809539 333211095 /nfs/dbraw/zinc/21/10/95/333211095.db2.gz DUQXNCYAQYWVKZ-UHFFFAOYSA-N 1 2 299.418 3.775 20 0 CHADLO CCCOc1cc(C)ccc1[NH2+]C[C@H]1CCSC1 ZINC000224386821 333220138 /nfs/dbraw/zinc/22/01/38/333220138.db2.gz QYLGAHOMFJXEOQ-CYBMUJFWSA-N 1 2 265.422 3.949 20 0 CHADLO CCOc1cc(C)ccc1[NH2+]C[C@H]1CCSC1 ZINC000224386718 333220181 /nfs/dbraw/zinc/22/01/81/333220181.db2.gz KMVCRIUGKFETEH-GFCCVEGCSA-N 1 2 251.395 3.559 20 0 CHADLO CC1(C)C[N@H+](Cc2cscn2)CC[C@H]1C(F)(F)F ZINC000191660668 333223058 /nfs/dbraw/zinc/22/30/58/333223058.db2.gz TXDBILQZBIYCIJ-SNVBAGLBSA-N 1 2 278.343 3.554 20 0 CHADLO CC1(C)C[N@@H+](Cc2cscn2)CC[C@H]1C(F)(F)F ZINC000191660668 333223060 /nfs/dbraw/zinc/22/30/60/333223060.db2.gz TXDBILQZBIYCIJ-SNVBAGLBSA-N 1 2 278.343 3.554 20 0 CHADLO Cc1cc(OCc2nc(CC(C)C)no2)c2ccccc2[nH+]1 ZINC000192192965 333232219 /nfs/dbraw/zinc/23/22/19/333232219.db2.gz HSDXKGWBXBIMBI-UHFFFAOYSA-N 1 2 297.358 3.704 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)C[C@@H]3C=CCC3)ccc2[nH+]1 ZINC000195056498 333244041 /nfs/dbraw/zinc/24/40/41/333244041.db2.gz PAALVGAMOGSOQX-CYBMUJFWSA-N 1 2 295.386 3.596 20 0 CHADLO CCOc1cccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000193785428 333257633 /nfs/dbraw/zinc/25/76/33/333257633.db2.gz BJVQGMFCLHNTEI-UHFFFAOYSA-N 1 2 284.359 3.658 20 0 CHADLO COc1ccccc1CCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000226796859 333269831 /nfs/dbraw/zinc/26/98/31/333269831.db2.gz UOWHZLARQILPSU-UHFFFAOYSA-N 1 2 296.292 3.764 20 0 CHADLO Cc1cn2cc(NC(=O)C[C@@H](C)c3ccccc3)ccc2[nH+]1 ZINC000522251193 333272372 /nfs/dbraw/zinc/27/23/72/333272372.db2.gz QXEAXVAZDUYUBC-CYBMUJFWSA-N 1 2 293.370 3.775 20 0 CHADLO Cc1cc(NC(=O)[C@H](CC2CCCC2)C(C)C)cc[nH+]1 ZINC000522530104 333289043 /nfs/dbraw/zinc/28/90/43/333289043.db2.gz OUSDNOSHPQKNPH-MRXNPFEDSA-N 1 2 274.408 3.603 20 0 CHADLO Cc1cc(N[C@H]2CCC[C@@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000522566531 333290354 /nfs/dbraw/zinc/29/03/54/333290354.db2.gz KWDLIPVQXGFQDY-SJORKVTESA-N 1 2 293.414 3.833 20 0 CHADLO Cc1ccc(C[C@H](C)N(C)c2cc[nH+]c(C(C)C)n2)cc1 ZINC000523100527 333308788 /nfs/dbraw/zinc/30/87/88/333308788.db2.gz VMJLEEJADXUGEM-HNNXBMFYSA-N 1 2 283.419 3.976 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@H+](Cc2ccncc2Cl)C1 ZINC000173452254 335134980 /nfs/dbraw/zinc/13/49/80/335134980.db2.gz DJBOFKSESMTOAL-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@H]1CCC[N@@H+](Cc2ccncc2Cl)C1 ZINC000173452254 335134982 /nfs/dbraw/zinc/13/49/82/335134982.db2.gz DJBOFKSESMTOAL-JTQLQIEISA-N 1 2 278.705 3.509 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](CC1CCCC1)C(C)C ZINC000523832967 333347303 /nfs/dbraw/zinc/34/73/03/333347303.db2.gz PRDTZWZSMKKNIY-HNNXBMFYSA-N 1 2 274.408 3.603 20 0 CHADLO Cc1ccc(CNc2ccc(N(C)C)[nH+]c2)cc1Cl ZINC000234241261 333359046 /nfs/dbraw/zinc/35/90/46/333359046.db2.gz BYJOKUOQOODKTP-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nnc(C)s1)c1ccccc1OC ZINC000338287906 335135750 /nfs/dbraw/zinc/13/57/50/335135750.db2.gz OAADOBKSISNEAX-MFKMUULPSA-N 1 2 291.420 3.657 20 0 CHADLO CC(C)(C)[C@@H]1CCCC[C@@H]1NC(=O)c1ccc2[nH+]ccn2c1 ZINC000524444252 333362389 /nfs/dbraw/zinc/36/23/89/333362389.db2.gz SWQJZVLBZBOVLJ-CABCVRRESA-N 1 2 299.418 3.669 20 0 CHADLO C[C@H](c1cccc(Cl)c1)N(C)c1nc[nH+]c(N)c1Cl ZINC000235187337 333366468 /nfs/dbraw/zinc/36/64/68/333366468.db2.gz PKBRWBIUOHVGIU-MRVPVSSYSA-N 1 2 297.189 3.563 20 0 CHADLO C[C@H](c1cccc(Cl)c1)N(C)c1[nH+]cnc(N)c1Cl ZINC000235187337 333366470 /nfs/dbraw/zinc/36/64/70/333366470.db2.gz PKBRWBIUOHVGIU-MRVPVSSYSA-N 1 2 297.189 3.563 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccn(-c3ccccc3)n2)c(C)o1 ZINC000237465886 333379466 /nfs/dbraw/zinc/37/94/66/333379466.db2.gz FWLUCVHVHBGNLZ-CQSZACIVSA-N 1 2 295.386 3.933 20 0 CHADLO Fc1ccc([C@H]([NH2+]Cc2cocn2)c2ccccc2)cc1 ZINC000237918182 333382238 /nfs/dbraw/zinc/38/22/38/333382238.db2.gz CBBUNVZYVTVENG-QGZVFWFLSA-N 1 2 282.318 3.693 20 0 CHADLO Clc1ccc2c(c1Cl)OC[C@@H]2Nc1cccc[nH+]1 ZINC000525191365 333402921 /nfs/dbraw/zinc/40/29/21/333402921.db2.gz FGIHPMXKERCEQX-JTQLQIEISA-N 1 2 281.142 3.934 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nn(C)cc2Cl)c(C)c1 ZINC000393536538 333413412 /nfs/dbraw/zinc/41/34/12/333413412.db2.gz XYGYLFBNAJZWAQ-LBPRGKRZSA-N 1 2 277.799 3.541 20 0 CHADLO C[C@H]([NH2+]Cc1cccnc1)c1ncc(-c2ccccc2)o1 ZINC000173794347 335141245 /nfs/dbraw/zinc/14/12/45/335141245.db2.gz GWTCGOQIVJOQTJ-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO CCC(C)(C)OC1C[NH+](Cc2cnc(C3CCC3)s2)C1 ZINC000529640185 333502853 /nfs/dbraw/zinc/50/28/53/333502853.db2.gz IITNTBKZBHZURC-UHFFFAOYSA-N 1 2 294.464 3.800 20 0 CHADLO CSc1ccc(C)c(NCc2[nH]c(C)c(C)[nH+]2)c1 ZINC000576053103 335146695 /nfs/dbraw/zinc/14/66/95/335146695.db2.gz CGPKKTOFLVVFCF-UHFFFAOYSA-N 1 2 261.394 3.669 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](c2ccc(F)c(C)c2)C2CC2)o1 ZINC000538320562 333585099 /nfs/dbraw/zinc/58/50/99/333585099.db2.gz MWHRZXMPYSPJPZ-QGZVFWFLSA-N 1 2 288.366 3.925 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+][C@H](C)C(=O)OC(C)(C)C)c1C ZINC000539289276 333664125 /nfs/dbraw/zinc/66/41/25/333664125.db2.gz KEEQDFVEPQOQFZ-ZIAGYGMSSA-N 1 2 277.408 3.684 20 0 CHADLO CC(C)[C@H]1CCc2ccccc2N1CCCn1cc[nH+]c1 ZINC000539612372 333681422 /nfs/dbraw/zinc/68/14/22/333681422.db2.gz NHEBALVWXBPEPS-QGZVFWFLSA-N 1 2 283.419 3.751 20 0 CHADLO CCc1cc(C)c(C(=O)Nc2ccc3c(c2)[nH+]c(C)n3C)o1 ZINC000128881711 333723207 /nfs/dbraw/zinc/72/32/07/333723207.db2.gz QTWWTAHCGPJZCJ-UHFFFAOYSA-N 1 2 297.358 3.598 20 0 CHADLO CCCc1nc(C[NH2+][C@H](CC(C)C)c2cccs2)no1 ZINC000541626592 333784812 /nfs/dbraw/zinc/78/48/12/333784812.db2.gz BACQMELASVOKTC-GFCCVEGCSA-N 1 2 293.436 3.961 20 0 CHADLO CCCCOc1ccc(C[NH2+][C@H](C)c2ccon2)cc1 ZINC000541778153 333792238 /nfs/dbraw/zinc/79/22/38/333792238.db2.gz SNFNGSIHLVDRRW-CYBMUJFWSA-N 1 2 274.364 3.704 20 0 CHADLO Cc1ccc(OC(F)F)c([C@@H](C)[NH2+][C@H](C)c2ccon2)c1 ZINC000542699176 333837006 /nfs/dbraw/zinc/83/70/06/333837006.db2.gz FFOPXRQCEYGNKP-GHMZBOCLSA-N 1 2 296.317 3.996 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2nc3ccccc3n2C)o1 ZINC000071006991 333841551 /nfs/dbraw/zinc/84/15/51/333841551.db2.gz FPAZQEMRKIUZMZ-TZMCWYRMSA-N 1 2 295.386 3.580 20 0 CHADLO Cc1ccc(NC(=O)c2cc3cc(F)ccc3[nH]2)c(C)[nH+]1 ZINC000130606699 333857718 /nfs/dbraw/zinc/85/77/18/333857718.db2.gz OLPWLQSYDWHLTA-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO CC(C)(C)OCC[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000543707534 333890012 /nfs/dbraw/zinc/89/00/12/333890012.db2.gz MWGGPZHZNFYPBP-CQSZACIVSA-N 1 2 285.378 3.658 20 0 CHADLO CCCC[C@@H](CC)CC(=O)NCc1[nH+]ccn1CC(C)C ZINC000131461966 333942038 /nfs/dbraw/zinc/94/20/38/333942038.db2.gz OVJSROAGXLGTDJ-OAHLLOKOSA-N 1 2 293.455 3.762 20 0 CHADLO C[C@@H]1CCC[C@@H](c2nc(Cc3cn4ccccc4[nH+]3)no2)C1 ZINC000545600171 333990785 /nfs/dbraw/zinc/99/07/85/333990785.db2.gz APLNOXUCLLYLQI-CHWSQXEVSA-N 1 2 296.374 3.602 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cc(O)cc(F)c1 ZINC000546035430 334013697 /nfs/dbraw/zinc/01/36/97/334013697.db2.gz GZPIJMSBWFAMQD-SNVBAGLBSA-N 1 2 277.364 3.712 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC000546224962 334020367 /nfs/dbraw/zinc/02/03/67/334020367.db2.gz XNEDXVIDGUUFSV-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO Cc1ccc(Cc2noc([C@@H](C)c3cccs3)n2)c[nH+]1 ZINC000546341298 334028486 /nfs/dbraw/zinc/02/84/86/334028486.db2.gz JHCAAISYEAMJAT-NSHDSACASA-N 1 2 285.372 3.577 20 0 CHADLO Cc1ccc(Cc2nc(C[C@H](C)c3ccccc3)no2)c[nH+]1 ZINC000546466572 334034020 /nfs/dbraw/zinc/03/40/20/334034020.db2.gz VGWVPHDPWLALGK-ZDUSSCGKSA-N 1 2 293.370 3.710 20 0 CHADLO CCC[N@@H+](Cc1cccc2cccnc21)CC(F)F ZINC000133331756 334038471 /nfs/dbraw/zinc/03/84/71/334038471.db2.gz VSMLOXSNUXXFBO-UHFFFAOYSA-N 1 2 264.319 3.712 20 0 CHADLO CCC[N@H+](Cc1cccc2cccnc21)CC(F)F ZINC000133331756 334038474 /nfs/dbraw/zinc/03/84/74/334038474.db2.gz VSMLOXSNUXXFBO-UHFFFAOYSA-N 1 2 264.319 3.712 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccccc2Cl)nc1 ZINC000133606034 334047361 /nfs/dbraw/zinc/04/73/61/334047361.db2.gz RPXWZQVHRLXRLO-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccccc2Cl)nc1 ZINC000133606034 334047362 /nfs/dbraw/zinc/04/73/62/334047362.db2.gz RPXWZQVHRLXRLO-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO CCC(CC)c1noc(Cc2cn3cccc(C)c3[nH+]2)n1 ZINC000547083506 334065487 /nfs/dbraw/zinc/06/54/87/334065487.db2.gz WOXIXATZSNMBGF-UHFFFAOYSA-N 1 2 284.363 3.520 20 0 CHADLO CCn1ccnc1CNc1ccc(-c2ccccc2)c[nH+]1 ZINC000547341153 334081235 /nfs/dbraw/zinc/08/12/35/334081235.db2.gz VQWHWOOJGCPRBT-UHFFFAOYSA-N 1 2 278.359 3.577 20 0 CHADLO CCn1cc[nH+]c1CNc1ccc(-c2ccccc2)cn1 ZINC000547341153 334081237 /nfs/dbraw/zinc/08/12/37/334081237.db2.gz VQWHWOOJGCPRBT-UHFFFAOYSA-N 1 2 278.359 3.577 20 0 CHADLO c1cc(C[NH2+][C@@H](c2ccccc2)c2ccccn2)co1 ZINC000134282282 334086912 /nfs/dbraw/zinc/08/69/12/334086912.db2.gz CRWDXSIRDYNOLL-KRWDZBQOSA-N 1 2 264.328 3.554 20 0 CHADLO CCc1ccc(Cn2c[nH+]c3cc(F)c(F)cc32)cc1 ZINC000134756131 334102866 /nfs/dbraw/zinc/10/28/66/334102866.db2.gz LOFUPIQWHFPTTJ-UHFFFAOYSA-N 1 2 272.298 3.925 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1ccc(C)o1 ZINC000134774788 334103646 /nfs/dbraw/zinc/10/36/46/334103646.db2.gz RRLQDAAKZBIOJY-NSHDSACASA-N 1 2 263.312 3.587 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1ccccc1F ZINC000134773006 334103807 /nfs/dbraw/zinc/10/38/07/334103807.db2.gz BLYLIWGPUGWCJO-NSHDSACASA-N 1 2 277.314 3.824 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@H](C)c1ncc(C)s1 ZINC000134906954 334107861 /nfs/dbraw/zinc/10/78/61/334107861.db2.gz OWIOJQVTJFDFIZ-GFCCVEGCSA-N 1 2 276.405 3.619 20 0 CHADLO Cc1sc2[nH]c([C@H](C)[N@H+]3[C@H](C)C[C@@H]3C)nc(=O)c2c1C ZINC000547770378 334116314 /nfs/dbraw/zinc/11/63/14/334116314.db2.gz QVYJJYJEZAIANK-XKSSXDPKSA-N 1 2 291.420 3.557 20 0 CHADLO Cc1sc2[nH]c([C@H](C)[N@@H+]3[C@H](C)C[C@@H]3C)nc(=O)c2c1C ZINC000547770378 334116315 /nfs/dbraw/zinc/11/63/15/334116315.db2.gz QVYJJYJEZAIANK-XKSSXDPKSA-N 1 2 291.420 3.557 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H](CCCc3ccccc3)C2)no1 ZINC000135549170 334132842 /nfs/dbraw/zinc/13/28/42/334132842.db2.gz QYDAKMRBSUHXDY-KRWDZBQOSA-N 1 2 299.418 3.613 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H](CCCc3ccccc3)C2)no1 ZINC000135549170 334132843 /nfs/dbraw/zinc/13/28/43/334132843.db2.gz QYDAKMRBSUHXDY-KRWDZBQOSA-N 1 2 299.418 3.613 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@H+]1[C@H](C)C[C@@H]1C ZINC000548098562 334139798 /nfs/dbraw/zinc/13/97/98/334139798.db2.gz AKGCPHSQLMZIHD-AXFHLTTASA-N 1 2 279.334 3.975 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[N@@H+]1[C@H](C)C[C@@H]1C ZINC000548098562 334139800 /nfs/dbraw/zinc/13/98/00/334139800.db2.gz AKGCPHSQLMZIHD-AXFHLTTASA-N 1 2 279.334 3.975 20 0 CHADLO FC(F)C[NH2+]Cc1ccc(-c2ccccc2)s1 ZINC000136568319 334157712 /nfs/dbraw/zinc/15/77/12/334157712.db2.gz IOKXBZVJRWHWOK-UHFFFAOYSA-N 1 2 253.317 3.770 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2nc3ccccc3s2)s1 ZINC000079599920 334157792 /nfs/dbraw/zinc/15/77/92/334157792.db2.gz RYRYJUOVZPJCAT-UHFFFAOYSA-N 1 2 289.429 3.693 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2nc3ccccc3s2)s1 ZINC000079599920 334157794 /nfs/dbraw/zinc/15/77/94/334157794.db2.gz RYRYJUOVZPJCAT-UHFFFAOYSA-N 1 2 289.429 3.693 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1Cc1ccnc2ccccc12 ZINC000548795323 334174690 /nfs/dbraw/zinc/17/46/90/334174690.db2.gz BILBPSIATHDLCU-OAHLLOKOSA-N 1 2 276.330 3.854 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1Cc1ccnc2ccccc12 ZINC000548795323 334174692 /nfs/dbraw/zinc/17/46/92/334174692.db2.gz BILBPSIATHDLCU-OAHLLOKOSA-N 1 2 276.330 3.854 20 0 CHADLO CCC(CC)([NH2+]C/C=C/c1ccc(Cl)cc1)C(=O)OC ZINC000548935657 334180818 /nfs/dbraw/zinc/18/08/18/334180818.db2.gz RIYMPJYDLVBUJU-VOTSOKGWSA-N 1 2 295.810 3.675 20 0 CHADLO Cc1cc(N2CC[C@H](OC(F)F)C2)c2cccc(F)c2[nH+]1 ZINC000548990793 334182193 /nfs/dbraw/zinc/18/21/93/334182193.db2.gz VQPSAVNTDZNIRZ-JTQLQIEISA-N 1 2 296.292 3.500 20 0 CHADLO Cc1cccc([C@H]([NH2+]Cc2cc[nH]n2)c2cccc(F)c2)c1 ZINC000549033953 334182863 /nfs/dbraw/zinc/18/28/63/334182863.db2.gz MJUFLDRETOFRBE-SFHVURJKSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1cc(C)cc(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)c1 ZINC000549051639 334183959 /nfs/dbraw/zinc/18/39/59/334183959.db2.gz LLSHXPDTLTXJFD-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO c1ccc(Oc2cccc(C[N@@H+]3CCOCC34CC4)c2)cc1 ZINC000549077982 334184922 /nfs/dbraw/zinc/18/49/22/334184922.db2.gz WHVKSKODHBUWDL-UHFFFAOYSA-N 1 2 295.382 3.844 20 0 CHADLO c1ccc(Oc2cccc(C[N@H+]3CCOCC34CC4)c2)cc1 ZINC000549077982 334184924 /nfs/dbraw/zinc/18/49/24/334184924.db2.gz WHVKSKODHBUWDL-UHFFFAOYSA-N 1 2 295.382 3.844 20 0 CHADLO Cc1ccsc1CN(C)c1[nH+]c2ccc(F)cc2n1C ZINC000549108248 334185544 /nfs/dbraw/zinc/18/55/44/334185544.db2.gz AZFNZHRMLSVARO-UHFFFAOYSA-N 1 2 289.379 3.719 20 0 CHADLO F[C@@]1(c2cccc(Cl)c2)CC[N@H+](Cc2cncs2)C1 ZINC000549426008 334193812 /nfs/dbraw/zinc/19/38/12/334193812.db2.gz VNTOXCYLWCKQNX-AWEZNQCLSA-N 1 2 296.798 3.867 20 0 CHADLO F[C@@]1(c2cccc(Cl)c2)CC[N@@H+](Cc2cncs2)C1 ZINC000549426008 334193813 /nfs/dbraw/zinc/19/38/13/334193813.db2.gz VNTOXCYLWCKQNX-AWEZNQCLSA-N 1 2 296.798 3.867 20 0 CHADLO Clc1ccccc1[C@H]1C[C@H](Nc2cc[nH+]c(C3CC3)n2)C1 ZINC000549703194 334202245 /nfs/dbraw/zinc/20/22/45/334202245.db2.gz ZQCFOSBKRXPQKD-JOCQHMNTSA-N 1 2 299.805 3.787 20 0 CHADLO COc1ccc(-c2ccncc2)cc1C[NH2+]CC(C)(F)F ZINC000549866667 334207342 /nfs/dbraw/zinc/20/73/42/334207342.db2.gz SBLXSEAGSIFLLK-UHFFFAOYSA-N 1 2 292.329 3.502 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@@H+]1CCC[C@H]1CF ZINC000550029541 334211835 /nfs/dbraw/zinc/21/18/35/334211835.db2.gz VLDYABWVEPWTIU-UWVGGRQHSA-N 1 2 259.727 3.974 20 0 CHADLO C[C@@H](c1cccc(Cl)c1F)[N@H+]1CCC[C@H]1CF ZINC000550029541 334211837 /nfs/dbraw/zinc/21/18/37/334211837.db2.gz VLDYABWVEPWTIU-UWVGGRQHSA-N 1 2 259.727 3.974 20 0 CHADLO COc1ccc[nH+]c1NCc1cc(C)cc(Cl)c1 ZINC000550171485 334214955 /nfs/dbraw/zinc/21/49/55/334214955.db2.gz MCDDGJSMYRMRTF-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[N@@H+]2C[C@@H](C)[C@H]2C)c(Cl)c1 ZINC000550304942 334219489 /nfs/dbraw/zinc/21/94/89/334219489.db2.gz PWQWGAUYEWPXBZ-UPJWGTAASA-N 1 2 294.826 3.624 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[N@H+]2C[C@@H](C)[C@H]2C)c(Cl)c1 ZINC000550304942 334219490 /nfs/dbraw/zinc/21/94/90/334219490.db2.gz PWQWGAUYEWPXBZ-UPJWGTAASA-N 1 2 294.826 3.624 20 0 CHADLO CCCC[C@H](C)[N@H+](CCCC)Cc1nsc(N(C)C)n1 ZINC000550370397 334221082 /nfs/dbraw/zinc/22/10/82/334221082.db2.gz PEFJBLQEMUBXFL-ZDUSSCGKSA-N 1 2 298.500 3.785 20 0 CHADLO CCCC[C@H](C)[N@@H+](CCCC)Cc1nsc(N(C)C)n1 ZINC000550370397 334221083 /nfs/dbraw/zinc/22/10/83/334221083.db2.gz PEFJBLQEMUBXFL-ZDUSSCGKSA-N 1 2 298.500 3.785 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)[C@@H]1CC2CCC1CC2 ZINC000550644766 334226050 /nfs/dbraw/zinc/22/60/50/334226050.db2.gz OFJGHRVDSUXWHM-SEEARECTSA-N 1 2 295.386 3.842 20 0 CHADLO Cc1ccc(CNc2[nH+]c3cc(Cl)ccc3n2C)s1 ZINC000551249409 334242987 /nfs/dbraw/zinc/24/29/87/334242987.db2.gz QCQKYRUGWZQRTN-UHFFFAOYSA-N 1 2 291.807 3.631 20 0 CHADLO CCOc1cc(NC2CC[NH+](CC(F)F)CC2)ccc1C ZINC000551566283 334254607 /nfs/dbraw/zinc/25/46/07/334254607.db2.gz INMQYVOPUGHXEZ-UHFFFAOYSA-N 1 2 298.377 3.535 20 0 CHADLO Cc1cc(CNc2ccc(Cn3cc[nH+]c3)cn2)c(C)s1 ZINC000552058780 334282745 /nfs/dbraw/zinc/28/27/45/334282745.db2.gz IIROZUFQQVUMQW-UHFFFAOYSA-N 1 2 298.415 3.617 20 0 CHADLO CCOC1CC([NH2+]CC(F)(F)c2ccc(Cl)cc2)C1 ZINC000552098258 334289257 /nfs/dbraw/zinc/28/92/57/334289257.db2.gz ZKWZMAKLKBIDET-UHFFFAOYSA-N 1 2 289.753 3.589 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H]1CC=C(C)CC1)c1ccc(C)c(C)c1 ZINC000552186878 334300072 /nfs/dbraw/zinc/30/00/72/334300072.db2.gz DOEVSKDUHPZUKX-DLBZAZTESA-N 1 2 287.403 3.606 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC=C(C)CC1)c1ccc(C)c(C)c1 ZINC000552186879 334300118 /nfs/dbraw/zinc/30/01/18/334300118.db2.gz DOEVSKDUHPZUKX-IAGOWNOFSA-N 1 2 287.403 3.606 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)[NH2+][C@H](C)c1ncc[nH]1 ZINC000552240037 334307059 /nfs/dbraw/zinc/30/70/59/334307059.db2.gz NNJBTTHXXIJPSW-CHWSQXEVSA-N 1 2 273.380 3.609 20 0 CHADLO Cc1csc([C@@H]2COCC[N@H+]2C[C@H]2CCC[C@H](C)C2)n1 ZINC000249978264 334342404 /nfs/dbraw/zinc/34/24/04/334342404.db2.gz YKFQMJDGMJULBP-QEJZJMRPSA-N 1 2 294.464 3.651 20 0 CHADLO Cc1csc([C@@H]2COCC[N@@H+]2C[C@H]2CCC[C@H](C)C2)n1 ZINC000249978264 334342406 /nfs/dbraw/zinc/34/24/06/334342406.db2.gz YKFQMJDGMJULBP-QEJZJMRPSA-N 1 2 294.464 3.651 20 0 CHADLO Cc1csc([C@@H]2COCC[N@H+]2C[C@@H]2CCC[C@@H](C)C2)n1 ZINC000249978269 334342438 /nfs/dbraw/zinc/34/24/38/334342438.db2.gz YKFQMJDGMJULBP-YUELXQCFSA-N 1 2 294.464 3.651 20 0 CHADLO Cc1csc([C@@H]2COCC[N@@H+]2C[C@@H]2CCC[C@@H](C)C2)n1 ZINC000249978269 334342440 /nfs/dbraw/zinc/34/24/40/334342440.db2.gz YKFQMJDGMJULBP-YUELXQCFSA-N 1 2 294.464 3.651 20 0 CHADLO CCCCC[C@H]1CCCN1c1cc(N2CCCC2)[nH+]cn1 ZINC000553147519 334364972 /nfs/dbraw/zinc/36/49/72/334364972.db2.gz CWHZRCBMGPXGEC-HNNXBMFYSA-N 1 2 288.439 3.626 20 0 CHADLO CCCCC[C@H]1CCCN1c1cc(N2CCCC2)nc[nH+]1 ZINC000553147519 334364974 /nfs/dbraw/zinc/36/49/74/334364974.db2.gz CWHZRCBMGPXGEC-HNNXBMFYSA-N 1 2 288.439 3.626 20 0 CHADLO C/C=C/C[C@H](CO)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000553192000 334367878 /nfs/dbraw/zinc/36/78/78/334367878.db2.gz XCDFDNNOIFLENR-KXMPLOMGSA-N 1 2 276.767 3.627 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2nc3c(s2)CCCC3)s1 ZINC000091729483 334382781 /nfs/dbraw/zinc/38/27/81/334382781.db2.gz DORXYEKOIPRDQC-SNVBAGLBSA-N 1 2 293.461 3.638 20 0 CHADLO CCc1nc(N2CC[C@H]2c2ccc(Cl)cc2)cc(C)[nH+]1 ZINC000553400230 334382785 /nfs/dbraw/zinc/38/27/85/334382785.db2.gz SRVLHROVIYMBPP-AWEZNQCLSA-N 1 2 287.794 3.952 20 0 CHADLO c1[nH+]c2c(cc1NC1CCC3(CCCO3)CC1)CCCC2 ZINC000555331651 334474809 /nfs/dbraw/zinc/47/48/09/334474809.db2.gz MSZPJNWBEYVMDN-UHFFFAOYSA-N 1 2 286.419 3.864 20 0 CHADLO Cc1coc(C)c1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000555842420 334489953 /nfs/dbraw/zinc/48/99/53/334489953.db2.gz HPIYUAACZOWSOW-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO CC1(CC(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)CCCC1 ZINC000566646984 334658927 /nfs/dbraw/zinc/65/89/27/334658927.db2.gz ZWOAKCOCWYPXSY-UHFFFAOYSA-N 1 2 297.402 3.663 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)C(CC(C)C)CC(C)C)[nH+]1 ZINC000566979003 334671806 /nfs/dbraw/zinc/67/18/06/334671806.db2.gz IYXHDBZLFVEYGK-UHFFFAOYSA-N 1 2 293.455 3.778 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccc2c(c1)CCCC2 ZINC000154346743 334693021 /nfs/dbraw/zinc/69/30/21/334693021.db2.gz VQTWPOVSJPIPAD-LBPRGKRZSA-N 1 2 297.402 3.677 20 0 CHADLO FC(F)(F)C1C[NH+](CCSc2ccc(Cl)cc2)C1 ZINC000567337567 334705400 /nfs/dbraw/zinc/70/54/00/334705400.db2.gz LOSNDATWOJIAOC-UHFFFAOYSA-N 1 2 295.757 3.926 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCC3CCC3)c2c1 ZINC000567341273 334705568 /nfs/dbraw/zinc/70/55/68/334705568.db2.gz DAJRHVHJFNIDSL-UHFFFAOYSA-N 1 2 257.333 3.731 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1cc(F)ccc1F)c1ccco1 ZINC000156565658 334744422 /nfs/dbraw/zinc/74/44/22/334744422.db2.gz JJKTZNHGMLWDKK-QMTHXVAHSA-N 1 2 281.302 3.596 20 0 CHADLO C[C@H](CC(=O)N(CC1CCC1)c1ccccc1)n1cc[nH+]c1 ZINC000567990921 334782037 /nfs/dbraw/zinc/78/20/37/334782037.db2.gz DLMVBNBZVLYKBC-OAHLLOKOSA-N 1 2 297.402 3.668 20 0 CHADLO CCCCn1cc[nH+]c1-c1cccc(NC(=O)CC)c1 ZINC000157914132 334787402 /nfs/dbraw/zinc/78/74/02/334787402.db2.gz BCOBZBUKDCYRCG-UHFFFAOYSA-N 1 2 271.364 3.699 20 0 CHADLO Cc1cc(F)cc(NCCCc2c[nH+]ccc2C)c1 ZINC000568564399 334830363 /nfs/dbraw/zinc/83/03/63/334830363.db2.gz UVIPJUVZRFEUIC-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO Cc1nc(N2C[C@H](c3ccccc3)[C@@H]3CCC[C@H]32)cc[nH+]1 ZINC000569015138 334851489 /nfs/dbraw/zinc/85/14/89/334851489.db2.gz CLQBXDANQHFKCM-GVDBMIGSSA-N 1 2 279.387 3.558 20 0 CHADLO Cc1cc(CNc2c[nH]c[nH+]2)ccc1Oc1cccnc1 ZINC000569309471 334866236 /nfs/dbraw/zinc/86/62/36/334866236.db2.gz OOTCKGMVQSBHPX-UHFFFAOYSA-N 1 2 280.331 3.518 20 0 CHADLO CCc1cccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)c1CC ZINC000573210455 334918134 /nfs/dbraw/zinc/91/81/34/334918134.db2.gz KLOODBDTAJUHQN-CYBMUJFWSA-N 1 2 285.391 3.598 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(Cl)ccc2F)[C@@H]1[C@H]1CCCO1 ZINC000576387793 335197056 /nfs/dbraw/zinc/19/70/56/335197056.db2.gz UIQWAZHXKQVFRP-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(Cl)ccc2F)[C@@H]1[C@H]1CCCO1 ZINC000576387793 335197058 /nfs/dbraw/zinc/19/70/58/335197058.db2.gz UIQWAZHXKQVFRP-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cccc(Cl)c1C ZINC000192501947 335259380 /nfs/dbraw/zinc/25/93/80/335259380.db2.gz NIJLWWDXOHYKRV-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(C(C)(C)C)s2)C[C@H](C)S1 ZINC000576908388 335262920 /nfs/dbraw/zinc/26/29/20/335262920.db2.gz BPEFYWPHJSAOIX-PHIMTYICSA-N 1 2 284.494 3.766 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(C(C)(C)C)s2)C[C@H](C)S1 ZINC000576908388 335262922 /nfs/dbraw/zinc/26/29/22/335262922.db2.gz BPEFYWPHJSAOIX-PHIMTYICSA-N 1 2 284.494 3.766 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc2c1OC(C)(C)C2 ZINC000580551811 335278986 /nfs/dbraw/zinc/27/89/86/335278986.db2.gz KIBFFZZZMPDMGR-UHFFFAOYSA-N 1 2 285.391 3.790 20 0 CHADLO Cc1ccc(NC(=O)N(C)[C@H](C)c2cccc(O)c2)c(C)[nH+]1 ZINC000176727869 335328205 /nfs/dbraw/zinc/32/82/05/335328205.db2.gz YMHKZBWIXXJHME-CYBMUJFWSA-N 1 2 299.374 3.629 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)Nc1ccc2ccoc2c1 ZINC000577353254 335341737 /nfs/dbraw/zinc/34/17/37/335341737.db2.gz ADARNYLLOGZKFY-NSHDSACASA-N 1 2 298.346 3.532 20 0 CHADLO Cc1cccc(N(C)C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000577383799 335345814 /nfs/dbraw/zinc/34/58/14/335345814.db2.gz JJAGOKZLDMBRQS-UHFFFAOYSA-N 1 2 283.375 3.984 20 0 CHADLO Fc1ccccc1C[C@H]([NH2+]Cc1ccn[nH]1)c1ccccc1 ZINC000577689273 335388914 /nfs/dbraw/zinc/38/89/14/335388914.db2.gz BMJIBLQJGSCCOC-SFHVURJKSA-N 1 2 295.361 3.622 20 0 CHADLO Cc1c[nH+]c(C)nc1N[C@H]1C[C@H](c2ccccc2F)C1 ZINC000577768412 335399654 /nfs/dbraw/zinc/39/96/54/335399654.db2.gz ASYVVAUUMMRFJA-JOCQHMNTSA-N 1 2 271.339 3.591 20 0 CHADLO CC(C)=CCC[C@@H](C)Nc1cc(CSCCO)cc[nH+]1 ZINC000179799576 335519830 /nfs/dbraw/zinc/51/98/30/335519830.db2.gz JDWZRJPBFVZENT-CQSZACIVSA-N 1 2 294.464 3.854 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(-c2ccc(C(C)C)nc2)n1 ZINC000351240058 335586230 /nfs/dbraw/zinc/58/62/30/335586230.db2.gz WIBCOGTUSUELKS-UHFFFAOYSA-N 1 2 294.358 3.549 20 0 CHADLO CC(C)[C@H]1CC[C@@H]1Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000578400977 335627561 /nfs/dbraw/zinc/62/75/61/335627561.db2.gz UWGIRKFDDWSJDO-CVEARBPZSA-N 1 2 269.392 3.934 20 0 CHADLO FCC[NH2+]Cc1csc(-c2ccc(Cl)cc2)n1 ZINC000579321498 335734284 /nfs/dbraw/zinc/73/42/84/335734284.db2.gz SWPZWRNJQBHHGR-UHFFFAOYSA-N 1 2 270.760 3.523 20 0 CHADLO O=C(NCCC1=CCCCCC1)Nc1ccn2cc[nH+]c2c1 ZINC000579651616 335835291 /nfs/dbraw/zinc/83/52/91/335835291.db2.gz BTKLSCOVBNBXQQ-UHFFFAOYSA-N 1 2 298.390 3.736 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccc(C)cc2Cl)o1 ZINC000579721202 335873276 /nfs/dbraw/zinc/87/32/76/335873276.db2.gz QHIRZGQCXZLPCL-UHFFFAOYSA-N 1 2 278.783 3.879 20 0 CHADLO CCCCc1noc(C[NH2+]C2(c3ccccc3)CCCC2)n1 ZINC000183534460 335896505 /nfs/dbraw/zinc/89/65/05/335896505.db2.gz XHJVKXLMGDCDKV-UHFFFAOYSA-N 1 2 299.418 3.971 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc2ncsc2c1)c1csnn1 ZINC000581052852 336001350 /nfs/dbraw/zinc/00/13/50/336001350.db2.gz ARCKLAGNSDGECY-IUCAKERBSA-N 1 2 290.417 3.560 20 0 CHADLO c1ccc(C[C@@H]2CCC[C@@H]2Nc2cc[nH+]c(C3CC3)n2)cc1 ZINC000581218752 336026044 /nfs/dbraw/zinc/02/60/44/336026044.db2.gz WNKCRALHYWQFQY-IRXDYDNUSA-N 1 2 293.414 3.599 20 0 CHADLO Cc1cc(Cl)c(NC(=O)C[C@H](C)n2cc[nH+]c2)cc1C ZINC000581313861 336046801 /nfs/dbraw/zinc/04/68/01/336046801.db2.gz UOOWIPGCQTWAAC-LBPRGKRZSA-N 1 2 291.782 3.743 20 0 CHADLO CCOc1cc(C)ccc1[NH2+][C@H](CC)[C@@H]1CCOC1 ZINC000381686725 336070947 /nfs/dbraw/zinc/07/09/47/336070947.db2.gz VHPBDUBUQZLVGA-ZIAGYGMSSA-N 1 2 263.381 3.621 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccc(Cl)c(F)c1 ZINC000382166853 336083468 /nfs/dbraw/zinc/08/34/68/336083468.db2.gz VDEKIKDQPKQPJB-ZETCQYMHSA-N 1 2 251.679 3.785 20 0 CHADLO CCS(=O)(=O)Nc1ccc([NH2+]C[C@@H](C)CC(C)C)cc1 ZINC000382976660 336100376 /nfs/dbraw/zinc/10/03/76/336100376.db2.gz RQQJPSAAWCENBE-ZDUSSCGKSA-N 1 2 298.452 3.542 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@H+](C)Cc1ncccc1O ZINC000581659437 336119401 /nfs/dbraw/zinc/11/94/01/336119401.db2.gz IEUPDKWAJBWSFC-LLVKDONJSA-N 1 2 299.399 3.590 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@@H+](C)Cc1ncccc1O ZINC000581659437 336119402 /nfs/dbraw/zinc/11/94/02/336119402.db2.gz IEUPDKWAJBWSFC-LLVKDONJSA-N 1 2 299.399 3.590 20 0 CHADLO CCCCn1ncc(N[C@H](C)c2cn3ccccc3[nH+]2)c1C ZINC000581735960 336140358 /nfs/dbraw/zinc/14/03/58/336140358.db2.gz HRYMLCIJVOGQTI-CYBMUJFWSA-N 1 2 297.406 3.812 20 0 CHADLO CC(C)[N@@H+](Cc1cccc(Br)c1)CC(F)F ZINC000386815122 336179996 /nfs/dbraw/zinc/17/99/96/336179996.db2.gz QJOVMRSFOHVWPG-UHFFFAOYSA-N 1 2 292.167 3.925 20 0 CHADLO CC(C)[N@H+](Cc1cccc(Br)c1)CC(F)F ZINC000386815122 336179997 /nfs/dbraw/zinc/17/99/97/336179997.db2.gz QJOVMRSFOHVWPG-UHFFFAOYSA-N 1 2 292.167 3.925 20 0 CHADLO CCC[N@H+](CCCC(=O)c1ccccc1)CC(F)F ZINC000387291988 336191166 /nfs/dbraw/zinc/19/11/66/336191166.db2.gz OQCABRCEIBOEMO-UHFFFAOYSA-N 1 2 269.335 3.627 20 0 CHADLO CCC[N@@H+](CCCC(=O)c1ccccc1)CC(F)F ZINC000387291988 336191167 /nfs/dbraw/zinc/19/11/67/336191167.db2.gz OQCABRCEIBOEMO-UHFFFAOYSA-N 1 2 269.335 3.627 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)o1 ZINC000354700021 533743127 /nfs/dbraw/zinc/74/31/27/533743127.db2.gz GWMQMZSEKKXFQU-UOAMXJAYSA-N 1 2 287.403 3.546 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)o1 ZINC000354700021 533743133 /nfs/dbraw/zinc/74/31/33/533743133.db2.gz GWMQMZSEKKXFQU-UOAMXJAYSA-N 1 2 287.403 3.546 20 0 CHADLO C[C@H]1CC[C@H](c2ccccc2)[N@H+](Cc2noc(C3CC3)n2)C1 ZINC000352805278 533767707 /nfs/dbraw/zinc/76/77/07/533767707.db2.gz RMMHZWVXFKXRHA-XJKSGUPXSA-N 1 2 297.402 3.920 20 0 CHADLO C[C@H]1CC[C@H](c2ccccc2)[N@@H+](Cc2noc(C3CC3)n2)C1 ZINC000352805278 533767716 /nfs/dbraw/zinc/76/77/16/533767716.db2.gz RMMHZWVXFKXRHA-XJKSGUPXSA-N 1 2 297.402 3.920 20 0 CHADLO C[C@@H]1CCC[C@@H]1CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000347387592 533782281 /nfs/dbraw/zinc/78/22/81/533782281.db2.gz GIJPGUUHZAGYIL-ZIAGYGMSSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccnn2-c2ccc(F)cc2)o1 ZINC000353387608 533879027 /nfs/dbraw/zinc/87/90/27/533879027.db2.gz YBCNMGQGVMLJGN-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccnn2-c2ccc(F)cc2)o1 ZINC000353387608 533879033 /nfs/dbraw/zinc/87/90/33/533879033.db2.gz YBCNMGQGVMLJGN-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO COc1ccc(F)c(NCCCc2c[nH+]ccc2C)c1 ZINC000347911944 533916323 /nfs/dbraw/zinc/91/63/23/533916323.db2.gz LGGQIBAWPKIEPG-UHFFFAOYSA-N 1 2 274.339 3.582 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1ccn(C(F)F)n1 ZINC000347670927 534059728 /nfs/dbraw/zinc/05/97/28/534059728.db2.gz BEVBFLBTUAHCRJ-JTQLQIEISA-N 1 2 283.297 3.610 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1ccn(C(F)F)n1 ZINC000347670927 534059734 /nfs/dbraw/zinc/05/97/34/534059734.db2.gz BEVBFLBTUAHCRJ-JTQLQIEISA-N 1 2 283.297 3.610 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@@H](C)c2cccnc2)c(C)[nH+]1 ZINC000336144945 534074143 /nfs/dbraw/zinc/07/41/43/534074143.db2.gz CFYRAEBBUHSTML-AWEZNQCLSA-N 1 2 298.390 3.627 20 0 CHADLO c1c[nH+]c(-c2cccc(CNc3nccc4occc43)c2)[nH]1 ZINC000361042243 518203806 /nfs/dbraw/zinc/20/38/06/518203806.db2.gz JPFRXQVCHPKSIA-UHFFFAOYSA-N 1 2 290.326 3.830 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1ccc2sccc2c1 ZINC000350486707 534378381 /nfs/dbraw/zinc/37/83/81/534378381.db2.gz YJCTWKQDWTWLPL-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO CC(C)(C)c1cnc(CNc2cc[nH+]cc2F)s1 ZINC000279052532 519073068 /nfs/dbraw/zinc/07/30/68/519073068.db2.gz BFAGXAXINKUTID-UHFFFAOYSA-N 1 2 265.357 3.587 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@H](c2ccccc2Cl)C(C)C)n1 ZINC000113256783 534407522 /nfs/dbraw/zinc/40/75/22/534407522.db2.gz HTZPGQKCUNQYHH-HNNXBMFYSA-N 1 2 293.798 3.861 20 0 CHADLO Cc1noc(C[N@H+](C)[C@H](c2ccccc2Cl)C(C)C)n1 ZINC000113256783 534407524 /nfs/dbraw/zinc/40/75/24/534407524.db2.gz HTZPGQKCUNQYHH-HNNXBMFYSA-N 1 2 293.798 3.861 20 0 CHADLO Cc1noc(C[NH2+][C@@H](Cc2ccccc2)c2cccs2)n1 ZINC000347924649 534415214 /nfs/dbraw/zinc/41/52/14/534415214.db2.gz QQOPKXCDTRAFPO-AWEZNQCLSA-N 1 2 299.399 3.513 20 0 CHADLO C=Cn1cc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000278207481 519324546 /nfs/dbraw/zinc/32/45/46/519324546.db2.gz JUWAETMNOULDGT-OAHLLOKOSA-N 1 2 273.767 3.584 20 0 CHADLO C=Cn1cc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000278207481 519324551 /nfs/dbraw/zinc/32/45/51/519324551.db2.gz JUWAETMNOULDGT-OAHLLOKOSA-N 1 2 273.767 3.584 20 0 CHADLO CC(C)Cn1cc(C[N@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000340946020 519501290 /nfs/dbraw/zinc/50/12/90/519501290.db2.gz ILYDMFMLSCXACJ-UHFFFAOYSA-N 1 2 297.855 3.886 20 0 CHADLO CC(C)Cn1cc(C[N@@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000340946020 519501296 /nfs/dbraw/zinc/50/12/96/519501296.db2.gz ILYDMFMLSCXACJ-UHFFFAOYSA-N 1 2 297.855 3.886 20 0 CHADLO CC(C)Cn1cc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)cn1 ZINC000292815733 519504077 /nfs/dbraw/zinc/50/40/77/519504077.db2.gz PGDYWXLQNRAPDT-KRWDZBQOSA-N 1 2 298.434 3.517 20 0 CHADLO CC(C)Cn1cc(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)cn1 ZINC000292815733 519504085 /nfs/dbraw/zinc/50/40/85/519504085.db2.gz PGDYWXLQNRAPDT-KRWDZBQOSA-N 1 2 298.434 3.517 20 0 CHADLO CC(C)[N@H+](Cc1cscn1)Cc1ccc(F)cc1 ZINC000263992112 519663538 /nfs/dbraw/zinc/66/35/38/519663538.db2.gz BSJWYNXVASTFKQ-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO CC(C)[N@@H+](Cc1cscn1)Cc1ccc(F)cc1 ZINC000263992112 519663540 /nfs/dbraw/zinc/66/35/40/519663540.db2.gz BSJWYNXVASTFKQ-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO CC(C)O[C@@H](CSCCn1cc[nH+]c1)c1ccccc1 ZINC000292117299 519776729 /nfs/dbraw/zinc/77/67/29/519776729.db2.gz RAITVOICDHBWDJ-INIZCTEOSA-N 1 2 290.432 3.783 20 0 CHADLO CC(C)[C@@H]1CCC[C@H]([NH2+][C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000280078188 519802893 /nfs/dbraw/zinc/80/28/93/519802893.db2.gz ZRJCTYOVMGWVSR-IXDOHACOSA-N 1 2 298.434 3.699 20 0 CHADLO CC(C)c1ccc(C(=O)N[C@@H](c2[nH]cc[nH+]2)C(C)C)s1 ZINC000338880651 519835209 /nfs/dbraw/zinc/83/52/09/519835209.db2.gz LLLVJTDZIHWPHN-CYBMUJFWSA-N 1 2 291.420 3.722 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@H+](C)Cc2ccon2)cc1 ZINC000265872062 519839026 /nfs/dbraw/zinc/83/90/26/519839026.db2.gz VXYIEKCBVZZJHU-CYBMUJFWSA-N 1 2 258.365 3.991 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@@H+](C)Cc2ccon2)cc1 ZINC000265872062 519839027 /nfs/dbraw/zinc/83/90/27/519839027.db2.gz VXYIEKCBVZZJHU-CYBMUJFWSA-N 1 2 258.365 3.991 20 0 CHADLO CC(C)c1ccc([C@H]2C[C@H]2C(=O)Nc2ccc(N)[nH+]c2)cc1 ZINC000236848498 519840424 /nfs/dbraw/zinc/84/04/24/519840424.db2.gz JAGKULHDYDPXRE-HZPDHXFCSA-N 1 2 295.386 3.529 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2sccc2Cl)cn1 ZINC000338543076 519874338 /nfs/dbraw/zinc/87/43/38/519874338.db2.gz WIIDVUKXLVBULV-UHFFFAOYSA-N 1 2 295.839 3.947 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2sccc2Cl)cn1 ZINC000338543076 519874339 /nfs/dbraw/zinc/87/43/39/519874339.db2.gz WIIDVUKXLVBULV-UHFFFAOYSA-N 1 2 295.839 3.947 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CCC[C@H]2c2ccco2)n1 ZINC000246807283 519909794 /nfs/dbraw/zinc/90/97/94/519909794.db2.gz BIDVFACFTIXRHI-RYUDHWBXSA-N 1 2 275.352 3.684 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CCC[C@H]2c2ccco2)n1 ZINC000246807283 519909798 /nfs/dbraw/zinc/90/97/98/519909798.db2.gz BIDVFACFTIXRHI-RYUDHWBXSA-N 1 2 275.352 3.684 20 0 CHADLO CC(C)n1cc[nH+]c1CN(C)[C@@H](C)c1cc(F)ccc1F ZINC000264583087 520027850 /nfs/dbraw/zinc/02/78/50/520027850.db2.gz ZPBWQOXQMCZPSF-LBPRGKRZSA-N 1 2 293.361 3.935 20 0 CHADLO Fc1ccc(C[NH+]2Cc3ccccc3C2)cc1Cl ZINC000353400597 534464157 /nfs/dbraw/zinc/46/41/57/534464157.db2.gz FYHOLVYYOXNYJO-UHFFFAOYSA-N 1 2 261.727 3.995 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC000337193212 520106915 /nfs/dbraw/zinc/10/69/15/520106915.db2.gz VEYRYYXGTQALJT-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CCc2ccc(Cl)cc2C1 ZINC000337193212 520106921 /nfs/dbraw/zinc/10/69/21/520106921.db2.gz VEYRYYXGTQALJT-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CCOCc1cccc(NCCCc2c[nH+]ccc2C)c1 ZINC000289186553 520207570 /nfs/dbraw/zinc/20/75/70/520207570.db2.gz GMVWNUFWZCEMGM-UHFFFAOYSA-N 1 2 284.403 3.971 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3[C@H]4CCO[C@H]4C34CCC4)c2c1 ZINC000354877095 534509485 /nfs/dbraw/zinc/50/94/85/534509485.db2.gz KVLDDXXZQINDSO-FVQBIDKESA-N 1 2 298.361 3.743 20 0 CHADLO CC1(CC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CCCC1 ZINC000340783757 520696490 /nfs/dbraw/zinc/69/64/90/520696490.db2.gz YMCJGJOHOVHUBU-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H]2CC=CCC2)[nH+]1 ZINC000336109475 534519338 /nfs/dbraw/zinc/51/93/38/534519338.db2.gz HDJQTNIKJOMUNT-CYBMUJFWSA-N 1 2 281.359 3.680 20 0 CHADLO CCC[C@H]1CCCCN1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000290771115 521203428 /nfs/dbraw/zinc/20/34/28/521203428.db2.gz SHHIJKNGQLGBNO-AWEZNQCLSA-N 1 2 286.379 3.521 20 0 CHADLO CC[N@H+](Cc1nnc(C)o1)Cc1ccc2ccccc2c1 ZINC000299137787 521465252 /nfs/dbraw/zinc/46/52/52/521465252.db2.gz QGTUUBGWDVBWQY-UHFFFAOYSA-N 1 2 281.359 3.553 20 0 CHADLO CC[N@@H+](Cc1nnc(C)o1)Cc1ccc2ccccc2c1 ZINC000299137787 521465256 /nfs/dbraw/zinc/46/52/56/521465256.db2.gz QGTUUBGWDVBWQY-UHFFFAOYSA-N 1 2 281.359 3.553 20 0 CHADLO CC[N@H+](Cc1nonc1C)[C@@H](C)c1cc2ccccc2o1 ZINC000265147170 521470875 /nfs/dbraw/zinc/47/08/75/521470875.db2.gz RVFSHYBCSZMKNU-LBPRGKRZSA-N 1 2 285.347 3.707 20 0 CHADLO CC[N@@H+](Cc1nonc1C)[C@@H](C)c1cc2ccccc2o1 ZINC000265147170 521470888 /nfs/dbraw/zinc/47/08/88/521470888.db2.gz RVFSHYBCSZMKNU-LBPRGKRZSA-N 1 2 285.347 3.707 20 0 CHADLO CCC(CC)c1cc(CNc2cc[nH+]c(C3CC3)n2)on1 ZINC000266209275 521634855 /nfs/dbraw/zinc/63/48/55/521634855.db2.gz FUIQEIFWFBYNOT-UHFFFAOYSA-N 1 2 286.379 3.858 20 0 CHADLO CCc1ccccc1C[NH2+]C1(c2noc(C)n2)CCCCC1 ZINC000275561467 521840119 /nfs/dbraw/zinc/84/01/19/521840119.db2.gz FBRKEWZPCNPPCG-UHFFFAOYSA-N 1 2 299.418 3.890 20 0 CHADLO CC[C@H](C)[C@@](C)(O)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354342811 521905828 /nfs/dbraw/zinc/90/58/28/521905828.db2.gz GQXJVLVYNRKKAV-ZBEGNZNMSA-N 1 2 276.355 3.583 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2COc3ccc(Cl)cc32)cs1 ZINC000336618366 534602858 /nfs/dbraw/zinc/60/28/58/534602858.db2.gz FWDNBYXIUDHPOT-UFBFGSQYSA-N 1 2 294.807 3.889 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2cc3ccccc3o2)[nH]1 ZINC000277148142 522053320 /nfs/dbraw/zinc/05/33/20/522053320.db2.gz FMRXWCZKWKPMFS-RYUDHWBXSA-N 1 2 298.390 3.915 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H](C)Cc1ccccc1F ZINC000265693631 522078408 /nfs/dbraw/zinc/07/84/08/522078408.db2.gz ODXWIVCMDHBFCL-LBPRGKRZSA-N 1 2 286.350 3.600 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@H](C)c1ccc(F)cc1 ZINC000265058760 522078798 /nfs/dbraw/zinc/07/87/98/522078798.db2.gz QFGQDYQQZURKHD-LBPRGKRZSA-N 1 2 286.350 3.915 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H](C)Cc1ccccc1F ZINC000265693630 522083620 /nfs/dbraw/zinc/08/36/20/522083620.db2.gz ODXWIVCMDHBFCL-GFCCVEGCSA-N 1 2 286.350 3.600 20 0 CHADLO CCc1cnccc1[C@H](C)[C@@H](c1[nH]cc[nH+]1)c1ccccn1 ZINC000278385183 522089841 /nfs/dbraw/zinc/08/98/41/522089841.db2.gz DIJZXIPSHNIDIW-SUMWQHHRSA-N 1 2 292.386 3.698 20 0 CHADLO CCc1[nH+]c(C(C)C)ccc1Cn1cc(Cl)cn1 ZINC000338553489 522182751 /nfs/dbraw/zinc/18/27/51/522182751.db2.gz LZALYCJOUGTKQP-UHFFFAOYSA-N 1 2 263.772 3.666 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(C)s2)cs1 ZINC000076342264 522286578 /nfs/dbraw/zinc/28/65/78/522286578.db2.gz SRHAOMJJKYATOY-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(C)s2)cs1 ZINC000076342264 522286588 /nfs/dbraw/zinc/28/65/88/522286588.db2.gz SRHAOMJJKYATOY-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CCc1nc(C[N@@H+](CC)[C@@H](CC)c2ccccc2)no1 ZINC000299441241 522290161 /nfs/dbraw/zinc/29/01/61/522290161.db2.gz SWFAJUFLWCVRPT-AWEZNQCLSA-N 1 2 273.380 3.605 20 0 CHADLO CCc1nc(C[N@H+](CC)[C@@H](CC)c2ccccc2)no1 ZINC000299441241 522290166 /nfs/dbraw/zinc/29/01/66/522290166.db2.gz SWFAJUFLWCVRPT-AWEZNQCLSA-N 1 2 273.380 3.605 20 0 CHADLO COc1cc(C)nc(C[NH2+][C@H](C)c2ccc(F)cc2F)c1 ZINC000272126324 522290572 /nfs/dbraw/zinc/29/05/72/522290572.db2.gz LBTMFZUWKPIYRI-LLVKDONJSA-N 1 2 292.329 3.528 20 0 CHADLO CCc1nc(C[NH2+][C@@H](c2ccc(Cl)cc2)C2CC2)no1 ZINC000111198352 522347339 /nfs/dbraw/zinc/34/73/39/522347339.db2.gz HYZIWJKTNASGEP-OAHLLOKOSA-N 1 2 291.782 3.526 20 0 CHADLO CCc1nc(C[NH2+][C@H](c2ccc(Cl)cc2)C2CC2)no1 ZINC000111198353 522355595 /nfs/dbraw/zinc/35/55/95/522355595.db2.gz HYZIWJKTNASGEP-HNNXBMFYSA-N 1 2 291.782 3.526 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+]C(C)(C)c1nccs1 ZINC000280283964 522557863 /nfs/dbraw/zinc/55/78/63/522557863.db2.gz SQRXWGJEMWUYSV-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO Clc1cccnc1C1CC[NH+](Cc2cscn2)CC1 ZINC000336514853 534654522 /nfs/dbraw/zinc/65/45/22/534654522.db2.gz QEZRCROIBPTLKW-UHFFFAOYSA-N 1 2 293.823 3.571 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cc(C)on1)c1cccc(Cl)c1 ZINC000290585162 522759220 /nfs/dbraw/zinc/75/92/20/522759220.db2.gz GFBNBDXUZYARBZ-NHYWBVRUSA-N 1 2 294.782 3.675 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1ccc(Cl)cn1)c1ccc(C)o1 ZINC000274907675 522760929 /nfs/dbraw/zinc/76/09/29/522760929.db2.gz MMJQIMYOGMOFFL-FZMZJTMJSA-N 1 2 294.782 3.675 20 0 CHADLO CC[C@@H]([NH2+]Cc1cn[nH]c1C)c1cccc(Cl)c1 ZINC000037878830 522869672 /nfs/dbraw/zinc/86/96/72/522869672.db2.gz GIZHIZPHDUZWBX-CQSZACIVSA-N 1 2 263.772 3.612 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccco1)c1nc(C(F)(F)F)cs1 ZINC000072926745 522886487 /nfs/dbraw/zinc/88/64/87/522886487.db2.gz VDJDPOGXWGJQNX-SECBINFHSA-N 1 2 290.310 3.996 20 0 CHADLO COCCCC[N@@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000278288035 523146747 /nfs/dbraw/zinc/14/67/47/523146747.db2.gz KUEIWRYBSSJHJY-UHFFFAOYSA-N 1 2 292.810 3.537 20 0 CHADLO COCCCC[N@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000278288035 523146759 /nfs/dbraw/zinc/14/67/59/523146759.db2.gz KUEIWRYBSSJHJY-UHFFFAOYSA-N 1 2 292.810 3.537 20 0 CHADLO O=C(c1ccc(C2CCC2)cc1)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000336607514 534698346 /nfs/dbraw/zinc/69/83/46/534698346.db2.gz DQTCDEPKNCAQFN-INIZCTEOSA-N 1 2 295.386 3.655 20 0 CHADLO CCn1c2ccccc2nc1[C@H]1CCCN1c1cccc[nH+]1 ZINC000277127372 523295258 /nfs/dbraw/zinc/29/52/58/523295258.db2.gz ZZTLFQSZRLBPQZ-MRXNPFEDSA-N 1 2 292.386 3.793 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1csc(-c2cccs2)n1 ZINC000292486046 523344066 /nfs/dbraw/zinc/34/40/66/523344066.db2.gz SOVIPGJMJORUOT-LLVKDONJSA-N 1 2 264.419 3.856 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1csc(-c2cccs2)n1 ZINC000292486046 523344076 /nfs/dbraw/zinc/34/40/76/523344076.db2.gz SOVIPGJMJORUOT-LLVKDONJSA-N 1 2 264.419 3.856 20 0 CHADLO C[N@H+](Cc1cnc(Cl)s1)Cc1ccccc1F ZINC000094194800 523497719 /nfs/dbraw/zinc/49/77/19/523497719.db2.gz ZLFDACUZAUPTCY-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1cnc(Cl)s1)Cc1ccccc1F ZINC000094194800 523497728 /nfs/dbraw/zinc/49/77/28/523497728.db2.gz ZLFDACUZAUPTCY-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H](C)c1cccc(F)c1F ZINC000296726591 523556451 /nfs/dbraw/zinc/55/64/51/523556451.db2.gz IVWMNYXGOYPOLQ-MNOVXSKESA-N 1 2 279.334 3.593 20 0 CHADLO CN(C(=O)OC1CCCC1)[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000297126685 523891695 /nfs/dbraw/zinc/89/16/95/523891695.db2.gz PZFATIMKSDVHFY-HNNXBMFYSA-N 1 2 299.374 3.510 20 0 CHADLO COc1ccc(C[N@H+](Cc2csc(C)n2)C2CC2)cc1 ZINC000072803573 524015798 /nfs/dbraw/zinc/01/57/98/524015798.db2.gz UXZANXSQZBZJOI-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO COc1ccc(C[N@@H+](Cc2csc(C)n2)C2CC2)cc1 ZINC000072803573 524015803 /nfs/dbraw/zinc/01/58/03/524015803.db2.gz UXZANXSQZBZJOI-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+][C@H](C)c2csc(C)n2)o1 ZINC000269418368 524051419 /nfs/dbraw/zinc/05/14/19/524051419.db2.gz KXEXVWCQAXUECE-MFKMUULPSA-N 1 2 294.420 3.645 20 0 CHADLO C[C@H]1CCC[C@@H](CCSCCn2cc[nH+]c2)C1 ZINC000341516085 524133512 /nfs/dbraw/zinc/13/35/12/524133512.db2.gz SYIBAKSSRVAZDN-KBPBESRZSA-N 1 2 252.427 3.833 20 0 CHADLO COc1cccc2c(N3CCCCCC3)cc[nH+]c12 ZINC000302430284 524199423 /nfs/dbraw/zinc/19/94/23/524199423.db2.gz KZTFCZMYYQJJLA-UHFFFAOYSA-N 1 2 256.349 3.624 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@@H](C)c1csc(C)n1 ZINC000264650604 524212116 /nfs/dbraw/zinc/21/21/16/524212116.db2.gz XAYQBRQNYFNUAY-VIFPVBQESA-N 1 2 296.823 3.964 20 0 CHADLO Cc1ccc(C2CCN(c3cc(C(C)C)ncn3)CC2)c[nH+]1 ZINC000340779127 524242900 /nfs/dbraw/zinc/24/29/00/524242900.db2.gz MHQXHVTWRCBNIG-UHFFFAOYSA-N 1 2 296.418 3.687 20 0 CHADLO Cc1ccc(C2CCN(c3ccc(Cl)cn3)CC2)c[nH+]1 ZINC000340787307 524243575 /nfs/dbraw/zinc/24/35/75/524243575.db2.gz UYDZTRYZPDGNQH-UHFFFAOYSA-N 1 2 287.794 3.822 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC000354544471 524324200 /nfs/dbraw/zinc/32/42/00/524324200.db2.gz NGYGZADIRMZSIQ-OLZOCXBDSA-N 1 2 285.391 3.976 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2nc(-c3ccccc3)no2)o1 ZINC000248067485 524397274 /nfs/dbraw/zinc/39/72/74/524397274.db2.gz FJECNLZHWZXSMJ-ZDUSSCGKSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2nc(-c3ccccc3)no2)o1 ZINC000248067485 524397280 /nfs/dbraw/zinc/39/72/80/524397280.db2.gz FJECNLZHWZXSMJ-ZDUSSCGKSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2nc(C(C)C)no2)s1 ZINC000076342888 524398229 /nfs/dbraw/zinc/39/82/29/524398229.db2.gz CBMJQCSULSYOEA-NSHDSACASA-N 1 2 279.409 3.756 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2nc(C(C)C)no2)s1 ZINC000076342888 524398237 /nfs/dbraw/zinc/39/82/37/524398237.db2.gz CBMJQCSULSYOEA-NSHDSACASA-N 1 2 279.409 3.756 20 0 CHADLO C[C@@H](CC(C)(C)C)C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000337242742 524404918 /nfs/dbraw/zinc/40/49/18/524404918.db2.gz HFODVWSVFVHARM-ZDUSSCGKSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)o1 ZINC000292747751 524434513 /nfs/dbraw/zinc/43/45/13/524434513.db2.gz IECPNYRJSUPINB-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)o1 ZINC000292747751 524434520 /nfs/dbraw/zinc/43/45/20/524434520.db2.gz IECPNYRJSUPINB-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000293515406 524438648 /nfs/dbraw/zinc/43/86/48/524438648.db2.gz UAFVYFYNOIGKEY-MRXNPFEDSA-N 1 2 284.407 3.524 20 0 CHADLO C[C@H]1C[N@H+](CCC(F)(F)F)C[C@H](c2ccc(F)cc2)O1 ZINC000266002787 524586908 /nfs/dbraw/zinc/58/69/08/524586908.db2.gz JRMLEHKKMRUNPC-GXFFZTMASA-N 1 2 291.288 3.540 20 0 CHADLO C[C@H]1C[N@@H+](CCC(F)(F)F)C[C@H](c2ccc(F)cc2)O1 ZINC000266002787 524586913 /nfs/dbraw/zinc/58/69/13/524586913.db2.gz JRMLEHKKMRUNPC-GXFFZTMASA-N 1 2 291.288 3.540 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Br)o2)CCC1(F)F ZINC000291487412 524615947 /nfs/dbraw/zinc/61/59/47/524615947.db2.gz GFHYGDSMSCKHCR-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Br)o2)CCC1(F)F ZINC000291487412 524615957 /nfs/dbraw/zinc/61/59/57/524615957.db2.gz GFHYGDSMSCKHCR-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2Oc2ccccc2)CCC1=O ZINC000338571885 524636140 /nfs/dbraw/zinc/63/61/40/524636140.db2.gz MRBGWLFRCBRDOX-HNNXBMFYSA-N 1 2 295.382 3.890 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2Oc2ccccc2)CCC1=O ZINC000338571885 524636150 /nfs/dbraw/zinc/63/61/50/524636150.db2.gz MRBGWLFRCBRDOX-HNNXBMFYSA-N 1 2 295.382 3.890 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2C(F)F)c(C)s1 ZINC000337432454 524680153 /nfs/dbraw/zinc/68/01/53/524680153.db2.gz ZPHYJQAIJGCGPT-LBPRGKRZSA-N 1 2 259.365 3.985 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2C(F)F)c(C)s1 ZINC000337432454 524680161 /nfs/dbraw/zinc/68/01/61/524680161.db2.gz ZPHYJQAIJGCGPT-LBPRGKRZSA-N 1 2 259.365 3.985 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CCC(C)(C)C3)n2)c[nH+]1 ZINC000356123907 524697891 /nfs/dbraw/zinc/69/78/91/524697891.db2.gz JDTGKTBYZZMIKE-CYBMUJFWSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2nc(-c3ccccc3)cs2)on1 ZINC000125682412 524778174 /nfs/dbraw/zinc/77/81/74/524778174.db2.gz ROTPXBJRJRVMBW-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO COc1ccccc1[C@H]([NH2+]Cc1ccco1)c1ccco1 ZINC000151136164 524798672 /nfs/dbraw/zinc/79/86/72/524798672.db2.gz PEISCMZHEYSLQH-KRWDZBQOSA-N 1 2 283.327 3.760 20 0 CHADLO COc1cc[nH+]cc1NC(=O)C[C@@H]1CCCC(C)(C)C1 ZINC000339391875 524863990 /nfs/dbraw/zinc/86/39/90/524863990.db2.gz REQHIVDPZKPONK-LBPRGKRZSA-N 1 2 276.380 3.635 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)c(F)c(F)c2)CCC1(F)F ZINC000291693981 524985365 /nfs/dbraw/zinc/98/53/65/524985365.db2.gz YIVBTUPRMKSUNI-MRVPVSSYSA-N 1 2 279.252 3.581 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(F)c(F)c(F)c2)CCC1(F)F ZINC000291693981 524985375 /nfs/dbraw/zinc/98/53/75/524985375.db2.gz YIVBTUPRMKSUNI-MRVPVSSYSA-N 1 2 279.252 3.581 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)c1nccs1 ZINC000086508532 525023589 /nfs/dbraw/zinc/02/35/89/525023589.db2.gz MHLOAINQDUBPIH-NSHDSACASA-N 1 2 261.394 3.691 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1cccnc1Cl ZINC000052709367 525128737 /nfs/dbraw/zinc/12/87/37/525128737.db2.gz BLBAYMNGCWPVDE-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1cccnc1Cl ZINC000052709367 525128743 /nfs/dbraw/zinc/12/87/43/525128743.db2.gz BLBAYMNGCWPVDE-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(NC(=O)c2ncsc2C(C)C)c(C)[nH+]1 ZINC000291443243 525259205 /nfs/dbraw/zinc/25/92/05/525259205.db2.gz ZGDUWKHTZFUUPV-UHFFFAOYSA-N 1 2 275.377 3.531 20 0 CHADLO Cc1cc(NCc2nc3ccccc3s2)nc(C2CC2)[nH+]1 ZINC000265295372 525282094 /nfs/dbraw/zinc/28/20/94/525282094.db2.gz CCXFYYQHIXBROY-UHFFFAOYSA-N 1 2 296.399 3.884 20 0 CHADLO Cc1ccc(NCc2ncc(C(C)(C)C)s2)c[nH+]1 ZINC000279154793 525288657 /nfs/dbraw/zinc/28/86/57/525288657.db2.gz PESCUHOZADVLLY-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO Cc1cc(N[C@@H](CO)c2ccccc2)c2cccc(F)c2[nH+]1 ZINC000121246992 525294318 /nfs/dbraw/zinc/29/43/18/525294318.db2.gz ZTEQDUUGELQXRY-KRWDZBQOSA-N 1 2 296.345 3.828 20 0 CHADLO Cc1cc(N[C@@H]2CCOC[C@H]2C)c2cccc(F)c2[nH+]1 ZINC000277665538 525295673 /nfs/dbraw/zinc/29/56/73/525295673.db2.gz PHSKMIUFDYAPIO-QMTHXVAHSA-N 1 2 274.339 3.519 20 0 CHADLO Cc1cc(N[C@H](C)[C@@H]2CCOC2)c2cccc(F)c2[nH+]1 ZINC000278718547 525300795 /nfs/dbraw/zinc/30/07/95/525300795.db2.gz JYOBQGZQLIGBGB-VXGBXAGGSA-N 1 2 274.339 3.519 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1nnc(C(C)C)o1 ZINC000263801130 525348715 /nfs/dbraw/zinc/34/87/15/525348715.db2.gz SQWDJZQPACCXJV-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1nnc(C(C)C)o1 ZINC000263801130 525348726 /nfs/dbraw/zinc/34/87/26/525348726.db2.gz SQWDJZQPACCXJV-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]Cc2cc(C3CC3)ccc2F)c2nccn21 ZINC000297390289 525439241 /nfs/dbraw/zinc/43/92/41/525439241.db2.gz RTJUMXKMBHPENL-BZNIZROVSA-N 1 2 285.366 3.695 20 0 CHADLO C[C@@H]([NH2+]CCF)c1csc(-c2ccccc2F)n1 ZINC000291168862 525447041 /nfs/dbraw/zinc/44/70/41/525447041.db2.gz JSBKVRFAAGWFBL-SECBINFHSA-N 1 2 268.332 3.569 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(C)c(C)o2)c(C)s1 ZINC000049506750 525469003 /nfs/dbraw/zinc/46/90/03/525469003.db2.gz RKWLYVJFMMDAQB-SNVBAGLBSA-N 1 2 264.394 3.821 20 0 CHADLO Cc1cc([C@H](C)NC(=O)Nc2cc[nH+]c(C)c2)c(C)s1 ZINC000119663533 525509647 /nfs/dbraw/zinc/50/96/47/525509647.db2.gz GAIMBSBDTKOTEJ-NSHDSACASA-N 1 2 289.404 3.951 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(F)c(Cl)cc1F)c1nccs1 ZINC000278900549 525513227 /nfs/dbraw/zinc/51/32/27/525513227.db2.gz UWHFBWRLNHNXSQ-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](CO)c2c(F)cccc2F)c(C)o1 ZINC000278673030 525530049 /nfs/dbraw/zinc/53/00/49/525530049.db2.gz JHMUZYNVEMIYLQ-ZUZCIYMTSA-N 1 2 295.329 3.559 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(O)c1Cl)c1c(F)cncc1F ZINC000339400056 525535993 /nfs/dbraw/zinc/53/59/93/525535993.db2.gz MMMYAOOSIKERFD-MRVPVSSYSA-N 1 2 298.720 3.570 20 0 CHADLO C[C@@H]([NH2+]Cc1ccno1)c1ccc(Cl)cc1Cl ZINC000071016056 525545945 /nfs/dbraw/zinc/54/59/45/525545945.db2.gz AWQJDNRUOHFTFR-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO Cc1ccn(-c2ccc([NH2+]CCCCCF)cc2)n1 ZINC000340627113 525559605 /nfs/dbraw/zinc/55/96/05/525559605.db2.gz TXRQKUGNAUHZRY-UHFFFAOYSA-N 1 2 261.344 3.732 20 0 CHADLO Cc1cc2cc([C@@H](C)[NH2+][C@@H](C)c3ncn(C)n3)oc2cc1C ZINC000275624388 525647511 /nfs/dbraw/zinc/64/75/11/525647511.db2.gz AHTSWIPKFWXARM-OLZOCXBDSA-N 1 2 298.390 3.590 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1CCCS1 ZINC000150195694 525656896 /nfs/dbraw/zinc/65/68/96/525656896.db2.gz LJUDUEUWBRCZEW-ZDUSSCGKSA-N 1 2 258.390 3.851 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1cccnc1Cl ZINC000052717049 525735594 /nfs/dbraw/zinc/73/55/94/525735594.db2.gz CHRUQUAXJOHKQZ-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cccnc1Cl ZINC000052717049 525735598 /nfs/dbraw/zinc/73/55/98/525735598.db2.gz CHRUQUAXJOHKQZ-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO CSCc1cnc(C[NH2+][C@@H](C)c2nc(C)cs2)s1 ZINC000339224624 525754005 /nfs/dbraw/zinc/75/40/05/525754005.db2.gz KKFDFRPQPYPYHJ-VIFPVBQESA-N 1 2 299.490 3.622 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1c(F)cc(F)c(F)c1F ZINC000281030572 525766367 /nfs/dbraw/zinc/76/63/67/525766367.db2.gz FWLNEVRNBSTMPZ-SECBINFHSA-N 1 2 298.283 3.797 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@H](C)c3cccnc3)cs2)o1 ZINC000340856187 525815400 /nfs/dbraw/zinc/81/54/00/525815400.db2.gz UUDAVUHYODXJCL-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1C[C@@H]1C(C)C ZINC000280286588 525832393 /nfs/dbraw/zinc/83/23/93/525832393.db2.gz RXJFXZNGWCEBIY-ZIAGYGMSSA-N 1 2 283.375 3.616 20 0 CHADLO C[C@@]12CC[N@H+](Cc3cc(F)c(F)c(F)c3)C[C@@H]1C2(F)F ZINC000292861345 525912260 /nfs/dbraw/zinc/91/22/60/525912260.db2.gz VJJUFIXJPICVIV-WCQYABFASA-N 1 2 291.263 3.581 20 0 CHADLO C[C@@]12CC[N@@H+](Cc3cc(F)c(F)c(F)c3)C[C@@H]1C2(F)F ZINC000292861345 525912270 /nfs/dbraw/zinc/91/22/70/525912270.db2.gz VJJUFIXJPICVIV-WCQYABFASA-N 1 2 291.263 3.581 20 0 CHADLO Cc1cn2cc(NC(=O)c3sccc3C3CC3)ccc2[nH+]1 ZINC000339265400 525953401 /nfs/dbraw/zinc/95/34/01/525953401.db2.gz SSKYPUANQILGPT-UHFFFAOYSA-N 1 2 297.383 3.834 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2ccc(F)cc2)[nH+]1 ZINC000366357516 525961966 /nfs/dbraw/zinc/96/19/66/525961966.db2.gz VVBKTBFYBVDWHU-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)C=Cc2ccco2)[nH+]1 ZINC000366353243 525963419 /nfs/dbraw/zinc/96/34/19/525963419.db2.gz CZGHVZJSPXHASK-CMDGGOBGSA-N 1 2 293.326 3.630 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2ccsc2)[nH+]1 ZINC000366355260 525963886 /nfs/dbraw/zinc/96/38/86/525963886.db2.gz LNODBPXEOATCIT-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO Cc1c2ccccc2oc1[C@H]([NH2+]Cc1ccn[nH]1)C(C)C ZINC000069878266 525992069 /nfs/dbraw/zinc/99/20/69/525992069.db2.gz DZGHSDXUTSYHIR-MRXNPFEDSA-N 1 2 283.375 3.951 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000340664022 526016567 /nfs/dbraw/zinc/01/65/67/526016567.db2.gz WEFHIWXMISOYCB-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000340664022 526016575 /nfs/dbraw/zinc/01/65/75/526016575.db2.gz WEFHIWXMISOYCB-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000290501276 526021715 /nfs/dbraw/zinc/02/17/15/526021715.db2.gz SNTJSZRORXIVIR-NSHDSACASA-N 1 2 297.324 3.930 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000290501276 526021725 /nfs/dbraw/zinc/02/17/25/526021725.db2.gz SNTJSZRORXIVIR-NSHDSACASA-N 1 2 297.324 3.930 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)nc1 ZINC000340696507 526026600 /nfs/dbraw/zinc/02/66/00/526026600.db2.gz MSQHVIQZUDJCGF-LRDDRELGSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)nc1 ZINC000340696507 526026609 /nfs/dbraw/zinc/02/66/09/526026609.db2.gz MSQHVIQZUDJCGF-LRDDRELGSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccc([C@H]2OCCC[C@@H]2Nc2cccc[nH+]2)cc1 ZINC000337864535 526045216 /nfs/dbraw/zinc/04/52/16/526045216.db2.gz JZYTZDZDNAZPAG-DOTOQJQBSA-N 1 2 268.360 3.722 20 0 CHADLO Cc1ccc([C@H]2OCCC[C@H]2Nc2cccc[nH+]2)cc1 ZINC000337864536 526045325 /nfs/dbraw/zinc/04/53/25/526045325.db2.gz JZYTZDZDNAZPAG-NVXWUHKLSA-N 1 2 268.360 3.722 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@H](C)c1ccncc1F ZINC000340390860 526104152 /nfs/dbraw/zinc/10/41/52/526104152.db2.gz YIKXSDIXZDGPCR-SNVBAGLBSA-N 1 2 294.370 3.933 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC000264136575 526124745 /nfs/dbraw/zinc/12/47/45/526124745.db2.gz AOYMNWGSWKKEHD-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC000264136575 526124753 /nfs/dbraw/zinc/12/47/53/526124753.db2.gz AOYMNWGSWKKEHD-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cccn2CCC(C)C)o1 ZINC000337261995 526126099 /nfs/dbraw/zinc/12/60/99/526126099.db2.gz QSHBPYYWABPUOK-CQSZACIVSA-N 1 2 275.396 3.681 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cn2cc(Cl)ccc2n1 ZINC000069065093 526147219 /nfs/dbraw/zinc/14/72/19/526147219.db2.gz FDCNSBUTUSJMFU-LLVKDONJSA-N 1 2 289.766 3.774 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cn2cc(Cl)ccc2n1 ZINC000069065093 526147222 /nfs/dbraw/zinc/14/72/22/526147222.db2.gz FDCNSBUTUSJMFU-LLVKDONJSA-N 1 2 289.766 3.774 20 0 CHADLO C[C@H](C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1)C(C)(C)C ZINC000265579519 526149499 /nfs/dbraw/zinc/14/94/99/526149499.db2.gz IAXHDGWDLIPVKG-LLVKDONJSA-N 1 2 271.364 3.697 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N(C)[C@@H](C)c1ccc(F)cc1 ZINC000121464928 526238404 /nfs/dbraw/zinc/23/84/04/526238404.db2.gz DBTGTNBPDPCLIL-LBPRGKRZSA-N 1 2 287.338 3.754 20 0 CHADLO Fc1ccc(C[N@H+](Cc2cocn2)C2CCCC2)c(F)c1 ZINC000278313830 526331922 /nfs/dbraw/zinc/33/19/22/526331922.db2.gz YMGIRPHUXCYLQJ-UHFFFAOYSA-N 1 2 292.329 3.898 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2cocn2)C2CCCC2)c(F)c1 ZINC000278313830 526331928 /nfs/dbraw/zinc/33/19/28/526331928.db2.gz YMGIRPHUXCYLQJ-UHFFFAOYSA-N 1 2 292.329 3.898 20 0 CHADLO Fc1ccc(COc2cccc(NCc3[nH]cc[nH+]3)c2)cc1 ZINC000156172819 526343089 /nfs/dbraw/zinc/34/30/89/526343089.db2.gz AHGQAQDHBUGDGT-UHFFFAOYSA-N 1 2 297.333 3.740 20 0 CHADLO Cc1csc(C[NH2+]Cc2ccc(C3CC3)cc2F)n1 ZINC000291659247 526357995 /nfs/dbraw/zinc/35/79/95/526357995.db2.gz DYAUVIVRJDVVCG-UHFFFAOYSA-N 1 2 276.380 3.758 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ccoc2)c2ccccn2)cc1 ZINC000340693016 526360421 /nfs/dbraw/zinc/36/04/21/526360421.db2.gz YRAVOAOLLUSGDN-QGZVFWFLSA-N 1 2 282.318 3.693 20 0 CHADLO Oc1cccc(C[NH2+]Cc2nc(C3CC3)cs2)c1Cl ZINC000293365723 526360867 /nfs/dbraw/zinc/36/08/67/526360867.db2.gz UYDYZARWWSKCEL-UHFFFAOYSA-N 1 2 294.807 3.669 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2cc(F)ccc2F)n1 ZINC000069168311 526412728 /nfs/dbraw/zinc/41/27/28/526412728.db2.gz PXCHZSRMHQIOSK-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO Cc1noc(C)c1C[C@H](C)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000267347349 526538078 /nfs/dbraw/zinc/53/80/78/526538078.db2.gz FTRWYSLAMNWBND-QMMMGPOBSA-N 1 2 299.296 3.748 20 0 CHADLO c1coc([C@H]2C[N@H+](CCSC3CCCCC3)CCO2)c1 ZINC000337249770 526571197 /nfs/dbraw/zinc/57/11/97/526571197.db2.gz MCUZSXFLSUMHSH-MRXNPFEDSA-N 1 2 295.448 3.719 20 0 CHADLO c1coc([C@H]2C[N@@H+](CCSC3CCCCC3)CCO2)c1 ZINC000337249770 526571200 /nfs/dbraw/zinc/57/12/00/526571200.db2.gz MCUZSXFLSUMHSH-MRXNPFEDSA-N 1 2 295.448 3.719 20 0 CHADLO c1csc(C[N@@H+](Cc2ncc(C3CC3)o2)C2CC2)c1 ZINC000340659976 526578712 /nfs/dbraw/zinc/57/87/12/526578712.db2.gz GBNUKLOQXDWIBK-UHFFFAOYSA-N 1 2 274.389 3.778 20 0 CHADLO c1csc(C[N@H+](Cc2ncc(C3CC3)o2)C2CC2)c1 ZINC000340659976 526578716 /nfs/dbraw/zinc/57/87/16/526578716.db2.gz GBNUKLOQXDWIBK-UHFFFAOYSA-N 1 2 274.389 3.778 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2ccc(C(C)C)cc2)no1 ZINC000264294226 526663368 /nfs/dbraw/zinc/66/33/68/526663368.db2.gz INWQBUQUUMWPFB-UHFFFAOYSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2ccc(C)c(F)c2)n1 ZINC000289789078 526667906 /nfs/dbraw/zinc/66/79/06/526667906.db2.gz LTRIHTQEFKKZQS-OAHLLOKOSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2ccc(C)c(F)c2)n1 ZINC000289789078 526667909 /nfs/dbraw/zinc/66/79/09/526667909.db2.gz LTRIHTQEFKKZQS-OAHLLOKOSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COc1ccc(F)nc1 ZINC000292565836 526669541 /nfs/dbraw/zinc/66/95/41/526669541.db2.gz DLPRFMZVXJDUAE-UHFFFAOYSA-N 1 2 260.312 3.627 20 0 CHADLO Cc1nc(N2CCC[C@H]2c2cccs2)c(C)c(C)[nH+]1 ZINC000364889208 526706427 /nfs/dbraw/zinc/70/64/27/526706427.db2.gz SRBONWRIVUCKHI-ZDUSSCGKSA-N 1 2 273.405 3.805 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1csc(-c2ccco2)n1 ZINC000055057800 526826100 /nfs/dbraw/zinc/82/61/00/526826100.db2.gz UCFIARNSUVJRSP-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1csc(-c2ccco2)n1 ZINC000055057800 526826104 /nfs/dbraw/zinc/82/61/04/526826104.db2.gz UCFIARNSUVJRSP-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C)cc2C)cs1 ZINC000340661674 526841499 /nfs/dbraw/zinc/84/14/99/526841499.db2.gz XAAREBREPMANHY-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C)cc2C)cs1 ZINC000340661674 526841506 /nfs/dbraw/zinc/84/15/06/526841506.db2.gz XAAREBREPMANHY-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(C(F)(F)F)c2)oc1C ZINC000066422215 526842113 /nfs/dbraw/zinc/84/21/13/526842113.db2.gz ZMJZQOBEZGTMIB-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(C(F)(F)F)c2)oc1C ZINC000066422215 526842120 /nfs/dbraw/zinc/84/21/20/526842120.db2.gz ZMJZQOBEZGTMIB-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2cccc(F)c2)cs1 ZINC000340684621 526845666 /nfs/dbraw/zinc/84/56/66/526845666.db2.gz NNUBMIWRAVINQC-SNVBAGLBSA-N 1 2 264.369 3.784 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2cccc(F)c2)cs1 ZINC000340684621 526845670 /nfs/dbraw/zinc/84/56/70/526845670.db2.gz NNUBMIWRAVINQC-SNVBAGLBSA-N 1 2 264.369 3.784 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc(C3CC3)cc2F)cs1 ZINC000291801755 526888552 /nfs/dbraw/zinc/88/85/52/526888552.db2.gz XIMBTZGCAAZRFX-UHFFFAOYSA-N 1 2 276.380 3.758 20 0 CHADLO Cc1sccc1C[NH2+][C@@H](C)c1noc(-c2ccccc2)n1 ZINC000293713763 526917354 /nfs/dbraw/zinc/91/73/54/526917354.db2.gz XYIVIQWZMJPJSZ-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@@H+](Cc1ccncc1)CC2 ZINC000157232545 526927976 /nfs/dbraw/zinc/92/79/76/526927976.db2.gz PPVYQYLBKXTPLE-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@H+](Cc1ccncc1)CC2 ZINC000157232545 526927977 /nfs/dbraw/zinc/92/79/77/526927977.db2.gz PPVYQYLBKXTPLE-UHFFFAOYSA-N 1 2 293.197 3.947 20 0 CHADLO Cc1nc(F)ccc1C[N@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000278549809 526944707 /nfs/dbraw/zinc/94/47/07/526944707.db2.gz DKXKQBAQPXIHCW-UHFFFAOYSA-N 1 2 298.283 3.578 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000278549809 526944717 /nfs/dbraw/zinc/94/47/17/526944717.db2.gz DKXKQBAQPXIHCW-UHFFFAOYSA-N 1 2 298.283 3.578 20 0 CHADLO Clc1csc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)c1 ZINC000289558353 527002110 /nfs/dbraw/zinc/00/21/10/527002110.db2.gz RNZGEAIJPYWWIV-CYBMUJFWSA-N 1 2 281.812 3.852 20 0 CHADLO Clc1csc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)c1 ZINC000289558353 527002118 /nfs/dbraw/zinc/00/21/18/527002118.db2.gz RNZGEAIJPYWWIV-CYBMUJFWSA-N 1 2 281.812 3.852 20 0 CHADLO Clc1sccc1C[N@@H+]1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000356526229 527014624 /nfs/dbraw/zinc/01/46/24/527014624.db2.gz MRBBUBAURDMSEH-STQMWFEESA-N 1 2 297.851 3.935 20 0 CHADLO Clc1sccc1C[N@H+]1CC2(CCC2)[C@@H]1[C@@H]1CCCO1 ZINC000356526229 527014626 /nfs/dbraw/zinc/01/46/26/527014626.db2.gz MRBBUBAURDMSEH-STQMWFEESA-N 1 2 297.851 3.935 20 0 CHADLO Cn1c2ccc(NC(=O)CC3CCCC3)cc2[nH+]c1C1CC1 ZINC000340667786 527102425 /nfs/dbraw/zinc/10/24/25/527102425.db2.gz XOUGBZYIVPRBFW-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO Cc1ncc(C(=O)OCc2ccc(C(C)C)[nH+]c2C)s1 ZINC000292969432 527247161 /nfs/dbraw/zinc/24/71/61/527247161.db2.gz GIQHKEJWPAGVGJ-UHFFFAOYSA-N 1 2 290.388 3.635 20 0 CHADLO Cc1nc(N[C@H](C)c2ccc(F)c3ccccc32)cc[nH+]1 ZINC000340801189 527324947 /nfs/dbraw/zinc/32/49/47/527324947.db2.gz QREMBBRZXDYYBW-LLVKDONJSA-N 1 2 281.334 3.672 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccc(Br)cc2)cc[nH+]1 ZINC000054936260 527350777 /nfs/dbraw/zinc/35/07/77/527350777.db2.gz WMAUGVBOQGXIGQ-VIFPVBQESA-N 1 2 292.180 3.721 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000366546696 527592509 /nfs/dbraw/zinc/59/25/09/527592509.db2.gz VROJZIKBMVKMSH-KRWDZBQOSA-N 1 2 298.434 3.751 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000366546696 527592511 /nfs/dbraw/zinc/59/25/11/527592511.db2.gz VROJZIKBMVKMSH-KRWDZBQOSA-N 1 2 298.434 3.751 20 0 CHADLO FC(F)SCc1ccc(C[NH2+]Cc2ccco2)o1 ZINC000035014411 527697562 /nfs/dbraw/zinc/69/75/62/527697562.db2.gz LVCOFHCHLKFKJS-UHFFFAOYSA-N 1 2 273.304 3.618 20 0 CHADLO CCC[C@@H]1CCCCN1c1cc(C)[nH+]c(C2CC2)n1 ZINC000347874651 527738429 /nfs/dbraw/zinc/73/84/29/527738429.db2.gz VXFVCGVPFYLELY-CQSZACIVSA-N 1 2 259.397 3.821 20 0 CHADLO CC(C)C[C@@H](CCO)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354547057 528290738 /nfs/dbraw/zinc/29/07/38/528290738.db2.gz UPRKVNSGVJQYGX-CYBMUJFWSA-N 1 2 290.382 3.831 20 0 CHADLO CCOc1cc(F)ccc1NCCCc1ccc(C)[nH+]c1 ZINC000353812778 528308109 /nfs/dbraw/zinc/30/81/09/528308109.db2.gz HEDMFRIITUWLCU-UHFFFAOYSA-N 1 2 288.366 3.973 20 0 CHADLO CC(C)C[C@@H]([NH2+]Cc1noc(C2CC2)n1)c1cccs1 ZINC000347613623 528360565 /nfs/dbraw/zinc/36/05/65/528360565.db2.gz OZMFXZRZSIZLCA-GFCCVEGCSA-N 1 2 291.420 3.886 20 0 CHADLO CC(C)c1nc(NCCCSc2ccccc2)cc[nH+]1 ZINC000346588634 528363444 /nfs/dbraw/zinc/36/34/44/528363444.db2.gz ASYFOKANYWQJNO-UHFFFAOYSA-N 1 2 287.432 3.616 20 0 CHADLO CC[N@H+](CCC1CC1)Cc1noc(C2CCCCC2)n1 ZINC000355048450 528427907 /nfs/dbraw/zinc/42/79/07/528427907.db2.gz YKMZZDOSAHVJNY-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO CC[N@@H+](CCC1CC1)Cc1noc(C2CCCCC2)n1 ZINC000355048450 528427909 /nfs/dbraw/zinc/42/79/09/528427909.db2.gz YKMZZDOSAHVJNY-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO CCCCOC1CC[NH+](Cc2ncc(Cl)s2)CC1 ZINC000351997181 528570970 /nfs/dbraw/zinc/57/09/70/528570970.db2.gz RRXWSRBPGKUJDW-UHFFFAOYSA-N 1 2 288.844 3.578 20 0 CHADLO CCC(CC)(CCO)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354536504 528646765 /nfs/dbraw/zinc/64/67/65/528646765.db2.gz JBBYMDCXOXBVNJ-UHFFFAOYSA-N 1 2 290.382 3.975 20 0 CHADLO CCCC[C@@H](SCc1ccc(C)[nH+]c1C)C(=O)OC ZINC000355937247 528862377 /nfs/dbraw/zinc/86/23/77/528862377.db2.gz SXSJVSDJJPMKOB-CQSZACIVSA-N 1 2 281.421 3.663 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@H+](C)Cc1nc(C)c(C)o1 ZINC000347568214 529184902 /nfs/dbraw/zinc/18/49/02/529184902.db2.gz YGPKQCLDIZTNTP-OAHLLOKOSA-N 1 2 288.391 3.883 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC000347568214 529184904 /nfs/dbraw/zinc/18/49/04/529184904.db2.gz YGPKQCLDIZTNTP-OAHLLOKOSA-N 1 2 288.391 3.883 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@H+](C)Cc1ncc(C)o1 ZINC000347569695 529185091 /nfs/dbraw/zinc/18/50/91/529185091.db2.gz ZDAUFECURRDCGG-CQSZACIVSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@@H+](C)Cc1ncc(C)o1 ZINC000347569695 529185093 /nfs/dbraw/zinc/18/50/93/529185093.db2.gz ZDAUFECURRDCGG-CQSZACIVSA-N 1 2 274.364 3.575 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)C2CCOCC2)c1 ZINC000347197920 529201790 /nfs/dbraw/zinc/20/17/90/529201790.db2.gz DCFCHZRVVBPUKS-LBPRGKRZSA-N 1 2 280.437 3.562 20 0 CHADLO CC[C@@H](Nc1cnn(CCC(C)C)c1C)c1[nH+]ccn1C ZINC000347353064 529212684 /nfs/dbraw/zinc/21/26/84/529212684.db2.gz YBRXJXSYVAPYIL-CQSZACIVSA-N 1 2 289.427 3.534 20 0 CHADLO CC[C@H]1CCC[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000353869180 529223151 /nfs/dbraw/zinc/22/31/51/529223151.db2.gz HLKGXBZGKBYALL-GOEBONIOSA-N 1 2 297.402 3.571 20 0 CHADLO CCCCC[N@H+](Cc1nc(C)no1)Cc1ccc(F)cc1 ZINC000045004078 1125458028 /nfs/dbraw/zinc/45/80/28/1125458028.db2.gz WFEJZNZGERFCAV-UHFFFAOYSA-N 1 2 291.370 3.710 20 0 CHADLO CCCCC[N@@H+](Cc1nc(C)no1)Cc1ccc(F)cc1 ZINC000045004078 1125458031 /nfs/dbraw/zinc/45/80/31/1125458031.db2.gz WFEJZNZGERFCAV-UHFFFAOYSA-N 1 2 291.370 3.710 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2[C@H](C)c2nc(C(C)C)no2)o1 ZINC000331401494 1125459795 /nfs/dbraw/zinc/45/97/95/1125459795.db2.gz MZXSJLARBLXRJL-CHWSQXEVSA-N 1 2 289.379 3.993 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2[C@H](C)c2nc(C(C)C)no2)o1 ZINC000331401494 1125459800 /nfs/dbraw/zinc/45/98/00/1125459800.db2.gz MZXSJLARBLXRJL-CHWSQXEVSA-N 1 2 289.379 3.993 20 0 CHADLO COc1ccc(Cl)cc1[C@H](C)[NH2+]Cc1cscn1 ZINC000127396401 1125460673 /nfs/dbraw/zinc/46/06/73/1125460673.db2.gz WLECMDHAQHONMO-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(-c2ccco2)n1)c1cncs1 ZINC000128417684 1125465874 /nfs/dbraw/zinc/46/58/74/1125465874.db2.gz KBICCYDALIIQBG-SECBINFHSA-N 1 2 291.401 3.710 20 0 CHADLO COc1cccc2c(N[C@H]3C[C@@H]4[C@H](C3)C4(F)F)cc[nH+]c12 ZINC001118537788 1131230438 /nfs/dbraw/zinc/23/04/38/1131230438.db2.gz XJBJGRPFGAKCJL-YHPBZONMSA-N 1 2 290.313 3.699 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](c1cccnc1)C1CCC1 ZINC000624148634 1117990180 /nfs/dbraw/zinc/99/01/80/1117990180.db2.gz KIQXAXMPKOAIFB-ZDUSSCGKSA-N 1 2 254.324 3.558 20 0 CHADLO c1ccc(NCCCNc2nc3ccccc3s2)[nH+]c1 ZINC000048561525 1125484911 /nfs/dbraw/zinc/48/49/11/1125484911.db2.gz HVLJKFUTUYUIKS-UHFFFAOYSA-N 1 2 284.388 3.605 20 0 CHADLO CCCC[N@H+](Cc1cocn1)[C@@H](C)c1ccc(C)o1 ZINC000683350476 1118063032 /nfs/dbraw/zinc/06/30/32/1118063032.db2.gz JTWNJVUDXVMSAG-ZDUSSCGKSA-N 1 2 262.353 3.939 20 0 CHADLO CCCC[N@@H+](Cc1cocn1)[C@@H](C)c1ccc(C)o1 ZINC000683350476 1118063036 /nfs/dbraw/zinc/06/30/36/1118063036.db2.gz JTWNJVUDXVMSAG-ZDUSSCGKSA-N 1 2 262.353 3.939 20 0 CHADLO CNc1ccc(Nc2ccc(Cl)c(F)c2)c[nH+]1 ZINC001203456669 1118143770 /nfs/dbraw/zinc/14/37/70/1118143770.db2.gz PUWMBVFQPRQHCA-UHFFFAOYSA-N 1 2 251.692 3.659 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C[C@H]1CO ZINC000621373181 1118260891 /nfs/dbraw/zinc/26/08/91/1118260891.db2.gz DLSVLNYXDQNVOV-WPRPVWTQSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C[C@H]1CO ZINC000621373181 1118260895 /nfs/dbraw/zinc/26/08/95/1118260895.db2.gz DLSVLNYXDQNVOV-WPRPVWTQSA-N 1 2 294.247 3.505 20 0 CHADLO CNc1ccc(Nc2cc(O)c(C)cc2Cl)c[nH+]1 ZINC001203460901 1118398251 /nfs/dbraw/zinc/39/82/51/1118398251.db2.gz YRIPJAWQVLRGIW-UHFFFAOYSA-N 1 2 263.728 3.534 20 0 CHADLO CCCC[N@@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC000080657752 1118533450 /nfs/dbraw/zinc/53/34/50/1118533450.db2.gz CXUJMRFSICOTNH-SNVBAGLBSA-N 1 2 259.802 3.575 20 0 CHADLO CCCC[N@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC000080657752 1118533452 /nfs/dbraw/zinc/53/34/52/1118533452.db2.gz CXUJMRFSICOTNH-SNVBAGLBSA-N 1 2 259.802 3.575 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2cccc(Cl)c2)o1 ZINC000341072365 1118599602 /nfs/dbraw/zinc/59/96/02/1118599602.db2.gz MLKGVIITRRRIHX-ZJUUUORDSA-N 1 2 279.771 3.697 20 0 CHADLO FC(F)(F)CC[N@@H+](C/C(Cl)=C\Cl)CC1CC1 ZINC000255335641 1118641697 /nfs/dbraw/zinc/64/16/97/1118641697.db2.gz RZGZKFDAVZKARH-WEVVVXLNSA-N 1 2 276.129 3.970 20 0 CHADLO FC(F)(F)CC[N@H+](C/C(Cl)=C\Cl)CC1CC1 ZINC000255335641 1118641701 /nfs/dbraw/zinc/64/17/01/1118641701.db2.gz RZGZKFDAVZKARH-WEVVVXLNSA-N 1 2 276.129 3.970 20 0 CHADLO CC[N@@H+]1CCN(CC[C@H](C)CCC=C(C)C)C[C@@H]1C ZINC000684613315 1118903354 /nfs/dbraw/zinc/90/33/54/1118903354.db2.gz OCWLEZVFZWZLOY-SJORKVTESA-N 1 2 266.473 3.785 20 0 CHADLO CC[N@H+]1CCN(CC[C@H](C)CCC=C(C)C)C[C@@H]1C ZINC000684613315 1118903356 /nfs/dbraw/zinc/90/33/56/1118903356.db2.gz OCWLEZVFZWZLOY-SJORKVTESA-N 1 2 266.473 3.785 20 0 CHADLO Cc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1ccn[nH]1 ZINC000112379165 1125486038 /nfs/dbraw/zinc/48/60/38/1125486038.db2.gz QLGQCYJPHFTYIR-MRXNPFEDSA-N 1 2 257.381 3.595 20 0 CHADLO CSCC[N@@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000152479897 1119074880 /nfs/dbraw/zinc/07/48/80/1119074880.db2.gz CUVOOVUVUBAYPS-UHFFFAOYSA-N 1 2 284.494 3.986 20 0 CHADLO CCC[C@H]1C[N@@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000536175106 1119444481 /nfs/dbraw/zinc/44/44/81/1119444481.db2.gz NISSILWBKOJHBW-OLZOCXBDSA-N 1 2 267.800 3.902 20 0 CHADLO CCC[C@H]1C[N@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000536175106 1119444485 /nfs/dbraw/zinc/44/44/85/1119444485.db2.gz NISSILWBKOJHBW-OLZOCXBDSA-N 1 2 267.800 3.902 20 0 CHADLO Cc1ccc2c(cccc2N(C)c2cccc(N)c2)[nH+]1 ZINC001212798635 1125493984 /nfs/dbraw/zinc/49/39/84/1125493984.db2.gz LHNCCPVLUFUFKU-UHFFFAOYSA-N 1 2 263.344 3.893 20 0 CHADLO CCCCS[C@@H](C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000049247804 1125494183 /nfs/dbraw/zinc/49/41/83/1125494183.db2.gz CSHCLMPUIOUYDS-JTQLQIEISA-N 1 2 291.420 3.732 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccc(F)cc2Br)o1 ZINC000049592510 1125496313 /nfs/dbraw/zinc/49/63/13/1125496313.db2.gz QEPJGNLALAQPTA-UHFFFAOYSA-N 1 2 298.155 3.779 20 0 CHADLO Cc1ncsc1C[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC000093838271 1119572271 /nfs/dbraw/zinc/57/22/71/1119572271.db2.gz MJOVCMUKDJQTMJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ncsc1C[N@H+]1CCc2ccc(Cl)cc2C1 ZINC000093838271 1119572274 /nfs/dbraw/zinc/57/22/74/1119572274.db2.gz MJOVCMUKDJQTMJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CSCc1ccc(C[NH2+][C@@H](C)c2nnc(C)s2)cc1 ZINC000725120671 1119595901 /nfs/dbraw/zinc/59/59/01/1119595901.db2.gz OSGZNBNJTHRWLP-JTQLQIEISA-N 1 2 293.461 3.560 20 0 CHADLO Cc1cc(NC(=O)N2CCCC[C@H]2CC(C)C)c(C)c[nH+]1 ZINC000536619698 1125503473 /nfs/dbraw/zinc/50/34/73/1125503473.db2.gz YNXMAVXQKCBRPF-HNNXBMFYSA-N 1 2 289.423 3.553 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2cccc(C)c2C)n1 ZINC000115602459 1125504240 /nfs/dbraw/zinc/50/42/40/1125504240.db2.gz AYBBBNYXXRBQET-OLZOCXBDSA-N 1 2 273.380 3.661 20 0 CHADLO COc1c(Cl)cccc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000897480146 1125504278 /nfs/dbraw/zinc/50/42/78/1125504278.db2.gz QVNYWBKAJFUKOI-UHFFFAOYSA-N 1 2 292.766 3.504 20 0 CHADLO Cc1cc(NC(=O)N(CC(C)C)C2CCCC2)c(C)c[nH+]1 ZINC000536621776 1125505460 /nfs/dbraw/zinc/50/54/60/1125505460.db2.gz IZLPJDIOVAQLSH-UHFFFAOYSA-N 1 2 289.423 3.553 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1csc(Cl)c1 ZINC000414320701 1119981952 /nfs/dbraw/zinc/98/19/52/1119981952.db2.gz CJHWJWMSVXFPSN-SSDOTTSWSA-N 1 2 299.852 3.981 20 0 CHADLO C[N@H+](Cc1cccc(F)c1)Cc1ccncc1Cl ZINC000173490507 1120154977 /nfs/dbraw/zinc/15/49/77/1120154977.db2.gz YKEJHQDSJXTEFC-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1)Cc1ccncc1Cl ZINC000173490507 1120154978 /nfs/dbraw/zinc/15/49/78/1120154978.db2.gz YKEJHQDSJXTEFC-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc(C(C)(C)C)co2)c(C)s1 ZINC000921775145 1120207954 /nfs/dbraw/zinc/20/79/54/1120207954.db2.gz FOOUSAVIQZHXLT-UHFFFAOYSA-N 1 2 278.421 3.940 20 0 CHADLO CC[C@@H](C)C[N@H+](CC)Cc1ncsc1Br ZINC000659984035 1120323406 /nfs/dbraw/zinc/32/34/06/1120323406.db2.gz APWMWYXVYUXQMP-SECBINFHSA-N 1 2 291.258 3.774 20 0 CHADLO CC[C@@H](C)C[N@@H+](CC)Cc1ncsc1Br ZINC000659984035 1120323409 /nfs/dbraw/zinc/32/34/09/1120323409.db2.gz APWMWYXVYUXQMP-SECBINFHSA-N 1 2 291.258 3.774 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1ccc(Cl)s1 ZINC000731029224 1121841843 /nfs/dbraw/zinc/84/18/43/1121841843.db2.gz OUULFMMCXUOLAK-UHFFFAOYSA-N 1 2 286.809 3.704 20 0 CHADLO COc1c(C)c[nH+]c(CSCC2CC(F)(F)C2)c1C ZINC000419450765 1121942349 /nfs/dbraw/zinc/94/23/49/1121942349.db2.gz BFCTWMHFXVLMSD-UHFFFAOYSA-N 1 2 287.375 3.986 20 0 CHADLO Fc1cccc(CCC[N@H+]2CCCC[C@H]2C(F)F)c1 ZINC000502860294 1122152135 /nfs/dbraw/zinc/15/21/35/1122152135.db2.gz HTPCMHPCXLRQAS-AWEZNQCLSA-N 1 2 271.326 3.878 20 0 CHADLO Fc1cccc(CCC[N@@H+]2CCCC[C@H]2C(F)F)c1 ZINC000502860294 1122152139 /nfs/dbraw/zinc/15/21/39/1122152139.db2.gz HTPCMHPCXLRQAS-AWEZNQCLSA-N 1 2 271.326 3.878 20 0 CHADLO C[C@@H]1CCOCC[N@@H+]1Cc1ccc(Cl)cc1Cl ZINC000775567530 1122673651 /nfs/dbraw/zinc/67/36/51/1122673651.db2.gz ZDQKUOUMRAVOJR-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1CCOCC[N@H+]1Cc1ccc(Cl)cc1Cl ZINC000775567530 1122673655 /nfs/dbraw/zinc/67/36/55/1122673655.db2.gz ZDQKUOUMRAVOJR-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO CCSCC[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000438543707 1122708711 /nfs/dbraw/zinc/70/87/11/1122708711.db2.gz DJDFIBYAVGDETH-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO CCSCC[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000438543707 1122708714 /nfs/dbraw/zinc/70/87/14/1122708714.db2.gz DJDFIBYAVGDETH-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@@H+]1CCC[C@@H](F)C(=O)C1 ZINC001201977687 1123159137 /nfs/dbraw/zinc/15/91/37/1123159137.db2.gz WGHYPFFTYMEDCT-HUUCEWRRSA-N 1 2 269.404 3.762 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@H+]1CCC[C@@H](F)C(=O)C1 ZINC001201977687 1123159141 /nfs/dbraw/zinc/15/91/41/1123159141.db2.gz WGHYPFFTYMEDCT-HUUCEWRRSA-N 1 2 269.404 3.762 20 0 CHADLO CC[C@@]1(C)C[N@H+]([C@@H](C)c2cc(F)ccc2F)CCO1 ZINC000442884264 1123347238 /nfs/dbraw/zinc/34/72/38/1123347238.db2.gz RWOQNLMFFAOAAZ-NHYWBVRUSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@]1(C)C[N@@H+]([C@H](C)c2cc(F)ccc2F)CCO1 ZINC000442884258 1123346489 /nfs/dbraw/zinc/34/64/89/1123346489.db2.gz RWOQNLMFFAOAAZ-ABAIWWIYSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@]1(C)C[N@H+]([C@H](C)c2cc(F)ccc2F)CCO1 ZINC000442884258 1123346492 /nfs/dbraw/zinc/34/64/92/1123346492.db2.gz RWOQNLMFFAOAAZ-ABAIWWIYSA-N 1 2 269.335 3.527 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335580381 1123382469 /nfs/dbraw/zinc/38/24/69/1123382469.db2.gz IGQHWUUCCBTPKU-BLVKFPJESA-N 1 2 299.867 3.634 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335580381 1123382473 /nfs/dbraw/zinc/38/24/73/1123382473.db2.gz IGQHWUUCCBTPKU-BLVKFPJESA-N 1 2 299.867 3.634 20 0 CHADLO Cc1[nH+]c2cc(OC/C(Cl)=C/Cl)ccc2n1C ZINC000853706513 1123448151 /nfs/dbraw/zinc/44/81/51/1123448151.db2.gz LMOUUNUGVDQFBC-TWGQIWQCSA-N 1 2 271.147 3.580 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+]Cc2ccnc(F)c2)cs1 ZINC000858140497 1123634646 /nfs/dbraw/zinc/63/46/46/1123634646.db2.gz CTTBRVAQIPBLFS-SNVBAGLBSA-N 1 2 279.384 3.651 20 0 CHADLO CCOc1cc(Cl)ccc1CNc1cccc[nH+]1 ZINC000301791562 1123933288 /nfs/dbraw/zinc/93/32/88/1123933288.db2.gz ZQZAWESWQJVWPQ-UHFFFAOYSA-N 1 2 262.740 3.746 20 0 CHADLO Cc1ccc2cc(C[NH2+][C@@H](C)C(C)(F)F)ccc2n1 ZINC000389459016 1124028931 /nfs/dbraw/zinc/02/89/31/1124028931.db2.gz DHBMJHZXMYGBMD-NSHDSACASA-N 1 2 264.319 3.677 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2cccc(F)c2)s1 ZINC000358295204 1124944365 /nfs/dbraw/zinc/94/43/65/1124944365.db2.gz ZMKFWGZRVGPVHC-SNVBAGLBSA-N 1 2 279.384 3.651 20 0 CHADLO Cc1csc([C@@H]2CC[N@H+](Cc3nc(Cl)cs3)C2)n1 ZINC000877812783 1125084314 /nfs/dbraw/zinc/08/43/14/1125084314.db2.gz BEYRIIHIGUPNNR-SECBINFHSA-N 1 2 299.852 3.551 20 0 CHADLO Cc1csc([C@@H]2CC[N@@H+](Cc3nc(Cl)cs3)C2)n1 ZINC000877812783 1125084321 /nfs/dbraw/zinc/08/43/21/1125084321.db2.gz BEYRIIHIGUPNNR-SECBINFHSA-N 1 2 299.852 3.551 20 0 CHADLO CCc1nc(C[NH2+]Cc2cscc2C(F)(F)F)co1 ZINC000825062473 1131496906 /nfs/dbraw/zinc/49/69/06/1131496906.db2.gz XNCRERPAJVCYFT-UHFFFAOYSA-N 1 2 290.310 3.607 20 0 CHADLO COc1cc(Cl)cc(C[N@@H+]2CCCC[C@H](F)C2)c1 ZINC001144479586 1131642210 /nfs/dbraw/zinc/64/22/10/1131642210.db2.gz ZBFZJIGYWOUYFD-ZDUSSCGKSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(Cl)cc(C[N@H+]2CCCC[C@H](F)C2)c1 ZINC001144479586 1131642213 /nfs/dbraw/zinc/64/22/13/1131642213.db2.gz ZBFZJIGYWOUYFD-ZDUSSCGKSA-N 1 2 271.763 3.673 20 0 CHADLO Fc1cc(Cl)cc(C[NH+]2CC3(C2)C[C@@H](F)CS3)c1 ZINC001140542103 1131785669 /nfs/dbraw/zinc/78/56/69/1131785669.db2.gz BLPGJJXDAXKUQY-GFCCVEGCSA-N 1 2 289.778 3.509 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnccc2C(F)(F)F)CCC1(F)F ZINC001140914984 1131961575 /nfs/dbraw/zinc/96/15/75/1131961575.db2.gz CECCICFTEVDWCY-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnccc2C(F)(F)F)CCC1(F)F ZINC001140914984 1131961584 /nfs/dbraw/zinc/96/15/84/1131961584.db2.gz CECCICFTEVDWCY-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CC[C@@H]2C)cc1Br ZINC001231723426 1132347873 /nfs/dbraw/zinc/34/78/73/1132347873.db2.gz LVBWTDWEZFGIPO-NSHDSACASA-N 1 2 298.224 3.831 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CC[C@@H]2C)cc1Br ZINC001231723426 1132347881 /nfs/dbraw/zinc/34/78/81/1132347881.db2.gz LVBWTDWEZFGIPO-NSHDSACASA-N 1 2 298.224 3.831 20 0 CHADLO Fc1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc1 ZINC001173799091 1132532281 /nfs/dbraw/zinc/53/22/81/1132532281.db2.gz IFGJHPQSHZRWGT-UHFFFAOYSA-N 1 2 272.201 3.863 20 0 CHADLO Oc1c(F)ccc(C[NH+]2C3CCCC2CCC3)c1F ZINC001232941036 1132543702 /nfs/dbraw/zinc/54/37/02/1132543702.db2.gz SYHIDVJLLFINDO-UHFFFAOYSA-N 1 2 267.319 3.577 20 0 CHADLO Fc1c(Cl)ccc(C[N@H+]2C[C@H]3[C@H](CC3(F)F)C2)c1F ZINC001233207826 1132580648 /nfs/dbraw/zinc/58/06/48/1132580648.db2.gz QTGFNSHEZXFCDF-BDAKNGLRSA-N 1 2 293.691 3.705 20 0 CHADLO Fc1c(Cl)ccc(C[N@@H+]2C[C@H]3[C@H](CC3(F)F)C2)c1F ZINC001233207826 1132580654 /nfs/dbraw/zinc/58/06/54/1132580654.db2.gz QTGFNSHEZXFCDF-BDAKNGLRSA-N 1 2 293.691 3.705 20 0 CHADLO Clc1cncc(C[N@@H+]2CCC[C@H]2c2cccs2)n1 ZINC001233449126 1132625767 /nfs/dbraw/zinc/62/57/67/1132625767.db2.gz RDPMHAZCADPIIS-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1cncc(C[N@H+]2CCC[C@H]2c2cccs2)n1 ZINC001233449126 1132625771 /nfs/dbraw/zinc/62/57/71/1132625771.db2.gz RDPMHAZCADPIIS-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCCC(F)(F)[C@H](F)C2)c1 ZINC001205616824 1133595147 /nfs/dbraw/zinc/59/51/47/1133595147.db2.gz LAPXWOUBNMYTHM-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCCC(F)(F)[C@H](F)C2)c1 ZINC001205616824 1133595148 /nfs/dbraw/zinc/59/51/48/1133595148.db2.gz LAPXWOUBNMYTHM-CYBMUJFWSA-N 1 2 275.289 3.703 20 0 CHADLO C[C@@H](C[N@@H+]1CCC[C@H](F)C(F)(F)C1)c1ccccc1 ZINC001207899478 1133859862 /nfs/dbraw/zinc/85/98/62/1133859862.db2.gz BUZNIAWDLZLZOE-JSGCOSHPSA-N 1 2 271.326 3.859 20 0 CHADLO C[C@@H](C[N@H+]1CCC[C@H](F)C(F)(F)C1)c1ccccc1 ZINC001207899478 1133859869 /nfs/dbraw/zinc/85/98/69/1133859869.db2.gz BUZNIAWDLZLZOE-JSGCOSHPSA-N 1 2 271.326 3.859 20 0 CHADLO COC(=O)CCCCCCCCC[N@@H+]1CCC(F)(F)C1 ZINC001209093079 1133974384 /nfs/dbraw/zinc/97/43/84/1133974384.db2.gz CXOPFANHFWNUCL-UHFFFAOYSA-N 1 2 291.382 3.621 20 0 CHADLO COC(=O)CCCCCCCCC[N@H+]1CCC(F)(F)C1 ZINC001209093079 1133974389 /nfs/dbraw/zinc/97/43/89/1133974389.db2.gz CXOPFANHFWNUCL-UHFFFAOYSA-N 1 2 291.382 3.621 20 0 CHADLO Cc1cc(N[C@H](C)c2cccc(O)c2)nc(C2CC2)[nH+]1 ZINC000116260732 1125508740 /nfs/dbraw/zinc/50/87/40/1125508740.db2.gz MAHCGUFPJKTXOP-LLVKDONJSA-N 1 2 269.348 3.541 20 0 CHADLO CC[N@H+](Cc1nc(C2CC2)no1)[C@H](C)c1ccc(F)cc1 ZINC000053088729 1125520376 /nfs/dbraw/zinc/52/03/76/1125520376.db2.gz KYDFTUAMXQUBJG-LLVKDONJSA-N 1 2 289.354 3.669 20 0 CHADLO CC[N@@H+](Cc1nc(C2CC2)no1)[C@H](C)c1ccc(F)cc1 ZINC000053088729 1125520378 /nfs/dbraw/zinc/52/03/78/1125520378.db2.gz KYDFTUAMXQUBJG-LLVKDONJSA-N 1 2 289.354 3.669 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2cccc(OC(C)C)c2)n1 ZINC000118403479 1125522721 /nfs/dbraw/zinc/52/27/21/1125522721.db2.gz DCHZAQIOEADVFQ-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2cccc(OC(C)C)c2)n1 ZINC000118403479 1125522724 /nfs/dbraw/zinc/52/27/24/1125522724.db2.gz DCHZAQIOEADVFQ-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cl/C=C/C[N@@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000135119299 1125578717 /nfs/dbraw/zinc/57/87/17/1125578717.db2.gz KBUJJWYNRZTOPH-DXWDOBMASA-N 1 2 272.779 3.646 20 0 CHADLO Cl/C=C/C[N@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000135119299 1125578720 /nfs/dbraw/zinc/57/87/20/1125578720.db2.gz KBUJJWYNRZTOPH-DXWDOBMASA-N 1 2 272.779 3.646 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccsc2)[C@@H](c2ccccc2)CO1 ZINC000245749849 1125593147 /nfs/dbraw/zinc/59/31/47/1125593147.db2.gz NOEFQSWAGGEQMZ-CZUORRHYSA-N 1 2 273.401 3.710 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccsc2)[C@@H](c2ccccc2)CO1 ZINC000245749849 1125593155 /nfs/dbraw/zinc/59/31/55/1125593155.db2.gz NOEFQSWAGGEQMZ-CZUORRHYSA-N 1 2 273.401 3.710 20 0 CHADLO CC(C)[C@@H](C)[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000533462135 1125609427 /nfs/dbraw/zinc/60/94/27/1125609427.db2.gz RXPNUCJULUOGJN-GFCCVEGCSA-N 1 2 265.401 3.594 20 0 CHADLO CC(C)[C@@H](C)[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000533462135 1125609428 /nfs/dbraw/zinc/60/94/28/1125609428.db2.gz RXPNUCJULUOGJN-GFCCVEGCSA-N 1 2 265.401 3.594 20 0 CHADLO Cc1cc2c(c(C)c1)[C@@H]([NH2+]C1(C(F)F)CC1)CC2 ZINC000655762493 1125624501 /nfs/dbraw/zinc/62/45/01/1125624501.db2.gz GQHIKQGXFIOZNF-LBPRGKRZSA-N 1 2 251.320 3.678 20 0 CHADLO CC(C)c1noc(C[N@H+](C2CC2)[C@H]2CCc3ccccc32)n1 ZINC000067712328 1125626253 /nfs/dbraw/zinc/62/62/53/1125626253.db2.gz FEZHZOZXKXCSLQ-INIZCTEOSA-N 1 2 297.402 3.845 20 0 CHADLO CC(C)c1noc(C[N@@H+](C2CC2)[C@H]2CCc3ccccc32)n1 ZINC000067712328 1125626258 /nfs/dbraw/zinc/62/62/58/1125626258.db2.gz FEZHZOZXKXCSLQ-INIZCTEOSA-N 1 2 297.402 3.845 20 0 CHADLO Oc1ccc(Nc2ccccc2-n2cc[nH+]c2)c(F)c1F ZINC001212007720 1125647107 /nfs/dbraw/zinc/64/71/07/1125647107.db2.gz ZOZPWNSCLIKLSU-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)no1 ZINC000247483399 1125658380 /nfs/dbraw/zinc/65/83/80/1125658380.db2.gz KILACMUHPVEBGG-ZBEGNZNMSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)no1 ZINC000247483399 1125658384 /nfs/dbraw/zinc/65/83/84/1125658384.db2.gz KILACMUHPVEBGG-ZBEGNZNMSA-N 1 2 274.339 3.705 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CC[C@H]2CC)c1 ZINC000348377296 1125667724 /nfs/dbraw/zinc/66/77/24/1125667724.db2.gz MHJGVGPQXWHBFY-OLZOCXBDSA-N 1 2 250.411 3.935 20 0 CHADLO Cc1cnc(C[NH2+]C(C)(C)c2ccc(F)cc2F)s1 ZINC000886350061 1125673011 /nfs/dbraw/zinc/67/30/11/1125673011.db2.gz INASPUDXSHCVJO-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncco1)c1ccc(OC(F)F)cc1 ZINC000886529991 1125684046 /nfs/dbraw/zinc/68/40/46/1125684046.db2.gz BCERPIUYUCFOLZ-ZJUUUORDSA-N 1 2 282.290 3.688 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2c1cccc2Cl)c1ncco1 ZINC000886536860 1125685226 /nfs/dbraw/zinc/68/52/26/1125685226.db2.gz PQNOGARSEWDJOP-TVQRCGJNSA-N 1 2 262.740 3.666 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nc(C(F)F)no2)[C@H]1c1ccccc1 ZINC000886540661 1125686690 /nfs/dbraw/zinc/68/66/90/1125686690.db2.gz IYSVWQASUMITCV-ZWNOBZJWSA-N 1 2 293.317 3.590 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nc(C(F)F)no2)[C@H]1c1ccccc1 ZINC000886540661 1125686692 /nfs/dbraw/zinc/68/66/92/1125686692.db2.gz IYSVWQASUMITCV-ZWNOBZJWSA-N 1 2 293.317 3.590 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)cs1 ZINC000077171096 1125688704 /nfs/dbraw/zinc/68/87/04/1125688704.db2.gz ABRSCZYKLDSMJC-CQSZACIVSA-N 1 2 275.421 3.932 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)cs1 ZINC000077171096 1125688708 /nfs/dbraw/zinc/68/87/08/1125688708.db2.gz ABRSCZYKLDSMJC-CQSZACIVSA-N 1 2 275.421 3.932 20 0 CHADLO C[C@H]([NH2+]Cc1cscc1Cl)c1cc2n(n1)CCCC2 ZINC000886658070 1125704075 /nfs/dbraw/zinc/70/40/75/1125704075.db2.gz OIJFTDZYJKWGBV-JTQLQIEISA-N 1 2 295.839 3.785 20 0 CHADLO CCCCC[C@H](O)CNc1c(C)cc[nH+]c1C(C)C ZINC001253525370 1125710882 /nfs/dbraw/zinc/71/08/82/1125710882.db2.gz NURPITLOFWBMRT-AWEZNQCLSA-N 1 2 264.413 3.867 20 0 CHADLO Brc1ccccc1CSCCn1cc[nH+]c1 ZINC000090199468 1125739760 /nfs/dbraw/zinc/73/97/60/1125739760.db2.gz CETQQCZPFZYSQT-UHFFFAOYSA-N 1 2 297.221 3.579 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cnc(C2CCC2)s1 ZINC000162179986 1125742699 /nfs/dbraw/zinc/74/26/99/1125742699.db2.gz FZJVORRDFLCKRB-UHFFFAOYSA-N 1 2 262.378 3.636 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cnc(C2CCC2)s1 ZINC000162179986 1125742704 /nfs/dbraw/zinc/74/27/04/1125742704.db2.gz FZJVORRDFLCKRB-UHFFFAOYSA-N 1 2 262.378 3.636 20 0 CHADLO CCc1ccc(C[NH2+][C@H](COC)c2ccc(F)c(F)c2)o1 ZINC000091071136 1125747991 /nfs/dbraw/zinc/74/79/91/1125747991.db2.gz SNPSSAXGEYNANJ-MRXNPFEDSA-N 1 2 295.329 3.598 20 0 CHADLO Clc1ccncc1C[N@H+](Cc1ccccc1)C1CC1 ZINC001137238444 1125756008 /nfs/dbraw/zinc/75/60/08/1125756008.db2.gz WSSTYLWGJTVARY-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO Clc1ccncc1C[N@@H+](Cc1ccccc1)C1CC1 ZINC001137238444 1125756013 /nfs/dbraw/zinc/75/60/13/1125756013.db2.gz WSSTYLWGJTVARY-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1cnccc1Cl ZINC001137238544 1125756259 /nfs/dbraw/zinc/75/62/59/1125756259.db2.gz YVMRQXYWRNAEFK-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1cnccc1Cl ZINC001137238544 1125756267 /nfs/dbraw/zinc/75/62/67/1125756267.db2.gz YVMRQXYWRNAEFK-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO FC(F)(F)C1(C(F)(F)F)CC[N@H+](Cc2ccco2)C1 ZINC000093532441 1125769959 /nfs/dbraw/zinc/76/99/59/1125769959.db2.gz WDWPMJXHLNXQOC-UHFFFAOYSA-N 1 2 287.203 3.596 20 0 CHADLO FC(F)(F)C1(C(F)(F)F)CC[N@@H+](Cc2ccco2)C1 ZINC000093532441 1125769964 /nfs/dbraw/zinc/76/99/64/1125769964.db2.gz WDWPMJXHLNXQOC-UHFFFAOYSA-N 1 2 287.203 3.596 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](c3ccsc3)O[C@@H](C)C2)o1 ZINC000093768839 1125772677 /nfs/dbraw/zinc/77/26/77/1125772677.db2.gz LFUYGXSBMWIEDE-WFASDCNBSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](c3ccsc3)O[C@@H](C)C2)o1 ZINC000093768839 1125772684 /nfs/dbraw/zinc/77/26/84/1125772684.db2.gz LFUYGXSBMWIEDE-WFASDCNBSA-N 1 2 277.389 3.612 20 0 CHADLO CC1=CC[N@H+](Cc2cc(F)cc(Br)c2)CC1 ZINC000533760738 1125779307 /nfs/dbraw/zinc/77/93/07/1125779307.db2.gz JIBGZSUGRWZCBV-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CC[N@@H+](Cc2cc(F)cc(Br)c2)CC1 ZINC000533760738 1125779312 /nfs/dbraw/zinc/77/93/12/1125779312.db2.gz JIBGZSUGRWZCBV-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)[N@H+]2CC=C(C)CC2)c(Cl)c1 ZINC000533765153 1125780616 /nfs/dbraw/zinc/78/06/16/1125780616.db2.gz FUBNCGSSQYLDRR-ZDUSSCGKSA-N 1 2 292.810 3.627 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)[N@@H+]2CC=C(C)CC2)c(Cl)c1 ZINC000533765153 1125780627 /nfs/dbraw/zinc/78/06/27/1125780627.db2.gz FUBNCGSSQYLDRR-ZDUSSCGKSA-N 1 2 292.810 3.627 20 0 CHADLO Cc1cccc2c1CN(c1nc(C)[nH+]c3c1CCCC3)CC2 ZINC000428670625 1125787087 /nfs/dbraw/zinc/78/70/87/1125787087.db2.gz SSHQLFXZYDSVAX-UHFFFAOYSA-N 1 2 293.414 3.535 20 0 CHADLO COc1ccc(F)c(-c2c[nH+]c(C)cc2OC)c1Cl ZINC001245885887 1131231884 /nfs/dbraw/zinc/23/18/84/1131231884.db2.gz GVIFVVQJUBXRDR-UHFFFAOYSA-N 1 2 281.714 3.867 20 0 CHADLO CCCn1c(C)nn(C[N@@H+]2CCC[C@](C)(CC)C2)c1=S ZINC000089651254 1129245351 /nfs/dbraw/zinc/24/53/51/1129245351.db2.gz CAIOHDPWFONRCR-HNNXBMFYSA-N 1 2 296.484 3.602 20 0 CHADLO CCCn1c(C)nn(C[N@H+]2CCC[C@](C)(CC)C2)c1=S ZINC000089651254 1129245354 /nfs/dbraw/zinc/24/53/54/1129245354.db2.gz CAIOHDPWFONRCR-HNNXBMFYSA-N 1 2 296.484 3.602 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+](C)Cc1ccccn1 ZINC001238697289 1131232236 /nfs/dbraw/zinc/23/22/36/1131232236.db2.gz KDMWXDULCHZMCB-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+](C)Cc1ccccn1 ZINC001238697289 1131232240 /nfs/dbraw/zinc/23/22/40/1131232240.db2.gz KDMWXDULCHZMCB-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO C[N@H+](Cn1nc(-c2cccs2)oc1=S)CC(C)(C)C ZINC000738088737 1129389606 /nfs/dbraw/zinc/38/96/06/1129389606.db2.gz HOBFAMIZEOQAFM-UHFFFAOYSA-N 1 2 297.449 3.869 20 0 CHADLO C[N@@H+](Cn1nc(-c2cccs2)oc1=S)CC(C)(C)C ZINC000738088737 1129389612 /nfs/dbraw/zinc/38/96/12/1129389612.db2.gz HOBFAMIZEOQAFM-UHFFFAOYSA-N 1 2 297.449 3.869 20 0 CHADLO CCCCCC[N@@H+]1CCCC[C@@H]1c1noc(CC)n1 ZINC000740875269 1129421851 /nfs/dbraw/zinc/42/18/51/1129421851.db2.gz PNNHZGUSSQHJTI-CYBMUJFWSA-N 1 2 265.401 3.739 20 0 CHADLO CCCCCC[N@H+]1CCCC[C@@H]1c1noc(CC)n1 ZINC000740875269 1129421854 /nfs/dbraw/zinc/42/18/54/1129421854.db2.gz PNNHZGUSSQHJTI-CYBMUJFWSA-N 1 2 265.401 3.739 20 0 CHADLO FC(F)[C@H]([NH2+]CC1CC1)c1ccc(Br)cc1 ZINC000621750339 1129193976 /nfs/dbraw/zinc/19/39/76/1129193976.db2.gz NLJIMEQIQHUHAF-LLVKDONJSA-N 1 2 290.151 3.755 20 0 CHADLO Fc1cc2c(cc1F)C[NH+](C[C@H]1CCC(F)(F)C1)C2 ZINC000653830673 1129797445 /nfs/dbraw/zinc/79/74/45/1129797445.db2.gz FMJCZVTUMROFIV-VIFPVBQESA-N 1 2 273.273 3.716 20 0 CHADLO CC(C)([NH2+]C/C(Cl)=C\Cl)c1ccccc1F ZINC000381114249 1129834560 /nfs/dbraw/zinc/83/45/60/1129834560.db2.gz GSUIUXUYISSSBH-VQHVLOKHSA-N 1 2 262.155 3.969 20 0 CHADLO COC/C(C)=C/CSCc1[nH+]cc(C)c(OC)c1C ZINC000901780195 1129952108 /nfs/dbraw/zinc/95/21/08/1129952108.db2.gz ZQTBZRZWIVCEIR-IZZDOVSWSA-N 1 2 281.421 3.533 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2ncccn2)c(C)s1 ZINC000930196034 1129952763 /nfs/dbraw/zinc/95/27/63/1129952763.db2.gz VNELKOUARZHHOC-GHMZBOCLSA-N 1 2 261.394 3.567 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)nc1)c1cscn1 ZINC000788982852 1130220647 /nfs/dbraw/zinc/22/06/47/1130220647.db2.gz IWBRWQJJRBPQLT-DTWKUNHWSA-N 1 2 267.785 3.603 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@H+]2Cc2cc3ccccc3o2)n1 ZINC000135274126 1126715337 /nfs/dbraw/zinc/71/53/37/1126715337.db2.gz ITYFHDRSJGNCLI-OAHLLOKOSA-N 1 2 281.359 3.883 20 0 CHADLO c1c[nH]c([C@H]2CCCC[N@@H+]2Cc2cc3ccccc3o2)n1 ZINC000135274126 1126715340 /nfs/dbraw/zinc/71/53/40/1126715340.db2.gz ITYFHDRSJGNCLI-OAHLLOKOSA-N 1 2 281.359 3.883 20 0 CHADLO C[C@@H]1CCC[C@@H](Nc2ccc(N3CCCC3)c[nH+]2)C1 ZINC000338143780 1126720720 /nfs/dbraw/zinc/72/07/20/1126720720.db2.gz POZONPQQTRFRAO-ZIAGYGMSSA-N 1 2 259.397 3.672 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)[C@H](C)c1nnc(C)s1 ZINC000338361971 1126737749 /nfs/dbraw/zinc/73/77/49/1126737749.db2.gz PZDPMITYYDKGOT-KXXVWKPMSA-N 1 2 287.432 3.943 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)[C@H](C)c1nnc(C)s1 ZINC000338361971 1126737754 /nfs/dbraw/zinc/73/77/54/1126737754.db2.gz PZDPMITYYDKGOT-KXXVWKPMSA-N 1 2 287.432 3.943 20 0 CHADLO CCc1ccc(C[NH2+][C@@](C)(CC)c2nccs2)o1 ZINC000150815279 1126745469 /nfs/dbraw/zinc/74/54/69/1126745469.db2.gz JUUWBQZFWUWBPZ-AWEZNQCLSA-N 1 2 264.394 3.714 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2ccc(CC(C)C)cc2)C2CC2)o1 ZINC000152590099 1126757150 /nfs/dbraw/zinc/75/71/50/1126757150.db2.gz CAQFTSLUVFLNIR-SFHVURJKSA-N 1 2 299.418 3.817 20 0 CHADLO Cc1nc(C[N@@H+]2CCCC[C@H]2c2cccc(C)c2C)no1 ZINC000174201415 1126767278 /nfs/dbraw/zinc/76/72/78/1126767278.db2.gz VGZPIJUXBJOIPK-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc(C[N@H+]2CCCC[C@H]2c2cccc(C)c2C)no1 ZINC000174201415 1126767279 /nfs/dbraw/zinc/76/72/79/1126767279.db2.gz VGZPIJUXBJOIPK-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO COc1ccc(OC)c([C@H](C)[NH2+][C@@H](C)c2cscn2)c1 ZINC000162324491 1126771111 /nfs/dbraw/zinc/77/11/11/1126771111.db2.gz WZSMDXJJHRDUOQ-QWRGUYRKSA-N 1 2 292.404 3.572 20 0 CHADLO Cc1nc(C[NH2+]Cc2cc(F)cc(Cl)c2)cs1 ZINC000160912765 1126786956 /nfs/dbraw/zinc/78/69/56/1126786956.db2.gz RXHKLSBIHUPYSH-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO Fc1cccc(C[NH+]2CC(CC(F)F)C2)c1OC(F)F ZINC000668251412 1126789581 /nfs/dbraw/zinc/78/95/81/1126789581.db2.gz YVRUOYUKHMCIKU-UHFFFAOYSA-N 1 2 295.251 3.514 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@H+](CC)[C@@H](C)c1cccc(O)c1 ZINC000746453292 1126789936 /nfs/dbraw/zinc/78/99/36/1126789936.db2.gz GJWSCKPRYUTCHI-UONOGXRCSA-N 1 2 293.407 3.507 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@@H+](CC)[C@@H](C)c1cccc(O)c1 ZINC000746453292 1126789940 /nfs/dbraw/zinc/78/99/40/1126789940.db2.gz GJWSCKPRYUTCHI-UONOGXRCSA-N 1 2 293.407 3.507 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+]2CCc3sccc3C2)c1 ZINC000483518180 1126790969 /nfs/dbraw/zinc/79/09/69/1126790969.db2.gz LILOFHPTJIFRKI-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc(Cl)c(C[N@H+]2CCc3sccc3C2)c1 ZINC000483518180 1126790972 /nfs/dbraw/zinc/79/09/72/1126790972.db2.gz LILOFHPTJIFRKI-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CC(C(=O)Nc1cccc(-n2cc[nH+]c2)c1)=C1CCCC1 ZINC000176556417 1126791823 /nfs/dbraw/zinc/79/18/23/1126791823.db2.gz CTTZTLSGVLJWDP-UHFFFAOYSA-N 1 2 281.359 3.701 20 0 CHADLO CCC[N@H+](Cc1ncnn1C)[C@H](C)c1cc2ccccc2o1 ZINC000177044248 1126797055 /nfs/dbraw/zinc/79/70/55/1126797055.db2.gz LIWHUYSJIJSNED-CYBMUJFWSA-N 1 2 298.390 3.535 20 0 CHADLO CCC[N@@H+](Cc1ncnn1C)[C@H](C)c1cc2ccccc2o1 ZINC000177044248 1126797060 /nfs/dbraw/zinc/79/70/60/1126797060.db2.gz LIWHUYSJIJSNED-CYBMUJFWSA-N 1 2 298.390 3.535 20 0 CHADLO CC[N@H+](Cc1nccn1C(F)F)[C@H](C)c1cccc(O)c1 ZINC000178378535 1126812360 /nfs/dbraw/zinc/81/23/60/1126812360.db2.gz SUCQYGCEQOMGOP-LLVKDONJSA-N 1 2 295.333 3.567 20 0 CHADLO CC[N@@H+](Cc1nccn1C(F)F)[C@H](C)c1cccc(O)c1 ZINC000178378535 1126812362 /nfs/dbraw/zinc/81/23/62/1126812362.db2.gz SUCQYGCEQOMGOP-LLVKDONJSA-N 1 2 295.333 3.567 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1nc3ccc(F)cc3o1)C2 ZINC000484023042 1126809910 /nfs/dbraw/zinc/80/99/10/1126809910.db2.gz YRMVJDZZVDDUIG-UHFFFAOYSA-N 1 2 296.345 3.834 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1nc3ccc(F)cc3o1)C2 ZINC000484023042 1126809912 /nfs/dbraw/zinc/80/99/12/1126809912.db2.gz YRMVJDZZVDDUIG-UHFFFAOYSA-N 1 2 296.345 3.834 20 0 CHADLO Cc1nc(-c2ccccc2)sc1[C@@H](C)[NH2+]Cc1ccon1 ZINC000178263377 1126810470 /nfs/dbraw/zinc/81/04/70/1126810470.db2.gz RYGCOPGNMLJMKF-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO CC(C)([NH2+]Cc1nc(C2CC2)no1)c1cccc(Cl)c1 ZINC000179601204 1126830612 /nfs/dbraw/zinc/83/06/12/1126830612.db2.gz LQDUYCIMZGABEC-UHFFFAOYSA-N 1 2 291.782 3.625 20 0 CHADLO Cc1n[nH]cc1C[N@@H+](C)Cc1cccc(Cl)c1Cl ZINC000179611140 1126831238 /nfs/dbraw/zinc/83/12/38/1126831238.db2.gz QDKUUMZTWHRNLB-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1n[nH]cc1C[N@H+](C)Cc1cccc(Cl)c1Cl ZINC000179611140 1126831240 /nfs/dbraw/zinc/83/12/40/1126831240.db2.gz QDKUUMZTWHRNLB-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1nc([C@@H]([NH2+][C@H](C)C2CC2)c2ccc(Cl)cc2)no1 ZINC000179889408 1126835707 /nfs/dbraw/zinc/83/57/07/1126835707.db2.gz VHNVSTFUGOSNSB-OTYXRUKQSA-N 1 2 291.782 3.509 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc([C@H]2CCC(C)=C(C)C2)n1 ZINC000904263059 1126840203 /nfs/dbraw/zinc/84/02/03/1126840203.db2.gz PPRLCBXZQNKGSD-LBPRGKRZSA-N 1 2 284.363 3.626 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc(F)cc2)C2CC2)s1 ZINC000180406188 1126843414 /nfs/dbraw/zinc/84/34/14/1126843414.db2.gz IAQNEQLOKBIUNJ-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc(F)cc2)C2CC2)s1 ZINC000180406188 1126843419 /nfs/dbraw/zinc/84/34/19/1126843419.db2.gz IAQNEQLOKBIUNJ-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2ccc(F)cc2)s1 ZINC000180406533 1126843534 /nfs/dbraw/zinc/84/35/34/1126843534.db2.gz KVXQGQUEHDMSKO-LLVKDONJSA-N 1 2 264.369 3.784 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2ccc(F)cc2)s1 ZINC000180406533 1126843537 /nfs/dbraw/zinc/84/35/37/1126843537.db2.gz KVXQGQUEHDMSKO-LLVKDONJSA-N 1 2 264.369 3.784 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@H+](C)Cc2ncc(C)s2)s1 ZINC000180508309 1126845421 /nfs/dbraw/zinc/84/54/21/1126845421.db2.gz TWTPWCAFSDWMHH-LLVKDONJSA-N 1 2 295.477 3.972 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@@H+](C)Cc2ncc(C)s2)s1 ZINC000180508309 1126845423 /nfs/dbraw/zinc/84/54/23/1126845423.db2.gz TWTPWCAFSDWMHH-LLVKDONJSA-N 1 2 295.477 3.972 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ncc(C)s3)CCCC2)n1 ZINC000180597859 1126846770 /nfs/dbraw/zinc/84/67/70/1126846770.db2.gz YVQAKRLOYUEHAG-UHFFFAOYSA-N 1 2 293.461 3.776 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCOc2c(F)cccc21)c1nccs1 ZINC000181322966 1126854378 /nfs/dbraw/zinc/85/43/78/1126854378.db2.gz SLBLLHLYIHMNQD-ZWNOBZJWSA-N 1 2 292.379 3.847 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCOc2c(F)cccc21)c1nccs1 ZINC000181323004 1126855015 /nfs/dbraw/zinc/85/50/15/1126855015.db2.gz SLBLLHLYIHMNQD-MFKMUULPSA-N 1 2 292.379 3.847 20 0 CHADLO CCCCc1nc(C[NH2+][C@H](C)c2ccccn2)cs1 ZINC000181810599 1126861955 /nfs/dbraw/zinc/86/19/55/1126861955.db2.gz NSHDVLQHODFYEW-GFCCVEGCSA-N 1 2 275.421 3.732 20 0 CHADLO Cc1c[nH+]c2cc(-c3nc(-c4ccccc4C)no3)ccn12 ZINC000904565741 1126858617 /nfs/dbraw/zinc/85/86/17/1126858617.db2.gz XVIIYSWWWUHPPS-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO CCC[C@H]([NH2+]Cc1nnc(C(C)C)o1)c1ccccc1 ZINC000181779047 1126860627 /nfs/dbraw/zinc/86/06/27/1126860627.db2.gz WXYHZGYOVWOESW-AWEZNQCLSA-N 1 2 273.380 3.824 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nnc(C(C)C)o2)c(C)s1 ZINC000182978807 1126881249 /nfs/dbraw/zinc/88/12/49/1126881249.db2.gz VVHIPVMDGHOPIX-JTQLQIEISA-N 1 2 279.409 3.722 20 0 CHADLO CCOC(=O)[C@H](CC)[N@H+](CC)Cc1ccc(Cl)s1 ZINC000485174019 1126891597 /nfs/dbraw/zinc/89/15/97/1126891597.db2.gz OWWULOTWCXOQDJ-NSHDSACASA-N 1 2 289.828 3.565 20 0 CHADLO CCOC(=O)[C@H](CC)[N@@H+](CC)Cc1ccc(Cl)s1 ZINC000485174019 1126891600 /nfs/dbraw/zinc/89/16/00/1126891600.db2.gz OWWULOTWCXOQDJ-NSHDSACASA-N 1 2 289.828 3.565 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@H](C)c2cc(C)oc2C)cs1 ZINC000183787960 1126891988 /nfs/dbraw/zinc/89/19/88/1126891988.db2.gz YZCHAQVFQZEZNY-PWSUYJOCSA-N 1 2 294.420 3.911 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2nc(C)c(C)s2)s1 ZINC000183929241 1126893712 /nfs/dbraw/zinc/89/37/12/1126893712.db2.gz LXSHZNCCLQATBZ-UHFFFAOYSA-N 1 2 281.450 3.550 20 0 CHADLO CC[N@@H+](C/C(Cl)=C/Cl)[C@H](C)[C@@H](O)c1ccccc1 ZINC000812848566 1130722193 /nfs/dbraw/zinc/72/21/93/1130722193.db2.gz KXDGOPHIGURSIA-OIVWFDOYSA-N 1 2 288.218 3.749 20 0 CHADLO CCc1nocc1CNc1[nH+]c2ccccc2n1CC1CC1 ZINC000905425572 1126901941 /nfs/dbraw/zinc/90/19/41/1126901941.db2.gz FHMMYQIKVSGUGB-UHFFFAOYSA-N 1 2 296.374 3.609 20 0 CHADLO COCC1=CC[N@H+](Cc2csc(C3CCCC3)n2)CC1 ZINC000185845483 1126903736 /nfs/dbraw/zinc/90/37/36/1126903736.db2.gz TWRKCZQRWSGEDK-UHFFFAOYSA-N 1 2 292.448 3.579 20 0 CHADLO COCC1=CC[N@@H+](Cc2csc(C3CCCC3)n2)CC1 ZINC000185845483 1126903740 /nfs/dbraw/zinc/90/37/40/1126903740.db2.gz TWRKCZQRWSGEDK-UHFFFAOYSA-N 1 2 292.448 3.579 20 0 CHADLO CC(C)c1ccc(C[NH+]2CC(C)(C)C2)c(Cl)n1 ZINC001238724103 1131238628 /nfs/dbraw/zinc/23/86/28/1131238628.db2.gz IOGRLLBIKHTSDD-UHFFFAOYSA-N 1 2 252.789 3.700 20 0 CHADLO C[N@H+](C/C(Cl)=C\Cl)CC1(c2ccccc2)CC1 ZINC000781929418 1130748255 /nfs/dbraw/zinc/74/82/55/1130748255.db2.gz KWRXIZDRRFHORP-UKTHLTGXSA-N 1 2 270.203 3.969 20 0 CHADLO C[N@@H+](C/C(Cl)=C\Cl)CC1(c2ccccc2)CC1 ZINC000781929418 1130748259 /nfs/dbraw/zinc/74/82/59/1130748259.db2.gz KWRXIZDRRFHORP-UKTHLTGXSA-N 1 2 270.203 3.969 20 0 CHADLO CC[C@H](C)CC(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000189916528 1126936193 /nfs/dbraw/zinc/93/61/93/1126936193.db2.gz NPOGMLRUQBONCI-LBPRGKRZSA-N 1 2 295.452 3.504 20 0 CHADLO c1cn(-c2ccc(CSCC[C@@H]3CCCO3)cc2)c[nH+]1 ZINC000190168793 1126939876 /nfs/dbraw/zinc/93/98/76/1126939876.db2.gz GMLPDPMTCCOIBZ-INIZCTEOSA-N 1 2 288.416 3.675 20 0 CHADLO CCC(CC)(CC)[S@@](=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000190593949 1126942982 /nfs/dbraw/zinc/94/29/82/1126942982.db2.gz BYNZEOSIGVEDBE-FQEVSTJZSA-N 1 2 292.448 3.860 20 0 CHADLO CC(C)(C)C[N@H+](CC1CCOCC1)c1ccccc1 ZINC000485808314 1126954452 /nfs/dbraw/zinc/95/44/52/1126954452.db2.gz YBNDLBDPVHWCJI-UHFFFAOYSA-N 1 2 261.409 3.966 20 0 CHADLO CC(C)(C)C[N@@H+](CC1CCOCC1)c1ccccc1 ZINC000485808314 1126954454 /nfs/dbraw/zinc/95/44/54/1126954454.db2.gz YBNDLBDPVHWCJI-UHFFFAOYSA-N 1 2 261.409 3.966 20 0 CHADLO CC(C)[N@H+](Cc1cocn1)Cc1cccc(Cl)c1 ZINC000191927642 1126956453 /nfs/dbraw/zinc/95/64/53/1126956453.db2.gz BLTWDJCBEMXGHC-UHFFFAOYSA-N 1 2 264.756 3.739 20 0 CHADLO CC(C)[N@@H+](Cc1cocn1)Cc1cccc(Cl)c1 ZINC000191927642 1126956454 /nfs/dbraw/zinc/95/64/54/1126956454.db2.gz BLTWDJCBEMXGHC-UHFFFAOYSA-N 1 2 264.756 3.739 20 0 CHADLO Cc1ccc(CC[N@@H+](Cc2cocn2)Cc2ccco2)cc1 ZINC000191946063 1126956594 /nfs/dbraw/zinc/95/65/94/1126956594.db2.gz OVFNSGTUZHDOQE-UHFFFAOYSA-N 1 2 296.370 3.821 20 0 CHADLO Cc1ccc(CC[N@H+](Cc2cocn2)Cc2ccco2)cc1 ZINC000191946063 1126956596 /nfs/dbraw/zinc/95/65/96/1126956596.db2.gz OVFNSGTUZHDOQE-UHFFFAOYSA-N 1 2 296.370 3.821 20 0 CHADLO C/C(=C\c1ccccc1)C[NH2+]C1(c2noc(C)n2)CCCC1 ZINC000192676187 1126963061 /nfs/dbraw/zinc/96/30/61/1126963061.db2.gz WBJOICUVBQNTGC-WYMLVPIESA-N 1 2 297.402 3.840 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1Cl)c1ccnn1C ZINC000193012925 1126965640 /nfs/dbraw/zinc/96/56/40/1126965640.db2.gz KVTZRLSORXHVJV-ZJUUUORDSA-N 1 2 281.762 3.624 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@@H](C)c2cccc(F)c2)cs1 ZINC000267020262 1126991905 /nfs/dbraw/zinc/99/19/05/1126991905.db2.gz QQBIZOAQCCKEEY-QWRGUYRKSA-N 1 2 294.395 3.840 20 0 CHADLO C[C@H]1CCN(c2ccc3ccccc3n2)C[C@@H]1n1cc[nH+]c1 ZINC000268288248 1127014790 /nfs/dbraw/zinc/01/47/90/1127014790.db2.gz TYWXCGPEBWNXSY-YOEHRIQHSA-N 1 2 292.386 3.519 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000268141878 1127012277 /nfs/dbraw/zinc/01/22/77/1127012277.db2.gz KRJSOUJQUVRIIO-UHFFFAOYSA-N 1 2 295.386 3.585 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000268141878 1127012279 /nfs/dbraw/zinc/01/22/79/1127012279.db2.gz KRJSOUJQUVRIIO-UHFFFAOYSA-N 1 2 295.386 3.585 20 0 CHADLO COc1cc(C)cc(NCc2c[nH+]c3c(C)cccn23)c1C ZINC000487186803 1127022512 /nfs/dbraw/zinc/02/25/12/1127022512.db2.gz LGGVMQOGLKGRRI-UHFFFAOYSA-N 1 2 295.386 3.880 20 0 CHADLO Cc1sccc1C[N@H+]1C[C@@H](C)O[C@H](CC(F)(F)F)C1 ZINC000668768170 1127027179 /nfs/dbraw/zinc/02/71/79/1127027179.db2.gz MDYQDSUMPXJEQC-BXKDBHETSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1sccc1C[N@@H+]1C[C@@H](C)O[C@H](CC(F)(F)F)C1 ZINC000668768170 1127027181 /nfs/dbraw/zinc/02/71/81/1127027181.db2.gz MDYQDSUMPXJEQC-BXKDBHETSA-N 1 2 293.354 3.598 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2nnc(C(C)C)s2)cc1 ZINC000269045606 1127033523 /nfs/dbraw/zinc/03/35/23/1127033523.db2.gz HKKHCQSGEQSOPC-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1sccc1Cl)CO2 ZINC000487549598 1127035009 /nfs/dbraw/zinc/03/50/09/1127035009.db2.gz GYBRSUZYADZPKB-NSHDSACASA-N 1 2 283.755 3.764 20 0 CHADLO Fc1cc(NC/C=C/c2ccncc2)ccc1-n1cc[nH+]c1 ZINC000487305525 1127031456 /nfs/dbraw/zinc/03/14/56/1127031456.db2.gz CWHHUCXLFSYDNL-OWOJBTEDSA-N 1 2 294.333 3.532 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2c(F)cccc2Cl)c(C)n1 ZINC000269026472 1127033115 /nfs/dbraw/zinc/03/31/15/1127033115.db2.gz QTQVYTDOCPNIIG-SECBINFHSA-N 1 2 293.773 3.737 20 0 CHADLO Cc1occc1C[NH2+][C@H]1COCc2cccc(Cl)c21 ZINC000924826591 1127039560 /nfs/dbraw/zinc/03/95/60/1127039560.db2.gz PWNCJUOHMLHAPX-AWEZNQCLSA-N 1 2 277.751 3.603 20 0 CHADLO CCC(CC)n1ccc(C[N@H+](C)Cc2cccs2)n1 ZINC000269655920 1127044256 /nfs/dbraw/zinc/04/42/56/1127044256.db2.gz HGOSNZVDWYHFPI-UHFFFAOYSA-N 1 2 277.437 3.938 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+](C)Cc2cccs2)n1 ZINC000269655920 1127044259 /nfs/dbraw/zinc/04/42/59/1127044259.db2.gz HGOSNZVDWYHFPI-UHFFFAOYSA-N 1 2 277.437 3.938 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2cc(Cl)ccc2n1C)c1ccco1 ZINC000269778453 1127046329 /nfs/dbraw/zinc/04/63/29/1127046329.db2.gz SWEFIOZEAKVVNO-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO CCCCNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000270405306 1127054591 /nfs/dbraw/zinc/05/45/91/1127054591.db2.gz LRXUSJGALYLHAM-UHFFFAOYSA-N 1 2 267.376 3.814 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000270527285 1127057777 /nfs/dbraw/zinc/05/77/77/1127057777.db2.gz DVZMDMAEMNTHNA-GFCCVEGCSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000270527285 1127057782 /nfs/dbraw/zinc/05/77/82/1127057782.db2.gz DVZMDMAEMNTHNA-GFCCVEGCSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@@H]1C[C@@H](C[N@H+](CC2CC2)c2ccccc2)CCO1 ZINC000488172098 1127059944 /nfs/dbraw/zinc/05/99/44/1127059944.db2.gz UVVSJRSYVFKSBW-ZBFHGGJFSA-N 1 2 259.393 3.718 20 0 CHADLO C[C@@H]1C[C@@H](C[N@@H+](CC2CC2)c2ccccc2)CCO1 ZINC000488172098 1127059948 /nfs/dbraw/zinc/05/99/48/1127059948.db2.gz UVVSJRSYVFKSBW-ZBFHGGJFSA-N 1 2 259.393 3.718 20 0 CHADLO CCC(CC)n1ccc(C[N@H+](CC)Cc2ccncc2)n1 ZINC000270969440 1127063938 /nfs/dbraw/zinc/06/39/38/1127063938.db2.gz CBQUSOJNFDJSSE-UHFFFAOYSA-N 1 2 286.423 3.661 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+](CC)Cc2ccncc2)n1 ZINC000270969440 1127063942 /nfs/dbraw/zinc/06/39/42/1127063942.db2.gz CBQUSOJNFDJSSE-UHFFFAOYSA-N 1 2 286.423 3.661 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cnc2ccc(Cl)cn12 ZINC000271166114 1127070580 /nfs/dbraw/zinc/07/05/80/1127070580.db2.gz ZIXUHGNYNZJQFB-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cnc2ccc(Cl)cn12 ZINC000271166114 1127070583 /nfs/dbraw/zinc/07/05/83/1127070583.db2.gz ZIXUHGNYNZJQFB-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO CC(C)CNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000271207992 1127073579 /nfs/dbraw/zinc/07/35/79/1127073579.db2.gz GSNRUUNNFRZYDH-UHFFFAOYSA-N 1 2 267.376 3.670 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@@H+]2Cc2nnc(C)s2)o1 ZINC000271382624 1127077727 /nfs/dbraw/zinc/07/77/27/1127077727.db2.gz DQYXKFUYUIZXAO-CYBMUJFWSA-N 1 2 291.420 3.865 20 0 CHADLO Cc1ccc([C@H]2CCCCC[N@H+]2Cc2nnc(C)s2)o1 ZINC000271382624 1127077730 /nfs/dbraw/zinc/07/77/30/1127077730.db2.gz DQYXKFUYUIZXAO-CYBMUJFWSA-N 1 2 291.420 3.865 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2cn[nH]c21)c1ccccc1Cl ZINC000271429182 1127080986 /nfs/dbraw/zinc/08/09/86/1127080986.db2.gz ARHOWNXSTHCAEF-IINYFYTJSA-N 1 2 275.783 3.791 20 0 CHADLO Cc1cnc(C[N@H+](C)CCOc2ccccc2Cl)s1 ZINC000271452761 1127082348 /nfs/dbraw/zinc/08/23/48/1127082348.db2.gz FBBQQZCTRQAAKU-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1cnc(C[N@@H+](C)CCOc2ccccc2Cl)s1 ZINC000271452761 1127082350 /nfs/dbraw/zinc/08/23/50/1127082350.db2.gz FBBQQZCTRQAAKU-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1ccc2c(c1C)OC[C@H]2[NH2+][C@H](C)c1nccs1 ZINC000271470512 1127084386 /nfs/dbraw/zinc/08/43/86/1127084386.db2.gz LVESSKNXVARNNT-DGCLKSJQSA-N 1 2 274.389 3.544 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2cccc(Cl)c2Cl)ncn1 ZINC000271499374 1127086361 /nfs/dbraw/zinc/08/63/61/1127086361.db2.gz LXCPIQGADONYES-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000271637674 1127095885 /nfs/dbraw/zinc/09/58/85/1127095885.db2.gz CCPBDKKKOIZNBX-VIFPVBQESA-N 1 2 280.318 3.674 20 0 CHADLO Cc1cn2cc(NC(=O)CCC3CCCC3)ccc2[nH+]1 ZINC000339126580 1127114682 /nfs/dbraw/zinc/11/46/82/1127114682.db2.gz ZVHZXNSRKGGLJF-UHFFFAOYSA-N 1 2 271.364 3.552 20 0 CHADLO CCc1ccc(CN(C)c2nc(C)[nH+]c(C)c2C)cc1 ZINC000348729690 1127125611 /nfs/dbraw/zinc/12/56/11/1127125611.db2.gz VQBGFKYEGQPMHP-UHFFFAOYSA-N 1 2 269.392 3.601 20 0 CHADLO c1cn(Cc2ccc(N[C@@H]3CCCC[C@@H]3C3CC3)nc2)c[nH+]1 ZINC000348836874 1127130313 /nfs/dbraw/zinc/13/03/13/1127130313.db2.gz FBMIRLUYZDIZSJ-IAGOWNOFSA-N 1 2 296.418 3.707 20 0 CHADLO CC[C@H]1CCC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000348847997 1127131488 /nfs/dbraw/zinc/13/14/88/1127131488.db2.gz LRDPWQWAKSBQJC-GOEBONIOSA-N 1 2 284.407 3.707 20 0 CHADLO CC[N@H+](CCOC)Cc1csc(Cl)c1Cl ZINC000349021724 1127138078 /nfs/dbraw/zinc/13/80/78/1127138078.db2.gz CRZPZVKXBZATLR-UHFFFAOYSA-N 1 2 268.209 3.523 20 0 CHADLO CC[N@@H+](CCOC)Cc1csc(Cl)c1Cl ZINC000349021724 1127138080 /nfs/dbraw/zinc/13/80/80/1127138080.db2.gz CRZPZVKXBZATLR-UHFFFAOYSA-N 1 2 268.209 3.523 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(-c2ccccc2)s1)c1ccon1 ZINC000349250723 1127145480 /nfs/dbraw/zinc/14/54/80/1127145480.db2.gz ZBUWDBHHROCEGR-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccnc(Cl)c2)cs1 ZINC000156448990 1127155773 /nfs/dbraw/zinc/15/57/73/1127155773.db2.gz LQFJQVHMJWPAMD-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cccc(F)c1F)c1ccn(C)n1 ZINC000349784874 1127161073 /nfs/dbraw/zinc/16/10/73/1127161073.db2.gz SJPHUPCZFFUODP-ZWNOBZJWSA-N 1 2 279.334 3.500 20 0 CHADLO O=C(CCc1ccc(Cl)cc1)Nc1ccn2cc[nH+]c2c1 ZINC000350149103 1127173868 /nfs/dbraw/zinc/17/38/68/1127173868.db2.gz ULULSSGBDMUXBH-UHFFFAOYSA-N 1 2 299.761 3.559 20 0 CHADLO CC[N@H+](Cc1sccc1Cl)Cc1ccncc1 ZINC000284446755 1127184080 /nfs/dbraw/zinc/18/40/80/1127184080.db2.gz QOQQVTOSBQQABS-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1sccc1Cl)Cc1ccncc1 ZINC000284446755 1127184082 /nfs/dbraw/zinc/18/40/82/1127184082.db2.gz QOQQVTOSBQQABS-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO C[C@@H](SCCCn1cc[nH+]c1)c1ccc(F)cc1 ZINC000351698164 1127204621 /nfs/dbraw/zinc/20/46/21/1127204621.db2.gz SISRSMSBCDDZNN-GFCCVEGCSA-N 1 2 264.369 3.907 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3cccc(Cl)c3)CC2)no1 ZINC000285295102 1127222482 /nfs/dbraw/zinc/22/24/82/1127222482.db2.gz RUAHEHOINIRBLU-NSHDSACASA-N 1 2 276.767 3.976 20 0 CHADLO CC(C)[C@@H](Nc1cc(N2CCCC2)nc[nH+]1)c1ccccc1 ZINC000572773776 1127227119 /nfs/dbraw/zinc/22/71/19/1127227119.db2.gz GPFMKMVXGJJNIR-GOSISDBHSA-N 1 2 296.418 3.886 20 0 CHADLO CC(C)[C@@H](Nc1cc(N2CCCC2)[nH+]cn1)c1ccccc1 ZINC000572773776 1127227122 /nfs/dbraw/zinc/22/71/22/1127227122.db2.gz GPFMKMVXGJJNIR-GOSISDBHSA-N 1 2 296.418 3.886 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](CN2CCCCC2)c2ccccc2)o1 ZINC000590074744 1127246123 /nfs/dbraw/zinc/24/61/23/1127246123.db2.gz LUVSYUSLQVLWPP-IBGZPJMESA-N 1 2 298.430 3.905 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1cc[nH+]cc1Cl ZINC001212162194 1127246251 /nfs/dbraw/zinc/24/62/51/1127246251.db2.gz LRGVIOSUOAXBNB-UHFFFAOYSA-N 1 2 257.724 3.570 20 0 CHADLO FC1(F)CCC[C@H]1COc1ccccc1-n1cc[nH+]c1 ZINC000572977382 1127260900 /nfs/dbraw/zinc/26/09/00/1127260900.db2.gz QDAJXPKFXJWLHT-LBPRGKRZSA-N 1 2 278.302 3.687 20 0 CHADLO COc1ccc([C@@H](C)Nc2cccc[nH+]2)c(C)c1OC ZINC000286366232 1127266575 /nfs/dbraw/zinc/26/65/75/1127266575.db2.gz ZZFWXPSAHRFRGX-GFCCVEGCSA-N 1 2 272.348 3.580 20 0 CHADLO CCCOc1ccc([C@@H](C)[NH2+][C@H](C)c2nonc2C)cc1 ZINC000924859103 1127274212 /nfs/dbraw/zinc/27/42/12/1127274212.db2.gz QCLJVSFMNXBIDH-VXGBXAGGSA-N 1 2 289.379 3.579 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1NC(C1CC1)C1CC1 ZINC000057721585 1127276492 /nfs/dbraw/zinc/27/64/92/1127276492.db2.gz GQXFMKOBWWGOKN-UHFFFAOYSA-N 1 2 256.393 3.887 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+]C(C1CC1)C1CC1 ZINC000057721585 1127276494 /nfs/dbraw/zinc/27/64/94/1127276494.db2.gz GQXFMKOBWWGOKN-UHFFFAOYSA-N 1 2 256.393 3.887 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(Cl)c(O)cc2F)[C@H]1C ZINC001238153146 1131176318 /nfs/dbraw/zinc/17/63/18/1131176318.db2.gz XNJJMIGRZYSQGL-ZJUUUORDSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(Cl)c(O)cc2F)[C@H]1C ZINC001238153146 1131176320 /nfs/dbraw/zinc/17/63/20/1131176320.db2.gz XNJJMIGRZYSQGL-ZJUUUORDSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@H]1OCC[N@H+](Cc2c(Cl)oc3ccccc32)[C@@H]1C ZINC000353916877 1127277978 /nfs/dbraw/zinc/27/79/78/1127277978.db2.gz RKEJAMNCEDBRFS-GHMZBOCLSA-N 1 2 279.767 3.695 20 0 CHADLO C[C@H]1OCC[N@@H+](Cc2c(Cl)oc3ccccc32)[C@@H]1C ZINC000353916877 1127277980 /nfs/dbraw/zinc/27/79/80/1127277980.db2.gz RKEJAMNCEDBRFS-GHMZBOCLSA-N 1 2 279.767 3.695 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cncs1)c1nccn1-c1ccccc1 ZINC000287164445 1127294104 /nfs/dbraw/zinc/29/41/04/1127294104.db2.gz UFQVIEYIEWGCEZ-QWHCGFSZSA-N 1 2 298.415 3.741 20 0 CHADLO CC(C)(C)C[C@H](NC(=O)CCc1[nH]cc[nH+]1)c1ccccc1 ZINC000287457815 1127306305 /nfs/dbraw/zinc/30/63/05/1127306305.db2.gz XJBSWRLCBTVESK-HNNXBMFYSA-N 1 2 299.418 3.636 20 0 CHADLO CN(C[C@@H]1CCCCO1)c1cc[nH+]c2c(Cl)cccc12 ZINC000573332567 1127307165 /nfs/dbraw/zinc/30/71/65/1127307165.db2.gz IOAWYPRJRSCWHI-LBPRGKRZSA-N 1 2 290.794 3.894 20 0 CHADLO Cc1nnsc1[C@H](C)[NH2+][C@@H](C)c1c(F)cccc1F ZINC000930192035 1127314941 /nfs/dbraw/zinc/31/49/41/1127314941.db2.gz GMWHSTGSDFSMKN-YUMQZZPRSA-N 1 2 283.347 3.537 20 0 CHADLO CCCCCN(C(=O)CCc1c[nH+]cn1C)[C@@H](C)CCC ZINC000355159480 1127322290 /nfs/dbraw/zinc/32/22/90/1127322290.db2.gz CQBWIWCLCPUTIO-HNNXBMFYSA-N 1 2 293.455 3.560 20 0 CHADLO CCCCCN(C(=O)CCc1c[nH+]cn1C)[C@H](C)CCC ZINC000355159482 1127322587 /nfs/dbraw/zinc/32/25/87/1127322587.db2.gz CQBWIWCLCPUTIO-OAHLLOKOSA-N 1 2 293.455 3.560 20 0 CHADLO C[C@@H]1CC(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C[C@@H](C)C1 ZINC000355354947 1127335532 /nfs/dbraw/zinc/33/55/32/1127335532.db2.gz ZEKCVNJVFYBYDR-KBPBESRZSA-N 1 2 297.402 3.883 20 0 CHADLO Cc1cccc(N(C)Cc2cc(-n3cc[nH+]c3)cs2)c1 ZINC000355365364 1127336757 /nfs/dbraw/zinc/33/67/57/1127336757.db2.gz MFWAYGKVAUGPTB-UHFFFAOYSA-N 1 2 283.400 3.879 20 0 CHADLO COC(=O)c1ccoc1C[NH2+]C1(c2cccc(C)c2)CCC1 ZINC000592145775 1127337176 /nfs/dbraw/zinc/33/71/76/1127337176.db2.gz AKLFYOBIBHHRPQ-UHFFFAOYSA-N 1 2 299.370 3.544 20 0 CHADLO Fc1cc(Cl)cc(C[NH2+]Cc2noc3c2CCCC3)c1 ZINC000288441897 1127340194 /nfs/dbraw/zinc/34/01/94/1127340194.db2.gz LYMPXUWBPJNQNX-UHFFFAOYSA-N 1 2 294.757 3.636 20 0 CHADLO CCC[C@H](CC)[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000225649876 1127340229 /nfs/dbraw/zinc/34/02/29/1127340229.db2.gz WTMCFMJGQLIGJI-AWEZNQCLSA-N 1 2 262.397 3.514 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@@H](C)CF)cs2)cc1 ZINC000288459239 1127341023 /nfs/dbraw/zinc/34/10/23/1127341023.db2.gz NTUMRAPNXXOQOO-NSHDSACASA-N 1 2 264.369 3.566 20 0 CHADLO Fc1cc(NC[C@H]2CC=CCC2)ccc1-n1cc[nH+]c1 ZINC000355479386 1127343761 /nfs/dbraw/zinc/34/37/61/1127343761.db2.gz LSLZBYUZRXFLAM-ZDUSSCGKSA-N 1 2 271.339 3.780 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)Cc2cn(C(C)C)nn2)cc1 ZINC000289062779 1127371770 /nfs/dbraw/zinc/37/17/70/1127371770.db2.gz JGLFZOGEAOIJCH-UHFFFAOYSA-N 1 2 286.423 3.614 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)Cc2cn(C(C)C)nn2)cc1 ZINC000289062779 1127371771 /nfs/dbraw/zinc/37/17/71/1127371771.db2.gz JGLFZOGEAOIJCH-UHFFFAOYSA-N 1 2 286.423 3.614 20 0 CHADLO CC[C@@H](OCCSCCn1cc[nH+]c1)c1ccccc1 ZINC000593200453 1127378103 /nfs/dbraw/zinc/37/81/03/1127378103.db2.gz UXBCIKOWBPJJJT-MRXNPFEDSA-N 1 2 290.432 3.784 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1cc(C(C)(C)C)on1 ZINC000289284483 1127380401 /nfs/dbraw/zinc/38/04/01/1127380401.db2.gz AGBDDZZFSUCDLD-GFCCVEGCSA-N 1 2 288.391 3.832 20 0 CHADLO CCC[C@](C)(CC)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000356417427 1127383550 /nfs/dbraw/zinc/38/35/50/1127383550.db2.gz JEHADBUJTHOSRE-INMHGKMJSA-N 1 2 291.439 3.509 20 0 CHADLO CCC[C@](C)(CC)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000356417432 1127383561 /nfs/dbraw/zinc/38/35/61/1127383561.db2.gz JEHADBUJTHOSRE-VYDXJSESSA-N 1 2 291.439 3.509 20 0 CHADLO CC(C)Sc1ccc(C[N@@H+]2CCc3cncnc3C2)cc1 ZINC000358061333 1127400540 /nfs/dbraw/zinc/40/05/40/1127400540.db2.gz HTCJJCAJBXJOQJ-UHFFFAOYSA-N 1 2 299.443 3.535 20 0 CHADLO CC(C)Sc1ccc(C[N@H+]2CCc3cncnc3C2)cc1 ZINC000358061333 1127400542 /nfs/dbraw/zinc/40/05/42/1127400542.db2.gz HTCJJCAJBXJOQJ-UHFFFAOYSA-N 1 2 299.443 3.535 20 0 CHADLO C[C@@H](CC(=O)N[C@@H](C)c1ccc(C2CC2)cc1)n1cc[nH+]c1 ZINC000574405075 1127401019 /nfs/dbraw/zinc/40/10/19/1127401019.db2.gz YJPDFFMBWBVGOG-KBPBESRZSA-N 1 2 297.402 3.589 20 0 CHADLO COc1cc[nH+]cc1NCc1cc2c(cc(C)cc2C)[nH]1 ZINC000358432376 1127422096 /nfs/dbraw/zinc/42/20/96/1127422096.db2.gz WGHGPWZBWVRZSI-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO CN(Cc1ccc[nH+]c1N)c1ccc(C(C)(C)C)cc1 ZINC001308676637 1127427886 /nfs/dbraw/zinc/42/78/86/1127427886.db2.gz VBZJZPFHKQZWOW-UHFFFAOYSA-N 1 2 269.392 3.598 20 0 CHADLO Cc1cnc([C@@H](C)[NH+]2CC(c3nc4ccccc4s3)C2)o1 ZINC000358820355 1127434730 /nfs/dbraw/zinc/43/47/30/1127434730.db2.gz TXYMLQYIHOSMNI-LLVKDONJSA-N 1 2 299.399 3.753 20 0 CHADLO Cc1ccc(-c2cnn(Cc3ccc(C)[nH+]c3C)c2)cc1 ZINC000358687064 1127431369 /nfs/dbraw/zinc/43/13/69/1127431369.db2.gz JENCKIPIWPZMGD-UHFFFAOYSA-N 1 2 277.371 3.919 20 0 CHADLO Cc1ccc(Cn2ccc(-c3cccs3)n2)c(C)[nH+]1 ZINC000358694040 1127431674 /nfs/dbraw/zinc/43/16/74/1127431674.db2.gz RGFGLPVZOPHTEM-UHFFFAOYSA-N 1 2 269.373 3.672 20 0 CHADLO Cc1cccc2[nH]c(C[NH2+]Cc3ccsc3Cl)nc21 ZINC000359683327 1127472810 /nfs/dbraw/zinc/47/28/10/1127472810.db2.gz IJGOFKGUIDRWBT-UHFFFAOYSA-N 1 2 291.807 3.876 20 0 CHADLO Brc1ccoc1C[NH2+][C@@H]1CCCc2occc21 ZINC000227366374 1127473334 /nfs/dbraw/zinc/47/33/34/1127473334.db2.gz PFUMMZKPYFPJDD-LLVKDONJSA-N 1 2 296.164 3.802 20 0 CHADLO Cc1nc(N2CC[C@@H]2c2ccccc2)c2c([nH+]1)CCCC2 ZINC000359716027 1127474946 /nfs/dbraw/zinc/47/49/46/1127474946.db2.gz KXQCUWBSIGZNHH-QGZVFWFLSA-N 1 2 279.387 3.615 20 0 CHADLO COC(=O)Cc1cc[nH+]c(NCc2ccc(C(C)C)cc2)c1 ZINC000596086044 1127482027 /nfs/dbraw/zinc/48/20/27/1127482027.db2.gz SXTIBXBZKJKUJY-UHFFFAOYSA-N 1 2 298.386 3.533 20 0 CHADLO Cc1nc(N[C@@H]2CC[C@@H](c3ccccc3F)C2)cc[nH+]1 ZINC000360273276 1127494637 /nfs/dbraw/zinc/49/46/37/1127494637.db2.gz GKNUKEPODOELHL-CHWSQXEVSA-N 1 2 271.339 3.672 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000293557413 1127505516 /nfs/dbraw/zinc/50/55/16/1127505516.db2.gz ZRVFYVAQHSSDOC-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000293557413 1127505519 /nfs/dbraw/zinc/50/55/19/1127505519.db2.gz ZRVFYVAQHSSDOC-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO C[C@@H](C[NH2+][C@H](c1ncn[nH]1)C1CCCCC1)c1ccccc1 ZINC000293593076 1127507452 /nfs/dbraw/zinc/50/74/52/1127507452.db2.gz ZGZNPSKJGMTNTK-YOEHRIQHSA-N 1 2 298.434 3.819 20 0 CHADLO Cc1nc(NC[C@H](C)c2c(Cl)cccc2Cl)cc[nH+]1 ZINC000360982167 1127526211 /nfs/dbraw/zinc/52/62/11/1127526211.db2.gz GPPJUFRXAJEKAD-VIFPVBQESA-N 1 2 296.201 3.729 20 0 CHADLO Cc1nc(N[C@@H]2C[C@H]2c2ccccc2C(F)(F)F)cc[nH+]1 ZINC000360988151 1127527174 /nfs/dbraw/zinc/52/71/74/1127527174.db2.gz WVZWEPUFBXDRDI-WCQYABFASA-N 1 2 293.292 3.772 20 0 CHADLO Cc1ccccc1[C@@H](Nc1cc[nH+]c(C)n1)C(C)C ZINC000361034445 1127532127 /nfs/dbraw/zinc/53/21/27/1127532127.db2.gz WXWAIDXPIZGECF-INIZCTEOSA-N 1 2 255.365 3.903 20 0 CHADLO CC[C@H](Cc1ccc(Cl)cc1)Nc1cc[nH+]c(C)n1 ZINC000361035391 1127532729 /nfs/dbraw/zinc/53/27/29/1127532729.db2.gz ZWBFUGGNYZAHEL-CQSZACIVSA-N 1 2 275.783 3.872 20 0 CHADLO Cc1cccc(NC(=O)[C@H](C)[N@@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000361057111 1127533774 /nfs/dbraw/zinc/53/37/74/1127533774.db2.gz KQOFQMBQTLRBGV-CABCVRRESA-N 1 2 294.398 3.899 20 0 CHADLO Cc1cccc(NC(=O)[C@H](C)[N@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000361057111 1127533775 /nfs/dbraw/zinc/53/37/75/1127533775.db2.gz KQOFQMBQTLRBGV-CABCVRRESA-N 1 2 294.398 3.899 20 0 CHADLO Cc1cccc(NC(=O)[C@H](C)[N@@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000361057112 1127534303 /nfs/dbraw/zinc/53/43/03/1127534303.db2.gz KQOFQMBQTLRBGV-GJZGRUSLSA-N 1 2 294.398 3.899 20 0 CHADLO Cc1cccc(NC(=O)[C@H](C)[N@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000361057112 1127534304 /nfs/dbraw/zinc/53/43/04/1127534304.db2.gz KQOFQMBQTLRBGV-GJZGRUSLSA-N 1 2 294.398 3.899 20 0 CHADLO CS[C@@H]1CC[C@@H]1[NH2+]CC(F)(F)c1ccccc1C ZINC000597227659 1127535155 /nfs/dbraw/zinc/53/51/55/1127535155.db2.gz AEOHHQSMLPFYBR-QWHCGFSZSA-N 1 2 271.376 3.570 20 0 CHADLO CC[C@H]1[C@H](C)CC[N@@H+]1Cc1ncc(Br)s1 ZINC000361139313 1127541926 /nfs/dbraw/zinc/54/19/26/1127541926.db2.gz GBUKQSVFQHNARY-BDAKNGLRSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1[C@H](C)CC[N@H+]1Cc1ncc(Br)s1 ZINC000361139313 1127541928 /nfs/dbraw/zinc/54/19/28/1127541928.db2.gz GBUKQSVFQHNARY-BDAKNGLRSA-N 1 2 289.242 3.526 20 0 CHADLO COc1ccc([C@@H](Nc2cc[nH+]c(C)n2)C(C)C)cc1 ZINC000361148558 1127542377 /nfs/dbraw/zinc/54/23/77/1127542377.db2.gz FRAJHDQNMJCPRS-INIZCTEOSA-N 1 2 271.364 3.603 20 0 CHADLO Cc1ccc(-c2cnc(C[NH2+][C@H](C)c3cccnc3)o2)cc1 ZINC000361095790 1127537728 /nfs/dbraw/zinc/53/77/28/1127537728.db2.gz JVGDPVKALGZWRL-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000294015114 1127542897 /nfs/dbraw/zinc/54/28/97/1127542897.db2.gz UNWSXIUWFRNZPN-KRWDZBQOSA-N 1 2 298.434 3.751 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000294015114 1127542901 /nfs/dbraw/zinc/54/29/01/1127542901.db2.gz UNWSXIUWFRNZPN-KRWDZBQOSA-N 1 2 298.434 3.751 20 0 CHADLO Cc1nc(N[C@@H](C)c2cccc3ccccc32)cc[nH+]1 ZINC000361161919 1127543540 /nfs/dbraw/zinc/54/35/40/1127543540.db2.gz PBKMRXQHNRCHQE-LBPRGKRZSA-N 1 2 263.344 3.533 20 0 CHADLO CCc1ccc(C[NH2+][C@@H]2CCOc3c(F)ccc(F)c32)o1 ZINC000361236708 1127549269 /nfs/dbraw/zinc/54/92/69/1127549269.db2.gz LIONXRJXORYWOD-CQSZACIVSA-N 1 2 293.313 3.734 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CCOC(C)(C)C2)c1 ZINC000601965176 1127555047 /nfs/dbraw/zinc/55/50/47/1127555047.db2.gz WCBJPAQMROFKML-CYBMUJFWSA-N 1 2 280.437 3.704 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)c2ccccc21)c1ncn(C)n1 ZINC000294267886 1127561433 /nfs/dbraw/zinc/56/14/33/1127561433.db2.gz LRUSXVVRALCPOY-NWDGAFQWSA-N 1 2 298.365 3.519 20 0 CHADLO CC[N@H+](Cc1csc(C2CCCCC2)n1)[C@@H]1CCOC1 ZINC000361594207 1127575676 /nfs/dbraw/zinc/57/56/76/1127575676.db2.gz JGJRVXSBAJXJCD-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO CC[N@@H+](Cc1csc(C2CCCCC2)n1)[C@@H]1CCOC1 ZINC000361594207 1127575680 /nfs/dbraw/zinc/57/56/80/1127575680.db2.gz JGJRVXSBAJXJCD-OAHLLOKOSA-N 1 2 294.464 3.802 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2ccoc2C)c1 ZINC000361689732 1127581980 /nfs/dbraw/zinc/58/19/80/1127581980.db2.gz QIWRLTNNLSUWEV-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2ccoc2C)c1 ZINC000361689732 1127581983 /nfs/dbraw/zinc/58/19/83/1127581983.db2.gz QIWRLTNNLSUWEV-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO CCC1(CC)CN(c2nc(C)[nH+]c(C)c2C)CCS1 ZINC000361744653 1127585866 /nfs/dbraw/zinc/58/58/66/1127585866.db2.gz MXFHNGUAFLVKGG-UHFFFAOYSA-N 1 2 279.453 3.514 20 0 CHADLO CCSCC[C@@H](C)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000602556403 1127592997 /nfs/dbraw/zinc/59/29/97/1127592997.db2.gz FRBXDCZXYAIIOY-TZMCWYRMSA-N 1 2 296.484 3.547 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3cc(C)ccc3C2)c(C)s1 ZINC000361849970 1127594216 /nfs/dbraw/zinc/59/42/16/1127594216.db2.gz OTXJSFXBDDASAH-UHFFFAOYSA-N 1 2 272.417 3.627 20 0 CHADLO Cc1nc(C[N@H+]2CCc3cc(C)ccc3C2)c(C)s1 ZINC000361849970 1127594220 /nfs/dbraw/zinc/59/42/20/1127594220.db2.gz OTXJSFXBDDASAH-UHFFFAOYSA-N 1 2 272.417 3.627 20 0 CHADLO CC(C)[C@H](c1ccccc1)N(C)C(=O)CCCn1cc[nH+]c1 ZINC000602694726 1127605077 /nfs/dbraw/zinc/60/50/77/1127605077.db2.gz VLWKVCPWTFFVGX-GOSISDBHSA-N 1 2 299.418 3.519 20 0 CHADLO C[C@@H]1[C@H](CC(F)(F)F)C[C@H](C)N1c1cccc[nH+]1 ZINC000294920684 1127608307 /nfs/dbraw/zinc/60/83/07/1127608307.db2.gz JWJMNCGTJXDEHU-AXFHLTTASA-N 1 2 258.287 3.637 20 0 CHADLO CC[C@](C)([NH2+]Cc1cc(F)ncc1F)c1nc(C)cs1 ZINC000294918756 1127608778 /nfs/dbraw/zinc/60/87/78/1127608778.db2.gz APZDHPDWDJZOEH-AWEZNQCLSA-N 1 2 297.374 3.540 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@@H](C)c1cccc(C2CC2)c1 ZINC000295052423 1127616882 /nfs/dbraw/zinc/61/68/82/1127616882.db2.gz ARSXHNIEFOOKCS-LBPRGKRZSA-N 1 2 299.370 3.794 20 0 CHADLO FCC1CC[NH+](Cc2ccnc(Cl)c2Cl)CC1 ZINC000295000196 1127613264 /nfs/dbraw/zinc/61/32/64/1127613264.db2.gz IJNWZZKAKKCPGZ-UHFFFAOYSA-N 1 2 277.170 3.570 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@H+](Cc1nnc(C(C)C)o1)C2 ZINC000295251704 1127630096 /nfs/dbraw/zinc/63/00/96/1127630096.db2.gz QMKGNPLBQFLIET-UHFFFAOYSA-N 1 2 299.418 3.838 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@@H+](Cc1nnc(C(C)C)o1)C2 ZINC000295251704 1127630099 /nfs/dbraw/zinc/63/00/99/1127630099.db2.gz QMKGNPLBQFLIET-UHFFFAOYSA-N 1 2 299.418 3.838 20 0 CHADLO COc1ccc(F)c(C[N@H+](C)[C@H](C)c2ccco2)c1 ZINC000295487164 1127644589 /nfs/dbraw/zinc/64/45/89/1127644589.db2.gz XKGFWHPPYMZLIH-LLVKDONJSA-N 1 2 263.312 3.620 20 0 CHADLO COc1ccc(F)c(C[N@@H+](C)[C@H](C)c2ccco2)c1 ZINC000295487164 1127644591 /nfs/dbraw/zinc/64/45/91/1127644591.db2.gz XKGFWHPPYMZLIH-LLVKDONJSA-N 1 2 263.312 3.620 20 0 CHADLO CCc1cc(Nc2ccc(NC3CCCC3)[nH+]c2)ncn1 ZINC000603388956 1127645320 /nfs/dbraw/zinc/64/53/20/1127645320.db2.gz CYWOVSMXTFPDRA-UHFFFAOYSA-N 1 2 283.379 3.532 20 0 CHADLO CC(C)(C)OC(=O)c1ccc(CNc2cccc[nH+]2)s1 ZINC000598956568 1127647465 /nfs/dbraw/zinc/64/74/65/1127647465.db2.gz GSRRDWFHXYUTGY-UHFFFAOYSA-N 1 2 290.388 3.711 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H](C)c1ccccc1Cl ZINC000296221227 1127665708 /nfs/dbraw/zinc/66/57/08/1127665708.db2.gz ZTGJFENZLIETGI-NWDGAFQWSA-N 1 2 277.799 3.968 20 0 CHADLO Fc1ccc([C@@H]2CC[N@H+](Cc3csc(Cl)n3)C2)cc1 ZINC000296685425 1127681854 /nfs/dbraw/zinc/68/18/54/1127681854.db2.gz BXIXJAIDCQIANY-LLVKDONJSA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccc([C@@H]2CC[N@@H+](Cc3csc(Cl)n3)C2)cc1 ZINC000296685425 1127681856 /nfs/dbraw/zinc/68/18/56/1127681856.db2.gz BXIXJAIDCQIANY-LLVKDONJSA-N 1 2 296.798 3.925 20 0 CHADLO CCCc1cc(C(=O)Nc2ccc3[nH+]ccn3c2)sc1C ZINC000603883785 1127680517 /nfs/dbraw/zinc/68/05/17/1127680517.db2.gz JSFSBAHCBVVNHN-UHFFFAOYSA-N 1 2 299.399 3.909 20 0 CHADLO C[C@@H](C[NH2+]C(C)(C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000599658873 1127686694 /nfs/dbraw/zinc/68/66/94/1127686694.db2.gz FYTIXJFRNMDHAK-ZDUSSCGKSA-N 1 2 277.408 3.500 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2csc(C)c2)[nH]1 ZINC000297033406 1127687971 /nfs/dbraw/zinc/68/79/71/1127687971.db2.gz XOAQVBNUIDHMNV-ONGXEEELSA-N 1 2 278.425 3.539 20 0 CHADLO COc1cccc(C[N@H+](Cc2ccc(C)o2)C2CC2)c1F ZINC000669707255 1127689464 /nfs/dbraw/zinc/68/94/64/1127689464.db2.gz CNNDRJIUHJHQAR-UHFFFAOYSA-N 1 2 289.350 3.900 20 0 CHADLO COc1cccc(C[N@@H+](Cc2ccc(C)o2)C2CC2)c1F ZINC000669707255 1127689467 /nfs/dbraw/zinc/68/94/67/1127689467.db2.gz CNNDRJIUHJHQAR-UHFFFAOYSA-N 1 2 289.350 3.900 20 0 CHADLO COCc1cc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)no1 ZINC000297584778 1127700673 /nfs/dbraw/zinc/70/06/73/1127700673.db2.gz DTYIMVFLCZMYDN-OAHLLOKOSA-N 1 2 290.363 3.531 20 0 CHADLO COCc1cc(C[N@H+]2CCCCC[C@@H]2c2ccco2)no1 ZINC000297584778 1127700675 /nfs/dbraw/zinc/70/06/75/1127700675.db2.gz DTYIMVFLCZMYDN-OAHLLOKOSA-N 1 2 290.363 3.531 20 0 CHADLO COCc1cc(C[N@H+]([C@H](C)c2ccccc2)C2CC2)no1 ZINC000297623655 1127701962 /nfs/dbraw/zinc/70/19/62/1127701962.db2.gz HGJARNACCBKEBU-CYBMUJFWSA-N 1 2 286.375 3.547 20 0 CHADLO COCc1cc(C[N@@H+]([C@H](C)c2ccccc2)C2CC2)no1 ZINC000297623655 1127701964 /nfs/dbraw/zinc/70/19/64/1127701964.db2.gz HGJARNACCBKEBU-CYBMUJFWSA-N 1 2 286.375 3.547 20 0 CHADLO CCC[C@@H]([NH2+][C@@H]1CCn2ccnc21)c1ccc(F)cc1F ZINC000297646024 1127703020 /nfs/dbraw/zinc/70/30/20/1127703020.db2.gz JYWRZTUXPAGGEB-HUUCEWRRSA-N 1 2 291.345 3.737 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cc(F)cc(F)c1 ZINC000229804945 1127709337 /nfs/dbraw/zinc/70/93/37/1127709337.db2.gz NXYRHTJBBBHLPH-UHFFFAOYSA-N 1 2 276.330 3.951 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc2[nH+]ccn2c1)c1cccc(F)c1 ZINC000604745984 1127713263 /nfs/dbraw/zinc/71/32/63/1127713263.db2.gz ZUDZQRWKUAXRQQ-LBPRGKRZSA-N 1 2 297.333 3.606 20 0 CHADLO CCc1noc([C@H](C)[N@H+](C)Cc2ccc3ccccc3c2)n1 ZINC000298192786 1127716222 /nfs/dbraw/zinc/71/62/22/1127716222.db2.gz BDTCYMFPMJXUPJ-ZDUSSCGKSA-N 1 2 295.386 3.978 20 0 CHADLO CCc1noc([C@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)n1 ZINC000298192786 1127716224 /nfs/dbraw/zinc/71/62/24/1127716224.db2.gz BDTCYMFPMJXUPJ-ZDUSSCGKSA-N 1 2 295.386 3.978 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccccc1 ZINC000298195920 1127716470 /nfs/dbraw/zinc/71/64/70/1127716470.db2.gz COKJXGUZWBCLGL-OAHLLOKOSA-N 1 2 287.407 3.854 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC001232483772 1127721044 /nfs/dbraw/zinc/72/10/44/1127721044.db2.gz OSYWUAIZXAHEOS-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCn2cccc2[C@@H]1C ZINC001232483772 1127721046 /nfs/dbraw/zinc/72/10/46/1127721046.db2.gz OSYWUAIZXAHEOS-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccc(Cl)cc1F ZINC000171669426 1127721591 /nfs/dbraw/zinc/72/15/91/1127721591.db2.gz ITONJFPJXPPGKH-UHFFFAOYSA-N 1 2 282.746 3.716 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccc(Cl)cc1F ZINC000171669426 1127721593 /nfs/dbraw/zinc/72/15/93/1127721593.db2.gz ITONJFPJXPPGKH-UHFFFAOYSA-N 1 2 282.746 3.716 20 0 CHADLO c1cn(-c2ccc(-c3nc(-c4ccccc4)no3)cc2)c[nH+]1 ZINC000171777265 1127728173 /nfs/dbraw/zinc/72/81/73/1127728173.db2.gz GMVOPAUMSPPXMM-UHFFFAOYSA-N 1 2 288.310 3.589 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1CCC[C@@H]1c1cccs1 ZINC000298725174 1127730307 /nfs/dbraw/zinc/73/03/07/1127730307.db2.gz PZNPGXASHBHNNJ-ZYHUDNBSSA-N 1 2 289.404 3.907 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1CCC[C@@H]1c1cccs1 ZINC000298725174 1127730310 /nfs/dbraw/zinc/73/03/10/1127730310.db2.gz PZNPGXASHBHNNJ-ZYHUDNBSSA-N 1 2 289.404 3.907 20 0 CHADLO COc1cccc([C@H](C)[NH2+][C@@H](C)c2nc(C(C)C)no2)c1 ZINC000298853269 1127735117 /nfs/dbraw/zinc/73/51/17/1127735117.db2.gz DKHCWYBNFGGSSI-RYUDHWBXSA-N 1 2 289.379 3.613 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000298737169 1127731044 /nfs/dbraw/zinc/73/10/44/1127731044.db2.gz IVLHFGZMJWVQFV-PWSUYJOCSA-N 1 2 277.393 3.592 20 0 CHADLO CCc1noc([C@@H](C)[N@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000298737169 1127731046 /nfs/dbraw/zinc/73/10/46/1127731046.db2.gz IVLHFGZMJWVQFV-PWSUYJOCSA-N 1 2 277.393 3.592 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2cncs2)c2ccccn2)cc1 ZINC000366274053 1127745121 /nfs/dbraw/zinc/74/51/21/1127745121.db2.gz UMILIYRUAVDYHT-KRWDZBQOSA-N 1 2 295.411 3.726 20 0 CHADLO Cc1cc(F)cc([C@@]2(F)CC[N@H+](Cc3cncs3)C2)c1 ZINC000367082722 1127750561 /nfs/dbraw/zinc/75/05/61/1127750561.db2.gz ADSVEDBDEZWMOJ-OAHLLOKOSA-N 1 2 294.370 3.661 20 0 CHADLO Cc1cc(F)cc([C@@]2(F)CC[N@@H+](Cc3cncs3)C2)c1 ZINC000367082722 1127750563 /nfs/dbraw/zinc/75/05/63/1127750563.db2.gz ADSVEDBDEZWMOJ-OAHLLOKOSA-N 1 2 294.370 3.661 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(F)c(C)c2)no1 ZINC000367229472 1127752504 /nfs/dbraw/zinc/75/25/04/1127752504.db2.gz RCNAEKWZQVYBDV-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(F)c(C)c2)no1 ZINC000367229472 1127752508 /nfs/dbraw/zinc/75/25/08/1127752508.db2.gz RCNAEKWZQVYBDV-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO CCCOCc1ccccc1C[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367629391 1127754656 /nfs/dbraw/zinc/75/46/56/1127754656.db2.gz YFAZAJRUPQHGPA-QGZVFWFLSA-N 1 2 299.418 3.504 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](CC)O[C@@H](C)C1 ZINC000606433579 1127761509 /nfs/dbraw/zinc/76/15/09/1127761509.db2.gz KAHHPTNPDOFOHQ-GHJWDPDVSA-N 1 2 283.362 3.915 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](CC)O[C@@H](C)C1 ZINC000606433579 1127761511 /nfs/dbraw/zinc/76/15/11/1127761511.db2.gz KAHHPTNPDOFOHQ-GHJWDPDVSA-N 1 2 283.362 3.915 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000606451811 1127764349 /nfs/dbraw/zinc/76/43/49/1127764349.db2.gz XSDHWTXHDUCTIT-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000606451811 1127764353 /nfs/dbraw/zinc/76/43/53/1127764353.db2.gz XSDHWTXHDUCTIT-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1nc(C(C)(C)C)no1 ZINC000409446191 1127767974 /nfs/dbraw/zinc/76/79/74/1127767974.db2.gz OHTHBJXCVXVDQZ-UHFFFAOYSA-N 1 2 293.436 3.839 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1nc(C(C)(C)C)no1 ZINC000409446191 1127767978 /nfs/dbraw/zinc/76/79/78/1127767978.db2.gz OHTHBJXCVXVDQZ-UHFFFAOYSA-N 1 2 293.436 3.839 20 0 CHADLO CCCCc1noc(C[N@H+]2CC=C(C(C)(C)C)CC2)n1 ZINC000409446330 1127769525 /nfs/dbraw/zinc/76/95/25/1127769525.db2.gz BPAIZJGITIYRJH-UHFFFAOYSA-N 1 2 277.412 3.590 20 0 CHADLO CCCCc1noc(C[N@@H+]2CC=C(C(C)(C)C)CC2)n1 ZINC000409446330 1127769528 /nfs/dbraw/zinc/76/95/28/1127769528.db2.gz BPAIZJGITIYRJH-UHFFFAOYSA-N 1 2 277.412 3.590 20 0 CHADLO Cc1cc(N2CCc3cc(F)cc(C)c3C2)nc(C(C)C)[nH+]1 ZINC000409664774 1127779426 /nfs/dbraw/zinc/77/94/26/1127779426.db2.gz NEXZFYDAYYGFDF-UHFFFAOYSA-N 1 2 299.393 3.919 20 0 CHADLO CC[C@H](C[C@@H](C)CC)[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000372903284 1127817966 /nfs/dbraw/zinc/81/79/66/1127817966.db2.gz HJGMNYVEKVAHDJ-NUEKZKHPSA-N 1 2 286.423 3.699 20 0 CHADLO Cc1ccc(CCC(=O)Nc2cccc3[nH+]ccn32)c(C)c1 ZINC000607714883 1127825600 /nfs/dbraw/zinc/82/56/00/1127825600.db2.gz BLUIAAJZKUOPPZ-UHFFFAOYSA-N 1 2 293.370 3.522 20 0 CHADLO CCC(CC)N(CC(C)C)C(=O)[C@H]1CCCc2[nH+]c[nH]c21 ZINC000373372005 1127838888 /nfs/dbraw/zinc/83/88/88/1127838888.db2.gz CBEOLAXMWAOUSB-AWEZNQCLSA-N 1 2 291.439 3.503 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(C(C)(C)C)s2)o1 ZINC000231788263 1127854422 /nfs/dbraw/zinc/85/44/22/1127854422.db2.gz CNWUXBQPSODVKU-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO CCC[NH+](CCC)Cn1nc(C2CC2)sc1=S ZINC000173882140 1127858457 /nfs/dbraw/zinc/85/84/57/1127858457.db2.gz BKHIVUZRMDZFEF-UHFFFAOYSA-N 1 2 271.455 3.631 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@@H]2c2cccc(F)c2)o1 ZINC000608493020 1127870838 /nfs/dbraw/zinc/87/08/38/1127870838.db2.gz VUKCJVOPBVONSI-OAHLLOKOSA-N 1 2 274.339 3.713 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@@H]2c2cccc(F)c2)o1 ZINC000608493020 1127870842 /nfs/dbraw/zinc/87/08/42/1127870842.db2.gz VUKCJVOPBVONSI-OAHLLOKOSA-N 1 2 274.339 3.713 20 0 CHADLO CCCC[C@@H](C)[N@H+](C)CC(=O)c1[nH]c(C)c(C(C)=O)c1C ZINC000411740075 1127872430 /nfs/dbraw/zinc/87/24/30/1127872430.db2.gz BIRAEJSPWBSKJO-LLVKDONJSA-N 1 2 292.423 3.527 20 0 CHADLO CCCC[C@@H](C)[N@@H+](C)CC(=O)c1[nH]c(C)c(C(C)=O)c1C ZINC000411740075 1127872433 /nfs/dbraw/zinc/87/24/33/1127872433.db2.gz BIRAEJSPWBSKJO-LLVKDONJSA-N 1 2 292.423 3.527 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)CC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000374180339 1127877186 /nfs/dbraw/zinc/87/71/86/1127877186.db2.gz ZUAFSBMOHZZOGF-BBRMVZONSA-N 1 2 297.402 3.715 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)CC[N@H+]1Cc1noc(C2CC2)n1 ZINC000374180339 1127877191 /nfs/dbraw/zinc/87/71/91/1127877191.db2.gz ZUAFSBMOHZZOGF-BBRMVZONSA-N 1 2 297.402 3.715 20 0 CHADLO C[C@]1(CNc2cccc(-n3cc[nH+]c3)c2)CCCS1 ZINC000327615120 1127971246 /nfs/dbraw/zinc/97/12/46/1127971246.db2.gz LHIFBHRUTWNSHQ-OAHLLOKOSA-N 1 2 273.405 3.570 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(-c2cccs2)no1)c1ccsc1 ZINC000669939056 1128078340 /nfs/dbraw/zinc/07/83/40/1128078340.db2.gz ISEGSMSHXUAJDI-SECBINFHSA-N 1 2 291.401 3.710 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@H](C)c1ccc(F)c(F)c1 ZINC000924532779 1128101977 /nfs/dbraw/zinc/10/19/77/1128101977.db2.gz UQGMMMZUFNQJKL-YMTOWFKASA-N 1 2 292.329 3.989 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@H](C)c1ccc(F)cc1F ZINC000924544987 1128103383 /nfs/dbraw/zinc/10/33/83/1128103383.db2.gz MGKXUTWAIQEAFY-OTYXRUKQSA-N 1 2 292.329 3.989 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+][C@@H](C)c2ccc(Cl)s2)nn1 ZINC000924610439 1128107378 /nfs/dbraw/zinc/10/73/78/1128107378.db2.gz QPZPVZTWWNEGGX-ZJUUUORDSA-N 1 2 298.843 3.986 20 0 CHADLO Cc1csc(C[NH2+][C@H](C)c2cc(-c3ccccc3)no2)n1 ZINC000924616336 1128107915 /nfs/dbraw/zinc/10/79/15/1128107915.db2.gz SBJRMPGQNZVGFX-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO COc1ccnc([C@@H](C)[NH2+][C@H](C)c2c(F)cccc2F)c1 ZINC000924720459 1128110763 /nfs/dbraw/zinc/11/07/63/1128110763.db2.gz IEOMCQSICWBORF-GHMZBOCLSA-N 1 2 292.329 3.780 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncco2)cc1 ZINC000924702241 1128110966 /nfs/dbraw/zinc/11/09/66/1128110966.db2.gz USFPANFKAZVSIL-OLZOCXBDSA-N 1 2 274.364 3.874 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@H](C)c1ccc(F)c(Cl)c1 ZINC000924770509 1128112487 /nfs/dbraw/zinc/11/24/87/1128112487.db2.gz QQYDYSSIRJOMNA-SFYZADRCSA-N 1 2 283.734 3.582 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCSc2ccc(F)cc21)c1ncco1 ZINC000924811594 1128114052 /nfs/dbraw/zinc/11/40/52/1128114052.db2.gz XCJOTFOVFYMNGW-SKDRFNHKSA-N 1 2 278.352 3.701 20 0 CHADLO C[C@H]([NH2+][C@H](c1nccs1)c1ccccc1)C1(Cl)CC1 ZINC000924864206 1128115167 /nfs/dbraw/zinc/11/51/67/1128115167.db2.gz JZLCRFPEMLNUID-AAEUAGOBSA-N 1 2 292.835 3.982 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2ccns2)cs1 ZINC000925035045 1128119299 /nfs/dbraw/zinc/11/92/99/1128119299.db2.gz XEHPXOOVFAVSIC-IUCAKERBSA-N 1 2 267.423 3.574 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2cc(O)cc(F)c2)cs1 ZINC000925032123 1128119482 /nfs/dbraw/zinc/11/94/82/1128119482.db2.gz FKCSJISRDMUGNS-ZJUUUORDSA-N 1 2 294.395 3.962 20 0 CHADLO CC(C)c1cc(C[NH2+][C@@H]2CCc3c2nccc3Cl)on1 ZINC000925070153 1128121001 /nfs/dbraw/zinc/12/10/01/1128121001.db2.gz ZVDWDINSSAZLIU-CYBMUJFWSA-N 1 2 291.782 3.624 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(OC)no1)c1ccc(F)cc1F ZINC000925100273 1128121625 /nfs/dbraw/zinc/12/16/25/1128121625.db2.gz VGXIAJDXENXLET-RNCFNFMXSA-N 1 2 296.317 3.763 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cc(-c3ccccc3)no2)s1 ZINC000925151608 1128123672 /nfs/dbraw/zinc/12/36/72/1128123672.db2.gz KPFVPOBLVVBEAO-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1noc(-c2ccccc2)n1)C1(Cl)CC1 ZINC000925273062 1128127339 /nfs/dbraw/zinc/12/73/39/1128127339.db2.gz COGKUGVVFFOQEW-WDEREUQCSA-N 1 2 291.782 3.547 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(-c2ccccc2)[nH]1)c1ccccc1 ZINC000777572278 1128137074 /nfs/dbraw/zinc/13/70/74/1128137074.db2.gz HLERALHFAKOGTB-MRXNPFEDSA-N 1 2 292.386 3.713 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(-c2ccccc2)n[nH]1)c1ccccc1 ZINC000777572278 1128137077 /nfs/dbraw/zinc/13/70/77/1128137077.db2.gz HLERALHFAKOGTB-MRXNPFEDSA-N 1 2 292.386 3.713 20 0 CHADLO COc1ccnc([C@@H](C)Nc2ccc([NH+](C)C)cc2C)c1 ZINC000925721370 1128138225 /nfs/dbraw/zinc/13/82/25/1128138225.db2.gz MMVPSKOCOQHXHH-CYBMUJFWSA-N 1 2 285.391 3.638 20 0 CHADLO Cc1csc(C2([NH2+][C@@H](C)c3ccns3)CCC2)n1 ZINC000925803913 1128143077 /nfs/dbraw/zinc/14/30/77/1128143077.db2.gz AJJLWMVSYFGLNC-JTQLQIEISA-N 1 2 279.434 3.638 20 0 CHADLO Cc1ccccc1[C@H](C)[NH2+]Cc1nnc(-c2ccccc2)[nH]1 ZINC000777577052 1128144260 /nfs/dbraw/zinc/14/42/60/1128144260.db2.gz YWRRWGNSDIAETL-AWEZNQCLSA-N 1 2 292.386 3.631 20 0 CHADLO C[C@H](C1CC1)n1cc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)cn1 ZINC000926222978 1128161593 /nfs/dbraw/zinc/16/15/93/1128161593.db2.gz ZQJXLDLCKJSCKG-QWHCGFSZSA-N 1 2 299.422 3.560 20 0 CHADLO CC1(C)CC[N@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000232795688 1128161882 /nfs/dbraw/zinc/16/18/82/1128161882.db2.gz XDQDUPMULQXCRK-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CC1(C)CC[N@@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000232795688 1128161886 /nfs/dbraw/zinc/16/18/86/1128161886.db2.gz XDQDUPMULQXCRK-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+]Cc1ccc(C(C)(C)C)s1 ZINC000926827001 1128197256 /nfs/dbraw/zinc/19/72/56/1128197256.db2.gz SVKLVZBHFHROMV-VIFPVBQESA-N 1 2 279.409 3.588 20 0 CHADLO CC[C@@H](C)[C@@H](C)C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000780400158 1128182188 /nfs/dbraw/zinc/18/21/88/1128182188.db2.gz HRJUYWUWAPNRCR-VXGBXAGGSA-N 1 2 297.468 3.606 20 0 CHADLO C[C@H](N[C@@H]1C[C@]1(F)c1ccccc1)c1cn2c([nH+]1)CCCC2 ZINC000926614847 1128184234 /nfs/dbraw/zinc/18/42/34/1128184234.db2.gz LRVYHQQBFIGHOV-XCRHUMRWSA-N 1 2 299.393 3.507 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cccc(F)c2F)s1 ZINC000224997117 1128184653 /nfs/dbraw/zinc/18/46/53/1128184653.db2.gz CDVRLAIDAGZPTQ-VIFPVBQESA-N 1 2 282.359 3.835 20 0 CHADLO C[C@@H](Cc1ccccn1)[NH2+]CC(F)(F)c1ccc(F)cc1 ZINC000926722229 1128190693 /nfs/dbraw/zinc/19/06/93/1128190693.db2.gz CGHOGESEDUPAHQ-LBPRGKRZSA-N 1 2 294.320 3.533 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CC[C@@H]3SCCS[C@@H]23)cc1 ZINC000777602731 1128190854 /nfs/dbraw/zinc/19/08/54/1128190854.db2.gz VMLOVDLDWUYHQM-ILXRZTDVSA-N 1 2 294.489 3.544 20 0 CHADLO CC(C)Cc1ccccc1C[NH2+][C@H](C)c1ncco1 ZINC000926741600 1128191040 /nfs/dbraw/zinc/19/10/40/1128191040.db2.gz OUXZZHJWOJGIQZ-CYBMUJFWSA-N 1 2 258.365 3.724 20 0 CHADLO C[C@H]([NH2+][C@@H]1COCc2cccc(Cl)c21)c1ccns1 ZINC000926775079 1128193444 /nfs/dbraw/zinc/19/34/44/1128193444.db2.gz WBXXZSNGEFDGIB-JOYOIKCWSA-N 1 2 294.807 3.719 20 0 CHADLO CC[C@@H]1CCC[C@@H]1[NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1 ZINC000777692870 1128244037 /nfs/dbraw/zinc/24/40/37/1128244037.db2.gz KKXYPBWOZJUJJN-DAYGRLMNSA-N 1 2 288.435 3.902 20 0 CHADLO CC[C@@H]1CCC[C@H]1[NH2+]c1ccc(N2CCOC[C@@H]2C)cc1 ZINC000777694726 1128245674 /nfs/dbraw/zinc/24/56/74/1128245674.db2.gz QBMOHNDPZMIZFG-HDMKZQKVSA-N 1 2 288.435 3.902 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1c(F)ccc(Br)c1F ZINC000230341058 1128250918 /nfs/dbraw/zinc/25/09/18/1128250918.db2.gz ZEDJKDCLONIBFY-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1c(F)ccc(Br)c1F ZINC000230341058 1128250921 /nfs/dbraw/zinc/25/09/21/1128250921.db2.gz ZEDJKDCLONIBFY-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO Cc1[nH]c(CN(C)Cc2ccc(C(F)(F)F)cc2)c(C)[nH+]1 ZINC000929138340 1128260087 /nfs/dbraw/zinc/26/00/87/1128260087.db2.gz HVUBCFQTSWDANX-UHFFFAOYSA-N 1 2 297.324 3.677 20 0 CHADLO C[C@@H]1CCC[C@H](C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000494925333 1128213449 /nfs/dbraw/zinc/21/34/49/1128213449.db2.gz UCRLOLRLPBRIHA-YCPHGPKFSA-N 1 2 290.455 3.842 20 0 CHADLO CCOc1ccc(C[NH+]2Cc3ccccc3C2)cc1F ZINC000494959737 1128216318 /nfs/dbraw/zinc/21/63/18/1128216318.db2.gz WZDIZQRCLAKNEY-UHFFFAOYSA-N 1 2 271.335 3.740 20 0 CHADLO CSCCCCC[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000494997503 1128223022 /nfs/dbraw/zinc/22/30/22/1128223022.db2.gz PNWCOUKKRDRZOZ-CQSZACIVSA-N 1 2 296.484 3.549 20 0 CHADLO CC(C)CC1(C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000495047848 1128225742 /nfs/dbraw/zinc/22/57/42/1128225742.db2.gz IPPVYEHYIPUPRV-HNNXBMFYSA-N 1 2 290.455 3.842 20 0 CHADLO CCCC1(C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CCC1 ZINC000495053760 1128226702 /nfs/dbraw/zinc/22/67/02/1128226702.db2.gz PLYCSXACRFMJAW-HNNXBMFYSA-N 1 2 290.455 3.986 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1)C1CCC1 ZINC000777658806 1128233807 /nfs/dbraw/zinc/23/38/07/1128233807.db2.gz HZHPTWVMWBKPJH-KFWWJZLASA-N 1 2 288.435 3.901 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@H]3CC(C)(C)OC3(C)C)ccc21 ZINC000777655435 1128234194 /nfs/dbraw/zinc/23/41/94/1128234194.db2.gz KHWMLXMLVHHMKV-INIZCTEOSA-N 1 2 288.435 3.827 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@H]3CC(C)(C)OC3(C)C)ccc21 ZINC000777655435 1128234197 /nfs/dbraw/zinc/23/41/97/1128234197.db2.gz KHWMLXMLVHHMKV-INIZCTEOSA-N 1 2 288.435 3.827 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCSCC2)cc1)C1CC1 ZINC000777689954 1128241382 /nfs/dbraw/zinc/24/13/82/1128241382.db2.gz SPPUMZYNMQJAIS-INIZCTEOSA-N 1 2 276.449 3.840 20 0 CHADLO C[C@H](c1ncccn1)[N@H+](C)Cc1cccc(C(F)(F)F)c1 ZINC000929286892 1128268137 /nfs/dbraw/zinc/26/81/37/1128268137.db2.gz JOGZXNXNGPNMKL-LLVKDONJSA-N 1 2 295.308 3.688 20 0 CHADLO C[C@H](c1ncccn1)[N@@H+](C)Cc1cccc(C(F)(F)F)c1 ZINC000929286892 1128268140 /nfs/dbraw/zinc/26/81/40/1128268140.db2.gz JOGZXNXNGPNMKL-LLVKDONJSA-N 1 2 295.308 3.688 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCOC[C@H]2C)cc1)C1CCCC1 ZINC000777691104 1128242541 /nfs/dbraw/zinc/24/25/41/1128242541.db2.gz BLEDNNWKARJCLH-CABCVRRESA-N 1 2 288.435 3.902 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@@H+]2Cc2nncs2)cc1 ZINC000929706251 1128283301 /nfs/dbraw/zinc/28/33/01/1128283301.db2.gz ZWUDJURJBDSXSN-CQSZACIVSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@H+]2Cc2nncs2)cc1 ZINC000929706251 1128283304 /nfs/dbraw/zinc/28/33/04/1128283304.db2.gz ZWUDJURJBDSXSN-CQSZACIVSA-N 1 2 273.405 3.574 20 0 CHADLO C(=C/[C@@H]1CCCCC[N@H+]1Cc1nncs1)\c1ccccc1 ZINC000929826654 1128289637 /nfs/dbraw/zinc/28/96/37/1128289637.db2.gz YHNOHDMXSSPDPT-OFAQMXQXSA-N 1 2 299.443 3.996 20 0 CHADLO C(=C/[C@@H]1CCCCC[N@@H+]1Cc1nncs1)\c1ccccc1 ZINC000929826654 1128289640 /nfs/dbraw/zinc/28/96/40/1128289640.db2.gz YHNOHDMXSSPDPT-OFAQMXQXSA-N 1 2 299.443 3.996 20 0 CHADLO CNc1ccc(Nc2cnc3cc(F)ccc3c2)c[nH+]1 ZINC001203451705 1128286776 /nfs/dbraw/zinc/28/67/76/1128286776.db2.gz AGXUVZLSHCXKQY-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1nncs1)c1ccc(F)cc1 ZINC000929894181 1128292957 /nfs/dbraw/zinc/29/29/57/1128292957.db2.gz USTHSFKXLSRLJI-ZDUSSCGKSA-N 1 2 279.384 3.554 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cc(C)cc(C)n1)c1nccs1 ZINC000929990035 1128296107 /nfs/dbraw/zinc/29/61/07/1128296107.db2.gz ALOBTMQXRJUYMB-OAHLLOKOSA-N 1 2 275.421 3.570 20 0 CHADLO CCC1CCC(N(C)C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC000930012676 1128297963 /nfs/dbraw/zinc/29/79/63/1128297963.db2.gz CVXPKRKAXSCROY-UHFFFAOYSA-N 1 2 285.391 3.604 20 0 CHADLO CCCCCC[C@H](C)Cc1nc(Cc2c[nH+]cn2C)no1 ZINC000762412559 1128298028 /nfs/dbraw/zinc/29/80/28/1128298028.db2.gz VFFVCQBKIHHCJU-ZDUSSCGKSA-N 1 2 290.411 3.543 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ncccn1)c1cccc(C(F)(F)F)c1 ZINC000930182956 1128304325 /nfs/dbraw/zinc/30/43/25/1128304325.db2.gz LSSBFVDKPMQPSY-GHMZBOCLSA-N 1 2 295.308 3.907 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(C(C)C)ns2)cc1 ZINC000930188890 1128305980 /nfs/dbraw/zinc/30/59/80/1128305980.db2.gz RWZYLKWBKXPWJI-GFCCVEGCSA-N 1 2 275.421 3.821 20 0 CHADLO CC(C)Sc1ccc([C@@H](C)[NH2+]Cc2nncs2)cc1 ZINC000930216042 1128307457 /nfs/dbraw/zinc/30/74/57/1128307457.db2.gz WBELHHKACXFCSM-LLVKDONJSA-N 1 2 293.461 3.889 20 0 CHADLO Fc1cncc(F)c1C[NH2+]C1(c2ccc(Cl)cc2)CC1 ZINC000930220333 1128308309 /nfs/dbraw/zinc/30/83/09/1128308309.db2.gz FDBBKAJXMSTKBW-UHFFFAOYSA-N 1 2 294.732 3.792 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1coc(C(C)(C)C)n1 ZINC000930275430 1128311867 /nfs/dbraw/zinc/31/18/67/1128311867.db2.gz RIQBLZRCLMXWTL-GXSJLCMTSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1coc(C(C)(C)C)n1 ZINC000930275430 1128311870 /nfs/dbraw/zinc/31/18/70/1128311870.db2.gz RIQBLZRCLMXWTL-GXSJLCMTSA-N 1 2 290.329 3.745 20 0 CHADLO CC(=O)Nc1cccc([C@@H](C)Nc2ccc([NH+](C)C)cc2)c1 ZINC000237369853 1128321519 /nfs/dbraw/zinc/32/15/19/1128321519.db2.gz ZSGFIEBFSFPIHO-CYBMUJFWSA-N 1 2 297.402 3.884 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccn(-c3ccccc3)n2)c1 ZINC000613484795 1128321000 /nfs/dbraw/zinc/32/10/00/1128321000.db2.gz YKKJJVSBFOCWGO-UHFFFAOYSA-N 1 2 291.398 3.813 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccn(-c3ccccc3)n2)c1 ZINC000613484795 1128321004 /nfs/dbraw/zinc/32/10/04/1128321004.db2.gz YKKJJVSBFOCWGO-UHFFFAOYSA-N 1 2 291.398 3.813 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2coc(C(C)(C)C)n2)s1 ZINC000931467383 1128362889 /nfs/dbraw/zinc/36/28/89/1128362889.db2.gz JEAIPTWJQVPWBC-VIFPVBQESA-N 1 2 279.409 3.588 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]Cc1nc(CC(C)C)no1 ZINC000932566701 1128415137 /nfs/dbraw/zinc/41/51/37/1128415137.db2.gz HNMFDHMSVNJMMS-LBPRGKRZSA-N 1 2 291.370 3.566 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](C)c2ccc(F)cc2C)n1 ZINC000932566286 1128415362 /nfs/dbraw/zinc/41/53/62/1128415362.db2.gz BMLFINMGEZRFSQ-LBPRGKRZSA-N 1 2 291.370 3.711 20 0 CHADLO Clc1ccc(C[NH2+]C2(c3nccs3)CCCC2)nc1 ZINC000562261733 1128391055 /nfs/dbraw/zinc/39/10/55/1128391055.db2.gz AOHXLSLQCBEJTA-UHFFFAOYSA-N 1 2 293.823 3.751 20 0 CHADLO C/C=C\C[C@H]([NH2+]CC[C@@H](C)c1ccccc1)C(=O)OCC ZINC000562488890 1128405686 /nfs/dbraw/zinc/40/56/86/1128405686.db2.gz BXZZZVUPENFKAK-AAQZWPSQSA-N 1 2 289.419 3.668 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CCC2(CCCC2)C1 ZINC000154141452 1128452394 /nfs/dbraw/zinc/45/23/94/1128452394.db2.gz ZNJHGUDOTWAXSW-UHFFFAOYSA-N 1 2 289.423 3.883 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CCC2(CCCC2)C1 ZINC000154141452 1128452397 /nfs/dbraw/zinc/45/23/97/1128452397.db2.gz ZNJHGUDOTWAXSW-UHFFFAOYSA-N 1 2 289.423 3.883 20 0 CHADLO Cc1ccccc1[C@H]1CCCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000563238004 1128456977 /nfs/dbraw/zinc/45/69/77/1128456977.db2.gz RXOHRHGBCCISCZ-NVXWUHKLSA-N 1 2 297.402 3.506 20 0 CHADLO Cc1ccc(C)c(C2CC[NH+](Cc3csnn3)CC2)c1 ZINC000934797393 1128469856 /nfs/dbraw/zinc/46/98/56/1128469856.db2.gz NCVLRHZNVCUCJO-UHFFFAOYSA-N 1 2 287.432 3.535 20 0 CHADLO CCc1nnc(C[N@H+]2CC=C(c3cccc(C)c3C)CC2)o1 ZINC000934846257 1128470922 /nfs/dbraw/zinc/47/09/22/1128470922.db2.gz KUUQQKVIVZUBJT-UHFFFAOYSA-N 1 2 297.402 3.538 20 0 CHADLO CCc1nnc(C[N@@H+]2CC=C(c3cccc(C)c3C)CC2)o1 ZINC000934846257 1128470923 /nfs/dbraw/zinc/47/09/23/1128470923.db2.gz KUUQQKVIVZUBJT-UHFFFAOYSA-N 1 2 297.402 3.538 20 0 CHADLO CCCCCCC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000039338900 1128471550 /nfs/dbraw/zinc/47/15/50/1128471550.db2.gz MOFXEBCVESVKHQ-UHFFFAOYSA-N 1 2 271.364 3.781 20 0 CHADLO CCCCOC(=O)C[N@H+](C)Cc1cccc2ccccc21 ZINC000917062071 1128472318 /nfs/dbraw/zinc/47/23/18/1128472318.db2.gz QPINHKNEEBYYSY-UHFFFAOYSA-N 1 2 285.387 3.615 20 0 CHADLO CCCCOC(=O)C[N@@H+](C)Cc1cccc2ccccc21 ZINC000917062071 1128472320 /nfs/dbraw/zinc/47/23/20/1128472320.db2.gz QPINHKNEEBYYSY-UHFFFAOYSA-N 1 2 285.387 3.615 20 0 CHADLO CCCCCCNC(=O)C[N@H+](C)[C@@H](C)c1ccccc1F ZINC000917064260 1128473363 /nfs/dbraw/zinc/47/33/63/1128473363.db2.gz LRYFFVILILJPMW-AWEZNQCLSA-N 1 2 294.414 3.515 20 0 CHADLO CCCCCCNC(=O)C[N@@H+](C)[C@@H](C)c1ccccc1F ZINC000917064260 1128473364 /nfs/dbraw/zinc/47/33/64/1128473364.db2.gz LRYFFVILILJPMW-AWEZNQCLSA-N 1 2 294.414 3.515 20 0 CHADLO C[C@@H](CC(=O)N1CCCC[C@@H]1c1ccccc1)n1cc[nH+]c1 ZINC000563814848 1128480135 /nfs/dbraw/zinc/48/01/35/1128480135.db2.gz JTWXGJFUGZGLOG-DOTOQJQBSA-N 1 2 297.402 3.588 20 0 CHADLO C[N@H+](Cc1noc(C(C)(C)C)n1)C1CCC(C)(C)CC1 ZINC000127608536 1128480566 /nfs/dbraw/zinc/48/05/66/1128480566.db2.gz MZBZYCHPBNFHFZ-UHFFFAOYSA-N 1 2 279.428 3.768 20 0 CHADLO C[N@@H+](Cc1noc(C(C)(C)C)n1)C1CCC(C)(C)CC1 ZINC000127608536 1128480567 /nfs/dbraw/zinc/48/05/67/1128480567.db2.gz MZBZYCHPBNFHFZ-UHFFFAOYSA-N 1 2 279.428 3.768 20 0 CHADLO CCC[C@@](C)(O)CNc1[nH+]c2ccccc2cc1C ZINC000225355968 1128476672 /nfs/dbraw/zinc/47/66/72/1128476672.db2.gz LPAQTGKXKYNMTB-MRXNPFEDSA-N 1 2 258.365 3.506 20 0 CHADLO CC(C)CCCCCCNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000917358844 1128494761 /nfs/dbraw/zinc/49/47/61/1128494761.db2.gz SWBLVSUDYPTYTK-OAHLLOKOSA-N 1 2 279.428 3.557 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccnn1-c1ccccc1 ZINC000564227860 1128499505 /nfs/dbraw/zinc/49/95/05/1128499505.db2.gz SXSYRNSNLVLPIW-QWHCGFSZSA-N 1 2 298.415 3.741 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cc3ccccc3n2C)c(C)[nH+]1 ZINC000128507531 1128501283 /nfs/dbraw/zinc/50/12/83/1128501283.db2.gz UFRNWCLIQAUZAR-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cc2Cl)[C@H]1[C@@H]1CCCO1 ZINC000564396951 1128507138 /nfs/dbraw/zinc/50/71/38/1128507138.db2.gz VFQLAYUZKFTCBE-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cc2Cl)[C@H]1[C@@H]1CCCO1 ZINC000564396951 1128507141 /nfs/dbraw/zinc/50/71/41/1128507141.db2.gz VFQLAYUZKFTCBE-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO C[C@H](CC(=O)N[C@H](C)c1cccc(C2CC2)c1)n1cc[nH+]c1 ZINC000565048412 1128519207 /nfs/dbraw/zinc/51/92/07/1128519207.db2.gz LWHQXQZBNNPMFO-ZIAGYGMSSA-N 1 2 297.402 3.589 20 0 CHADLO CC(C)c1ccsc1C(=O)NNc1cc[nH+]cc1Cl ZINC000154587012 1128525773 /nfs/dbraw/zinc/52/57/73/1128525773.db2.gz VEXKTUWJQXELNX-UHFFFAOYSA-N 1 2 295.795 3.677 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@@H](C)c1ccncc1Cl)CO2 ZINC000565593356 1128529069 /nfs/dbraw/zinc/52/90/69/1128529069.db2.gz RMTZTBXGSANZBW-NHYWBVRUSA-N 1 2 288.778 3.828 20 0 CHADLO CCCCOC(=O)C[N@H+](C)[C@H](C)c1ccc(C)cc1C ZINC000918023578 1128530915 /nfs/dbraw/zinc/53/09/15/1128530915.db2.gz DMIKBDJHQOVVDG-OAHLLOKOSA-N 1 2 277.408 3.640 20 0 CHADLO CCCCOC(=O)C[N@@H+](C)[C@H](C)c1ccc(C)cc1C ZINC000918023578 1128530918 /nfs/dbraw/zinc/53/09/18/1128530918.db2.gz DMIKBDJHQOVVDG-OAHLLOKOSA-N 1 2 277.408 3.640 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+](C)[C@@H](C)c2ccco2)cc1C ZINC000069069738 1128533764 /nfs/dbraw/zinc/53/37/64/1128533764.db2.gz WHQFIFQBJVKWDA-AWEZNQCLSA-N 1 2 286.375 3.528 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+](C)[C@@H](C)c2ccco2)cc1C ZINC000069069738 1128533766 /nfs/dbraw/zinc/53/37/66/1128533766.db2.gz WHQFIFQBJVKWDA-AWEZNQCLSA-N 1 2 286.375 3.528 20 0 CHADLO COc1cccc(C[N@H+](C)[C@H](C)c2ccccn2)c1Cl ZINC000660139537 1128536389 /nfs/dbraw/zinc/53/63/89/1128536389.db2.gz BKYIWKRUBPASRS-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@H](C)c2ccccn2)c1Cl ZINC000660139537 1128536393 /nfs/dbraw/zinc/53/63/93/1128536393.db2.gz BKYIWKRUBPASRS-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO C[C@H]1CCN(c2[nH+]ccc3cc(F)ccc32)CCS1 ZINC000566148871 1128538807 /nfs/dbraw/zinc/53/88/07/1128538807.db2.gz GIIJBNXORIMRAO-NSHDSACASA-N 1 2 276.380 3.706 20 0 CHADLO CC(C)[C@@H]1[N@H+](Cc2ccc(Br)cn2)CC1(C)C ZINC000567252252 1128560863 /nfs/dbraw/zinc/56/08/63/1128560863.db2.gz KDCMWBCKFDVYCQ-ZDUSSCGKSA-N 1 2 297.240 3.711 20 0 CHADLO CC(C)[C@@H]1[N@@H+](Cc2ccc(Br)cn2)CC1(C)C ZINC000567252252 1128560866 /nfs/dbraw/zinc/56/08/66/1128560866.db2.gz KDCMWBCKFDVYCQ-ZDUSSCGKSA-N 1 2 297.240 3.711 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2c(F)cccc2F)cc(C)c1O ZINC000566871407 1128553485 /nfs/dbraw/zinc/55/34/85/1128553485.db2.gz NQCFWKUQSQPNOV-UHFFFAOYSA-N 1 2 291.341 3.919 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2c(F)cccc2F)cc(C)c1O ZINC000566871407 1128553487 /nfs/dbraw/zinc/55/34/87/1128553487.db2.gz NQCFWKUQSQPNOV-UHFFFAOYSA-N 1 2 291.341 3.919 20 0 CHADLO CCC[N@H+](C)[C@@H](C(=O)OC)c1ccc(Cl)c(Cl)c1 ZINC000556301466 1128575652 /nfs/dbraw/zinc/57/56/52/1128575652.db2.gz BIZZCIFYZOSGGH-GFCCVEGCSA-N 1 2 290.190 3.549 20 0 CHADLO CCC[N@@H+](C)[C@@H](C(=O)OC)c1ccc(Cl)c(Cl)c1 ZINC000556301466 1128575653 /nfs/dbraw/zinc/57/56/53/1128575653.db2.gz BIZZCIFYZOSGGH-GFCCVEGCSA-N 1 2 290.190 3.549 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cc(C(C)(C)C)on1 ZINC000569860349 1128610825 /nfs/dbraw/zinc/61/08/25/1128610825.db2.gz VULNZSVGFAJPAS-KOLCDFICSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1cc(C(C)(C)C)on1 ZINC000569860349 1128610826 /nfs/dbraw/zinc/61/08/26/1128610826.db2.gz VULNZSVGFAJPAS-KOLCDFICSA-N 1 2 290.329 3.745 20 0 CHADLO CC[C@H]1CCCC[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000131246627 1128611276 /nfs/dbraw/zinc/61/12/76/1128611276.db2.gz OYHGDKJQRLHHSS-VIFPVBQESA-N 1 2 278.343 3.926 20 0 CHADLO CC[C@H]1CCCC[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000131246627 1128611278 /nfs/dbraw/zinc/61/12/78/1128611278.db2.gz OYHGDKJQRLHHSS-VIFPVBQESA-N 1 2 278.343 3.926 20 0 CHADLO COc1ccc2oc(C[NH2+][C@H](C)c3cscn3)cc2c1 ZINC000570176351 1128617693 /nfs/dbraw/zinc/61/76/93/1128617693.db2.gz MPODQFDQMUDXHZ-SNVBAGLBSA-N 1 2 288.372 3.749 20 0 CHADLO CCS[C@H](C)c1noc(C[N@@H+]2CCCC(C)(C)C2)n1 ZINC000131495447 1128623476 /nfs/dbraw/zinc/62/34/76/1128623476.db2.gz OPVLRJJLEUEVJI-LLVKDONJSA-N 1 2 283.441 3.506 20 0 CHADLO CCS[C@H](C)c1noc(C[N@H+]2CCCC(C)(C)C2)n1 ZINC000131495447 1128623479 /nfs/dbraw/zinc/62/34/79/1128623479.db2.gz OPVLRJJLEUEVJI-LLVKDONJSA-N 1 2 283.441 3.506 20 0 CHADLO CC[C@H](CC(=O)Nc1cc[nH+]c(C)c1)c1ccc(OC)cc1 ZINC000131738682 1128636414 /nfs/dbraw/zinc/63/64/14/1128636414.db2.gz QANOUFPUFHLWLC-CQSZACIVSA-N 1 2 298.386 3.921 20 0 CHADLO O=C(Oc1ccc(Cl)cc1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000920436245 1128683573 /nfs/dbraw/zinc/68/35/73/1128683573.db2.gz YVQPGNGESIPWSP-UHFFFAOYSA-N 1 2 298.729 3.745 20 0 CHADLO CSCc1cnc(C[NH2+][C@H](C)c2cnc(C)s2)s1 ZINC000601149986 1128701452 /nfs/dbraw/zinc/70/14/52/1128701452.db2.gz VPUQWUQHNNJFII-MRVPVSSYSA-N 1 2 299.490 3.622 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cn2cc(Cl)cn2)cc1C ZINC000921187949 1128702714 /nfs/dbraw/zinc/70/27/14/1128702714.db2.gz RTZMTFROSWQVAY-INIZCTEOSA-N 1 2 289.810 3.948 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cn2cc(Cl)cn2)cc1C ZINC000921187949 1128702715 /nfs/dbraw/zinc/70/27/15/1128702715.db2.gz RTZMTFROSWQVAY-INIZCTEOSA-N 1 2 289.810 3.948 20 0 CHADLO CC1(C[N@@H+]2CCO[C@H](c3ccc(F)cc3Cl)C2)CC1 ZINC000578071420 1128705594 /nfs/dbraw/zinc/70/55/94/1128705594.db2.gz CBTPCKHPCYNHQB-AWEZNQCLSA-N 1 2 283.774 3.653 20 0 CHADLO CC1(C[N@H+]2CCO[C@H](c3ccc(F)cc3Cl)C2)CC1 ZINC000578071420 1128705596 /nfs/dbraw/zinc/70/55/96/1128705596.db2.gz CBTPCKHPCYNHQB-AWEZNQCLSA-N 1 2 283.774 3.653 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCC[C@H]2/C=C/c2ccccc2)on1 ZINC000578334332 1128714803 /nfs/dbraw/zinc/71/48/03/1128714803.db2.gz JMJISPRBRVVXJL-SCOAYWHSSA-N 1 2 296.370 3.555 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCC[C@H]2/C=C/c2ccccc2)on1 ZINC000578334332 1128714805 /nfs/dbraw/zinc/71/48/05/1128714805.db2.gz JMJISPRBRVVXJL-SCOAYWHSSA-N 1 2 296.370 3.555 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1nc2ccccc2[nH]1 ZINC000578401690 1128717354 /nfs/dbraw/zinc/71/73/54/1128717354.db2.gz WCJRSBWCFLKLDH-MRXNPFEDSA-N 1 2 280.375 3.541 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1nc2ccccc2[nH]1 ZINC000578401690 1128717357 /nfs/dbraw/zinc/71/73/57/1128717357.db2.gz WCJRSBWCFLKLDH-MRXNPFEDSA-N 1 2 280.375 3.541 20 0 CHADLO CNc1ccc(Nc2c(F)cccc2Br)c[nH+]1 ZINC001203455074 1128724876 /nfs/dbraw/zinc/72/48/76/1128724876.db2.gz PQPXXDLNJIENCZ-UHFFFAOYSA-N 1 2 296.143 3.769 20 0 CHADLO Fc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC000035040150 1117761966 /nfs/dbraw/zinc/76/19/66/1117761966.db2.gz YRECSQHDUKDKJH-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnc(C2CCC2)s1 ZINC000430142631 1117770406 /nfs/dbraw/zinc/77/04/06/1117770406.db2.gz VGXDNDCXMREPET-UHFFFAOYSA-N 1 2 276.405 3.944 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnc(C2CCC2)s1 ZINC000430142631 1117770411 /nfs/dbraw/zinc/77/04/11/1117770411.db2.gz VGXDNDCXMREPET-UHFFFAOYSA-N 1 2 276.405 3.944 20 0 CHADLO C[C@H](CC(=O)Nc1cccc(C2CCC2)c1)n1cc[nH+]c1 ZINC000579013926 1128736288 /nfs/dbraw/zinc/73/62/88/1128736288.db2.gz KSFVTLIAFQOZHV-CYBMUJFWSA-N 1 2 283.375 3.740 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=C3CCCCC3)cc2[nH+]1 ZINC000048927881 1128736303 /nfs/dbraw/zinc/73/63/03/1128736303.db2.gz MTGVOXSUYNVBPJ-UHFFFAOYSA-N 1 2 269.348 3.700 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1nnc(-c2ccccc2)o1 ZINC000623121820 1117815969 /nfs/dbraw/zinc/81/59/69/1117815969.db2.gz NDDRYNFDWUXEBY-UHFFFAOYSA-N 1 2 299.296 3.511 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1nnc(-c2ccccc2)o1 ZINC000623121820 1117815976 /nfs/dbraw/zinc/81/59/76/1117815976.db2.gz NDDRYNFDWUXEBY-UHFFFAOYSA-N 1 2 299.296 3.511 20 0 CHADLO Fc1cc(F)c(C[NH+]2CCC3(CC3(F)F)CC2)cc1F ZINC000651953600 1117818645 /nfs/dbraw/zinc/81/86/45/1117818645.db2.gz NVMOOMKOKRFJGB-UHFFFAOYSA-N 1 2 291.263 3.725 20 0 CHADLO CCc1noc(C[NH2+][C@H](c2ccc(Cl)cc2)C(C)C)n1 ZINC000623167649 1117821344 /nfs/dbraw/zinc/82/13/44/1117821344.db2.gz ALRZOQNLYNEPPQ-HNNXBMFYSA-N 1 2 293.798 3.772 20 0 CHADLO COc1ccc[nH+]c1NCc1csc(C(F)(F)F)c1 ZINC000623476866 1117863491 /nfs/dbraw/zinc/86/34/91/1117863491.db2.gz RUVUNLHRYXHUQV-UHFFFAOYSA-N 1 2 288.294 3.783 20 0 CHADLO Fc1cccc(Oc2ccccc2C[NH2+]Cc2cocn2)c1 ZINC000683202082 1117867993 /nfs/dbraw/zinc/86/79/93/1117867993.db2.gz IQZSXELGDJQFHV-UHFFFAOYSA-N 1 2 298.317 3.896 20 0 CHADLO CC(C)OC[C@H](C)[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000623658019 1117884979 /nfs/dbraw/zinc/88/49/79/1117884979.db2.gz HAUVIZUBNYCMQN-ZFWWWQNUSA-N 1 2 285.378 3.656 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1ccc(F)c2cccnc12 ZINC000623679997 1117888861 /nfs/dbraw/zinc/88/88/61/1117888861.db2.gz SGRYCFQTPXFXEA-UHFFFAOYSA-N 1 2 268.282 3.509 20 0 CHADLO Fc1ccc(/C=C\C[N@@H+]2CCC=C(Br)C2)cc1 ZINC000799735869 1117898631 /nfs/dbraw/zinc/89/86/31/1117898631.db2.gz NPJQDOAVSCVDPY-IWQZZHSRSA-N 1 2 296.183 3.823 20 0 CHADLO Fc1ccc(/C=C\C[N@H+]2CCC=C(Br)C2)cc1 ZINC000799735869 1117898636 /nfs/dbraw/zinc/89/86/36/1117898636.db2.gz NPJQDOAVSCVDPY-IWQZZHSRSA-N 1 2 296.183 3.823 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2c(F)cccc2F)c1 ZINC000339596971 1117900344 /nfs/dbraw/zinc/90/03/44/1117900344.db2.gz TTXNMRIEHGFTCO-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2c(F)cccc2F)c1 ZINC000339596971 1117900348 /nfs/dbraw/zinc/90/03/48/1117900348.db2.gz TTXNMRIEHGFTCO-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO Cc1nc(C[N@H+](C)C/C=C\c2ccccc2)cs1 ZINC000349988655 1117903623 /nfs/dbraw/zinc/90/36/23/1117903623.db2.gz GYIHGJDPXLEKKM-TWGQIWQCSA-N 1 2 258.390 3.597 20 0 CHADLO Cc1nc(C[N@@H+](C)C/C=C\c2ccccc2)cs1 ZINC000349988655 1117903627 /nfs/dbraw/zinc/90/36/27/1117903627.db2.gz GYIHGJDPXLEKKM-TWGQIWQCSA-N 1 2 258.390 3.597 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc2c(c1)CCCC2 ZINC000430239086 1117907857 /nfs/dbraw/zinc/90/78/57/1117907857.db2.gz AKIORIXTJJVQAM-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc2c(c1)CCCC2 ZINC000430239086 1117907861 /nfs/dbraw/zinc/90/78/61/1117907861.db2.gz AKIORIXTJJVQAM-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO Cc1ccc(C)c(NC(=O)C[N@@H+]2CC[C@H]2c2ccccc2)c1 ZINC000471168073 1117909758 /nfs/dbraw/zinc/90/97/58/1117909758.db2.gz HEXSXCSZUAATEU-SFHVURJKSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1ccc(C)c(NC(=O)C[N@H+]2CC[C@H]2c2ccccc2)c1 ZINC000471168073 1117909763 /nfs/dbraw/zinc/90/97/63/1117909763.db2.gz HEXSXCSZUAATEU-SFHVURJKSA-N 1 2 294.398 3.689 20 0 CHADLO C[C@H](C[NH+]1CC(C)(F)C1)c1cccc2ccccc21 ZINC001208702400 1117915406 /nfs/dbraw/zinc/91/54/06/1117915406.db2.gz ABQXEVGINDCNLH-CYBMUJFWSA-N 1 2 257.352 3.987 20 0 CHADLO C[C@@H](C[NH+]1CC(C)(F)C1)c1cccc2ccccc21 ZINC001208702401 1117915461 /nfs/dbraw/zinc/91/54/61/1117915461.db2.gz ABQXEVGINDCNLH-ZDUSSCGKSA-N 1 2 257.352 3.987 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCc3ccccc32)cc1 ZINC000036335426 1117916299 /nfs/dbraw/zinc/91/62/99/1117916299.db2.gz CBNRMCCYTNYSBO-QGZVFWFLSA-N 1 2 252.361 3.852 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2CC[C@H]2c2ccccc2)c(C)c1 ZINC000471174755 1117922985 /nfs/dbraw/zinc/92/29/85/1117922985.db2.gz CEAMKRUGEQNDLI-SFHVURJKSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2CC[C@H]2c2ccccc2)c(C)c1 ZINC000471174755 1117922987 /nfs/dbraw/zinc/92/29/87/1117922987.db2.gz CEAMKRUGEQNDLI-SFHVURJKSA-N 1 2 294.398 3.689 20 0 CHADLO CCOc1ccc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)nc1 ZINC000648801679 1117931293 /nfs/dbraw/zinc/93/12/93/1117931293.db2.gz NJDMNCDIRZPMKB-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO CCOc1ccc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)nc1 ZINC000648801679 1117931297 /nfs/dbraw/zinc/93/12/97/1117931297.db2.gz NJDMNCDIRZPMKB-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO C[C@@H]1CCC[N@H+](Cn2nc(C(C)(C)C)oc2=S)CC1 ZINC000748083414 1117937394 /nfs/dbraw/zinc/93/73/94/1117937394.db2.gz NMBIWXUFOQKJCX-LLVKDONJSA-N 1 2 283.441 3.583 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cn2nc(C(C)(C)C)oc2=S)CC1 ZINC000748083414 1117937400 /nfs/dbraw/zinc/93/74/00/1117937400.db2.gz NMBIWXUFOQKJCX-LLVKDONJSA-N 1 2 283.441 3.583 20 0 CHADLO Cc1ccnc(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)n1 ZINC000339654285 1117947837 /nfs/dbraw/zinc/94/78/37/1117947837.db2.gz VJLBKOUQKUJILO-CYBMUJFWSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1ccnc(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)n1 ZINC000339654285 1117947841 /nfs/dbraw/zinc/94/78/41/1117947841.db2.gz VJLBKOUQKUJILO-CYBMUJFWSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2nccc(C)n2)o1 ZINC000339662509 1117948617 /nfs/dbraw/zinc/94/86/17/1117948617.db2.gz KASQHAKFWKVZBD-IUODEOHRSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2nccc(C)n2)o1 ZINC000339662509 1117948620 /nfs/dbraw/zinc/94/86/20/1117948620.db2.gz KASQHAKFWKVZBD-IUODEOHRSA-N 1 2 285.391 3.660 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2nccc(C)n2)o1 ZINC000339662490 1117949101 /nfs/dbraw/zinc/94/91/01/1117949101.db2.gz JTHNFCWVCVIESB-XJKSGUPXSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2nccc(C)n2)o1 ZINC000339662490 1117949103 /nfs/dbraw/zinc/94/91/03/1117949103.db2.gz JTHNFCWVCVIESB-XJKSGUPXSA-N 1 2 299.418 3.914 20 0 CHADLO Cc1ccnc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)n1 ZINC000339660125 1117950572 /nfs/dbraw/zinc/95/05/72/1117950572.db2.gz SLXCXCXKWOJULG-BLLLJJGKSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)n1 ZINC000339660125 1117950573 /nfs/dbraw/zinc/95/05/73/1117950573.db2.gz SLXCXCXKWOJULG-BLLLJJGKSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2COc3ccc(C)cc32)n1 ZINC000623920549 1117956651 /nfs/dbraw/zinc/95/66/51/1117956651.db2.gz QBNAHBMACWPBGY-AAEUAGOBSA-N 1 2 274.389 3.544 20 0 CHADLO CC(C)[N@@H+](CC(=O)c1ccccc1)C[C@H](C)C(F)(F)F ZINC001208904388 1117959751 /nfs/dbraw/zinc/95/97/51/1117959751.db2.gz FZKSJVGKEDWYDQ-LBPRGKRZSA-N 1 2 287.325 3.778 20 0 CHADLO CC(C)[N@H+](CC(=O)c1ccccc1)C[C@H](C)C(F)(F)F ZINC001208904388 1117959754 /nfs/dbraw/zinc/95/97/54/1117959754.db2.gz FZKSJVGKEDWYDQ-LBPRGKRZSA-N 1 2 287.325 3.778 20 0 CHADLO COCC[C@@H]([NH2+][C@@H](C)c1nc(C)cs1)c1ccc(C)o1 ZINC000623956806 1117961463 /nfs/dbraw/zinc/96/14/63/1117961463.db2.gz RINVULSRCVQNBZ-QWHCGFSZSA-N 1 2 294.420 3.781 20 0 CHADLO FC(F)C[N@H+](CCc1ccccc1)CC1CCC1 ZINC000535613645 1117961734 /nfs/dbraw/zinc/96/17/34/1117961734.db2.gz ZOJPSWMBUGFCAH-UHFFFAOYSA-N 1 2 253.336 3.596 20 0 CHADLO FC(F)C[N@@H+](CCc1ccccc1)CC1CCC1 ZINC000535613645 1117961737 /nfs/dbraw/zinc/96/17/37/1117961737.db2.gz ZOJPSWMBUGFCAH-UHFFFAOYSA-N 1 2 253.336 3.596 20 0 CHADLO Fc1ccccc1C[N@@H+]1CCc2c(F)cccc2C1 ZINC000339774641 1117972889 /nfs/dbraw/zinc/97/28/89/1117972889.db2.gz CIVPNNDGYQRTDD-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1ccccc1C[N@H+]1CCc2c(F)cccc2C1 ZINC000339774641 1117972893 /nfs/dbraw/zinc/97/28/93/1117972893.db2.gz CIVPNNDGYQRTDD-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO C[C@@]1(CO)CCC[C@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000579387880 1128747744 /nfs/dbraw/zinc/74/77/44/1128747744.db2.gz PSWLLEYSAMVSDZ-ZBFHGGJFSA-N 1 2 290.794 3.851 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@H+](C)Cc2nnc(C3CC3)n2C)c1 ZINC000339837071 1117983129 /nfs/dbraw/zinc/98/31/29/1117983129.db2.gz YUGIVMVBKPSIIZ-AWEZNQCLSA-N 1 2 298.434 3.502 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@@H+](C)Cc2nnc(C3CC3)n2C)c1 ZINC000339837071 1117983131 /nfs/dbraw/zinc/98/31/31/1117983131.db2.gz YUGIVMVBKPSIIZ-AWEZNQCLSA-N 1 2 298.434 3.502 20 0 CHADLO CC[C@H]([NH2+]CC(F)(F)CC)c1ccc2c(c1)OCCO2 ZINC000624147605 1117988874 /nfs/dbraw/zinc/98/88/74/1117988874.db2.gz GEXDXXVDBHKJGF-LBPRGKRZSA-N 1 2 285.334 3.544 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CCCC2)no1)c1ccccc1 ZINC000181379128 1117998047 /nfs/dbraw/zinc/99/80/47/1117998047.db2.gz XKHHIFKTGLIZJH-LBPRGKRZSA-N 1 2 271.364 3.578 20 0 CHADLO C[C@@H]1Sc2ccccc2N(CCCn2cc[nH+]c2)[C@H]1C ZINC000182945035 1118033674 /nfs/dbraw/zinc/03/36/74/1118033674.db2.gz ZAICWTZIKFXUAV-KBPBESRZSA-N 1 2 287.432 3.663 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCS[C@@H](C)[C@H]2C)s1 ZINC000183082885 1118037166 /nfs/dbraw/zinc/03/71/66/1118037166.db2.gz FDQKKIKRHGLISD-MNOVXSKESA-N 1 2 270.467 3.592 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCS[C@@H](C)[C@H]2C)s1 ZINC000183082885 1118037172 /nfs/dbraw/zinc/03/71/72/1118037172.db2.gz FDQKKIKRHGLISD-MNOVXSKESA-N 1 2 270.467 3.592 20 0 CHADLO CC[C@H](c1ccccc1)N(C)c1[nH+]cc(C)cc1OC ZINC001167128100 1118043192 /nfs/dbraw/zinc/04/31/92/1118043192.db2.gz ONLPARBNZXFVBE-OAHLLOKOSA-N 1 2 270.376 3.986 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ccoc2)c2ccccc2)nc1 ZINC000183392172 1118043915 /nfs/dbraw/zinc/04/39/15/1118043915.db2.gz MDYIHLBPIGRLKY-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO CC[C@@H](c1ccccc1)N(C)c1nc(C)[nH+]c(C)c1C ZINC001167129077 1118046267 /nfs/dbraw/zinc/04/62/67/1118046267.db2.gz WIUTUSUKJVHVGW-INIZCTEOSA-N 1 2 269.392 3.989 20 0 CHADLO C[C@@H]1C[C@H]1C[N@@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001209425512 1118049676 /nfs/dbraw/zinc/04/96/76/1118049676.db2.gz FEDLIYNFSNXSSQ-VHDGCEQUSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]1C[C@H]1C[N@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001209425512 1118049681 /nfs/dbraw/zinc/04/96/81/1118049681.db2.gz FEDLIYNFSNXSSQ-VHDGCEQUSA-N 1 2 265.347 3.767 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCc2[nH]ncc21)c1ccc(C)cc1 ZINC000367601552 1118056123 /nfs/dbraw/zinc/05/61/23/1118056123.db2.gz OKQNETFPKQTKNA-HOTGVXAUSA-N 1 2 269.392 3.836 20 0 CHADLO CCC[C@H]([NH2+][C@@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000368607182 1118058454 /nfs/dbraw/zinc/05/84/54/1118058454.db2.gz GXBIBWSCXVKJRM-JKSUJKDBSA-N 1 2 269.392 3.918 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1nc(C(C)C)no1 ZINC000125712430 1118065505 /nfs/dbraw/zinc/06/55/05/1118065505.db2.gz POFZHFFWKNLFSB-OLZOCXBDSA-N 1 2 273.380 3.913 20 0 CHADLO CO[C@H](C)c1cccc(Nc2[nH+]cccc2N(C)C)c1 ZINC001209948857 1118116163 /nfs/dbraw/zinc/11/61/63/1118116163.db2.gz BALLQDSAZMEGDN-GFCCVEGCSA-N 1 2 271.364 3.599 20 0 CHADLO Cc1oc(C(C)(C)C)cc1CNc1ccc(N(C)C)[nH+]c1 ZINC001650751083 1118116731 /nfs/dbraw/zinc/11/67/31/1118116731.db2.gz MCOOXSOYTSKBTN-UHFFFAOYSA-N 1 2 287.407 3.959 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)NCC3CCC(C)(C)CC3)ccn12 ZINC001127823611 1118133810 /nfs/dbraw/zinc/13/38/10/1118133810.db2.gz TVTRRGMLONFJQX-UHFFFAOYSA-N 1 2 299.418 3.589 20 0 CHADLO CNc1ccc(Nc2ccc(Cl)cc2F)c[nH+]1 ZINC001203456549 1118141485 /nfs/dbraw/zinc/14/14/85/1118141485.db2.gz JKZQTKCFOCCYAM-UHFFFAOYSA-N 1 2 251.692 3.659 20 0 CHADLO CNc1ccc(Nc2ccc3nc(C)ccc3c2)c[nH+]1 ZINC001203456765 1118144609 /nfs/dbraw/zinc/14/46/09/1118144609.db2.gz VHYZKLGDOKAJNE-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3cccc(C)c3C)cc2[nH+]1 ZINC000010896075 1118160024 /nfs/dbraw/zinc/16/00/24/1118160024.db2.gz RKKINEIHHIPCCK-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(OC(C)C)cc2)c1 ZINC000650244620 1118192180 /nfs/dbraw/zinc/19/21/80/1118192180.db2.gz HRTFJVWSQDDGGG-UHFFFAOYSA-N 1 2 286.375 3.627 20 0 CHADLO Clc1nc(C[N@@H+]2CCC[C@H](C3CCC3)C2)cs1 ZINC000693095872 1118196231 /nfs/dbraw/zinc/19/62/31/1118196231.db2.gz DFBQRNWUOUNDOO-NSHDSACASA-N 1 2 270.829 3.809 20 0 CHADLO Clc1nc(C[N@H+]2CCC[C@H](C3CCC3)C2)cs1 ZINC000693095872 1118196233 /nfs/dbraw/zinc/19/62/33/1118196233.db2.gz DFBQRNWUOUNDOO-NSHDSACASA-N 1 2 270.829 3.809 20 0 CHADLO CCN(CC)c1ccc(NCc2ccc(C)c(F)c2)c[nH+]1 ZINC000110975649 1128762508 /nfs/dbraw/zinc/76/25/08/1128762508.db2.gz RXEAGGVIGCWZLM-UHFFFAOYSA-N 1 2 287.382 3.987 20 0 CHADLO CNc1ccc(Nc2cc(Cl)cc(OC)c2)c[nH+]1 ZINC001203457911 1118213849 /nfs/dbraw/zinc/21/38/49/1118213849.db2.gz DFHPTPUCUKOVPN-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO CNc1ccc(Nc2cc(F)cc(F)c2Cl)c[nH+]1 ZINC001203458217 1118214887 /nfs/dbraw/zinc/21/48/87/1118214887.db2.gz GPXHXCCLIKKOLJ-UHFFFAOYSA-N 1 2 269.682 3.799 20 0 CHADLO COc1ccccc1C/C(C)=C\C(=O)Nc1cc[nH+]c(C)c1 ZINC000255108276 1118215388 /nfs/dbraw/zinc/21/53/88/1118215388.db2.gz MUASXIQHWVYVEN-QBFSEMIESA-N 1 2 296.370 3.526 20 0 CHADLO CNc1ccc(Nc2cccc(OC)c2C(F)(F)F)c[nH+]1 ZINC001203458252 1118221611 /nfs/dbraw/zinc/22/16/11/1118221611.db2.gz HWFWWFVXRAJQNF-UHFFFAOYSA-N 1 2 297.280 3.894 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(C3CCOCC3)cc2)cc1N ZINC001210289887 1118236548 /nfs/dbraw/zinc/23/65/48/1118236548.db2.gz AALUHJCHKNBHSA-UHFFFAOYSA-N 1 2 283.375 3.610 20 0 CHADLO CNc1ccc(Nc2ncnc3c4ccccc4oc32)c[nH+]1 ZINC000042739419 1118239077 /nfs/dbraw/zinc/23/90/77/1118239077.db2.gz BEJNNMGUHKYSAV-UHFFFAOYSA-N 1 2 291.314 3.556 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)Cc2cccc(Cl)c2)n1 ZINC000172333464 1118239611 /nfs/dbraw/zinc/23/96/11/1118239611.db2.gz SSXTWXQNYZXUIM-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)Cc2cccc(Cl)c2)n1 ZINC000172333464 1118239615 /nfs/dbraw/zinc/23/96/15/1118239615.db2.gz SSXTWXQNYZXUIM-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc(F)cc2)c1 ZINC001239475681 1118242853 /nfs/dbraw/zinc/24/28/53/1118242853.db2.gz CFUKENBAHALONR-UHFFFAOYSA-N 1 2 252.292 3.893 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2noc3ccccc23)o1 ZINC000655854735 1118243076 /nfs/dbraw/zinc/24/30/76/1118243076.db2.gz UKZUNNAKPYRNAQ-LLVKDONJSA-N 1 2 270.332 3.834 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@H](C)c1c(F)cccc1OC ZINC000340443555 1118254613 /nfs/dbraw/zinc/25/46/13/1118254613.db2.gz VYEMDVVDTGTWEV-SNVBAGLBSA-N 1 2 292.354 3.544 20 0 CHADLO Cc1cc(CNc2ccc3c(c2)OCO3)c2c([nH+]1)CCCC2 ZINC001648851460 1118259036 /nfs/dbraw/zinc/25/90/36/1118259036.db2.gz NRQKHXPOQSFTJM-UHFFFAOYSA-N 1 2 296.370 3.610 20 0 CHADLO CCn1nc(C)c(CNc2cc(C)c3ccccc3[nH+]2)c1C ZINC000066817032 1118270180 /nfs/dbraw/zinc/27/01/80/1118270180.db2.gz HBTRNRZXWKSPGY-UHFFFAOYSA-N 1 2 294.402 3.989 20 0 CHADLO CC(C)c1noc(C[NH2+]C(C)(C)c2ccc(Cl)cc2)n1 ZINC000057846746 1118285570 /nfs/dbraw/zinc/28/55/70/1118285570.db2.gz VDFAZSVTZQLCIK-UHFFFAOYSA-N 1 2 293.798 3.871 20 0 CHADLO CC(C)([NH2+]C/C=C/c1ccc(F)c(F)c1)C(F)F ZINC000671719321 1118297397 /nfs/dbraw/zinc/29/73/97/1118297397.db2.gz FJFQTRBINMEATP-ONEGZZNKSA-N 1 2 261.262 3.611 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)C(C)C ZINC000179948945 1128768675 /nfs/dbraw/zinc/76/86/75/1128768675.db2.gz XLLSHOXIPNNZHX-RHSMWYFYSA-N 1 2 276.424 3.758 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc([C@H](C)O)c2)cc1 ZINC001210511000 1118305315 /nfs/dbraw/zinc/30/53/15/1118305315.db2.gz CTAVMLGGTQVTHT-ZDUSSCGKSA-N 1 2 270.376 3.940 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc([C@H](C)O)c2)cc1 ZINC001210511000 1118305319 /nfs/dbraw/zinc/30/53/19/1118305319.db2.gz CTAVMLGGTQVTHT-ZDUSSCGKSA-N 1 2 270.376 3.940 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccccc2N(C)C(C)C)no1 ZINC000340502910 1118308400 /nfs/dbraw/zinc/30/84/00/1118308400.db2.gz XUAPMXLTDDXMCW-AWEZNQCLSA-N 1 2 287.407 3.678 20 0 CHADLO Cc1ccc([C@H]([NH2+][C@@H](C)c2cn[nH]c2)c2ccccn2)cc1 ZINC000340504006 1118309956 /nfs/dbraw/zinc/30/99/56/1118309956.db2.gz YWTTXWZXENDSAJ-KSSFIOAISA-N 1 2 292.386 3.553 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1ccc3nccn3c1)CC2 ZINC001143019048 1118323198 /nfs/dbraw/zinc/32/31/98/1118323198.db2.gz UVRPFKGSVVRXRC-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1ccc3nccn3c1)CC2 ZINC001143019048 1118323202 /nfs/dbraw/zinc/32/32/02/1118323202.db2.gz UVRPFKGSVVRXRC-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1cnc(C[NH+]2CC(c3cccc(C(F)(F)F)c3)C2)o1 ZINC000639234626 1118335529 /nfs/dbraw/zinc/33/55/29/1118335529.db2.gz BIFRQZNRNUFHGD-UHFFFAOYSA-N 1 2 296.292 3.601 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cncc(N2CCCC2)c1 ZINC001210606082 1118347858 /nfs/dbraw/zinc/34/78/58/1118347858.db2.gz PMRBPOIPEIXTEQ-UHFFFAOYSA-N 1 2 282.391 3.691 20 0 CHADLO O=C(CCC1CCCCCC1)NCc1ccn2cc[nH+]c2c1 ZINC000654908533 1118357763 /nfs/dbraw/zinc/35/77/63/1118357763.db2.gz AAHVFPGHQYPPHX-UHFFFAOYSA-N 1 2 299.418 3.701 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2ccc(C(F)(F)F)cc2)o1 ZINC000616008808 1128772351 /nfs/dbraw/zinc/77/23/51/1128772351.db2.gz YJNGGNBISCUXTF-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2ccc(C(F)(F)F)cc2)o1 ZINC000616008808 1128772354 /nfs/dbraw/zinc/77/23/54/1128772354.db2.gz YJNGGNBISCUXTF-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2ccc(C(F)(F)F)cc2)o1 ZINC000616008800 1128772450 /nfs/dbraw/zinc/77/24/50/1128772450.db2.gz YJNGGNBISCUXTF-SECBINFHSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2ccc(C(F)(F)F)cc2)o1 ZINC000616008800 1128772451 /nfs/dbraw/zinc/77/24/51/1128772451.db2.gz YJNGGNBISCUXTF-SECBINFHSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)c(C)o1 ZINC000671770744 1118365892 /nfs/dbraw/zinc/36/58/92/1118365892.db2.gz XLFDKRNPHDJSEE-ZJUUUORDSA-N 1 2 280.318 3.981 20 0 CHADLO COc1cccc2[nH+]c(NC3CCC(OC)CC3)ccc21 ZINC001168603827 1118369442 /nfs/dbraw/zinc/36/94/42/1118369442.db2.gz SOBCQKXSJVNEOZ-UHFFFAOYSA-N 1 2 286.375 3.613 20 0 CHADLO C[C@@H](COCc1ccccc1)C[N@H+](CC(F)F)C1CC1 ZINC001167350661 1118376215 /nfs/dbraw/zinc/37/62/15/1118376215.db2.gz NMVZWCZKCXUWGG-CYBMUJFWSA-N 1 2 283.362 3.569 20 0 CHADLO C[C@@H](COCc1ccccc1)C[N@@H+](CC(F)F)C1CC1 ZINC001167350661 1118376220 /nfs/dbraw/zinc/37/62/20/1118376220.db2.gz NMVZWCZKCXUWGG-CYBMUJFWSA-N 1 2 283.362 3.569 20 0 CHADLO Cc1cc(CNC(=O)Nc2cccc3cc[nH]c32)cc(C)[nH+]1 ZINC001670540590 1118378060 /nfs/dbraw/zinc/37/80/60/1118378060.db2.gz BADKISIHHRDBRV-UHFFFAOYSA-N 1 2 294.358 3.501 20 0 CHADLO COc1cc(Nc2ccc(-c3noc(C)n3)cc2)cc(C)[nH+]1 ZINC001210780337 1118384666 /nfs/dbraw/zinc/38/46/66/1118384666.db2.gz HYZNUFPUBCRNJC-UHFFFAOYSA-N 1 2 296.330 3.501 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cccc(F)c1 ZINC001239536596 1118391019 /nfs/dbraw/zinc/39/10/19/1118391019.db2.gz AAMAWHCIRUGLPV-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO Cc1cccc([C@H]([NH2+]Cc2ccoc2)c2ccccn2)c1 ZINC000765792779 1118408004 /nfs/dbraw/zinc/40/80/04/1118408004.db2.gz PNKFXVBYOZVLCU-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@@H](C)c2ncc(C)o2)cc1 ZINC000220900085 1118419406 /nfs/dbraw/zinc/41/94/06/1118419406.db2.gz LQQPYOZUXMJKQK-STQMWFEESA-N 1 2 274.364 3.794 20 0 CHADLO Fc1cccc(F)c1C1([NH2+]Cc2ccco2)CCC1 ZINC000623843520 1118422658 /nfs/dbraw/zinc/42/26/58/1118422658.db2.gz OEDUJVQRHHVHNT-UHFFFAOYSA-N 1 2 263.287 3.727 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc([NH+](C)C)cc2C)C1(C)C ZINC000085381633 1118425517 /nfs/dbraw/zinc/42/55/17/1118425517.db2.gz LDCBYBHPEVRLSQ-JKSUJKDBSA-N 1 2 276.424 3.677 20 0 CHADLO c1cc2cc(NCc3cccc4cccnc43)[nH+]cc2[nH]1 ZINC000683893231 1118431561 /nfs/dbraw/zinc/43/15/61/1118431561.db2.gz CDXVJDOSGVFFDE-UHFFFAOYSA-N 1 2 274.327 3.723 20 0 CHADLO CCn1ncc2cc(Nc3ccc(C)c[nH+]3)ccc21 ZINC001211012269 1118439398 /nfs/dbraw/zinc/43/93/98/1118439398.db2.gz DHTNXJHWUYHMAD-UHFFFAOYSA-N 1 2 252.321 3.503 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2cccc(C3CC3)c2)o1 ZINC000580403100 1118446847 /nfs/dbraw/zinc/44/68/47/1118446847.db2.gz RVCGCKPCBXVXDG-QWRGUYRKSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1c[nH+]c(CCNc2ccc(C(F)(F)F)cn2)c(C)c1 ZINC000656211356 1118448160 /nfs/dbraw/zinc/44/81/60/1118448160.db2.gz WYXOYSPHBKYLAA-UHFFFAOYSA-N 1 2 295.308 3.767 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cccc(F)c1F ZINC000052916734 1118455181 /nfs/dbraw/zinc/45/51/81/1118455181.db2.gz HUJSJLKGTSSUJF-UHFFFAOYSA-N 1 2 253.317 3.658 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cccc(F)c1F ZINC000052916734 1118455184 /nfs/dbraw/zinc/45/51/84/1118455184.db2.gz HUJSJLKGTSSUJF-UHFFFAOYSA-N 1 2 253.317 3.658 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@H+](C)Cc1ccccc1C ZINC000160517041 1118463975 /nfs/dbraw/zinc/46/39/75/1118463975.db2.gz YSXMLLYQRTYJMW-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@@H+](C)Cc1ccccc1C ZINC000160517041 1118463978 /nfs/dbraw/zinc/46/39/78/1118463978.db2.gz YSXMLLYQRTYJMW-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO Cc1c(CO)cccc1Nc1cccc(C2CCC2)[nH+]1 ZINC001211163988 1118474408 /nfs/dbraw/zinc/47/44/08/1118474408.db2.gz TUEFFJGAZFKGLC-UHFFFAOYSA-N 1 2 268.360 3.893 20 0 CHADLO FC1(F)CC12C[NH+](Cc1ccc(Oc3ccccc3)o1)C2 ZINC000656265863 1118486774 /nfs/dbraw/zinc/48/67/74/1118486774.db2.gz LVKOUJYSFDKADR-UHFFFAOYSA-N 1 2 291.297 3.913 20 0 CHADLO FC1(F)CC12C[NH+](Cc1c(Cl)oc3ccccc31)C2 ZINC000656265622 1118486985 /nfs/dbraw/zinc/48/69/85/1118486985.db2.gz FEUCTKZPSVKISP-UHFFFAOYSA-N 1 2 283.705 3.927 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@H+]2Cc2cc3ccccc3[nH]2)n1 ZINC000684020282 1118489258 /nfs/dbraw/zinc/48/92/58/1118489258.db2.gz GSORRELPIUMQBB-INIZCTEOSA-N 1 2 280.375 3.618 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@@H+]2Cc2cc3ccccc3[nH]2)n1 ZINC000684020282 1118489261 /nfs/dbraw/zinc/48/92/61/1118489261.db2.gz GSORRELPIUMQBB-INIZCTEOSA-N 1 2 280.375 3.618 20 0 CHADLO Cc1cc(C)c(/C=C/C[N@@H+]2CCO[C@@H](CCF)C2)cc1C ZINC001207130270 1118490861 /nfs/dbraw/zinc/49/08/61/1118490861.db2.gz ZEVKUDPKBXDVEL-WRFKIARRSA-N 1 2 291.410 3.685 20 0 CHADLO Cc1cc(C)c(/C=C/C[N@H+]2CCO[C@@H](CCF)C2)cc1C ZINC001207130270 1118490862 /nfs/dbraw/zinc/49/08/62/1118490862.db2.gz ZEVKUDPKBXDVEL-WRFKIARRSA-N 1 2 291.410 3.685 20 0 CHADLO COc1cccc(C(C)=O)c1Nc1c[nH+]c(C)cc1C ZINC001213518484 1118517362 /nfs/dbraw/zinc/51/73/62/1118517362.db2.gz QMOBFJIZLTZYBG-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO CC(=O)c1ccc(C)cc1Nc1c[nH+]c(C)cc1C ZINC001213518489 1118517572 /nfs/dbraw/zinc/51/75/72/1118517572.db2.gz QUVDQSUDOVSNQM-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)[C@@H](O)CCC3)c[nH+]1 ZINC001213518375 1118517714 /nfs/dbraw/zinc/51/77/14/1118517714.db2.gz KTCIKBQGXZMZEP-KRWDZBQOSA-N 1 2 268.360 3.812 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(C)c(Br)cn2)c1C ZINC001213522549 1118524056 /nfs/dbraw/zinc/52/40/56/1118524056.db2.gz CENSNNCVCNDKRN-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CC1(C)C[N@H+](Cc2nsc3ccccc32)C(C)(C)CO1 ZINC001167442576 1118533866 /nfs/dbraw/zinc/53/38/66/1118533866.db2.gz HGJLSXPSWUUXSP-UHFFFAOYSA-N 1 2 290.432 3.686 20 0 CHADLO CC1(C)C[N@@H+](Cc2nsc3ccccc32)C(C)(C)CO1 ZINC001167442576 1118533869 /nfs/dbraw/zinc/53/38/69/1118533869.db2.gz HGJLSXPSWUUXSP-UHFFFAOYSA-N 1 2 290.432 3.686 20 0 CHADLO CC(C)(C)[C@@H]1C[N@H+](Cc2cscc2Cl)CCO1 ZINC000684145920 1118540040 /nfs/dbraw/zinc/54/00/40/1118540040.db2.gz YXRLHIFGVPJKRZ-LBPRGKRZSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)(C)[C@@H]1C[N@@H+](Cc2cscc2Cl)CCO1 ZINC000684145920 1118540046 /nfs/dbraw/zinc/54/00/46/1118540046.db2.gz YXRLHIFGVPJKRZ-LBPRGKRZSA-N 1 2 273.829 3.648 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3c2CCC3=O)c1C ZINC001213530646 1118545279 /nfs/dbraw/zinc/54/52/79/1118545279.db2.gz IZHSPZVFBZHIMQ-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO Cc1ccc(NCc2ccc(C(C)(C)O)cc2)c(C)[nH+]1 ZINC000295745429 1118546561 /nfs/dbraw/zinc/54/65/61/1118546561.db2.gz QFDIIFCWQMQKJP-UHFFFAOYSA-N 1 2 270.376 3.538 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(C)cnc2F)cc1 ZINC001211510527 1118550322 /nfs/dbraw/zinc/55/03/22/1118550322.db2.gz SJOGDOZXHBHJMO-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(C)cnc2F)cc1 ZINC001211510527 1118550324 /nfs/dbraw/zinc/55/03/24/1118550324.db2.gz SJOGDOZXHBHJMO-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CC(C)Cn1cc(C[N@H+](C)Cc2ccccc2Cl)cn1 ZINC000340961987 1118561697 /nfs/dbraw/zinc/56/16/97/1118561697.db2.gz WOCSMLYDRFJOIC-UHFFFAOYSA-N 1 2 291.826 3.825 20 0 CHADLO CC(C)Cn1cc(C[N@@H+](C)Cc2ccccc2Cl)cn1 ZINC000340961987 1118561699 /nfs/dbraw/zinc/56/16/99/1118561699.db2.gz WOCSMLYDRFJOIC-UHFFFAOYSA-N 1 2 291.826 3.825 20 0 CHADLO CC(C)c1ccccc1C[N@@H+]1CCO[C@H](C(F)(F)F)C1 ZINC001167457860 1118574968 /nfs/dbraw/zinc/57/49/68/1118574968.db2.gz MGJDWQFAXPFWAR-AWEZNQCLSA-N 1 2 287.325 3.573 20 0 CHADLO CC(C)c1ccccc1C[N@H+]1CCO[C@H](C(F)(F)F)C1 ZINC001167457860 1118574971 /nfs/dbraw/zinc/57/49/71/1118574971.db2.gz MGJDWQFAXPFWAR-AWEZNQCLSA-N 1 2 287.325 3.573 20 0 CHADLO CCn1c[nH+]c2c1CCN([C@@H](C)c1ccccc1Cl)C2 ZINC000933261592 1118580861 /nfs/dbraw/zinc/58/08/61/1118580861.db2.gz GEURPZOTAITKEE-LBPRGKRZSA-N 1 2 289.810 3.676 20 0 CHADLO COc1ccc2cc(C[NH2+][C@H](C)C(F)F)ccc2c1 ZINC000389933821 1118589469 /nfs/dbraw/zinc/58/94/69/1118589469.db2.gz HBKXTRYKFFPKRE-SNVBAGLBSA-N 1 2 265.303 3.592 20 0 CHADLO Cc1cc(CNC(=O)C2[C@H]3CCCCCC[C@H]23)cc(C)[nH+]1 ZINC000616363069 1128787550 /nfs/dbraw/zinc/78/75/50/1128787550.db2.gz FZWVQGPNPFRWGX-HOTGVXAUSA-N 1 2 286.419 3.531 20 0 CHADLO Cc1c[nH+]c(CN[C@H](C)c2cccc(Cl)c2Cl)n1C ZINC000341045214 1118592617 /nfs/dbraw/zinc/59/26/17/1118592617.db2.gz QOQXXGVYLYVYPT-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO Cc1c[nH+]c(CNc2ccc(COC3CCCC3)cc2)n1C ZINC000341043984 1118593039 /nfs/dbraw/zinc/59/30/39/1118593039.db2.gz JRQVCRUVLMKDRG-UHFFFAOYSA-N 1 2 299.418 3.800 20 0 CHADLO Cc1c[nH+]c(CN[C@H](C)c2ccc(Cl)cc2Cl)n1C ZINC000341083427 1118600885 /nfs/dbraw/zinc/60/08/85/1118600885.db2.gz WHZAYVGOLFJADS-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc(Cl)cc2Cl)n1C ZINC000341083427 1118600887 /nfs/dbraw/zinc/60/08/87/1118600887.db2.gz WHZAYVGOLFJADS-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)cccc1SC ZINC001215823197 1118601447 /nfs/dbraw/zinc/60/14/47/1118601447.db2.gz NGVAEMSHSJSSNN-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO CC1(C)CC[NH+](Cc2noc(C3CCCCC3)n2)CC1 ZINC000341178757 1118611885 /nfs/dbraw/zinc/61/18/85/1118611885.db2.gz WSNCWYRNMNMWOJ-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2ncc(Br)s2)CC1 ZINC000341186219 1118613084 /nfs/dbraw/zinc/61/30/84/1118613084.db2.gz ORNJYABFYXBMCQ-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2ncc(Br)s2)CC1 ZINC000341186219 1118613085 /nfs/dbraw/zinc/61/30/85/1118613085.db2.gz ORNJYABFYXBMCQ-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO c1ccc(NCCCC2CCC2)c(C[NH+]2CCOCC2)c1 ZINC000656641454 1118616224 /nfs/dbraw/zinc/61/62/24/1118616224.db2.gz BYIJPGYBSRASHP-UHFFFAOYSA-N 1 2 288.435 3.511 20 0 CHADLO CCC1(CC)C[NH+](Cc2ncccc2C(F)(F)F)C1 ZINC000656661774 1118627550 /nfs/dbraw/zinc/62/75/50/1118627550.db2.gz XORURYNWWQEWRY-UHFFFAOYSA-N 1 2 272.314 3.722 20 0 CHADLO CCOc1ccc(C)c(F)c1-c1c[nH+]c(N(C)C)cc1C ZINC001236537145 1128789938 /nfs/dbraw/zinc/78/99/38/1128789938.db2.gz KMIPMCULBCOAKF-UHFFFAOYSA-N 1 2 288.366 3.969 20 0 CHADLO Cc1cc(OCc2cncc(F)c2)c2ccccc2[nH+]1 ZINC000341265724 1118645254 /nfs/dbraw/zinc/64/52/54/1118645254.db2.gz DNXPBAWYZUQFRY-UHFFFAOYSA-N 1 2 268.291 3.656 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1ccnn1-c1ccc(F)cc1 ZINC000684359014 1118648995 /nfs/dbraw/zinc/64/89/95/1118648995.db2.gz ZREIEFNDJVWZQM-UHFFFAOYSA-N 1 2 299.349 3.627 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1ccnn1-c1ccc(F)cc1 ZINC000684359014 1118648997 /nfs/dbraw/zinc/64/89/97/1118648997.db2.gz ZREIEFNDJVWZQM-UHFFFAOYSA-N 1 2 299.349 3.627 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1nccn1C(C)C ZINC000656768515 1118653169 /nfs/dbraw/zinc/65/31/69/1118653169.db2.gz KIZZLXYTLCINRN-UHFFFAOYSA-N 1 2 297.406 3.836 20 0 CHADLO Cc1c[nH+]c(CNc2ccc(Cl)c(Cl)c2)n1C ZINC000341342646 1118668310 /nfs/dbraw/zinc/66/83/10/1118668310.db2.gz BOWBOUAJVVXKKF-UHFFFAOYSA-N 1 2 270.163 3.647 20 0 CHADLO CC(C)C[C@@H](C[N@@H+]1C[C@@H](O)CC(F)(F)C1)c1ccccc1 ZINC000684405907 1118683081 /nfs/dbraw/zinc/68/30/81/1118683081.db2.gz QKXSEIVXPISVHN-HOTGVXAUSA-N 1 2 297.389 3.518 20 0 CHADLO CC(C)C[C@@H](C[N@H+]1C[C@@H](O)CC(F)(F)C1)c1ccccc1 ZINC000684405907 1118683085 /nfs/dbraw/zinc/68/30/85/1118683085.db2.gz QKXSEIVXPISVHN-HOTGVXAUSA-N 1 2 297.389 3.518 20 0 CHADLO CC(C)C1C[NH+](Cc2csc(C(C)(C)C)n2)C1 ZINC000684407562 1118684564 /nfs/dbraw/zinc/68/45/64/1118684564.db2.gz FZKAOTPILVTAGG-UHFFFAOYSA-N 1 2 252.427 3.528 20 0 CHADLO Cc1cc(N2CCC[C@H](C(F)(F)F)C2)nc(C2CCC2)[nH+]1 ZINC000891480623 1118692070 /nfs/dbraw/zinc/69/20/70/1118692070.db2.gz CBKOAXQWZUDCLS-LBPRGKRZSA-N 1 2 299.340 3.831 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1nc(C(C)(C)C)co1 ZINC000891502084 1118696718 /nfs/dbraw/zinc/69/67/18/1118696718.db2.gz ARCWIKCULYTAAF-AWEZNQCLSA-N 1 2 287.407 3.648 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1nc(C(C)(C)C)co1 ZINC000891502084 1118696719 /nfs/dbraw/zinc/69/67/19/1118696719.db2.gz ARCWIKCULYTAAF-AWEZNQCLSA-N 1 2 287.407 3.648 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2ccc(F)cc2)cc(C)[nH+]1 ZINC000341417362 1118701241 /nfs/dbraw/zinc/70/12/41/1118701241.db2.gz KPWGOGHKXYLUMN-HNNXBMFYSA-N 1 2 285.366 3.828 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1nccn1C1CC1 ZINC000891537254 1118704880 /nfs/dbraw/zinc/70/48/80/1118704880.db2.gz QGTHBIOBLOFPMB-LBPRGKRZSA-N 1 2 273.355 3.550 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1nccn1C1CC1 ZINC000891537254 1118704883 /nfs/dbraw/zinc/70/48/83/1118704883.db2.gz QGTHBIOBLOFPMB-LBPRGKRZSA-N 1 2 273.355 3.550 20 0 CHADLO CSc1ncc(Nc2cccc3[nH+]ccn32)cc1Cl ZINC001216025328 1118705299 /nfs/dbraw/zinc/70/52/99/1118705299.db2.gz NWUUEFOHOOJEHT-UHFFFAOYSA-N 1 2 290.779 3.848 20 0 CHADLO CSc1ncc(Nc2ccn3cc[nH+]c3c2)cc1Cl ZINC001216028627 1118706140 /nfs/dbraw/zinc/70/61/40/1118706140.db2.gz YNBOFLSSKYWCQH-UHFFFAOYSA-N 1 2 290.779 3.848 20 0 CHADLO COc1cc(F)c(F)cc1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC001143400052 1118712897 /nfs/dbraw/zinc/71/28/97/1118712897.db2.gz KTAIMAMGHIAFEP-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cc(F)c(F)cc1C[N@H+]1CC[C@H]1c1ccccc1 ZINC001143400052 1118712901 /nfs/dbraw/zinc/71/29/01/1118712901.db2.gz KTAIMAMGHIAFEP-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1cc(N(C)Cc2cccc(Cl)c2)nc(C2CC2)[nH+]1 ZINC000341466712 1118714929 /nfs/dbraw/zinc/71/49/29/1118714929.db2.gz UIDCIFXSDLDLKF-UHFFFAOYSA-N 1 2 287.794 3.952 20 0 CHADLO CCOc1cccc([C@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000341493450 1118716349 /nfs/dbraw/zinc/71/63/49/1118716349.db2.gz XAPSHSMGWDHLGS-ZDUSSCGKSA-N 1 2 297.402 3.656 20 0 CHADLO Cc1cc(N2CC[C@H](c3cccc(F)c3)C2)nc(C2CC2)[nH+]1 ZINC000341492718 1118716466 /nfs/dbraw/zinc/71/64/66/1118716466.db2.gz FAFPTRDGYXQCDE-HNNXBMFYSA-N 1 2 297.377 3.795 20 0 CHADLO Cc1cc(N(C)[C@@H]2CCc3ccccc3C2)nc(C2CC2)[nH+]1 ZINC000341502610 1118717039 /nfs/dbraw/zinc/71/70/39/1118717039.db2.gz OGZBXZMTQGXPBR-QGZVFWFLSA-N 1 2 293.414 3.656 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2cnc(C)s2)cs1 ZINC000090409276 1118728983 /nfs/dbraw/zinc/72/89/83/1118728983.db2.gz KPUIGMRHAMSVCQ-IUCAKERBSA-N 1 2 281.450 3.882 20 0 CHADLO CCC[N@H+](Cc1nccn1C1CC1)Cc1ccc(F)cc1 ZINC000891653296 1118731247 /nfs/dbraw/zinc/73/12/47/1118731247.db2.gz JMAZJRKDPBJCGN-UHFFFAOYSA-N 1 2 287.382 3.769 20 0 CHADLO CCC[N@@H+](Cc1nccn1C1CC1)Cc1ccc(F)cc1 ZINC000891653296 1118731251 /nfs/dbraw/zinc/73/12/51/1118731251.db2.gz JMAZJRKDPBJCGN-UHFFFAOYSA-N 1 2 287.382 3.769 20 0 CHADLO Cc1cc(NC(=O)c2ccc(-c3cccs3)o2)c(C)c[nH+]1 ZINC000544005293 1118737695 /nfs/dbraw/zinc/73/76/95/1118737695.db2.gz BNETUXCFXJCAPH-UHFFFAOYSA-N 1 2 298.367 3.694 20 0 CHADLO Cc1cc(NC(=O)C[C@H]2C[C@@H](C)c3c2cccc3C)cc[nH+]1 ZINC001266882787 1118767052 /nfs/dbraw/zinc/76/70/52/1118767052.db2.gz JQKLVVYTXVXQBF-UKRRQHHQSA-N 1 2 294.398 3.740 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCc3cccc(Cl)c3C2)o1 ZINC000657080768 1118771874 /nfs/dbraw/zinc/77/18/74/1118771874.db2.gz ACPPEWAMMHBAEH-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCc3cccc(Cl)c3C2)o1 ZINC000657080768 1118771878 /nfs/dbraw/zinc/77/18/78/1118771878.db2.gz ACPPEWAMMHBAEH-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO C[C@H](F)CC[N@@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000510618036 1118776493 /nfs/dbraw/zinc/77/64/93/1118776493.db2.gz JVPXVXUJACXBBE-IINYFYTJSA-N 1 2 275.289 3.583 20 0 CHADLO C[C@H](F)CC[N@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000510618036 1118776494 /nfs/dbraw/zinc/77/64/94/1118776494.db2.gz JVPXVXUJACXBBE-IINYFYTJSA-N 1 2 275.289 3.583 20 0 CHADLO c1cn(-c2cccc(N[C@@H]3CC[C@H]3C3CCC3)c2)c[nH+]1 ZINC000510653552 1118783585 /nfs/dbraw/zinc/78/35/85/1118783585.db2.gz SFSIKHKKXQWTMW-DLBZAZTESA-N 1 2 267.376 3.863 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2c(C)cccc2C)oc1C ZINC000933769571 1118792369 /nfs/dbraw/zinc/79/23/69/1118792369.db2.gz ZCUVWKRWBOLJPN-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2c(C)cccc2C)oc1C ZINC000933769571 1118792371 /nfs/dbraw/zinc/79/23/71/1118792371.db2.gz ZCUVWKRWBOLJPN-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[NH+]1CCC(F)(F)CC1 ZINC000684623800 1118910976 /nfs/dbraw/zinc/91/09/76/1118910976.db2.gz HTPRPIYTIKTJER-VIFPVBQESA-N 1 2 261.262 3.757 20 0 CHADLO FC(F)(F)Oc1cc(Cl)ccc1C[NH+]1CCCC1 ZINC001143429088 1118956277 /nfs/dbraw/zinc/95/62/77/1118956277.db2.gz QASJFMGDSUTGBB-UHFFFAOYSA-N 1 2 279.689 3.834 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2F)[N@H+](Cc2nccn2C2CC2)C1 ZINC000892074911 1118957414 /nfs/dbraw/zinc/95/74/14/1118957414.db2.gz COCBOBQWYCUVIC-GUYCJALGSA-N 1 2 299.393 3.940 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2F)[N@@H+](Cc2nccn2C2CC2)C1 ZINC000892074911 1118957415 /nfs/dbraw/zinc/95/74/15/1118957415.db2.gz COCBOBQWYCUVIC-GUYCJALGSA-N 1 2 299.393 3.940 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000342256499 1118958305 /nfs/dbraw/zinc/95/83/05/1118958305.db2.gz CIJICQBNYAPCFD-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000342256499 1118958307 /nfs/dbraw/zinc/95/83/07/1118958307.db2.gz CIJICQBNYAPCFD-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1ccccc1Br ZINC000042205676 1118958890 /nfs/dbraw/zinc/95/88/90/1118958890.db2.gz ONKCOZGJDOAMMV-UHFFFAOYSA-N 1 2 280.165 3.674 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1ccccc1Br ZINC000042205676 1118958894 /nfs/dbraw/zinc/95/88/94/1118958894.db2.gz ONKCOZGJDOAMMV-UHFFFAOYSA-N 1 2 280.165 3.674 20 0 CHADLO Cc1cc(F)ncc1C[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000892133029 1118980966 /nfs/dbraw/zinc/98/09/66/1118980966.db2.gz DXZPPZULLKVWJK-OAHLLOKOSA-N 1 2 274.314 3.615 20 0 CHADLO Cc1cc(F)ncc1C[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000892133029 1118980970 /nfs/dbraw/zinc/98/09/70/1118980970.db2.gz DXZPPZULLKVWJK-OAHLLOKOSA-N 1 2 274.314 3.615 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+]2Cc2ccnn2C2CCC2)c1 ZINC000892132897 1118981797 /nfs/dbraw/zinc/98/17/97/1118981797.db2.gz AYVDBYHINGFIOG-KRWDZBQOSA-N 1 2 285.366 3.694 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+]2Cc2ccnn2C2CCC2)c1 ZINC000892132897 1118981799 /nfs/dbraw/zinc/98/17/99/1118981799.db2.gz AYVDBYHINGFIOG-KRWDZBQOSA-N 1 2 285.366 3.694 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(OC)cc2C)s1 ZINC000892148988 1118987052 /nfs/dbraw/zinc/98/70/52/1118987052.db2.gz UIKQXTRGOFGFIH-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(OC)cc2C)s1 ZINC000892148988 1118987053 /nfs/dbraw/zinc/98/70/53/1118987053.db2.gz UIKQXTRGOFGFIH-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)cc1 ZINC000152061275 1118997809 /nfs/dbraw/zinc/99/78/09/1118997809.db2.gz APWQBQZUTSNFTM-QGZVFWFLSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)cc1 ZINC000152061275 1118997811 /nfs/dbraw/zinc/99/78/11/1118997811.db2.gz APWQBQZUTSNFTM-QGZVFWFLSA-N 1 2 299.418 3.924 20 0 CHADLO C[C@@H]1Oc2ccccc2[C@H]1[NH2+]Cc1csc(C2CC2)n1 ZINC000342413044 1119010577 /nfs/dbraw/zinc/01/05/77/1119010577.db2.gz FNYSBSXSGCFJMU-BONVTDFDSA-N 1 2 286.400 3.632 20 0 CHADLO CCC[N@H+](Cc1nc(C)c[nH]1)[C@@H](C)c1ccccc1OC ZINC000628139408 1128816181 /nfs/dbraw/zinc/81/61/81/1128816181.db2.gz FFBDPHWUFFRYFJ-AWEZNQCLSA-N 1 2 287.407 3.700 20 0 CHADLO CCC[N@@H+](Cc1nc(C)c[nH]1)[C@@H](C)c1ccccc1OC ZINC000628139408 1128816186 /nfs/dbraw/zinc/81/61/86/1128816186.db2.gz FFBDPHWUFFRYFJ-AWEZNQCLSA-N 1 2 287.407 3.700 20 0 CHADLO CC(C)[C@@H]1CC[N@H+](Cc2csc(C(F)(F)F)n2)C1 ZINC000892222062 1119034451 /nfs/dbraw/zinc/03/44/51/1119034451.db2.gz MYQLXWWDLPCTBS-SECBINFHSA-N 1 2 278.343 3.640 20 0 CHADLO CC(C)[C@@H]1CC[N@@H+](Cc2csc(C(F)(F)F)n2)C1 ZINC000892222062 1119034456 /nfs/dbraw/zinc/03/44/56/1119034456.db2.gz MYQLXWWDLPCTBS-SECBINFHSA-N 1 2 278.343 3.640 20 0 CHADLO Cc1cc(C)c(NC(=O)NC2(c3ccccc3)CC2)c(C)[nH+]1 ZINC000582224477 1119036368 /nfs/dbraw/zinc/03/63/68/1119036368.db2.gz AQTJDZCTWKIEIV-UHFFFAOYSA-N 1 2 295.386 3.818 20 0 CHADLO CCCC[N@H+](Cc1nc(C)c[nH]1)[C@@H](C)c1ccc(C)o1 ZINC000628143079 1128818015 /nfs/dbraw/zinc/81/80/15/1128818015.db2.gz AXTRZGKWKSJKSK-AWEZNQCLSA-N 1 2 275.396 3.983 20 0 CHADLO CCCC[N@@H+](Cc1nc(C)c[nH]1)[C@@H](C)c1ccc(C)o1 ZINC000628143079 1128818019 /nfs/dbraw/zinc/81/80/19/1128818019.db2.gz AXTRZGKWKSJKSK-AWEZNQCLSA-N 1 2 275.396 3.983 20 0 CHADLO COC(=O)c1ccc(F)c(C[N@@H+]2CC[C@H]2c2ccccc2)c1 ZINC000511112784 1119069097 /nfs/dbraw/zinc/06/90/97/1119069097.db2.gz YWZFOFSNLXXPSJ-KRWDZBQOSA-N 1 2 299.345 3.559 20 0 CHADLO COC(=O)c1ccc(F)c(C[N@H+]2CC[C@H]2c2ccccc2)c1 ZINC000511112784 1119069101 /nfs/dbraw/zinc/06/91/01/1119069101.db2.gz YWZFOFSNLXXPSJ-KRWDZBQOSA-N 1 2 299.345 3.559 20 0 CHADLO CSCC[N@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000152479897 1119074876 /nfs/dbraw/zinc/07/48/76/1119074876.db2.gz CUVOOVUVUBAYPS-UHFFFAOYSA-N 1 2 284.494 3.986 20 0 CHADLO CC(C)CC[C@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)C(C)(C)C ZINC000582424152 1119078499 /nfs/dbraw/zinc/07/84/99/1119078499.db2.gz XMCBRTVRCDPYGO-CABCVRRESA-N 1 2 293.455 3.801 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2ccccc2[nH]1)c1ccc(F)cc1F ZINC000048777537 1119082644 /nfs/dbraw/zinc/08/26/44/1119082644.db2.gz NBAWHABBMXNHLQ-SNVBAGLBSA-N 1 2 287.313 3.692 20 0 CHADLO CN(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CCC(C)(C)CC1 ZINC000934484020 1119092146 /nfs/dbraw/zinc/09/21/46/1119092146.db2.gz YPRITYBBYDFBEC-UHFFFAOYSA-N 1 2 285.391 3.604 20 0 CHADLO Cc1ccc2c(CC(=O)Nc3cc[nH+]c(C)c3)coc2c1 ZINC000073053854 1119097034 /nfs/dbraw/zinc/09/70/34/1119097034.db2.gz IAYFEJAKOSOERF-UHFFFAOYSA-N 1 2 280.327 3.626 20 0 CHADLO Cc1ccc2cc(C[NH2+][C@H]3CCCC3(F)F)[nH]c2c1 ZINC000657467223 1119114329 /nfs/dbraw/zinc/11/43/29/1119114329.db2.gz YDFBLLKUDAMBGJ-AWEZNQCLSA-N 1 2 264.319 3.754 20 0 CHADLO Cc1ccc2cc(C[NH2+][C@@H]3CCCC3(F)F)[nH]c2c1 ZINC000657467225 1119114455 /nfs/dbraw/zinc/11/44/55/1119114455.db2.gz YDFBLLKUDAMBGJ-CQSZACIVSA-N 1 2 264.319 3.754 20 0 CHADLO Cc1cn2cc(NC(=O)C[C@@H](C)C(C)(C)C)ccc2[nH+]1 ZINC000342682615 1119124774 /nfs/dbraw/zinc/12/47/74/1119124774.db2.gz PJWHZVWZFSWFOZ-LLVKDONJSA-N 1 2 273.380 3.654 20 0 CHADLO Cc1ncc(C[N@@H+]2CCCC[C@H]2c2ccc(F)cc2F)o1 ZINC000628191063 1128824289 /nfs/dbraw/zinc/82/42/89/1128824289.db2.gz YCICRIHZKMIEJE-INIZCTEOSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1ncc(C[N@H+]2CCCC[C@H]2c2ccc(F)cc2F)o1 ZINC000628191063 1128824293 /nfs/dbraw/zinc/82/42/93/1128824293.db2.gz YCICRIHZKMIEJE-INIZCTEOSA-N 1 2 292.329 3.988 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H]2CCCc3cccnc32)cs1 ZINC000684956079 1119142178 /nfs/dbraw/zinc/14/21/78/1119142178.db2.gz YSAWZSUYHVTWSN-AAEUAGOBSA-N 1 2 287.432 3.829 20 0 CHADLO Cc1ncc(C[N@@H+]2CCCC[C@@H]2c2nc3ccccc3o2)o1 ZINC000628187559 1128824496 /nfs/dbraw/zinc/82/44/96/1128824496.db2.gz UDEXRCNWVYQKER-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1ncc(C[N@H+]2CCCC[C@@H]2c2nc3ccccc3o2)o1 ZINC000628187559 1128824502 /nfs/dbraw/zinc/82/45/02/1128824502.db2.gz UDEXRCNWVYQKER-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001207313788 1119147567 /nfs/dbraw/zinc/14/75/67/1119147567.db2.gz KAIGNNQTPSNWFU-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001207313788 1119147568 /nfs/dbraw/zinc/14/75/68/1119147568.db2.gz KAIGNNQTPSNWFU-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO C[C@@H](c1csnn1)[NH+]1CCC(c2ccc(F)cc2)CC1 ZINC000828020104 1119150414 /nfs/dbraw/zinc/15/04/14/1119150414.db2.gz DQMBCNDENVMILH-NSHDSACASA-N 1 2 291.395 3.618 20 0 CHADLO Cc1ncc(C[N@@H+]2CCCC[C@@H]2c2ccc(F)cc2F)o1 ZINC000628191064 1128825329 /nfs/dbraw/zinc/82/53/29/1128825329.db2.gz YCICRIHZKMIEJE-MRXNPFEDSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1ncc(C[N@H+]2CCCC[C@@H]2c2ccc(F)cc2F)o1 ZINC000628191064 1128825336 /nfs/dbraw/zinc/82/53/36/1128825336.db2.gz YCICRIHZKMIEJE-MRXNPFEDSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H]2CCc3ccc(Cl)cc32)no1 ZINC000342765076 1119158087 /nfs/dbraw/zinc/15/80/87/1119158087.db2.gz LMMMNYWBKCJJMP-HNNXBMFYSA-N 1 2 276.767 3.756 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H]2CCc3ccc(Cl)cc32)no1 ZINC000342765076 1119158089 /nfs/dbraw/zinc/15/80/89/1119158089.db2.gz LMMMNYWBKCJJMP-HNNXBMFYSA-N 1 2 276.767 3.756 20 0 CHADLO Cc1cc(NCC(C)(C)C(N)=O)[nH+]c2c1cccc2C(C)C ZINC001167601838 1119160128 /nfs/dbraw/zinc/16/01/28/1119160128.db2.gz IDZIUMGAIHJPHU-UHFFFAOYSA-N 1 2 299.418 3.590 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@@H](C)c2ccc(F)cc2)o1 ZINC000075586885 1119177553 /nfs/dbraw/zinc/17/75/53/1119177553.db2.gz DIGJLZJSAHEGCO-NSHDSACASA-N 1 2 262.328 3.569 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2)o1 ZINC000075586885 1119177556 /nfs/dbraw/zinc/17/75/56/1119177556.db2.gz DIGJLZJSAHEGCO-NSHDSACASA-N 1 2 262.328 3.569 20 0 CHADLO Cc1cnc(C[N@H+](Cc2cccc(Cl)c2)C(C)C)n1C ZINC000342804573 1119180588 /nfs/dbraw/zinc/18/05/88/1119180588.db2.gz CABHBWCDLHXHLJ-UHFFFAOYSA-N 1 2 291.826 3.793 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2cccc(Cl)c2)C(C)C)n1C ZINC000342804573 1119180589 /nfs/dbraw/zinc/18/05/89/1119180589.db2.gz CABHBWCDLHXHLJ-UHFFFAOYSA-N 1 2 291.826 3.793 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1cccc(OC(F)F)c1 ZINC000076777038 1119187756 /nfs/dbraw/zinc/18/77/56/1119187756.db2.gz SSMFJDPCZHLVPJ-JTQLQIEISA-N 1 2 264.275 3.856 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C2CC2)no1)c1ccc(F)cc1F ZINC000660173900 1128828805 /nfs/dbraw/zinc/82/88/05/1128828805.db2.gz COFBDHNYNCRVBZ-VIFPVBQESA-N 1 2 278.302 3.681 20 0 CHADLO Cc1nc(N[C@H](C)c2ncc(-c3ccccc3)o2)cc[nH+]1 ZINC000078297224 1119207490 /nfs/dbraw/zinc/20/74/90/1119207490.db2.gz VZHRDMBVXVWHGI-LLVKDONJSA-N 1 2 280.331 3.613 20 0 CHADLO Cc1ccc(S[C@@H](C)C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000078405909 1119221087 /nfs/dbraw/zinc/22/10/87/1119221087.db2.gz FCXWPGSNDOILHR-ZDUSSCGKSA-N 1 2 286.400 3.818 20 0 CHADLO CCOc1cccnc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213085696 1119224359 /nfs/dbraw/zinc/22/43/59/1119224359.db2.gz RXRCZFKQKZPNSM-UHFFFAOYSA-N 1 2 280.331 3.614 20 0 CHADLO Clc1ccc([C@@H]2CC[N@@H+]2Cc2cccc3nccn32)cc1 ZINC000342940641 1119257192 /nfs/dbraw/zinc/25/71/92/1119257192.db2.gz UDVPCUILCQNGKR-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccc([C@@H]2CC[N@H+]2Cc2cccc3nccn32)cc1 ZINC000342940641 1119257194 /nfs/dbraw/zinc/25/71/94/1119257194.db2.gz UDVPCUILCQNGKR-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccc([C@@H]2CCN2Cc2cccc3[nH+]ccn32)cc1 ZINC000342940641 1119257195 /nfs/dbraw/zinc/25/71/95/1119257195.db2.gz UDVPCUILCQNGKR-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ncc(Cl)n2C)cc1 ZINC000078873240 1119257880 /nfs/dbraw/zinc/25/78/80/1119257880.db2.gz SBDPVQVQSTYGIA-AWEZNQCLSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ncc(Cl)n2C)cc1 ZINC000078873240 1119257881 /nfs/dbraw/zinc/25/78/81/1119257881.db2.gz SBDPVQVQSTYGIA-AWEZNQCLSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccsc1C[N@@H+](C)[C@@H](C)c1nc(C(C)C)no1 ZINC000078900590 1119258406 /nfs/dbraw/zinc/25/84/06/1119258406.db2.gz CWJONNHBIJFRRD-NSHDSACASA-N 1 2 279.409 3.756 20 0 CHADLO Cc1ccsc1C[N@H+](C)[C@@H](C)c1nc(C(C)C)no1 ZINC000078900590 1119258409 /nfs/dbraw/zinc/25/84/09/1119258409.db2.gz CWJONNHBIJFRRD-NSHDSACASA-N 1 2 279.409 3.756 20 0 CHADLO C/C(=C/c1ccc(F)cc1)C(=O)Nc1cc[nH+]c(C)c1 ZINC000255563397 1119274920 /nfs/dbraw/zinc/27/49/20/1119274920.db2.gz ICPUPSGRKDCKDD-LUAWRHEFSA-N 1 2 270.307 3.571 20 0 CHADLO CC(=O)c1cc(C[N@H+](Cc2ccsc2)C(C)(C)C)on1 ZINC000661133803 1119276554 /nfs/dbraw/zinc/27/65/54/1119276554.db2.gz OFJHEUURURFCMZ-UHFFFAOYSA-N 1 2 292.404 3.739 20 0 CHADLO CC(=O)c1cc(C[N@@H+](Cc2ccsc2)C(C)(C)C)on1 ZINC000661133803 1119276559 /nfs/dbraw/zinc/27/65/59/1119276559.db2.gz OFJHEUURURFCMZ-UHFFFAOYSA-N 1 2 292.404 3.739 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)N(Cc1[nH]c(C)c(C)[nH+]1)[C@H]2C ZINC000628236906 1128834170 /nfs/dbraw/zinc/83/41/70/1128834170.db2.gz UMBKZEUPNCPRQF-RISCZKNCSA-N 1 2 299.418 3.543 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@@H+](Cc1nc(C)c(C)[nH]1)[C@H]2C ZINC000628236906 1128834175 /nfs/dbraw/zinc/83/41/75/1128834175.db2.gz UMBKZEUPNCPRQF-RISCZKNCSA-N 1 2 299.418 3.543 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@H+](Cc1nc(C)c(C)[nH]1)[C@H]2C ZINC000628236906 1128834177 /nfs/dbraw/zinc/83/41/77/1128834177.db2.gz UMBKZEUPNCPRQF-RISCZKNCSA-N 1 2 299.418 3.543 20 0 CHADLO Cc1ccsc1[C@@H]1C[C@H]1C(=O)Nc1cc[nH+]c(C)c1 ZINC000122554742 1119282613 /nfs/dbraw/zinc/28/26/13/1119282613.db2.gz MSQKZKSDOLBUEV-CHWSQXEVSA-N 1 2 272.373 3.502 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1nc2ccccc2n1C ZINC000349820638 1119283450 /nfs/dbraw/zinc/28/34/50/1119283450.db2.gz XIEXYUFSYLTXDV-UHFFFAOYSA-N 1 2 283.375 3.577 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1nc2ccccc2n1C ZINC000349820638 1119283456 /nfs/dbraw/zinc/28/34/56/1119283456.db2.gz XIEXYUFSYLTXDV-UHFFFAOYSA-N 1 2 283.375 3.577 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(-n2cccn2)cc1 ZINC000672621691 1119286343 /nfs/dbraw/zinc/28/63/43/1119286343.db2.gz DWPVQUMBHLLSRZ-SWLSCSKDSA-N 1 2 291.345 3.711 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccc1OC(F)F)c1ccon1 ZINC000349838491 1119303060 /nfs/dbraw/zinc/30/30/60/1119303060.db2.gz RDYBFLKQDJYCCN-VHSXEESVSA-N 1 2 282.290 3.688 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000155253549 1119330158 /nfs/dbraw/zinc/33/01/58/1119330158.db2.gz WTVDLCDAXRAUBD-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccoc1C[N@H+]1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000155253549 1119330160 /nfs/dbraw/zinc/33/01/60/1119330160.db2.gz WTVDLCDAXRAUBD-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cc(Nc2cccc(C(C)C)[nH+]2)ccc1CO ZINC001211572933 1119335881 /nfs/dbraw/zinc/33/58/81/1119335881.db2.gz OJEHRRCKOXYRBP-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc(F)cc(F)c1)c1cscn1 ZINC000566501630 1119348320 /nfs/dbraw/zinc/34/83/20/1119348320.db2.gz RZTXLICVDJGEFL-RKDXNWHRSA-N 1 2 268.332 3.833 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3ccon3)CCCCC2)c(F)c1 ZINC000634973883 1119356544 /nfs/dbraw/zinc/35/65/44/1119356544.db2.gz NFSOPCHWWCBOSA-UHFFFAOYSA-N 1 2 292.329 3.902 20 0 CHADLO Cc1cccc(CCCNc2ccnc3cc(F)cnc32)[nH+]1 ZINC000343232754 1119359386 /nfs/dbraw/zinc/35/93/86/1119359386.db2.gz GBEBJBACZZZCEP-UHFFFAOYSA-N 1 2 296.349 3.517 20 0 CHADLO Cc1cc(NCc2nnc(C(C)C)s2)ccc1[NH+](C)C ZINC000892340102 1119368713 /nfs/dbraw/zinc/36/87/13/1119368713.db2.gz LLEQYLCTIFMOQU-UHFFFAOYSA-N 1 2 290.436 3.648 20 0 CHADLO Cc1[nH]c(CN2CC(C)(C)[C@@H]2c2cccs2)[nH+]c1C ZINC000628282120 1128841235 /nfs/dbraw/zinc/84/12/35/1128841235.db2.gz PKXGWQQMKHIOCK-AWEZNQCLSA-N 1 2 275.421 3.671 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4cnncc43)cc2)[nH]1 ZINC001213089150 1119374072 /nfs/dbraw/zinc/37/40/72/1119374072.db2.gz WTVNRUVAXAWSLK-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO CN(C)c1ccc([NH2+][C@H]2CCO[C@H](C(C)(C)C)C2)cc1 ZINC000721529741 1119375936 /nfs/dbraw/zinc/37/59/36/1119375936.db2.gz KJFPSNYTRHDZSX-HOCLYGCPSA-N 1 2 276.424 3.758 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCO[C@H](C(C)(C)C)C2)cc1 ZINC000721529741 1119375940 /nfs/dbraw/zinc/37/59/40/1119375940.db2.gz KJFPSNYTRHDZSX-HOCLYGCPSA-N 1 2 276.424 3.758 20 0 CHADLO C[C@@H]1C[N@H+](Cc2sccc2Cl)CC2(CCC2)O1 ZINC000583629030 1119386787 /nfs/dbraw/zinc/38/67/87/1119386787.db2.gz KQNXPIBYEFYMMR-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2sccc2Cl)CC2(CCC2)O1 ZINC000583629030 1119386790 /nfs/dbraw/zinc/38/67/90/1119386790.db2.gz KQNXPIBYEFYMMR-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4nccnc43)cc2)[nH]1 ZINC001213089796 1119390715 /nfs/dbraw/zinc/39/07/15/1119390715.db2.gz DZPVKXDIHKNLSB-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO Cc1cccc(O)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090176 1119392938 /nfs/dbraw/zinc/39/29/38/1119392938.db2.gz XZBDZUNGRDBOLI-UHFFFAOYSA-N 1 2 265.316 3.834 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1ccsc1C(F)(F)F)C2 ZINC000628306977 1128844556 /nfs/dbraw/zinc/84/45/56/1128844556.db2.gz HEMSIXDJVRGQRC-UHFFFAOYSA-N 1 2 279.302 3.701 20 0 CHADLO Cc1nc(C[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)[nH]c1C ZINC000628322774 1128847508 /nfs/dbraw/zinc/84/75/08/1128847508.db2.gz LCYTUSRKNMBBRO-GOSISDBHSA-N 1 2 293.414 3.920 20 0 CHADLO Cc1nc(C[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)[nH]c1C ZINC000628322774 1128847513 /nfs/dbraw/zinc/84/75/13/1128847513.db2.gz LCYTUSRKNMBBRO-GOSISDBHSA-N 1 2 293.414 3.920 20 0 CHADLO Cc1[nH]c(CN2CC3(CC=CC3)[C@H]2c2ccccc2)[nH+]c1C ZINC000628322774 1128847517 /nfs/dbraw/zinc/84/75/17/1128847517.db2.gz LCYTUSRKNMBBRO-GOSISDBHSA-N 1 2 293.414 3.920 20 0 CHADLO CC(C)c1[nH]ccc1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000349991685 1119478304 /nfs/dbraw/zinc/47/83/04/1119478304.db2.gz QKJVUTRNKUAOTD-UHFFFAOYSA-N 1 2 294.358 3.576 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000343714759 1119492542 /nfs/dbraw/zinc/49/25/42/1119492542.db2.gz PXCTUCYUOXLUPB-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO C[C@@]1(CNc2ccc(-n3cc[nH+]c3)c(F)c2)CCCS1 ZINC000628196142 1119515945 /nfs/dbraw/zinc/51/59/45/1119515945.db2.gz CQQDQLRGGIJMRP-HNNXBMFYSA-N 1 2 291.395 3.709 20 0 CHADLO CCCCCC(C)(C)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000084598196 1119528532 /nfs/dbraw/zinc/52/85/32/1119528532.db2.gz PQKODEZAKBYPGB-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CC(C)c1cc(NCCc2cccnc2)nc(C(C)C)[nH+]1 ZINC000090070105 1119542805 /nfs/dbraw/zinc/54/28/05/1119542805.db2.gz YJVIIMPKRVGAEQ-UHFFFAOYSA-N 1 2 284.407 3.773 20 0 CHADLO CC(C)c1cc(NCc2cscn2)nc(C(C)C)[nH+]1 ZINC000090086381 1119545320 /nfs/dbraw/zinc/54/53/20/1119545320.db2.gz ABGGHXXCHAYZHR-UHFFFAOYSA-N 1 2 276.409 3.792 20 0 CHADLO CC(C)c1cc(N[C@@H](C)c2cnn(C)c2)nc(C(C)C)[nH+]1 ZINC000090094949 1119545896 /nfs/dbraw/zinc/54/58/96/1119545896.db2.gz LCBNAPRNDZGNJD-LBPRGKRZSA-N 1 2 287.411 3.630 20 0 CHADLO CC(C)c1cc(N[C@@H](C)C2CCOCC2)nc(C(C)C)[nH+]1 ZINC000090112228 1119545934 /nfs/dbraw/zinc/54/59/34/1119545934.db2.gz WTPFCFGDQKDANW-ZDUSSCGKSA-N 1 2 291.439 3.950 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1OC(F)F)c1ccco1 ZINC000090177432 1119546820 /nfs/dbraw/zinc/54/68/20/1119546820.db2.gz VQZSRDISXIVQDL-SECBINFHSA-N 1 2 285.265 3.871 20 0 CHADLO COC1CC[NH+](Cc2cc(Cl)c(F)c(Cl)c2)CC1 ZINC001236652331 1128853765 /nfs/dbraw/zinc/85/37/65/1128853765.db2.gz DDZLIVXUOBUJDX-UHFFFAOYSA-N 1 2 292.181 3.743 20 0 CHADLO Clc1ccc(C[N@@H+](Cc2ccco2)C[C@H]2CCCO2)o1 ZINC000092436105 1119561909 /nfs/dbraw/zinc/56/19/09/1119561909.db2.gz ODWIKOKZVMHCHC-CYBMUJFWSA-N 1 2 295.766 3.707 20 0 CHADLO Clc1ccc(C[N@H+](Cc2ccco2)C[C@H]2CCCO2)o1 ZINC000092436105 1119561911 /nfs/dbraw/zinc/56/19/11/1119561911.db2.gz ODWIKOKZVMHCHC-CYBMUJFWSA-N 1 2 295.766 3.707 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCO[C@@H](c3cccs3)C2)c1 ZINC000092593432 1119565950 /nfs/dbraw/zinc/56/59/50/1119565950.db2.gz LTZGJUUFVROCHR-OAHLLOKOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCO[C@@H](c3cccs3)C2)c1 ZINC000092593432 1119565952 /nfs/dbraw/zinc/56/59/52/1119565952.db2.gz LTZGJUUFVROCHR-OAHLLOKOSA-N 1 2 291.391 3.769 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1nc(C)c(C)o1 ZINC000139620992 1119601755 /nfs/dbraw/zinc/60/17/55/1119601755.db2.gz VMZUNUUAVKUUCB-CYBMUJFWSA-N 1 2 276.405 3.862 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1nc(C)c(C)o1 ZINC000139620992 1119601757 /nfs/dbraw/zinc/60/17/57/1119601757.db2.gz VMZUNUUAVKUUCB-CYBMUJFWSA-N 1 2 276.405 3.862 20 0 CHADLO CC[N@H+](Cc1nc2c(s1)CCC2)[C@H](C)c1cccnc1 ZINC000685469198 1119612398 /nfs/dbraw/zinc/61/23/98/1119612398.db2.gz UVXKYZNTEQALBY-GFCCVEGCSA-N 1 2 287.432 3.610 20 0 CHADLO CC[N@@H+](Cc1nc2c(s1)CCC2)[C@H](C)c1cccnc1 ZINC000685469198 1119612402 /nfs/dbraw/zinc/61/24/02/1119612402.db2.gz UVXKYZNTEQALBY-GFCCVEGCSA-N 1 2 287.432 3.610 20 0 CHADLO Oc1ccc(C[N@@H+]2CCCn3c(cc4ccccc43)C2)cc1 ZINC000685473644 1119613196 /nfs/dbraw/zinc/61/31/96/1119613196.db2.gz ZRPLUTGYJGOOOS-UHFFFAOYSA-N 1 2 292.382 3.753 20 0 CHADLO Oc1ccc(C[N@H+]2CCCn3c(cc4ccccc43)C2)cc1 ZINC000685473644 1119613198 /nfs/dbraw/zinc/61/31/98/1119613198.db2.gz ZRPLUTGYJGOOOS-UHFFFAOYSA-N 1 2 292.382 3.753 20 0 CHADLO Cc1ncccc1C[NH2+][C@H](C)c1ncc(-c2ccccc2)o1 ZINC000685572721 1119632844 /nfs/dbraw/zinc/63/28/44/1119632844.db2.gz GAVULACSNNWORH-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO COc1cc(Nc2ccc3[nH]c(C)cc3c2)cc(C)[nH+]1 ZINC001213789649 1119634895 /nfs/dbraw/zinc/63/48/95/1119634895.db2.gz LEJZKARHDVGNCI-UHFFFAOYSA-N 1 2 267.332 3.932 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2nccc3ccccc32)cs1 ZINC000685645097 1119643654 /nfs/dbraw/zinc/64/36/54/1119643654.db2.gz ZROILHVWCIOUQB-NSHDSACASA-N 1 2 283.400 3.851 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(C)c3ccccc3n2)c(C)o1 ZINC000183822334 1119649606 /nfs/dbraw/zinc/64/96/06/1119649606.db2.gz SYIKFEIGGISKCC-GFCCVEGCSA-N 1 2 295.386 3.999 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@H]1C[C@@]1(F)c1ccccc1 ZINC000926615120 1119716635 /nfs/dbraw/zinc/71/66/35/1119716635.db2.gz MZJAIYWKIWJNRD-JMPLFQLZSA-N 1 2 274.339 3.579 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+][C@@H](c1cncc(F)c1)C1CC1 ZINC000658338790 1119727671 /nfs/dbraw/zinc/72/76/71/1119727671.db2.gz LPUOGZOUWFKAAU-CYBMUJFWSA-N 1 2 286.341 3.943 20 0 CHADLO Cc1cc(C[NH+]2CC(C(F)F)C2)cc(C(F)(F)F)c1 ZINC001143463137 1119770595 /nfs/dbraw/zinc/77/05/95/1119770595.db2.gz RUJYVWNXARQOIC-UHFFFAOYSA-N 1 2 279.252 3.711 20 0 CHADLO CC[C@@H](CC#N)[NH2+]Cc1cc2ccccc2nc1Cl ZINC000193657253 1119773408 /nfs/dbraw/zinc/77/34/08/1119773408.db2.gz QMMBVJUWFBZJBT-ZDUSSCGKSA-N 1 2 273.767 3.670 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)on1 ZINC000584478494 1119784979 /nfs/dbraw/zinc/78/49/79/1119784979.db2.gz UCHZVQFWSIFYMP-LLVKDONJSA-N 1 2 292.404 3.910 20 0 CHADLO CC(=O)c1cc(C[N@H+](C(C)C)[C@H](C)c2ccsc2)on1 ZINC000584478494 1119784980 /nfs/dbraw/zinc/78/49/80/1119784980.db2.gz UCHZVQFWSIFYMP-LLVKDONJSA-N 1 2 292.404 3.910 20 0 CHADLO Cc1ccc(-c2nn(C[N@@H+](C)CC(C)C)c(=S)o2)cc1 ZINC000065943671 1119790799 /nfs/dbraw/zinc/79/07/99/1119790799.db2.gz MAIJSTIQTCBYKR-UHFFFAOYSA-N 1 2 291.420 3.726 20 0 CHADLO Cc1ccc(-c2nn(C[N@H+](C)CC(C)C)c(=S)o2)cc1 ZINC000065943671 1119790801 /nfs/dbraw/zinc/79/08/01/1119790801.db2.gz MAIJSTIQTCBYKR-UHFFFAOYSA-N 1 2 291.420 3.726 20 0 CHADLO COCOc1ccc(Nc2cc[nH+]c(SC)c2)cc1 ZINC001211635647 1119803306 /nfs/dbraw/zinc/80/33/06/1119803306.db2.gz KGFIVAAVWJBDML-UHFFFAOYSA-N 1 2 276.361 3.530 20 0 CHADLO Cc1ccc2oc(C[NH2+][C@H](C)c3cn(C(C)C)nn3)cc2c1 ZINC000926704475 1119830515 /nfs/dbraw/zinc/83/05/15/1119830515.db2.gz YSUQPNNTKTVCCH-CYBMUJFWSA-N 1 2 298.390 3.764 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@@H]1CCCc2oc(C)nc21 ZINC000924559470 1119833758 /nfs/dbraw/zinc/83/37/58/1119833758.db2.gz RENBSLZLFWDCEH-SMDDNHRTSA-N 1 2 286.375 3.720 20 0 CHADLO Clc1cccc2c(N3CC[C@H]4C[C@H]4C3)cc[nH+]c12 ZINC000664524678 1119855287 /nfs/dbraw/zinc/85/52/87/1119855287.db2.gz LXOAZPNGIPROMD-QWRGUYRKSA-N 1 2 258.752 3.734 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccc1Cl)c1cc2n(n1)CCC2 ZINC000414047119 1119865109 /nfs/dbraw/zinc/86/51/09/1119865109.db2.gz BMKVJEOABZOLHJ-RYUDHWBXSA-N 1 2 289.810 3.895 20 0 CHADLO C[C@H]([NH2+][C@H](CC(F)(F)F)c1ccccc1)c1ccn(C)n1 ZINC000414065144 1119876976 /nfs/dbraw/zinc/87/69/76/1119876976.db2.gz GOGUQXDRKLZAJC-SMDDNHRTSA-N 1 2 297.324 3.764 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccn(C)n1)c1cc(F)ccc1F ZINC000414092097 1119892689 /nfs/dbraw/zinc/89/26/89/1119892689.db2.gz LMCRTQAFWIPCQN-QMTHXVAHSA-N 1 2 279.334 3.500 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1ccn(C)n1)c1ccc(F)cc1F ZINC000414117950 1119905729 /nfs/dbraw/zinc/90/57/29/1119905729.db2.gz TXYSNPGJTLWJBZ-MEDUHNTESA-N 1 2 293.361 3.890 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)C(C)(F)F)c(C)c1 ZINC000414152828 1119918933 /nfs/dbraw/zinc/91/89/33/1119918933.db2.gz XJKCVRWGTDGTBO-QWRGUYRKSA-N 1 2 257.324 3.698 20 0 CHADLO CCOc1ccc(Cl)cc1[C@@H](C)[NH2+]Cc1cnc(C)o1 ZINC000414178480 1119927002 /nfs/dbraw/zinc/92/70/02/1119927002.db2.gz JYDNPNPSOPUWIY-SNVBAGLBSA-N 1 2 294.782 3.886 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCCN(c2ccccc2)CC1 ZINC000763493457 1128879410 /nfs/dbraw/zinc/87/94/10/1128879410.db2.gz QQVYRRWIALVQRM-ACCUITESSA-N 1 2 285.218 3.518 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCCN(c2ccccc2)CC1 ZINC000763493457 1128879412 /nfs/dbraw/zinc/87/94/12/1128879412.db2.gz QQVYRRWIALVQRM-ACCUITESSA-N 1 2 285.218 3.518 20 0 CHADLO C[C@@H]1C[NH+](Cc2cc(F)c(F)cc2F)C[C@@H](C)C1(F)F ZINC000628390729 1119946676 /nfs/dbraw/zinc/94/66/76/1119946676.db2.gz NMFWMFWRFNZMAW-RKDXNWHRSA-N 1 2 293.279 3.827 20 0 CHADLO Cc1ccccc1[C@H](C)[NH2+]Cc1nccc(C(F)(F)F)n1 ZINC000414237691 1119952782 /nfs/dbraw/zinc/95/27/82/1119952782.db2.gz JLOBKVNVXCAMRC-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1csnn1)c1ccc(OC)cc1 ZINC000414298501 1119971453 /nfs/dbraw/zinc/97/14/53/1119971453.db2.gz IVLDZUXKONAFLW-RISCZKNCSA-N 1 2 291.420 3.739 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@@H]2[NH2+]Cc1nnc(C)s1 ZINC000892608291 1119976665 /nfs/dbraw/zinc/97/66/65/1119976665.db2.gz PLSINYPDFWTKIT-LBPRGKRZSA-N 1 2 291.420 3.551 20 0 CHADLO CC[C@H](C)c1ccccc1O[C@@H]1C[NH2+]CC(F)(F)C1 ZINC001218273041 1119993925 /nfs/dbraw/zinc/99/39/25/1119993925.db2.gz HKATXTBGXXJTFE-RYUDHWBXSA-N 1 2 269.335 3.576 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[NH2+]Cc1nccs1 ZINC000892641370 1120003238 /nfs/dbraw/zinc/00/32/38/1120003238.db2.gz RYAYHFDJYTVGRP-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO CC(C)(C)CCn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000187185107 1120014230 /nfs/dbraw/zinc/01/42/30/1120014230.db2.gz XXOIRZFMCBFQIM-UHFFFAOYSA-N 1 2 271.286 3.886 20 0 CHADLO C[C@H]1COCC[N@H+](Cc2csc(C3CCCCC3)n2)C1 ZINC000376502769 1120017591 /nfs/dbraw/zinc/01/75/91/1120017591.db2.gz YRNFTDDPINUYOX-CYBMUJFWSA-N 1 2 294.464 3.659 20 0 CHADLO C[C@H]1COCC[N@@H+](Cc2csc(C3CCCCC3)n2)C1 ZINC000376502769 1120017597 /nfs/dbraw/zinc/01/75/97/1120017597.db2.gz YRNFTDDPINUYOX-CYBMUJFWSA-N 1 2 294.464 3.659 20 0 CHADLO CC(C)CCO[C@H]1CC[C@H](Nc2cccc[nH+]2)CC1 ZINC000624559436 1120027216 /nfs/dbraw/zinc/02/72/16/1120027216.db2.gz JBDDRGNOYVZTQE-SHTZXODSSA-N 1 2 262.397 3.867 20 0 CHADLO Cc1nn(C[N@H+](C2CC2)C2CCCCC2)c(=S)s1 ZINC000073570953 1120039935 /nfs/dbraw/zinc/03/99/35/1120039935.db2.gz IDIUMSGDZULWEM-UHFFFAOYSA-N 1 2 283.466 3.737 20 0 CHADLO Cc1nn(C[N@@H+](C2CC2)C2CCCCC2)c(=S)s1 ZINC000073570953 1120039939 /nfs/dbraw/zinc/03/99/39/1120039939.db2.gz IDIUMSGDZULWEM-UHFFFAOYSA-N 1 2 283.466 3.737 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@@H]3CC=CCC3)cc2)[nH+]c1C ZINC000921668004 1120062912 /nfs/dbraw/zinc/06/29/12/1120062912.db2.gz VPMGFRAACZLRHL-OAHLLOKOSA-N 1 2 295.386 3.988 20 0 CHADLO CCC[C@@H](C[N@@H+]1CCCc2[nH]ncc2C1)c1ccccc1 ZINC000668840802 1120063186 /nfs/dbraw/zinc/06/31/86/1120063186.db2.gz JEKJBEZITWBJQM-INIZCTEOSA-N 1 2 283.419 3.742 20 0 CHADLO CCC[C@@H](C[N@H+]1CCCc2[nH]ncc2C1)c1ccccc1 ZINC000668840802 1120063191 /nfs/dbraw/zinc/06/31/91/1120063191.db2.gz JEKJBEZITWBJQM-INIZCTEOSA-N 1 2 283.419 3.742 20 0 CHADLO COc1c(C)cccc1C[NH2+]Cc1ncc(C(C)C)s1 ZINC000655833845 1120096444 /nfs/dbraw/zinc/09/64/44/1120096444.db2.gz VPPQMNNBUURZRO-UHFFFAOYSA-N 1 2 290.432 3.873 20 0 CHADLO c1cc2c(cc1C[NH2+]Cc1nnc(C3CC3)s1)CCCC2 ZINC000414554376 1120106153 /nfs/dbraw/zinc/10/61/53/1120106153.db2.gz KKAOCCFDHQJYCM-UHFFFAOYSA-N 1 2 299.443 3.584 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[NH2+]Cc1nccn1C(F)F ZINC000892706454 1120106854 /nfs/dbraw/zinc/10/68/54/1120106854.db2.gz WNLMZYRLFAHNPN-UHFFFAOYSA-N 1 2 297.349 3.767 20 0 CHADLO CC(C)COc1ccc([C@H](C)[NH2+]Cc2cocn2)cc1 ZINC000182065758 1120114416 /nfs/dbraw/zinc/11/44/16/1120114416.db2.gz YXFOJIKZCWNBMZ-ZDUSSCGKSA-N 1 2 274.364 3.560 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2CC=C(Cl)Cl)n1 ZINC000851813904 1120125142 /nfs/dbraw/zinc/12/51/42/1120125142.db2.gz ZQZJGWWDTYEHLR-GFCCVEGCSA-N 1 2 271.191 3.846 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2CC=C(Cl)Cl)n1 ZINC000851813904 1120125143 /nfs/dbraw/zinc/12/51/43/1120125143.db2.gz ZQZJGWWDTYEHLR-GFCCVEGCSA-N 1 2 271.191 3.846 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccn1C)c1ccc(F)cc1Cl ZINC000182993642 1120128036 /nfs/dbraw/zinc/12/80/36/1120128036.db2.gz IUQDUMCFIPAQRZ-ZJUUUORDSA-N 1 2 281.762 3.624 20 0 CHADLO Cc1cn2cccc(Nc3cc(Cl)ccc3N)c2[nH+]1 ZINC001212429227 1120134342 /nfs/dbraw/zinc/13/43/42/1120134342.db2.gz QHLNWDVCGIIKOH-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOc3ccccc3C2)s1 ZINC000108092275 1120138954 /nfs/dbraw/zinc/13/89/54/1120138954.db2.gz DJYNCXSBHJFOKH-UHFFFAOYSA-N 1 2 279.792 3.796 20 0 CHADLO Clc1ccc(C[N@H+]2CCOc3ccccc3C2)s1 ZINC000108092275 1120138957 /nfs/dbraw/zinc/13/89/57/1120138957.db2.gz DJYNCXSBHJFOKH-UHFFFAOYSA-N 1 2 279.792 3.796 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]CC=C(Cl)Cl)s1 ZINC000851927480 1120140383 /nfs/dbraw/zinc/14/03/83/1120140383.db2.gz ABOWMEXESGEEMN-UHFFFAOYSA-N 1 2 265.209 3.595 20 0 CHADLO CCc1cc(N[C@H](C)c2ncc(C)s2)nc(CC)[nH+]1 ZINC000892841766 1120149697 /nfs/dbraw/zinc/14/96/97/1120149697.db2.gz DZBOUPJRKBKLKE-SNVBAGLBSA-N 1 2 276.409 3.539 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](C)c2c(F)cccc2F)c1 ZINC000328095855 1120151648 /nfs/dbraw/zinc/15/16/48/1120151648.db2.gz JRYXBUJXFMHJEO-LLVKDONJSA-N 1 2 262.303 3.519 20 0 CHADLO CCCCCC[C@H](C)C(=O)Nc1cccc2[nH+]ccn21 ZINC000741969762 1120154084 /nfs/dbraw/zinc/15/40/84/1120154084.db2.gz JFDBKXJUPXIBEK-ZDUSSCGKSA-N 1 2 273.380 3.879 20 0 CHADLO CCn1nc(C)c([C@@H](C)[NH2+][C@H](C)c2ccc(Cl)s2)n1 ZINC000924607789 1120155153 /nfs/dbraw/zinc/15/51/53/1120155153.db2.gz BHLODOGLRDYWOQ-RKDXNWHRSA-N 1 2 298.843 3.733 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2nc(C(C)(C)C)cs2)o1 ZINC000892929267 1120162765 /nfs/dbraw/zinc/16/27/65/1120162765.db2.gz ODJUKZGRGUMMCB-UHFFFAOYSA-N 1 2 294.420 3.722 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+]Cc2cc(C)ccc2F)o1 ZINC000174481750 1120169325 /nfs/dbraw/zinc/16/93/25/1120169325.db2.gz NKZWMXUVEBLQBR-MRXNPFEDSA-N 1 2 291.366 3.767 20 0 CHADLO C[C@H]1CO[C@H](C(F)(F)F)C[N@@H+]1Cc1ccccc1C1CC1 ZINC000685440999 1120169747 /nfs/dbraw/zinc/16/97/47/1120169747.db2.gz QTBXTHZFQGKZMG-NHYWBVRUSA-N 1 2 299.336 3.716 20 0 CHADLO C[C@H]1CO[C@H](C(F)(F)F)C[N@H+]1Cc1ccccc1C1CC1 ZINC000685440999 1120169750 /nfs/dbraw/zinc/16/97/50/1120169750.db2.gz QTBXTHZFQGKZMG-NHYWBVRUSA-N 1 2 299.336 3.716 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccco2)C2CCCC2)co1 ZINC000659846625 1120177222 /nfs/dbraw/zinc/17/72/22/1120177222.db2.gz RFSCDXWMGBGJRN-UHFFFAOYSA-N 1 2 260.337 3.521 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccco2)C2CCCC2)co1 ZINC000659846625 1120177225 /nfs/dbraw/zinc/17/72/25/1120177225.db2.gz RFSCDXWMGBGJRN-UHFFFAOYSA-N 1 2 260.337 3.521 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](C)c2ccc(C(C)(C)C)cc2)o1 ZINC000661529107 1120179721 /nfs/dbraw/zinc/17/97/21/1120179721.db2.gz MLIDZXXVBFENOH-LBPRGKRZSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](C)c2ccc(C(C)(C)C)cc2)o1 ZINC000661529107 1120179723 /nfs/dbraw/zinc/17/97/23/1120179723.db2.gz MLIDZXXVBFENOH-LBPRGKRZSA-N 1 2 287.407 3.869 20 0 CHADLO CC(C)c1ccc(-c2noc([C@@H]3C[C@H]3c3c[nH]c[nH+]3)n2)cc1 ZINC000923766338 1120183945 /nfs/dbraw/zinc/18/39/45/1120183945.db2.gz BQKXZVDKUKRNNI-ZIAGYGMSSA-N 1 2 294.358 3.854 20 0 CHADLO CCOc1ccc(C[NH2+][C@@](C)(CC)c2nc(C)cs2)o1 ZINC000892966666 1120184311 /nfs/dbraw/zinc/18/43/11/1120184311.db2.gz XSWUDLREYAQNOO-HNNXBMFYSA-N 1 2 294.420 3.858 20 0 CHADLO CCc1nc([C@H]2CCCC[N@@H+]2CCCC2CCC2)no1 ZINC000659850540 1120189852 /nfs/dbraw/zinc/18/98/52/1120189852.db2.gz SHABCPLCGUBOBD-CQSZACIVSA-N 1 2 277.412 3.739 20 0 CHADLO CCc1nc([C@H]2CCCC[N@H+]2CCCC2CCC2)no1 ZINC000659850540 1120189855 /nfs/dbraw/zinc/18/98/55/1120189855.db2.gz SHABCPLCGUBOBD-CQSZACIVSA-N 1 2 277.412 3.739 20 0 CHADLO c1ccn(-c2ccc[nH+]c2N[C@@H]2CCCc3cccnc32)c1 ZINC001168656453 1120190331 /nfs/dbraw/zinc/19/03/31/1120190331.db2.gz POBMGVKNGKZXQJ-OAHLLOKOSA-N 1 2 290.370 3.757 20 0 CHADLO CC(C)=CCC[N@@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000659850957 1120190584 /nfs/dbraw/zinc/19/05/84/1120190584.db2.gz XGOIOEPOVCEQLE-CQSZACIVSA-N 1 2 275.396 3.830 20 0 CHADLO CC(C)=CCC[N@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000659850957 1120190587 /nfs/dbraw/zinc/19/05/87/1120190587.db2.gz XGOIOEPOVCEQLE-CQSZACIVSA-N 1 2 275.396 3.830 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cccc(F)c1OC(F)F ZINC000659854103 1120195481 /nfs/dbraw/zinc/19/54/81/1120195481.db2.gz WTVHNXROWNLNJO-UHFFFAOYSA-N 1 2 285.265 3.652 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cccc(F)c1OC(F)F ZINC000659854103 1120195484 /nfs/dbraw/zinc/19/54/84/1120195484.db2.gz WTVHNXROWNLNJO-UHFFFAOYSA-N 1 2 285.265 3.652 20 0 CHADLO Cc1c[nH+]cc(N[C@H]2CCCc3cccnc32)c1C ZINC001168662563 1120198368 /nfs/dbraw/zinc/19/83/68/1120198368.db2.gz WOQGJXPXFJHNBK-AWEZNQCLSA-N 1 2 253.349 3.583 20 0 CHADLO Cc1cnc(Cl)c(C[NH2+]C2(c3ccccc3F)CC2)c1 ZINC000921758567 1120201039 /nfs/dbraw/zinc/20/10/39/1120201039.db2.gz YEJYXJDUHXDFOA-UHFFFAOYSA-N 1 2 290.769 3.961 20 0 CHADLO CC[C@](C)([NH2+]Cc1cc(C)cnc1Cl)c1nccs1 ZINC000921764241 1120203232 /nfs/dbraw/zinc/20/32/32/1120203232.db2.gz HOHNZXVQQIFPET-AWEZNQCLSA-N 1 2 295.839 3.915 20 0 CHADLO Cn1nc(C[NH2+]Cc2ccsc2Cl)c2ccccc21 ZINC000312745040 1120207180 /nfs/dbraw/zinc/20/71/80/1120207180.db2.gz LXSLJJLQGZDTKO-UHFFFAOYSA-N 1 2 291.807 3.578 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1ccc(Cl)s1 ZINC000460673995 1120211433 /nfs/dbraw/zinc/21/14/33/1120211433.db2.gz GYVFQEOUOCEBFX-UHFFFAOYSA-N 1 2 291.807 3.805 20 0 CHADLO C[C@@H]1CC[C@@H](c2ccccc2)[N@H+](Cc2nncs2)C1 ZINC000930456322 1120220141 /nfs/dbraw/zinc/22/01/41/1120220141.db2.gz FYFNBGRQWGVPRP-OCCSQVGLSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@@H]1CC[C@@H](c2ccccc2)[N@@H+](Cc2nncs2)C1 ZINC000930456322 1120220143 /nfs/dbraw/zinc/22/01/43/1120220143.db2.gz FYFNBGRQWGVPRP-OCCSQVGLSA-N 1 2 273.405 3.511 20 0 CHADLO CCc1cc(N2C[C@H](C)C[C@H]2c2cccnc2)nc(CC)[nH+]1 ZINC000893154983 1120241604 /nfs/dbraw/zinc/24/16/04/1120241604.db2.gz CISRPIKGIFESFW-CJNGLKHVSA-N 1 2 296.418 3.584 20 0 CHADLO C[C@H](Nc1[nH+]cccc1CC(=O)OC(C)(C)C)C(C)(C)C ZINC001168683456 1120241835 /nfs/dbraw/zinc/24/18/35/1120241835.db2.gz KQVDXPZAQXWVFP-LBPRGKRZSA-N 1 2 292.423 3.812 20 0 CHADLO CC(C)Oc1ccccc1CNc1cc2cc[nH]c2c[nH+]1 ZINC000672170732 1120248773 /nfs/dbraw/zinc/24/87/73/1120248773.db2.gz ZBDMTHCXTUWOQP-UHFFFAOYSA-N 1 2 281.359 3.962 20 0 CHADLO C[C@@H](Cc1ccsc1)Nc1cc2cc[nH]c2c[nH+]1 ZINC000672176379 1120249904 /nfs/dbraw/zinc/24/99/04/1120249904.db2.gz FFDJSERYONAOMN-JTQLQIEISA-N 1 2 257.362 3.668 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccccc3F)CC2)sc1C ZINC000179648396 1120251599 /nfs/dbraw/zinc/25/15/99/1120251599.db2.gz SHUFDGOYHMKFGF-UHFFFAOYSA-N 1 2 276.380 3.678 20 0 CHADLO CCOC(=O)CCc1ccc[nH+]c1N[C@H](C)C[C@@H](C)CC ZINC001168691091 1120261576 /nfs/dbraw/zinc/26/15/76/1120261576.db2.gz STOKQQKKLIHJEY-UONOGXRCSA-N 1 2 292.423 3.814 20 0 CHADLO CC[N@H+](Cc1c(F)cccc1F)[C@H](C)c1ccncc1 ZINC000075681862 1120264241 /nfs/dbraw/zinc/26/42/41/1120264241.db2.gz RAWMZTJQKBTYJR-GFCCVEGCSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@@H+](Cc1c(F)cccc1F)[C@H](C)c1ccncc1 ZINC000075681862 1120264244 /nfs/dbraw/zinc/26/42/44/1120264244.db2.gz RAWMZTJQKBTYJR-GFCCVEGCSA-N 1 2 276.330 3.943 20 0 CHADLO CC[C@H](C)C[C@H](C)[NH2+]c1ccc2c(c1)N(C)CCO2 ZINC001168692690 1120269495 /nfs/dbraw/zinc/26/94/95/1120269495.db2.gz JDTVFDMEZIPWOW-STQMWFEESA-N 1 2 262.397 3.752 20 0 CHADLO Cc1ccc(C[N@H+](C)CC(=O)c2c(C)[nH]c3ccccc32)o1 ZINC000007976133 1120273373 /nfs/dbraw/zinc/27/33/73/1120273373.db2.gz NZUOZIFEJWALTR-UHFFFAOYSA-N 1 2 296.370 3.692 20 0 CHADLO Cc1ccc(C[N@@H+](C)CC(=O)c2c(C)[nH]c3ccccc32)o1 ZINC000007976133 1120273377 /nfs/dbraw/zinc/27/33/77/1120273377.db2.gz NZUOZIFEJWALTR-UHFFFAOYSA-N 1 2 296.370 3.692 20 0 CHADLO CC(C)c1cc(N2CCC3(CC3)C2)nc(C(C)C)[nH+]1 ZINC000672274871 1120273391 /nfs/dbraw/zinc/27/33/91/1120273391.db2.gz JMRHXCWRHDOKQL-UHFFFAOYSA-N 1 2 259.397 3.714 20 0 CHADLO C[C@H](CCCC(C)(C)O)Nc1[nH+]cccc1-n1cccc1 ZINC001168694650 1120276012 /nfs/dbraw/zinc/27/60/12/1120276012.db2.gz BWBMRCLEXKKGDD-CQSZACIVSA-N 1 2 287.407 3.614 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1[nH+]cc(OC)c2cc[nH]c21 ZINC001168694932 1120277683 /nfs/dbraw/zinc/27/76/83/1120277683.db2.gz NWEBSTQZKPUMNM-GHMZBOCLSA-N 1 2 261.369 3.808 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(Br)cc2)o1 ZINC000181125267 1120289251 /nfs/dbraw/zinc/28/92/51/1120289251.db2.gz FROWUAHJBJSXMR-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO COc1ccc2ccc(N[C@@H]3CCO[C@@H](C4CC4)C3)[nH+]c2c1 ZINC000893194082 1120294244 /nfs/dbraw/zinc/29/42/44/1120294244.db2.gz CTTFCOFMDVXRSF-RHSMWYFYSA-N 1 2 298.386 3.613 20 0 CHADLO c1ccc([C@@H](CC2CCC2)[NH2+]Cc2nc(C3CC3)no2)cc1 ZINC000181351391 1120294591 /nfs/dbraw/zinc/29/45/91/1120294591.db2.gz CVUIJWVVDMEYJG-MRXNPFEDSA-N 1 2 297.402 3.968 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2ccccc2F)C2CCCC2)o1 ZINC000181496076 1120298191 /nfs/dbraw/zinc/29/81/91/1120298191.db2.gz WKXQYFVARGJXLK-INIZCTEOSA-N 1 2 289.354 3.538 20 0 CHADLO CSc1cccc(C[N@@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000659992694 1120329740 /nfs/dbraw/zinc/32/97/40/1120329740.db2.gz FVHWUJMCJJUUSG-INIZCTEOSA-N 1 2 289.400 3.575 20 0 CHADLO CSc1cccc(C[N@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000659992694 1120329745 /nfs/dbraw/zinc/32/97/45/1120329745.db2.gz FVHWUJMCJJUUSG-INIZCTEOSA-N 1 2 289.400 3.575 20 0 CHADLO CCc1nc(C)c([C@H](C)[NH2+][C@H]2CCCC2(F)F)s1 ZINC000672622492 1120335385 /nfs/dbraw/zinc/33/53/85/1120335385.db2.gz ZJYPQOCYIIOTOY-WPRPVWTQSA-N 1 2 274.380 3.852 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(-n2cccn2)cc1 ZINC000672621690 1120336076 /nfs/dbraw/zinc/33/60/76/1120336076.db2.gz DWPVQUMBHLLSRZ-IUODEOHRSA-N 1 2 291.345 3.711 20 0 CHADLO Cc1nccnc1CCNc1cc[nH+]c2c(Cl)cccc12 ZINC000338793081 1120346887 /nfs/dbraw/zinc/34/68/87/1120346887.db2.gz MUYGTNYNNCSSSS-UHFFFAOYSA-N 1 2 298.777 3.641 20 0 CHADLO C[NH2+]c1ccc(NCc2nc3c(s2)CCCC3)cc1 ZINC000629388953 1128909956 /nfs/dbraw/zinc/90/99/56/1128909956.db2.gz GCQSFVQMYSMEHU-UHFFFAOYSA-N 1 2 273.405 3.676 20 0 CHADLO FC(F)(F)[C@H]1C[C@H](C(F)(F)F)CN(c2cccc[nH+]2)C1 ZINC000618438084 1128911356 /nfs/dbraw/zinc/91/13/56/1128911356.db2.gz MLLWHJILBWXYGW-IUCAKERBSA-N 1 2 298.230 3.649 20 0 CHADLO Cc1cc(F)ccc1CO[NH+]=C(N)Cc1cccc(F)c1 ZINC000783152891 1120371066 /nfs/dbraw/zinc/37/10/66/1120371066.db2.gz QOIBJLYDGZHQJO-UHFFFAOYSA-N 1 2 290.313 3.514 20 0 CHADLO CCc1cccc(NC(=O)C[N@@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000543061310 1120394723 /nfs/dbraw/zinc/39/47/23/1120394723.db2.gz IFMFJIOZSMWPFR-AWEZNQCLSA-N 1 2 294.398 3.764 20 0 CHADLO CCc1cccc(NC(=O)C[N@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000543061310 1120394729 /nfs/dbraw/zinc/39/47/29/1120394729.db2.gz IFMFJIOZSMWPFR-AWEZNQCLSA-N 1 2 294.398 3.764 20 0 CHADLO CCC[C@H]1C[N@@H+]([C@H](C)c2ccc(Cl)cc2)CCO1 ZINC000533934726 1120404314 /nfs/dbraw/zinc/40/43/14/1120404314.db2.gz ZCYKCAOIUIQETN-DOMZBBRYSA-N 1 2 267.800 3.902 20 0 CHADLO CCC[C@H]1C[N@H+]([C@H](C)c2ccc(Cl)cc2)CCO1 ZINC000533934726 1120404315 /nfs/dbraw/zinc/40/43/15/1120404315.db2.gz ZCYKCAOIUIQETN-DOMZBBRYSA-N 1 2 267.800 3.902 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H](c3ccccc3)CC2)no1 ZINC000066897670 1120417192 /nfs/dbraw/zinc/41/71/92/1120417192.db2.gz SACUQNNRINPLJC-MRXNPFEDSA-N 1 2 299.418 3.963 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H](c3ccccc3)CC2)no1 ZINC000066897670 1120417195 /nfs/dbraw/zinc/41/71/95/1120417195.db2.gz SACUQNNRINPLJC-MRXNPFEDSA-N 1 2 299.418 3.963 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+](Cc2occc2Br)C1 ZINC001143131201 1120418900 /nfs/dbraw/zinc/41/89/00/1120418900.db2.gz PRPVGPVNZDEEQI-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+](Cc2occc2Br)C1 ZINC001143131201 1120418901 /nfs/dbraw/zinc/41/89/01/1120418901.db2.gz PRPVGPVNZDEEQI-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(F)cc3F)CCCC2)co1 ZINC000660202403 1120437874 /nfs/dbraw/zinc/43/78/74/1120437874.db2.gz KYGRDYNECRUJDB-UHFFFAOYSA-N 1 2 292.329 3.820 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCC(F)(F)[C@@H](F)C2)c(F)c1 ZINC001141191174 1120461667 /nfs/dbraw/zinc/46/16/67/1120461667.db2.gz BCSIHFYEDVHQSR-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1ccc(C[N@H+]2CCCC(F)(F)[C@@H](F)C2)c(F)c1 ZINC001141191174 1120461669 /nfs/dbraw/zinc/46/16/69/1120461669.db2.gz BCSIHFYEDVHQSR-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2cccc(C(C)(C)C)c2)n1 ZINC000660262177 1120472195 /nfs/dbraw/zinc/47/21/95/1120472195.db2.gz DGNCWVDILDTWPK-LLVKDONJSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1cc(Nc2ccc(NC3CCCC3)[nH+]c2)nc(C)n1 ZINC000436318816 1120479220 /nfs/dbraw/zinc/47/92/20/1120479220.db2.gz YSPRDYMPSZPHPX-UHFFFAOYSA-N 1 2 283.379 3.587 20 0 CHADLO CCC[C@]1(C(F)(F)F)CCCN1Cc1c[nH+]cn1CC ZINC000417781059 1120481897 /nfs/dbraw/zinc/48/18/97/1120481897.db2.gz JLVHBURRRJVPIW-CYBMUJFWSA-N 1 2 289.345 3.600 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CCC(c3ccco3)CC2)co1 ZINC000930924562 1120482954 /nfs/dbraw/zinc/48/29/54/1120482954.db2.gz VRSCHEHTRHDGHN-UHFFFAOYSA-N 1 2 288.391 3.945 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(CC(F)(F)F)c1 ZINC000417837034 1120485083 /nfs/dbraw/zinc/48/50/83/1120485083.db2.gz PEUMQLZLQMUEMW-UHFFFAOYSA-N 1 2 283.297 3.620 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1N(C)C1CCCC1 ZINC000417838219 1120485323 /nfs/dbraw/zinc/48/53/23/1120485323.db2.gz QCRJUQXIDUWUCJ-UHFFFAOYSA-N 1 2 298.434 3.894 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc2oc(C(C)(C)C)nc2c1 ZINC000417873366 1120487521 /nfs/dbraw/zinc/48/75/21/1120487521.db2.gz JOSLKULQZWBFNH-UHFFFAOYSA-N 1 2 298.390 3.954 20 0 CHADLO CC(C)CC[N@H+](Cc1noc(Cc2ccccc2)n1)C1CC1 ZINC000069221893 1120497061 /nfs/dbraw/zinc/49/70/61/1120497061.db2.gz KJDAOOCREDVQBE-UHFFFAOYSA-N 1 2 299.418 3.671 20 0 CHADLO CC(C)CC[N@@H+](Cc1noc(Cc2ccccc2)n1)C1CC1 ZINC000069221893 1120497064 /nfs/dbraw/zinc/49/70/64/1120497064.db2.gz KJDAOOCREDVQBE-UHFFFAOYSA-N 1 2 299.418 3.671 20 0 CHADLO Fc1ccccc1C[NH2+]Cc1c(F)cccc1Cl ZINC000019950448 1120498337 /nfs/dbraw/zinc/49/83/37/1120498337.db2.gz SMBCVBUFGAHWFM-UHFFFAOYSA-N 1 2 267.706 3.908 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)[N@@H+]1CCC(F)(F)F ZINC000364276657 1120526033 /nfs/dbraw/zinc/52/60/33/1120526033.db2.gz CDDICCCRWPYWPE-BZPMIXESSA-N 1 2 287.325 3.789 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@@H](C)[N@H+]1CCC(F)(F)F ZINC000364276657 1120526034 /nfs/dbraw/zinc/52/60/34/1120526034.db2.gz CDDICCCRWPYWPE-BZPMIXESSA-N 1 2 287.325 3.789 20 0 CHADLO F[C@@H]1CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000685917634 1120542118 /nfs/dbraw/zinc/54/21/18/1120542118.db2.gz LUVGQQBCJOPVMF-SNVBAGLBSA-N 1 2 264.731 3.827 20 0 CHADLO Cc1ccc(F)cc1C[NH2+][C@H]1c2ccccc2OC[C@@H]1F ZINC000922521695 1120546913 /nfs/dbraw/zinc/54/69/13/1120546913.db2.gz XPPVHZFXVKHIDE-RDJZCZTQSA-N 1 2 289.325 3.696 20 0 CHADLO c1cc(CNc2[nH+]c3ccccc3n2CC2CC2)sn1 ZINC000922560733 1120550962 /nfs/dbraw/zinc/55/09/62/1120550962.db2.gz UQOXPJMUENACST-UHFFFAOYSA-N 1 2 284.388 3.515 20 0 CHADLO COc1ccc2c(c1)CCC[C@@H]2[NH2+][C@H]1CCCC1(F)F ZINC000398024423 1120555556 /nfs/dbraw/zinc/55/55/56/1120555556.db2.gz BRGSBWRCTHIVGW-GJZGRUSLSA-N 1 2 281.346 3.850 20 0 CHADLO Fc1ccc2oc(C[NH2+][C@@H](c3ncccn3)C3CC3)cc2c1 ZINC000922581935 1120555613 /nfs/dbraw/zinc/55/56/13/1120555613.db2.gz YEARPWOMJHQCSE-MRXNPFEDSA-N 1 2 297.333 3.603 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+][C@H]1CCCc2sccc21 ZINC000398045270 1120559892 /nfs/dbraw/zinc/55/98/92/1120559892.db2.gz VHYDCICQEACBFH-CMPLNLGQSA-N 1 2 257.349 3.903 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2nc(C(C)C)no2)cc1 ZINC000193016665 1120562201 /nfs/dbraw/zinc/56/22/01/1120562201.db2.gz FATPZCUGBHAJBL-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2nc(C(C)C)no2)cc1 ZINC000193016665 1120562205 /nfs/dbraw/zinc/56/22/05/1120562205.db2.gz FATPZCUGBHAJBL-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccc2cnccc2c1 ZINC000398084984 1120565231 /nfs/dbraw/zinc/56/52/31/1120565231.db2.gz TZJXAYQICDAMHD-AWEZNQCLSA-N 1 2 262.303 3.512 20 0 CHADLO Cc1nn(C[N@@H+](C)C2CCC(C)CC2)c(=S)n1C1CC1 ZINC000123891544 1120571158 /nfs/dbraw/zinc/57/11/58/1120571158.db2.gz ZCOWLBPXSUCFNA-UHFFFAOYSA-N 1 2 294.468 3.525 20 0 CHADLO Cc1nn(C[N@H+](C)C2CCC(C)CC2)c(=S)n1C1CC1 ZINC000123891544 1120571162 /nfs/dbraw/zinc/57/11/62/1120571162.db2.gz ZCOWLBPXSUCFNA-UHFFFAOYSA-N 1 2 294.468 3.525 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(OC)c(OC)c1 ZINC000398121898 1120572121 /nfs/dbraw/zinc/57/21/21/1120572121.db2.gz QPXCIBXTXNVSMO-WFASDCNBSA-N 1 2 299.361 3.932 20 0 CHADLO Cc1cccc(C[N@@H+]2C[C@@H](C(F)(F)F)OC(C)(C)C2)c1 ZINC000428930733 1120572719 /nfs/dbraw/zinc/57/27/19/1120572719.db2.gz OTDHKQICTBQRLY-ZDUSSCGKSA-N 1 2 287.325 3.537 20 0 CHADLO Cc1cccc(C[N@H+]2C[C@@H](C(F)(F)F)OC(C)(C)C2)c1 ZINC000428930733 1120572721 /nfs/dbraw/zinc/57/27/21/1120572721.db2.gz OTDHKQICTBQRLY-ZDUSSCGKSA-N 1 2 287.325 3.537 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2n[nH]c3ccccc32)cc1C ZINC000922672072 1120575090 /nfs/dbraw/zinc/57/50/90/1120575090.db2.gz KWVUWBJUTSTJFM-ZDUSSCGKSA-N 1 2 295.386 3.731 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)[C@H](C)c2ccccc2)c1 ZINC000652068723 1120577869 /nfs/dbraw/zinc/57/78/69/1120577869.db2.gz MUGPTZBJMRDKGG-KBPBESRZSA-N 1 2 270.376 3.832 20 0 CHADLO Cc1nc(C[N@H+]2CCc3c(cccc3C(C)C)C2)c(C)o1 ZINC000660392584 1120579910 /nfs/dbraw/zinc/57/99/10/1120579910.db2.gz HEOYWWODDTVRON-UHFFFAOYSA-N 1 2 284.403 3.973 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3c(cccc3C(C)C)C2)c(C)o1 ZINC000660392584 1120579913 /nfs/dbraw/zinc/57/99/13/1120579913.db2.gz HEOYWWODDTVRON-UHFFFAOYSA-N 1 2 284.403 3.973 20 0 CHADLO Fc1cc2cccnc2c(C[NH2+][C@@H]2CCCC2(F)F)c1 ZINC000673618433 1120598759 /nfs/dbraw/zinc/59/87/59/1120598759.db2.gz GDNPROAYTQQCKZ-CYBMUJFWSA-N 1 2 280.293 3.651 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+][C@@H]3CCC34CCC4)cc2)CCO1 ZINC000282405787 1120618415 /nfs/dbraw/zinc/61/84/15/1120618415.db2.gz BZSDHBXLXMLISR-WMLDXEAASA-N 1 2 286.419 3.656 20 0 CHADLO Fc1cccc(F)c1C[NH2+][C@H]1CCCc2cccnc21 ZINC000922882008 1120618940 /nfs/dbraw/zinc/61/89/40/1120618940.db2.gz KAXRYXUQMNUMOR-HNNXBMFYSA-N 1 2 274.314 3.527 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccccc2SC)sn1 ZINC000660446864 1120619006 /nfs/dbraw/zinc/61/90/06/1120619006.db2.gz IDJYNEKSPWYYJN-UHFFFAOYSA-N 1 2 294.445 3.506 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccccc2SC)sn1 ZINC000660446864 1120619008 /nfs/dbraw/zinc/61/90/08/1120619008.db2.gz IDJYNEKSPWYYJN-UHFFFAOYSA-N 1 2 294.445 3.506 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cnccc2C)c1Cl ZINC000660449904 1120620022 /nfs/dbraw/zinc/62/00/22/1120620022.db2.gz BHAXUJKHSUEHCG-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cnccc2C)c1Cl ZINC000660449904 1120620027 /nfs/dbraw/zinc/62/00/27/1120620027.db2.gz BHAXUJKHSUEHCG-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cc(O)ccc2Cl)on1 ZINC000625087820 1120632875 /nfs/dbraw/zinc/63/28/75/1120632875.db2.gz YZYJBQPQZJTUKA-CQSZACIVSA-N 1 2 292.766 3.679 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cc(O)ccc2Cl)on1 ZINC000625087820 1120632877 /nfs/dbraw/zinc/63/28/77/1120632877.db2.gz YZYJBQPQZJTUKA-CQSZACIVSA-N 1 2 292.766 3.679 20 0 CHADLO CCCCc1noc(C[N@@H+]2Cc3ccccc3C[C@H]2CC)n1 ZINC000466932531 1120647337 /nfs/dbraw/zinc/64/73/37/1120647337.db2.gz JXWATSZGCMBXER-MRXNPFEDSA-N 1 2 299.418 3.749 20 0 CHADLO CCCCc1noc(C[N@H+]2Cc3ccccc3C[C@H]2CC)n1 ZINC000466932531 1120647339 /nfs/dbraw/zinc/64/73/39/1120647339.db2.gz JXWATSZGCMBXER-MRXNPFEDSA-N 1 2 299.418 3.749 20 0 CHADLO Cc1occc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000321631584 1120664959 /nfs/dbraw/zinc/66/49/59/1120664959.db2.gz TWRPLVXOTXSZIO-UHFFFAOYSA-N 1 2 256.349 3.800 20 0 CHADLO CCC[C@@H](C)CCC[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000625358737 1120671617 /nfs/dbraw/zinc/67/16/17/1120671617.db2.gz AZNVWDYKJHHBMV-ZBFHGGJFSA-N 1 2 286.423 3.700 20 0 CHADLO Cc1ccccc1C[C@@H](C)[N@H+](C)Cc1noc(C2CCC2)n1 ZINC000625375799 1120673808 /nfs/dbraw/zinc/67/38/08/1120673808.db2.gz CCWUOGHMKFFEDI-CQSZACIVSA-N 1 2 299.418 3.709 20 0 CHADLO Cc1ccccc1C[C@@H](C)[N@@H+](C)Cc1noc(C2CCC2)n1 ZINC000625375799 1120673812 /nfs/dbraw/zinc/67/38/12/1120673812.db2.gz CCWUOGHMKFFEDI-CQSZACIVSA-N 1 2 299.418 3.709 20 0 CHADLO CC[C@H](C)n1cc(-c2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC000629742419 1128932554 /nfs/dbraw/zinc/93/25/54/1128932554.db2.gz SSEBNDPZZXONHB-AWEZNQCLSA-N 1 2 280.375 3.766 20 0 CHADLO CC1CCC(C[N@H+](C)[C@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000660761221 1120685505 /nfs/dbraw/zinc/68/55/05/1120685505.db2.gz CZGZVCQDLVPGGP-DQPZFDDXSA-N 1 2 298.434 3.652 20 0 CHADLO CC1CCC(C[N@@H+](C)[C@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000660761221 1120685506 /nfs/dbraw/zinc/68/55/06/1120685506.db2.gz CZGZVCQDLVPGGP-DQPZFDDXSA-N 1 2 298.434 3.652 20 0 CHADLO CC1CCC(C[N@H+](C)[C@@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000660761225 1120685534 /nfs/dbraw/zinc/68/55/34/1120685534.db2.gz CZGZVCQDLVPGGP-VMBOVVBDSA-N 1 2 298.434 3.652 20 0 CHADLO CC1CCC(C[N@@H+](C)[C@@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000660761225 1120685536 /nfs/dbraw/zinc/68/55/36/1120685536.db2.gz CZGZVCQDLVPGGP-VMBOVVBDSA-N 1 2 298.434 3.652 20 0 CHADLO Clc1cccc(C2C[NH+](Cc3cnc(C4CC4)o3)C2)c1 ZINC000628299804 1120687899 /nfs/dbraw/zinc/68/78/99/1120687899.db2.gz BJRBVJSZHKZEMS-UHFFFAOYSA-N 1 2 288.778 3.805 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@@H+]2C[C@@H]3CCCC[C@@H]32)cs1 ZINC000660929018 1120702425 /nfs/dbraw/zinc/70/24/25/1120702425.db2.gz CHEMMZZCXZGAMX-OBJOEFQTSA-N 1 2 280.437 3.615 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@H+]2C[C@@H]3CCCC[C@@H]32)cs1 ZINC000660929018 1120702431 /nfs/dbraw/zinc/70/24/31/1120702431.db2.gz CHEMMZZCXZGAMX-OBJOEFQTSA-N 1 2 280.437 3.615 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cc3cnccc3o2)cs1 ZINC000651716863 1120722292 /nfs/dbraw/zinc/72/22/92/1120722292.db2.gz KZQAZTRFOPWQTK-JTQLQIEISA-N 1 2 287.388 3.698 20 0 CHADLO COc1cccc(CNc2ccc([NH+](C)C)cc2C)c1F ZINC000800223565 1120731548 /nfs/dbraw/zinc/73/15/48/1120731548.db2.gz GYVCMIDOQFULQM-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CCc3ccc(C(C)C)cc3C2)o1 ZINC000661374790 1120757498 /nfs/dbraw/zinc/75/74/98/1120757498.db2.gz RGORTPPFFKVFSE-GFCCVEGCSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CCc3ccc(C(C)C)cc3C2)o1 ZINC000661374790 1120757502 /nfs/dbraw/zinc/75/75/02/1120757502.db2.gz RGORTPPFFKVFSE-GFCCVEGCSA-N 1 2 285.391 3.621 20 0 CHADLO CSc1ccccc1C[NH2+][C@@H](C)c1cscn1 ZINC000661414665 1120763711 /nfs/dbraw/zinc/76/37/11/1120763711.db2.gz BQCRHVKPXFUXEJ-JTQLQIEISA-N 1 2 264.419 3.716 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](c2ccccc2F)C(C)C)o1 ZINC000661485833 1120771207 /nfs/dbraw/zinc/77/12/07/1120771207.db2.gz LEOHUPHPZPAGRN-MRXNPFEDSA-N 1 2 276.355 3.863 20 0 CHADLO C/C=C/C[C@H]([NH2+][C@H](CC)Cc1cccs1)C(=O)OCC ZINC000617856401 1120787544 /nfs/dbraw/zinc/78/75/44/1120787544.db2.gz GTFSICYSOZRKMN-DKIZZRPMSA-N 1 2 295.448 3.557 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@@H]1C[C@H](C)C[C@H](c2ccccc2)C1 ZINC000313812545 1120790928 /nfs/dbraw/zinc/79/09/28/1120790928.db2.gz IWMWKCWMRKMMOH-YQFWSFKMSA-N 1 2 289.419 3.500 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2csc(C3CCCC3)n2)C[C@H](C)O1 ZINC000661858573 1120826076 /nfs/dbraw/zinc/82/60/76/1120826076.db2.gz ZGRHVSAKIRLYFM-OLZOCXBDSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2csc(C3CCCC3)n2)C[C@H](C)O1 ZINC000661858573 1120826078 /nfs/dbraw/zinc/82/60/78/1120826078.db2.gz ZGRHVSAKIRLYFM-OLZOCXBDSA-N 1 2 294.464 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cccc(C)c2)c1 ZINC000652330719 1120828605 /nfs/dbraw/zinc/82/86/05/1120828605.db2.gz NLBQAKOLJXVORS-CYBMUJFWSA-N 1 2 256.349 3.710 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCc3c2cccc3C)c1 ZINC000652330685 1120828817 /nfs/dbraw/zinc/82/88/17/1120828817.db2.gz MQZARAXPCNWARR-INIZCTEOSA-N 1 2 268.360 3.636 20 0 CHADLO CCc1ccc([C@H](C)Nc2cc(COC)cc[nH+]2)o1 ZINC000652331091 1120829679 /nfs/dbraw/zinc/82/96/79/1120829679.db2.gz XWYHLKRATDEYRA-NSHDSACASA-N 1 2 260.337 3.557 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2(C3CCCCC3)CC2)c[nH+]1 ZINC000909391234 1120856271 /nfs/dbraw/zinc/85/62/71/1120856271.db2.gz RWWMSBSIAGGPOI-UHFFFAOYSA-N 1 2 286.419 3.675 20 0 CHADLO CC[C@H]([NH2+]CC(F)(F)CC)c1ccc(C(=O)OC)cc1 ZINC000599068706 1120872527 /nfs/dbraw/zinc/87/25/27/1120872527.db2.gz NKYPCLGLGNIQCM-ZDUSSCGKSA-N 1 2 285.334 3.559 20 0 CHADLO CCc1ccc(-c2nc(Cn3cc[nH+]c3)sc2C)cc1 ZINC000663219489 1120892688 /nfs/dbraw/zinc/89/26/88/1120892688.db2.gz JDVMIFNQVQPXJF-UHFFFAOYSA-N 1 2 283.400 3.926 20 0 CHADLO C[N@@H+](Cc1noc(C(C)(C)C)n1)C[C@H]1CCc2ccccc21 ZINC000662200247 1120897056 /nfs/dbraw/zinc/89/70/56/1120897056.db2.gz ZNPGAOJAHNVVOT-CQSZACIVSA-N 1 2 299.418 3.529 20 0 CHADLO C[N@H+](Cc1noc(C(C)(C)C)n1)C[C@H]1CCc2ccccc21 ZINC000662200247 1120897063 /nfs/dbraw/zinc/89/70/63/1120897063.db2.gz ZNPGAOJAHNVVOT-CQSZACIVSA-N 1 2 299.418 3.529 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC001672530557 1120904541 /nfs/dbraw/zinc/90/45/41/1120904541.db2.gz VQSCKDXHKNAYEX-XHDPSFHLSA-N 1 2 289.423 3.955 20 0 CHADLO Cc1scc(C[N@@H+]2CCO[C@@H](CC(F)(F)F)C2)c1C ZINC000630072573 1128948418 /nfs/dbraw/zinc/94/84/18/1128948418.db2.gz GIQGSJJGAIVAKT-LBPRGKRZSA-N 1 2 293.354 3.518 20 0 CHADLO Cc1scc(C[N@H+]2CCO[C@@H](CC(F)(F)F)C2)c1C ZINC000630072573 1128948419 /nfs/dbraw/zinc/94/84/19/1128948419.db2.gz GIQGSJJGAIVAKT-LBPRGKRZSA-N 1 2 293.354 3.518 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](C)O[C@@H](CC(F)(F)F)C2)cc1 ZINC000662239848 1120908322 /nfs/dbraw/zinc/90/83/22/1120908322.db2.gz XZXDMKALRXMVBJ-JSGCOSHPSA-N 1 2 287.325 3.537 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](C)O[C@@H](CC(F)(F)F)C2)cc1 ZINC000662239848 1120908323 /nfs/dbraw/zinc/90/83/23/1120908323.db2.gz XZXDMKALRXMVBJ-JSGCOSHPSA-N 1 2 287.325 3.537 20 0 CHADLO COc1cc(COc2cccc(-n3cc[nH+]c3)c2)ccc1C ZINC000630070470 1128948553 /nfs/dbraw/zinc/94/85/53/1128948553.db2.gz VHMUVBSMVUPYRM-UHFFFAOYSA-N 1 2 294.354 3.768 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H]2CC[C@@H](C)C[C@@H]2C)c(C)[nH+]1 ZINC001126217574 1120910090 /nfs/dbraw/zinc/91/00/90/1120910090.db2.gz BVOQSJMNFYXCAK-JRPNMDOOSA-N 1 2 274.408 3.561 20 0 CHADLO Cc1cc2ccccc2nc1NCCCCn1cc[nH+]c1 ZINC000063106097 1120927484 /nfs/dbraw/zinc/92/74/84/1120927484.db2.gz OEXRKLSDOGLHIY-UHFFFAOYSA-N 1 2 280.375 3.632 20 0 CHADLO CCc1nnc(C[NH2+][C@]2(c3ccccc3)CC2(C)C)s1 ZINC000662339806 1120928499 /nfs/dbraw/zinc/92/84/99/1120928499.db2.gz CNNLHDXOKHMSAO-INIZCTEOSA-N 1 2 287.432 3.516 20 0 CHADLO CC(C)c1cccc(C[NH2+]Cc2nccc(C(F)F)n2)c1 ZINC000663326870 1120931785 /nfs/dbraw/zinc/93/17/85/1120931785.db2.gz WTONCYYHAWDUMW-UHFFFAOYSA-N 1 2 291.345 3.827 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@H+](Cc2nc(C(C)(C)C)co2)C1 ZINC000893571102 1120936176 /nfs/dbraw/zinc/93/61/76/1120936176.db2.gz JOOJBSRQIPAHIH-DZGCQCFKSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@@H+](Cc2nc(C(C)(C)C)co2)C1 ZINC000893571102 1120936180 /nfs/dbraw/zinc/93/61/80/1120936180.db2.gz JOOJBSRQIPAHIH-DZGCQCFKSA-N 1 2 299.418 3.950 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2CCc3ccccc3-n3ccnc32)o1 ZINC000663350262 1120942322 /nfs/dbraw/zinc/94/23/22/1120942322.db2.gz VNSIRUHJXUTSED-INIZCTEOSA-N 1 2 293.370 3.551 20 0 CHADLO Cc1nc(C[N@@H+]2CCOc3ccccc3[C@H]2C)sc1C ZINC000675645542 1120966120 /nfs/dbraw/zinc/96/61/20/1120966120.db2.gz KNBNPSDVDGJHDB-GFCCVEGCSA-N 1 2 288.416 3.716 20 0 CHADLO Cc1nc(C[N@H+]2CCOc3ccccc3[C@H]2C)sc1C ZINC000675645542 1120966125 /nfs/dbraw/zinc/96/61/25/1120966125.db2.gz KNBNPSDVDGJHDB-GFCCVEGCSA-N 1 2 288.416 3.716 20 0 CHADLO CC[C@H](OC(=O)c1ccn2c(C)c[nH+]c2c1)c1ccccc1 ZINC001127680525 1128955438 /nfs/dbraw/zinc/95/54/38/1128955438.db2.gz NCWZKOOOUZUIOM-INIZCTEOSA-N 1 2 294.354 3.951 20 0 CHADLO CSc1cc(Nc2cncc(C(F)(F)F)c2)cc[nH+]1 ZINC001174740463 1121019421 /nfs/dbraw/zinc/01/94/21/1121019421.db2.gz UIBIBNNRCBNRIE-UHFFFAOYSA-N 1 2 285.294 3.961 20 0 CHADLO CCOc1cc(Nc2cncc(C(F)(F)F)c2)cc(C)[nH+]1 ZINC001174742230 1121021000 /nfs/dbraw/zinc/02/10/00/1121021000.db2.gz YCNXENMHFLTDFS-UHFFFAOYSA-N 1 2 297.280 3.946 20 0 CHADLO Cc1cn2cccc(Nc3ccc4cc[nH]c4c3)c2[nH+]1 ZINC001174746837 1121033729 /nfs/dbraw/zinc/03/37/29/1121033729.db2.gz HMFKWSJCVADMNN-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO CSCc1ccc(CNc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000343980662 1121038530 /nfs/dbraw/zinc/03/85/30/1121038530.db2.gz UCSDNKOJEVTFFP-UHFFFAOYSA-N 1 2 299.443 3.559 20 0 CHADLO C[C@H]1C[NH+](Cc2ncc(C(C)(C)C)o2)C[C@H](C)C1(F)F ZINC000625649611 1121044510 /nfs/dbraw/zinc/04/45/10/1121044510.db2.gz UOQZJTJIZDULAN-QWRGUYRKSA-N 1 2 286.366 3.695 20 0 CHADLO Cc1nc(N[C@@H]2CCC[C@H]2c2ccccc2)cc[nH+]1 ZINC000343995266 1121047953 /nfs/dbraw/zinc/04/79/53/1121047953.db2.gz QZCMGPSDLCPTQF-LSDHHAIUSA-N 1 2 253.349 3.533 20 0 CHADLO Cc1ccc(F)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001174884132 1121059534 /nfs/dbraw/zinc/05/95/34/1121059534.db2.gz IKVZRUJFZHFKAE-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO CN(c1ccc(Oc2cccnc2)cc1)c1[nH+]cccc1N ZINC001174846742 1121064639 /nfs/dbraw/zinc/06/46/39/1121064639.db2.gz MKNAPZGVMZGOBX-UHFFFAOYSA-N 1 2 292.342 3.619 20 0 CHADLO c1cnc2c(c1)cccc2Nc1ccc[nH+]c1N1CCCC1 ZINC001174857084 1121067693 /nfs/dbraw/zinc/06/76/93/1121067693.db2.gz VPXZCVLPZPTFLN-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cccc2cccnc21 ZINC001174856995 1121067923 /nfs/dbraw/zinc/06/79/23/1121067923.db2.gz SRKKDTGUCWEXAM-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO CN(C)c1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001174878458 1121077798 /nfs/dbraw/zinc/07/77/98/1121077798.db2.gz KJWIRRCSDWFPMP-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO c1cn2cccc(Nc3cccc4ncccc43)c2[nH+]1 ZINC001174903435 1121084152 /nfs/dbraw/zinc/08/41/52/1121084152.db2.gz FYVXGWVUELQRRV-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO C[C@H](CC(=O)N1c2ccccc2C(C)(C)[C@H]1C)n1cc[nH+]c1 ZINC000619018542 1128961489 /nfs/dbraw/zinc/96/14/89/1128961489.db2.gz ARKRJXLVKQHSTE-ZIAGYGMSSA-N 1 2 297.402 3.547 20 0 CHADLO CCN(C)c1ccc(Nc2cccc3ncccc32)c[nH+]1 ZINC001174908462 1121087931 /nfs/dbraw/zinc/08/79/31/1121087931.db2.gz WUGGYNSJPVGLNR-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO COc1cc(C[NH2+]C2(C(F)F)CCCC2)ccc1C ZINC000674143967 1121092650 /nfs/dbraw/zinc/09/26/50/1121092650.db2.gz GOLFQIKILRBKFZ-UHFFFAOYSA-N 1 2 269.335 3.671 20 0 CHADLO FC(F)C1([NH2+]C/C=C/c2ccccc2)CCCC1 ZINC000674135162 1121094493 /nfs/dbraw/zinc/09/44/93/1121094493.db2.gz AIAGTLXILMNOFN-RMKNXTFCSA-N 1 2 251.320 3.867 20 0 CHADLO COc1cc(F)c(Nc2c[nH+]c(C)cc2C)cc1F ZINC001174923080 1121096497 /nfs/dbraw/zinc/09/64/97/1121096497.db2.gz CRALBAISIJYNIA-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc1N ZINC001174935340 1121103299 /nfs/dbraw/zinc/10/32/99/1121103299.db2.gz PWPAAQAGGDWBPU-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO CN(C)c1cccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001174962849 1121114098 /nfs/dbraw/zinc/11/40/98/1121114098.db2.gz NTDAZNWUIPGQKU-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(F)c(F)c3F)ccc21 ZINC001174968917 1121118535 /nfs/dbraw/zinc/11/85/35/1121118535.db2.gz VWWZRGWSCGYBQR-UHFFFAOYSA-N 1 2 277.249 3.734 20 0 CHADLO C[C@H]1CCC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000344212959 1121120648 /nfs/dbraw/zinc/12/06/48/1121120648.db2.gz SXGUARPVMXHIJY-HOCLYGCPSA-N 1 2 297.402 3.571 20 0 CHADLO CC[C@@H]1CCCC[C@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344204747 1121120839 /nfs/dbraw/zinc/12/08/39/1121120839.db2.gz DVWSVYNHICBHTC-RHSMWYFYSA-N 1 2 297.402 3.571 20 0 CHADLO FC[C@H]1CC[N@H+](CCCCc2ccccc2)CC1(F)F ZINC001207608043 1121133948 /nfs/dbraw/zinc/13/39/48/1121133948.db2.gz BTESHJKLNNLTNA-OAHLLOKOSA-N 1 2 285.353 3.936 20 0 CHADLO FC[C@H]1CC[N@@H+](CCCCc2ccccc2)CC1(F)F ZINC001207608043 1121133950 /nfs/dbraw/zinc/13/39/50/1121133950.db2.gz BTESHJKLNNLTNA-OAHLLOKOSA-N 1 2 285.353 3.936 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(-c3ncco3)cc2F)C[C@@H]1F ZINC000663691321 1121136544 /nfs/dbraw/zinc/13/65/44/1121136544.db2.gz JATBSWZTGVKVLK-ABAIWWIYSA-N 1 2 292.329 3.661 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(-c3ncco3)cc2F)C[C@@H]1F ZINC000663691321 1121136547 /nfs/dbraw/zinc/13/65/47/1121136547.db2.gz JATBSWZTGVKVLK-ABAIWWIYSA-N 1 2 292.329 3.661 20 0 CHADLO CCOc1cc(Nc2ccc(OC)c(F)c2F)cc(C)[nH+]1 ZINC001175020559 1121138004 /nfs/dbraw/zinc/13/80/04/1121138004.db2.gz PFWFGKUTGRNARU-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO CCOc1cc(Nc2c[nH+]c(C)cc2C)ccc1F ZINC001175050826 1121159557 /nfs/dbraw/zinc/15/95/57/1121159557.db2.gz OPKVLJUDLCQKQZ-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCOc1cc(Nc2cc(C)[nH+]c(OC)c2)ccc1F ZINC001175049595 1121159828 /nfs/dbraw/zinc/15/98/28/1121159828.db2.gz GAIDGAUXTJQUBF-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCc1ccncc1 ZINC000063105802 1121162722 /nfs/dbraw/zinc/16/27/22/1121162722.db2.gz GZTREKAPLYXXMY-UHFFFAOYSA-N 1 2 263.344 3.593 20 0 CHADLO CCOc1ccc(F)cc1Nc1cccn2cc[nH+]c12 ZINC001175080244 1121175598 /nfs/dbraw/zinc/17/55/98/1121175598.db2.gz WRJNWNQRKFLUCR-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)[N@H+](C)Cc1cn[nH]c1 ZINC000674752414 1121175977 /nfs/dbraw/zinc/17/59/77/1121175977.db2.gz QWEYXARKKSEOFE-SECBINFHSA-N 1 2 284.190 3.910 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)[N@@H+](C)Cc1cn[nH]c1 ZINC000674752414 1121175981 /nfs/dbraw/zinc/17/59/81/1121175981.db2.gz QWEYXARKKSEOFE-SECBINFHSA-N 1 2 284.190 3.910 20 0 CHADLO CCOc1cccc(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001175090576 1121179475 /nfs/dbraw/zinc/17/94/75/1121179475.db2.gz KBWHCIOYQGKWKR-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO c1sc(C2CC2)nc1C[NH2+][C@@H]1CSc2ccccc21 ZINC000344435383 1121187116 /nfs/dbraw/zinc/18/71/16/1121187116.db2.gz MAIYFBIGOXJRQE-CYBMUJFWSA-N 1 2 288.441 3.957 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccc(Cl)o1)c1nccs1 ZINC000344437697 1121187654 /nfs/dbraw/zinc/18/76/54/1121187654.db2.gz PKUSUYDQVXCKAQ-LBPRGKRZSA-N 1 2 270.785 3.805 20 0 CHADLO OCc1ccc(F)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001175131663 1121195843 /nfs/dbraw/zinc/19/58/43/1121195843.db2.gz LLJVHGOTSGEXLD-UHFFFAOYSA-N 1 2 268.291 3.610 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1cccnc1 ZINC000067184289 1121205207 /nfs/dbraw/zinc/20/52/07/1121205207.db2.gz NEUJMVXAMKNZFN-LLVKDONJSA-N 1 2 275.421 3.686 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(C)ccc1C ZINC001175164265 1121211581 /nfs/dbraw/zinc/21/15/81/1121211581.db2.gz BZJLNYCVHFPYQP-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO CCOc1ccc(Nc2[nH+]cc(O)cc2C)cc1C ZINC001175167116 1121212591 /nfs/dbraw/zinc/21/25/91/1121212591.db2.gz VBFSYPQIQZJASW-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO CC[C@H](CC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)C(C)(C)C ZINC000630909760 1128975177 /nfs/dbraw/zinc/97/51/77/1128975177.db2.gz ATXUGHGXMZTEPO-ZIAGYGMSSA-N 1 2 291.439 3.578 20 0 CHADLO CCOc1cccc(F)c1Nc1ccc2c(c1)[nH+]cn2C ZINC001175225284 1121231721 /nfs/dbraw/zinc/23/17/21/1121231721.db2.gz SFWBMRZIZSVTFB-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO COCc1ccccc1/C=C(\C)C[N@@H+]1CCC=C(F)C1 ZINC000512301664 1121249942 /nfs/dbraw/zinc/24/99/42/1121249942.db2.gz VBNZYLKMZZWCRM-GXDHUFHOSA-N 1 2 275.367 3.795 20 0 CHADLO COCc1ccccc1/C=C(\C)C[N@H+]1CCC=C(F)C1 ZINC000512301664 1121249950 /nfs/dbraw/zinc/24/99/50/1121249950.db2.gz VBNZYLKMZZWCRM-GXDHUFHOSA-N 1 2 275.367 3.795 20 0 CHADLO CCOc1cc(F)ccc1Nc1cc(C)[nH+]c(OC)c1 ZINC001175286160 1121253039 /nfs/dbraw/zinc/25/30/39/1121253039.db2.gz CHSSWVXLXBJDNN-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)CSc2ccccc2)cc[nH+]1 ZINC000112298619 1121253433 /nfs/dbraw/zinc/25/34/33/1121253433.db2.gz HGPBRENXDSPDHF-LBPRGKRZSA-N 1 2 286.400 3.757 20 0 CHADLO Fc1ccc(Cl)cc1Nc1ccn2cc[nH+]c2c1 ZINC001175299497 1121262212 /nfs/dbraw/zinc/26/22/12/1121262212.db2.gz FBEZITOWFYZCMN-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CC[C@H](CC(=O)N1CCC(n2cc[nH+]c2)CC1)C(C)(C)C ZINC000630864696 1128973495 /nfs/dbraw/zinc/97/34/95/1128973495.db2.gz AWPAEHIRHDVGTQ-CQSZACIVSA-N 1 2 291.439 3.509 20 0 CHADLO Oc1ccc(F)c(Nc2ccccc2-n2cc[nH+]c2)c1F ZINC001175264857 1121268078 /nfs/dbraw/zinc/26/80/78/1121268078.db2.gz JYFTWXXRRMMWFI-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO CCOc1cc(Nc2cc(C)[nH+]c(OC)c2)ccc1OC ZINC001212540556 1121270817 /nfs/dbraw/zinc/27/08/17/1121270817.db2.gz JDBUHKCIRCWOSU-UHFFFAOYSA-N 1 2 288.347 3.550 20 0 CHADLO CCc1cc(N[C@@H]2CC[C@H](C)C[C@H]2C)nc(CC)[nH+]1 ZINC001162525963 1121272079 /nfs/dbraw/zinc/27/20/79/1121272079.db2.gz SCDSLJOQMJUYEA-OUCADQQQSA-N 1 2 261.413 3.838 20 0 CHADLO CN(C)c1ccc(Nc2ccc3ccc(O)cc3c2)c[nH+]1 ZINC001175343012 1121272288 /nfs/dbraw/zinc/27/22/88/1121272288.db2.gz CLAMUZPKZCPJJI-UHFFFAOYSA-N 1 2 279.343 3.750 20 0 CHADLO COc1cc(Cl)ccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175343463 1121272981 /nfs/dbraw/zinc/27/29/81/1121272981.db2.gz JKMJVSFHAMFRBS-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO Cc1nc(Cl)ccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175346618 1121273741 /nfs/dbraw/zinc/27/37/41/1121273741.db2.gz ZPMYYLOOCPJBIJ-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)c(O)cc2Cl)c[nH+]1 ZINC001175344098 1121274361 /nfs/dbraw/zinc/27/43/61/1121274361.db2.gz UDAQUPKLVMVSHG-UHFFFAOYSA-N 1 2 298.173 3.904 20 0 CHADLO CN(C)c1ccc(Nc2cccc3cc(O)ccc32)c[nH+]1 ZINC001175345812 1121274569 /nfs/dbraw/zinc/27/45/69/1121274569.db2.gz JJBFBSOQSCDEPQ-UHFFFAOYSA-N 1 2 279.343 3.750 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc(N(C)C)nc3)ccc12 ZINC001175345189 1121274639 /nfs/dbraw/zinc/27/46/39/1121274639.db2.gz PHAXUUSJOPNPPO-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO c1cn(-c2cccc(N[C@H]3CCCC34CCOCC4)c2)c[nH+]1 ZINC000282663688 1121278854 /nfs/dbraw/zinc/27/88/54/1121278854.db2.gz IRQGBOLHNYUGLF-KRWDZBQOSA-N 1 2 297.402 3.634 20 0 CHADLO c1cn(-c2cccc(N[C@@H]3CCCC34CCOCC4)c2)c[nH+]1 ZINC000282663689 1121279960 /nfs/dbraw/zinc/27/99/60/1121279960.db2.gz IRQGBOLHNYUGLF-QGZVFWFLSA-N 1 2 297.402 3.634 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@H](C)c1nnc(C)s1 ZINC000274241881 1121280769 /nfs/dbraw/zinc/28/07/69/1121280769.db2.gz QYAHSXFHOWPCAP-WDEREUQCSA-N 1 2 291.420 3.657 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)cnc2Cl)c[nH+]1 ZINC001175338970 1121290286 /nfs/dbraw/zinc/29/02/86/1121290286.db2.gz RBUFCSKBLQNXKC-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO CN(C)c1ccc(Nc2cnc3ccsc3c2)c[nH+]1 ZINC001175340646 1121290995 /nfs/dbraw/zinc/29/09/95/1121290995.db2.gz AWTWPMQTSZZYCA-UHFFFAOYSA-N 1 2 270.361 3.501 20 0 CHADLO Nc1cc(Cl)cc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175353190 1121293371 /nfs/dbraw/zinc/29/33/71/1121293371.db2.gz WZFFMQOYMCYQTA-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N3CCCC3)[nH+]c2)c(C)c1N ZINC001175351578 1121294126 /nfs/dbraw/zinc/29/41/26/1121294126.db2.gz JNRGTNIFKLKBLP-UHFFFAOYSA-N 1 2 296.418 3.933 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1ccc2sccc2c1 ZINC000675453181 1121300384 /nfs/dbraw/zinc/30/03/84/1121300384.db2.gz FVDPJTACPKKITP-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO CC(C)c1cc(N2C[C@@H](C)[C@H](C)C2)nc(C(C)C)[nH+]1 ZINC001162606200 1121305931 /nfs/dbraw/zinc/30/59/31/1121305931.db2.gz VEVCWYQEJDSEAA-CHWSQXEVSA-N 1 2 261.413 3.816 20 0 CHADLO CO[C@@H]1CCC[N@H+](Cc2csc(Cl)c2Cl)C1 ZINC000432739867 1121306230 /nfs/dbraw/zinc/30/62/30/1121306230.db2.gz BRVNDNCYDBLNJO-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO CO[C@@H]1CCC[N@@H+](Cc2csc(Cl)c2Cl)C1 ZINC000432739867 1121306238 /nfs/dbraw/zinc/30/62/38/1121306238.db2.gz BRVNDNCYDBLNJO-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(F)c(F)c2Cl)c1 ZINC001215399923 1121307555 /nfs/dbraw/zinc/30/75/55/1121307555.db2.gz UBKOHHYZQYVUPW-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO Clc1ccc2c(Nc3cnc4n[nH]cc4c3)cc[nH+]c2c1 ZINC001175403682 1121316240 /nfs/dbraw/zinc/31/62/40/1121316240.db2.gz QLEGJGUVSCCVFS-UHFFFAOYSA-N 1 2 295.733 3.903 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2c1cnn2C ZINC001175434545 1121323213 /nfs/dbraw/zinc/32/32/13/1121323213.db2.gz AOGZFTCCEOGSRF-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc3c2cnn3C)cc1 ZINC001175439283 1121326033 /nfs/dbraw/zinc/32/60/33/1121326033.db2.gz MRYRYFCKYAJZAJ-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc3c2cnn3C)cc1 ZINC001175439283 1121326040 /nfs/dbraw/zinc/32/60/40/1121326040.db2.gz MRYRYFCKYAJZAJ-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc2cnn(C)c21 ZINC001175440924 1121326646 /nfs/dbraw/zinc/32/66/46/1121326646.db2.gz YCJLTKUTYJZDIX-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO Cc1c2c[nH]nc2ccc1Nc1[nH+]cccc1N1CCCC1 ZINC001175465923 1121328932 /nfs/dbraw/zinc/32/89/32/1121328932.db2.gz OQLJSGXKFSSVRS-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc2n[nH]cc2c1C ZINC001175469167 1121333693 /nfs/dbraw/zinc/33/36/93/1121333693.db2.gz VELRAWRZKHTTMF-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1c2c[nH]nc2ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001175469350 1121334096 /nfs/dbraw/zinc/33/40/96/1121334096.db2.gz LLIFIEHAJNGGJX-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO c1cn(-c2cccc(Nc3ccc4nccnc4c3)c2)c[nH+]1 ZINC001175488115 1121341871 /nfs/dbraw/zinc/34/18/71/1121341871.db2.gz SKCFXNUGFLJSQW-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO Cc1cc(C)c(Nc2cc3c[nH]nc3c(C)c2)c[nH+]1 ZINC001175516465 1121357010 /nfs/dbraw/zinc/35/70/10/1121357010.db2.gz AYMZIRGDKRPHNP-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2CCOc3ccc(F)cc32)n1 ZINC000675809228 1121364252 /nfs/dbraw/zinc/36/42/52/1121364252.db2.gz DBXFBXCMQGHOQX-GWCFXTLKSA-N 1 2 292.379 3.765 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CCCC2(C)C)c(C)[nH+]1 ZINC000408404767 1121365183 /nfs/dbraw/zinc/36/51/83/1121365183.db2.gz AGPXSTDBWKFOIB-ZDUSSCGKSA-N 1 2 275.396 3.707 20 0 CHADLO COCc1ccc(C[N@@H+]2CCC[C@@H]2c2cc(C)on2)s1 ZINC001139824472 1121365486 /nfs/dbraw/zinc/36/54/86/1121365486.db2.gz XUSJQBGGQSMSNQ-OAHLLOKOSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1ccc(C[N@H+]2CCC[C@@H]2c2cc(C)on2)s1 ZINC001139824472 1121365494 /nfs/dbraw/zinc/36/54/94/1121365494.db2.gz XUSJQBGGQSMSNQ-OAHLLOKOSA-N 1 2 292.404 3.528 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1c2c[nH]nc2ccc1C ZINC001175525496 1121365885 /nfs/dbraw/zinc/36/58/85/1121365885.db2.gz KIVCILSTMKAYFQ-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1c2cn[nH]c2ccc1C ZINC001175525496 1121365893 /nfs/dbraw/zinc/36/58/93/1121365893.db2.gz KIVCILSTMKAYFQ-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC000408405684 1121372003 /nfs/dbraw/zinc/37/20/03/1121372003.db2.gz FEJNYKTZWGOIMO-YGRLFVJLSA-N 1 2 275.396 3.707 20 0 CHADLO CCc1ccc(NC2=CCC[N@H+](C)C2)c(Br)c1 ZINC001175582560 1121372705 /nfs/dbraw/zinc/37/27/05/1121372705.db2.gz GXTXTEOXAKELDK-UHFFFAOYSA-N 1 2 295.224 3.643 20 0 CHADLO CCc1ccc(NC2=CCC[N@@H+](C)C2)c(Br)c1 ZINC001175582560 1121372713 /nfs/dbraw/zinc/37/27/13/1121372713.db2.gz GXTXTEOXAKELDK-UHFFFAOYSA-N 1 2 295.224 3.643 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc3c[nH]nc32)cc1 ZINC001175639954 1121405134 /nfs/dbraw/zinc/40/51/34/1121405134.db2.gz IZCSBQOGPPEVKK-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc3c[nH]nc32)cc1 ZINC001175639954 1121405138 /nfs/dbraw/zinc/40/51/38/1121405138.db2.gz IZCSBQOGPPEVKK-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1C/C(Cl)=C\Cl ZINC000763560327 1128983600 /nfs/dbraw/zinc/98/36/00/1128983600.db2.gz MYQVUWLCAVYAOJ-JLATVTFTSA-N 1 2 256.176 3.752 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1C/C(Cl)=C\Cl ZINC000763560327 1128983603 /nfs/dbraw/zinc/98/36/03/1128983603.db2.gz MYQVUWLCAVYAOJ-JLATVTFTSA-N 1 2 256.176 3.752 20 0 CHADLO Cl/C=C(/Cl)C[NH2+][C@@H](Cn1cccn1)c1ccccc1 ZINC000763560276 1128983834 /nfs/dbraw/zinc/98/38/34/1128983834.db2.gz LIYAXSDSDDATEN-SSUFTNFISA-N 1 2 296.201 3.533 20 0 CHADLO Cc1n[nH]c2ccc(Nc3c[nH+]c(C)cc3C)cc12 ZINC001175696440 1121416497 /nfs/dbraw/zinc/41/64/97/1121416497.db2.gz XKMBJPBLAMGVJM-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1[nH]nc2ccc(Nc3c[nH+]c(C)cc3C)cc21 ZINC001175696440 1121416502 /nfs/dbraw/zinc/41/65/02/1121416502.db2.gz XKMBJPBLAMGVJM-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO C[C@H]1CC2(CCC2)CN1c1[nH+]ccc2c(N)cccc21 ZINC000663849175 1121425043 /nfs/dbraw/zinc/42/50/43/1121425043.db2.gz PRBRWEKMGFLPQU-LBPRGKRZSA-N 1 2 267.376 3.586 20 0 CHADLO Cc1nc(N2Cc3ccccc3C(F)(F)C2)c(C)c(C)[nH+]1 ZINC000676353424 1121428375 /nfs/dbraw/zinc/42/83/75/1121428375.db2.gz MXELBJVHYXCVFV-UHFFFAOYSA-N 1 2 289.329 3.514 20 0 CHADLO Cc1cc(C)cc(CCC(=O)Nc2ccc3[nH+]ccn3c2)c1 ZINC000676378227 1121429318 /nfs/dbraw/zinc/42/93/18/1121429318.db2.gz LXHAQZCMQZUZEJ-UHFFFAOYSA-N 1 2 293.370 3.522 20 0 CHADLO c1[nH]nc2c1CC[N@@H+](Cc1ccc(C3CCCC3)cc1)C2 ZINC000676373876 1121429386 /nfs/dbraw/zinc/42/93/86/1121429386.db2.gz UIFMJKJILRJEOO-UHFFFAOYSA-N 1 2 281.403 3.626 20 0 CHADLO c1[nH]nc2c1CC[N@H+](Cc1ccc(C3CCCC3)cc1)C2 ZINC000676373876 1121429389 /nfs/dbraw/zinc/42/93/89/1121429389.db2.gz UIFMJKJILRJEOO-UHFFFAOYSA-N 1 2 281.403 3.626 20 0 CHADLO COc1cccc(C)c1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175712897 1121435038 /nfs/dbraw/zinc/43/50/38/1121435038.db2.gz LWTIDUBPGYUPMN-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CC1(C)CCN(c2[nH+]ccc3c(N)cccc32)CCS1 ZINC000663853259 1121435639 /nfs/dbraw/zinc/43/56/39/1121435639.db2.gz CYJWOCDVADSKTF-UHFFFAOYSA-N 1 2 287.432 3.539 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+](C)[C@@H](C)c2ccc(F)cc2)n1 ZINC000893915250 1121438688 /nfs/dbraw/zinc/43/86/88/1121438688.db2.gz TXDWJYPOUVMWIH-LBPRGKRZSA-N 1 2 275.371 3.865 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2)n1 ZINC000893915250 1121438690 /nfs/dbraw/zinc/43/86/90/1121438690.db2.gz TXDWJYPOUVMWIH-LBPRGKRZSA-N 1 2 275.371 3.865 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1C1CC1)c1cc2ccccc2o1 ZINC000893911093 1121439033 /nfs/dbraw/zinc/43/90/33/1121439033.db2.gz OQQAOGXVILKDGG-GFCCVEGCSA-N 1 2 281.359 3.815 20 0 CHADLO Clc1cc(Br)ccc1C[N@@H+]1CC[C@@H]2C[C@@H]21 ZINC000707082484 1121449117 /nfs/dbraw/zinc/44/91/17/1121449117.db2.gz RUXLPOZEMKRGLO-PELKAZGASA-N 1 2 286.600 3.697 20 0 CHADLO Clc1cc(Br)ccc1C[N@H+]1CC[C@@H]2C[C@@H]21 ZINC000707082484 1121449122 /nfs/dbraw/zinc/44/91/22/1121449122.db2.gz RUXLPOZEMKRGLO-PELKAZGASA-N 1 2 286.600 3.697 20 0 CHADLO COc1cc(-n2c(C)[nH+]c3ccc(N)cc32)ccc1Cl ZINC001175771730 1121460565 /nfs/dbraw/zinc/46/05/65/1121460565.db2.gz PHWOKGQPEUXTQN-UHFFFAOYSA-N 1 2 287.750 3.578 20 0 CHADLO COc1cc(Nc2ccc(Cl)c(OC)c2)cc(C)[nH+]1 ZINC001175772425 1121461493 /nfs/dbraw/zinc/46/14/93/1121461493.db2.gz FSSUAKYBGYLLLQ-UHFFFAOYSA-N 1 2 278.739 3.804 20 0 CHADLO Cc1[nH]c(CNc2ccc(SC(F)F)cc2)[nH+]c1C ZINC000708973711 1121467353 /nfs/dbraw/zinc/46/73/53/1121467353.db2.gz KZDXWRRTXFDWAM-UHFFFAOYSA-N 1 2 283.347 3.953 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CCN1c1[nH+]ccc2c(N)cccc21 ZINC000663860114 1121476831 /nfs/dbraw/zinc/47/68/31/1121476831.db2.gz FHJYHDUCPMZOHQ-BXKDBHETSA-N 1 2 295.308 3.594 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(-c2cccnc2)cc1 ZINC001175888425 1121477379 /nfs/dbraw/zinc/47/73/79/1121477379.db2.gz LTEKWFDNHHBMLP-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cccc(Nc2ccn3cc[nH+]c3c2)c1Cl ZINC001175894345 1121478369 /nfs/dbraw/zinc/47/83/69/1121478369.db2.gz HVJQPSPVOZCZFW-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2cscn2)c(F)c1 ZINC000575430655 1121487390 /nfs/dbraw/zinc/48/73/90/1121487390.db2.gz FQTFHTVCUNBYKD-ZJUUUORDSA-N 1 2 280.368 3.703 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cccc(OC)c2C)cs1 ZINC000929344388 1121490895 /nfs/dbraw/zinc/49/08/95/1121490895.db2.gz RBZQQFJRQXHJMS-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cccc(OC)c2C)cs1 ZINC000929344388 1121490898 /nfs/dbraw/zinc/49/08/98/1121490898.db2.gz RBZQQFJRQXHJMS-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO c1cn2ccc(Nc3ccc4scnc4c3)cc2[nH+]1 ZINC001175911994 1121496641 /nfs/dbraw/zinc/49/66/41/1121496641.db2.gz BKVRTOBJGGRRHP-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO CCOc1cc(Nc2cc(C)c(N)c(C)c2)cc(C)[nH+]1 ZINC001175933627 1121501772 /nfs/dbraw/zinc/50/17/72/1121501772.db2.gz JKNTVNNMTCAIQT-UHFFFAOYSA-N 1 2 271.364 3.731 20 0 CHADLO c1nn(C2CC2)cc1Nc1cccc2cc[nH+]cc21 ZINC001175961121 1121503008 /nfs/dbraw/zinc/50/30/08/1121503008.db2.gz WSORNOAZIKBVDG-UHFFFAOYSA-N 1 2 250.305 3.510 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnn(C4CC4)c3)ccc12 ZINC001175962325 1121504215 /nfs/dbraw/zinc/50/42/15/1121504215.db2.gz MTOBDCXDASPKND-UHFFFAOYSA-N 1 2 264.332 3.818 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CCC[C@H](OC)C2)c1 ZINC000619427964 1128990813 /nfs/dbraw/zinc/99/08/13/1128990813.db2.gz NTDRXCBJXRJLCV-KGLIPLIRSA-N 1 2 280.437 3.704 20 0 CHADLO C[C@@H](Nc1cc2ccccc2c[nH+]1)[C@@H]1CCCCO1 ZINC000631196597 1128991361 /nfs/dbraw/zinc/99/13/61/1128991361.db2.gz SRSLNESOTFMSGQ-DOMZBBRYSA-N 1 2 256.349 3.604 20 0 CHADLO c1ccc2cc(NCC[C@H]3CCCCO3)[nH+]cc2c1 ZINC000631201347 1128991961 /nfs/dbraw/zinc/99/19/61/1128991961.db2.gz UIGSPUBOYDKFOF-OAHLLOKOSA-N 1 2 256.349 3.606 20 0 CHADLO Nc1ccccc1-c1cc2ccccc2n1-c1[nH]cc[nH+]1 ZINC001176085280 1121535190 /nfs/dbraw/zinc/53/51/90/1121535190.db2.gz IQTYJRVOQMJNER-UHFFFAOYSA-N 1 2 274.327 3.603 20 0 CHADLO CCC[N@H+](Cc1ccc(F)cc1)[C@H](C)c1nnc(CC)o1 ZINC000411197635 1121539198 /nfs/dbraw/zinc/53/91/98/1121539198.db2.gz DLAYAAAVSUQSAS-GFCCVEGCSA-N 1 2 291.370 3.744 20 0 CHADLO CCC[N@@H+](Cc1ccc(F)cc1)[C@H](C)c1nnc(CC)o1 ZINC000411197635 1121539203 /nfs/dbraw/zinc/53/92/03/1121539203.db2.gz DLAYAAAVSUQSAS-GFCCVEGCSA-N 1 2 291.370 3.744 20 0 CHADLO C[C@@H]1[N@H+](Cc2ccccc2C(F)(F)F)CCOC1(C)C ZINC000509356992 1121545362 /nfs/dbraw/zinc/54/53/62/1121545362.db2.gz JLZAYIJEGNPDPN-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO C[C@@H]1[N@@H+](Cc2ccccc2C(F)(F)F)CCOC1(C)C ZINC000509356992 1121545369 /nfs/dbraw/zinc/54/53/69/1121545369.db2.gz JLZAYIJEGNPDPN-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO COc1cc[nH+]cc1Nc1c(C)cnn1-c1ccccc1C ZINC001176118599 1121556220 /nfs/dbraw/zinc/55/62/20/1121556220.db2.gz JQPSPRWJVQYFGS-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)cccc1Br ZINC001176120553 1121556318 /nfs/dbraw/zinc/55/63/18/1121556318.db2.gz DQZOHNHMSVHZQG-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(SC)c(OC)c1 ZINC001176121087 1121556474 /nfs/dbraw/zinc/55/64/74/1121556474.db2.gz GSOABZOZCSWSSL-UHFFFAOYSA-N 1 2 276.361 3.564 20 0 CHADLO COc1cc[nH+]cc1Nc1nc(C(C)(C)C)cs1 ZINC001176118241 1121556649 /nfs/dbraw/zinc/55/66/49/1121556649.db2.gz DEALHPRSQZDFBN-UHFFFAOYSA-N 1 2 263.366 3.588 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC(F)(F)F)cc1 ZINC001176120782 1121556778 /nfs/dbraw/zinc/55/67/78/1121556778.db2.gz LNTGSCSTLXLNTC-UHFFFAOYSA-N 1 2 284.237 3.732 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(=O)C(F)(F)F)cc1 ZINC001176121588 1121558884 /nfs/dbraw/zinc/55/88/84/1121558884.db2.gz YXAWWEKTUNQCTM-UHFFFAOYSA-N 1 2 296.248 3.579 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1cc(F)ccc1F ZINC000625979738 1121565129 /nfs/dbraw/zinc/56/51/29/1121565129.db2.gz TVRFTJRSGJXSEE-SECBINFHSA-N 1 2 261.262 3.584 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1cc(F)ccc1F ZINC000625979738 1121565133 /nfs/dbraw/zinc/56/51/33/1121565133.db2.gz TVRFTJRSGJXSEE-SECBINFHSA-N 1 2 261.262 3.584 20 0 CHADLO COCOc1ccc(Nc2cc[nH+]c(SC)c2)cc1F ZINC001176188489 1121566927 /nfs/dbraw/zinc/56/69/27/1121566927.db2.gz IWSZCEZJYZLCJR-UHFFFAOYSA-N 1 2 294.351 3.669 20 0 CHADLO Clc1cccc(C[NH2+]Cc2nccn2C2CC2)c1Cl ZINC000711739008 1121570536 /nfs/dbraw/zinc/57/05/36/1121570536.db2.gz OYRWGNIYJWFHEW-UHFFFAOYSA-N 1 2 296.201 3.815 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1ccc(C(C)C)cc1)C2 ZINC001204169028 1121570881 /nfs/dbraw/zinc/57/08/81/1121570881.db2.gz GLFDVAFSNJCTEX-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1ccc(C(C)C)cc1)C2 ZINC001204169028 1121570888 /nfs/dbraw/zinc/57/08/88/1121570888.db2.gz GLFDVAFSNJCTEX-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-c3[nH]c(C)c(C)[nH+]3)cc2)o1 ZINC001176211684 1121575005 /nfs/dbraw/zinc/57/50/05/1121575005.db2.gz VVANUNWSYNIDEA-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO COc1cccc2c1C[N@@H+]([C@H](C)c1ccc(F)cc1)C2 ZINC000626021080 1121580874 /nfs/dbraw/zinc/58/08/74/1121580874.db2.gz NAPUMOQYRWQRRS-GFCCVEGCSA-N 1 2 271.335 3.911 20 0 CHADLO COc1cccc2c1C[N@H+]([C@H](C)c1ccc(F)cc1)C2 ZINC000626021080 1121580879 /nfs/dbraw/zinc/58/08/79/1121580879.db2.gz NAPUMOQYRWQRRS-GFCCVEGCSA-N 1 2 271.335 3.911 20 0 CHADLO CC(C)C[C@H](C(=O)Nc1ccccc1Cl)n1cc[nH+]c1 ZINC000635060508 1121581745 /nfs/dbraw/zinc/58/17/45/1121581745.db2.gz HBODDBFBLOSEHD-CQSZACIVSA-N 1 2 291.782 3.762 20 0 CHADLO CCOc1cc(F)c(F)cc1Nc1cccc2[nH+]ccn21 ZINC001211796901 1121596098 /nfs/dbraw/zinc/59/60/98/1121596098.db2.gz PPHGOIVLKJUFHW-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CCOc1cc(F)c(F)cc1Nc1c[nH+]ccc1OC ZINC001211798996 1121599625 /nfs/dbraw/zinc/59/96/25/1121599625.db2.gz RGZMRASGSURMGI-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO Oc1ccc(Nc2cccc3[nH+]ccn32)c(C(F)(F)F)c1 ZINC001176338128 1121600219 /nfs/dbraw/zinc/60/02/19/1121600219.db2.gz OEMFGVBTMPWTIC-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cc(-c3ccco3)on2)c(C)o1 ZINC000411519309 1121600366 /nfs/dbraw/zinc/60/03/66/1121600366.db2.gz LWKVTYVAQSMODC-LLVKDONJSA-N 1 2 286.331 3.995 20 0 CHADLO COc1cc(Cl)cc(Nc2cccn3cc[nH+]c23)c1 ZINC001176342402 1121601253 /nfs/dbraw/zinc/60/12/53/1121601253.db2.gz MZUUDJANCMOUFZ-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO CC(C)(C)n1cc(Nc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001212570421 1121604354 /nfs/dbraw/zinc/60/43/54/1121604354.db2.gz FXIVJPHKVZZGFZ-UHFFFAOYSA-N 1 2 281.363 3.567 20 0 CHADLO COc1cc(F)cc(C[NH2+][C@H](CF)c2ccc(F)cc2)c1 ZINC000631284894 1128996482 /nfs/dbraw/zinc/99/64/82/1128996482.db2.gz USENWKSBCSZAET-MRXNPFEDSA-N 1 2 295.304 3.774 20 0 CHADLO Cc1cc(C)c(Nc2c(F)cc(F)c(F)c2F)c[nH+]1 ZINC001176347611 1121604836 /nfs/dbraw/zinc/60/48/36/1121604836.db2.gz UWRAJDMUEOVLJM-UHFFFAOYSA-N 1 2 270.229 3.998 20 0 CHADLO Cc1nn(C(C)C)cc1C[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000631284770 1128996491 /nfs/dbraw/zinc/99/64/91/1128996491.db2.gz UETYGJPHKUYIPB-MRXNPFEDSA-N 1 2 293.361 3.712 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC(C)C)cc1C ZINC001211810434 1121605903 /nfs/dbraw/zinc/60/59/03/1121605903.db2.gz UAKLBDSHQRBTHN-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO COc1cccc(C[NH2+][C@@H](CF)c2ccc(F)cc2)c1 ZINC000631280236 1128996705 /nfs/dbraw/zinc/99/67/05/1128996705.db2.gz HVMDDRMORPAFFT-INIZCTEOSA-N 1 2 277.314 3.635 20 0 CHADLO CCN(CC)c1ccc(Nc2cnc3ccccc3n2)c[nH+]1 ZINC000614307345 1121609344 /nfs/dbraw/zinc/60/93/44/1121609344.db2.gz QESRHHKVPXBBFL-UHFFFAOYSA-N 1 2 293.374 3.615 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccoc1 ZINC000094868927 1128997537 /nfs/dbraw/zinc/99/75/37/1128997537.db2.gz DBTKWODUPJCHSY-NXEZZACHSA-N 1 2 251.276 3.970 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)[nH]1 ZINC000411812843 1121626822 /nfs/dbraw/zinc/62/68/22/1121626822.db2.gz AFLGKPGKSOHUOC-XJKSGUPXSA-N 1 2 296.418 3.791 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)[nH]1 ZINC000411812843 1121626826 /nfs/dbraw/zinc/62/68/26/1121626826.db2.gz AFLGKPGKSOHUOC-XJKSGUPXSA-N 1 2 296.418 3.791 20 0 CHADLO C[C@H](O)[C@H](C)n1c2ccccc2[nH+]c1NCc1ccccc1 ZINC000268048554 1121631849 /nfs/dbraw/zinc/63/18/49/1121631849.db2.gz NZIVXTVZOZEDQY-KBPBESRZSA-N 1 2 295.386 3.590 20 0 CHADLO COC(=O)c1ccccc1C[N@H+](Cc1ccc(C)o1)C1CC1 ZINC000611254258 1121632345 /nfs/dbraw/zinc/63/23/45/1121632345.db2.gz GQEFYOHDMAFQHY-UHFFFAOYSA-N 1 2 299.370 3.539 20 0 CHADLO COC(=O)c1ccccc1C[N@@H+](Cc1ccc(C)o1)C1CC1 ZINC000611254258 1121632350 /nfs/dbraw/zinc/63/23/50/1121632350.db2.gz GQEFYOHDMAFQHY-UHFFFAOYSA-N 1 2 299.370 3.539 20 0 CHADLO C[C@H]([C@H](C)O)n1c2ccccc2[nH+]c1NCc1ccccc1 ZINC000268048556 1121632757 /nfs/dbraw/zinc/63/27/57/1121632757.db2.gz NZIVXTVZOZEDQY-KGLIPLIRSA-N 1 2 295.386 3.590 20 0 CHADLO CC(C)(C)Oc1ccc(Nc2[nH+]cccc2CCO)cc1 ZINC001176638808 1121666465 /nfs/dbraw/zinc/66/64/65/1121666465.db2.gz XZJHJENECLXHNA-UHFFFAOYSA-N 1 2 286.375 3.537 20 0 CHADLO F[C@@H]1CCCN(c2cccc(C3CC3)[nH+]2)CC1(F)F ZINC001164062142 1121667175 /nfs/dbraw/zinc/66/71/75/1121667175.db2.gz JGXKYEFAPJOHMC-GFCCVEGCSA-N 1 2 270.298 3.533 20 0 CHADLO CC1CCC([N@H+](Cc2noc(C(C)(C)C)n2)C2CC2)CC1 ZINC000052104137 1121673853 /nfs/dbraw/zinc/67/38/53/1121673853.db2.gz KTOCTNWLCABSIO-UHFFFAOYSA-N 1 2 291.439 3.910 20 0 CHADLO CC1CCC([N@@H+](Cc2noc(C(C)(C)C)n2)C2CC2)CC1 ZINC000052104137 1121673856 /nfs/dbraw/zinc/67/38/56/1121673856.db2.gz KTOCTNWLCABSIO-UHFFFAOYSA-N 1 2 291.439 3.910 20 0 CHADLO C[N@H+](Cc1scnc1C1CC1)Cc1ccc(F)cc1F ZINC000495056052 1121674557 /nfs/dbraw/zinc/67/45/57/1121674557.db2.gz BAHMGLBAQPSBJE-UHFFFAOYSA-N 1 2 294.370 3.931 20 0 CHADLO C[N@@H+](Cc1scnc1C1CC1)Cc1ccc(F)cc1F ZINC000495056052 1121674561 /nfs/dbraw/zinc/67/45/61/1121674561.db2.gz BAHMGLBAQPSBJE-UHFFFAOYSA-N 1 2 294.370 3.931 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@H+]1Cc1ncc(Cl)n1C ZINC000052212582 1121678880 /nfs/dbraw/zinc/67/88/80/1121678880.db2.gz AFIBPXUQPSGECF-AWEZNQCLSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@@H+]1Cc1ncc(Cl)n1C ZINC000052212582 1121678883 /nfs/dbraw/zinc/67/88/83/1121678883.db2.gz AFIBPXUQPSGECF-AWEZNQCLSA-N 1 2 289.810 3.719 20 0 CHADLO CC[C@H](C)C(=O)Nc1cccc(CNc2cccc[nH+]2)c1 ZINC000052357873 1121684858 /nfs/dbraw/zinc/68/48/58/1121684858.db2.gz DCPAJNYUZLRDMP-ZDUSSCGKSA-N 1 2 283.375 3.678 20 0 CHADLO c1nc(C2CC2)sc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176749857 1121686317 /nfs/dbraw/zinc/68/63/17/1121686317.db2.gz MGKKEPJJFDIYKQ-UHFFFAOYSA-N 1 2 286.404 3.759 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2cnc3c(c2)CCCC3)c1 ZINC001176749306 1121686750 /nfs/dbraw/zinc/68/67/50/1121686750.db2.gz CJRABYBWDFNJGP-UHFFFAOYSA-N 1 2 294.402 3.699 20 0 CHADLO c1cnc(Nc2ccc[nH+]c2N2CCCC2)c(C2CC2)c1 ZINC001176749021 1121686994 /nfs/dbraw/zinc/68/69/94/1121686994.db2.gz OTBVSLDIZYCCEB-UHFFFAOYSA-N 1 2 280.375 3.698 20 0 CHADLO CCc1nc(C[NH+]2CCC(c3cc(C)cc(C)c3)CC2)no1 ZINC001176805022 1121694602 /nfs/dbraw/zinc/69/46/02/1121694602.db2.gz VMYXJPIFLHKOKR-UHFFFAOYSA-N 1 2 299.418 3.628 20 0 CHADLO CCCCCC[N@@H+](Cc1cc(C)n(C)n1)Cc1ccco1 ZINC001176823651 1121696082 /nfs/dbraw/zinc/69/60/82/1121696082.db2.gz MTIRGIGQPDJMJI-UHFFFAOYSA-N 1 2 289.423 3.904 20 0 CHADLO CCCCCC[N@H+](Cc1cc(C)n(C)n1)Cc1ccco1 ZINC001176823651 1121696083 /nfs/dbraw/zinc/69/60/83/1121696083.db2.gz MTIRGIGQPDJMJI-UHFFFAOYSA-N 1 2 289.423 3.904 20 0 CHADLO C[C@@H]1CCC(F)(F)C[N@@H+]1CC[C@H]1CCCC1(F)F ZINC001176896241 1121702545 /nfs/dbraw/zinc/70/25/45/1121702545.db2.gz FMXSDSPCSAAAFT-GHMZBOCLSA-N 1 2 267.310 3.932 20 0 CHADLO C[C@@H]1CCC(F)(F)C[N@H+]1CC[C@H]1CCCC1(F)F ZINC001176896241 1121702550 /nfs/dbraw/zinc/70/25/50/1121702550.db2.gz FMXSDSPCSAAAFT-GHMZBOCLSA-N 1 2 267.310 3.932 20 0 CHADLO Nc1ccc(F)c(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001211822196 1121711162 /nfs/dbraw/zinc/71/11/62/1121711162.db2.gz YPNZISWZWJKUQZ-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO C[C@H]([NH2+]Cc1[nH]nc2ccccc21)c1c(F)cccc1F ZINC000727467042 1121721039 /nfs/dbraw/zinc/72/10/39/1121721039.db2.gz PRXJIRITPJXTJQ-JTQLQIEISA-N 1 2 287.313 3.692 20 0 CHADLO Cc1cc(CNc2cccc[nH+]2)c(Br)cc1F ZINC001177370403 1121725371 /nfs/dbraw/zinc/72/53/71/1121725371.db2.gz DVRYOKFEHFOXGG-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO CCOc1ccccc1C[NH2+]Cc1nc2ccccc2o1 ZINC001177441397 1121731470 /nfs/dbraw/zinc/73/14/70/1121731470.db2.gz AHFGXWIYHPXSQF-UHFFFAOYSA-N 1 2 282.343 3.516 20 0 CHADLO C[N@H+]1Cc2ccccc2[C@@H](NCc2ccccc2Cl)C1 ZINC000533818476 1121745187 /nfs/dbraw/zinc/74/51/87/1121745187.db2.gz FZWNDYFWSJEONG-KRWDZBQOSA-N 1 2 286.806 3.616 20 0 CHADLO C[N@@H+]1Cc2ccccc2[C@@H](NCc2ccccc2Cl)C1 ZINC000533818476 1121745190 /nfs/dbraw/zinc/74/51/90/1121745190.db2.gz FZWNDYFWSJEONG-KRWDZBQOSA-N 1 2 286.806 3.616 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@H+](Cc2noc(C3CC3)n2)C1 ZINC000285622102 1121764277 /nfs/dbraw/zinc/76/42/77/1121764277.db2.gz KJZQKNQPXZXSTA-WFASDCNBSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2noc(C3CC3)n2)C1 ZINC000285622102 1121764283 /nfs/dbraw/zinc/76/42/83/1121764283.db2.gz KJZQKNQPXZXSTA-WFASDCNBSA-N 1 2 283.375 3.530 20 0 CHADLO CCCn1c[nH+]cc1CNc1cc(F)ccc1OC(F)F ZINC001178078187 1121766921 /nfs/dbraw/zinc/76/69/21/1121766921.db2.gz YMFLUFCGVIEGCL-UHFFFAOYSA-N 1 2 299.296 3.646 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cnn3ccccc23)c1 ZINC001178192134 1121770633 /nfs/dbraw/zinc/77/06/33/1121770633.db2.gz VGBIUMDBLUVXHE-UHFFFAOYSA-N 1 2 298.415 3.595 20 0 CHADLO O=C(CC1CCCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000052909445 1121778061 /nfs/dbraw/zinc/77/80/61/1121778061.db2.gz YZFYRPXXFMUSDU-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO Cc1ccc(NCc2nc3c(s2)C[C@H](C)CC3)c(C)[nH+]1 ZINC001178259518 1121778425 /nfs/dbraw/zinc/77/84/25/1121778425.db2.gz QSEFDNKYDNBNNS-SNVBAGLBSA-N 1 2 287.432 3.892 20 0 CHADLO COc1cc[nH+]cc1NCc1ccc2c(c1)CCCC2 ZINC001178259611 1121778508 /nfs/dbraw/zinc/77/85/08/1121778508.db2.gz WUKPJHAYPQGVIY-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO FC(F)(F)C(F)(F)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000168796706 1121780216 /nfs/dbraw/zinc/78/02/16/1121780216.db2.gz MDCDNXQZOXXUSJ-UHFFFAOYSA-N 1 2 278.180 3.611 20 0 CHADLO CCCn1c[nH+]cc1CNc1cccc(-c2ncco2)c1 ZINC001178382575 1121782431 /nfs/dbraw/zinc/78/24/31/1121782431.db2.gz JMTRAQGNVHQDIU-UHFFFAOYSA-N 1 2 282.347 3.560 20 0 CHADLO NC(Cc1ccc(Cl)cc1)=[NH+]OCc1cccc(F)c1 ZINC000729985209 1121789756 /nfs/dbraw/zinc/78/97/56/1121789756.db2.gz AELXZTSICMQUFB-UHFFFAOYSA-N 1 2 292.741 3.720 20 0 CHADLO C[C@]1(CCc2ccccc2)CCN(c2cccc[nH+]2)C1 ZINC000433643326 1121799135 /nfs/dbraw/zinc/79/91/35/1121799135.db2.gz DOFNARFJEDRUSG-SFHVURJKSA-N 1 2 266.388 3.931 20 0 CHADLO CCS[C@H]1CCC[C@H](Nc2[nH+]c(C)nc3[nH]ccc32)C1 ZINC000433784628 1121808333 /nfs/dbraw/zinc/80/83/33/1121808333.db2.gz XBGPVCWSUNRRPS-RYUDHWBXSA-N 1 2 290.436 3.743 20 0 CHADLO C[C@H]1C[C@@H](Nc2cc[nH+]c3c(Cl)cccc23)c2ncnn21 ZINC000664185671 1121816981 /nfs/dbraw/zinc/81/69/81/1121816981.db2.gz KHXFSOCCCZDDOV-TVQRCGJNSA-N 1 2 299.765 3.598 20 0 CHADLO CCC[C@@H]([NH2+]Cc1ncccc1F)c1cc(C)ccn1 ZINC000631598532 1129012016 /nfs/dbraw/zinc/01/20/16/1129012016.db2.gz CYYLXDWSNJFXPM-CQSZACIVSA-N 1 2 273.355 3.555 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1c(F)cccc1Cl ZINC000731029422 1121842139 /nfs/dbraw/zinc/84/21/39/1121842139.db2.gz SUPNTSOZZIKQOT-UHFFFAOYSA-N 1 2 298.770 3.782 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H](C)Cc3ccccc3)cc2[nH+]1 ZINC000345127912 1121848682 /nfs/dbraw/zinc/84/86/82/1121848682.db2.gz UTHNHJVVALSLAQ-GFCCVEGCSA-N 1 2 293.370 3.689 20 0 CHADLO Clc1ccc2sc(/C=C\c3[nH]cc[nH+]3)nc2c1 ZINC000731156828 1121850462 /nfs/dbraw/zinc/85/04/62/1121850462.db2.gz NLEBSMZZCYKNFC-ARJAWSKDSA-N 1 2 261.737 3.843 20 0 CHADLO CCn1cnc(CNc2ccc(Nc3ccccc3)c[nH+]2)c1 ZINC001179205535 1121857516 /nfs/dbraw/zinc/85/75/16/1121857516.db2.gz PESXKQNZHPORIE-UHFFFAOYSA-N 1 2 293.374 3.654 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccoc1 ZINC000182954326 1129014757 /nfs/dbraw/zinc/01/47/57/1129014757.db2.gz VSFKFDMHJLWGPW-LBPRGKRZSA-N 1 2 256.349 3.835 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccoc1 ZINC000182954326 1129014761 /nfs/dbraw/zinc/01/47/61/1129014761.db2.gz VSFKFDMHJLWGPW-LBPRGKRZSA-N 1 2 256.349 3.835 20 0 CHADLO Cc1cc(NC[C@H](O)c2cccc(F)c2)[nH+]c2ccccc12 ZINC000068998919 1121868281 /nfs/dbraw/zinc/86/82/81/1121868281.db2.gz AUCRZBBZQIIIKS-KRWDZBQOSA-N 1 2 296.345 3.828 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1nccn1CC)c1cc(C)ccn1 ZINC000631632928 1129015508 /nfs/dbraw/zinc/01/55/08/1129015508.db2.gz PFNBEBGCKMTBKG-GJZGRUSLSA-N 1 2 286.423 3.798 20 0 CHADLO Clc1cccc(-c2nc(C[NH+]3CCCC3)no2)c1Cl ZINC000731793965 1121883526 /nfs/dbraw/zinc/88/35/26/1121883526.db2.gz KNVVTQXNACMBMW-UHFFFAOYSA-N 1 2 298.173 3.639 20 0 CHADLO CC[C@H](C)C[N@H+](C)Cn1nc(-c2cccs2)oc1=S ZINC000732183251 1121910478 /nfs/dbraw/zinc/91/04/78/1121910478.db2.gz NNBJRBSMEWKSMR-JTQLQIEISA-N 1 2 297.449 3.869 20 0 CHADLO CC[C@H](C)C[N@@H+](C)Cn1nc(-c2cccs2)oc1=S ZINC000732183251 1121910486 /nfs/dbraw/zinc/91/04/86/1121910486.db2.gz NNBJRBSMEWKSMR-JTQLQIEISA-N 1 2 297.449 3.869 20 0 CHADLO Cn1c2ccc(Cl)cc2[nH+]c1NC[C@@H]1CC=CCC1 ZINC001179809537 1121912001 /nfs/dbraw/zinc/91/20/01/1121912001.db2.gz BNCQQFRHIUVNFT-LLVKDONJSA-N 1 2 275.783 3.995 20 0 CHADLO C[C@H]1COC[C@@H](c2ccccc2)[N@@H+]1Cc1cccc(F)c1 ZINC000501072066 1121951260 /nfs/dbraw/zinc/95/12/60/1121951260.db2.gz YOLAXAPICNEZPJ-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1COC[C@@H](c2ccccc2)[N@H+]1Cc1cccc(F)c1 ZINC000501072066 1121951264 /nfs/dbraw/zinc/95/12/64/1121951264.db2.gz YOLAXAPICNEZPJ-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO CC[C@H](CC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)C(C)(C)C ZINC000631674753 1129020614 /nfs/dbraw/zinc/02/06/14/1129020614.db2.gz NYNJQRMSMJDZID-CQSZACIVSA-N 1 2 291.439 3.578 20 0 CHADLO CC[C@H](CC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)C(C)(C)C ZINC000631674753 1129020617 /nfs/dbraw/zinc/02/06/17/1129020617.db2.gz NYNJQRMSMJDZID-CQSZACIVSA-N 1 2 291.439 3.578 20 0 CHADLO CC[C@@H](CC(=O)N1CCC(c2c[nH]c[nH+]2)CC1)C(C)(C)C ZINC000631674752 1129020670 /nfs/dbraw/zinc/02/06/70/1129020670.db2.gz NYNJQRMSMJDZID-AWEZNQCLSA-N 1 2 291.439 3.578 20 0 CHADLO CC[C@@H](CC(=O)N1CCC(c2c[nH+]c[nH]2)CC1)C(C)(C)C ZINC000631674752 1129020675 /nfs/dbraw/zinc/02/06/75/1129020675.db2.gz NYNJQRMSMJDZID-AWEZNQCLSA-N 1 2 291.439 3.578 20 0 CHADLO Fc1ccccc1C[NH2+]Cc1nc(-c2ccncc2)cs1 ZINC000733331233 1121977315 /nfs/dbraw/zinc/97/73/15/1121977315.db2.gz HOTSFLPEPNICLA-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO CCCOc1ccc(C[NH+]2CC(OCC(C)C)C2)c(C)c1 ZINC001180344027 1121979100 /nfs/dbraw/zinc/97/91/00/1121979100.db2.gz DSVZQFGTDPRWKD-UHFFFAOYSA-N 1 2 291.435 3.641 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nc(C)cs1)c1cccc(OC)n1 ZINC000924925444 1122023069 /nfs/dbraw/zinc/02/30/69/1122023069.db2.gz LPVISLSRVVAKSM-NEPJUHHUSA-N 1 2 291.420 3.657 20 0 CHADLO Cc1cc(CNc2nccc(C)c2Cl)cc(C)[nH+]1 ZINC000420649190 1122028533 /nfs/dbraw/zinc/02/85/33/1122028533.db2.gz FLAXTMZXOHECGW-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO Cc1ccc(Nc2cc(Br)cnc2F)c(C)[nH+]1 ZINC001203366476 1122041233 /nfs/dbraw/zinc/04/12/33/1122041233.db2.gz BAQQMJKOYPHSQV-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nn(C)cc2Cl)cc1 ZINC000420909800 1122042504 /nfs/dbraw/zinc/04/25/04/1122042504.db2.gz YRKAWTVREPZICN-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nn(C)cc2Cl)cc1 ZINC000420909800 1122042508 /nfs/dbraw/zinc/04/25/08/1122042508.db2.gz YRKAWTVREPZICN-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@@H](CC(F)(F)F)C2)cs1 ZINC000420941349 1122046115 /nfs/dbraw/zinc/04/61/15/1122046115.db2.gz FMGYUNUZWFMUSU-JTQLQIEISA-N 1 2 292.370 3.870 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@@H](CC(F)(F)F)C2)cs1 ZINC000420941349 1122046119 /nfs/dbraw/zinc/04/61/19/1122046119.db2.gz FMGYUNUZWFMUSU-JTQLQIEISA-N 1 2 292.370 3.870 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H](C)c2c(C)cccc2C)nn1C ZINC000421348616 1122097784 /nfs/dbraw/zinc/09/77/84/1122097784.db2.gz WNARVMZRIQICOJ-LBPRGKRZSA-N 1 2 291.826 3.850 20 0 CHADLO Cc1ccc(Nc2cncc(-c3ccccn3)c2)c(C)[nH+]1 ZINC001203369735 1122114725 /nfs/dbraw/zinc/11/47/25/1122114725.db2.gz SBYZSJSQBTUTEH-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1ccc(Nc2nc(C)c(C)nc2Cl)c(C)[nH+]1 ZINC001203370848 1122123199 /nfs/dbraw/zinc/12/31/99/1122123199.db2.gz XDDKPFUAVRSZOU-UHFFFAOYSA-N 1 2 262.744 3.502 20 0 CHADLO Cc1cc(C)c(C(=O)NCc2cc(C)[nH+]c(C)c2)c(C)c1 ZINC000421561385 1122134134 /nfs/dbraw/zinc/13/41/34/1122134134.db2.gz SIJPEBCQNZDJOF-UHFFFAOYSA-N 1 2 282.387 3.554 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1nnc(C(C)C)o1)C1CC1 ZINC000272074875 1122189059 /nfs/dbraw/zinc/18/90/59/1122189059.db2.gz VHQDWDJSTRENOU-INIZCTEOSA-N 1 2 285.391 3.742 20 0 CHADLO CCC1(CC)[C@H](Nc2c[nH+]cc3c2CCCC3)C[C@@H]1OC ZINC001182845099 1122190045 /nfs/dbraw/zinc/19/00/45/1122190045.db2.gz RLNYPLVEBQEQFF-SJORKVTESA-N 1 2 288.435 3.966 20 0 CHADLO CSC(C)(C)CCNc1c[nH+]cc2c1CCCC2 ZINC001182844692 1122190161 /nfs/dbraw/zinc/19/01/61/1122190161.db2.gz OLVWWSFUFLQNBO-UHFFFAOYSA-N 1 2 264.438 3.904 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](c2ccc(F)c(C)c2)C2CC2)o1 ZINC000272114723 1122191578 /nfs/dbraw/zinc/19/15/78/1122191578.db2.gz RNUVJSJPQUPCRT-ZUZCIYMTSA-N 1 2 289.354 3.627 20 0 CHADLO CCCc1ccc(C[N@H+](C)Cc2ncc(Cl)n2C)cc1 ZINC000183258691 1129037837 /nfs/dbraw/zinc/03/78/37/1129037837.db2.gz HAFUOXOPIGYKIQ-UHFFFAOYSA-N 1 2 291.826 3.658 20 0 CHADLO CCCc1ccc(C[N@@H+](C)Cc2ncc(Cl)n2C)cc1 ZINC000183258691 1129037839 /nfs/dbraw/zinc/03/78/39/1129037839.db2.gz HAFUOXOPIGYKIQ-UHFFFAOYSA-N 1 2 291.826 3.658 20 0 CHADLO CO[C@H](C)[C@H](C)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000272331899 1122198945 /nfs/dbraw/zinc/19/89/45/1122198945.db2.gz CTPKQPFQTHRIKY-UONOGXRCSA-N 1 2 299.418 3.722 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nnc(C(C)C)[nH]1)c1ccccc1F ZINC000272610218 1122207193 /nfs/dbraw/zinc/20/71/93/1122207193.db2.gz KFNPZBBASMGBJD-BXUZGUMPSA-N 1 2 290.386 3.869 20 0 CHADLO Cc1nc(CNc2[nH]c3ccc(Cl)cc3[nH+]2)sc1C ZINC001203572368 1122232321 /nfs/dbraw/zinc/23/23/21/1122232321.db2.gz NNQBGRNMAIFQMQ-UHFFFAOYSA-N 1 2 292.795 3.902 20 0 CHADLO Cc1nc(CNc2[nH]c3cc(Cl)ccc3[nH+]2)sc1C ZINC001203572368 1122232324 /nfs/dbraw/zinc/23/23/24/1122232324.db2.gz NNQBGRNMAIFQMQ-UHFFFAOYSA-N 1 2 292.795 3.902 20 0 CHADLO COc1ccc(F)c(C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)c1 ZINC001184143900 1122256223 /nfs/dbraw/zinc/25/62/23/1122256223.db2.gz XMEZPPKOWDJZIA-QGZVFWFLSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(F)c(C[N@H+]2CC[C@@H]2c2ccc(F)cc2)c1 ZINC001184143900 1122256226 /nfs/dbraw/zinc/25/62/26/1122256226.db2.gz XMEZPPKOWDJZIA-QGZVFWFLSA-N 1 2 289.325 3.920 20 0 CHADLO CC[C@@H](C[NH2+]C(C)(C)C(=O)OC(C)C)c1ccccc1 ZINC001184229703 1122264001 /nfs/dbraw/zinc/26/40/01/1122264001.db2.gz WFCLJMTYJRJDHD-AWEZNQCLSA-N 1 2 277.408 3.500 20 0 CHADLO CSc1ccccc1C[C@H](C)[NH2+]C1(C(F)F)CC1 ZINC000503869347 1122277653 /nfs/dbraw/zinc/27/76/53/1122277653.db2.gz DSEFPSYVNHINGP-JTQLQIEISA-N 1 2 271.376 3.727 20 0 CHADLO C[C@@H](C(=O)Nc1cccc2[nH+]ccn21)c1ccccc1Cl ZINC001184472404 1122278144 /nfs/dbraw/zinc/27/81/44/1122278144.db2.gz RVEXJCOUAUYTEV-LLVKDONJSA-N 1 2 299.761 3.730 20 0 CHADLO Oc1cc(Cl)ccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001184968960 1122304156 /nfs/dbraw/zinc/30/41/56/1122304156.db2.gz RIRWEXVBVHPGAZ-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO Cc1cc(N)cc2c1ccn2-c1ccc[nH+]c1N1CCCC1 ZINC001184968472 1122304444 /nfs/dbraw/zinc/30/44/44/1122304444.db2.gz NAAUUOBKJZNTRE-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO CCc1cc(N(CC)Cc2ccccc2F)nc(CC)[nH+]1 ZINC001185089417 1122314549 /nfs/dbraw/zinc/31/45/49/1122314549.db2.gz XISIHVKDFHDOCO-UHFFFAOYSA-N 1 2 287.382 3.767 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2C[C@@]2(F)c2ccccc2)c(C)[nH+]1 ZINC000414800212 1122316770 /nfs/dbraw/zinc/31/67/70/1122316770.db2.gz YXKIAHCLXJZEBE-MAUKXSAKSA-N 1 2 298.361 3.830 20 0 CHADLO CCN(Cc1ccoc1)c1nc2ccccc2n2c[nH+]cc12 ZINC001185202993 1122325848 /nfs/dbraw/zinc/32/58/48/1122325848.db2.gz BGDBRHWUZJGLLG-UHFFFAOYSA-N 1 2 292.342 3.502 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1nccc2sccc21 ZINC000424709680 1122333501 /nfs/dbraw/zinc/33/35/01/1122333501.db2.gz AEYJSTGAUPUIGI-UHFFFAOYSA-N 1 2 272.377 3.686 20 0 CHADLO FC(F)(F)c1ccc2c(Nc3cnoc3)cc[nH+]c2c1 ZINC001203231967 1122336816 /nfs/dbraw/zinc/33/68/16/1122336816.db2.gz OVZPDMDALAIZOX-UHFFFAOYSA-N 1 2 279.221 3.985 20 0 CHADLO Cc1nc(NC[C@@H](C)Oc2ccccc2)c2c([nH+]1)CCCC2 ZINC001185402881 1122337386 /nfs/dbraw/zinc/33/73/86/1122337386.db2.gz DGTZNLYWSUTBAJ-CYBMUJFWSA-N 1 2 297.402 3.543 20 0 CHADLO COc1cccc2c(NC[C@]3(C)CC3(F)F)cc[nH+]c12 ZINC000664401145 1122338690 /nfs/dbraw/zinc/33/86/90/1122338690.db2.gz ZNQRAFXLYJMXKR-AWEZNQCLSA-N 1 2 278.302 3.701 20 0 CHADLO CC[C@H](C)CCC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000505228608 1122349432 /nfs/dbraw/zinc/34/94/32/1122349432.db2.gz BBCRCUKNYKMREJ-JTQLQIEISA-N 1 2 259.353 3.636 20 0 CHADLO Cc1ccc(C[N@@H+]2CCOCC23CCC3)c(C)c1Cl ZINC001250203663 1122354193 /nfs/dbraw/zinc/35/41/93/1122354193.db2.gz KNRWGTIHZQJVEY-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO Cc1ccc(C[N@H+]2CCOCC23CCC3)c(C)c1Cl ZINC001250203663 1122354196 /nfs/dbraw/zinc/35/41/96/1122354196.db2.gz KNRWGTIHZQJVEY-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@@H+](C)Cc2ncnn2C(C)C)c1 ZINC000434538976 1122375829 /nfs/dbraw/zinc/37/58/29/1122375829.db2.gz CWVVKZDXUJMOIY-HNNXBMFYSA-N 1 2 286.423 3.669 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@H+](C)Cc2ncnn2C(C)C)c1 ZINC000434538976 1122375836 /nfs/dbraw/zinc/37/58/36/1122375836.db2.gz CWVVKZDXUJMOIY-HNNXBMFYSA-N 1 2 286.423 3.669 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnn(-c2ccc(F)cc2)c1 ZINC000430119283 1122405675 /nfs/dbraw/zinc/40/56/75/1122405675.db2.gz IMRJZSLZOUEGQV-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnn(-c2ccc(F)cc2)c1 ZINC000430119283 1122405677 /nfs/dbraw/zinc/40/56/77/1122405677.db2.gz IMRJZSLZOUEGQV-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO C[C@@H]1CC(C)(C)CN1c1nc2ccccc2n2c[nH+]cc12 ZINC001186720693 1122406658 /nfs/dbraw/zinc/40/66/58/1122406658.db2.gz MYSAOZQXLXSXEB-GFCCVEGCSA-N 1 2 280.375 3.507 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CC(C)(C)CCc3ccccc32)no1 ZINC000281694607 1122414103 /nfs/dbraw/zinc/41/41/03/1122414103.db2.gz NHDLHAFDAUVRAG-OAHLLOKOSA-N 1 2 299.418 3.825 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(C)c(Br)c2)c1 ZINC001203654679 1122420026 /nfs/dbraw/zinc/42/00/26/1122420026.db2.gz PIQNYGBOBRZMPX-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1cc(F)ccc1Cl)C2 ZINC001138671516 1129053396 /nfs/dbraw/zinc/05/33/96/1129053396.db2.gz CPVIPCKOYVYQFR-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1cc(F)ccc1Cl)C2 ZINC001138671516 1129053399 /nfs/dbraw/zinc/05/33/99/1129053399.db2.gz CPVIPCKOYVYQFR-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO COC(=O)c1sc(C)cc1Nc1cc(C)c[nH+]c1C ZINC001203655241 1122422838 /nfs/dbraw/zinc/42/28/38/1122422838.db2.gz ZEOWQRIHMMYHCL-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO COC(=O)c1c(F)cc(F)cc1Nc1cc(C)c[nH+]c1C ZINC001203663189 1122428176 /nfs/dbraw/zinc/42/81/76/1122428176.db2.gz BXCMNWDYYGPCIP-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO C[C@H]1CN(c2nc3ccccc3n3c[nH+]cc23)C(C)(C)C1 ZINC001187463308 1122429479 /nfs/dbraw/zinc/42/94/79/1122429479.db2.gz UTTHGCGRKFVBLI-GFCCVEGCSA-N 1 2 280.375 3.507 20 0 CHADLO Cc1cc(N2CC[C@](C)(C(F)(F)F)C2)nc(C2CCC2)[nH+]1 ZINC001187525511 1122429888 /nfs/dbraw/zinc/42/98/88/1122429888.db2.gz PWBUIPFLEFXQPH-AWEZNQCLSA-N 1 2 299.340 3.831 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(Cl)cccc2CO)c1 ZINC001203663892 1122431238 /nfs/dbraw/zinc/43/12/38/1122431238.db2.gz YDHHUHBKXLOXIN-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO CC(C)(C)O[C@@H]1C[C@H](Nc2cccc[nH+]2)C12CCC2 ZINC000664467545 1122432423 /nfs/dbraw/zinc/43/24/23/1122432423.db2.gz DFZVBEPTTKUQML-QWHCGFSZSA-N 1 2 260.381 3.620 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2cn(C(C)(C)C)nc2C)no1 ZINC000282861150 1122439874 /nfs/dbraw/zinc/43/98/74/1122439874.db2.gz URZFLNJOUDPPJX-DGCLKSJQSA-N 1 2 290.411 3.655 20 0 CHADLO O=C(O[C@@H]1CC=C(CCn2cc[nH+]c2)CC1)c1ccccc1 ZINC001250320939 1122493096 /nfs/dbraw/zinc/49/30/96/1122493096.db2.gz SZHIPKQORSLFCM-QGZVFWFLSA-N 1 2 296.370 3.609 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1ccc(C)s1 ZINC000436030900 1122505523 /nfs/dbraw/zinc/50/55/23/1122505523.db2.gz WSHHFRCTGKTDHD-UHFFFAOYSA-N 1 2 289.379 3.599 20 0 CHADLO C[C@@]1(c2ccc(Cl)cc2)C[N@H+](Cc2ccco2)CCO1 ZINC001203285592 1122512685 /nfs/dbraw/zinc/51/26/85/1122512685.db2.gz PNGKKJZNHAKGTD-INIZCTEOSA-N 1 2 291.778 3.681 20 0 CHADLO C[C@@]1(c2ccc(Cl)cc2)C[N@@H+](Cc2ccco2)CCO1 ZINC001203285592 1122512689 /nfs/dbraw/zinc/51/26/89/1122512689.db2.gz PNGKKJZNHAKGTD-INIZCTEOSA-N 1 2 291.778 3.681 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(F)c(F)cc2F)cc1 ZINC000505756329 1122512707 /nfs/dbraw/zinc/51/27/07/1122512707.db2.gz KVIILXPUGLUXBE-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(F)c(F)cc2F)cc1 ZINC000505756329 1122512713 /nfs/dbraw/zinc/51/27/13/1122512713.db2.gz KVIILXPUGLUXBE-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc([C@H](C)Cn2c[nH+]c(C(C)(C)C)c2)cc1 ZINC001189876849 1122517735 /nfs/dbraw/zinc/51/77/35/1122517735.db2.gz XCPRGBPESMNXBJ-CYBMUJFWSA-N 1 2 272.392 3.993 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2noc3ccccc23)oc1C ZINC000515669948 1122522125 /nfs/dbraw/zinc/52/21/25/1122522125.db2.gz FSDULYXRDFDBDR-NSHDSACASA-N 1 2 270.332 3.888 20 0 CHADLO CCc1cn(Cc2cc(Cl)ccc2OCC2CC2)c[nH+]1 ZINC001190104651 1122524828 /nfs/dbraw/zinc/52/48/28/1122524828.db2.gz UEIVUSFMROHINN-UHFFFAOYSA-N 1 2 290.794 3.936 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1csnc1OC ZINC001190331301 1122533345 /nfs/dbraw/zinc/53/33/45/1122533345.db2.gz CSTJEOCLGSESPG-LBPRGKRZSA-N 1 2 294.445 3.723 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1csnc1OC ZINC001190331301 1122533348 /nfs/dbraw/zinc/53/33/48/1122533348.db2.gz CSTJEOCLGSESPG-LBPRGKRZSA-N 1 2 294.445 3.723 20 0 CHADLO COc1cccc2c(N[C@@H](C)Cc3ccncc3)cc[nH+]c12 ZINC000436456565 1122551754 /nfs/dbraw/zinc/55/17/54/1122551754.db2.gz WAJCVSJDJAGJDX-ZDUSSCGKSA-N 1 2 293.370 3.682 20 0 CHADLO COc1c(F)cc(F)cc1C[N@H+](C)Cc1ccsc1 ZINC001143561505 1122560926 /nfs/dbraw/zinc/56/09/26/1122560926.db2.gz SYZXMCIMUUVUFM-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1c(F)cc(F)cc1C[N@@H+](C)Cc1ccsc1 ZINC001143561505 1122560929 /nfs/dbraw/zinc/56/09/29/1122560929.db2.gz SYZXMCIMUUVUFM-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO CC[C@@H]1CCC[C@H](C)N1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000620576082 1129063033 /nfs/dbraw/zinc/06/30/33/1129063033.db2.gz PXCNGSIQOPFOMY-GOEBONIOSA-N 1 2 297.402 3.666 20 0 CHADLO C[C@@H]1C[N@H+](CCCSC(C)(C)C)C[C@H](C(F)(F)F)O1 ZINC001191214916 1122583592 /nfs/dbraw/zinc/58/35/92/1122583592.db2.gz RJEJNYNZUPCNOJ-GHMZBOCLSA-N 1 2 299.402 3.560 20 0 CHADLO C[C@@H]1C[N@@H+](CCCSC(C)(C)C)C[C@H](C(F)(F)F)O1 ZINC001191214916 1122583594 /nfs/dbraw/zinc/58/35/94/1122583594.db2.gz RJEJNYNZUPCNOJ-GHMZBOCLSA-N 1 2 299.402 3.560 20 0 CHADLO Cc1c[nH+]c(CCSCc2nc(C(C)C)no2)c(C)c1 ZINC000516290940 1122587044 /nfs/dbraw/zinc/58/70/44/1122587044.db2.gz CLLJIUKVPMSJCZ-UHFFFAOYSA-N 1 2 291.420 3.681 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC[C@H]2c2ccccc2)cc(C)c1O ZINC000516347186 1122591624 /nfs/dbraw/zinc/59/16/24/1122591624.db2.gz OJWDKLLYOBDQDR-SFHVURJKSA-N 1 2 297.398 3.583 20 0 CHADLO Cc1cc(C[N@H+]2CCOC[C@H]2c2ccccc2)cc(C)c1O ZINC000516347186 1122591627 /nfs/dbraw/zinc/59/16/27/1122591627.db2.gz OJWDKLLYOBDQDR-SFHVURJKSA-N 1 2 297.398 3.583 20 0 CHADLO CCC(C)(C)CC[S@](=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000437181171 1122618572 /nfs/dbraw/zinc/61/85/72/1122618572.db2.gz MWYQXUKCTDZWNY-FQEVSTJZSA-N 1 2 292.448 3.718 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+]1CCC=C(Br)C1 ZINC000799329024 1129067309 /nfs/dbraw/zinc/06/73/09/1129067309.db2.gz FHTRRMZGFOFRSC-JTQLQIEISA-N 1 2 284.172 3.871 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+]1CCC=C(Br)C1 ZINC000799329024 1129067311 /nfs/dbraw/zinc/06/73/11/1129067311.db2.gz FHTRRMZGFOFRSC-JTQLQIEISA-N 1 2 284.172 3.871 20 0 CHADLO CC(C)c1cc(N(C)CC2=CCSC2)nc(C(C)C)[nH+]1 ZINC000664640294 1122642156 /nfs/dbraw/zinc/64/21/56/1122642156.db2.gz HHBDCKNUOFRSGB-UHFFFAOYSA-N 1 2 291.464 3.833 20 0 CHADLO Fc1cccc2[nH]c(C[N@@H+]3CCc4sccc4C3)cc21 ZINC000437587368 1122644507 /nfs/dbraw/zinc/64/45/07/1122644507.db2.gz FNEYTXCJWBHOML-UHFFFAOYSA-N 1 2 286.375 3.927 20 0 CHADLO Fc1cccc2[nH]c(C[N@H+]3CCc4sccc4C3)cc21 ZINC000437587368 1122644511 /nfs/dbraw/zinc/64/45/11/1122644511.db2.gz FNEYTXCJWBHOML-UHFFFAOYSA-N 1 2 286.375 3.927 20 0 CHADLO Cc1ccc(C)c(N(C)Cc2cccc3[nH+]ccn32)c1 ZINC000437947793 1122667005 /nfs/dbraw/zinc/66/70/05/1122667005.db2.gz BCLPPRAAGNNQFM-UHFFFAOYSA-N 1 2 265.360 3.588 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CCC[C@@H]3c3ncc[nH]3)cc2c1C ZINC000437976572 1122668698 /nfs/dbraw/zinc/66/86/98/1122668698.db2.gz NKZLJFRHIUQTBS-QGZVFWFLSA-N 1 2 294.402 3.845 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CCC[C@@H]3c3ncc[nH]3)cc2c1C ZINC000437976572 1122668700 /nfs/dbraw/zinc/66/87/00/1122668700.db2.gz NKZLJFRHIUQTBS-QGZVFWFLSA-N 1 2 294.402 3.845 20 0 CHADLO CC[N@H+](CCCCCOc1ccccc1)CC(F)F ZINC000437992347 1122670798 /nfs/dbraw/zinc/67/07/98/1122670798.db2.gz ACXCVVBLBDJGSZ-UHFFFAOYSA-N 1 2 271.351 3.823 20 0 CHADLO CC[N@@H+](CCCCCOc1ccccc1)CC(F)F ZINC000437992347 1122670802 /nfs/dbraw/zinc/67/08/02/1122670802.db2.gz ACXCVVBLBDJGSZ-UHFFFAOYSA-N 1 2 271.351 3.823 20 0 CHADLO C[C@@H]([NH2+]Cc1cnsn1)c1cc2ccccc2s1 ZINC001193448271 1122689121 /nfs/dbraw/zinc/68/91/21/1122689121.db2.gz NCRRNLPZPKWFLP-SECBINFHSA-N 1 2 275.402 3.604 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)n1 ZINC000426047702 1122708053 /nfs/dbraw/zinc/70/80/53/1122708053.db2.gz GXOTUZXTXKJXOC-AWEZNQCLSA-N 1 2 275.421 3.761 20 0 CHADLO CCCc1csc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)n1 ZINC000426047702 1122708056 /nfs/dbraw/zinc/70/80/56/1122708056.db2.gz GXOTUZXTXKJXOC-AWEZNQCLSA-N 1 2 275.421 3.761 20 0 CHADLO CCCc1csc(C[N@H+](C)Cc2ccc(F)cc2)n1 ZINC000426078676 1122715274 /nfs/dbraw/zinc/71/52/74/1122715274.db2.gz RYGIOEPNKIKTKI-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCCc1csc(C[N@@H+](C)Cc2ccc(F)cc2)n1 ZINC000426078676 1122715277 /nfs/dbraw/zinc/71/52/77/1122715277.db2.gz RYGIOEPNKIKTKI-UHFFFAOYSA-N 1 2 278.396 3.867 20 0 CHADLO CCCCCOC(=O)N[C@@H](C)c1c[nH+]c2c(C)cccn12 ZINC001193787741 1122716496 /nfs/dbraw/zinc/71/64/96/1122716496.db2.gz CVXBGQKSMONYIV-ZDUSSCGKSA-N 1 2 289.379 3.620 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[N@@H+]1CCO[C@H](C)C1 ZINC000506313896 1122716796 /nfs/dbraw/zinc/71/67/96/1122716796.db2.gz ZRMUWFSQABETDB-OAHLLOKOSA-N 1 2 297.398 3.583 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[N@H+]1CCO[C@H](C)C1 ZINC000506313896 1122716798 /nfs/dbraw/zinc/71/67/98/1122716798.db2.gz ZRMUWFSQABETDB-OAHLLOKOSA-N 1 2 297.398 3.583 20 0 CHADLO C[N@H+](Cc1cc(F)cc(F)c1)Cc1ccc(F)cc1F ZINC000506324476 1122719465 /nfs/dbraw/zinc/71/94/65/1122719465.db2.gz HEETYRQEWJRGHB-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1cc(F)cc(F)c1)Cc1ccc(F)cc1F ZINC000506324476 1122719469 /nfs/dbraw/zinc/71/94/69/1122719469.db2.gz HEETYRQEWJRGHB-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO Fc1ccc(/C=C\C[N@@H+]2CCO[C@H](c3ccccc3)C2)cc1 ZINC000506340947 1122720386 /nfs/dbraw/zinc/72/03/86/1122720386.db2.gz OFFBZTUDELDKMM-HMECNJMJSA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc(/C=C\C[N@H+]2CCO[C@H](c3ccccc3)C2)cc1 ZINC000506340947 1122720389 /nfs/dbraw/zinc/72/03/89/1122720389.db2.gz OFFBZTUDELDKMM-HMECNJMJSA-N 1 2 297.373 3.912 20 0 CHADLO Cc1cc(Oc2ccc(NC(=O)OCCF)cc2)cc[nH+]1 ZINC001194967219 1122826227 /nfs/dbraw/zinc/82/62/27/1122826227.db2.gz QITPYLBSJUWTSF-UHFFFAOYSA-N 1 2 290.294 3.700 20 0 CHADLO Cc1ccccc1CCC[N@H+](CC(F)F)C1CC1 ZINC000440313507 1122836415 /nfs/dbraw/zinc/83/64/15/1122836415.db2.gz JBFUCJUPGREDNO-UHFFFAOYSA-N 1 2 253.336 3.657 20 0 CHADLO Cc1ccccc1CCC[N@@H+](CC(F)F)C1CC1 ZINC000440313507 1122836423 /nfs/dbraw/zinc/83/64/23/1122836423.db2.gz JBFUCJUPGREDNO-UHFFFAOYSA-N 1 2 253.336 3.657 20 0 CHADLO c1[nH]c2ccccc2c1CCCNc1cccc[nH+]1 ZINC000039021231 1122851241 /nfs/dbraw/zinc/85/12/41/1122851241.db2.gz KDGWCBVXBGAQFM-UHFFFAOYSA-N 1 2 251.333 3.608 20 0 CHADLO CCn1ccnc1C[NH2+][C@H](C)c1ccc(F)cc1Cl ZINC000265646977 1129082743 /nfs/dbraw/zinc/08/27/43/1129082743.db2.gz LJXJOVPADFVFLB-SNVBAGLBSA-N 1 2 281.762 3.546 20 0 CHADLO Cc1ncoc1C[NH2+]C(C)(C)c1cccc(Cl)c1F ZINC000894481956 1122860947 /nfs/dbraw/zinc/86/09/47/1122860947.db2.gz BDSZKYZTUJFWKS-UHFFFAOYSA-N 1 2 282.746 3.800 20 0 CHADLO CCN(CCCn1cc[nH+]c1)c1cccc(C(F)(F)F)c1 ZINC001195394081 1122867928 /nfs/dbraw/zinc/86/79/28/1122867928.db2.gz VJEGJTJCIYJPSM-UHFFFAOYSA-N 1 2 297.324 3.819 20 0 CHADLO Cc1nsc(C)c1C[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000664828285 1122871010 /nfs/dbraw/zinc/87/10/10/1122871010.db2.gz DWWHHACEKRGNTM-OAHLLOKOSA-N 1 2 287.432 3.738 20 0 CHADLO Cc1nsc(C)c1C[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000664828285 1122871019 /nfs/dbraw/zinc/87/10/19/1122871019.db2.gz DWWHHACEKRGNTM-OAHLLOKOSA-N 1 2 287.432 3.738 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H]3COC4(CCC4)C3)cc2)c1C ZINC000894571129 1122875525 /nfs/dbraw/zinc/87/55/25/1122875525.db2.gz LIPMPTLWAOBKPK-MRXNPFEDSA-N 1 2 297.402 3.613 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC3(CCC3)[C@H]2C(C)C)s1 ZINC000894584244 1122877663 /nfs/dbraw/zinc/87/76/63/1122877663.db2.gz HOKBIWXCWNRCIH-CYBMUJFWSA-N 1 2 279.453 3.672 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC3(CCC3)[C@H]2C(C)C)s1 ZINC000894584244 1122877667 /nfs/dbraw/zinc/87/76/67/1122877667.db2.gz HOKBIWXCWNRCIH-CYBMUJFWSA-N 1 2 279.453 3.672 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC(C)(C)[C@@H]2C(C)C)s1 ZINC000894604135 1122878536 /nfs/dbraw/zinc/87/85/36/1122878536.db2.gz AAWKBPWUKOEDMD-LBPRGKRZSA-N 1 2 267.442 3.528 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC(C)(C)[C@@H]2C(C)C)s1 ZINC000894604135 1122878540 /nfs/dbraw/zinc/87/85/40/1122878540.db2.gz AAWKBPWUKOEDMD-LBPRGKRZSA-N 1 2 267.442 3.528 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+]Cc1nccn1C1CC1 ZINC000894668362 1122887396 /nfs/dbraw/zinc/88/73/96/1122887396.db2.gz SBEYWZICRNISBW-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000894744229 1122901554 /nfs/dbraw/zinc/90/15/54/1122901554.db2.gz PSJJGLDHVNVLPR-RISCZKNCSA-N 1 2 287.407 3.878 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2cc(Cl)ccc2Cl)nn1C ZINC000426791031 1122915924 /nfs/dbraw/zinc/91/59/24/1122915924.db2.gz GVQMLBPWXLZRPG-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO CCCCC[C@@H](CC)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000775715157 1122922329 /nfs/dbraw/zinc/92/23/29/1122922329.db2.gz XQAHJNAQZDQLLW-CVEARBPZSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1nccc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)n1 ZINC000507192961 1122938195 /nfs/dbraw/zinc/93/81/95/1122938195.db2.gz UBJQAACDFVFRQL-LLVKDONJSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1nccc(C[N@H+](C)[C@H](C)c2ccccc2Cl)n1 ZINC000507192961 1122938198 /nfs/dbraw/zinc/93/81/98/1122938198.db2.gz UBJQAACDFVFRQL-LLVKDONJSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccc(NCc2cccc3[nH+]ccn32)c(C)c1 ZINC000775749853 1122941120 /nfs/dbraw/zinc/94/11/20/1122941120.db2.gz NIVWPLYSIJCOQS-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2C(=O)OC(C)(C)C)cs1 ZINC001197177376 1122947511 /nfs/dbraw/zinc/94/75/11/1122947511.db2.gz ORQLSHBNLMPGNF-CQSZACIVSA-N 1 2 295.448 3.753 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2C(=O)OC(C)(C)C)cs1 ZINC001197177376 1122947514 /nfs/dbraw/zinc/94/75/14/1122947514.db2.gz ORQLSHBNLMPGNF-CQSZACIVSA-N 1 2 295.448 3.753 20 0 CHADLO CC[C@H](F)C[NH2+][C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000440494285 1122948572 /nfs/dbraw/zinc/94/85/72/1122948572.db2.gz IIJZVCBQYFMPHZ-BQBZGAKWSA-N 1 2 270.295 3.561 20 0 CHADLO CC[C@H](F)C[NH2+][C@H](C)c1nc(C(F)(F)F)cs1 ZINC000440494290 1122948609 /nfs/dbraw/zinc/94/86/09/1122948609.db2.gz IIJZVCBQYFMPHZ-RQJHMYQMSA-N 1 2 270.295 3.561 20 0 CHADLO CC[N@H+](CCCCCn1cccn1)c1ccc(C)cc1 ZINC000729474033 1122953557 /nfs/dbraw/zinc/95/35/57/1122953557.db2.gz XTCXLDMTXMXCTF-UHFFFAOYSA-N 1 2 271.408 3.888 20 0 CHADLO CC[N@@H+](CCCCCn1cccn1)c1ccc(C)cc1 ZINC000729474033 1122953559 /nfs/dbraw/zinc/95/35/59/1122953559.db2.gz XTCXLDMTXMXCTF-UHFFFAOYSA-N 1 2 271.408 3.888 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(NC(=O)C(C)C)cc1 ZINC001197425272 1122956183 /nfs/dbraw/zinc/95/61/83/1122956183.db2.gz IADIANVGBABVPW-UHFFFAOYSA-N 1 2 269.348 3.728 20 0 CHADLO Cc1cn2c(cccc2NC(=S)Nc2ccc(C)cc2)[nH+]1 ZINC001197892710 1122972331 /nfs/dbraw/zinc/97/23/31/1122972331.db2.gz HCXKRRCOIYWELQ-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)cn2)n1 ZINC000334233430 1122974504 /nfs/dbraw/zinc/97/45/04/1122974504.db2.gz OKAFUVCFMKDHRE-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2ccc(Cl)cn2)n1 ZINC000334233430 1122974505 /nfs/dbraw/zinc/97/45/05/1122974505.db2.gz OKAFUVCFMKDHRE-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO CC[C@H](F)C[N@H+]1CC=C(c2c(F)cccc2F)CC1 ZINC000440508144 1122984184 /nfs/dbraw/zinc/98/41/84/1122984184.db2.gz GXALGOWNPPXAGW-LBPRGKRZSA-N 1 2 269.310 3.802 20 0 CHADLO CC[C@H](F)C[N@@H+]1CC=C(c2c(F)cccc2F)CC1 ZINC000440508144 1122984186 /nfs/dbraw/zinc/98/41/86/1122984186.db2.gz GXALGOWNPPXAGW-LBPRGKRZSA-N 1 2 269.310 3.802 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCCC(F)(F)C2)c(C)[nH+]1 ZINC000334325756 1122987570 /nfs/dbraw/zinc/98/75/70/1122987570.db2.gz BBJQDBRAMALKPF-LBPRGKRZSA-N 1 2 282.334 3.771 20 0 CHADLO Cc1cccc(C)c1NC(=S)Nc1ccn2cc[nH+]c2c1 ZINC001198333558 1122987681 /nfs/dbraw/zinc/98/76/81/1122987681.db2.gz LTCGQDJAVQJKBB-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO C[C@H](CC(=O)N(c1ccccc1)[C@@H](C)C1CC1)n1cc[nH+]c1 ZINC000633844525 1129092171 /nfs/dbraw/zinc/09/21/71/1129092171.db2.gz ABBXZAVLYLJLIV-CABCVRRESA-N 1 2 297.402 3.666 20 0 CHADLO CCc1nocc1C[NH2+]C1(c2nc(C)cs2)CCCC1 ZINC000647968570 1123005565 /nfs/dbraw/zinc/00/55/65/1123005565.db2.gz KVJKHBUDGJDIDM-UHFFFAOYSA-N 1 2 291.420 3.561 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2CCCOc3ccc(F)cc32)no1 ZINC000334431339 1123011873 /nfs/dbraw/zinc/01/18/73/1123011873.db2.gz KHQIJWMJRVYXSB-RISCZKNCSA-N 1 2 290.338 3.687 20 0 CHADLO O=C1CC[N@@H+](Cc2ccc(Cl)cc2)[C@@H]2CCCC[C@@H]12 ZINC001203918931 1123016068 /nfs/dbraw/zinc/01/60/68/1123016068.db2.gz MJMRJSLJESLTCT-HUUCEWRRSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2ccc(Cl)cc2)[C@@H]2CCCC[C@@H]12 ZINC001203918931 1123016070 /nfs/dbraw/zinc/01/60/70/1123016070.db2.gz MJMRJSLJESLTCT-HUUCEWRRSA-N 1 2 277.795 3.674 20 0 CHADLO COc1cccc2c(N(C)Cc3ccc(C)o3)cc[nH+]c12 ZINC000440648717 1123026132 /nfs/dbraw/zinc/02/61/32/1123026132.db2.gz KGOVFKXXVVTMQC-UHFFFAOYSA-N 1 2 282.343 3.781 20 0 CHADLO CC1(C)C[N@H+](Cc2nc(C3CC3)cs2)[C@@H]2CCC[C@H]2O1 ZINC000440954412 1123049621 /nfs/dbraw/zinc/04/96/21/1123049621.db2.gz DQXSAKCROKOXHU-ZIAGYGMSSA-N 1 2 292.448 3.552 20 0 CHADLO CC1(C)C[N@@H+](Cc2nc(C3CC3)cs2)[C@@H]2CCC[C@H]2O1 ZINC000440954412 1123049625 /nfs/dbraw/zinc/04/96/25/1123049625.db2.gz DQXSAKCROKOXHU-ZIAGYGMSSA-N 1 2 292.448 3.552 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cc(F)cc(F)c2)on1 ZINC000334482245 1123052626 /nfs/dbraw/zinc/05/26/26/1123052626.db2.gz WIOMCUINVQBAKZ-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cc(F)cc(F)c2)on1 ZINC000334482245 1123052630 /nfs/dbraw/zinc/05/26/30/1123052630.db2.gz WIOMCUINVQBAKZ-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO CCCCOC1C[NH+](Cc2c[nH]c3cc(Cl)ccc23)C1 ZINC001141586107 1123061787 /nfs/dbraw/zinc/06/17/87/1123061787.db2.gz RIYAMKFJKBXIPZ-UHFFFAOYSA-N 1 2 292.810 3.822 20 0 CHADLO Cc1cc(C(N)=[NH+]OCc2cccc(Cl)c2)ccc1F ZINC000049066048 1123074067 /nfs/dbraw/zinc/07/40/67/1123074067.db2.gz GZYDMTUGZUISKZ-UHFFFAOYSA-N 1 2 292.741 3.625 20 0 CHADLO CCc1ccccc1NC(=S)Nc1ccn2cc[nH+]c2c1 ZINC001201142320 1123075259 /nfs/dbraw/zinc/07/52/59/1123075259.db2.gz AUOIPNRGGLYEDI-UHFFFAOYSA-N 1 2 296.399 3.706 20 0 CHADLO Nc1ccc(Nc2ccc3nc(Cl)sc3c2)c[nH+]1 ZINC001201285890 1123080490 /nfs/dbraw/zinc/08/04/90/1123080490.db2.gz RTAOBHIEKOHEDO-UHFFFAOYSA-N 1 2 276.752 3.671 20 0 CHADLO Nc1ccc(Nc2ccc(Cl)c(Cl)c2F)c[nH+]1 ZINC001201286191 1123081527 /nfs/dbraw/zinc/08/15/27/1123081527.db2.gz YGUKZSWZDAPYTJ-UHFFFAOYSA-N 1 2 272.110 3.853 20 0 CHADLO Cc1c(C)c(Nc2ccc(N)[nH+]c2)ccc1Br ZINC001201287163 1123081862 /nfs/dbraw/zinc/08/18/62/1123081862.db2.gz WRRUEUCCXVCSJD-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Nc1ccc(Nc2cc(Cl)c(F)c(Cl)c2F)c[nH+]1 ZINC001201292635 1123083990 /nfs/dbraw/zinc/08/39/90/1123083990.db2.gz QZZBQKNPCDYBMU-UHFFFAOYSA-N 1 2 290.100 3.992 20 0 CHADLO CCc1nc(C[N@H+](C)CCO[C@@H]2CCCC[C@H]2C)cs1 ZINC000520392100 1123084143 /nfs/dbraw/zinc/08/41/43/1123084143.db2.gz JVQGROYIWRSCAD-UKRRQHHQSA-N 1 2 296.480 3.733 20 0 CHADLO CCc1nc(C[N@@H+](C)CCO[C@@H]2CCCC[C@H]2C)cs1 ZINC000520392100 1123084149 /nfs/dbraw/zinc/08/41/49/1123084149.db2.gz JVQGROYIWRSCAD-UKRRQHHQSA-N 1 2 296.480 3.733 20 0 CHADLO CC(C)COc1ccc(Nc2ccc(N)[nH+]c2)cc1F ZINC001201294560 1123085021 /nfs/dbraw/zinc/08/50/21/1123085021.db2.gz FBTGCCBDYGFUCW-UHFFFAOYSA-N 1 2 275.327 3.581 20 0 CHADLO CC(C)(C)Oc1cccc(Nc2ccc(N)[nH+]c2)c1 ZINC001201300348 1123085163 /nfs/dbraw/zinc/08/51/63/1123085163.db2.gz PAEIBLBFIHIIHU-UHFFFAOYSA-N 1 2 257.337 3.585 20 0 CHADLO Nc1ccc(Nc2nc(Cl)c(Cl)cc2Cl)c[nH+]1 ZINC001201278806 1123085563 /nfs/dbraw/zinc/08/55/63/1123085563.db2.gz HDJQDSFKFWZKHG-UHFFFAOYSA-N 1 2 289.553 3.763 20 0 CHADLO F[C@@H]1CCCC[C@H]1[NH2+]Cc1csc(C(F)(F)F)n1 ZINC000894910328 1123085876 /nfs/dbraw/zinc/08/58/76/1123085876.db2.gz XPHKPIQIQQSHAN-RKDXNWHRSA-N 1 2 282.306 3.532 20 0 CHADLO C[NH+](C)c1ccc(NCc2nc3cc(F)ccc3o2)cc1 ZINC001201337846 1123089053 /nfs/dbraw/zinc/08/90/53/1123089053.db2.gz FNZNRVWQWWBGOL-UHFFFAOYSA-N 1 2 285.322 3.645 20 0 CHADLO CC(C)Oc1cncc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001201539950 1123116725 /nfs/dbraw/zinc/11/67/25/1123116725.db2.gz MLVBVWJLTPNNKI-UHFFFAOYSA-N 1 2 298.390 3.608 20 0 CHADLO C[C@H]([NH2+][C@H]1CC(C)(C)Oc2cc(F)ccc21)c1ncc[nH]1 ZINC000334275092 1123149704 /nfs/dbraw/zinc/14/97/04/1123149704.db2.gz QXTIFFUGPVRQEF-GWCFXTLKSA-N 1 2 289.354 3.502 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@@H+]1CCC[C@H](F)C(=O)C1 ZINC001201977686 1123159249 /nfs/dbraw/zinc/15/92/49/1123159249.db2.gz WGHYPFFTYMEDCT-GJZGRUSLSA-N 1 2 269.404 3.762 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@H+]1CCC[C@H](F)C(=O)C1 ZINC001201977686 1123159251 /nfs/dbraw/zinc/15/92/51/1123159251.db2.gz WGHYPFFTYMEDCT-GJZGRUSLSA-N 1 2 269.404 3.762 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H](C)c3ccccc3F)cc2[nH+]1 ZINC000343299373 1123164571 /nfs/dbraw/zinc/16/45/71/1123164571.db2.gz GRNQKQLLBTVEGO-JTQLQIEISA-N 1 2 297.333 3.753 20 0 CHADLO CC[C@H](CC(=O)Nc1ccc2[nH+]c(C)cn2c1)C(C)C ZINC000520507580 1123166754 /nfs/dbraw/zinc/16/67/54/1123166754.db2.gz HRVKEGQBEBLWNE-CYBMUJFWSA-N 1 2 273.380 3.654 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1ccc2c(c1)C[C@H](C)O2 ZINC001202031587 1123172089 /nfs/dbraw/zinc/17/20/89/1123172089.db2.gz MAYYBTWDVCAJLN-ZDUSSCGKSA-N 1 2 298.386 3.804 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2)[C@H](C)C(C)(C)C)no1 ZINC000346033578 1123184072 /nfs/dbraw/zinc/18/40/72/1123184072.db2.gz QXCVCKYEZHJBCQ-CYBMUJFWSA-N 1 2 287.407 3.815 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2)[C@H](C)C(C)(C)C)no1 ZINC000346033578 1123184073 /nfs/dbraw/zinc/18/40/73/1123184073.db2.gz QXCVCKYEZHJBCQ-CYBMUJFWSA-N 1 2 287.407 3.815 20 0 CHADLO Cc1c(NCc2cc(C)[nH+]c(C)c2)cnn1C1CCCC1 ZINC001202194324 1123185463 /nfs/dbraw/zinc/18/54/63/1123185463.db2.gz PZKFJXPPUIZBLW-UHFFFAOYSA-N 1 2 284.407 3.931 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2ncc(-c3cccs3)o2)[C@@H]1C ZINC000118417313 1123193620 /nfs/dbraw/zinc/19/36/20/1123193620.db2.gz YPICJBYKZFWUPA-GHMZBOCLSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2ncc(-c3cccs3)o2)[C@@H]1C ZINC000118417313 1123193622 /nfs/dbraw/zinc/19/36/22/1123193622.db2.gz YPICJBYKZFWUPA-GHMZBOCLSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]([NH2+][C@H]1CCSc2c(F)cccc21)c1ccon1 ZINC000349839209 1123211534 /nfs/dbraw/zinc/21/15/34/1123211534.db2.gz YTMRUHOEHHDQOS-ZANVPECISA-N 1 2 278.352 3.701 20 0 CHADLO c1cn2ccc(Nc3ccc(-c4cnco4)cc3)cc2[nH+]1 ZINC001204978202 1123230236 /nfs/dbraw/zinc/23/02/36/1123230236.db2.gz PSEFZAAUCJRFBL-UHFFFAOYSA-N 1 2 276.299 3.733 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc(Cl)cc2)C2CC2)nn1C ZINC000487403270 1123240396 /nfs/dbraw/zinc/24/03/96/1123240396.db2.gz YFNIIXXDPHXWAH-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc(Cl)cc2)C2CC2)nn1C ZINC000487403270 1123240400 /nfs/dbraw/zinc/24/04/00/1123240400.db2.gz YFNIIXXDPHXWAH-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1ccc(Cl)cc1F)CO2 ZINC000427895580 1123258010 /nfs/dbraw/zinc/25/80/10/1123258010.db2.gz SYJGRSBHWKFJIJ-CQSZACIVSA-N 1 2 295.716 3.842 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H]2C[C@H](O)c3ccccc32)s1 ZINC000850376021 1123260028 /nfs/dbraw/zinc/26/00/28/1123260028.db2.gz VTBZSPFSVLOOIN-KBPBESRZSA-N 1 2 288.416 3.535 20 0 CHADLO COc1cccc(C2([NH2+]Cc3coc(C4CC4)n3)CCC2)c1 ZINC000850405000 1123263305 /nfs/dbraw/zinc/26/33/05/1123263305.db2.gz HMQPKOFBWQTMEI-UHFFFAOYSA-N 1 2 298.386 3.730 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000428231075 1123285986 /nfs/dbraw/zinc/28/59/86/1123285986.db2.gz JCWPLCORZMSFMK-GFCCVEGCSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CCc3c(Cl)cccc3C2)cn1 ZINC000428231075 1123285990 /nfs/dbraw/zinc/28/59/90/1123285990.db2.gz JCWPLCORZMSFMK-GFCCVEGCSA-N 1 2 287.794 3.558 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccn1)c1ccccc1C(F)(F)F ZINC000930225645 1123288677 /nfs/dbraw/zinc/28/86/77/1123288677.db2.gz IXOMXYOLJQKRHF-MNOVXSKESA-N 1 2 295.308 3.907 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CCCc2c(C)ccc(C)c21 ZINC000335046710 1123289596 /nfs/dbraw/zinc/28/95/96/1123289596.db2.gz NFCNQHHUYBCLDA-MRXNPFEDSA-N 1 2 269.392 3.502 20 0 CHADLO Cc1cncc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)c1 ZINC000335058180 1123290413 /nfs/dbraw/zinc/29/04/13/1123290413.db2.gz YTRFEFYGTMDCEY-GFCCVEGCSA-N 1 2 253.349 3.531 20 0 CHADLO Cc1cccc2c1CCC[C@@H]2[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000850574572 1123296262 /nfs/dbraw/zinc/29/62/62/1123296262.db2.gz ZMJFPDLDTAAGSN-IRXDYDNUSA-N 1 2 281.403 3.763 20 0 CHADLO CC[C@H](F)CNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000442093153 1123301576 /nfs/dbraw/zinc/30/15/76/1123301576.db2.gz QIIJASMISHFZAN-AWEZNQCLSA-N 1 2 285.366 3.762 20 0 CHADLO C[C@H](OC(=O)c1cccc(Cn2cc[nH+]c2)c1)C1CCCC1 ZINC000851140436 1123327061 /nfs/dbraw/zinc/32/70/61/1123327061.db2.gz FWBPEKCKAJKLSQ-AWEZNQCLSA-N 1 2 298.386 3.667 20 0 CHADLO CC[C@@]1(C)C[N@@H+]([C@@H](C)c2cc(F)ccc2F)CCO1 ZINC000442884264 1123347235 /nfs/dbraw/zinc/34/72/35/1123347235.db2.gz RWOQNLMFFAOAAZ-NHYWBVRUSA-N 1 2 269.335 3.527 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2ccc([C@H]3C[C@@H]3C)o2)cn1 ZINC000443070939 1123354036 /nfs/dbraw/zinc/35/40/36/1123354036.db2.gz PNXDKQYXNGSEMA-BBRMVZONSA-N 1 2 299.418 3.948 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2ccc([C@H]3C[C@@H]3C)o2)cn1 ZINC000443070939 1123354039 /nfs/dbraw/zinc/35/40/39/1123354039.db2.gz PNXDKQYXNGSEMA-BBRMVZONSA-N 1 2 299.418 3.948 20 0 CHADLO CCC[C@@H]1CCCC[C@H]1OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000851453154 1123359393 /nfs/dbraw/zinc/35/93/93/1123359393.db2.gz NSZSQUCYUQOHAV-RRFJBIMHSA-N 1 2 278.396 3.736 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+](C)Cc1cccc(F)n1 ZINC000851730194 1123375066 /nfs/dbraw/zinc/37/50/66/1123375066.db2.gz MXUJUMZXJBDPDR-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+](C)Cc1cccc(F)n1 ZINC000851730194 1123375069 /nfs/dbraw/zinc/37/50/69/1123375069.db2.gz MXUJUMZXJBDPDR-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1cccc(F)n1 ZINC000851729975 1123375752 /nfs/dbraw/zinc/37/57/52/1123375752.db2.gz JQQNZCQUXKOQFS-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1cccc(F)n1 ZINC000851729975 1123375754 /nfs/dbraw/zinc/37/57/54/1123375754.db2.gz JQQNZCQUXKOQFS-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C1CC2(CCC2)C1 ZINC000335532560 1123376148 /nfs/dbraw/zinc/37/61/48/1123376148.db2.gz WVWOAHSXGMSIDM-UHFFFAOYSA-N 1 2 295.386 3.904 20 0 CHADLO ClC(Cl)=CC[N@@H+]1CCCO[C@H](c2ccccc2)C1 ZINC000851753091 1123379207 /nfs/dbraw/zinc/37/92/07/1123379207.db2.gz MWKYSQHOYGNKMN-ZDUSSCGKSA-N 1 2 286.202 3.769 20 0 CHADLO ClC(Cl)=CC[N@H+]1CCCO[C@H](c2ccccc2)C1 ZINC000851753091 1123379210 /nfs/dbraw/zinc/37/92/10/1123379210.db2.gz MWKYSQHOYGNKMN-ZDUSSCGKSA-N 1 2 286.202 3.769 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C3CC3)[C@H]2C2CC2)c(C)[nH+]1 ZINC000335588285 1123379628 /nfs/dbraw/zinc/37/96/28/1123379628.db2.gz ACFRHLVKPSLVIP-NVXWUHKLSA-N 1 2 299.418 3.659 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@H](C)CC2)c(C)[nH+]1 ZINC000335586415 1123379849 /nfs/dbraw/zinc/37/98/49/1123379849.db2.gz OMSSCJWLJWZSLM-NSHDSACASA-N 1 2 275.396 3.661 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@H+]1Cc1cccc(F)n1 ZINC000851887415 1123386794 /nfs/dbraw/zinc/38/67/94/1123386794.db2.gz OOVZOSLFLFJEQE-NWDGAFQWSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@@H+]1Cc1cccc(F)n1 ZINC000851887415 1123386797 /nfs/dbraw/zinc/38/67/97/1123386797.db2.gz OOVZOSLFLFJEQE-NWDGAFQWSA-N 1 2 288.341 3.868 20 0 CHADLO c1cc(N2CCCCC2)ccc1[NH2+]CCC1CCOCC1 ZINC000314663202 1123403831 /nfs/dbraw/zinc/40/38/31/1123403831.db2.gz AYORYGOUAMZFNK-UHFFFAOYSA-N 1 2 288.435 3.906 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1C1CC1)c1ccccc1F ZINC000895199035 1123405992 /nfs/dbraw/zinc/40/59/92/1123405992.db2.gz DNJFIIMPDVXIMF-OAHLLOKOSA-N 1 2 273.355 3.598 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+][C@@H](C)c2csnn2)c1 ZINC000398478097 1123406587 /nfs/dbraw/zinc/40/65/87/1123406587.db2.gz QLDHEFNVSHXUFG-NEPJUHHUSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1cc(NCc2cc(C3CC3)no2)nc(C2CCC2)[nH+]1 ZINC000895204888 1123407645 /nfs/dbraw/zinc/40/76/45/1123407645.db2.gz JCPAZJUSLMZHEP-UHFFFAOYSA-N 1 2 284.363 3.530 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCO3)o1 ZINC000852782849 1123416077 /nfs/dbraw/zinc/41/60/77/1123416077.db2.gz WOLOSKYVVMXNIX-STQMWFEESA-N 1 2 286.375 3.720 20 0 CHADLO Cc1cc(C[NH+]2CC3(C2)CC(F)(F)C3)cc(F)c1F ZINC001143591973 1123417280 /nfs/dbraw/zinc/41/72/80/1123417280.db2.gz NSGDLKMWUYZGCG-UHFFFAOYSA-N 1 2 273.273 3.504 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)c2cccs2)c(C)[nH+]1 ZINC000151621497 1123423194 /nfs/dbraw/zinc/42/31/94/1123423194.db2.gz ZHAFDRWSUOTSPE-LLVKDONJSA-N 1 2 274.389 3.811 20 0 CHADLO CC(C)([NH2+]Cc1ccc(C(F)F)nc1)c1nccs1 ZINC000631074724 1123428262 /nfs/dbraw/zinc/42/82/62/1123428262.db2.gz HFSGLEQQDQLERT-UHFFFAOYSA-N 1 2 283.347 3.501 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]Cc1nc(C)sc1C ZINC000443590204 1123437416 /nfs/dbraw/zinc/43/74/16/1123437416.db2.gz IIZSHQSUYWAZAI-UHFFFAOYSA-N 1 2 290.432 3.793 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1oc(C)nc1C ZINC000853638424 1123445215 /nfs/dbraw/zinc/44/52/15/1123445215.db2.gz OHCPAIMSBVONKC-UHFFFAOYSA-N 1 2 298.390 3.901 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000895535627 1123489483 /nfs/dbraw/zinc/48/94/83/1123489483.db2.gz BBLHFSZDNWJCTA-UHFFFAOYSA-N 1 2 298.386 3.728 20 0 CHADLO Cc1nc(C[N@H+](C)CCOc2cccc(Cl)c2)cs1 ZINC000052687183 1123492629 /nfs/dbraw/zinc/49/26/29/1123492629.db2.gz TZDWMBJEYCRGAB-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1nc(C[N@@H+](C)CCOc2cccc(Cl)c2)cs1 ZINC000052687183 1123492633 /nfs/dbraw/zinc/49/26/33/1123492633.db2.gz TZDWMBJEYCRGAB-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO COC[C@@H](CC(C)C)Nc1ccc([NH+](C)C)cc1C ZINC000776766345 1123501082 /nfs/dbraw/zinc/50/10/82/1123501082.db2.gz FLOWHPJPSHGPIY-CQSZACIVSA-N 1 2 264.413 3.534 20 0 CHADLO Cc1cc(C)c2cc(N[C@@H](C)[C@H]3CCOC3)ccc2[nH+]1 ZINC000895873472 1123523677 /nfs/dbraw/zinc/52/36/77/1123523677.db2.gz BLTNNQZBRTZDBP-KBPBESRZSA-N 1 2 270.376 3.689 20 0 CHADLO Cc1cc(C)c2cc(N[C@@H](C)Cc3cnccn3)ccc2[nH+]1 ZINC000895879233 1123524223 /nfs/dbraw/zinc/52/42/23/1123524223.db2.gz SJTRPKZJHCOZDH-AWEZNQCLSA-N 1 2 292.386 3.685 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NC(C)(C)/C=C\Cl ZINC000895916088 1123531314 /nfs/dbraw/zinc/53/13/14/1123531314.db2.gz VKLHFAMTUQODFR-SREVYHEPSA-N 1 2 293.802 3.524 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)c(F)c2)s1 ZINC000273736769 1129128054 /nfs/dbraw/zinc/12/80/54/1129128054.db2.gz UTBTZCLYLIJVKV-JGVFFNPUSA-N 1 2 283.347 3.537 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1Cl)c1ccnnc1 ZINC000925490311 1123561149 /nfs/dbraw/zinc/56/11/49/1123561149.db2.gz SHAWEVPJXPQRDA-ZJUUUORDSA-N 1 2 279.746 3.681 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1Cl)c1ccnnc1 ZINC000925490305 1123561495 /nfs/dbraw/zinc/56/14/95/1123561495.db2.gz SHAWEVPJXPQRDA-UWVGGRQHSA-N 1 2 279.746 3.681 20 0 CHADLO Cc1ccccc1N(C(=O)c1cccc2[nH+]ccn21)C(C)C ZINC000776897661 1123567131 /nfs/dbraw/zinc/56/71/31/1123567131.db2.gz UZWIXQJOLVTMFU-UHFFFAOYSA-N 1 2 293.370 3.698 20 0 CHADLO CCOc1cncc(C[NH2+][C@H](C)c2c(F)cccc2F)c1 ZINC000856766236 1123567154 /nfs/dbraw/zinc/56/71/54/1123567154.db2.gz SZYWHBHZBZYKJH-LLVKDONJSA-N 1 2 292.329 3.609 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H]2CCSc3ccccc32)s1 ZINC000274247136 1129130214 /nfs/dbraw/zinc/13/02/14/1129130214.db2.gz RRKJJICKMONDMK-BXKDBHETSA-N 1 2 291.445 3.734 20 0 CHADLO Cc1cc(N[C@H](c2cnn(C)c2)C(C)C)nc(C2CCC2)[nH+]1 ZINC000896422264 1123583496 /nfs/dbraw/zinc/58/34/96/1123583496.db2.gz IYAWANOYZKIDNS-INIZCTEOSA-N 1 2 299.422 3.595 20 0 CHADLO C[C@@H](CC(=O)O[C@@H]1C[C@@H](C)CC(C)(C)C1)n1cc[nH+]c1 ZINC000857066796 1123584431 /nfs/dbraw/zinc/58/44/31/1123584431.db2.gz WBOOJRJECOJTCI-HZSPNIEDSA-N 1 2 278.396 3.592 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[NH2+][C@H](c1ncccn1)C1CC1 ZINC000896597195 1123603272 /nfs/dbraw/zinc/60/32/72/1123603272.db2.gz OYTDUKOENPUPMK-INIZCTEOSA-N 1 2 299.418 3.916 20 0 CHADLO Fc1c[nH+]ccc1NCc1sccc1Br ZINC000192149288 1123635240 /nfs/dbraw/zinc/63/52/40/1123635240.db2.gz ZADZATXLDVINRS-UHFFFAOYSA-N 1 2 287.157 3.657 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2CCCc3sc(Cl)cc32)o1 ZINC000231638216 1123657535 /nfs/dbraw/zinc/65/75/35/1123657535.db2.gz HAWWRROKDCBMOM-JTQLQIEISA-N 1 2 282.796 3.865 20 0 CHADLO CCOc1cc(Nc2ccc(F)c(F)c2OC)cc(C)[nH+]1 ZINC001211889127 1123659018 /nfs/dbraw/zinc/65/90/18/1123659018.db2.gz GTBQJAVEJBZHCF-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@@H]3CCC[C@H]3C)cc2[nH+]1 ZINC000346093672 1123663365 /nfs/dbraw/zinc/66/33/65/1123663365.db2.gz NSJLATJSVHPZIF-PWSUYJOCSA-N 1 2 271.364 3.636 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nc2c(s1)CCCC2)c1ccns1 ZINC000858576009 1123663481 /nfs/dbraw/zinc/66/34/81/1123663481.db2.gz HFXJPUPVWNCNCB-NXEZZACHSA-N 1 2 293.461 3.890 20 0 CHADLO Clc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCc3[nH]ncc31)CC2 ZINC000858582439 1123665969 /nfs/dbraw/zinc/66/59/69/1123665969.db2.gz QTXQROCUJWYROH-GJZGRUSLSA-N 1 2 287.794 3.718 20 0 CHADLO CN(Cc1cc(-n2cc[nH+]c2)cs1)c1ccccc1 ZINC000346190019 1123668863 /nfs/dbraw/zinc/66/88/63/1123668863.db2.gz VJNZEDPKCWBJFP-UHFFFAOYSA-N 1 2 269.373 3.570 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NC[C@@H]1CCCC12CC2 ZINC000858854309 1123674909 /nfs/dbraw/zinc/67/49/09/1123674909.db2.gz UOTKNCLNLQWQAU-LBPRGKRZSA-N 1 2 299.422 3.574 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2cc(Cl)n(C)n2)cc1 ZINC000859051854 1123681481 /nfs/dbraw/zinc/68/14/81/1123681481.db2.gz MKMFOFKGMKSDMT-HNNXBMFYSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2cc(Cl)n(C)n2)cc1 ZINC000859051854 1123681478 /nfs/dbraw/zinc/68/14/78/1123681478.db2.gz MKMFOFKGMKSDMT-HNNXBMFYSA-N 1 2 289.810 3.719 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncn(C)n1)c1ccc(C(C)(C)C)cc1 ZINC000275575854 1129138878 /nfs/dbraw/zinc/13/88/78/1129138878.db2.gz GQVZCQAPZOGYDH-OLZOCXBDSA-N 1 2 286.423 3.524 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)C1CCC1 ZINC000346568823 1123694507 /nfs/dbraw/zinc/69/45/07/1123694507.db2.gz HTRSNPNLQXEKAG-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO Fc1cc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)ccn1 ZINC000859349290 1123695816 /nfs/dbraw/zinc/69/58/16/1123695816.db2.gz WBNKKRDMCWVYHP-INIZCTEOSA-N 1 2 286.350 3.931 20 0 CHADLO Fc1cc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)ccn1 ZINC000859349290 1123695819 /nfs/dbraw/zinc/69/58/19/1123695819.db2.gz WBNKKRDMCWVYHP-INIZCTEOSA-N 1 2 286.350 3.931 20 0 CHADLO Fc1cccc(F)c1C[N@H+](C[C@@H]1CCC=CO1)C1CC1 ZINC000194865323 1129139304 /nfs/dbraw/zinc/13/93/04/1129139304.db2.gz LDQAYPZCTOOPIO-ZDUSSCGKSA-N 1 2 279.330 3.622 20 0 CHADLO Fc1cccc(F)c1C[N@@H+](C[C@@H]1CCC=CO1)C1CC1 ZINC000194865323 1129139308 /nfs/dbraw/zinc/13/93/08/1129139308.db2.gz LDQAYPZCTOOPIO-ZDUSSCGKSA-N 1 2 279.330 3.622 20 0 CHADLO C[C@@H]1C[NH+](C/C(Cl)=C\Cl)C[C@@H](C)C1(F)F ZINC000859413333 1123696709 /nfs/dbraw/zinc/69/67/09/1123696709.db2.gz CJUXBRRBDRONST-VWKYRKHGSA-N 1 2 258.139 3.529 20 0 CHADLO C[C@@H]1C[N@@H+](C/C(Cl)=C/Cl)C[C@H](C)C1(F)F ZINC000859413332 1123696809 /nfs/dbraw/zinc/69/68/09/1123696809.db2.gz CJUXBRRBDRONST-VPJUWPHDSA-N 1 2 258.139 3.529 20 0 CHADLO C[C@@H]1C[N@H+](C/C(Cl)=C/Cl)C[C@H](C)C1(F)F ZINC000859413332 1123696813 /nfs/dbraw/zinc/69/68/13/1123696813.db2.gz CJUXBRRBDRONST-VPJUWPHDSA-N 1 2 258.139 3.529 20 0 CHADLO FC1(F)C[C@H]2CC[C@@H](C1)[N@H+]2C/C(Cl)=C\Cl ZINC000859431246 1123697603 /nfs/dbraw/zinc/69/76/03/1123697603.db2.gz SMHHJNNXVPGXHW-AXDPDMTESA-N 1 2 256.123 3.568 20 0 CHADLO FC1(F)C[C@H]2CC[C@@H](C1)[N@@H+]2C/C(Cl)=C\Cl ZINC000859431246 1123697607 /nfs/dbraw/zinc/69/76/07/1123697607.db2.gz SMHHJNNXVPGXHW-AXDPDMTESA-N 1 2 256.123 3.568 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1ccc(F)cn1 ZINC000346616005 1123698098 /nfs/dbraw/zinc/69/80/98/1123698098.db2.gz OIXBMAVZLWWSSK-NEPJUHHUSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1ccc(F)cn1 ZINC000346616005 1123698101 /nfs/dbraw/zinc/69/81/01/1123698101.db2.gz OIXBMAVZLWWSSK-NEPJUHHUSA-N 1 2 288.341 3.868 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+](C)Cc1ncc(Cl)n1C ZINC000194985298 1129140154 /nfs/dbraw/zinc/14/01/54/1129140154.db2.gz BXUKVBGYZSIXAX-CYBMUJFWSA-N 1 2 295.789 3.796 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1ncc(Cl)n1C ZINC000194985298 1129140158 /nfs/dbraw/zinc/14/01/58/1129140158.db2.gz BXUKVBGYZSIXAX-CYBMUJFWSA-N 1 2 295.789 3.796 20 0 CHADLO CCc1ccc(C[N@@H+]2CCO[C@@H](c3ccccc3F)C2)cc1 ZINC000522712084 1123719409 /nfs/dbraw/zinc/71/94/09/1123719409.db2.gz BRLQMZMMBCVBMR-LJQANCHMSA-N 1 2 299.389 3.962 20 0 CHADLO CCc1ccc(C[N@H+]2CCO[C@@H](c3ccccc3F)C2)cc1 ZINC000522712084 1123719413 /nfs/dbraw/zinc/71/94/13/1123719413.db2.gz BRLQMZMMBCVBMR-LJQANCHMSA-N 1 2 299.389 3.962 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](C)c2ccns2)cs1 ZINC000860052903 1123719720 /nfs/dbraw/zinc/71/97/20/1123719720.db2.gz LJYOJJMWQPUAOZ-JTQLQIEISA-N 1 2 281.450 3.793 20 0 CHADLO COc1ccncc1C[N@H+](C)[C@H](C)c1ccc(F)c(F)c1 ZINC000346893320 1123730956 /nfs/dbraw/zinc/73/09/56/1123730956.db2.gz UTLULENPNNIGNG-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1ccncc1C[N@@H+](C)[C@H](C)c1ccc(F)c(F)c1 ZINC000346893320 1123730958 /nfs/dbraw/zinc/73/09/58/1123730958.db2.gz UTLULENPNNIGNG-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO Cc1[nH]c(CNC(=O)c2cccc(C3CCCC3)c2)[nH+]c1C ZINC000896908144 1123771275 /nfs/dbraw/zinc/77/12/75/1123771275.db2.gz WUIVQLITTZPBRX-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO COc1c(Nc2cc[nH+]c(SC)c2)ccc(F)c1F ZINC001211893014 1123788906 /nfs/dbraw/zinc/78/89/06/1123788906.db2.gz URRNVLFSWGQFKX-UHFFFAOYSA-N 1 2 282.315 3.834 20 0 CHADLO Cc1[nH]c(CN2CCC[C@@H]2c2ccc(F)c(F)c2)[nH+]c1C ZINC000862244747 1123794391 /nfs/dbraw/zinc/79/43/91/1123794391.db2.gz FCPPRURMBBMHFZ-OAHLLOKOSA-N 1 2 291.345 3.642 20 0 CHADLO COc1ccc2ccc(NC3CC4(C3)CO[C@@H](C)C4)[nH+]c2c1 ZINC000897046334 1123803117 /nfs/dbraw/zinc/80/31/17/1123803117.db2.gz YJBDCNYZXNRBTC-DTVQEZCTSA-N 1 2 298.386 3.613 20 0 CHADLO Cc1c(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)ccnc1F ZINC000862376222 1123804510 /nfs/dbraw/zinc/80/45/10/1123804510.db2.gz HSWKESPGTABEQT-HNNXBMFYSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1c(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)ccnc1F ZINC000862376222 1123804523 /nfs/dbraw/zinc/80/45/23/1123804523.db2.gz HSWKESPGTABEQT-HNNXBMFYSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1c(F)nccc1C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC000862392823 1123806412 /nfs/dbraw/zinc/80/64/12/1123806412.db2.gz RJGKDZFOWPGNJE-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1c(F)nccc1C[N@H+]1CCc2cccc(Cl)c2C1 ZINC000862392823 1123806421 /nfs/dbraw/zinc/80/64/21/1123806421.db2.gz RJGKDZFOWPGNJE-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO COc1ccccc1CNc1[nH]c2ccc(Cl)cc2[nH+]1 ZINC000082739911 1129146953 /nfs/dbraw/zinc/14/69/53/1129146953.db2.gz ZNGDKINZSIOSGD-UHFFFAOYSA-N 1 2 287.750 3.837 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000475276854 1123830918 /nfs/dbraw/zinc/83/09/18/1123830918.db2.gz CBRDSUTVQILOCF-APPZFPTMSA-N 1 2 297.242 3.877 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)c(F)c(F)c1 ZINC000475276854 1123830924 /nfs/dbraw/zinc/83/09/24/1123830924.db2.gz CBRDSUTVQILOCF-APPZFPTMSA-N 1 2 297.242 3.877 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](C)c2cc3ccccc3o2)n1C1CC1 ZINC000182625898 1123851314 /nfs/dbraw/zinc/85/13/14/1123851314.db2.gz HZMHGCHYCNTJIO-NSHDSACASA-N 1 2 296.374 3.518 20 0 CHADLO C[C@H]1CCCC[C@@H]1N(C)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000031386650 1123862872 /nfs/dbraw/zinc/86/28/72/1123862872.db2.gz JXKBJDMDZMHPMD-YOEHRIQHSA-N 1 2 297.402 3.523 20 0 CHADLO Fc1ccc(C2(CNc3cccc[nH+]3)CCC2)cc1 ZINC000301101088 1123875602 /nfs/dbraw/zinc/87/56/02/1123875602.db2.gz HSENDAQQLVZMIW-UHFFFAOYSA-N 1 2 256.324 3.755 20 0 CHADLO CN(Cc1ccc(OC(F)(F)F)cc1)c1cccc[nH+]1 ZINC000301128802 1123876576 /nfs/dbraw/zinc/87/65/76/1123876576.db2.gz YIBOCUJIFLDYCO-UHFFFAOYSA-N 1 2 282.265 3.617 20 0 CHADLO CCC(F)(F)C[NH2+]C[C@H](O)c1cccc2ccccc21 ZINC000863575237 1123877049 /nfs/dbraw/zinc/87/70/49/1123877049.db2.gz HDWOMFJEYLNBJP-HNNXBMFYSA-N 1 2 279.330 3.508 20 0 CHADLO C[C@@H](CCc1ccccc1)Nc1cc(N2CCCC2)nc[nH+]1 ZINC000301195920 1123880656 /nfs/dbraw/zinc/88/06/56/1123880656.db2.gz YVMZDVCCKHEJFO-HNNXBMFYSA-N 1 2 296.418 3.510 20 0 CHADLO C[C@@H](CCc1ccccc1)Nc1cc(N2CCCC2)[nH+]cn1 ZINC000301195920 1123880664 /nfs/dbraw/zinc/88/06/64/1123880664.db2.gz YVMZDVCCKHEJFO-HNNXBMFYSA-N 1 2 296.418 3.510 20 0 CHADLO Clc1ccc(CNc2cc(NCC3CC3)[nH+]cn2)cc1 ZINC000301276820 1123887404 /nfs/dbraw/zinc/88/74/04/1123887404.db2.gz JBTUBWIRKLJMKY-UHFFFAOYSA-N 1 2 288.782 3.564 20 0 CHADLO Clc1ccc(CNc2cc(NCC3CC3)nc[nH+]2)cc1 ZINC000301276820 1123887410 /nfs/dbraw/zinc/88/74/10/1123887410.db2.gz JBTUBWIRKLJMKY-UHFFFAOYSA-N 1 2 288.782 3.564 20 0 CHADLO C[C@@H]1C[C@@H](c2ccc(F)cc2)CN1c1cccc[nH+]1 ZINC000301319216 1123891656 /nfs/dbraw/zinc/89/16/56/1123891656.db2.gz DNEJNJKIZUKKQR-TZMCWYRMSA-N 1 2 256.324 3.603 20 0 CHADLO Fc1cccc(Cl)c1[C@@H]1C[C@@H]1Nc1cccc[nH+]1 ZINC000301349614 1123893955 /nfs/dbraw/zinc/89/39/55/1123893955.db2.gz KXCAWJVTGIGAFE-SKDRFNHKSA-N 1 2 262.715 3.842 20 0 CHADLO Cc1cc(N[C@H]2CCCC[C@H]2n2cccn2)nc(C(C)C)[nH+]1 ZINC000301414739 1123898425 /nfs/dbraw/zinc/89/84/25/1123898425.db2.gz BHIVTRPVNXEPGQ-LSDHHAIUSA-N 1 2 299.422 3.701 20 0 CHADLO Cc1cc(N[C@H](C)CCc2cccn2C)nc(C(C)C)[nH+]1 ZINC000301464916 1123903075 /nfs/dbraw/zinc/90/30/75/1123903075.db2.gz PPYCQQWDVKLICC-CYBMUJFWSA-N 1 2 286.423 3.680 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cncc(Cl)c1 ZINC000621245228 1129152786 /nfs/dbraw/zinc/15/27/86/1129152786.db2.gz UHTHDJLPTYJFAL-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cncc(Cl)c1 ZINC000621245228 1129152787 /nfs/dbraw/zinc/15/27/87/1129152787.db2.gz UHTHDJLPTYJFAL-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(CN(c2cccc[nH+]2)C2CC2)o1 ZINC000301511927 1123907113 /nfs/dbraw/zinc/90/71/13/1123907113.db2.gz IRBIFTSOGJGROC-DOMZBBRYSA-N 1 2 268.360 3.967 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1cccc2c1OCO2 ZINC000863985768 1123907573 /nfs/dbraw/zinc/90/75/73/1123907573.db2.gz MBXGWCRIXKNUDN-LBPRGKRZSA-N 1 2 284.359 3.654 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccccc2)c2c([nH+]1)CCCC2 ZINC000301565935 1123912974 /nfs/dbraw/zinc/91/29/74/1123912974.db2.gz MAEUBUMYKYWSQD-LBPRGKRZSA-N 1 2 267.376 3.837 20 0 CHADLO FC1(F)CC[NH+](Cc2cc(-c3ccccc3)on2)CC1 ZINC000794581371 1123915012 /nfs/dbraw/zinc/91/50/12/1123915012.db2.gz IFYLASYJALCBJD-UHFFFAOYSA-N 1 2 278.302 3.573 20 0 CHADLO Cc1cc(N2CCC[C@@H]3CCC[C@@H]32)nc(C(C)C)[nH+]1 ZINC000301639956 1123919367 /nfs/dbraw/zinc/91/93/67/1123919367.db2.gz GEPFOAPHHGSULE-KBPBESRZSA-N 1 2 259.397 3.677 20 0 CHADLO Nc1nc(N2CCC[C@@H]2c2ccccc2)[nH+]c2ccccc12 ZINC000301774346 1123931697 /nfs/dbraw/zinc/93/16/97/1123931697.db2.gz KMYSPGXHHPQJOC-MRXNPFEDSA-N 1 2 290.370 3.554 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NCCC1=CCCCC1 ZINC000301890630 1123941533 /nfs/dbraw/zinc/94/15/33/1123941533.db2.gz NYNZMDKTLTULEY-UHFFFAOYSA-N 1 2 299.422 3.884 20 0 CHADLO Cc1cccc([C@H](Nc2cccc[nH+]2)c2ccccn2)c1 ZINC000301894082 1123941978 /nfs/dbraw/zinc/94/19/78/1123941978.db2.gz PIECFWWKQJEBQM-SFHVURJKSA-N 1 2 275.355 3.987 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2cccc(F)c2)nc(C)[nH+]1 ZINC000302058894 1123952140 /nfs/dbraw/zinc/95/21/40/1123952140.db2.gz RUWCGHTYTQRJML-INIZCTEOSA-N 1 2 285.366 3.828 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@]12C[C@@H]1CCCC2 ZINC000865072496 1123956468 /nfs/dbraw/zinc/95/64/68/1123956468.db2.gz UHWXAVYCKPMWRI-GUYCJALGSA-N 1 2 281.359 3.596 20 0 CHADLO COc1ccccc1C1(CNc2cccc[nH+]2)CCC1 ZINC000302137781 1123956958 /nfs/dbraw/zinc/95/69/58/1123956958.db2.gz BZQQKWHPXKKCME-UHFFFAOYSA-N 1 2 268.360 3.624 20 0 CHADLO COc1ccc2c(c1)CCC[C@@H]2CNc1cccc[nH+]1 ZINC000302189359 1123961039 /nfs/dbraw/zinc/96/10/39/1123961039.db2.gz KHONGEWBUNYIKF-CQSZACIVSA-N 1 2 268.360 3.622 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCC[C@@H]2CCCCO2)c1 ZINC000302218192 1123961592 /nfs/dbraw/zinc/96/15/92/1123961592.db2.gz KRSQYKJZLMIRQW-LBPRGKRZSA-N 1 2 288.313 3.862 20 0 CHADLO CC(C)c1cc(N[C@H]2CCC[C@H]2CCO)nc(C(C)C)[nH+]1 ZINC000302428199 1123968340 /nfs/dbraw/zinc/96/83/40/1123968340.db2.gz KNTUIYIKCQBTBA-KBPBESRZSA-N 1 2 291.439 3.686 20 0 CHADLO Cc1nc(N[C@@H]2CC[C@@H](Cc3ccccc3)C2)cc[nH+]1 ZINC000302519598 1123971067 /nfs/dbraw/zinc/97/10/67/1123971067.db2.gz WWTFOPHENPODPR-JKSUJKDBSA-N 1 2 267.376 3.608 20 0 CHADLO Cc1nc(N[C@H]2CC[C@@H](Cc3ccccc3)C2)cc[nH+]1 ZINC000302519595 1123971370 /nfs/dbraw/zinc/97/13/70/1123971370.db2.gz WWTFOPHENPODPR-HOTGVXAUSA-N 1 2 267.376 3.608 20 0 CHADLO CC/C(C)=C(\C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000779851864 1129156795 /nfs/dbraw/zinc/15/67/95/1129156795.db2.gz YQYODRSBBPCXLD-OUKQBFOZSA-N 1 2 269.348 3.557 20 0 CHADLO Fc1cccc2c(NCCCNc3cccc[nH+]3)ccnc12 ZINC000302648815 1123975873 /nfs/dbraw/zinc/97/58/73/1123975873.db2.gz GEWYQOHXHJJJBY-UHFFFAOYSA-N 1 2 296.349 3.683 20 0 CHADLO CC(C)N(C(=O)Cn1c[nH+]c(C(C)(C)C)c1)c1ccccc1 ZINC000865374816 1123976273 /nfs/dbraw/zinc/97/62/73/1123976273.db2.gz LZMQAKYCHWVDJG-UHFFFAOYSA-N 1 2 299.418 3.622 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccccc1)c1ccc(F)cc1)c1ncc[nH]1 ZINC000336859422 1123976457 /nfs/dbraw/zinc/97/64/57/1123976457.db2.gz HJNXUQZRQPRIMM-CXAGYDPISA-N 1 2 295.361 3.989 20 0 CHADLO CC(C)(C)c1cn(Cc2nc3ccccc3s2)c[nH+]1 ZINC000865375130 1123976816 /nfs/dbraw/zinc/97/68/16/1123976816.db2.gz PUFJRPOXTSYCBL-UHFFFAOYSA-N 1 2 271.389 3.839 20 0 CHADLO CCC(CC)n1ccc(Cn2c[nH+]c(C(C)(C)C)c2)n1 ZINC000865376522 1123977347 /nfs/dbraw/zinc/97/73/47/1123977347.db2.gz RBPVBGDZGSERQP-UHFFFAOYSA-N 1 2 274.412 3.787 20 0 CHADLO CCC1(CC)CCC(Nc2[nH+]cnc3c2cnn3C)CC1 ZINC000302761131 1123981760 /nfs/dbraw/zinc/98/17/60/1123981760.db2.gz KFRVVTHXNDVKOK-UHFFFAOYSA-N 1 2 287.411 3.524 20 0 CHADLO COCCN(Cc1ccc(F)cc1Cl)c1cccc[nH+]1 ZINC000302839936 1123985786 /nfs/dbraw/zinc/98/57/86/1123985786.db2.gz ZSXZWISLDYEDPC-UHFFFAOYSA-N 1 2 294.757 3.527 20 0 CHADLO COC[C@H](Nc1cccc[nH+]1)c1ccc(Cl)cc1 ZINC000302851527 1123987081 /nfs/dbraw/zinc/98/70/81/1123987081.db2.gz IZKYOHIGAFQZSO-ZDUSSCGKSA-N 1 2 262.740 3.535 20 0 CHADLO CC[C@H]([NH2+]Cc1cn(C2CC2)cn1)c1ccc(F)cc1F ZINC000865470873 1123987604 /nfs/dbraw/zinc/98/76/04/1123987604.db2.gz JTALSQVZJGJPIZ-INIZCTEOSA-N 1 2 291.345 3.737 20 0 CHADLO CC[C@@H]([NH2+]Cc1cn(C2CC2)cn1)c1ccc(F)cc1F ZINC000865470874 1123987716 /nfs/dbraw/zinc/98/77/16/1123987716.db2.gz JTALSQVZJGJPIZ-MRXNPFEDSA-N 1 2 291.345 3.737 20 0 CHADLO Cc1c[nH+]cc(N[C@@H]2C[C@H]2c2ccc(F)c(F)c2)c1C ZINC001168068059 1123991577 /nfs/dbraw/zinc/99/15/77/1123991577.db2.gz KXTTVSJSMWLXQQ-SWLSCSKDSA-N 1 2 274.314 3.945 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(Cl)cncc2Cl)o1 ZINC000865723344 1124007485 /nfs/dbraw/zinc/00/74/85/1124007485.db2.gz JLVFDQLMFLTEPT-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3CCC4(CC4)CC3)cc2[nH+]1 ZINC000665795848 1124014129 /nfs/dbraw/zinc/01/41/29/1124014129.db2.gz FASSLKDSPARIRX-UHFFFAOYSA-N 1 2 283.375 3.780 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2cncc(Cl)c2)CC1 ZINC000621292007 1129160797 /nfs/dbraw/zinc/16/07/97/1129160797.db2.gz LFTYWPHZHAWODF-UHFFFAOYSA-N 1 2 292.732 3.899 20 0 CHADLO COc1ccc2c(c1)CCC[C@@H]2[NH2+][C@H](C)C(C)(F)F ZINC000389452448 1124025923 /nfs/dbraw/zinc/02/59/23/1124025923.db2.gz UVRFDLMCEMMBSB-YGRLFVJLSA-N 1 2 269.335 3.706 20 0 CHADLO CCCO[C@@H]1CCCN(c2cc(C)[nH+]c(C(C)C)n2)CC1 ZINC000108350688 1124026071 /nfs/dbraw/zinc/02/60/71/1124026071.db2.gz VAMIVWVKISUXDO-OAHLLOKOSA-N 1 2 291.439 3.694 20 0 CHADLO CC(C)c1cc(N[C@H](C)c2ccnn2C)nc(C(C)C)[nH+]1 ZINC000866251592 1124042069 /nfs/dbraw/zinc/04/20/69/1124042069.db2.gz OWMZGPFQSIAPNW-GFCCVEGCSA-N 1 2 287.411 3.630 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+](C)CCO[C@H]1CCCCO1 ZINC000444589944 1124044596 /nfs/dbraw/zinc/04/45/96/1124044596.db2.gz LEFSCPYWUHNJQI-WFASDCNBSA-N 1 2 299.361 3.501 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+](C)CCO[C@H]1CCCCO1 ZINC000444589944 1124044601 /nfs/dbraw/zinc/04/46/01/1124044601.db2.gz LEFSCPYWUHNJQI-WFASDCNBSA-N 1 2 299.361 3.501 20 0 CHADLO CC[C@H]([NH2+][C@@H]1c2ccccc2OC[C@@H]1F)c1ccncc1 ZINC000866272824 1124045307 /nfs/dbraw/zinc/04/53/07/1124045307.db2.gz VQDFHYPQLMNSCZ-YQQAZPJKSA-N 1 2 286.350 3.594 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCCc3ncccc32)cc1 ZINC000866330390 1124052722 /nfs/dbraw/zinc/05/27/22/1124052722.db2.gz NCSARDUHWSPOTN-QGZVFWFLSA-N 1 2 267.376 3.637 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2OC[C@@H]1F)c1ccsc1 ZINC000866355266 1124056520 /nfs/dbraw/zinc/05/65/20/1124056520.db2.gz WDDQMFPYAAWROY-XEGUGMAKSA-N 1 2 277.364 3.871 20 0 CHADLO Cc1csc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)c1 ZINC000866367201 1124058212 /nfs/dbraw/zinc/05/82/12/1124058212.db2.gz GYSDPJXTHRXVJN-DZGCQCFKSA-N 1 2 277.364 3.618 20 0 CHADLO Cc1nccnc1C[NH2+][C@H](C)c1ccc(Cl)cc1Cl ZINC000621325711 1129163427 /nfs/dbraw/zinc/16/34/27/1129163427.db2.gz IAWOUUDDEBIHNQ-SECBINFHSA-N 1 2 296.201 3.943 20 0 CHADLO Cn1c[nH+]cc1CN1C[C@@H](c2ccccc2)c2ccccc21 ZINC000444772845 1124062331 /nfs/dbraw/zinc/06/23/31/1124062331.db2.gz BNJPFPOCNWEOAR-SFHVURJKSA-N 1 2 289.382 3.572 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@](C)(CC)c2nc(C)cs2)o1 ZINC000428380311 1124067227 /nfs/dbraw/zinc/06/72/27/1124067227.db2.gz KWGDBWXHMJIYFM-HNNXBMFYSA-N 1 2 293.436 3.725 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)Nc2ccccc2C)c1 ZINC000114111431 1124081315 /nfs/dbraw/zinc/08/13/15/1124081315.db2.gz QOQNBGZOZQYWDW-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(C(C)(C)C)o1)c1nccn1C ZINC000866572709 1124084034 /nfs/dbraw/zinc/08/40/34/1124084034.db2.gz BDONUEYMRVYCTK-ZDUSSCGKSA-N 1 2 275.396 3.552 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+]Cc1ccc(C(C)(C)C)o1 ZINC000866574282 1124085553 /nfs/dbraw/zinc/08/55/53/1124085553.db2.gz RFPQKHXPZMKFKO-LBPRGKRZSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1cc(N2CCCCC(C)(C)C2)nc(C2CC2)[nH+]1 ZINC000445662807 1124096497 /nfs/dbraw/zinc/09/64/97/1124096497.db2.gz VGJKNEUGIVJSLK-UHFFFAOYSA-N 1 2 259.397 3.679 20 0 CHADLO COc1cccc2c(NCC[C@H]3CC3(F)F)cc[nH+]c12 ZINC000621346463 1129166891 /nfs/dbraw/zinc/16/68/91/1129166891.db2.gz PICMOCWJJOYAQK-JTQLQIEISA-N 1 2 278.302 3.701 20 0 CHADLO CCCCC[N@H+](Cc1nsc(N(C)C)n1)[C@@H](C)CCC ZINC000446832658 1124124829 /nfs/dbraw/zinc/12/48/29/1124124829.db2.gz CQWUUAGRBUJXQZ-ZDUSSCGKSA-N 1 2 298.500 3.785 20 0 CHADLO CCCCC[N@@H+](Cc1nsc(N(C)C)n1)[C@@H](C)CCC ZINC000446832658 1124124830 /nfs/dbraw/zinc/12/48/30/1124124830.db2.gz CQWUUAGRBUJXQZ-ZDUSSCGKSA-N 1 2 298.500 3.785 20 0 CHADLO CCOC[C@@H](C)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000446903114 1124127173 /nfs/dbraw/zinc/12/71/73/1124127173.db2.gz VLDBZVGBRXEKMP-CQSZACIVSA-N 1 2 299.418 3.723 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1ccc(-c2ccccc2)cc1F ZINC000446895374 1124127193 /nfs/dbraw/zinc/12/71/93/1124127193.db2.gz BQKZDOHWWROMEY-CQSZACIVSA-N 1 2 285.362 3.713 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1ccc(-c2ccccc2)cc1F ZINC000446895374 1124127194 /nfs/dbraw/zinc/12/71/94/1124127194.db2.gz BQKZDOHWWROMEY-CQSZACIVSA-N 1 2 285.362 3.713 20 0 CHADLO Cc1cc(NC[C@H](O)[C@@H](C)c2ccccc2)ccc1[NH+](C)C ZINC000819407850 1131245745 /nfs/dbraw/zinc/24/57/45/1131245745.db2.gz CXCZAMSEZVXPQS-KXBFYZLASA-N 1 2 298.430 3.638 20 0 CHADLO CC(C)Oc1cc(C[N@H+](C)Cc2cccs2)c(F)cn1 ZINC001238803966 1131248627 /nfs/dbraw/zinc/24/86/27/1131248627.db2.gz JZDTUFGPXCIHJG-UHFFFAOYSA-N 1 2 294.395 3.701 20 0 CHADLO CC(C)Oc1cc(C[N@@H+](C)Cc2cccs2)c(F)cn1 ZINC001238803966 1131248629 /nfs/dbraw/zinc/24/86/29/1131248629.db2.gz JZDTUFGPXCIHJG-UHFFFAOYSA-N 1 2 294.395 3.701 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000867943207 1124451517 /nfs/dbraw/zinc/45/15/17/1124451517.db2.gz OWIKNDDRURIAMR-NMFUWQPSSA-N 1 2 295.386 3.616 20 0 CHADLO Cc1cc(C)c(CNC(=O)/C=C\CCc2ccccc2)c[nH+]1 ZINC000868792392 1124485000 /nfs/dbraw/zinc/48/50/00/1124485000.db2.gz IYVGTTPAYKCNCF-XFFZJAGNSA-N 1 2 294.398 3.504 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2C[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000428491007 1124500230 /nfs/dbraw/zinc/50/02/30/1124500230.db2.gz RJOUIBZBDGYVKT-YNEHKIRRSA-N 1 2 299.361 3.594 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2C[C@H](C)O[C@H](C)[C@H]2C)c1 ZINC000428491007 1124500235 /nfs/dbraw/zinc/50/02/35/1124500235.db2.gz RJOUIBZBDGYVKT-YNEHKIRRSA-N 1 2 299.361 3.594 20 0 CHADLO c1[nH+]c(SC[C@@H]2CCC3(CCCC3)O2)n2ccccc12 ZINC000526068645 1124503939 /nfs/dbraw/zinc/50/39/39/1124503939.db2.gz CWVLVHHFAFNPPY-AWEZNQCLSA-N 1 2 288.416 3.918 20 0 CHADLO COc1cc(C[N@@H+]2CCOC[C@H]2c2ccccc2)ccc1C ZINC000447730357 1124513623 /nfs/dbraw/zinc/51/36/23/1124513623.db2.gz PZCIMTULPXDCRQ-SFHVURJKSA-N 1 2 297.398 3.577 20 0 CHADLO COc1cc(C[N@H+]2CCOC[C@H]2c2ccccc2)ccc1C ZINC000447730357 1124513628 /nfs/dbraw/zinc/51/36/28/1124513628.db2.gz PZCIMTULPXDCRQ-SFHVURJKSA-N 1 2 297.398 3.577 20 0 CHADLO C[C@@H]1[C@H](C)OCC[N@@H+]1CCCc1c(F)cccc1Cl ZINC000447744625 1124520415 /nfs/dbraw/zinc/52/04/15/1124520415.db2.gz KTWQXMLZPKQZRD-NEPJUHHUSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@@H]1[C@H](C)OCC[N@H+]1CCCc1c(F)cccc1Cl ZINC000447744625 1124520421 /nfs/dbraw/zinc/52/04/21/1124520421.db2.gz KTWQXMLZPKQZRD-NEPJUHHUSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@H]1OCC[N@H+](CCCc2c(F)cccc2Cl)[C@@H]1C ZINC000447744628 1124521309 /nfs/dbraw/zinc/52/13/09/1124521309.db2.gz KTWQXMLZPKQZRD-VXGBXAGGSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@H]1OCC[N@@H+](CCCc2c(F)cccc2Cl)[C@@H]1C ZINC000447744628 1124521315 /nfs/dbraw/zinc/52/13/15/1124521315.db2.gz KTWQXMLZPKQZRD-VXGBXAGGSA-N 1 2 285.790 3.521 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)[C@H](C)c1ccc(F)cc1F ZINC000123048436 1124558987 /nfs/dbraw/zinc/55/89/87/1124558987.db2.gz BFFRXAZWGVORCQ-SNVBAGLBSA-N 1 2 280.318 3.763 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)[C@H](C)c1ccc(F)cc1F ZINC000123048436 1124559007 /nfs/dbraw/zinc/55/90/07/1124559007.db2.gz BFFRXAZWGVORCQ-SNVBAGLBSA-N 1 2 280.318 3.763 20 0 CHADLO Clc1ccc2nc(C[N@@H+]3CCc4ccccc4C3)cn2c1 ZINC000016332777 1124569341 /nfs/dbraw/zinc/56/93/41/1124569341.db2.gz MHWOBSQTVXXMCU-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2nc(C[N@H+]3CCc4ccccc4C3)cn2c1 ZINC000016332777 1124569346 /nfs/dbraw/zinc/56/93/46/1124569346.db2.gz MHWOBSQTVXXMCU-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO C[C@@H]1CCC[C@@H](CC[NH2+][C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000527376931 1124576246 /nfs/dbraw/zinc/57/62/46/1124576246.db2.gz GWSNFBFJDLGZRZ-VYDXJSESSA-N 1 2 298.434 3.700 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2c(Cl)cccc2OC)o1 ZINC001120040921 1131271648 /nfs/dbraw/zinc/27/16/48/1131271648.db2.gz SOIOCPGUHPCHPR-UHFFFAOYSA-N 1 2 295.766 3.630 20 0 CHADLO CO[C@H]1C[C@@H](CSCc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000871702611 1124630473 /nfs/dbraw/zinc/63/04/73/1124630473.db2.gz SDXZUGULJANEKG-FZNQNYSPSA-N 1 2 288.416 3.531 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@H+](C)Cc2ccncc2F)s1 ZINC000449026782 1124634712 /nfs/dbraw/zinc/63/47/12/1124634712.db2.gz GMUCBGULTMWFSA-LLVKDONJSA-N 1 2 293.411 3.741 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@@H+](C)Cc2ccncc2F)s1 ZINC000449026782 1124634715 /nfs/dbraw/zinc/63/47/15/1124634715.db2.gz GMUCBGULTMWFSA-LLVKDONJSA-N 1 2 293.411 3.741 20 0 CHADLO C/C(Cl)=C/C[N@H+]1C[C@H](c2ccccc2)OC[C@@H]1C ZINC000528368240 1124648910 /nfs/dbraw/zinc/64/89/10/1124648910.db2.gz LTBZEMNUDXWBEW-UGQYRENISA-N 1 2 265.784 3.591 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1C[C@H](c2ccccc2)OC[C@@H]1C ZINC000528368240 1124648915 /nfs/dbraw/zinc/64/89/15/1124648915.db2.gz LTBZEMNUDXWBEW-UGQYRENISA-N 1 2 265.784 3.591 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncccc2Cl)[C@H]1c1ccccc1 ZINC000528392602 1124651751 /nfs/dbraw/zinc/65/17/51/1124651751.db2.gz CDSKMVKKKYUXGB-BLLLJJGKSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncccc2Cl)[C@H]1c1ccccc1 ZINC000528392602 1124651773 /nfs/dbraw/zinc/65/17/73/1124651773.db2.gz CDSKMVKKKYUXGB-BLLLJJGKSA-N 1 2 272.779 3.928 20 0 CHADLO CC(C)(C)c1cn(C[C@H](O)c2cccc(Cl)c2)c[nH+]1 ZINC000871989625 1124655879 /nfs/dbraw/zinc/65/58/79/1124655879.db2.gz QJIYATFGNOGNOQ-ZDUSSCGKSA-N 1 2 278.783 3.568 20 0 CHADLO CC(C)(C)c1cn(C[C@@H](O)c2ccc(F)cc2Cl)c[nH+]1 ZINC000871988335 1124656057 /nfs/dbraw/zinc/65/60/57/1124656057.db2.gz ADIFDUFIALBQSM-CYBMUJFWSA-N 1 2 296.773 3.707 20 0 CHADLO Cc1cc(N)nc(S[C@@H]2CCc3cc(Cl)ccc32)[nH+]1 ZINC000795197814 1124656849 /nfs/dbraw/zinc/65/68/49/1124656849.db2.gz UNZJITDVDIODOB-GFCCVEGCSA-N 1 2 291.807 3.800 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H](C)c2cccc(O)c2F)n1 ZINC000872032284 1124661834 /nfs/dbraw/zinc/66/18/34/1124661834.db2.gz QWIIZZJPLQCRJS-ZJUUUORDSA-N 1 2 280.368 3.708 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CCC[C@@H]1c1nc2c(n1C)CCCC2 ZINC000528458613 1124669279 /nfs/dbraw/zinc/66/92/79/1124669279.db2.gz GMWDRMYLMHYLDO-SAAWKEMMSA-N 1 2 293.842 3.578 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CCC[C@@H]1c1nc2c(n1C)CCCC2 ZINC000528458613 1124669282 /nfs/dbraw/zinc/66/92/82/1124669282.db2.gz GMWDRMYLMHYLDO-SAAWKEMMSA-N 1 2 293.842 3.578 20 0 CHADLO COCC[C@@H]([NH2+][C@H](C)c1cccc(O)c1F)c1ccco1 ZINC000872060576 1124670221 /nfs/dbraw/zinc/67/02/21/1124670221.db2.gz RJTFAFCAVJOEMT-DGCLKSJQSA-N 1 2 293.338 3.553 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N(C)C)c(C)c1)[C@@H]1CCCOC1 ZINC000872059570 1124670251 /nfs/dbraw/zinc/67/02/51/1124670251.db2.gz INMANZCOGRNXSR-GDBMZVCRSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@@H](Nc1ccc([NH+](C)C)c(C)c1)[C@@H]1CCCOC1 ZINC000872059570 1124670255 /nfs/dbraw/zinc/67/02/55/1124670255.db2.gz INMANZCOGRNXSR-GDBMZVCRSA-N 1 2 276.424 3.678 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449224002 1124674470 /nfs/dbraw/zinc/67/44/70/1124674470.db2.gz GSXQFPJQFWJHEU-CQSZACIVSA-N 1 2 284.407 3.586 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449224002 1124674471 /nfs/dbraw/zinc/67/44/71/1124674471.db2.gz GSXQFPJQFWJHEU-CQSZACIVSA-N 1 2 284.407 3.586 20 0 CHADLO Fc1cccc2c(C[NH+]3CC(Oc4ccccc4)C3)c[nH]c21 ZINC001137056270 1124683167 /nfs/dbraw/zinc/68/31/67/1124683167.db2.gz OATSSFRHBQZVQT-UHFFFAOYSA-N 1 2 296.345 3.570 20 0 CHADLO C[C@@H](Cc1ccoc1)[NH2+]CC(F)(F)c1ccccc1 ZINC000449278902 1124683261 /nfs/dbraw/zinc/68/32/61/1124683261.db2.gz MUEAHIWIJIHLPD-LBPRGKRZSA-N 1 2 265.303 3.592 20 0 CHADLO Fc1cncc([C@@H]([NH2+]Cc2ncc(Cl)s2)C2CC2)c1 ZINC000872112355 1124685900 /nfs/dbraw/zinc/68/59/00/1124685900.db2.gz IOWKOUBYEUXDAT-ZDUSSCGKSA-N 1 2 297.786 3.572 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1Cc1cccc2ccoc21 ZINC000449321928 1124693450 /nfs/dbraw/zinc/69/34/50/1124693450.db2.gz VIGPLVOHFLSXGC-GFCCVEGCSA-N 1 2 251.276 3.662 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1Cc1cccc2ccoc21 ZINC000449321928 1124693451 /nfs/dbraw/zinc/69/34/51/1124693451.db2.gz VIGPLVOHFLSXGC-GFCCVEGCSA-N 1 2 251.276 3.662 20 0 CHADLO Fc1c(Cl)cccc1-c1c[nH+]c2c(c1)CCCN2 ZINC001238959842 1131278738 /nfs/dbraw/zinc/27/87/38/1131278738.db2.gz JRKPPKREUSQZSB-UHFFFAOYSA-N 1 2 262.715 3.542 20 0 CHADLO CSc1cc(-c2cccn3cc[nH+]c23)ccc1F ZINC001238961645 1131279658 /nfs/dbraw/zinc/27/96/58/1131279658.db2.gz MIDWWTMTEWKDCG-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+][C@H](C)C(C)(F)F)cc2c1C ZINC000449412549 1124731307 /nfs/dbraw/zinc/73/13/07/1124731307.db2.gz GELRLUUUAPGAAA-LLVKDONJSA-N 1 2 266.335 3.918 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1cccnc1Cl ZINC000689121404 1124772386 /nfs/dbraw/zinc/77/23/86/1124772386.db2.gz HXXNJNAGXGQWHB-UHFFFAOYSA-N 1 2 266.694 3.509 20 0 CHADLO C[N@H+](Cc1ccsc1Cl)[C@H](CO)c1ccccc1 ZINC000449454615 1124745248 /nfs/dbraw/zinc/74/52/48/1124745248.db2.gz PENYEMKIXJYIMK-CYBMUJFWSA-N 1 2 281.808 3.567 20 0 CHADLO C[N@@H+](Cc1ccsc1Cl)[C@H](CO)c1ccccc1 ZINC000449454615 1124745252 /nfs/dbraw/zinc/74/52/52/1124745252.db2.gz PENYEMKIXJYIMK-CYBMUJFWSA-N 1 2 281.808 3.567 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1cccnc1Cl ZINC000689121404 1124772381 /nfs/dbraw/zinc/77/23/81/1124772381.db2.gz HXXNJNAGXGQWHB-UHFFFAOYSA-N 1 2 266.694 3.509 20 0 CHADLO COc1cccc(-c2cc[nH+]c(N3CCCC3)c2)c1C ZINC001238985927 1131286837 /nfs/dbraw/zinc/28/68/37/1131286837.db2.gz ZMEDATSUKYIYDZ-UHFFFAOYSA-N 1 2 268.360 3.666 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@H+](Cc1cccnc1)CC2 ZINC000528792867 1124807238 /nfs/dbraw/zinc/80/72/38/1124807238.db2.gz HPGJLJYCAXWPGG-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@@H+](Cc1cccnc1)CC2 ZINC000528792867 1124807245 /nfs/dbraw/zinc/80/72/45/1124807245.db2.gz HPGJLJYCAXWPGG-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO Clc1ccc([C@@H]2CCCC[N@@H+]2Cc2cc[nH]n2)cc1 ZINC000449569918 1124807587 /nfs/dbraw/zinc/80/75/87/1124807587.db2.gz IKRBWBIXQUANHI-HNNXBMFYSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccc([C@@H]2CCCC[N@H+]2Cc2cc[nH]n2)cc1 ZINC000449569918 1124807592 /nfs/dbraw/zinc/80/75/92/1124807592.db2.gz IKRBWBIXQUANHI-HNNXBMFYSA-N 1 2 275.783 3.790 20 0 CHADLO CCc1ncc(C[NH2+]Cc2cc(Cl)sc2Cl)o1 ZINC000449615747 1124820023 /nfs/dbraw/zinc/82/00/23/1124820023.db2.gz ZUVSAECKVNVJSN-UHFFFAOYSA-N 1 2 291.203 3.895 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000449627986 1124826341 /nfs/dbraw/zinc/82/63/41/1124826341.db2.gz BJQGRWNXZLQFAU-MRXNPFEDSA-N 1 2 285.391 3.784 20 0 CHADLO CC(C)n1cc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000449627986 1124826348 /nfs/dbraw/zinc/82/63/48/1124826348.db2.gz BJQGRWNXZLQFAU-MRXNPFEDSA-N 1 2 285.391 3.784 20 0 CHADLO COc1c(O)cccc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000449641860 1124828232 /nfs/dbraw/zinc/82/82/32/1124828232.db2.gz WBANNWAIAYCUEK-KRWDZBQOSA-N 1 2 299.370 3.721 20 0 CHADLO COc1c(O)cccc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000449641860 1124828246 /nfs/dbraw/zinc/82/82/46/1124828246.db2.gz WBANNWAIAYCUEK-KRWDZBQOSA-N 1 2 299.370 3.721 20 0 CHADLO CC(C)Cn1cc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000449637435 1124830928 /nfs/dbraw/zinc/83/09/28/1124830928.db2.gz GNSCJIGUEZSKAS-QGZVFWFLSA-N 1 2 299.418 3.859 20 0 CHADLO CC(C)Cn1cc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000449637435 1124830934 /nfs/dbraw/zinc/83/09/34/1124830934.db2.gz GNSCJIGUEZSKAS-QGZVFWFLSA-N 1 2 299.418 3.859 20 0 CHADLO CCCC[C@H]([NH2+][C@H](c1ccccc1)C1CCC1)C(=O)OC ZINC001168112407 1124850029 /nfs/dbraw/zinc/85/00/29/1124850029.db2.gz JASFBOJJGSBBMR-DLBZAZTESA-N 1 2 289.419 3.849 20 0 CHADLO COCc1cnc(C[N@H+](C)Cc2ccc(C)c(C)c2)s1 ZINC000449761772 1124860346 /nfs/dbraw/zinc/86/03/46/1124860346.db2.gz AVNLPOYUDPQGNM-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO COCc1cnc(C[N@@H+](C)Cc2ccc(C)c(C)c2)s1 ZINC000449761772 1124860353 /nfs/dbraw/zinc/86/03/53/1124860353.db2.gz AVNLPOYUDPQGNM-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO c1nc(C[N@@H+]2CCCCC[C@@H]2c2ccncc2)cs1 ZINC000120438071 1124937214 /nfs/dbraw/zinc/93/72/14/1124937214.db2.gz NHDNOFROQUHFSW-OAHLLOKOSA-N 1 2 273.405 3.655 20 0 CHADLO c1nc(C[N@H+]2CCCCC[C@@H]2c2ccncc2)cs1 ZINC000120438071 1124937216 /nfs/dbraw/zinc/93/72/16/1124937216.db2.gz NHDNOFROQUHFSW-OAHLLOKOSA-N 1 2 273.405 3.655 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1c(Cl)cncc1Cl ZINC000876531712 1124958235 /nfs/dbraw/zinc/95/82/35/1124958235.db2.gz MZPYWRUAHKBKTA-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1c(Cl)cncc1Cl ZINC000876531712 1124958240 /nfs/dbraw/zinc/95/82/40/1124958240.db2.gz MZPYWRUAHKBKTA-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1nc(Cl)cs1 ZINC000876546239 1124959571 /nfs/dbraw/zinc/95/95/71/1124959571.db2.gz UIHJMXCRKCPLAK-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1nc(Cl)cs1 ZINC000876546239 1124959573 /nfs/dbraw/zinc/95/95/73/1124959573.db2.gz UIHJMXCRKCPLAK-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H]1C[C@H]([NH2+]c2ccc(N3CCSCC3)cc2)CS1 ZINC000876863413 1124984765 /nfs/dbraw/zinc/98/47/65/1124984765.db2.gz FFIFRZNANDQPSZ-JSGCOSHPSA-N 1 2 294.489 3.546 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(Cl)nn1)c1cc(F)ccc1F ZINC000877056576 1125003719 /nfs/dbraw/zinc/00/37/19/1125003719.db2.gz YHQLMMUHTCPLGR-CYBMUJFWSA-N 1 2 297.736 3.649 20 0 CHADLO FC(F)C[N@@H+](CC/C=C/c1ccccc1)C1CC1 ZINC000450390266 1125007734 /nfs/dbraw/zinc/00/77/34/1125007734.db2.gz LNCVQEFYYKVPJS-XBXARRHUSA-N 1 2 251.320 3.819 20 0 CHADLO FC(F)C[N@H+](CC/C=C/c1ccccc1)C1CC1 ZINC000450390266 1125007724 /nfs/dbraw/zinc/00/77/24/1125007724.db2.gz LNCVQEFYYKVPJS-XBXARRHUSA-N 1 2 251.320 3.819 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2cccc(Cl)c2C)n1 ZINC000877522405 1125039251 /nfs/dbraw/zinc/03/92/51/1125039251.db2.gz DYUVOELAIJSTMA-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2cccc(Cl)c2C)n1 ZINC000877522405 1125039258 /nfs/dbraw/zinc/03/92/58/1125039258.db2.gz DYUVOELAIJSTMA-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO CCS[C@H](C)c1noc(C[N@H+](C)CC2=CCCCC2)n1 ZINC000450497855 1125041600 /nfs/dbraw/zinc/04/16/00/1125041600.db2.gz GTVJARALLXXCGQ-GFCCVEGCSA-N 1 2 295.452 3.816 20 0 CHADLO CCS[C@H](C)c1noc(C[N@@H+](C)CC2=CCCCC2)n1 ZINC000450497855 1125041605 /nfs/dbraw/zinc/04/16/05/1125041605.db2.gz GTVJARALLXXCGQ-GFCCVEGCSA-N 1 2 295.452 3.816 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc3ccccc3n2)c(C)[nH+]1 ZINC000121108085 1125049517 /nfs/dbraw/zinc/04/95/17/1125049517.db2.gz JKYVFCHXJBOTKH-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccc(F)cc1)C1CCC1)c1csnn1 ZINC000450549559 1125054275 /nfs/dbraw/zinc/05/42/75/1125054275.db2.gz BYPVWTFXGLQWGJ-MEBBXXQBSA-N 1 2 291.395 3.869 20 0 CHADLO COC[C@]1(C)CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000450601142 1125063887 /nfs/dbraw/zinc/06/38/87/1125063887.db2.gz ALHQHGCRHRITNL-QGZVFWFLSA-N 1 2 291.439 3.586 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccccc1 ZINC001239468431 1131329790 /nfs/dbraw/zinc/32/97/90/1131329790.db2.gz RFYJYEDGPBFFMY-UHFFFAOYSA-N 1 2 250.301 3.548 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccc(F)cc1F)[C@@H]1CC1(F)F ZINC000877804718 1125080712 /nfs/dbraw/zinc/08/07/12/1125080712.db2.gz BOWGLELQVNBBDJ-XCBNKYQSSA-N 1 2 297.242 3.690 20 0 CHADLO Fc1ccc(C(F)(F)C[NH2+]C2CC(C(F)F)C2)c(F)c1 ZINC000877806191 1125083085 /nfs/dbraw/zinc/08/30/85/1125083085.db2.gz VORHXWKJEORANB-UHFFFAOYSA-N 1 2 297.242 3.690 20 0 CHADLO CS[C@@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000450684463 1125085040 /nfs/dbraw/zinc/08/50/40/1125085040.db2.gz RVDXFMRRHXZHFB-GFCCVEGCSA-N 1 2 279.453 3.665 20 0 CHADLO Cc1[nH+]c2cc(OCc3coc(C(C)(C)C)n3)ccc2n1C ZINC000877882877 1125095663 /nfs/dbraw/zinc/09/56/63/1125095663.db2.gz DLNJILDSSKOYFK-UHFFFAOYSA-N 1 2 299.374 3.746 20 0 CHADLO Cc1conc1C[N@@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000877976264 1125109354 /nfs/dbraw/zinc/10/93/54/1125109354.db2.gz NCBADYZMVIHWST-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1conc1C[N@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000877976264 1125109358 /nfs/dbraw/zinc/10/93/58/1125109358.db2.gz NCBADYZMVIHWST-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO FC(F)C[N@H+](CC[C@H]1CCCC1(F)F)C1CC1 ZINC000878084284 1125118845 /nfs/dbraw/zinc/11/88/45/1125118845.db2.gz QUOKGGXZEIZNLS-SECBINFHSA-N 1 2 253.283 3.541 20 0 CHADLO FC(F)C[N@@H+](CC[C@H]1CCCC1(F)F)C1CC1 ZINC000878084284 1125118848 /nfs/dbraw/zinc/11/88/48/1125118848.db2.gz QUOKGGXZEIZNLS-SECBINFHSA-N 1 2 253.283 3.541 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(Cl)nc2)nc1Cl ZINC000878167693 1125127023 /nfs/dbraw/zinc/12/70/23/1125127023.db2.gz XXLKHVKPHYORJV-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(Cl)nc2)nc1Cl ZINC000878167693 1125127027 /nfs/dbraw/zinc/12/70/27/1125127027.db2.gz XXLKHVKPHYORJV-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Clc1ncsc1C[N@@H+]1CCC[C@@H]1c1ccccn1 ZINC000878175680 1125127671 /nfs/dbraw/zinc/12/76/71/1125127671.db2.gz ZJRXICKIACQOKW-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ncsc1C[N@H+]1CCC[C@@H]1c1ccccn1 ZINC000878175680 1125127674 /nfs/dbraw/zinc/12/76/74/1125127674.db2.gz ZJRXICKIACQOKW-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+]Cc1nocc1C ZINC000878353254 1125143009 /nfs/dbraw/zinc/14/30/09/1125143009.db2.gz JYEZZQVRXLQHQY-AWEZNQCLSA-N 1 2 274.364 3.623 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(F)(F)[C@H](C)C2)nc1Cl ZINC000878624985 1125168028 /nfs/dbraw/zinc/16/80/28/1125168028.db2.gz KTVQEGYHBHFTHA-SNVBAGLBSA-N 1 2 274.742 3.521 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(F)(F)[C@H](C)C2)nc1Cl ZINC000878624985 1125168033 /nfs/dbraw/zinc/16/80/33/1125168033.db2.gz KTVQEGYHBHFTHA-SNVBAGLBSA-N 1 2 274.742 3.521 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+](C)Cc1cncs1 ZINC001137140683 1125197349 /nfs/dbraw/zinc/19/73/49/1125197349.db2.gz LLAZZBSXRYGXQX-UHFFFAOYSA-N 1 2 276.405 3.562 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+](C)Cc1cncs1 ZINC001137140683 1125197351 /nfs/dbraw/zinc/19/73/51/1125197351.db2.gz LLAZZBSXRYGXQX-UHFFFAOYSA-N 1 2 276.405 3.562 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(F)c(F)c(F)c2)C[C@H](C)C1(F)F ZINC000628390278 1125199565 /nfs/dbraw/zinc/19/95/65/1125199565.db2.gz GADRONDYARYEMH-DTORHVGOSA-N 1 2 293.279 3.827 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)c(F)c(F)c2)C[C@H](C)C1(F)F ZINC000628390278 1125199568 /nfs/dbraw/zinc/19/95/68/1125199568.db2.gz GADRONDYARYEMH-DTORHVGOSA-N 1 2 293.279 3.827 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2[nH]nc3c2cccc3C)no1 ZINC001137141644 1125202482 /nfs/dbraw/zinc/20/24/82/1125202482.db2.gz DBYJLMMTDFXQNY-MRXNPFEDSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2[nH]nc3c2cccc3C)no1 ZINC001137141644 1125202490 /nfs/dbraw/zinc/20/24/90/1125202490.db2.gz DBYJLMMTDFXQNY-MRXNPFEDSA-N 1 2 296.374 3.505 20 0 CHADLO C[C@@H]([NH2+]C/C(Cl)=C/Cl)c1cc2cnccc2o1 ZINC000879713859 1125227316 /nfs/dbraw/zinc/22/73/16/1125227316.db2.gz CQWBVRVFSXZIBF-VUMIPSPVSA-N 1 2 271.147 3.797 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2ncc(C(C)C)o2)c1 ZINC000879746645 1125230035 /nfs/dbraw/zinc/23/00/35/1125230035.db2.gz CDGQXDWNHIJCSB-OAHLLOKOSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2ncc(C(C)C)o2)c1 ZINC000879746645 1125230037 /nfs/dbraw/zinc/23/00/37/1125230037.db2.gz CDGQXDWNHIJCSB-OAHLLOKOSA-N 1 2 285.391 3.839 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2cc(Cl)nc(Cl)c2)C1 ZINC000880235054 1125258383 /nfs/dbraw/zinc/25/83/83/1125258383.db2.gz XQEOLKHROVMCFN-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2cc(Cl)nc(Cl)c2)C1 ZINC000880235054 1125258385 /nfs/dbraw/zinc/25/83/85/1125258385.db2.gz XQEOLKHROVMCFN-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2nc3ccccc3n2C(F)F)C1 ZINC000880233046 1125258442 /nfs/dbraw/zinc/25/84/42/1125258442.db2.gz AHXTXDHLNRRAGV-LLVKDONJSA-N 1 2 297.324 3.613 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2nc3ccccc3n2C(F)F)C1 ZINC000880233046 1125258444 /nfs/dbraw/zinc/25/84/44/1125258444.db2.gz AHXTXDHLNRRAGV-LLVKDONJSA-N 1 2 297.324 3.613 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[NH+]1CCC(F)CC1 ZINC000880241580 1125259372 /nfs/dbraw/zinc/25/93/72/1125259372.db2.gz XQMULVUPZNHGOK-SNVBAGLBSA-N 1 2 297.324 3.926 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@]2(C)c2ccccc2)no1 ZINC000880371173 1125268802 /nfs/dbraw/zinc/26/88/02/1125268802.db2.gz BZSFFIDWWQYDFC-KRWDZBQOSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@]2(C)c2ccccc2)no1 ZINC000880371173 1125268804 /nfs/dbraw/zinc/26/88/04/1125268804.db2.gz BZSFFIDWWQYDFC-KRWDZBQOSA-N 1 2 285.391 3.704 20 0 CHADLO COc1cccc2c(NC3(c4ccccn4)CC3)cc[nH+]c12 ZINC001168151584 1125270379 /nfs/dbraw/zinc/27/03/79/1125270379.db2.gz QASMILDPSJRMAJ-UHFFFAOYSA-N 1 2 291.354 3.740 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ncc(Cl)s2)c(C)n1 ZINC000872114709 1125283514 /nfs/dbraw/zinc/28/35/14/1125283514.db2.gz AWQQELZKKUGKEA-VIFPVBQESA-N 1 2 281.812 3.659 20 0 CHADLO CCc1c[nH]c2nc[nH+]c(Nc3cccc(Cl)c3)c12 ZINC000168342601 1125289967 /nfs/dbraw/zinc/28/99/67/1125289967.db2.gz PQZAKEVZVDRTFG-UHFFFAOYSA-N 1 2 272.739 3.917 20 0 CHADLO CO[C@H](C[N@H+](C)[C@H](CCc1ccccc1)C(F)F)C1CC1 ZINC000626136861 1125299693 /nfs/dbraw/zinc/29/96/93/1125299693.db2.gz LENPRICZWUCHQU-HZPDHXFCSA-N 1 2 297.389 3.610 20 0 CHADLO CO[C@H](C[N@@H+](C)[C@H](CCc1ccccc1)C(F)F)C1CC1 ZINC000626136861 1125299699 /nfs/dbraw/zinc/29/96/99/1125299699.db2.gz LENPRICZWUCHQU-HZPDHXFCSA-N 1 2 297.389 3.610 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H](c2ncc[nH]2)c2ccccc2)cc1 ZINC000129173242 1125327926 /nfs/dbraw/zinc/32/79/26/1125327926.db2.gz GDTSADVMPJWEEC-QGZVFWFLSA-N 1 2 292.386 3.677 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc(SC)cc1 ZINC001239498223 1131348356 /nfs/dbraw/zinc/34/83/56/1131348356.db2.gz RQMFCYGSQLUFNA-UHFFFAOYSA-N 1 2 270.357 3.960 20 0 CHADLO CC(C)(C)c1cn(C[C@@H]2CC(C)(C)OC2(C)C)c[nH+]1 ZINC000882281459 1125342394 /nfs/dbraw/zinc/34/23/94/1125342394.db2.gz ZPCORTUCZFMXRY-LBPRGKRZSA-N 1 2 264.413 3.774 20 0 CHADLO Cc1cc(Nc2cccc(Cn3cc[nH+]c3)c2)cc(C)c1O ZINC001211914033 1125354547 /nfs/dbraw/zinc/35/45/47/1125354547.db2.gz UJCLAHYECZLIBI-UHFFFAOYSA-N 1 2 293.370 3.997 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CC[C@@H](c3nccs3)C2)c1 ZINC000882855974 1125358147 /nfs/dbraw/zinc/35/81/47/1125358147.db2.gz YCQMBSMUBAQUQH-GFCCVEGCSA-N 1 2 299.374 3.824 20 0 CHADLO CCC[C@@H](CC)C(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882871028 1125359349 /nfs/dbraw/zinc/35/93/49/1125359349.db2.gz XCJWZDKITHOHJF-WBVHZDCISA-N 1 2 299.418 3.567 20 0 CHADLO CCC(CC)CC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882873266 1125359401 /nfs/dbraw/zinc/35/94/01/1125359401.db2.gz WILFFYLCVHTTPE-KRWDZBQOSA-N 1 2 299.418 3.567 20 0 CHADLO CC[C@H]([NH2+]Cc1nn(CC)nc1C)c1sccc1Cl ZINC000883230758 1125381139 /nfs/dbraw/zinc/38/11/39/1125381139.db2.gz PNYRDOVLPVIPGH-NSHDSACASA-N 1 2 298.843 3.562 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+]Cc1nc(C)cs1 ZINC000883257555 1125386407 /nfs/dbraw/zinc/38/64/07/1125386407.db2.gz FCPQJDVFEKIKKS-UHFFFAOYSA-N 1 2 288.416 3.627 20 0 CHADLO CCC[C@@H]1C[N@H+](Cc2ccc(Cl)cc2C)CCO1 ZINC001168199674 1125391728 /nfs/dbraw/zinc/39/17/28/1125391728.db2.gz AQOWBZQSACHQAJ-OAHLLOKOSA-N 1 2 267.800 3.649 20 0 CHADLO CCC[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2C)CCO1 ZINC001168199674 1125391730 /nfs/dbraw/zinc/39/17/30/1125391730.db2.gz AQOWBZQSACHQAJ-OAHLLOKOSA-N 1 2 267.800 3.649 20 0 CHADLO Cc1cc(C[NH2+]C2(c3ncccn3)CCC2)ccc1Cl ZINC000883306021 1125393028 /nfs/dbraw/zinc/39/30/28/1125393028.db2.gz LYIIBBDSOUWWPT-UHFFFAOYSA-N 1 2 287.794 3.607 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]C1(c2ncccn2)CCC1 ZINC000883311832 1125393576 /nfs/dbraw/zinc/39/35/76/1125393576.db2.gz LZOUAEGFXXJBBK-ZDUSSCGKSA-N 1 2 285.366 3.654 20 0 CHADLO C[C@@H]([NH2+]C1(c2ncccn2)CCC1)c1cccc(Cl)c1 ZINC000883314141 1125394344 /nfs/dbraw/zinc/39/43/44/1125394344.db2.gz WWGOCPUBRRPDHK-GFCCVEGCSA-N 1 2 287.794 3.860 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+]Cc1cc(O)ccc1Cl ZINC000883325677 1125397139 /nfs/dbraw/zinc/39/71/39/1125397139.db2.gz HZLBZGXSGRBUBG-VIFPVBQESA-N 1 2 294.782 3.755 20 0 CHADLO COc1ccc([C@@H](C)Nc2c[nH+]c(C)c(C)c2)cc1O ZINC000883343044 1125398426 /nfs/dbraw/zinc/39/84/26/1125398426.db2.gz XXWNHHFCNVRCFR-GFCCVEGCSA-N 1 2 272.348 3.586 20 0 CHADLO Cc1cc(N[C@H](C)CCc2cccnc2)c[nH+]c1C ZINC000883342712 1125398494 /nfs/dbraw/zinc/39/84/94/1125398494.db2.gz JGICBMHWZNMVSK-CYBMUJFWSA-N 1 2 255.365 3.527 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccc2c[nH]nc2c1 ZINC000883378219 1125404709 /nfs/dbraw/zinc/40/47/09/1125404709.db2.gz CXBOMBSNRRTAFS-UHFFFAOYSA-N 1 2 298.415 3.823 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cnc(CC)s1 ZINC000883377250 1125404947 /nfs/dbraw/zinc/40/49/47/1125404947.db2.gz CGKQNZQKZZPCJV-UHFFFAOYSA-N 1 2 293.461 3.966 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@H]1F)c1cncc(F)c1 ZINC000883377079 1125405049 /nfs/dbraw/zinc/40/50/49/1125405049.db2.gz YWLXXLQRCGSNJH-JECHBYEQSA-N 1 2 288.341 3.897 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cnn(C(C)C)c1 ZINC000883378375 1125405079 /nfs/dbraw/zinc/40/50/79/1125405079.db2.gz JVECBQXNXFHTRQ-UHFFFAOYSA-N 1 2 290.436 3.724 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1n[nH]c2ccccc12 ZINC000883378702 1125405429 /nfs/dbraw/zinc/40/54/29/1125405429.db2.gz VRBGODRQRLJQFL-UHFFFAOYSA-N 1 2 298.415 3.823 20 0 CHADLO Cc1cc(C[NH2+][C@H]2c3ccccc3CC[C@H]2F)sn1 ZINC000883381169 1125406075 /nfs/dbraw/zinc/40/60/75/1125406075.db2.gz ICFNVPQFXCBUJG-CABCVRRESA-N 1 2 276.380 3.567 20 0 CHADLO Cc1ccc2c(Nc3cnc4c(c3)COCC4)cccc2[nH+]1 ZINC001212794147 1125410296 /nfs/dbraw/zinc/41/02/96/1125410296.db2.gz LTLZUDNNBCARJR-UHFFFAOYSA-N 1 2 291.354 3.755 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1nc(C2CC2)cs1 ZINC000348249989 1125432888 /nfs/dbraw/zinc/43/28/88/1125432888.db2.gz XWWNXCSGBFQZNO-SCZZXKLOSA-N 1 2 290.354 3.793 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1nc(C2CC2)cs1 ZINC000348249989 1125432891 /nfs/dbraw/zinc/43/28/91/1125432891.db2.gz XWWNXCSGBFQZNO-SCZZXKLOSA-N 1 2 290.354 3.793 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCc3c(F)cccc3C2)s1 ZINC000123789272 1125433037 /nfs/dbraw/zinc/43/30/37/1125433037.db2.gz HVROCRNMIULNSV-UHFFFAOYSA-N 1 2 290.407 3.964 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCc3c(F)cccc3C2)s1 ZINC000123789272 1125433041 /nfs/dbraw/zinc/43/30/41/1125433041.db2.gz HVROCRNMIULNSV-UHFFFAOYSA-N 1 2 290.407 3.964 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(F)c(C)c2)cs1 ZINC000125027919 1125444137 /nfs/dbraw/zinc/44/41/37/1125444137.db2.gz NJYDQIVBGLRKGH-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(F)c(C)c2)cs1 ZINC000125027919 1125444138 /nfs/dbraw/zinc/44/41/38/1125444138.db2.gz NJYDQIVBGLRKGH-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO c1cn(CCOc2ccccc2NC[C@@H]2CC=CCC2)c[nH+]1 ZINC000125264848 1125446143 /nfs/dbraw/zinc/44/61/43/1125446143.db2.gz JIOCLDREBZAINE-MRXNPFEDSA-N 1 2 297.402 3.730 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H](C)[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC001126725923 1125449915 /nfs/dbraw/zinc/44/99/15/1125449915.db2.gz JIYZQBLNGKLOBC-CABCVRRESA-N 1 2 296.414 3.929 20 0 CHADLO CCn1cc(C[N@H+](CC)[C@@H](C)c2cc3ccccc3o2)nn1 ZINC000883998713 1125455464 /nfs/dbraw/zinc/45/54/64/1125455464.db2.gz PABKUMICBSTFDD-ZDUSSCGKSA-N 1 2 298.390 3.627 20 0 CHADLO CCn1cc(C[N@@H+](CC)[C@@H](C)c2cc3ccccc3o2)nn1 ZINC000883998713 1125455466 /nfs/dbraw/zinc/45/54/66/1125455466.db2.gz PABKUMICBSTFDD-ZDUSSCGKSA-N 1 2 298.390 3.627 20 0 CHADLO CC[C@@H](c1ccccc1)N(CC)C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000635448244 1129182181 /nfs/dbraw/zinc/18/21/81/1129182181.db2.gz YERBYZANUNEHCJ-INMHGKMJSA-N 1 2 297.402 3.513 20 0 CHADLO CC[C@@H](c1ccccc1)N(CC)C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000635448244 1129182185 /nfs/dbraw/zinc/18/21/85/1129182185.db2.gz YERBYZANUNEHCJ-INMHGKMJSA-N 1 2 297.402 3.513 20 0 CHADLO CC(C)C[C@H](C(=O)N1CCC[C@@H]1CC(C)C)n1cc[nH+]c1 ZINC000635461785 1129182460 /nfs/dbraw/zinc/18/24/60/1129182460.db2.gz VUCSJLWQKHZLBM-HZPDHXFCSA-N 1 2 291.439 3.507 20 0 CHADLO CC(C)=C(C)CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000635458935 1129182947 /nfs/dbraw/zinc/18/29/47/1129182947.db2.gz JSTBWILVTSKURH-UHFFFAOYSA-N 1 2 269.348 3.762 20 0 CHADLO Fc1cc(F)c(C[NH+]2CC(Cc3ccsc3)C2)cc1F ZINC000621741474 1129189422 /nfs/dbraw/zinc/18/94/22/1129189422.db2.gz USXGJWLHYJVHLP-UHFFFAOYSA-N 1 2 297.345 3.840 20 0 CHADLO CC(C)C[C@@H](C(=O)Nc1ccc(C2CC2)cc1)n1cc[nH+]c1 ZINC000635762923 1129190684 /nfs/dbraw/zinc/19/06/84/1129190684.db2.gz CTGNSXQFAIHOGZ-KRWDZBQOSA-N 1 2 297.402 3.986 20 0 CHADLO COc1cc(Cl)c(C[N@H+](C)Cc2ccco2)cc1OC ZINC000637858955 1129232383 /nfs/dbraw/zinc/23/23/83/1129232383.db2.gz AXYRXNQOCFNOLG-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO COc1cc(Cl)c(C[N@@H+](C)Cc2ccco2)cc1OC ZINC000637858955 1129232386 /nfs/dbraw/zinc/23/23/86/1129232386.db2.gz AXYRXNQOCFNOLG-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO C[N@H+](Cc1ccc(C(F)F)nc1)Cc1ccccc1F ZINC000637852924 1129232450 /nfs/dbraw/zinc/23/24/50/1129232450.db2.gz QSEBYONFTZPIQO-UHFFFAOYSA-N 1 2 280.293 3.790 20 0 CHADLO C[N@@H+](Cc1ccc(C(F)F)nc1)Cc1ccccc1F ZINC000637852924 1129232452 /nfs/dbraw/zinc/23/24/52/1129232452.db2.gz QSEBYONFTZPIQO-UHFFFAOYSA-N 1 2 280.293 3.790 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)[C@@H](c1nccn1C)C1CC1 ZINC000637898437 1129234717 /nfs/dbraw/zinc/23/47/17/1129234717.db2.gz QPFSUHLTZVJPTB-BLLLJJGKSA-N 1 2 287.382 3.703 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)[C@@H](c1nccn1C)C1CC1 ZINC000637898437 1129234719 /nfs/dbraw/zinc/23/47/19/1129234719.db2.gz QPFSUHLTZVJPTB-BLLLJJGKSA-N 1 2 287.382 3.703 20 0 CHADLO COc1ccccc1C[N@H+](Cc1cc(C)ns1)C1CC1 ZINC000637899310 1129235897 /nfs/dbraw/zinc/23/58/97/1129235897.db2.gz HEIOULMZXDELRH-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO COc1ccccc1C[N@@H+](Cc1cc(C)ns1)C1CC1 ZINC000637899310 1129235899 /nfs/dbraw/zinc/23/58/99/1129235899.db2.gz HEIOULMZXDELRH-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO C[C@H](C1CC1)[N@H+]([C@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000637927220 1129237266 /nfs/dbraw/zinc/23/72/66/1129237266.db2.gz JVGLAYLAGOQMNE-WBMJQRKESA-N 1 2 288.439 3.689 20 0 CHADLO C[C@H](C1CC1)[N@@H+]([C@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000637927220 1129237270 /nfs/dbraw/zinc/23/72/70/1129237270.db2.gz JVGLAYLAGOQMNE-WBMJQRKESA-N 1 2 288.439 3.689 20 0 CHADLO C[C@H](c1ccco1)[N@H+]([C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000637972740 1129239126 /nfs/dbraw/zinc/23/91/26/1129239126.db2.gz VDPQUSSIZKLDFP-MLGOLLRUSA-N 1 2 285.391 3.690 20 0 CHADLO C[C@H](c1ccco1)[N@@H+]([C@@H](c1nccn1C)C1CC1)C1CC1 ZINC000637972740 1129239127 /nfs/dbraw/zinc/23/91/27/1129239127.db2.gz VDPQUSSIZKLDFP-MLGOLLRUSA-N 1 2 285.391 3.690 20 0 CHADLO CCCn1c(C)nn(C[N@@H+]2CCC[C@@](C)(CC)C2)c1=S ZINC000089651252 1129244821 /nfs/dbraw/zinc/24/48/21/1129244821.db2.gz CAIOHDPWFONRCR-OAHLLOKOSA-N 1 2 296.484 3.602 20 0 CHADLO CCCn1c(C)nn(C[N@H+]2CCC[C@@](C)(CC)C2)c1=S ZINC000089651252 1129244823 /nfs/dbraw/zinc/24/48/23/1129244823.db2.gz CAIOHDPWFONRCR-OAHLLOKOSA-N 1 2 296.484 3.602 20 0 CHADLO CC(C)([NH2+][C@H](c1nnc[nH]1)C1CCCCC1)c1ccccc1 ZINC000638428419 1129258717 /nfs/dbraw/zinc/25/87/17/1129258717.db2.gz VCDXWLQAEYUUOC-INIZCTEOSA-N 1 2 298.434 3.951 20 0 CHADLO Cc1ccc(NCc2c(Cl)nc3ccccn32)c(C)[nH+]1 ZINC000184896243 1129262379 /nfs/dbraw/zinc/26/23/79/1129262379.db2.gz DQHKDQQASPWWKU-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CC[C@@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)c1ccc(C)cc1 ZINC001136535598 1131362728 /nfs/dbraw/zinc/36/27/28/1131362728.db2.gz CWHAZRVFRRGILX-OAHLLOKOSA-N 1 2 293.370 3.752 20 0 CHADLO C[C@@H](CCC1CC1)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000639052091 1129278838 /nfs/dbraw/zinc/27/88/38/1129278838.db2.gz BJCIEJUPCXFANA-SWLSCSKDSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1ncc([C@@H](C)[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)c(C)n1 ZINC000639235999 1129296457 /nfs/dbraw/zinc/29/64/57/1129296457.db2.gz WLLQIUMWMARWRT-CXAGYDPISA-N 1 2 297.402 3.975 20 0 CHADLO Cc1ncc([C@@H](C)[N@H+]2CC3(CCC3)[C@H]2c2ccco2)c(C)n1 ZINC000639235999 1129296462 /nfs/dbraw/zinc/29/64/62/1129296462.db2.gz WLLQIUMWMARWRT-CXAGYDPISA-N 1 2 297.402 3.975 20 0 CHADLO c1n[nH]c([C@H]([NH2+][C@@H](C2CC2)C2CCC2)C2CCCCC2)n1 ZINC000639246754 1129296823 /nfs/dbraw/zinc/29/68/23/1129296823.db2.gz KNASJEMHAPPBRF-HZPDHXFCSA-N 1 2 288.439 3.594 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2ccc(F)c3ccccc23)n1 ZINC000639301155 1129300815 /nfs/dbraw/zinc/30/08/15/1129300815.db2.gz UVQNEJXUJBOLAX-NSHDSACASA-N 1 2 299.349 3.863 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2ccc(F)c3ccccc23)n1 ZINC000639301155 1129300818 /nfs/dbraw/zinc/30/08/18/1129300818.db2.gz UVQNEJXUJBOLAX-NSHDSACASA-N 1 2 299.349 3.863 20 0 CHADLO Cc1ccccc1C(F)(F)C[NH2+][C@H](C)[C@H]1CC1(F)F ZINC000639330910 1129303718 /nfs/dbraw/zinc/30/37/18/1129303718.db2.gz YGUBCVZCXQPCHQ-ZYHUDNBSSA-N 1 2 275.289 3.720 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@@H]2[NH2+][C@H](C)c1nonc1C ZINC000825151526 1129314272 /nfs/dbraw/zinc/31/42/72/1129314272.db2.gz WPSUIDREAXHPDI-MFKMUULPSA-N 1 2 289.379 3.644 20 0 CHADLO O=C(C[N@@H+]1CCC[C@H]1c1cccs1)c1ccccc1F ZINC000639435257 1129314604 /nfs/dbraw/zinc/31/46/04/1129314604.db2.gz UXPKNTWDWJTFKN-AWEZNQCLSA-N 1 2 289.375 3.907 20 0 CHADLO O=C(C[N@H+]1CCC[C@H]1c1cccs1)c1ccccc1F ZINC000639435257 1129314609 /nfs/dbraw/zinc/31/46/09/1129314609.db2.gz UXPKNTWDWJTFKN-AWEZNQCLSA-N 1 2 289.375 3.907 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccc(Cl)cc1 ZINC000639520224 1129320842 /nfs/dbraw/zinc/32/08/42/1129320842.db2.gz SUIIYVWSFPLBTK-SNVBAGLBSA-N 1 2 291.782 3.526 20 0 CHADLO C[C@]1([NH2+]Cc2nc(C3CCC3)no2)CCCc2ccccc21 ZINC000639529569 1129321580 /nfs/dbraw/zinc/32/15/80/1129321580.db2.gz IVROJXVJGNSHIE-SFHVURJKSA-N 1 2 297.402 3.678 20 0 CHADLO CC(C)C[C@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccccc1 ZINC000639560290 1129323806 /nfs/dbraw/zinc/32/38/06/1129323806.db2.gz BUWSFLFPLXZGFX-INIZCTEOSA-N 1 2 299.418 3.899 20 0 CHADLO C[N@H+](Cc1nc(C2CCC2)no1)C(C)(C)c1ccccc1 ZINC000639632325 1129326644 /nfs/dbraw/zinc/32/66/44/1129326644.db2.gz YUWGZQVFZDQQJB-UHFFFAOYSA-N 1 2 285.391 3.704 20 0 CHADLO C[N@@H+](Cc1nc(C2CCC2)no1)C(C)(C)c1ccccc1 ZINC000639632325 1129326647 /nfs/dbraw/zinc/32/66/47/1129326647.db2.gz YUWGZQVFZDQQJB-UHFFFAOYSA-N 1 2 285.391 3.704 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2cn[nH]c2)cc1Cl ZINC000639776044 1129333148 /nfs/dbraw/zinc/33/31/48/1129333148.db2.gz JPCUUXPNSRYRQD-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2cn[nH]c2)cc1Cl ZINC000639776044 1129333152 /nfs/dbraw/zinc/33/31/52/1129333152.db2.gz JPCUUXPNSRYRQD-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccco2)cn1 ZINC000639929228 1129340528 /nfs/dbraw/zinc/34/05/28/1129340528.db2.gz MIHUPYSMDVFKQU-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2ccco2)cn1 ZINC000639929228 1129340533 /nfs/dbraw/zinc/34/05/33/1129340533.db2.gz MIHUPYSMDVFKQU-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C)c(C)o1)c1ccc(F)cc1 ZINC000639929455 1129341084 /nfs/dbraw/zinc/34/10/84/1129341084.db2.gz VHYBTCUIDLTLEL-MRXNPFEDSA-N 1 2 276.355 3.846 20 0 CHADLO Cc1cncc(C[N@@H+]2CC(C)(C)[C@H]2c2ccco2)c1 ZINC000639928989 1129341281 /nfs/dbraw/zinc/34/12/81/1129341281.db2.gz JVJQQGUZNYFFLR-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cncc(C[N@H+]2CC(C)(C)[C@H]2c2ccco2)c1 ZINC000639928989 1129341285 /nfs/dbraw/zinc/34/12/85/1129341285.db2.gz JVJQQGUZNYFFLR-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC(C)(C)[C@@H]2c2ccco2)cn1 ZINC000639930017 1129341578 /nfs/dbraw/zinc/34/15/78/1129341578.db2.gz RVCIEANQYMIZOO-WFASDCNBSA-N 1 2 271.364 3.522 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC(C)(C)[C@@H]2c2ccco2)cn1 ZINC000639930017 1129341580 /nfs/dbraw/zinc/34/15/80/1129341580.db2.gz RVCIEANQYMIZOO-WFASDCNBSA-N 1 2 271.364 3.522 20 0 CHADLO Cc1n[nH]cc1C[N@@H+](C)[C@H](C)c1cccc(Cl)c1F ZINC000639971646 1129342261 /nfs/dbraw/zinc/34/22/61/1129342261.db2.gz TVHRKLGAKSVBIJ-SNVBAGLBSA-N 1 2 281.762 3.704 20 0 CHADLO Cc1n[nH]cc1C[N@H+](C)[C@H](C)c1cccc(Cl)c1F ZINC000639971646 1129342264 /nfs/dbraw/zinc/34/22/64/1129342264.db2.gz TVHRKLGAKSVBIJ-SNVBAGLBSA-N 1 2 281.762 3.704 20 0 CHADLO Cc1cn2cc(NC(=O)/C=C/C3CCCCC3)ccc2[nH+]1 ZINC000735437498 1129346464 /nfs/dbraw/zinc/34/64/64/1129346464.db2.gz NIQOIOLOWHTQRR-JXMROGBWSA-N 1 2 283.375 3.718 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1cnc(C(C)C)s1 ZINC000091812482 1129370577 /nfs/dbraw/zinc/37/05/77/1129370577.db2.gz DNAJAEAOBIBACS-UHFFFAOYSA-N 1 2 279.409 3.503 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1cnc(C(C)C)s1 ZINC000091812482 1129370573 /nfs/dbraw/zinc/37/05/73/1129370573.db2.gz DNAJAEAOBIBACS-UHFFFAOYSA-N 1 2 279.409 3.503 20 0 CHADLO Fc1ccc([C@@H]2CCCN2c2cc[nH+]cc2F)cc1 ZINC000641276403 1129378089 /nfs/dbraw/zinc/37/80/89/1129378089.db2.gz FRWSDYOHUQGACC-AWEZNQCLSA-N 1 2 260.287 3.701 20 0 CHADLO CC1CCC(OC2CCN(c3cc[nH+]cc3F)CC2)CC1 ZINC000641363845 1129379115 /nfs/dbraw/zinc/37/91/15/1129379115.db2.gz NZBJWWRJLPBHNN-UHFFFAOYSA-N 1 2 292.398 3.785 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(OCOC)c1 ZINC001212899262 1129383317 /nfs/dbraw/zinc/38/33/17/1129383317.db2.gz KMUKHTVWQRFELE-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO CC(C)c1ccccc1NC(=O)C[C@@H](C)[NH2+][C@@H](C)C(F)F ZINC000641789890 1129392402 /nfs/dbraw/zinc/39/24/02/1129392402.db2.gz DIXZNFXKBFAXQJ-NEPJUHHUSA-N 1 2 298.377 3.770 20 0 CHADLO CCC[N@H+](Cc1nc(C2CC2)no1)Cc1ccc(C)cc1 ZINC000641979542 1129399191 /nfs/dbraw/zinc/39/91/91/1129399191.db2.gz AGCNOBUJJBENGG-UHFFFAOYSA-N 1 2 285.391 3.668 20 0 CHADLO CCC[N@@H+](Cc1nc(C2CC2)no1)Cc1ccc(C)cc1 ZINC000641979542 1129399195 /nfs/dbraw/zinc/39/91/95/1129399195.db2.gz AGCNOBUJJBENGG-UHFFFAOYSA-N 1 2 285.391 3.668 20 0 CHADLO CCCCc1ccc(-c2nc3c(ccn3C)c[nH+]2)cc1 ZINC001241050930 1129418103 /nfs/dbraw/zinc/41/81/03/1129418103.db2.gz MEPMHLDIFYRANW-UHFFFAOYSA-N 1 2 265.360 3.978 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2ccncc2Cl)CC1 ZINC000179656431 1129430112 /nfs/dbraw/zinc/43/01/12/1129430112.db2.gz KXNYKVDVJYXTJM-UHFFFAOYSA-N 1 2 276.742 3.653 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2C(=O)C2=CCCCCC2)[nH+]c1C ZINC001155176033 1129442429 /nfs/dbraw/zinc/44/24/29/1129442429.db2.gz ZQSNOUMBFNHVEQ-OAHLLOKOSA-N 1 2 287.407 3.581 20 0 CHADLO CC(C)(C)CCc1noc(-c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000795751858 1129446897 /nfs/dbraw/zinc/44/68/97/1129446897.db2.gz KJHUYWLXSDKTGH-UHFFFAOYSA-N 1 2 296.374 3.901 20 0 CHADLO CC(C)=C[C@H]1[C@@H](C(=O)Nc2cccc3[nH+]ccn32)C1(C)C ZINC000741740124 1129450170 /nfs/dbraw/zinc/45/01/70/1129450170.db2.gz LQABPNUVHIKLAI-WFASDCNBSA-N 1 2 283.375 3.511 20 0 CHADLO COc1ccccc1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001239541072 1131375235 /nfs/dbraw/zinc/37/52/35/1131375235.db2.gz KIZALPLOFUKPMO-UHFFFAOYSA-N 1 2 264.328 3.763 20 0 CHADLO O=C(/C=C\Sc1ccccc1)Nc1cccc2[nH+]ccn21 ZINC000741969911 1129463482 /nfs/dbraw/zinc/46/34/82/1129463482.db2.gz QPFJYLRJOSQBEF-XFXZXTDPSA-N 1 2 295.367 3.579 20 0 CHADLO Cc1nc(NCc2cccc(OC3CCCC3)c2)cc[nH+]1 ZINC000742399478 1129478228 /nfs/dbraw/zinc/47/82/28/1129478228.db2.gz XRMYPEDKXPMSEO-UHFFFAOYSA-N 1 2 283.375 3.719 20 0 CHADLO Cc1ccc([C@@H](C)C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)o1 ZINC000742504160 1129482478 /nfs/dbraw/zinc/48/24/78/1129482478.db2.gz VFWOZKNETIFCIX-SNVBAGLBSA-N 1 2 283.331 3.515 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](C)c1cccc(C)c1C ZINC000180551685 1129490419 /nfs/dbraw/zinc/49/04/19/1129490419.db2.gz IPXJOCXLXIVZBF-OLZOCXBDSA-N 1 2 257.381 3.747 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1ccc2ccccc2n1 ZINC001241228105 1129491493 /nfs/dbraw/zinc/49/14/93/1129491493.db2.gz GBOBHBNTADAZHR-UHFFFAOYSA-N 1 2 263.344 3.671 20 0 CHADLO CCCCC[C@@H](C)CC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000742633980 1129492004 /nfs/dbraw/zinc/49/20/04/1129492004.db2.gz IOEPXWPSULBJBO-HZPDHXFCSA-N 1 2 291.439 3.653 20 0 CHADLO CCc1sc(-c2nnc(C[N@@H+]3C[C@@H](C)[C@H]3C)o2)cc1C ZINC000645216264 1129492628 /nfs/dbraw/zinc/49/26/28/1129492628.db2.gz QZUDFJZBQXVOCW-GHMZBOCLSA-N 1 2 291.420 3.509 20 0 CHADLO CCc1sc(-c2nnc(C[N@H+]3C[C@@H](C)[C@H]3C)o2)cc1C ZINC000645216264 1129492629 /nfs/dbraw/zinc/49/26/29/1129492629.db2.gz QZUDFJZBQXVOCW-GHMZBOCLSA-N 1 2 291.420 3.509 20 0 CHADLO C[C@H](CNc1cc(C(F)(F)F)cc[nH+]1)CC(F)F ZINC000645304837 1129494083 /nfs/dbraw/zinc/49/40/83/1129494083.db2.gz KSVNOLHPVVVSGO-ZETCQYMHSA-N 1 2 268.229 3.804 20 0 CHADLO Cc1csc(C[N@@H+](Cc2ccc(C)nc2)CC2CC2)n1 ZINC000646019617 1129517187 /nfs/dbraw/zinc/51/71/87/1129517187.db2.gz POKSXSJAFDOHMJ-UHFFFAOYSA-N 1 2 287.432 3.567 20 0 CHADLO Cc1csc(C[N@H+](Cc2ccc(C)nc2)CC2CC2)n1 ZINC000646019617 1129517190 /nfs/dbraw/zinc/51/71/90/1129517190.db2.gz POKSXSJAFDOHMJ-UHFFFAOYSA-N 1 2 287.432 3.567 20 0 CHADLO CC[C@@]1(C)CCC[N@H+](Cn2sc3ccccc3c2=O)C1 ZINC000743388189 1129521585 /nfs/dbraw/zinc/52/15/85/1129521585.db2.gz ODOWXSWRNAMJRO-INIZCTEOSA-N 1 2 290.432 3.533 20 0 CHADLO CC[C@@]1(C)CCC[N@@H+](Cn2sc3ccccc3c2=O)C1 ZINC000743388189 1129521589 /nfs/dbraw/zinc/52/15/89/1129521589.db2.gz ODOWXSWRNAMJRO-INIZCTEOSA-N 1 2 290.432 3.533 20 0 CHADLO Cc1cnc(C[NH2+]C2(c3cccc(Cl)c3)CCC2)o1 ZINC000646095211 1129522209 /nfs/dbraw/zinc/52/22/09/1129522209.db2.gz JGFXBHFJIBIPQA-UHFFFAOYSA-N 1 2 276.767 3.805 20 0 CHADLO CCC[C@@]1(C)CCC[N@H+](Cn2ccc(C(F)(F)F)n2)C1 ZINC000743408213 1129522666 /nfs/dbraw/zinc/52/26/66/1129522666.db2.gz WOIHTBPVHBCABL-ZDUSSCGKSA-N 1 2 289.345 3.762 20 0 CHADLO CCC[C@@]1(C)CCC[N@@H+](Cn2ccc(C(F)(F)F)n2)C1 ZINC000743408213 1129522669 /nfs/dbraw/zinc/52/26/69/1129522669.db2.gz WOIHTBPVHBCABL-ZDUSSCGKSA-N 1 2 289.345 3.762 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)n1 ZINC000646116846 1129524307 /nfs/dbraw/zinc/52/43/07/1129524307.db2.gz HJEKAPAWURLODA-CJNGLKHVSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1noc([C@@H](C)[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)n1 ZINC000646116846 1129524309 /nfs/dbraw/zinc/52/43/09/1129524309.db2.gz HJEKAPAWURLODA-CJNGLKHVSA-N 1 2 295.386 3.832 20 0 CHADLO COc1ncc(-c2c[nH+]c(N3CCCCC3)cc2C)s1 ZINC001241412443 1129534037 /nfs/dbraw/zinc/53/40/37/1129534037.db2.gz FISLNDAINFEWQD-UHFFFAOYSA-N 1 2 289.404 3.512 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1cc2n(n1)CCCC2)c1ccccn1 ZINC000647287746 1129563921 /nfs/dbraw/zinc/56/39/21/1129563921.db2.gz RBFXGJJQOQYNPY-PBHICJAKSA-N 1 2 298.434 3.806 20 0 CHADLO C[C@H]([NH2+]Cc1cc2ccccc2o1)c1cc2n(n1)CCCC2 ZINC000647284491 1129563963 /nfs/dbraw/zinc/56/39/63/1129563963.db2.gz SCRLYDCAVFRZFP-ZDUSSCGKSA-N 1 2 295.386 3.816 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]C2(c3ccccc3F)CCC2)c2nccn21 ZINC000647332621 1129570354 /nfs/dbraw/zinc/57/03/54/1129570354.db2.gz BCWVKYYPSCFYJX-IUODEOHRSA-N 1 2 285.366 3.697 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]C1(c2ccccc2F)CCC1 ZINC000647333759 1129570490 /nfs/dbraw/zinc/57/04/90/1129570490.db2.gz YZYHIQPQXQFRQF-ZDUSSCGKSA-N 1 2 287.382 3.772 20 0 CHADLO CCCCC[C@@H](C)CC(=O)Nc1cccc2[nH+]ccn21 ZINC000744368147 1129573636 /nfs/dbraw/zinc/57/36/36/1129573636.db2.gz VGGBQRCXMWNGFC-CYBMUJFWSA-N 1 2 273.380 3.879 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000303932500 1129581524 /nfs/dbraw/zinc/58/15/24/1129581524.db2.gz QVOFFODHVKMSLP-CHWSQXEVSA-N 1 2 289.375 3.767 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000303932500 1129581528 /nfs/dbraw/zinc/58/15/28/1129581528.db2.gz QVOFFODHVKMSLP-CHWSQXEVSA-N 1 2 289.375 3.767 20 0 CHADLO CC1CC([N@H+](C)Cc2c(Cl)cncc2Cl)C1 ZINC001137603687 1131383582 /nfs/dbraw/zinc/38/35/82/1131383582.db2.gz BNVANSJVGAABDN-UHFFFAOYSA-N 1 2 259.180 3.619 20 0 CHADLO CC1CC([N@@H+](C)Cc2c(Cl)cncc2Cl)C1 ZINC001137603687 1131383587 /nfs/dbraw/zinc/38/35/87/1131383587.db2.gz BNVANSJVGAABDN-UHFFFAOYSA-N 1 2 259.180 3.619 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2ccsc2Cl)C1 ZINC000648058994 1129593999 /nfs/dbraw/zinc/59/39/99/1129593999.db2.gz PBFRZRZSGKTBFO-UHFFFAOYSA-N 1 2 265.756 3.879 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)O[C@H](C)c1ccccc1)c1ccccc1 ZINC000745101443 1129595252 /nfs/dbraw/zinc/59/52/52/1129595252.db2.gz QWIUNALUDCWLDF-QAPCUYQASA-N 1 2 297.398 3.984 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)O[C@H](C)c1ccccc1)c1ccccc1 ZINC000745101443 1129595254 /nfs/dbraw/zinc/59/52/54/1129595254.db2.gz QWIUNALUDCWLDF-QAPCUYQASA-N 1 2 297.398 3.984 20 0 CHADLO C1=CCC2(C[N@@H+](Cc3cocn3)[C@H]2c2ccccc2)C1 ZINC000648074535 1129597230 /nfs/dbraw/zinc/59/72/30/1129597230.db2.gz DANMBKAREXEZON-INIZCTEOSA-N 1 2 266.344 3.568 20 0 CHADLO C1=CCC2(C[N@H+](Cc3cocn3)[C@H]2c2ccccc2)C1 ZINC000648074535 1129597232 /nfs/dbraw/zinc/59/72/32/1129597232.db2.gz DANMBKAREXEZON-INIZCTEOSA-N 1 2 266.344 3.568 20 0 CHADLO CCCC[C@@H]([NH2+]CC(F)F)c1ccc(OC)cc1 ZINC000182151753 1129598674 /nfs/dbraw/zinc/59/86/74/1129598674.db2.gz RPHJPPBYDVEMJM-CYBMUJFWSA-N 1 2 257.324 3.781 20 0 CHADLO CCCc1ccc(-c2nc3[nH]c(C)cc3c[nH+]2)cc1 ZINC001241687490 1129602270 /nfs/dbraw/zinc/60/22/70/1129602270.db2.gz ADOKGNFKDCJRBI-UHFFFAOYSA-N 1 2 251.333 3.837 20 0 CHADLO CC[C@@H]1C[C@@H](Nc2ccc(-n3c[nH+]c(C)c3C)cc2)CCO1 ZINC000648592377 1129615289 /nfs/dbraw/zinc/61/52/89/1129615289.db2.gz XSMONXMBMGUIDV-FUHWJXTLSA-N 1 2 299.418 3.859 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2ncc(F)cc2O)cc1 ZINC001212968988 1129637436 /nfs/dbraw/zinc/63/74/36/1129637436.db2.gz FUOLVDAFKMDZKX-UHFFFAOYSA-N 1 2 275.327 3.516 20 0 CHADLO Cc1cc(-c2ccc(C3(C)COC3)cc2)cc(C)[nH+]1 ZINC001241992919 1129641660 /nfs/dbraw/zinc/64/16/60/1129641660.db2.gz YDKFJBBHFMZOHR-UHFFFAOYSA-N 1 2 253.345 3.653 20 0 CHADLO Cc1cc(-c2ccc3c(c2)NC(=S)C3)cc(C)[nH+]1 ZINC001241995374 1129642358 /nfs/dbraw/zinc/64/23/58/1129642358.db2.gz FYRNYDFCTJFEEN-UHFFFAOYSA-N 1 2 254.358 3.661 20 0 CHADLO Cc1nc(C[NH2+][C@H]2COc3ccc(Cl)cc32)sc1C ZINC000766351535 1129658446 /nfs/dbraw/zinc/65/84/46/1129658446.db2.gz APDZNQJGRVKAAM-LBPRGKRZSA-N 1 2 294.807 3.637 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2cc3n(n2)CCCC3)CCC1 ZINC000649635184 1129664866 /nfs/dbraw/zinc/66/48/66/1129664866.db2.gz IUXIGTFVSORNAL-UHFFFAOYSA-N 1 2 299.393 3.528 20 0 CHADLO CCc1cnc(C[NH2+]C2(c3ccccc3C)CCC2)o1 ZINC000649645070 1129665850 /nfs/dbraw/zinc/66/58/50/1129665850.db2.gz CHOYOKCPXQTPOO-UHFFFAOYSA-N 1 2 270.376 3.714 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3oc(-c4ccccc4)cc3C2)on1 ZINC000649675926 1129669698 /nfs/dbraw/zinc/66/96/98/1129669698.db2.gz OAFJVTJPMBGSRX-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1cc(C[N@H+]2CCc3oc(-c4ccccc4)cc3C2)on1 ZINC000649675926 1129669700 /nfs/dbraw/zinc/66/97/00/1129669700.db2.gz OAFJVTJPMBGSRX-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](C)c2cccc(C3CC3)c2)n1 ZINC000649687295 1129669962 /nfs/dbraw/zinc/66/99/62/1129669962.db2.gz XJZAUFLRTKYOFC-QWRGUYRKSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](C)c2cccc(C3CC3)c2)n1 ZINC000649687293 1129670064 /nfs/dbraw/zinc/67/00/64/1129670064.db2.gz XJZAUFLRTKYOFC-GHMZBOCLSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1ccc(C(C)(C)Nc2[nH+]cnc3[nH]cc(F)c32)cc1 ZINC001168802207 1129673043 /nfs/dbraw/zinc/67/30/43/1129673043.db2.gz DUPJJHHQRASIPJ-UHFFFAOYSA-N 1 2 284.338 3.753 20 0 CHADLO CC(C)COc1cc(NCc2cc(C(C)C)no2)cc[nH+]1 ZINC001168827448 1129675111 /nfs/dbraw/zinc/67/51/11/1129675111.db2.gz GODSRFWTPHOOMT-UHFFFAOYSA-N 1 2 289.379 3.840 20 0 CHADLO CCCc1ccc(NCCc2cn3ccccc3[nH+]2)cc1 ZINC001169003831 1129689076 /nfs/dbraw/zinc/68/90/76/1129689076.db2.gz IJWATEUCIIHONZ-UHFFFAOYSA-N 1 2 279.387 3.941 20 0 CHADLO Fc1c2cc[nH]c2ccc1NCCc1cn2ccccc2[nH+]1 ZINC001169008906 1129689336 /nfs/dbraw/zinc/68/93/36/1129689336.db2.gz KQHMMYBLESIFPB-UHFFFAOYSA-N 1 2 294.333 3.609 20 0 CHADLO Cc1cc(NCCc2cn3ccccc3[nH+]2)cc(C)c1C ZINC001169005038 1129689355 /nfs/dbraw/zinc/68/93/55/1129689355.db2.gz OCNZJTTZOVUKAD-UHFFFAOYSA-N 1 2 279.387 3.914 20 0 CHADLO Cc1ccc(NCCc2cn3ccccc3[nH+]2)c2cc[nH]c21 ZINC001169010037 1129689755 /nfs/dbraw/zinc/68/97/55/1129689755.db2.gz YQGYOQMQEIQILC-UHFFFAOYSA-N 1 2 290.370 3.779 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2C[C@H]2CC(C)(C)C)c(C)[nH+]1 ZINC000650211174 1129700519 /nfs/dbraw/zinc/70/05/19/1129700519.db2.gz UKVMVUPWDHEBJD-UONOGXRCSA-N 1 2 289.423 3.953 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2scnc2C2CC2)c1 ZINC000650242738 1129702239 /nfs/dbraw/zinc/70/22/39/1129702239.db2.gz XDJHPAKJHKRFNS-UHFFFAOYSA-N 1 2 259.378 3.644 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(Cl)cc2C)c1 ZINC000650243742 1129702522 /nfs/dbraw/zinc/70/25/22/1129702522.db2.gz NSMNAKCCZZDHPB-UHFFFAOYSA-N 1 2 276.767 3.802 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)CSC(C)(C)C)C(=O)OC(C)(C)C ZINC000766607982 1129706207 /nfs/dbraw/zinc/70/62/07/1129706207.db2.gz RDTYOUSUAFISLK-NWDGAFQWSA-N 1 2 289.485 3.617 20 0 CHADLO Clc1cncc(Cl)c1CNc1ccn2cc[nH+]c2c1 ZINC001169183350 1129707217 /nfs/dbraw/zinc/70/72/17/1129707217.db2.gz HVFOJSSEAVFSGW-UHFFFAOYSA-N 1 2 293.157 3.648 20 0 CHADLO FC1(F)CCC[C@@H](Nc2ccc(-n3cccc3)c[nH+]2)C1 ZINC001169215167 1129708666 /nfs/dbraw/zinc/70/86/66/1129708666.db2.gz UTRYKGMLPWGBEV-GFCCVEGCSA-N 1 2 277.318 3.862 20 0 CHADLO FC1(F)CCC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC001169219667 1129710086 /nfs/dbraw/zinc/71/00/86/1129710086.db2.gz VZTIFXZOVDTVHU-OAHLLOKOSA-N 1 2 291.345 3.921 20 0 CHADLO FC1(F)CCC[C@@H](Nc2ccc(N3CCCC3)[nH+]c2)C1 ZINC001169219277 1129710121 /nfs/dbraw/zinc/71/01/21/1129710121.db2.gz RMCTVNFSHLRNIJ-GFCCVEGCSA-N 1 2 281.350 3.672 20 0 CHADLO CC1(C)CO[C@@H](C[N@H+](Cc2c(F)cccc2F)C2CC2)C1 ZINC001169225558 1129710853 /nfs/dbraw/zinc/71/08/53/1129710853.db2.gz MRSRBOCFOWWMLO-CYBMUJFWSA-N 1 2 295.373 3.744 20 0 CHADLO CC1(C)CO[C@@H](C[N@@H+](Cc2c(F)cccc2F)C2CC2)C1 ZINC001169225558 1129710855 /nfs/dbraw/zinc/71/08/55/1129710855.db2.gz MRSRBOCFOWWMLO-CYBMUJFWSA-N 1 2 295.373 3.744 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cncc1F)c1cc2ccccc2o1 ZINC001169291687 1129716064 /nfs/dbraw/zinc/71/60/64/1129716064.db2.gz BIKNUAGTJLQVGJ-SNVBAGLBSA-N 1 2 288.297 3.957 20 0 CHADLO Cc1ccnc(CCNc2cc(C)c(C(F)(F)F)c[nH+]2)c1 ZINC001169316630 1129716917 /nfs/dbraw/zinc/71/69/17/1129716917.db2.gz YHYRZXWRZYUSHM-UHFFFAOYSA-N 1 2 295.308 3.767 20 0 CHADLO Fc1cc(C[NH+]2CC3(C2)C[C@@H](F)CS3)ccc1Cl ZINC001137654571 1131392995 /nfs/dbraw/zinc/39/29/95/1131392995.db2.gz ZORLJNUTTOICPN-SNVBAGLBSA-N 1 2 289.778 3.509 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1ccc2c(c1)CCCCC2 ZINC000650690385 1129719547 /nfs/dbraw/zinc/71/95/47/1129719547.db2.gz YNOBPLUTQZGFLF-UHFFFAOYSA-N 1 2 297.402 3.571 20 0 CHADLO C[C@H]1C[C@@H](Nc2ccc3c([nH+]2)CCOC3)c2ccccc21 ZINC001169363806 1129720261 /nfs/dbraw/zinc/72/02/61/1129720261.db2.gz JNYDXCROFWYKSV-YVEFUNNKSA-N 1 2 280.371 3.815 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1c(F)cccc1F ZINC001239575818 1131393774 /nfs/dbraw/zinc/39/37/74/1131393774.db2.gz MHRMKGKGSXJJTC-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO Oc1cc(Nc2ccc(N3CCCC3)[nH+]c2)ccc1Cl ZINC001212591401 1129737305 /nfs/dbraw/zinc/73/73/05/1129737305.db2.gz KMPVRXINIYNHFO-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO CCCOc1ccccc1C[N@@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001138995168 1129741257 /nfs/dbraw/zinc/74/12/57/1129741257.db2.gz IDFOSKAVXZPORR-HZPDHXFCSA-N 1 2 283.362 3.747 20 0 CHADLO CCCOc1ccccc1C[N@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001138995168 1129741260 /nfs/dbraw/zinc/74/12/60/1129741260.db2.gz IDFOSKAVXZPORR-HZPDHXFCSA-N 1 2 283.362 3.747 20 0 CHADLO Cc1cc(C)c2[nH+]c(NCc3ncccc3O)cc(C)c2c1 ZINC001169734432 1129753451 /nfs/dbraw/zinc/75/34/51/1129753451.db2.gz YNJGXHLLYGKJNZ-UHFFFAOYSA-N 1 2 293.370 3.873 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2c3cc(C)ccc3C[C@@H]2C)c1 ZINC000652067948 1129757284 /nfs/dbraw/zinc/75/72/84/1129757284.db2.gz MLBJGVHYDMKZAL-SCLBCKFNSA-N 1 2 282.387 3.882 20 0 CHADLO CC[C@@H](Nc1cc(COC)cc[nH+]1)c1cccc(F)c1 ZINC000652330868 1129763399 /nfs/dbraw/zinc/76/33/99/1129763399.db2.gz RBIVLSLJBPMPSR-OAHLLOKOSA-N 1 2 274.339 3.930 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@]2(C)CC(C)C)c(C)c[nH+]1 ZINC000652797781 1129769487 /nfs/dbraw/zinc/76/94/87/1129769487.db2.gz HGWXDEBSXDTWNI-QGZVFWFLSA-N 1 2 289.423 3.553 20 0 CHADLO Cc1cc(NC(=O)[C@]23C[C@H]2CCCC3)ccc1-n1cc[nH+]c1 ZINC001136625636 1131397261 /nfs/dbraw/zinc/39/72/61/1131397261.db2.gz QRLQFGNYDVGEPZ-RDTXWAMCSA-N 1 2 295.386 3.700 20 0 CHADLO Cc1csc2ncnc(NCCc3[nH+]cc(C)cc3C)c12 ZINC000653474632 1129780464 /nfs/dbraw/zinc/78/04/64/1129780464.db2.gz XUQJNQFEDJOCLP-UHFFFAOYSA-N 1 2 298.415 3.666 20 0 CHADLO CC(C)(C)c1cnc(CNc2cc3cc[nH]c3c[nH+]2)s1 ZINC000653500380 1129781500 /nfs/dbraw/zinc/78/15/00/1129781500.db2.gz QLVXRNAZZXAHMX-UHFFFAOYSA-N 1 2 286.404 3.929 20 0 CHADLO c1cc2cc(NCc3nc4c(s3)CCCC4)[nH+]cc2[nH]1 ZINC000653500496 1129781898 /nfs/dbraw/zinc/78/18/98/1129781898.db2.gz WOQBJUMDCCTTQE-UHFFFAOYSA-N 1 2 284.388 3.510 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(C(F)F)nc2)c(C)[nH+]1 ZINC000653540321 1129783336 /nfs/dbraw/zinc/78/33/36/1129783336.db2.gz CNSHIVWKMUCWIU-UHFFFAOYSA-N 1 2 291.301 3.592 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC[C@@H](OC3CCC3)C2)no1 ZINC000653812332 1129796313 /nfs/dbraw/zinc/79/63/13/1129796313.db2.gz ITRBYMOLJRDLDB-OAHLLOKOSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC[C@@H](OC3CCC3)C2)no1 ZINC000653812332 1129796315 /nfs/dbraw/zinc/79/63/15/1129796315.db2.gz ITRBYMOLJRDLDB-OAHLLOKOSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC[C@H](OC3CCC3)C2)o1 ZINC000653813526 1129796378 /nfs/dbraw/zinc/79/63/78/1129796378.db2.gz CATOZPRDWAYLPN-AWEZNQCLSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC[C@H](OC3CCC3)C2)o1 ZINC000653813526 1129796381 /nfs/dbraw/zinc/79/63/81/1129796381.db2.gz CATOZPRDWAYLPN-AWEZNQCLSA-N 1 2 292.423 3.506 20 0 CHADLO Fc1cc2c(cc1F)C[NH+](CCCCC1CCOCC1)C2 ZINC000653830550 1129797187 /nfs/dbraw/zinc/79/71/87/1129797187.db2.gz DAJNKAACUCVSKG-UHFFFAOYSA-N 1 2 295.373 3.877 20 0 CHADLO Cc1ncc(C[NH2+][C@H](Cc2ccccc2)c2ncco2)s1 ZINC000653912966 1129802815 /nfs/dbraw/zinc/80/28/15/1129802815.db2.gz WPPZGHVOFTWCNN-OAHLLOKOSA-N 1 2 299.399 3.513 20 0 CHADLO Cc1nc(N(C)C(C)(C)Cc2ccccc2)c(C)c(C)[nH+]1 ZINC001156516030 1129805536 /nfs/dbraw/zinc/80/55/36/1129805536.db2.gz VZUHRYUMBIKIMY-UHFFFAOYSA-N 1 2 283.419 3.859 20 0 CHADLO C[C@H]1CC[C@@]2(CC[N@H+](Cc3csc(Cl)n3)C2)C1 ZINC000828766839 1131398902 /nfs/dbraw/zinc/39/89/02/1131398902.db2.gz WEBCBZUOIJTQDI-GXFFZTMASA-N 1 2 270.829 3.809 20 0 CHADLO C[C@H]1CC[C@@]2(CC[N@@H+](Cc3csc(Cl)n3)C2)C1 ZINC000828766839 1131398908 /nfs/dbraw/zinc/39/89/08/1131398908.db2.gz WEBCBZUOIJTQDI-GXFFZTMASA-N 1 2 270.829 3.809 20 0 CHADLO Fc1ccc(-c2cc[nH+]c(N3CCCC3)c2)cc1F ZINC001239583401 1131399252 /nfs/dbraw/zinc/39/92/52/1131399252.db2.gz GTVPWDMZWHMEGW-UHFFFAOYSA-N 1 2 260.287 3.627 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2cccnc2Cl)C2CC2)s1 ZINC000742377149 1129816734 /nfs/dbraw/zinc/81/67/34/1129816734.db2.gz ILACDOPHBCPAQQ-GFCCVEGCSA-N 1 2 293.823 3.741 20 0 CHADLO CC[C@H](OC(=O)CCCn1cc[nH+]c1)c1ccc(C)cc1 ZINC000768139166 1129836876 /nfs/dbraw/zinc/83/68/76/1129836876.db2.gz CCWRVOXJVRCGOU-INIZCTEOSA-N 1 2 286.375 3.666 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2c(Cl)nc3ccccn32)cc1 ZINC000768173486 1129839424 /nfs/dbraw/zinc/83/94/24/1129839424.db2.gz VYZCHPZUJJALNA-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2c(Cl)nc3ccccn32)cc1 ZINC000768173486 1129839429 /nfs/dbraw/zinc/83/94/29/1129839429.db2.gz VYZCHPZUJJALNA-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO C[C@H]([NH2+]C/C(Cl)=C/Cl)c1cccc(F)c1F ZINC000381456170 1129839903 /nfs/dbraw/zinc/83/99/03/1129839903.db2.gz JNGXADRBGAPJDI-BJMRUTCWSA-N 1 2 266.118 3.934 20 0 CHADLO FC1(F)C[N@H+](CC2CCC2)CC[C@H]1c1ccccc1 ZINC001202793274 1129844056 /nfs/dbraw/zinc/84/40/56/1129844056.db2.gz VBGCMOAXLYNPGH-HNNXBMFYSA-N 1 2 265.347 3.911 20 0 CHADLO FC1(F)C[N@@H+](CC2CCC2)CC[C@H]1c1ccccc1 ZINC001202793274 1129844059 /nfs/dbraw/zinc/84/40/59/1129844059.db2.gz VBGCMOAXLYNPGH-HNNXBMFYSA-N 1 2 265.347 3.911 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccoc2)C2CC2)c(F)c1 ZINC000768258671 1129846491 /nfs/dbraw/zinc/84/64/91/1129846491.db2.gz VIEBQROMYZIKJE-UHFFFAOYSA-N 1 2 263.287 3.722 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccoc2)C2CC2)c(F)c1 ZINC000768258671 1129846497 /nfs/dbraw/zinc/84/64/97/1129846497.db2.gz VIEBQROMYZIKJE-UHFFFAOYSA-N 1 2 263.287 3.722 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2c(Cl)nc3ccccn32)c1 ZINC000768252429 1129847725 /nfs/dbraw/zinc/84/77/25/1129847725.db2.gz LNWZYORUUVDIOK-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2c(Cl)nc3ccccn32)c1 ZINC000768252429 1129847728 /nfs/dbraw/zinc/84/77/28/1129847728.db2.gz LNWZYORUUVDIOK-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO c1cc2n(c1)CC[N@@H+](Cc1ccoc1)[C@@H]2c1ccccc1 ZINC000768422735 1129856369 /nfs/dbraw/zinc/85/63/69/1129856369.db2.gz DIFQLPIJNQQGKY-GOSISDBHSA-N 1 2 278.355 3.686 20 0 CHADLO c1cc2n(c1)CC[N@H+](Cc1ccoc1)[C@@H]2c1ccccc1 ZINC000768422735 1129856374 /nfs/dbraw/zinc/85/63/74/1129856374.db2.gz DIFQLPIJNQQGKY-GOSISDBHSA-N 1 2 278.355 3.686 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+]Cc2ncc(C(C)C)s2)c1 ZINC000800282917 1129863616 /nfs/dbraw/zinc/86/36/16/1129863616.db2.gz MHUAFIIRUGOXAK-UHFFFAOYSA-N 1 2 295.839 3.913 20 0 CHADLO C[C@H]1CC[N@H+](Cc2csc(Cl)n2)CC1(C)C ZINC000828873267 1131403817 /nfs/dbraw/zinc/40/38/17/1131403817.db2.gz MLPUPWHXMJVILZ-VIFPVBQESA-N 1 2 258.818 3.665 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2csc(Cl)n2)CC1(C)C ZINC000828873267 1131403819 /nfs/dbraw/zinc/40/38/19/1131403819.db2.gz MLPUPWHXMJVILZ-VIFPVBQESA-N 1 2 258.818 3.665 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@@H+](C/C=C/Cl)C1 ZINC000800473154 1129875644 /nfs/dbraw/zinc/87/56/44/1129875644.db2.gz MSWJKBUHTMYVOT-PXYYCUNGSA-N 1 2 253.748 3.618 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@H+](C/C=C/Cl)C1 ZINC000800473154 1129875642 /nfs/dbraw/zinc/87/56/42/1129875642.db2.gz MSWJKBUHTMYVOT-PXYYCUNGSA-N 1 2 253.748 3.618 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@H+](C/C=C\Cl)C1 ZINC000800473156 1129876021 /nfs/dbraw/zinc/87/60/21/1129876021.db2.gz MSWJKBUHTMYVOT-VCDPDADVSA-N 1 2 253.748 3.618 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@@H+](C/C=C\Cl)C1 ZINC000800473156 1129876023 /nfs/dbraw/zinc/87/60/23/1129876023.db2.gz MSWJKBUHTMYVOT-VCDPDADVSA-N 1 2 253.748 3.618 20 0 CHADLO Clc1cccc(Nc2ccc(N3CCCCC3)[nH+]c2)n1 ZINC000800845668 1129892622 /nfs/dbraw/zinc/89/26/22/1129892622.db2.gz DYDQHZXPHWAVOI-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO CC(C)SCCO[NH+]=C(N)Cc1ccc(Cl)cc1 ZINC000800868117 1129895660 /nfs/dbraw/zinc/89/56/60/1129895660.db2.gz MISHBGBBDZZANQ-UHFFFAOYSA-N 1 2 286.828 3.523 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c[nH]c3ccc(Cl)cc23)CCC1=O ZINC001137708039 1131405758 /nfs/dbraw/zinc/40/57/58/1131405758.db2.gz FSBJWBTZHIZNAW-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c[nH]c3ccc(Cl)cc23)CCC1=O ZINC001137708039 1131405761 /nfs/dbraw/zinc/40/57/61/1131405761.db2.gz FSBJWBTZHIZNAW-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO CN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@H]1CCC[C@@H]1C(C)(C)C ZINC000822924589 1131406006 /nfs/dbraw/zinc/40/60/06/1131406006.db2.gz NQWNHOARCOAOKY-BBRMVZONSA-N 1 2 299.418 3.850 20 0 CHADLO C[C@@H]1COCCN1c1ccc([NH2+][C@@H]2CCC[C@H]3C[C@H]32)cc1 ZINC000800981778 1129902089 /nfs/dbraw/zinc/90/20/89/1129902089.db2.gz JBRPOTPQSMARFA-LTCOOKNTSA-N 1 2 286.419 3.512 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+][C@H]3CCC[C@H]4C[C@H]43)cc2)CCO1 ZINC000800982638 1129902254 /nfs/dbraw/zinc/90/22/54/1129902254.db2.gz XMAQCROAEDULES-ZZCKCESHSA-N 1 2 286.419 3.512 20 0 CHADLO CC[C@@]1(C)CCC[N@H+](Cc2c(Cl)nc(Cl)n2C)C1 ZINC000769447228 1129910116 /nfs/dbraw/zinc/91/01/16/1129910116.db2.gz WLDHJBOAOVXSHH-ZDUSSCGKSA-N 1 2 290.238 3.739 20 0 CHADLO CC[C@@]1(C)CCC[N@@H+](Cc2c(Cl)nc(Cl)n2C)C1 ZINC000769447228 1129910118 /nfs/dbraw/zinc/91/01/18/1129910118.db2.gz WLDHJBOAOVXSHH-ZDUSSCGKSA-N 1 2 290.238 3.739 20 0 CHADLO c1n[nH]c2c1CC[N@@H+](Cc1ccccc1-c1ccccc1)C2 ZINC000769469359 1129912350 /nfs/dbraw/zinc/91/23/50/1129912350.db2.gz XAYJHKWFRHOCBF-UHFFFAOYSA-N 1 2 289.382 3.635 20 0 CHADLO c1n[nH]c2c1CC[N@H+](Cc1ccccc1-c1ccccc1)C2 ZINC000769469359 1129912353 /nfs/dbraw/zinc/91/23/53/1129912353.db2.gz XAYJHKWFRHOCBF-UHFFFAOYSA-N 1 2 289.382 3.635 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)[N@H+](C)CCF ZINC000746499114 1129933612 /nfs/dbraw/zinc/93/36/12/1129933612.db2.gz FRJGDRICYJMCJF-MRVPVSSYSA-N 1 2 250.144 3.956 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)[N@@H+](C)CCF ZINC000746499114 1129933613 /nfs/dbraw/zinc/93/36/13/1129933613.db2.gz FRJGDRICYJMCJF-MRVPVSSYSA-N 1 2 250.144 3.956 20 0 CHADLO CC[C@H]([NH2+]Cc1cn(C)cn1)c1ccc(Cl)cc1Cl ZINC000901683560 1129944263 /nfs/dbraw/zinc/94/42/63/1129944263.db2.gz OFHZEKUXKLGLBV-AWEZNQCLSA-N 1 2 298.217 3.968 20 0 CHADLO Cc1cc(CSCc2c[nH+]cn2Cc2ccccc2)no1 ZINC000901715506 1129946216 /nfs/dbraw/zinc/94/62/16/1129946216.db2.gz UQPOYCKJCMDIGQ-UHFFFAOYSA-N 1 2 299.399 3.661 20 0 CHADLO FCC[N@@H+]1CCC[C@H]1c1cccc(Br)c1 ZINC000746503309 1129946869 /nfs/dbraw/zinc/94/68/69/1129946869.db2.gz LMEWFMBQRUXXFP-LBPRGKRZSA-N 1 2 272.161 3.556 20 0 CHADLO FCC[N@H+]1CCC[C@H]1c1cccc(Br)c1 ZINC000746503309 1129946871 /nfs/dbraw/zinc/94/68/71/1129946871.db2.gz LMEWFMBQRUXXFP-LBPRGKRZSA-N 1 2 272.161 3.556 20 0 CHADLO CCc1ccc(CNc2ccc3c(c2)CCC[N@H+]3C)o1 ZINC000770541168 1129950972 /nfs/dbraw/zinc/95/09/72/1129950972.db2.gz NKRVYLPKXMAMPN-UHFFFAOYSA-N 1 2 270.376 3.837 20 0 CHADLO CCc1ccc(CNc2ccc3c(c2)CCC[N@@H+]3C)o1 ZINC000770541168 1129950975 /nfs/dbraw/zinc/95/09/75/1129950975.db2.gz NKRVYLPKXMAMPN-UHFFFAOYSA-N 1 2 270.376 3.837 20 0 CHADLO CCc1cc(OCCCCCCO)c2ccccc2[nH+]1 ZINC000770699348 1129956558 /nfs/dbraw/zinc/95/65/58/1129956558.db2.gz UKRPXDAHDWVWNM-UHFFFAOYSA-N 1 2 273.376 3.729 20 0 CHADLO c1ccc(-c2cc[nH+]c(N3CC4CC(C4)C3)c2)cc1 ZINC001156887503 1129963074 /nfs/dbraw/zinc/96/30/74/1129963074.db2.gz IDJLMSUKCLSUOD-UHFFFAOYSA-N 1 2 250.345 3.595 20 0 CHADLO Cc1nc(NCc2ccc(CC(F)(F)F)cc2)cc[nH+]1 ZINC000902127025 1129982387 /nfs/dbraw/zinc/98/23/87/1129982387.db2.gz KVZAFOYTQFNJBE-UHFFFAOYSA-N 1 2 281.281 3.502 20 0 CHADLO NC(=[NH+]OCc1cccc(F)c1Cl)c1ccccc1 ZINC000771652831 1129986571 /nfs/dbraw/zinc/98/65/71/1129986571.db2.gz HHLORAIOZLGISR-UHFFFAOYSA-N 1 2 278.714 3.526 20 0 CHADLO Cc1cc(NCc2cc3cnccc3o2)nc(C(C)C)[nH+]1 ZINC000902187058 1129986613 /nfs/dbraw/zinc/98/66/13/1129986613.db2.gz ZRZRVRTTWAHWHO-UHFFFAOYSA-N 1 2 282.347 3.662 20 0 CHADLO CC(C)[C@@H](CC1CCCCC1)C(=O)OCCn1cc[nH+]c1 ZINC000771964560 1129998536 /nfs/dbraw/zinc/99/85/36/1129998536.db2.gz KKGHWCMZVUPPDX-MRXNPFEDSA-N 1 2 292.423 3.669 20 0 CHADLO COc1cc(C)[nH+]c(CSCCCOC(F)(F)F)c1 ZINC000902354846 1130002607 /nfs/dbraw/zinc/00/26/07/1130002607.db2.gz PCLUGJMQQUTAMN-UHFFFAOYSA-N 1 2 295.326 3.558 20 0 CHADLO CNc1ccccc1CNc1ccc([NH+](C)C)c(C)c1 ZINC000902365334 1130003586 /nfs/dbraw/zinc/00/35/86/1130003586.db2.gz YZFYTORCMUVLGD-UHFFFAOYSA-N 1 2 269.392 3.715 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@@H]3C[C@H]3C(C)C)c2)[nH+]c1C ZINC000772367051 1130020428 /nfs/dbraw/zinc/02/04/28/1130020428.db2.gz VGWZDAMVLBYHIP-JKSUJKDBSA-N 1 2 297.402 3.924 20 0 CHADLO CC(C)(C)SCCOc1ccccc1-n1cc[nH+]c1 ZINC000802730695 1130033019 /nfs/dbraw/zinc/03/30/19/1130033019.db2.gz XHJKXGGTBZHUMU-UHFFFAOYSA-N 1 2 276.405 3.783 20 0 CHADLO CC[C@H]1c2ccccc2C[N@H+]1Cn1cccnc1=S ZINC000902666040 1130036493 /nfs/dbraw/zinc/03/64/93/1130036493.db2.gz KJMQKDOTSWFZMU-AWEZNQCLSA-N 1 2 271.389 3.537 20 0 CHADLO CC[C@H]1c2ccccc2C[N@@H+]1Cn1cccnc1=S ZINC000902666040 1130036500 /nfs/dbraw/zinc/03/65/00/1130036500.db2.gz KJMQKDOTSWFZMU-AWEZNQCLSA-N 1 2 271.389 3.537 20 0 CHADLO Cc1cnc(COc2cc(C)[nH+]c3ccc(C)cc32)o1 ZINC000902693125 1130039761 /nfs/dbraw/zinc/03/97/61/1130039761.db2.gz OZVZPEUKWOIDRH-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO Cc1ccc2[nH+]c(C)cc(OCc3noc(C4CC4)n3)c2c1 ZINC000902695387 1130040878 /nfs/dbraw/zinc/04/08/78/1130040878.db2.gz ZZIHORFJJKDURQ-UHFFFAOYSA-N 1 2 295.342 3.691 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccc(F)cc2F)cc1F ZINC001239680604 1130050988 /nfs/dbraw/zinc/05/09/88/1130050988.db2.gz YRJUIMGKBWNLAE-UHFFFAOYSA-N 1 2 283.268 3.972 20 0 CHADLO Clc1ccc(OC[C@@H]2CCCN2c2cccc[nH+]2)cc1 ZINC000785732283 1130051557 /nfs/dbraw/zinc/05/15/57/1130051557.db2.gz FFISZBZJFPDABF-AWEZNQCLSA-N 1 2 288.778 3.783 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)c1cn(C)nn1)c1ccccc1Cl ZINC000902806890 1130061699 /nfs/dbraw/zinc/06/16/99/1130061699.db2.gz QBSFWSCGGWAEBU-ABAIWWIYSA-N 1 2 292.814 3.516 20 0 CHADLO CC(=O)Nc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001239756448 1130094113 /nfs/dbraw/zinc/09/41/13/1130094113.db2.gz MXUVSQVKEGSVCP-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO c1ccc(Oc2cncc(-c3cc[nH+]c4c3CCN4)c2)cc1 ZINC001239759875 1130096345 /nfs/dbraw/zinc/09/63/45/1130096345.db2.gz JXGAFRSZALEXKV-UHFFFAOYSA-N 1 2 289.338 3.904 20 0 CHADLO COc1ccc(C)cc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239769486 1130100797 /nfs/dbraw/zinc/10/07/97/1130100797.db2.gz NABBVRPWDSHEJT-UHFFFAOYSA-N 1 2 278.355 3.915 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc3c(c2)CCO3)cc1 ZINC001239806375 1130116131 /nfs/dbraw/zinc/11/61/31/1130116131.db2.gz GLXITTXQYNIHRJ-UHFFFAOYSA-N 1 2 276.339 3.689 20 0 CHADLO COc1ccc(-c2cn3cc[nH+]c3cc2C)c(C)c1 ZINC001239827627 1130128506 /nfs/dbraw/zinc/12/85/06/1130128506.db2.gz ZFAXVDGDHRQEDD-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2cc(N(C)C)ccn2)C2CCC2)o1 ZINC000903199505 1130129259 /nfs/dbraw/zinc/12/92/59/1130129259.db2.gz ZSFDLOXYERGOQU-SFHVURJKSA-N 1 2 299.418 3.680 20 0 CHADLO CC(C)c1ccccc1-c1cc[nH+]c(N2CCOCC2)c1 ZINC001239865445 1130140424 /nfs/dbraw/zinc/14/04/24/1130140424.db2.gz GVMNCKQCMSMEOB-UHFFFAOYSA-N 1 2 282.387 3.709 20 0 CHADLO Fc1ccc(Cl)cc1C[N@@H+]1CC[C@H](C(F)(F)F)C1 ZINC000787269728 1130143368 /nfs/dbraw/zinc/14/33/68/1130143368.db2.gz BHTVOVGYAISSJY-VIFPVBQESA-N 1 2 281.680 3.863 20 0 CHADLO Fc1ccc(Cl)cc1C[N@H+]1CC[C@H](C(F)(F)F)C1 ZINC000787269728 1130143370 /nfs/dbraw/zinc/14/33/70/1130143370.db2.gz BHTVOVGYAISSJY-VIFPVBQESA-N 1 2 281.680 3.863 20 0 CHADLO Cc1cc(-c2ccc(C(=O)NCC(C)C)cc2)cc(C)[nH+]1 ZINC001239910904 1130151317 /nfs/dbraw/zinc/15/13/17/1130151317.db2.gz CJKDUEMOFMMMRR-UHFFFAOYSA-N 1 2 282.387 3.751 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1cccnc1 ZINC000903313694 1130153010 /nfs/dbraw/zinc/15/30/10/1130153010.db2.gz WWGHUTNUZNASME-CQSZACIVSA-N 1 2 287.407 3.998 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ncccn1)C1CC1)c1ccc(F)c(F)c1 ZINC000903333661 1130159547 /nfs/dbraw/zinc/15/95/47/1130159547.db2.gz SGDIUHWWOJKFFQ-BMIGLBTASA-N 1 2 289.329 3.557 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](c2ccccn2)C2CC2)cs1 ZINC000903335692 1130160357 /nfs/dbraw/zinc/16/03/57/1130160357.db2.gz RYARRFORLKSTLO-BONVTDFDSA-N 1 2 273.405 3.648 20 0 CHADLO CC(C)Oc1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001239960425 1130172902 /nfs/dbraw/zinc/17/29/02/1130172902.db2.gz QNJWYOYQQFPXKN-UHFFFAOYSA-N 1 2 252.317 3.789 20 0 CHADLO CC(C)(C)NC(=O)c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001239991239 1130184180 /nfs/dbraw/zinc/18/41/80/1130184180.db2.gz WAEMQRXQIMIRGM-UHFFFAOYSA-N 1 2 293.370 3.530 20 0 CHADLO COc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)c(F)c1 ZINC001240021673 1130196232 /nfs/dbraw/zinc/19/62/32/1130196232.db2.gz OAUYTADETUFIEK-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+]C3CC(C)(C)C3)cc2)C[C@H](C)O1 ZINC000788598195 1130196458 /nfs/dbraw/zinc/19/64/58/1130196458.db2.gz KTONTYXCZVPJEC-KBPBESRZSA-N 1 2 288.435 3.901 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+]C3CC(C)(C)C3)cc2)C[C@H](C)O1 ZINC000788598196 1130196618 /nfs/dbraw/zinc/19/66/18/1130196618.db2.gz KTONTYXCZVPJEC-OKILXGFUSA-N 1 2 288.435 3.901 20 0 CHADLO Cc1cc(-c2cc(F)cc3[nH]ccc32)cn2cc[nH+]c12 ZINC001240037951 1130202080 /nfs/dbraw/zinc/20/20/80/1130202080.db2.gz JFBXXBPOIZOUFZ-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO Cc1ccc2ccnc(-c3ccc4[nH+]ccn4c3)c2c1 ZINC001240214324 1130234093 /nfs/dbraw/zinc/23/40/93/1130234093.db2.gz MMZFTRANTDQXMC-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cnc(-c2ccc3[nH+]ccn3c2)c2ccccc12 ZINC001240215469 1130234614 /nfs/dbraw/zinc/23/46/14/1130234614.db2.gz XCKKNJNSDRYBDO-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO C[C@@H]1CC[C@H](Nc2ccc([NH+]3CCCC3)cc2)CS1 ZINC000689398651 1130235211 /nfs/dbraw/zinc/23/52/11/1130235211.db2.gz NFEJDTDQODWDDV-HIFRSBDPSA-N 1 2 276.449 3.983 20 0 CHADLO c1cc(-c2ccc(-c3ccc4[nH+]ccn4c3)cc2)on1 ZINC001240218046 1130235509 /nfs/dbraw/zinc/23/55/09/1130235509.db2.gz XTHNJMHDMWWJHC-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO c1cn2cc(-c3ccc(-c4cnco4)cc3)ccc2[nH+]1 ZINC001240217929 1130235688 /nfs/dbraw/zinc/23/56/88/1130235688.db2.gz RHMWGWHLSRLYBB-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO FC(F)(F)COc1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240218205 1130235751 /nfs/dbraw/zinc/23/57/51/1130235751.db2.gz GDUZMDCWVZMXQM-UHFFFAOYSA-N 1 2 292.260 3.942 20 0 CHADLO c1cn2cc(-c3ccc(C4=CCOCC4)cc3)ccc2[nH+]1 ZINC001240217707 1130236303 /nfs/dbraw/zinc/23/63/03/1130236303.db2.gz MWTZYFUTOWCLIX-UHFFFAOYSA-N 1 2 276.339 3.805 20 0 CHADLO Fc1ccc2ccc(-c3ccc4[nH+]ccn4c3)nc2c1 ZINC001240217044 1130236413 /nfs/dbraw/zinc/23/64/13/1130236413.db2.gz YTCPJWBQVAKYEE-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO c1cn2cc(-c3ccc(O[C@@H]4CCCCO4)cc3)ccc2[nH+]1 ZINC001240218795 1130236952 /nfs/dbraw/zinc/23/69/52/1130236952.db2.gz ZWNBQYGBWJIGLO-GOSISDBHSA-N 1 2 294.354 3.907 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)cc(C)c1N(C)C ZINC001240219118 1130237415 /nfs/dbraw/zinc/23/74/15/1130237415.db2.gz AJYVONDXWCTDJB-UHFFFAOYSA-N 1 2 265.360 3.684 20 0 CHADLO CCOCc1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240218884 1130237426 /nfs/dbraw/zinc/23/74/26/1130237426.db2.gz NQVBPTFCBBLFJX-UHFFFAOYSA-N 1 2 252.317 3.538 20 0 CHADLO COc1ccc2nc(C)cc(-c3ccc4[nH+]ccn4c3)c2c1 ZINC001240218977 1130237525 /nfs/dbraw/zinc/23/75/25/1130237525.db2.gz SGYTUSFIBJDVBN-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO c1cn2cc(-c3cccc(-c4cnco4)c3)ccc2[nH+]1 ZINC001240219811 1130238207 /nfs/dbraw/zinc/23/82/07/1130238207.db2.gz VHHBIQBXRTYIIR-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO CCOc1cc(F)c(F)cc1-c1ccc2[nH+]ccn2c1 ZINC001240221162 1130238552 /nfs/dbraw/zinc/23/85/52/1130238552.db2.gz HTBRZMKMZGVBEK-UHFFFAOYSA-N 1 2 274.270 3.678 20 0 CHADLO CC(C)(C)c1cccc(OC(=O)CCCn2cc[nH+]c2)c1 ZINC000748606252 1130238751 /nfs/dbraw/zinc/23/87/51/1130238751.db2.gz NQZMLMVWFOVLGQ-UHFFFAOYSA-N 1 2 286.375 3.566 20 0 CHADLO CCOC(=O)c1ccc(-c2ccc3[nH+]ccn3c2)c(C)c1C ZINC001240220967 1130238840 /nfs/dbraw/zinc/23/88/40/1130238840.db2.gz OTAAIUFUKLFTNZ-UHFFFAOYSA-N 1 2 294.354 3.795 20 0 CHADLO CC(C)c1ocnc1C[N@@H+]1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC001254367971 1130240883 /nfs/dbraw/zinc/24/08/83/1130240883.db2.gz PSCZFOGEAHZPFC-QWRGUYRKSA-N 1 2 290.329 3.961 20 0 CHADLO CC(C)c1ocnc1C[N@H+]1C[C@@H](C(F)(F)F)CC[C@@H]1C ZINC001254367971 1130240885 /nfs/dbraw/zinc/24/08/85/1130240885.db2.gz PSCZFOGEAHZPFC-QWRGUYRKSA-N 1 2 290.329 3.961 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2sccc2Br)C1 ZINC001139309239 1130247933 /nfs/dbraw/zinc/24/79/33/1130247933.db2.gz MTRCCTONIXPRMW-IUCAKERBSA-N 1 2 292.217 3.691 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2sccc2Br)C1 ZINC001139309239 1130247938 /nfs/dbraw/zinc/24/79/38/1130247938.db2.gz MTRCCTONIXPRMW-IUCAKERBSA-N 1 2 292.217 3.691 20 0 CHADLO Cn1cnc2ccc(-c3ccc(-c4c[nH+]cn4C)cc3)cc21 ZINC001240320615 1130265923 /nfs/dbraw/zinc/26/59/23/1130265923.db2.gz FKYJKVUVMMXUQH-UHFFFAOYSA-N 1 2 288.354 3.641 20 0 CHADLO Cc1cn2c(cccc2-c2ccnc(C(F)(F)F)c2)[nH+]1 ZINC001240338256 1130268497 /nfs/dbraw/zinc/26/84/97/1130268497.db2.gz CDUVRODTBOWSMC-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO C[C@H](c1ccc(C(C)(C)C)cc1)[N@@H+]1CCc2cn[nH]c2C1 ZINC000676795669 1130271969 /nfs/dbraw/zinc/27/19/69/1130271969.db2.gz NXPFNGMWMXRRGZ-CYBMUJFWSA-N 1 2 283.419 3.827 20 0 CHADLO C/C=C(/CC)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000789744324 1130275693 /nfs/dbraw/zinc/27/56/93/1130275693.db2.gz SJOYADYLYXEVBH-ACAGNQJTSA-N 1 2 283.375 3.988 20 0 CHADLO CC=C(CC)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000789744324 1130275697 /nfs/dbraw/zinc/27/56/97/1130275697.db2.gz SJOYADYLYXEVBH-ACAGNQJTSA-N 1 2 283.375 3.988 20 0 CHADLO CCC(=O)CC[N@@H+]1CCc2cc(Cl)cc(Cl)c2C1 ZINC000753736973 1130285732 /nfs/dbraw/zinc/28/57/32/1130285732.db2.gz AHIORHXOKIWJKS-UHFFFAOYSA-N 1 2 286.202 3.721 20 0 CHADLO CCC(=O)CC[N@H+]1CCc2cc(Cl)cc(Cl)c2C1 ZINC000753736973 1130285736 /nfs/dbraw/zinc/28/57/36/1130285736.db2.gz AHIORHXOKIWJKS-UHFFFAOYSA-N 1 2 286.202 3.721 20 0 CHADLO CSc1ncc(-c2cc(C)cn3cc[nH+]c23)cc1C ZINC001243148558 1130304115 /nfs/dbraw/zinc/30/41/15/1130304115.db2.gz RARBJZRONKMOGP-UHFFFAOYSA-N 1 2 269.373 3.735 20 0 CHADLO C[N@H+]1Cc2ccccc2[C@H](NCc2cscc2Cl)C1 ZINC000677426260 1130311141 /nfs/dbraw/zinc/31/11/41/1130311141.db2.gz JJMAGEOBWVXADM-OAHLLOKOSA-N 1 2 292.835 3.678 20 0 CHADLO C[N@@H+]1Cc2ccccc2[C@H](NCc2cscc2Cl)C1 ZINC000677426260 1130311146 /nfs/dbraw/zinc/31/11/46/1130311146.db2.gz JJMAGEOBWVXADM-OAHLLOKOSA-N 1 2 292.835 3.678 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000677561176 1130316146 /nfs/dbraw/zinc/31/61/46/1130316146.db2.gz IWIVEHUPMHTNBX-UHFFFAOYSA-N 1 2 297.402 3.514 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000677561176 1130316150 /nfs/dbraw/zinc/31/61/50/1130316150.db2.gz IWIVEHUPMHTNBX-UHFFFAOYSA-N 1 2 297.402 3.514 20 0 CHADLO CC[N@H+](Cc1cnc2ccc(C)cn12)Cc1ccccc1F ZINC001137310046 1130321492 /nfs/dbraw/zinc/32/14/92/1130321492.db2.gz FSFOXXDUZYXTCH-UHFFFAOYSA-N 1 2 297.377 3.804 20 0 CHADLO CC[N@@H+](Cc1cnc2ccc(C)cn12)Cc1ccccc1F ZINC001137310046 1130321498 /nfs/dbraw/zinc/32/14/98/1130321498.db2.gz FSFOXXDUZYXTCH-UHFFFAOYSA-N 1 2 297.377 3.804 20 0 CHADLO Cc1cc2cc(-c3cc[nH+]c(N4CCCC4)c3)cnc2[nH]1 ZINC001240482234 1130323918 /nfs/dbraw/zinc/32/39/18/1130323918.db2.gz RGLKLPAGWATPCU-UHFFFAOYSA-N 1 2 278.359 3.534 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CC[C@@H](C(F)F)C2)cs1 ZINC000677730736 1130324818 /nfs/dbraw/zinc/32/48/18/1130324818.db2.gz IQLRNLFETWOVOP-SECBINFHSA-N 1 2 274.380 3.528 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CC[C@@H](C(F)F)C2)cs1 ZINC000677730736 1130324825 /nfs/dbraw/zinc/32/48/25/1130324825.db2.gz IQLRNLFETWOVOP-SECBINFHSA-N 1 2 274.380 3.528 20 0 CHADLO c1cn2ccc(-c3cc(Oc4ccccc4)ncn3)cc2[nH+]1 ZINC001240496030 1130328524 /nfs/dbraw/zinc/32/85/24/1130328524.db2.gz DCVKEKBVJVKBJQ-UHFFFAOYSA-N 1 2 288.310 3.584 20 0 CHADLO Cc1nc2ccc(-c3ccn4cc[nH+]c4c3)nc2n1C(C)C ZINC001240496314 1130328917 /nfs/dbraw/zinc/32/89/17/1130328917.db2.gz LOVJWUHMVKHFFR-UHFFFAOYSA-N 1 2 291.358 3.635 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](C)c2cnc(C)s2)cs1 ZINC000677755574 1130329404 /nfs/dbraw/zinc/32/94/04/1130329404.db2.gz HJYYCWOPYVNKNE-HTQZYQBOSA-N 1 2 267.423 3.628 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)ncc1C(F)(F)F ZINC001240496787 1130329868 /nfs/dbraw/zinc/32/98/68/1130329868.db2.gz TTWCZIFLYIHMQI-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO c1cn2ccc(-c3nccc4ccsc43)cc2[nH+]1 ZINC001240496766 1130330246 /nfs/dbraw/zinc/33/02/46/1130330246.db2.gz SJUQSKASWJHYSK-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Fc1ccc(-c2ccn3cc[nH+]c3c2)c2[nH]ccc21 ZINC001240509446 1130335093 /nfs/dbraw/zinc/33/50/93/1130335093.db2.gz ZMDWTGWRIUNDKJ-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO CC(C)(C)Oc1ccc(-c2ccn3cc[nH+]c3c2)nc1 ZINC001240511161 1130335914 /nfs/dbraw/zinc/33/59/14/1130335914.db2.gz AOFBHGQGIJYAFP-UHFFFAOYSA-N 1 2 267.332 3.574 20 0 CHADLO Cc1ccc2c(cccc2-c2ccn3cc[nH+]c3c2)n1 ZINC001240510618 1130336395 /nfs/dbraw/zinc/33/63/95/1130336395.db2.gz OTQRRBJVWGURTL-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO c1cn2ccc(-c3ccc(-c4csnn4)cc3)cc2[nH+]1 ZINC001240510698 1130336540 /nfs/dbraw/zinc/33/65/40/1130336540.db2.gz PCLATYCZWHRMMO-UHFFFAOYSA-N 1 2 278.340 3.520 20 0 CHADLO c1coc(-c2ccc(-c3ccn4cc[nH+]c4c3)cc2)n1 ZINC001240510146 1130336668 /nfs/dbraw/zinc/33/66/68/1130336668.db2.gz CXUUZBPHMDPLDZ-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO COc1ccc2nccc(-c3ccn4cc[nH+]c4c3)c2c1 ZINC001240512192 1130337498 /nfs/dbraw/zinc/33/74/98/1130337498.db2.gz RTCNMNKSGIHDQN-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1cn2c(cccc2-c2cccc(N3CCCC3)c2)[nH+]1 ZINC001240535389 1130348040 /nfs/dbraw/zinc/34/80/40/1130348040.db2.gz URINTCSITWULSV-UHFFFAOYSA-N 1 2 277.371 3.910 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CSCc2ccccc21)c1cscn1 ZINC000678115174 1130349552 /nfs/dbraw/zinc/34/95/52/1130349552.db2.gz VSPOMAIRWWWAMN-QMTHXVAHSA-N 1 2 276.430 3.782 20 0 CHADLO C[C@H]([NH2+][C@@H]1CSCc2ccccc21)c1cscn1 ZINC000678115173 1130350870 /nfs/dbraw/zinc/35/08/70/1130350870.db2.gz VSPOMAIRWWWAMN-IINYFYTJSA-N 1 2 276.430 3.782 20 0 CHADLO c1[nH]nc2cc(-c3cc[nH+]c(N4CCCCC4)c3)ccc12 ZINC001240556793 1130355224 /nfs/dbraw/zinc/35/52/24/1130355224.db2.gz XSXPRQYJYSSZQF-UHFFFAOYSA-N 1 2 278.359 3.615 20 0 CHADLO Cc1cn2cc(-c3cccc(-c4cc[nH]n4)c3)ccc2[nH+]1 ZINC001240561927 1130359861 /nfs/dbraw/zinc/35/98/61/1130359861.db2.gz FZLKPZGKDSLROE-UHFFFAOYSA-N 1 2 274.327 3.700 20 0 CHADLO c1cc(-c2cccc(-c3ccc(-n4cc[nH+]c4)cc3)c2)n[nH]1 ZINC001240561725 1130360198 /nfs/dbraw/zinc/36/01/98/1130360198.db2.gz KPSAEKHJHYRVOX-UHFFFAOYSA-N 1 2 286.338 3.929 20 0 CHADLO Cc1c(-c2cccc(-c3cc[nH]n3)c2)ccc2[nH+]ccn21 ZINC001240565149 1130361807 /nfs/dbraw/zinc/36/18/07/1130361807.db2.gz XYVRPGMQVFZLGC-UHFFFAOYSA-N 1 2 274.327 3.700 20 0 CHADLO Cc1ncsc1C[N@@H+]1CCc2[nH]c3ccc(C)cc3c2C1 ZINC000678171352 1130367384 /nfs/dbraw/zinc/36/73/84/1130367384.db2.gz BNRHVJYCRQDJNW-UHFFFAOYSA-N 1 2 297.427 3.800 20 0 CHADLO Cc1ncsc1C[N@H+]1CCc2[nH]c3ccc(C)cc3c2C1 ZINC000678171352 1130367390 /nfs/dbraw/zinc/36/73/90/1130367390.db2.gz BNRHVJYCRQDJNW-UHFFFAOYSA-N 1 2 297.427 3.800 20 0 CHADLO Cc1[nH+]ccc(-c2ccc(N3CCCC3)cc2)c1C ZINC001240591073 1130375644 /nfs/dbraw/zinc/37/56/44/1130375644.db2.gz BYAAUPVDXXHMFQ-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1[nH]nc3ccccc31)C2 ZINC000678259482 1130381010 /nfs/dbraw/zinc/38/10/10/1130381010.db2.gz SEPFMZKEICNCQT-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1[nH]nc3ccccc31)C2 ZINC000678259482 1130381016 /nfs/dbraw/zinc/38/10/16/1130381016.db2.gz SEPFMZKEICNCQT-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1Cc1[nH]nc2ccccc21 ZINC000678267949 1130384397 /nfs/dbraw/zinc/38/43/97/1130384397.db2.gz TWISEJSJMDOPIE-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1Cc1[nH]nc2ccccc21 ZINC000678267949 1130384404 /nfs/dbraw/zinc/38/44/04/1130384404.db2.gz TWISEJSJMDOPIE-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1Cc1n[nH]c2ccccc21 ZINC000678267949 1130384409 /nfs/dbraw/zinc/38/44/09/1130384409.db2.gz TWISEJSJMDOPIE-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1Cc1n[nH]c2ccccc21 ZINC000678267949 1130384413 /nfs/dbraw/zinc/38/44/13/1130384413.db2.gz TWISEJSJMDOPIE-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@H]1COCCC[N@@H+]1Cc1cc(Cl)cc(Cl)c1 ZINC000678274594 1130385759 /nfs/dbraw/zinc/38/57/59/1130385759.db2.gz QLMRFNFTFCFCRO-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@H]1COCCC[N@H+]1Cc1cc(Cl)cc(Cl)c1 ZINC000678274594 1130385764 /nfs/dbraw/zinc/38/57/64/1130385764.db2.gz QLMRFNFTFCFCRO-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO Cc1ccc2ncc(C[N@@H+]3CC[C@@H]3c3cccc(F)c3)n2c1 ZINC000678283633 1130391159 /nfs/dbraw/zinc/39/11/59/1130391159.db2.gz PLGKERJSVVNHLI-QGZVFWFLSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1ccc2ncc(C[N@H+]3CC[C@@H]3c3cccc(F)c3)n2c1 ZINC000678283633 1130391164 /nfs/dbraw/zinc/39/11/64/1130391164.db2.gz PLGKERJSVVNHLI-QGZVFWFLSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1ccc2[nH+]cc(CN3CC[C@@H]3c3cccc(F)c3)n2c1 ZINC000678283633 1130391171 /nfs/dbraw/zinc/39/11/71/1130391171.db2.gz PLGKERJSVVNHLI-QGZVFWFLSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1csc(C(C)C)n1 ZINC000678361438 1130408270 /nfs/dbraw/zinc/40/82/70/1130408270.db2.gz BKSVDFWWMXCJMX-GFCCVEGCSA-N 1 2 275.421 3.821 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2nc3c(s2)CCC3)o1 ZINC000678497416 1130423835 /nfs/dbraw/zinc/42/38/35/1130423835.db2.gz OSUCBFVLYYEOES-CMPLNLGQSA-N 1 2 288.416 3.638 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2cc(F)ccc2O)cc1 ZINC001240697829 1130424089 /nfs/dbraw/zinc/42/40/89/1130424089.db2.gz AUJDGABVDUMAEW-UHFFFAOYSA-N 1 2 268.291 3.599 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1nc(C)sc1C)c1ccccc1 ZINC000678587868 1130432176 /nfs/dbraw/zinc/43/21/76/1130432176.db2.gz FLGNMWZTVDBAQM-XHDPSFHLSA-N 1 2 290.432 3.798 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](C)c2c(C)cc(C)cc2C)s1 ZINC000678623210 1130443415 /nfs/dbraw/zinc/44/34/15/1130443415.db2.gz CAJRNIDQKJWLJY-ZDUSSCGKSA-N 1 2 289.448 3.965 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](C)c2c(C)cc(C)cc2C)s1 ZINC000678623210 1130443418 /nfs/dbraw/zinc/44/34/18/1130443418.db2.gz CAJRNIDQKJWLJY-ZDUSSCGKSA-N 1 2 289.448 3.965 20 0 CHADLO C[C@H](Nc1cc[nH+]cc1F)c1cc2ccccc2o1 ZINC000678788673 1130454702 /nfs/dbraw/zinc/45/47/02/1130454702.db2.gz MLWIPPWNDNKHPW-JTQLQIEISA-N 1 2 256.280 3.562 20 0 CHADLO Fc1cccc([C@@H]2CCCN2c2cc[nH+]cc2F)c1 ZINC000678788707 1130454810 /nfs/dbraw/zinc/45/48/10/1130454810.db2.gz NBHCCYVGRDAHAA-AWEZNQCLSA-N 1 2 260.287 3.701 20 0 CHADLO COc1ccc([C@@H]2CCCN2c2cc[nH+]cc2F)cc1 ZINC000678788720 1130454830 /nfs/dbraw/zinc/45/48/30/1130454830.db2.gz NEAUPPMDYSGMSP-HNNXBMFYSA-N 1 2 272.323 3.571 20 0 CHADLO Cc1ccccc1[C@H]1CCCN1c1cc[nH+]cc1F ZINC000678788855 1130455583 /nfs/dbraw/zinc/45/55/83/1130455583.db2.gz OIUJZZHSTGYQSU-OAHLLOKOSA-N 1 2 256.324 3.871 20 0 CHADLO c1cn(-c2ccc(-c3ccc4c(c3)CCCO4)cc2)c[nH+]1 ZINC001240773668 1130465159 /nfs/dbraw/zinc/46/51/59/1130465159.db2.gz TVLLJGBZNZYFKJ-UHFFFAOYSA-N 1 2 276.339 3.864 20 0 CHADLO CO[C@H]1CCC[N@H+](Cc2c(Cl)ccc(F)c2Cl)C1 ZINC001137353145 1130465845 /nfs/dbraw/zinc/46/58/45/1130465845.db2.gz IOIAHNRLYPBTLI-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@H]1CCC[N@@H+](Cc2c(Cl)ccc(F)c2Cl)C1 ZINC001137353145 1130465846 /nfs/dbraw/zinc/46/58/46/1130465846.db2.gz IOIAHNRLYPBTLI-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO Oc1ccc(-c2cc[nH+]c(N3CCCCC3)c2)cc1F ZINC001240785502 1130470329 /nfs/dbraw/zinc/47/03/29/1130470329.db2.gz BZODGDGSTWLHAY-UHFFFAOYSA-N 1 2 272.323 3.584 20 0 CHADLO Cn1ccc2c[nH+]c(-c3ccc(C(F)(F)F)cc3)nc21 ZINC001240818350 1130485231 /nfs/dbraw/zinc/48/52/31/1130485231.db2.gz SLAAZFZRICDXFL-UHFFFAOYSA-N 1 2 277.249 3.654 20 0 CHADLO CC(C)Cn1cc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cn1 ZINC001240893802 1130506072 /nfs/dbraw/zinc/50/60/72/1130506072.db2.gz FCUXZJVZOSTWLA-UHFFFAOYSA-N 1 2 284.338 3.531 20 0 CHADLO CC(C)c1cnc(C[NH+]2CCC(CC(F)(F)F)CC2)o1 ZINC000792933829 1130509079 /nfs/dbraw/zinc/50/90/79/1130509079.db2.gz NGJSPXJHAGWXDE-UHFFFAOYSA-N 1 2 290.329 3.962 20 0 CHADLO CN(C)c1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001240914419 1130513753 /nfs/dbraw/zinc/51/37/53/1130513753.db2.gz ITVDSGHLRYLFMU-UHFFFAOYSA-N 1 2 263.344 3.605 20 0 CHADLO Fc1cc(C[N@H+]2CC=CCC2)cc(C(F)(F)F)c1 ZINC000679358651 1130520383 /nfs/dbraw/zinc/52/03/83/1130520383.db2.gz JXSXCAUXMILDRQ-UHFFFAOYSA-N 1 2 259.246 3.606 20 0 CHADLO Fc1cc(C[N@@H+]2CC=CCC2)cc(C(F)(F)F)c1 ZINC000679358651 1130520385 /nfs/dbraw/zinc/52/03/85/1130520385.db2.gz JXSXCAUXMILDRQ-UHFFFAOYSA-N 1 2 259.246 3.606 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](c1ccc(F)c(C)c1)C1CC1 ZINC000679361972 1130522033 /nfs/dbraw/zinc/52/20/33/1130522033.db2.gz VZAADFLPRQLDQE-GTNSWQLSSA-N 1 2 287.382 3.968 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c1 ZINC000679362575 1130522771 /nfs/dbraw/zinc/52/27/71/1130522771.db2.gz ZPTYNDFUMZXGDJ-DGCLKSJQSA-N 1 2 287.325 3.776 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c1 ZINC000679362575 1130522774 /nfs/dbraw/zinc/52/27/74/1130522774.db2.gz ZPTYNDFUMZXGDJ-DGCLKSJQSA-N 1 2 287.325 3.776 20 0 CHADLO Cc1c(-c2cccc3ncccc32)ccc2[nH+]ccn21 ZINC001240940644 1130526122 /nfs/dbraw/zinc/52/61/22/1130526122.db2.gz KBFUPEZWDGMPAJ-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccc(C(C)(C)C)[nH]1 ZINC001137378495 1130539337 /nfs/dbraw/zinc/53/93/37/1130539337.db2.gz XMVRWMMJQMKLKN-ZDUSSCGKSA-N 1 2 271.408 3.691 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccc(C(C)(C)C)[nH]1 ZINC001137378495 1130539342 /nfs/dbraw/zinc/53/93/42/1130539342.db2.gz XMVRWMMJQMKLKN-ZDUSSCGKSA-N 1 2 271.408 3.691 20 0 CHADLO Cc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1N ZINC001240965523 1130541508 /nfs/dbraw/zinc/54/15/08/1130541508.db2.gz RGUXZZUDQUGWOP-UHFFFAOYSA-N 1 2 267.307 3.569 20 0 CHADLO O=c1ccoc2ccc(-c3ccc(-c4[nH]cc[nH+]4)cc3)cc12 ZINC001240970337 1130544138 /nfs/dbraw/zinc/54/41/38/1130544138.db2.gz JCIFNYJEHZBJJJ-UHFFFAOYSA-N 1 2 288.306 3.850 20 0 CHADLO Cc1ccnc(F)c1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001243554451 1130547180 /nfs/dbraw/zinc/54/71/80/1130547180.db2.gz RPWNATFAKPJMJM-UHFFFAOYSA-N 1 2 267.307 3.597 20 0 CHADLO Cc1ccc(Br)c(C[N@H+]2C[C@@H](C)[C@@H](F)C2)c1 ZINC001137380436 1130547203 /nfs/dbraw/zinc/54/72/03/1130547203.db2.gz LVUOFRKXDUBFLO-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1ccc(Br)c(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)c1 ZINC001137380436 1130547207 /nfs/dbraw/zinc/54/72/07/1130547207.db2.gz LVUOFRKXDUBFLO-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@@H](OC(F)F)C2)c1Cl ZINC000679677077 1130551508 /nfs/dbraw/zinc/55/15/08/1130551508.db2.gz XHFMLYXNPNADMG-SNVBAGLBSA-N 1 2 293.716 3.683 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@@H](OC(F)F)C2)c1Cl ZINC000679677077 1130551509 /nfs/dbraw/zinc/55/15/09/1130551509.db2.gz XHFMLYXNPNADMG-SNVBAGLBSA-N 1 2 293.716 3.683 20 0 CHADLO Cc1cn2cc(-c3ccc(F)c(F)c3F)ccc2[nH+]1 ZINC001240991262 1130556283 /nfs/dbraw/zinc/55/62/83/1130556283.db2.gz WFRLBKJHKOIGAX-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO COc1c(C)cc(-c2cccc3[nH+]c(C)cn32)cc1C ZINC001241016866 1130569509 /nfs/dbraw/zinc/56/95/09/1130569509.db2.gz RLWBTUSFJSANAZ-UHFFFAOYSA-N 1 2 266.344 3.935 20 0 CHADLO Cc1cnc(=S)n(C[N@@H+]2CCc3sccc3[C@H]2C)c1 ZINC000758295449 1130604724 /nfs/dbraw/zinc/60/47/24/1130604724.db2.gz SZPHVZFGZLHWSQ-LLVKDONJSA-N 1 2 291.445 3.559 20 0 CHADLO Cc1cnc(=S)n(C[N@H+]2CCc3sccc3[C@H]2C)c1 ZINC000758295449 1130604726 /nfs/dbraw/zinc/60/47/26/1130604726.db2.gz SZPHVZFGZLHWSQ-LLVKDONJSA-N 1 2 291.445 3.559 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1ccnc(Cl)c1Cl ZINC000811454339 1130610608 /nfs/dbraw/zinc/61/06/08/1130610608.db2.gz ZCWJBOFWLKHAQR-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1ccnc(Cl)c1Cl ZINC000811454339 1130610609 /nfs/dbraw/zinc/61/06/09/1130610609.db2.gz ZCWJBOFWLKHAQR-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H]1C[N@H+](Cc2occc2Br)CCC1(F)F ZINC000811511461 1130618455 /nfs/dbraw/zinc/61/84/55/1130618455.db2.gz JFFCVVXTUJSNSI-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO C[C@H]1C[N@@H+](Cc2occc2Br)CCC1(F)F ZINC000811511461 1130618459 /nfs/dbraw/zinc/61/84/59/1130618459.db2.gz JFFCVVXTUJSNSI-QMMMGPOBSA-N 1 2 294.139 3.519 20 0 CHADLO Cc1c(Cl)ccc(OC2C[NH+](C(C)(C)C)C2)c1F ZINC001234225496 1130619261 /nfs/dbraw/zinc/61/92/61/1130619261.db2.gz GHOJXEGQMPABFA-UHFFFAOYSA-N 1 2 271.763 3.649 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H](C)c2ccncc2)cc1 ZINC001234584775 1130658250 /nfs/dbraw/zinc/65/82/50/1130658250.db2.gz JTOHEFNKTBJQQA-GFCCVEGCSA-N 1 2 270.332 3.583 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](CCF)[C@@H](c1ccccc1)C2 ZINC000759446824 1130658832 /nfs/dbraw/zinc/65/88/32/1130658832.db2.gz VRAHDZOKWQSJAB-GOSISDBHSA-N 1 2 285.362 3.764 20 0 CHADLO COc1ccc2c(c1)C[N@H+](CCF)[C@@H](c1ccccc1)C2 ZINC000759446824 1130658835 /nfs/dbraw/zinc/65/88/35/1130658835.db2.gz VRAHDZOKWQSJAB-GOSISDBHSA-N 1 2 285.362 3.764 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H](C(C)=O)c2ccccc2)cc1 ZINC001234587910 1130659132 /nfs/dbraw/zinc/65/91/32/1130659132.db2.gz FOYYZPVNLSWPRS-QGZVFWFLSA-N 1 2 297.354 3.758 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)O[C@@H](C)c1ccco1)c1ccccc1 ZINC000780467831 1130680574 /nfs/dbraw/zinc/68/05/74/1130680574.db2.gz GZDGDODMBOXREZ-BBRMVZONSA-N 1 2 287.359 3.577 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)O[C@@H](C)c1ccco1)c1ccccc1 ZINC000780467831 1130680577 /nfs/dbraw/zinc/68/05/77/1130680577.db2.gz GZDGDODMBOXREZ-BBRMVZONSA-N 1 2 287.359 3.577 20 0 CHADLO CCC[C@H](CC1CCCCC1)C(=O)OCc1c[nH+]cn1C ZINC000747783872 1130684791 /nfs/dbraw/zinc/68/47/91/1130684791.db2.gz PYYULMZPLQJQQI-OAHLLOKOSA-N 1 2 292.423 3.850 20 0 CHADLO CC[N@H+](C/C(Cl)=C/Cl)[C@H](C)[C@@H](O)c1ccccc1 ZINC000812848566 1130722190 /nfs/dbraw/zinc/72/21/90/1130722190.db2.gz KXDGOPHIGURSIA-OIVWFDOYSA-N 1 2 288.218 3.749 20 0 CHADLO C[C@H]([N@H+](C)Cn1nc(C2CCC2)n(C)c1=S)C(C)(C)C ZINC000781563503 1130726982 /nfs/dbraw/zinc/72/69/82/1130726982.db2.gz OPMIOIPLMKWHTD-NSHDSACASA-N 1 2 296.484 3.542 20 0 CHADLO C[C@H]([N@@H+](C)Cn1nc(C2CCC2)n(C)c1=S)C(C)(C)C ZINC000781563503 1130726985 /nfs/dbraw/zinc/72/69/85/1130726985.db2.gz OPMIOIPLMKWHTD-NSHDSACASA-N 1 2 296.484 3.542 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1cc2cccc(F)c2[nH]1 ZINC001235252090 1130727223 /nfs/dbraw/zinc/72/72/23/1130727223.db2.gz MRAUMSDIQANBKS-UHFFFAOYSA-N 1 2 296.345 3.622 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1cc2cccc(F)c2[nH]1 ZINC001235252090 1130727228 /nfs/dbraw/zinc/72/72/28/1130727228.db2.gz MRAUMSDIQANBKS-UHFFFAOYSA-N 1 2 296.345 3.622 20 0 CHADLO CNc1cc(-c2ccc(OC3CCC3)cc2)cc[nH+]1 ZINC001244975659 1130742098 /nfs/dbraw/zinc/74/20/98/1130742098.db2.gz JNBZXTPWBOMOHU-UHFFFAOYSA-N 1 2 254.333 3.722 20 0 CHADLO Fc1cc(Cl)ccc1C[N@@H+]1CC[C@H](C(F)(F)F)C1 ZINC000781904127 1130747318 /nfs/dbraw/zinc/74/73/18/1130747318.db2.gz MGOIZJVKVPQUFL-VIFPVBQESA-N 1 2 281.680 3.863 20 0 CHADLO Fc1cc(Cl)ccc1C[N@H+]1CC[C@H](C(F)(F)F)C1 ZINC000781904127 1130747323 /nfs/dbraw/zinc/74/73/23/1130747323.db2.gz MGOIZJVKVPQUFL-VIFPVBQESA-N 1 2 281.680 3.863 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCc3cccnc3C2)c1 ZINC001235537009 1130753522 /nfs/dbraw/zinc/75/35/22/1130753522.db2.gz GNOOCFQPRIYNGW-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCc3cccnc3C2)c1 ZINC001235537009 1130753525 /nfs/dbraw/zinc/75/35/25/1130753525.db2.gz GNOOCFQPRIYNGW-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccnc(C[N@H+](C)Cc2ccccc2)c1Cl ZINC001235575062 1130755657 /nfs/dbraw/zinc/75/56/57/1130755657.db2.gz NFPVUHASMLMEBS-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccnc(C[N@@H+](C)Cc2ccccc2)c1Cl ZINC001235575062 1130755661 /nfs/dbraw/zinc/75/56/61/1130755661.db2.gz NFPVUHASMLMEBS-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)[C@@H]1CCC[C@H]2CCCC[C@@H]21 ZINC001133686110 1130755971 /nfs/dbraw/zinc/75/59/71/1130755971.db2.gz RXMXYLJFYLQFSN-OWCLPIDISA-N 1 2 286.419 3.665 20 0 CHADLO Cc1ccnc(C[N@H+](C)Cc2cccs2)c1Cl ZINC001235584069 1130756175 /nfs/dbraw/zinc/75/61/75/1130756175.db2.gz XEWBXPKENARRPB-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccnc(C[N@@H+](C)Cc2cccs2)c1Cl ZINC001235584069 1130756181 /nfs/dbraw/zinc/75/61/81/1130756181.db2.gz XEWBXPKENARRPB-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1nc2cc(F)ccc2[nH]1 ZINC001235682978 1130767695 /nfs/dbraw/zinc/76/76/95/1130767695.db2.gz LWVRXHYBIMKNOO-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1nc2cc(F)ccc2[nH]1 ZINC001235682978 1130767698 /nfs/dbraw/zinc/76/76/98/1130767698.db2.gz LWVRXHYBIMKNOO-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO C[N@H+](CCCc1ccccc1)Cc1nc2ccc(F)cc2[nH]1 ZINC001235693302 1130770385 /nfs/dbraw/zinc/77/03/85/1130770385.db2.gz QLGYXALGHCEDHS-UHFFFAOYSA-N 1 2 297.377 3.767 20 0 CHADLO C[N@@H+](CCCc1ccccc1)Cc1nc2ccc(F)cc2[nH]1 ZINC001235693302 1130770389 /nfs/dbraw/zinc/77/03/89/1130770389.db2.gz QLGYXALGHCEDHS-UHFFFAOYSA-N 1 2 297.377 3.767 20 0 CHADLO C[C@@H](C[NH2+][C@H](c1ncn[nH]1)C1CCCCC1)C(C)(C)C ZINC000813857990 1130790355 /nfs/dbraw/zinc/79/03/55/1130790355.db2.gz RMRTXTVMQDBBIM-JSGCOSHPSA-N 1 2 278.444 3.698 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCCc3ccsc3)cc2[nH+]1 ZINC000783047306 1130795530 /nfs/dbraw/zinc/79/55/30/1130795530.db2.gz ZEAVRYQZMSQTCU-UHFFFAOYSA-N 1 2 299.399 3.894 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+][C@@H]1CC[C@@H]1C ZINC000783278718 1130810311 /nfs/dbraw/zinc/81/03/11/1130810311.db2.gz CKXIAFXVNGLHLE-SWLSCSKDSA-N 1 2 276.449 3.759 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1cc(F)ccc1Cl ZINC000783558007 1130838303 /nfs/dbraw/zinc/83/83/03/1130838303.db2.gz DPTFYQHGAHDHEP-UHFFFAOYSA-N 1 2 298.770 3.782 20 0 CHADLO c1cc(-c2c[nH+]c3c(c2)CCCN3)cc(C2CC2)c1 ZINC001236088117 1130854779 /nfs/dbraw/zinc/85/47/79/1130854779.db2.gz SKDKCEQUXLAMTL-UHFFFAOYSA-N 1 2 250.345 3.627 20 0 CHADLO CCN(CC)C(=O)c1cc(/C(C)=C/C=C/[NH3+])ccc1Cl ZINC001236140935 1130873909 /nfs/dbraw/zinc/87/39/09/1130873909.db2.gz WSXCCHDYURWJFE-QXZILWSFSA-N 1 2 292.810 3.698 20 0 CHADLO Cn1c[nH+]cc1-c1cc(Cl)cc(C(F)(F)F)c1 ZINC001236203321 1130885862 /nfs/dbraw/zinc/88/58/62/1130885862.db2.gz MEUVPHKCTYQMPS-UHFFFAOYSA-N 1 2 260.646 3.759 20 0 CHADLO COc1cc(C)cc(F)c1-c1ccc2[nH+]ccn2c1C ZINC001236229948 1130899591 /nfs/dbraw/zinc/89/95/91/1130899591.db2.gz LNRBHKILCZDNIV-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO Nc1ccc(-c2ccc3c(c2)Cc2ccccc2-3)c[nH+]1 ZINC001236313220 1130911884 /nfs/dbraw/zinc/91/18/84/1130911884.db2.gz LPOLEPVBBPAOPH-UHFFFAOYSA-N 1 2 258.324 3.902 20 0 CHADLO CC(C)COC(=O)Nc1ccc(-c2ccc(N)[nH+]c2)cc1 ZINC001236312435 1130912129 /nfs/dbraw/zinc/91/21/29/1130912129.db2.gz PXYZBZIMUJKBFR-UHFFFAOYSA-N 1 2 285.347 3.535 20 0 CHADLO C[NH+](C)[C@@H](C(=O)O[C@H]1CCc2ccccc21)c1ccccc1 ZINC000784588890 1130912359 /nfs/dbraw/zinc/91/23/59/1130912359.db2.gz OHWKMFUREDVGRN-ZWKOTPCHSA-N 1 2 295.382 3.520 20 0 CHADLO Cc1c(-c2c[nH+]c(N(C)C)cc2C)cnn1-c1ccccc1 ZINC001236405543 1130930171 /nfs/dbraw/zinc/93/01/71/1130930171.db2.gz VUPAJNLWOCFXQA-UHFFFAOYSA-N 1 2 292.386 3.617 20 0 CHADLO CC(C)[C@H]1CC[C@@H]1[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000796925558 1130946971 /nfs/dbraw/zinc/94/69/71/1130946971.db2.gz KHFRUFWLRFNGMT-OLMNPRSZSA-N 1 2 288.435 3.758 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cncc(OC(C)C)c2)c1 ZINC001236520427 1130962543 /nfs/dbraw/zinc/96/25/43/1130962543.db2.gz XDEHYKWVKNSHCN-UHFFFAOYSA-N 1 2 284.359 3.898 20 0 CHADLO CC(C)Oc1cncc(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001236519628 1130962645 /nfs/dbraw/zinc/96/26/45/1130962645.db2.gz GGBMDWXQGFEVIZ-UHFFFAOYSA-N 1 2 293.370 3.936 20 0 CHADLO C[N@@H+](Cc1c(F)cccc1F)C[C@H]1CC1(Cl)Cl ZINC000815168780 1130969899 /nfs/dbraw/zinc/96/98/99/1130969899.db2.gz XFZNBEZBDCOFAH-MRVPVSSYSA-N 1 2 280.145 3.590 20 0 CHADLO C[N@H+](Cc1c(F)cccc1F)C[C@H]1CC1(Cl)Cl ZINC000815168780 1130969900 /nfs/dbraw/zinc/96/99/00/1130969900.db2.gz XFZNBEZBDCOFAH-MRVPVSSYSA-N 1 2 280.145 3.590 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(F)c(N)c2C)c1 ZINC001245461393 1130980952 /nfs/dbraw/zinc/98/09/52/1130980952.db2.gz NTXAZBXUSQICRA-UHFFFAOYSA-N 1 2 272.323 3.745 20 0 CHADLO CC1(C)CC[N@H+](Cc2cnc(Cl)c(C(F)(F)F)c2)C1 ZINC001236636016 1130986959 /nfs/dbraw/zinc/98/69/59/1130986959.db2.gz PEXRMTPRJOQZFA-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO CC1(C)CC[N@@H+](Cc2cnc(Cl)c(C(F)(F)F)c2)C1 ZINC001236636016 1130986964 /nfs/dbraw/zinc/98/69/64/1130986964.db2.gz PEXRMTPRJOQZFA-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO Cc1cc(Br)sc1C[NH+]1CC(C)(C)C1 ZINC001236831543 1131019678 /nfs/dbraw/zinc/01/96/78/1131019678.db2.gz ZTVAYGKJLGMXBL-UHFFFAOYSA-N 1 2 274.227 3.661 20 0 CHADLO Fc1cc(C[NH+]2CCCCC2)cc(Br)c1F ZINC001236847987 1131020888 /nfs/dbraw/zinc/02/08/88/1131020888.db2.gz LARQOTYIVWYYKZ-UHFFFAOYSA-N 1 2 290.151 3.713 20 0 CHADLO O=C1C[C@H]2CCC[C@@H](C1)[N@H+]2Cc1ccc(F)c2ccoc21 ZINC001236896971 1131029707 /nfs/dbraw/zinc/02/97/07/1131029707.db2.gz CLQUXOOWHJTPSF-BETUJISGSA-N 1 2 287.334 3.658 20 0 CHADLO O=C1C[C@H]2CCC[C@@H](C1)[N@@H+]2Cc1ccc(F)c2ccoc21 ZINC001236896971 1131029712 /nfs/dbraw/zinc/02/97/12/1131029712.db2.gz CLQUXOOWHJTPSF-BETUJISGSA-N 1 2 287.334 3.658 20 0 CHADLO Cc1ccccc1[C@H]1C[C@H]([NH2+][C@H](C)C(=O)OC(C)(C)C)C1 ZINC000798396920 1131035980 /nfs/dbraw/zinc/03/59/80/1131035980.db2.gz MMQVXJLTVLTDJL-RBSFLKMASA-N 1 2 289.419 3.561 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@@H+]2CCC(=O)C(C)(C)C2)c1 ZINC001237104028 1131049835 /nfs/dbraw/zinc/04/98/35/1131049835.db2.gz XBDPASXZCLUEOX-UHFFFAOYSA-N 1 2 289.419 3.665 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@H+]2CCC(=O)C(C)(C)C2)c1 ZINC001237104028 1131049840 /nfs/dbraw/zinc/04/98/40/1131049840.db2.gz XBDPASXZCLUEOX-UHFFFAOYSA-N 1 2 289.419 3.665 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccncc2C(F)(F)F)CCC1(F)F ZINC001237284285 1131077453 /nfs/dbraw/zinc/07/74/53/1131077453.db2.gz BTESPLLTPQBIDQ-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccncc2C(F)(F)F)CCC1(F)F ZINC001237284285 1131077458 /nfs/dbraw/zinc/07/74/58/1131077458.db2.gz BTESPLLTPQBIDQ-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO COc1ccc(-c2ccccc2)c(C[NH+]2CCC(=O)CC2)c1 ZINC001237309006 1131081832 /nfs/dbraw/zinc/08/18/32/1131081832.db2.gz TZYXCSQPRPNMJU-UHFFFAOYSA-N 1 2 295.382 3.527 20 0 CHADLO CCc1cccc(C)c1C[N@@H+]1CCCC[C@@H]1c1noc(C)n1 ZINC001237328209 1131084431 /nfs/dbraw/zinc/08/44/31/1131084431.db2.gz WTAZQSJNMIXSPS-QGZVFWFLSA-N 1 2 299.418 3.976 20 0 CHADLO CCc1cccc(C)c1C[N@H+]1CCCC[C@@H]1c1noc(C)n1 ZINC001237328209 1131084433 /nfs/dbraw/zinc/08/44/33/1131084433.db2.gz WTAZQSJNMIXSPS-QGZVFWFLSA-N 1 2 299.418 3.976 20 0 CHADLO CC1(C)C[NH+](Cc2ccc(Cl)c(F)c2)CC(C)(C)O1 ZINC001137654427 1131088742 /nfs/dbraw/zinc/08/87/42/1131088742.db2.gz QDXYMQVKQGNVGJ-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO Cn1ncc(C[N@@H+]2CCC[C@H]2c2cccc(F)c2)c1Cl ZINC000816486616 1131097633 /nfs/dbraw/zinc/09/76/33/1131097633.db2.gz KXUZBNLEBOUNRH-AWEZNQCLSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1ncc(C[N@H+]2CCC[C@H]2c2cccc(F)c2)c1Cl ZINC000816486616 1131097640 /nfs/dbraw/zinc/09/76/40/1131097640.db2.gz KXUZBNLEBOUNRH-AWEZNQCLSA-N 1 2 293.773 3.550 20 0 CHADLO Cc1cc(N[C@@H](C)Cc2ccc(O)cc2)ccc1[NH+](C)C ZINC000816525432 1131108254 /nfs/dbraw/zinc/10/82/54/1131108254.db2.gz SWVQVNNJZTXHCL-AWEZNQCLSA-N 1 2 284.403 3.810 20 0 CHADLO Cc1cc([NH2+][C@@H](C)Cc2ccc(O)cc2)ccc1N(C)C ZINC000816525432 1131108257 /nfs/dbraw/zinc/10/82/57/1131108257.db2.gz SWVQVNNJZTXHCL-AWEZNQCLSA-N 1 2 284.403 3.810 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237523919 1131110473 /nfs/dbraw/zinc/11/04/73/1131110473.db2.gz WOBUUFWACYPALQ-JTQLQIEISA-N 1 2 289.206 3.714 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237523919 1131110475 /nfs/dbraw/zinc/11/04/75/1131110475.db2.gz WOBUUFWACYPALQ-JTQLQIEISA-N 1 2 289.206 3.714 20 0 CHADLO Cc1cc(N2CCC(n3cccn3)CC2)[nH+]c2ccccc12 ZINC001115979078 1131124279 /nfs/dbraw/zinc/12/42/79/1131124279.db2.gz CDPPIEJIYBLYPD-UHFFFAOYSA-N 1 2 292.386 3.581 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+](C)Cc2cnc(C)nc2)c1 ZINC001237701434 1131129692 /nfs/dbraw/zinc/12/96/92/1131129692.db2.gz JIYLRPFVSKGEEA-UHFFFAOYSA-N 1 2 289.810 3.687 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+](C)Cc2cnc(C)nc2)c1 ZINC001237701434 1131129697 /nfs/dbraw/zinc/12/96/97/1131129697.db2.gz JIYLRPFVSKGEEA-UHFFFAOYSA-N 1 2 289.810 3.687 20 0 CHADLO CCc1cc(C[N@H+]2CCC(=O)[C@H](C)CC2)ccc1Cl ZINC001237739483 1131136408 /nfs/dbraw/zinc/13/64/08/1131136408.db2.gz ZUGXXFGBCQODIS-GFCCVEGCSA-N 1 2 279.811 3.703 20 0 CHADLO CCc1cc(C[N@@H+]2CCC(=O)[C@H](C)CC2)ccc1Cl ZINC001237739483 1131136409 /nfs/dbraw/zinc/13/64/09/1131136409.db2.gz ZUGXXFGBCQODIS-GFCCVEGCSA-N 1 2 279.811 3.703 20 0 CHADLO Cc1cc(N(C)CCOC(C)(C)C)[nH+]c2ccccc12 ZINC001116303220 1131140188 /nfs/dbraw/zinc/14/01/88/1131140188.db2.gz HSCGHWUHSJHDQM-UHFFFAOYSA-N 1 2 272.392 3.795 20 0 CHADLO C[C@@H](c1nc([C@H]2CCC(C)(C)c3ccccc32)no1)[NH+](C)C ZINC000817093184 1131142816 /nfs/dbraw/zinc/14/28/16/1131142816.db2.gz PVUZVCHTCZOUNJ-JSGCOSHPSA-N 1 2 299.418 3.896 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)NCCC3)c[nH+]1 ZINC001159604747 1131142863 /nfs/dbraw/zinc/14/28/63/1131142863.db2.gz UMVSGBYOYSXUBN-UHFFFAOYSA-N 1 2 253.349 3.800 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2c(Cl)cccc2N(C)C)o1 ZINC001116484267 1131150008 /nfs/dbraw/zinc/15/00/08/1131150008.db2.gz NHKPLGURKTYWFL-UHFFFAOYSA-N 1 2 292.810 3.939 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2c(Cl)cccc2N(C)C)o1 ZINC001116484267 1131150011 /nfs/dbraw/zinc/15/00/11/1131150011.db2.gz NHKPLGURKTYWFL-UHFFFAOYSA-N 1 2 292.810 3.939 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1cccc(CO)c1 ZINC001116497973 1131151220 /nfs/dbraw/zinc/15/12/20/1131151220.db2.gz MPWQOUVYSNLSRE-HNNXBMFYSA-N 1 2 296.414 3.952 20 0 CHADLO C[C@H]1CCCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237984950 1131158407 /nfs/dbraw/zinc/15/84/07/1131158407.db2.gz SQNOCRGRXXCTKF-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO C[C@H]1CCCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237984950 1131158409 /nfs/dbraw/zinc/15/84/09/1131158409.db2.gz SQNOCRGRXXCTKF-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237991035 1131158444 /nfs/dbraw/zinc/15/84/44/1131158444.db2.gz VEMICXMFMRFQGY-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237991035 1131158446 /nfs/dbraw/zinc/15/84/46/1131158446.db2.gz VEMICXMFMRFQGY-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO Oc1c(F)cc(C[N@H+](CC2CC2)C2CC2)cc1Cl ZINC001237993558 1131159206 /nfs/dbraw/zinc/15/92/06/1131159206.db2.gz TXDCQTRNZYBFNC-UHFFFAOYSA-N 1 2 269.747 3.559 20 0 CHADLO Oc1c(F)cc(C[N@@H+](CC2CC2)C2CC2)cc1Cl ZINC001237993558 1131159210 /nfs/dbraw/zinc/15/92/10/1131159210.db2.gz TXDCQTRNZYBFNC-UHFFFAOYSA-N 1 2 269.747 3.559 20 0 CHADLO CC[C@@H]1CCCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237996922 1131159920 /nfs/dbraw/zinc/15/99/20/1131159920.db2.gz JYVOXDCNKRXQDK-LLVKDONJSA-N 1 2 271.763 3.949 20 0 CHADLO CC[C@@H]1CCCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237996922 1131159922 /nfs/dbraw/zinc/15/99/22/1131159922.db2.gz JYVOXDCNKRXQDK-LLVKDONJSA-N 1 2 271.763 3.949 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[NH+]1CC2CCC(CC2)C1 ZINC001238003700 1131161280 /nfs/dbraw/zinc/16/12/80/1131161280.db2.gz JZBWWMSDXCZMPM-UHFFFAOYSA-N 1 2 289.810 3.838 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cncc(Cl)c2Cl)CC1 ZINC001238005927 1131161396 /nfs/dbraw/zinc/16/13/96/1131161396.db2.gz MVEUYCKKZHFBED-JTQLQIEISA-N 1 2 277.170 3.712 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cncc(Cl)c2Cl)CC1 ZINC001238005927 1131161398 /nfs/dbraw/zinc/16/13/98/1131161398.db2.gz MVEUYCKKZHFBED-JTQLQIEISA-N 1 2 277.170 3.712 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[NH+]1CCOCC1 ZINC001238064801 1131167099 /nfs/dbraw/zinc/16/70/99/1131167099.db2.gz XYVCVOFIFUAFGH-UHFFFAOYSA-N 1 2 283.371 3.620 20 0 CHADLO Cc1ccc(O)cc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC001238098171 1131170293 /nfs/dbraw/zinc/17/02/93/1131170293.db2.gz ZSCRJSDSZHYJJO-UHFFFAOYSA-N 1 2 298.411 3.942 20 0 CHADLO Cc1ccc(O)cc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC001238098171 1131170295 /nfs/dbraw/zinc/17/02/95/1131170295.db2.gz ZSCRJSDSZHYJJO-UHFFFAOYSA-N 1 2 298.411 3.942 20 0 CHADLO Cc1cc(-c2ccc(Cl)nc2C)c2[nH+]ccn2c1 ZINC001245773261 1131170382 /nfs/dbraw/zinc/17/03/82/1131170382.db2.gz FSKZMSOFZYNDRX-UHFFFAOYSA-N 1 2 257.724 3.667 20 0 CHADLO Cc1cc(C[N@@H+]2CCOCC2(C)C)cc(C)c1Cl ZINC001238097366 1131170904 /nfs/dbraw/zinc/17/09/04/1131170904.db2.gz RTPHRWXLCUNDDQ-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1cc(C[N@H+]2CCOCC2(C)C)cc(C)c1Cl ZINC001238097366 1131170908 /nfs/dbraw/zinc/17/09/08/1131170908.db2.gz RTPHRWXLCUNDDQ-UHFFFAOYSA-N 1 2 267.800 3.568 20 0 CHADLO Oc1cc(F)c(C[N@H+]2C[C@@H]3CCCC[C@@H]3C2)cc1Cl ZINC001238142588 1131174293 /nfs/dbraw/zinc/17/42/93/1131174293.db2.gz HGGQPOVRHQTNHJ-PHIMTYICSA-N 1 2 283.774 3.807 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2C[C@@H]3CCCC[C@@H]3C2)cc1Cl ZINC001238142588 1131174296 /nfs/dbraw/zinc/17/42/96/1131174296.db2.gz HGGQPOVRHQTNHJ-PHIMTYICSA-N 1 2 283.774 3.807 20 0 CHADLO Cc1ccc(Br)c(C[NH+]2CC(C)C2)c1Cl ZINC001238280408 1131188583 /nfs/dbraw/zinc/18/85/83/1131188583.db2.gz BRHKWPBLQNZIHF-UHFFFAOYSA-N 1 2 288.616 3.863 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1cccnc1Cl ZINC000817950742 1131189038 /nfs/dbraw/zinc/18/90/38/1131189038.db2.gz YILHCCVDDCDXJW-SNVBAGLBSA-N 1 2 273.767 3.876 20 0 CHADLO Cc1ccc(N[C@H](C)c2ccc(C(=O)N(C)C)cc2)c(C)[nH+]1 ZINC001117715907 1131193644 /nfs/dbraw/zinc/19/36/44/1131193644.db2.gz ZXIOANUQIBLJAO-CYBMUJFWSA-N 1 2 297.402 3.573 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccnc(Cl)c2F)CCC1(F)F ZINC001139661441 1131198346 /nfs/dbraw/zinc/19/83/46/1131198346.db2.gz PEANPXFBIZNLNB-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccnc(Cl)c2F)CCC1(F)F ZINC001139661441 1131198349 /nfs/dbraw/zinc/19/83/49/1131198349.db2.gz PEANPXFBIZNLNB-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCCC[C@@H](F)C2)c1F ZINC001238424639 1131199395 /nfs/dbraw/zinc/19/93/95/1131199395.db2.gz RKBRPKKFCZFYSG-CQSZACIVSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCCC[C@@H](F)C2)c1F ZINC001238424639 1131199398 /nfs/dbraw/zinc/19/93/98/1131199398.db2.gz RKBRPKKFCZFYSG-CQSZACIVSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cc(C[NH+]2CC3(C2)CC(F)(F)C3)ccc1F ZINC001238454115 1131201523 /nfs/dbraw/zinc/20/15/23/1131201523.db2.gz KIGDMYFVRGSREG-UHFFFAOYSA-N 1 2 299.336 3.844 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2C[C@H](F)C[C@H]2C)ccc1F ZINC001238453735 1131202100 /nfs/dbraw/zinc/20/21/00/1131202100.db2.gz DPYMLGQSMAEBTC-DGCLKSJQSA-N 1 2 269.335 3.545 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2C[C@H](F)C[C@H]2C)ccc1F ZINC001238453735 1131202104 /nfs/dbraw/zinc/20/21/04/1131202104.db2.gz DPYMLGQSMAEBTC-DGCLKSJQSA-N 1 2 269.335 3.545 20 0 CHADLO CC(C)Oc1cncc(C[N@H+](C)Cc2ccccc2F)c1 ZINC001238468227 1131206036 /nfs/dbraw/zinc/20/60/36/1131206036.db2.gz IGZIAAWNPISFOS-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1cncc(C[N@@H+](C)Cc2ccccc2F)c1 ZINC001238468227 1131206040 /nfs/dbraw/zinc/20/60/40/1131206040.db2.gz IGZIAAWNPISFOS-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO COc1c(C[N@H+](Cc2ccco2)C2CC2)ccc(F)c1F ZINC001238468576 1131206230 /nfs/dbraw/zinc/20/62/30/1131206230.db2.gz ILZAXGUHFWHIHV-UHFFFAOYSA-N 1 2 293.313 3.731 20 0 CHADLO COc1c(C[N@@H+](Cc2ccco2)C2CC2)ccc(F)c1F ZINC001238468576 1131206235 /nfs/dbraw/zinc/20/62/35/1131206235.db2.gz ILZAXGUHFWHIHV-UHFFFAOYSA-N 1 2 293.313 3.731 20 0 CHADLO CCn1cncc1CNc1[nH+]c2ccccc2n1C(C)(C)C ZINC001118023338 1131207882 /nfs/dbraw/zinc/20/78/82/1131207882.db2.gz JNFWSMFFTZVNEN-UHFFFAOYSA-N 1 2 297.406 3.620 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc(F)c(C3CC3)c2)C[C@@H]1F ZINC001238497798 1131209168 /nfs/dbraw/zinc/20/91/68/1131209168.db2.gz GVWQMJPHGLXIDB-JKSUJKDBSA-N 1 2 283.337 3.975 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc(F)c(C3CC3)c2)C[C@@H]1F ZINC001238497798 1131209170 /nfs/dbraw/zinc/20/91/70/1131209170.db2.gz GVWQMJPHGLXIDB-JKSUJKDBSA-N 1 2 283.337 3.975 20 0 CHADLO CCOc1nccc(-c2c[nH+]c(N(C)C)cc2C)c1Cl ZINC001245844213 1131212861 /nfs/dbraw/zinc/21/28/61/1131212861.db2.gz GJPBQCBUNDYQJR-UHFFFAOYSA-N 1 2 291.782 3.570 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCc3ncccc3C2)c1 ZINC001238556140 1131214773 /nfs/dbraw/zinc/21/47/73/1131214773.db2.gz GUUGHEVBGKKJLM-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCc3ncccc3C2)c1 ZINC001238556140 1131214776 /nfs/dbraw/zinc/21/47/76/1131214776.db2.gz GUUGHEVBGKKJLM-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO COC1(C)C[NH+](Cc2ccc(F)c(-c3ccccc3)c2)C1 ZINC001238561182 1131216922 /nfs/dbraw/zinc/21/69/22/1131216922.db2.gz NPSYYAVAZUTCGH-UHFFFAOYSA-N 1 2 285.362 3.713 20 0 CHADLO CSc1ccc(Cl)cc1C[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC001238673988 1131228061 /nfs/dbraw/zinc/22/80/61/1131228061.db2.gz NUYPWEOLYDRJRZ-QWRGUYRKSA-N 1 2 285.840 3.671 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1C[N@@H+]1C[C@@H](F)C[C@H]1CF ZINC001238667537 1131228287 /nfs/dbraw/zinc/22/82/87/1131228287.db2.gz NHOZMDVUBSXUJS-GJZGRUSLSA-N 1 2 297.389 3.973 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1C[N@H+]1C[C@@H](F)C[C@H]1CF ZINC001238667537 1131228291 /nfs/dbraw/zinc/22/82/91/1131228291.db2.gz NHOZMDVUBSXUJS-GJZGRUSLSA-N 1 2 297.389 3.973 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCC[C@H](F)C1 ZINC001238672814 1131229932 /nfs/dbraw/zinc/22/99/32/1131229932.db2.gz FMJFXLJUCHHSLW-LBPRGKRZSA-N 1 2 273.804 3.996 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCC[C@H](F)C1 ZINC001238672814 1131229938 /nfs/dbraw/zinc/22/99/38/1131229938.db2.gz FMJFXLJUCHHSLW-LBPRGKRZSA-N 1 2 273.804 3.996 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2nc(Br)cs2)CC1 ZINC001137775827 1131415767 /nfs/dbraw/zinc/41/57/67/1131415767.db2.gz XWCPTVHYMPISLI-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2nc(Br)cs2)CC1 ZINC001137775827 1131415768 /nfs/dbraw/zinc/41/57/68/1131415768.db2.gz XWCPTVHYMPISLI-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c(Br)c1 ZINC001137804567 1131417432 /nfs/dbraw/zinc/41/74/32/1131417432.db2.gz SISDNNOYJDBGFU-OBJOEFQTSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c(Br)c1 ZINC001137804567 1131417435 /nfs/dbraw/zinc/41/74/35/1131417435.db2.gz SISDNNOYJDBGFU-OBJOEFQTSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C3CC4(CC4)C3)c2)[nH+]c1C ZINC000823353530 1131423744 /nfs/dbraw/zinc/42/37/44/1131423744.db2.gz QGCFGACPKQCWHB-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1C[C@@H]2C[C@H]1C[C@H]2F ZINC001137881318 1131428678 /nfs/dbraw/zinc/42/86/78/1131428678.db2.gz MBNSCLRXDRDPRE-YVZVNANGSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1C[C@@H]2C[C@H]1C[C@H]2F ZINC001137881318 1131428680 /nfs/dbraw/zinc/42/86/80/1131428680.db2.gz MBNSCLRXDRDPRE-YVZVNANGSA-N 1 2 275.701 3.551 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCC[C@@](C)(F)C2)c(F)c1F ZINC001137964635 1131443311 /nfs/dbraw/zinc/44/33/11/1131443311.db2.gz JGVQMSGLXMBROG-OAHLLOKOSA-N 1 2 271.326 3.987 20 0 CHADLO Cc1ccc(C[N@H+]2CCCC[C@@](C)(F)C2)c(F)c1F ZINC001137964635 1131443312 /nfs/dbraw/zinc/44/33/12/1131443312.db2.gz JGVQMSGLXMBROG-OAHLLOKOSA-N 1 2 271.326 3.987 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1ccc(C)c(F)c1F ZINC001137970157 1131444339 /nfs/dbraw/zinc/44/43/39/1131444339.db2.gz YCAIGNUNVOVDTL-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1ccc(C)c(F)c1F ZINC001137970157 1131444340 /nfs/dbraw/zinc/44/43/40/1131444340.db2.gz YCAIGNUNVOVDTL-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccnc(Cl)c1F)c1nccs1 ZINC000823915606 1131445637 /nfs/dbraw/zinc/44/56/37/1131445637.db2.gz PNFNBZFGFPEAJX-SECBINFHSA-N 1 2 285.775 3.572 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cc(C(F)(F)F)ccc1Cl ZINC001138081278 1131462068 /nfs/dbraw/zinc/46/20/68/1131462068.db2.gz KSUCMZDLKKYNOF-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cc(C(F)(F)F)ccc1Cl ZINC001138081278 1131462069 /nfs/dbraw/zinc/46/20/69/1131462069.db2.gz KSUCMZDLKKYNOF-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cc2c(cccc2Cl)[nH]1 ZINC001136865237 1131465744 /nfs/dbraw/zinc/46/57/44/1131465744.db2.gz UWGHQDSKAYNTGD-LLVKDONJSA-N 1 2 266.747 3.755 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cc2c(cccc2Cl)[nH]1 ZINC001136865237 1131465746 /nfs/dbraw/zinc/46/57/46/1131465746.db2.gz UWGHQDSKAYNTGD-LLVKDONJSA-N 1 2 266.747 3.755 20 0 CHADLO Cc1ccc(C2([NH2+]Cc3nnc(C(C)(C)C)o3)CCC2)cc1 ZINC000830825616 1131470332 /nfs/dbraw/zinc/47/03/32/1131470332.db2.gz FSWKJHFMOPIKKZ-UHFFFAOYSA-N 1 2 299.418 3.845 20 0 CHADLO Cc1cccn2c(CN3CCCC[C@@H]3C(F)(F)F)c[nH+]c12 ZINC001136964205 1131489237 /nfs/dbraw/zinc/48/92/37/1131489237.db2.gz AQOMUHAQTCKLKO-CYBMUJFWSA-N 1 2 297.324 3.560 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+][C@H]3CCC[C@@H]4C[C@@H]43)cc2)CCO1 ZINC000825033817 1131494764 /nfs/dbraw/zinc/49/47/64/1131494764.db2.gz XMAQCROAEDULES-DACLVMHWSA-N 1 2 286.419 3.512 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(Cl)c(Cl)c2)co1 ZINC000825050789 1131496007 /nfs/dbraw/zinc/49/60/07/1131496007.db2.gz SJKYDNUZQRHSLU-UHFFFAOYSA-N 1 2 285.174 3.834 20 0 CHADLO COc1cccc(F)c1C[N@H+](C)Cc1ccc(F)cc1 ZINC001138382061 1131497428 /nfs/dbraw/zinc/49/74/28/1131497428.db2.gz YGGVQFWDIWGREX-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1cccc(F)c1C[N@@H+](C)Cc1ccc(F)cc1 ZINC001138382061 1131497429 /nfs/dbraw/zinc/49/74/29/1131497429.db2.gz YGGVQFWDIWGREX-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CC[C@@](C)(F)[C@@H](F)C3)cc2c1 ZINC001138419067 1131502312 /nfs/dbraw/zinc/50/23/12/1131502312.db2.gz LLWNFEJTPOIGQA-JKSUJKDBSA-N 1 2 278.346 3.748 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CC[C@@](C)(F)[C@@H](F)C3)cc2c1 ZINC001138419067 1131502315 /nfs/dbraw/zinc/50/23/15/1131502315.db2.gz LLWNFEJTPOIGQA-JKSUJKDBSA-N 1 2 278.346 3.748 20 0 CHADLO COc1ncc(C[N@H+](C)Cc2ccccc2Cl)cc1C ZINC001137004467 1131502856 /nfs/dbraw/zinc/50/28/56/1131502856.db2.gz AOEIKZIKHARYHC-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ncc(C[N@@H+](C)Cc2ccccc2Cl)cc1C ZINC001137004467 1131502860 /nfs/dbraw/zinc/50/28/60/1131502860.db2.gz AOEIKZIKHARYHC-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)Cc1ccc(C)c(C)c1 ZINC000825299255 1131505974 /nfs/dbraw/zinc/50/59/74/1131505974.db2.gz GFLWLZNFECICTD-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)Cc1ccc(C)c(C)c1 ZINC000825299255 1131505975 /nfs/dbraw/zinc/50/59/75/1131505975.db2.gz GFLWLZNFECICTD-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626039 1131520325 /nfs/dbraw/zinc/52/03/25/1131520325.db2.gz FFWMYEUAJITRHQ-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626039 1131520328 /nfs/dbraw/zinc/52/03/28/1131520328.db2.gz FFWMYEUAJITRHQ-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626166 1131520758 /nfs/dbraw/zinc/52/07/58/1131520758.db2.gz KSTKZULWWNAFRI-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626166 1131520761 /nfs/dbraw/zinc/52/07/61/1131520761.db2.gz KSTKZULWWNAFRI-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO C[C@@H]1C[C@@H](O)C[N@@H+]1Cc1c(Cl)cc(Cl)cc1Cl ZINC001143797700 1131535199 /nfs/dbraw/zinc/53/51/99/1131535199.db2.gz PEVOXGYUSUDFCB-VXNVDRBHSA-N 1 2 294.609 3.602 20 0 CHADLO C[C@@H]1C[C@@H](O)C[N@H+]1Cc1c(Cl)cc(Cl)cc1Cl ZINC001143797700 1131535203 /nfs/dbraw/zinc/53/52/03/1131535203.db2.gz PEVOXGYUSUDFCB-VXNVDRBHSA-N 1 2 294.609 3.602 20 0 CHADLO COC(=O)c1cccc([C@@H](C)Nc2c[nH+]c(C)c(C)c2)c1 ZINC001171107941 1131535138 /nfs/dbraw/zinc/53/51/38/1131535138.db2.gz UMVQWHZFXXGTFY-CYBMUJFWSA-N 1 2 284.359 3.658 20 0 CHADLO Fc1ccc(Cl)c(Cl)c1C[N@@H+]1C[C@@H]2C[C@@H]2C1 ZINC001143855638 1131543276 /nfs/dbraw/zinc/54/32/76/1131543276.db2.gz XWFGIGPIBUETOD-OCAPTIKFSA-N 1 2 260.139 3.584 20 0 CHADLO Fc1ccc(Cl)c(Cl)c1C[N@H+]1C[C@@H]2C[C@@H]2C1 ZINC001143855638 1131543279 /nfs/dbraw/zinc/54/32/79/1131543279.db2.gz XWFGIGPIBUETOD-OCAPTIKFSA-N 1 2 260.139 3.584 20 0 CHADLO CCCCc1ccc(C[N@H+]2CCC(=O)[C@@H](F)CC2)s1 ZINC001138753423 1131545723 /nfs/dbraw/zinc/54/57/23/1131545723.db2.gz RACUBNDWBKCSRG-AWEZNQCLSA-N 1 2 283.412 3.594 20 0 CHADLO CCCCc1ccc(C[N@@H+]2CCC(=O)[C@@H](F)CC2)s1 ZINC001138753423 1131545726 /nfs/dbraw/zinc/54/57/26/1131545726.db2.gz RACUBNDWBKCSRG-AWEZNQCLSA-N 1 2 283.412 3.594 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@H+](Cc1cccc(C(F)(F)F)c1C)C2 ZINC001143929995 1131552644 /nfs/dbraw/zinc/55/26/44/1131552644.db2.gz HMDQHBJSJASOSZ-ZFWWWQNUSA-N 1 2 299.336 3.767 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@@H+](Cc1cccc(C(F)(F)F)c1C)C2 ZINC001143929995 1131552647 /nfs/dbraw/zinc/55/26/47/1131552647.db2.gz HMDQHBJSJASOSZ-ZFWWWQNUSA-N 1 2 299.336 3.767 20 0 CHADLO c1cc2cc(C[N@@H+]3CCC[C@@H]3c3ncccn3)ccc2o1 ZINC001138826162 1131553458 /nfs/dbraw/zinc/55/34/58/1131553458.db2.gz QTALQFPUQNUYLF-OAHLLOKOSA-N 1 2 279.343 3.560 20 0 CHADLO c1cc2cc(C[N@H+]3CCC[C@@H]3c3ncccn3)ccc2o1 ZINC001138826162 1131553463 /nfs/dbraw/zinc/55/34/63/1131553463.db2.gz QTALQFPUQNUYLF-OAHLLOKOSA-N 1 2 279.343 3.560 20 0 CHADLO Cc1ccc(N(C(=O)C[C@H](C)n2cc[nH+]c2)C(C)C)cc1 ZINC000844362896 1131555235 /nfs/dbraw/zinc/55/52/35/1131555235.db2.gz LXQHRWMRGIUSAJ-HNNXBMFYSA-N 1 2 285.391 3.584 20 0 CHADLO C[N@@H+](Cc1c[nH]c2c1cccc2Cl)Cc1nccs1 ZINC001138834110 1131555256 /nfs/dbraw/zinc/55/52/56/1131555256.db2.gz SRPJKDLIDVYDGX-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@H+](Cc1c[nH]c2c1cccc2Cl)Cc1nccs1 ZINC001138834110 1131555259 /nfs/dbraw/zinc/55/52/59/1131555259.db2.gz SRPJKDLIDVYDGX-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c1F ZINC001143956786 1131559090 /nfs/dbraw/zinc/55/90/90/1131559090.db2.gz DQSSIENARSQEOC-YVZVNANGSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c1F ZINC001143956786 1131559095 /nfs/dbraw/zinc/55/90/95/1131559095.db2.gz DQSSIENARSQEOC-YVZVNANGSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CC[C@@]3(CC3(F)F)C2)c1F ZINC001143956778 1131559511 /nfs/dbraw/zinc/55/95/11/1131559511.db2.gz DLNGBKXATSOTEY-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CC[C@@]3(CC3(F)F)C2)c1F ZINC001143956778 1131559515 /nfs/dbraw/zinc/55/95/15/1131559515.db2.gz DLNGBKXATSOTEY-GFCCVEGCSA-N 1 2 293.691 3.849 20 0 CHADLO CCCCc1ccc(N(C)C(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000844415994 1131559813 /nfs/dbraw/zinc/55/98/13/1131559813.db2.gz IRJWUZBMPMTDMV-OAHLLOKOSA-N 1 2 299.418 3.840 20 0 CHADLO CC(C)Oc1ccc(Cl)cc1C[N@H+](C)CCF ZINC001138961259 1131564939 /nfs/dbraw/zinc/56/49/39/1131564939.db2.gz APASXNLRVUTOAH-UHFFFAOYSA-N 1 2 259.752 3.529 20 0 CHADLO CC(C)Oc1ccc(Cl)cc1C[N@@H+](C)CCF ZINC001138961259 1131564944 /nfs/dbraw/zinc/56/49/44/1131564944.db2.gz APASXNLRVUTOAH-UHFFFAOYSA-N 1 2 259.752 3.529 20 0 CHADLO COc1ccc(Cl)c2c1CCC[C@H]2[N@H+](C)CCF ZINC000844444176 1131566406 /nfs/dbraw/zinc/56/64/06/1131566406.db2.gz RUGZQWBYHFFOQD-GFCCVEGCSA-N 1 2 271.763 3.627 20 0 CHADLO COc1ccc(Cl)c2c1CCC[C@H]2[N@@H+](C)CCF ZINC000844444176 1131566408 /nfs/dbraw/zinc/56/64/08/1131566408.db2.gz RUGZQWBYHFFOQD-GFCCVEGCSA-N 1 2 271.763 3.627 20 0 CHADLO Cc1cccc(C)c1[C@H](C)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000844464968 1131569092 /nfs/dbraw/zinc/56/90/92/1131569092.db2.gz PGEYMIIQKFXGKD-CABCVRRESA-N 1 2 286.375 3.755 20 0 CHADLO CCOC1CC[NH+](Cc2c(F)cc(Cl)cc2F)CC1 ZINC001139051569 1131576070 /nfs/dbraw/zinc/57/60/70/1131576070.db2.gz UJKSGFLWDFDABC-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1cc2ccccc2o1 ZINC001139063877 1131578034 /nfs/dbraw/zinc/57/80/34/1131578034.db2.gz BNQBESCIYHMDAE-UHFFFAOYSA-N 1 2 279.339 3.748 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1cc2ccccc2o1 ZINC001139063877 1131578038 /nfs/dbraw/zinc/57/80/38/1131578038.db2.gz BNQBESCIYHMDAE-UHFFFAOYSA-N 1 2 279.339 3.748 20 0 CHADLO Fc1cc(F)c(C[NH+]2CCC(F)CC2)cc1Cl ZINC001144125785 1131588792 /nfs/dbraw/zinc/58/87/92/1131588792.db2.gz RLDXAGHULRMWKA-UHFFFAOYSA-N 1 2 263.690 3.552 20 0 CHADLO CCOc1ccc(C)cc1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC001139606437 1131620243 /nfs/dbraw/zinc/62/02/43/1131620243.db2.gz RSUZZEBDQJQFBG-MRXNPFEDSA-N 1 2 297.402 3.521 20 0 CHADLO CCOc1ccc(C)cc1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC001139606437 1131620247 /nfs/dbraw/zinc/62/02/47/1131620247.db2.gz RSUZZEBDQJQFBG-MRXNPFEDSA-N 1 2 297.402 3.521 20 0 CHADLO Cc1nc(C[N@@H+]2CCSc3ccccc3C2)sc1C ZINC001144375321 1131622086 /nfs/dbraw/zinc/62/20/86/1131622086.db2.gz PGIBCHMVBHSULF-UHFFFAOYSA-N 1 2 290.457 3.868 20 0 CHADLO Cc1nc(C[N@H+]2CCSc3ccccc3C2)sc1C ZINC001144375321 1131622087 /nfs/dbraw/zinc/62/20/87/1131622087.db2.gz PGIBCHMVBHSULF-UHFFFAOYSA-N 1 2 290.457 3.868 20 0 CHADLO CCCCOC1C[NH+](Cc2ccc(OC)c(C(C)C)c2)C1 ZINC001139618229 1131622821 /nfs/dbraw/zinc/62/28/21/1131622821.db2.gz OQWBWXNXNOCFJF-UHFFFAOYSA-N 1 2 291.435 3.820 20 0 CHADLO C[C@@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1ccc2c(c1)CCC2 ZINC000845285110 1131624054 /nfs/dbraw/zinc/62/40/54/1131624054.db2.gz GRLQYHKNDNFMIP-UONOGXRCSA-N 1 2 298.386 3.627 20 0 CHADLO CCC[C@@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000845458262 1131635958 /nfs/dbraw/zinc/63/59/58/1131635958.db2.gz DNGCPPUCORTATO-GOEBONIOSA-N 1 2 286.375 3.919 20 0 CHADLO CCOC(=O)C[N@H+](C)Cc1cccc2c3ccccc3oc21 ZINC001139856684 1131641331 /nfs/dbraw/zinc/64/13/31/1131641331.db2.gz SXEANTWGXNRIHC-UHFFFAOYSA-N 1 2 297.354 3.581 20 0 CHADLO CCOC(=O)C[N@@H+](C)Cc1cccc2c3ccccc3oc21 ZINC001139856684 1131641335 /nfs/dbraw/zinc/64/13/35/1131641335.db2.gz SXEANTWGXNRIHC-UHFFFAOYSA-N 1 2 297.354 3.581 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cc2ccccc2n1C ZINC001139871851 1131643212 /nfs/dbraw/zinc/64/32/12/1131643212.db2.gz UEJMIWZZGVSOSX-AWEZNQCLSA-N 1 2 279.387 3.557 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cc2ccccc2n1C ZINC001139871851 1131643215 /nfs/dbraw/zinc/64/32/15/1131643215.db2.gz UEJMIWZZGVSOSX-AWEZNQCLSA-N 1 2 279.387 3.557 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC001139883945 1131646579 /nfs/dbraw/zinc/64/65/79/1131646579.db2.gz OCCAABRYGTXIKK-VXGBXAGGSA-N 1 2 287.325 3.623 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1cncc2ccccc21 ZINC001139897069 1131648558 /nfs/dbraw/zinc/64/85/58/1131648558.db2.gz SKMAOBFXHLYFRR-UHFFFAOYSA-N 1 2 290.366 3.550 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1cncc2ccccc21 ZINC001139897069 1131648563 /nfs/dbraw/zinc/64/85/63/1131648563.db2.gz SKMAOBFXHLYFRR-UHFFFAOYSA-N 1 2 290.366 3.550 20 0 CHADLO FC1CC2(C1)CCC[N@H+](Cc1csc(Cl)n1)CC2 ZINC001139977716 1131653947 /nfs/dbraw/zinc/65/39/47/1131653947.db2.gz DSIJIQSMBJVMFJ-UHFFFAOYSA-N 1 2 288.819 3.901 20 0 CHADLO FC1CC2(C1)CCC[N@@H+](Cc1csc(Cl)n1)CC2 ZINC001139977716 1131653950 /nfs/dbraw/zinc/65/39/50/1131653950.db2.gz DSIJIQSMBJVMFJ-UHFFFAOYSA-N 1 2 288.819 3.901 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2c(F)cc(O)cc2F)cc1 ZINC001144558757 1131658890 /nfs/dbraw/zinc/65/88/90/1131658890.db2.gz CINFHLMMHSHTJJ-UHFFFAOYSA-N 1 2 291.341 3.865 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2c(F)cc(O)cc2F)cc1 ZINC001144558757 1131658893 /nfs/dbraw/zinc/65/88/93/1131658893.db2.gz CINFHLMMHSHTJJ-UHFFFAOYSA-N 1 2 291.341 3.865 20 0 CHADLO Oc1cc(F)c(C[NH+]2CCC3(CC[C@@H](F)C3)CC2)c(F)c1 ZINC001144559114 1131659217 /nfs/dbraw/zinc/65/92/17/1131659217.db2.gz IWMYTOHDGALHSE-LLVKDONJSA-N 1 2 299.336 3.775 20 0 CHADLO C[N@H+](Cc1c(F)cc(O)cc1F)[C@@H]1CCc2ccccc21 ZINC001144567289 1131660893 /nfs/dbraw/zinc/66/08/93/1131660893.db2.gz HHZPCMSDXHNTBH-QGZVFWFLSA-N 1 2 289.325 3.790 20 0 CHADLO C[N@@H+](Cc1c(F)cc(O)cc1F)[C@@H]1CCc2ccccc21 ZINC001144567289 1131660896 /nfs/dbraw/zinc/66/08/96/1131660896.db2.gz HHZPCMSDXHNTBH-QGZVFWFLSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(F)c(O)cc2F)Cc2ccccc21 ZINC001144646917 1131674177 /nfs/dbraw/zinc/67/41/77/1131674177.db2.gz QENPGNPABZBJLI-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)ccc1Br ZINC001140214704 1131678029 /nfs/dbraw/zinc/67/80/29/1131678029.db2.gz SIJIANLUAOKKKI-MFKMUULPSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H]3C[C@@H]32)ccc1Br ZINC001140214704 1131678032 /nfs/dbraw/zinc/67/80/32/1131678032.db2.gz SIJIANLUAOKKKI-MFKMUULPSA-N 1 2 284.172 3.573 20 0 CHADLO COc1ccc(Nc2cccn3cc[nH+]c23)cc1OC(C)C ZINC001203013482 1131678829 /nfs/dbraw/zinc/67/88/29/1131678829.db2.gz YAPTXCBXKKPLPV-UHFFFAOYSA-N 1 2 297.358 3.874 20 0 CHADLO Cc1ccc2n[nH]c(C[N@@H+]3CCc4cc(F)ccc4C3)c2c1 ZINC001140333173 1131699707 /nfs/dbraw/zinc/69/97/07/1131699707.db2.gz FBHUIWDIRLYAPD-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1ccc2n[nH]c(C[N@H+]3CCc4cc(F)ccc4C3)c2c1 ZINC001140333173 1131699711 /nfs/dbraw/zinc/69/97/11/1131699711.db2.gz FBHUIWDIRLYAPD-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(-c2ccccc2)[nH]1)c1ccsc1 ZINC000834742001 1131699836 /nfs/dbraw/zinc/69/98/36/1131699836.db2.gz WIOAKUXCNVDQKB-CQSZACIVSA-N 1 2 298.415 3.774 20 0 CHADLO Cc1ccc2cc([C@@H](C)[NH2+][C@@H]3CCn4ccnc43)oc2c1 ZINC000834832493 1131708967 /nfs/dbraw/zinc/70/89/67/1131708967.db2.gz PESBFNBUHJHLBS-TZMCWYRMSA-N 1 2 281.359 3.733 20 0 CHADLO CCC[C@H]([NH2+]Cc1cc(F)cnc1Cl)c1ccccn1 ZINC000834841557 1131710602 /nfs/dbraw/zinc/71/06/02/1131710602.db2.gz WWUCFJCJUUYMGT-ZDUSSCGKSA-N 1 2 293.773 3.900 20 0 CHADLO Clc1cnc2c(c1)C[N@@H+](Cc1ccc3c(c1)CCC3)CC2 ZINC001203034845 1131723132 /nfs/dbraw/zinc/72/31/32/1131723132.db2.gz DKYRMXMLFPKUSA-UHFFFAOYSA-N 1 2 298.817 3.782 20 0 CHADLO Clc1cnc2c(c1)C[N@H+](Cc1ccc3c(c1)CCC3)CC2 ZINC001203034845 1131723138 /nfs/dbraw/zinc/72/31/38/1131723138.db2.gz DKYRMXMLFPKUSA-UHFFFAOYSA-N 1 2 298.817 3.782 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(F)c(Cl)c2)co1 ZINC000834899001 1131723298 /nfs/dbraw/zinc/72/32/98/1131723298.db2.gz DRCIQUPVPOOKRT-SECBINFHSA-N 1 2 282.746 3.880 20 0 CHADLO c1nsnc1C[N@@H+]1CCCCC[C@@H]1c1ccccc1 ZINC000846774603 1131737039 /nfs/dbraw/zinc/73/70/39/1131737039.db2.gz FAFHPYMUIWLUTD-OAHLLOKOSA-N 1 2 273.405 3.655 20 0 CHADLO c1nsnc1C[N@H+]1CCCCC[C@@H]1c1ccccc1 ZINC000846774603 1131737041 /nfs/dbraw/zinc/73/70/41/1131737041.db2.gz FAFHPYMUIWLUTD-OAHLLOKOSA-N 1 2 273.405 3.655 20 0 CHADLO c1nsnc1C[NH2+][C@@H](CC1CCC1)c1ccccc1 ZINC000846846544 1131750626 /nfs/dbraw/zinc/75/06/26/1131750626.db2.gz AVYVVDSLZAUYMS-HNNXBMFYSA-N 1 2 273.405 3.559 20 0 CHADLO Cn1cnc2cc(C[N@@H+]3Cc4ccc(Cl)cc4C3)ccc21 ZINC001140509625 1131774020 /nfs/dbraw/zinc/77/40/20/1131774020.db2.gz QAWQNNSZRGAVTC-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1cnc2cc(C[N@H+]3Cc4ccc(Cl)cc4C3)ccc21 ZINC001140509625 1131774024 /nfs/dbraw/zinc/77/40/24/1131774024.db2.gz QAWQNNSZRGAVTC-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO CC[C@H](COC)Nc1cc(C)c2cccc(C)c2[nH+]1 ZINC001160933440 1131787018 /nfs/dbraw/zinc/78/70/18/1131787018.db2.gz LSFHGTOUKAINHS-CYBMUJFWSA-N 1 2 258.365 3.689 20 0 CHADLO COc1cc2c(cc1O[C@H](C)CCC(C)C)C=[NH+]CC2 ZINC001228090966 1131806950 /nfs/dbraw/zinc/80/69/50/1131806950.db2.gz PDOSTJJEIUOZTF-CYBMUJFWSA-N 1 2 275.392 3.874 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@@H+]1Cc1ccccn1 ZINC001204062773 1131828548 /nfs/dbraw/zinc/82/85/48/1131828548.db2.gz QTOCMYBPGFMIGM-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@H+]1Cc1ccccn1 ZINC001204062773 1131828556 /nfs/dbraw/zinc/82/85/56/1131828556.db2.gz QTOCMYBPGFMIGM-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO CC[C@@H]1C[NH+](Cc2ccc(Cl)c(OC)c2)C[C@@H](CC)O1 ZINC001171324073 1131848043 /nfs/dbraw/zinc/84/80/43/1131848043.db2.gz XAGGBKWRCZHYDX-ZIAGYGMSSA-N 1 2 297.826 3.738 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](C)Cc3ccccc3)cc2[nH+]1 ZINC001228325564 1131857901 /nfs/dbraw/zinc/85/79/01/1131857901.db2.gz BAIJUPRRYXUFIF-GFCCVEGCSA-N 1 2 266.344 3.881 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](C)c3cnc4ccccn43)cc2[nH+]1 ZINC001228326154 1131858790 /nfs/dbraw/zinc/85/87/90/1131858790.db2.gz GAOWRIANFSCVJJ-LLVKDONJSA-N 1 2 292.342 3.659 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H]3C[C@@H]4[C@H](C3)C4(C)C)cc2[nH+]1 ZINC001228330160 1131859882 /nfs/dbraw/zinc/85/98/82/1131859882.db2.gz VXQOSACIUDOOKV-XYYAHUGASA-N 1 2 256.349 3.685 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1cc(F)c(Cl)cc1F ZINC001140699132 1131880068 /nfs/dbraw/zinc/88/00/68/1131880068.db2.gz DXPLPGFMCDALJO-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1cc(F)c(Cl)cc1F ZINC001140699132 1131880082 /nfs/dbraw/zinc/88/00/82/1131880082.db2.gz DXPLPGFMCDALJO-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2cc(-c3ccccc3)no2)n1 ZINC000348100439 1131880644 /nfs/dbraw/zinc/88/06/44/1131880644.db2.gz YZNCPIIAWSUJSA-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1c(Cl)ncc2ccccc21 ZINC001140720568 1131894463 /nfs/dbraw/zinc/89/44/63/1131894463.db2.gz ROIZYDZFJLXSSA-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1c(Cl)ncc2ccccc21 ZINC001140720568 1131894480 /nfs/dbraw/zinc/89/44/80/1131894480.db2.gz ROIZYDZFJLXSSA-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO COc1cccc(Cl)c1C[NH+]1CC(C)(C)OC(C)(C)C1 ZINC001140730277 1131900989 /nfs/dbraw/zinc/90/09/89/1131900989.db2.gz FJUISEISCCGZKD-UHFFFAOYSA-N 1 2 297.826 3.738 20 0 CHADLO Cn1cnc2ccc(C[N@@H+]3Cc4ccc(Cl)cc4C3)cc21 ZINC001140740286 1131904087 /nfs/dbraw/zinc/90/40/87/1131904087.db2.gz FWFYJYQBZLTRHA-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1cnc2ccc(C[N@H+]3Cc4ccc(Cl)cc4C3)cc21 ZINC001140740286 1131904092 /nfs/dbraw/zinc/90/40/92/1131904092.db2.gz FWFYJYQBZLTRHA-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cc1cc(C(=O)C[N@@H+]([C@H](C)c2ccco2)C2CC2)c(C)[nH]1 ZINC000348214027 1131904494 /nfs/dbraw/zinc/90/44/94/1131904494.db2.gz JYDGUGJQORGERJ-CYBMUJFWSA-N 1 2 286.375 3.633 20 0 CHADLO Cc1cc(C(=O)C[N@H+]([C@H](C)c2ccco2)C2CC2)c(C)[nH]1 ZINC000348214027 1131904501 /nfs/dbraw/zinc/90/45/01/1131904501.db2.gz JYDGUGJQORGERJ-CYBMUJFWSA-N 1 2 286.375 3.633 20 0 CHADLO Cc1cccc(C)c1NC(=[NH2+])c1cccnc1Cl ZINC001171349053 1131909517 /nfs/dbraw/zinc/90/95/17/1131909517.db2.gz RYBOKILYACALOE-UHFFFAOYSA-N 1 2 259.740 3.789 20 0 CHADLO C[N@H+](Cc1cc(O)cc(Cl)c1)Cc1cccc(F)c1F ZINC001140790780 1131919553 /nfs/dbraw/zinc/91/95/53/1131919553.db2.gz LRQUIFPQVFFKRI-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cc(O)cc(Cl)c1)Cc1cccc(F)c1F ZINC001140790780 1131919560 /nfs/dbraw/zinc/91/95/60/1131919560.db2.gz LRQUIFPQVFFKRI-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc3ccccn23)c(Cl)c1 ZINC001140796823 1131921903 /nfs/dbraw/zinc/92/19/03/1131921903.db2.gz YMLCOFMATBGXKM-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc3ccccn23)c(Cl)c1 ZINC001140796823 1131921905 /nfs/dbraw/zinc/92/19/05/1131921905.db2.gz YMLCOFMATBGXKM-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)c(Cl)c1 ZINC001140796465 1131922330 /nfs/dbraw/zinc/92/23/30/1131922330.db2.gz JKDYKMYYHULTHL-HOCLYGCPSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)c(Cl)c1 ZINC001140796465 1131922337 /nfs/dbraw/zinc/92/23/37/1131922337.db2.gz JKDYKMYYHULTHL-HOCLYGCPSA-N 1 2 291.822 3.982 20 0 CHADLO COc1cc[nH+]cc1NCc1cccc2sccc21 ZINC001171377945 1131934957 /nfs/dbraw/zinc/93/49/57/1131934957.db2.gz VCCWOXTZBVDURK-UHFFFAOYSA-N 1 2 270.357 3.917 20 0 CHADLO Nc1cn2ccc(NCc3cccc4sccc43)cc2[nH+]1 ZINC001171378101 1131935689 /nfs/dbraw/zinc/93/56/89/1131935689.db2.gz YMFHBMSFHBUBHB-UHFFFAOYSA-N 1 2 294.383 3.743 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1ccc(OC)cc1Cl ZINC001140822513 1131936091 /nfs/dbraw/zinc/93/60/91/1131936091.db2.gz VJWJVBAGRBTDSM-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1ccc(OC)cc1Cl ZINC001140822513 1131936096 /nfs/dbraw/zinc/93/60/96/1131936096.db2.gz VJWJVBAGRBTDSM-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(OC(F)(F)F)c(F)c1 ZINC001140887579 1131959112 /nfs/dbraw/zinc/95/91/12/1131959112.db2.gz GGNJRCMTKVRUMT-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(OC(F)(F)F)c(F)c1 ZINC001140887579 1131959117 /nfs/dbraw/zinc/95/91/17/1131959117.db2.gz GGNJRCMTKVRUMT-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO COc1cc(F)cc(CNc2ccc(-n3cccc3)c[nH+]2)c1 ZINC001171412777 1131981602 /nfs/dbraw/zinc/98/16/02/1131981602.db2.gz LFMZHTBMCSXRCS-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2ccns2)c(C)s1 ZINC000716033329 1132001408 /nfs/dbraw/zinc/00/14/08/1132001408.db2.gz KMPFQILYYADYMQ-SFYZADRCSA-N 1 2 267.423 3.628 20 0 CHADLO CCCCc1ncc(C[N@@H+]2CCc3ccccc3[C@@H]2C)[nH]1 ZINC001141067481 1132004188 /nfs/dbraw/zinc/00/41/88/1132004188.db2.gz DOVFTEWMJUHHJZ-AWEZNQCLSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1ncc(C[N@H+]2CCc3ccccc3[C@@H]2C)[nH]1 ZINC001141067481 1132004192 /nfs/dbraw/zinc/00/41/92/1132004192.db2.gz DOVFTEWMJUHHJZ-AWEZNQCLSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCc3ccccc3[C@@H]2C)c[nH]1 ZINC001141067481 1132004198 /nfs/dbraw/zinc/00/41/98/1132004198.db2.gz DOVFTEWMJUHHJZ-AWEZNQCLSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCc1nc(C[N@H+]2CCc3ccccc3[C@@H]2C)c[nH]1 ZINC001141067481 1132004205 /nfs/dbraw/zinc/00/42/05/1132004205.db2.gz DOVFTEWMJUHHJZ-AWEZNQCLSA-N 1 2 283.419 3.872 20 0 CHADLO CCCCC[C@H](CC)C(=O)NCc1c[nH+]c(C)cc1C ZINC000837105087 1132038010 /nfs/dbraw/zinc/03/80/10/1132038010.db2.gz OTXVUDVSXDPCJZ-HNNXBMFYSA-N 1 2 276.424 3.921 20 0 CHADLO Cc1ccc(C[N@@H+]2CCn3cccc3[C@H]2C)c(F)c1 ZINC001141195796 1132039594 /nfs/dbraw/zinc/03/95/94/1132039594.db2.gz DTQNROGTTAIYIM-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1ccc(C[N@H+]2CCn3cccc3[C@H]2C)c(F)c1 ZINC001141195796 1132039596 /nfs/dbraw/zinc/03/95/96/1132039596.db2.gz DTQNROGTTAIYIM-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO CC(=O)CC(C)(C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001171497369 1132039788 /nfs/dbraw/zinc/03/97/88/1132039788.db2.gz PCXXBSHFFOLFTA-UHFFFAOYSA-N 1 2 277.412 3.893 20 0 CHADLO COc1ccc(C(F)(F)F)cc1C[NH+]1CC2(CCC2)C1 ZINC001141202038 1132041469 /nfs/dbraw/zinc/04/14/69/1132041469.db2.gz QMRARHXYAJYVHD-UHFFFAOYSA-N 1 2 285.309 3.700 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@H]32)ccc1Cl ZINC001141234669 1132054644 /nfs/dbraw/zinc/05/46/44/1132054644.db2.gz RQYZYEAMEKDJMG-GOEBONIOSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@H]32)ccc1Cl ZINC001141234669 1132054653 /nfs/dbraw/zinc/05/46/53/1132054653.db2.gz RQYZYEAMEKDJMG-GOEBONIOSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)ccc1Cl ZINC001141232740 1132055167 /nfs/dbraw/zinc/05/51/67/1132055167.db2.gz JTSZKQXZJVZHOI-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)ccc1Cl ZINC001141232740 1132055174 /nfs/dbraw/zinc/05/51/74/1132055174.db2.gz JTSZKQXZJVZHOI-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO C[C@@H]1CCCC[C@H]1Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229952098 1132135599 /nfs/dbraw/zinc/13/55/99/1132135599.db2.gz XXWKSEUEQJGJQZ-CZUORRHYSA-N 1 2 256.349 3.830 20 0 CHADLO C[C@H]1CC[C@@H](Oc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001229954513 1132137271 /nfs/dbraw/zinc/13/72/71/1132137271.db2.gz PYPKUYOPRGGDMK-OTVXOJSOSA-N 1 2 256.349 3.830 20 0 CHADLO CCCOc1ccc(OC2C[NH+](C(C)(C)C)C2)c(F)c1F ZINC001229974822 1132140021 /nfs/dbraw/zinc/14/00/21/1132140021.db2.gz QYOPPHMEDRWLBN-UHFFFAOYSA-N 1 2 299.361 3.615 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1NCc1cnc(C2CC2)nc1 ZINC000838863539 1132152294 /nfs/dbraw/zinc/15/22/94/1132152294.db2.gz UQXGGVLCHHPYKN-UHFFFAOYSA-N 1 2 294.402 3.566 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccc(C)nc2Cl)s1 ZINC000838929039 1132159661 /nfs/dbraw/zinc/15/96/61/1132159661.db2.gz IHQHHTVMUQMVOW-JTQLQIEISA-N 1 2 281.812 3.659 20 0 CHADLO CCn1ncc(C[NH2+][C@H](c2cc3ccccc3o2)C(C)C)n1 ZINC000838958771 1132161395 /nfs/dbraw/zinc/16/13/95/1132161395.db2.gz SBSRAWUAOMNFPJ-KRWDZBQOSA-N 1 2 298.390 3.531 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc(C2CCOCC2)cc1 ZINC001212652602 1132166291 /nfs/dbraw/zinc/16/62/91/1132166291.db2.gz XYYQFLYBJQJOBU-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO Fc1c(Cl)cc(Br)cc1C[NH+]1CCCC1 ZINC001141592873 1132196239 /nfs/dbraw/zinc/19/62/39/1132196239.db2.gz AYFFQLARPYIEIX-UHFFFAOYSA-N 1 2 292.579 3.837 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)C/C=C/c1ccccc1 ZINC000839587510 1132224441 /nfs/dbraw/zinc/22/44/41/1132224441.db2.gz WXGQWNKKYYQZTO-JXMROGBWSA-N 1 2 289.810 3.527 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)C/C=C/c1ccccc1 ZINC000839587510 1132224446 /nfs/dbraw/zinc/22/44/46/1132224446.db2.gz WXGQWNKKYYQZTO-JXMROGBWSA-N 1 2 289.810 3.527 20 0 CHADLO Cc1ccc([C@H](C)Oc2cc3c(cc2O)C=[NH+]CC3)cc1 ZINC001230919429 1132227540 /nfs/dbraw/zinc/22/75/40/1132227540.db2.gz DAJQTKWRHKMDKX-ZDUSSCGKSA-N 1 2 281.355 3.816 20 0 CHADLO Oc1cc2c(cc1O[C@H](c1ccccc1)C1CC1)CC[NH+]=C2 ZINC001230914298 1132227825 /nfs/dbraw/zinc/22/78/25/1132227825.db2.gz GSSQOAMNSHQHSG-LJQANCHMSA-N 1 2 293.366 3.897 20 0 CHADLO C[C@@H]1CC[C@@H](C)[C@H](Oc2cc3c(cc2O)C=[NH+]CC3)C1 ZINC001230919585 1132228400 /nfs/dbraw/zinc/22/84/00/1132228400.db2.gz DVBJQOIPYVWGSL-XHBSWPGZSA-N 1 2 273.376 3.571 20 0 CHADLO C[C@@H]1CCC[C@H](Oc2cc3c(cc2O)C=[NH+]CC3)[C@H]1C ZINC001230922104 1132229178 /nfs/dbraw/zinc/22/91/78/1132229178.db2.gz YWMJYVYZAYCURH-WQGACYEGSA-N 1 2 273.376 3.571 20 0 CHADLO Fc1c(Cl)ccc(Br)c1C[NH+]1CCCC1 ZINC001141689975 1132233341 /nfs/dbraw/zinc/23/33/41/1132233341.db2.gz VGJSAKJJHCRTKZ-UHFFFAOYSA-N 1 2 292.579 3.837 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1cc(Br)cc(F)c1F ZINC001141795699 1132249183 /nfs/dbraw/zinc/24/91/83/1132249183.db2.gz MXJJLHSJSGCYOB-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1cc(Br)cc(F)c1F ZINC001141795699 1132249187 /nfs/dbraw/zinc/24/91/87/1132249187.db2.gz MXJJLHSJSGCYOB-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ncccc1F ZINC001231080149 1132252914 /nfs/dbraw/zinc/25/29/14/1132252914.db2.gz AASPXXTXZCCSPG-NSHDSACASA-N 1 2 283.306 3.751 20 0 CHADLO c1c[nH+]c(-c2ccc(O[C@@H]3CCc4cccnc43)cc2)[nH]1 ZINC001231081536 1132253130 /nfs/dbraw/zinc/25/31/30/1132253130.db2.gz MTYNHEOEBPVQHL-OAHLLOKOSA-N 1 2 277.327 3.538 20 0 CHADLO CCCCOC[C@H](C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231081769 1132253681 /nfs/dbraw/zinc/25/36/81/1132253681.db2.gz LXBUPXHISMMRQJ-AWEZNQCLSA-N 1 2 288.391 3.671 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@H]2CCCC(=O)C2(C)C)cc1 ZINC001231083966 1132255266 /nfs/dbraw/zinc/25/52/66/1132255266.db2.gz RKEPNYQPBSNLGU-KRWDZBQOSA-N 1 2 298.386 3.614 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2csc(C(F)(F)F)n2)CC1 ZINC001141871823 1132259041 /nfs/dbraw/zinc/25/90/41/1132259041.db2.gz DTTMOXYOIWGUQA-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2csc(C(F)(F)F)n2)CC1 ZINC001141871823 1132259048 /nfs/dbraw/zinc/25/90/48/1132259048.db2.gz DTTMOXYOIWGUQA-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO CC[N@H+](C)Cc1c(F)c(Br)ccc1Cl ZINC001141887570 1132263143 /nfs/dbraw/zinc/26/31/43/1132263143.db2.gz LRYYDTSAPOZFJI-UHFFFAOYSA-N 1 2 280.568 3.693 20 0 CHADLO CC[N@@H+](C)Cc1c(F)c(Br)ccc1Cl ZINC001141887570 1132263149 /nfs/dbraw/zinc/26/31/49/1132263149.db2.gz LRYYDTSAPOZFJI-UHFFFAOYSA-N 1 2 280.568 3.693 20 0 CHADLO CC(C)[N@@H+]1CCN([C@@H]2CC[C@@H](c3ccccc3)C2)C[C@@H]1C ZINC001172564239 1132270472 /nfs/dbraw/zinc/27/04/72/1132270472.db2.gz DEYOTKXVVYOFPQ-QXAKKESOSA-N 1 2 286.463 3.737 20 0 CHADLO CC(C)[N@H+]1CCN([C@@H]2CC[C@@H](c3ccccc3)C2)C[C@@H]1C ZINC001172564239 1132270479 /nfs/dbraw/zinc/27/04/79/1132270479.db2.gz DEYOTKXVVYOFPQ-QXAKKESOSA-N 1 2 286.463 3.737 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)[C@H]1C[C@@H]1C ZINC000840742181 1132281429 /nfs/dbraw/zinc/28/14/29/1132281429.db2.gz ILFPDQXUEZPHMJ-DACLVMHWSA-N 1 2 288.435 3.758 20 0 CHADLO CN(c1ccc(C(C)(F)F)cc1)c1[nH+]cccc1N ZINC001212673872 1132285391 /nfs/dbraw/zinc/28/53/91/1132285391.db2.gz YPZQKWQSUNQRAW-UHFFFAOYSA-N 1 2 263.291 3.543 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cccnc3C2)cc1Cl ZINC001231423466 1132292795 /nfs/dbraw/zinc/29/27/95/1132292795.db2.gz AUGVLEYYDFKEGF-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cccnc3C2)cc1Cl ZINC001231423466 1132292802 /nfs/dbraw/zinc/29/28/02/1132292802.db2.gz AUGVLEYYDFKEGF-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CSc1ccc(C[N@@H+]2CCc3occc3C2)s1 ZINC001142029074 1132302211 /nfs/dbraw/zinc/30/22/11/1132302211.db2.gz IKRXWOMCJVHMMO-UHFFFAOYSA-N 1 2 265.403 3.621 20 0 CHADLO CSc1ccc(C[N@H+]2CCc3occc3C2)s1 ZINC001142029074 1132302214 /nfs/dbraw/zinc/30/22/14/1132302214.db2.gz IKRXWOMCJVHMMO-UHFFFAOYSA-N 1 2 265.403 3.621 20 0 CHADLO COc1cc(C)c(C[N@@H+]2CCC(=O)C[C@H]2C)cc1C(C)C ZINC001231625329 1132329173 /nfs/dbraw/zinc/32/91/73/1132329173.db2.gz SKWDBMGJWORMOV-CQSZACIVSA-N 1 2 289.419 3.680 20 0 CHADLO COc1cc(C)c(C[N@H+]2CCC(=O)C[C@H]2C)cc1C(C)C ZINC001231625329 1132329176 /nfs/dbraw/zinc/32/91/76/1132329176.db2.gz SKWDBMGJWORMOV-CQSZACIVSA-N 1 2 289.419 3.680 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(C)cccc3C2)c(Cl)n1 ZINC001142122348 1132329589 /nfs/dbraw/zinc/32/95/89/1132329589.db2.gz YJJMYTVBZSSSRE-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(C)cccc3C2)c(Cl)n1 ZINC001142122348 1132329594 /nfs/dbraw/zinc/32/95/94/1132329594.db2.gz YJJMYTVBZSSSRE-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC001172854973 1132342434 /nfs/dbraw/zinc/34/24/34/1132342434.db2.gz APVRRESQPWWRIT-QJPTWQEYSA-N 1 2 285.790 3.519 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1C[C@@H](C)OC[C@H]1C ZINC001172854973 1132342440 /nfs/dbraw/zinc/34/24/40/1132342440.db2.gz APVRRESQPWWRIT-QJPTWQEYSA-N 1 2 285.790 3.519 20 0 CHADLO Oc1cc(C[N@@H+]2CCC[C@@H]2c2ccccn2)ccc1Cl ZINC001231734017 1132349165 /nfs/dbraw/zinc/34/91/65/1132349165.db2.gz OAMWSLDYEAJVFY-OAHLLOKOSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cc(C[N@H+]2CCC[C@@H]2c2ccccn2)ccc1Cl ZINC001231734017 1132349174 /nfs/dbraw/zinc/34/91/74/1132349174.db2.gz OAMWSLDYEAJVFY-OAHLLOKOSA-N 1 2 288.778 3.778 20 0 CHADLO C[C@H](Cc1ccc(F)cc1Cl)[N@@H+]1CCC(=O)C(C)(C)C1 ZINC001172870191 1132350939 /nfs/dbraw/zinc/35/09/39/1132350939.db2.gz UTCUASXTRJJPBI-LLVKDONJSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@H](Cc1ccc(F)cc1Cl)[N@H+]1CCC(=O)C(C)(C)C1 ZINC001172870191 1132350947 /nfs/dbraw/zinc/35/09/47/1132350947.db2.gz UTCUASXTRJJPBI-LLVKDONJSA-N 1 2 297.801 3.711 20 0 CHADLO CC[N@H+](Cc1c(Cl)cc(OC)cc1Cl)C1CC1 ZINC001142276640 1132361817 /nfs/dbraw/zinc/36/18/17/1132361817.db2.gz ASFLNGCZGAKGLY-UHFFFAOYSA-N 1 2 274.191 3.986 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cc(OC)cc1Cl)C1CC1 ZINC001142276640 1132361825 /nfs/dbraw/zinc/36/18/25/1132361825.db2.gz ASFLNGCZGAKGLY-UHFFFAOYSA-N 1 2 274.191 3.986 20 0 CHADLO COc1cc(Cl)c(C[N@H+](C)CCSC)c(Cl)c1 ZINC001142297627 1132362489 /nfs/dbraw/zinc/36/24/89/1132362489.db2.gz ZKGHRBNCQDABFM-UHFFFAOYSA-N 1 2 294.247 3.797 20 0 CHADLO COc1cc(Cl)c(C[N@@H+](C)CCSC)c(Cl)c1 ZINC001142297627 1132362494 /nfs/dbraw/zinc/36/24/94/1132362494.db2.gz ZKGHRBNCQDABFM-UHFFFAOYSA-N 1 2 294.247 3.797 20 0 CHADLO CSc1ccccc1C[NH+]1CC(Oc2ccccc2)C1 ZINC001231785562 1132362590 /nfs/dbraw/zinc/36/25/90/1132362590.db2.gz UIEKYPMELIKGQW-UHFFFAOYSA-N 1 2 285.412 3.672 20 0 CHADLO CC(C)OC1C[NH+](Cc2ccc(-c3ccccc3)o2)C1 ZINC001231813971 1132369935 /nfs/dbraw/zinc/36/99/35/1132369935.db2.gz VGDDNPCHBVDALU-UHFFFAOYSA-N 1 2 271.360 3.556 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc4c(c3)COC4)cc2)c[nH+]1 ZINC001212695386 1132374137 /nfs/dbraw/zinc/37/41/37/1132374137.db2.gz DOJAKHOEZCDLHM-UHFFFAOYSA-N 1 2 291.354 3.705 20 0 CHADLO Cc1cc(C)c(O)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212696682 1132375655 /nfs/dbraw/zinc/37/56/55/1132375655.db2.gz FCUNHNZYLLMDKR-UHFFFAOYSA-N 1 2 293.370 3.997 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc4ncoc4c3)cc2)c[nH+]1 ZINC001212695858 1132375878 /nfs/dbraw/zinc/37/58/78/1132375878.db2.gz WNNRGLBZNLKOEZ-UHFFFAOYSA-N 1 2 290.326 3.816 20 0 CHADLO Nc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(Cl)c1 ZINC001212697764 1132377413 /nfs/dbraw/zinc/37/74/13/1132377413.db2.gz RMRYVAXTLDQXFG-UHFFFAOYSA-N 1 2 298.777 3.911 20 0 CHADLO Cc1cc(C)c(N)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212696842 1132377711 /nfs/dbraw/zinc/37/77/11/1132377711.db2.gz PMCUREPWIJUFAM-UHFFFAOYSA-N 1 2 292.386 3.874 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2ccc3ccnc(Cl)c3c2)C1 ZINC001231977777 1132405980 /nfs/dbraw/zinc/40/59/80/1132405980.db2.gz QZWKVBIHGRHLTE-ZDUSSCGKSA-N 1 2 278.758 3.822 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2ccc3ccnc(Cl)c3c2)C1 ZINC001231977777 1132405982 /nfs/dbraw/zinc/40/59/82/1132405982.db2.gz QZWKVBIHGRHLTE-ZDUSSCGKSA-N 1 2 278.758 3.822 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nc3ccccc3s2)cc(C)n1 ZINC001231997428 1132407081 /nfs/dbraw/zinc/40/70/81/1132407081.db2.gz OEEQKJAFVFOFPO-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nc3ccccc3s2)cc(C)n1 ZINC001231997428 1132407084 /nfs/dbraw/zinc/40/70/84/1132407084.db2.gz OEEQKJAFVFOFPO-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO FC1(F)CC[N@@H+](C2CCC(Oc3ccccc3)CC2)C1 ZINC001173199525 1132409407 /nfs/dbraw/zinc/40/94/07/1132409407.db2.gz GAEDQXANULTSOH-UHFFFAOYSA-N 1 2 281.346 3.718 20 0 CHADLO FC1(F)CC[N@H+](C2CCC(Oc3ccccc3)CC2)C1 ZINC001173199525 1132409411 /nfs/dbraw/zinc/40/94/11/1132409411.db2.gz GAEDQXANULTSOH-UHFFFAOYSA-N 1 2 281.346 3.718 20 0 CHADLO FC(F)(F)c1cnc(Cl)c(C[NH+]2C3CCC2CC3)c1 ZINC001232009813 1132410317 /nfs/dbraw/zinc/41/03/17/1132410317.db2.gz QYCCZMNGXWJANB-UHFFFAOYSA-N 1 2 290.716 3.881 20 0 CHADLO CCc1ccc(C[C@@H](C)[NH+]2CC(F)(C3CC3)C2)cc1 ZINC001173252676 1132422845 /nfs/dbraw/zinc/42/28/45/1132422845.db2.gz NNERRFSSCQOQLY-CYBMUJFWSA-N 1 2 261.384 3.614 20 0 CHADLO C[N@H+](CCc1ccccn1)Cc1c(F)cc(F)cc1Cl ZINC001232099412 1132423008 /nfs/dbraw/zinc/42/30/08/1132423008.db2.gz XLFDHVHUKDMPLO-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1ccccn1)Cc1c(F)cc(F)cc1Cl ZINC001232099412 1132423012 /nfs/dbraw/zinc/42/30/12/1132423012.db2.gz XLFDHVHUKDMPLO-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@H]3C[C@H]32)c(Cl)c1 ZINC001232103041 1132423912 /nfs/dbraw/zinc/42/39/12/1132423912.db2.gz CJQHRIGSINIWST-ISVAXAHUSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@H]3C[C@H]32)c(Cl)c1 ZINC001232103041 1132423916 /nfs/dbraw/zinc/42/39/16/1132423916.db2.gz CJQHRIGSINIWST-ISVAXAHUSA-N 1 2 257.711 3.603 20 0 CHADLO CCOC1CC[NH+](Cc2c(F)cc(F)cc2Cl)CC1 ZINC001232102152 1132424443 /nfs/dbraw/zinc/42/44/43/1132424443.db2.gz PNPWAJXMKVUQCV-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1nc(Cl)ccc1F ZINC001232158586 1132430343 /nfs/dbraw/zinc/43/03/43/1132430343.db2.gz KFDKJEIICOPVFJ-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1nc(Cl)ccc1F ZINC001232158586 1132430349 /nfs/dbraw/zinc/43/03/49/1132430349.db2.gz KFDKJEIICOPVFJ-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)ccn1 ZINC001232214513 1132437636 /nfs/dbraw/zinc/43/76/36/1132437636.db2.gz SFDMRHQTLGUQJJ-CJNGLKHVSA-N 1 2 282.378 3.651 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)ccn1 ZINC001232214513 1132437637 /nfs/dbraw/zinc/43/76/37/1132437637.db2.gz SFDMRHQTLGUQJJ-CJNGLKHVSA-N 1 2 282.378 3.651 20 0 CHADLO CCc1sc(C[NH+]2CC(C)(C)C2)cc1Br ZINC001232287656 1132449292 /nfs/dbraw/zinc/44/92/92/1132449292.db2.gz VOFUGSIEZHKXRZ-UHFFFAOYSA-N 1 2 288.254 3.915 20 0 CHADLO COc1cc(C)c(C[N@H+](C)Cc2ccccc2Cl)cn1 ZINC001232354081 1132460309 /nfs/dbraw/zinc/46/03/09/1132460309.db2.gz DNTKPHOHFJBCGJ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cc(C)c(C[N@@H+](C)Cc2ccccc2Cl)cn1 ZINC001232354081 1132460312 /nfs/dbraw/zinc/46/03/12/1132460312.db2.gz DNTKPHOHFJBCGJ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC(=O)C(C)(C)C2)c1OC(C)C ZINC001232395294 1132465830 /nfs/dbraw/zinc/46/58/30/1132465830.db2.gz QWXIADQTSWRCDU-UHFFFAOYSA-N 1 2 289.419 3.583 20 0 CHADLO Cc1cccc(C[N@H+]2CCC(=O)C(C)(C)C2)c1OC(C)C ZINC001232395294 1132465835 /nfs/dbraw/zinc/46/58/35/1132465835.db2.gz QWXIADQTSWRCDU-UHFFFAOYSA-N 1 2 289.419 3.583 20 0 CHADLO CC[N@@H+](Cc1cc(F)c(O)c(Br)c1)C(C)C ZINC001232630356 1132497920 /nfs/dbraw/zinc/49/79/20/1132497920.db2.gz PIXSERJYPSDFMD-UHFFFAOYSA-N 1 2 290.176 3.524 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCC[C@@H]1c1nccs1 ZINC001232483128 1132477383 /nfs/dbraw/zinc/47/73/83/1132477383.db2.gz CCAHTAPIFDTNNI-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCC[C@@H]1c1nccs1 ZINC001232483128 1132477385 /nfs/dbraw/zinc/47/73/85/1132477385.db2.gz CCAHTAPIFDTNNI-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1CCCC[C@H](F)C1 ZINC001232496804 1132478961 /nfs/dbraw/zinc/47/89/61/1132478961.db2.gz ZGTAQQZIABWEFF-ZDUSSCGKSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1CCCC[C@H](F)C1 ZINC001232496804 1132478964 /nfs/dbraw/zinc/47/89/64/1132478964.db2.gz ZGTAQQZIABWEFF-ZDUSSCGKSA-N 1 2 271.763 3.673 20 0 CHADLO CCCOC1C[NH+](Cc2ccc3ccsc3c2)C1 ZINC001232612797 1132493682 /nfs/dbraw/zinc/49/36/82/1132493682.db2.gz OQCVJXZABYGTJT-UHFFFAOYSA-N 1 2 261.390 3.512 20 0 CHADLO CC[N@H+](Cc1cc(F)c(O)c(Br)c1)C(C)C ZINC001232630356 1132497916 /nfs/dbraw/zinc/49/79/16/1132497916.db2.gz PIXSERJYPSDFMD-UHFFFAOYSA-N 1 2 290.176 3.524 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H](F)C2)c(F)cc1F ZINC001232784144 1132522319 /nfs/dbraw/zinc/52/23/19/1132522319.db2.gz IGIZUDUFTAUXFN-LBPRGKRZSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H](F)C2)c(F)cc1F ZINC001232784144 1132522323 /nfs/dbraw/zinc/52/23/23/1132522323.db2.gz IGIZUDUFTAUXFN-LBPRGKRZSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1ccc(C(F)F)cc1)C2 ZINC001143251851 1132524162 /nfs/dbraw/zinc/52/41/62/1132524162.db2.gz DHJRQTFELPTOBS-UHFFFAOYSA-N 1 2 274.314 3.843 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1ccc(C(F)F)cc1)C2 ZINC001143251851 1132524170 /nfs/dbraw/zinc/52/41/70/1132524170.db2.gz DHJRQTFELPTOBS-UHFFFAOYSA-N 1 2 274.314 3.843 20 0 CHADLO Fc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1 ZINC001173798869 1132531895 /nfs/dbraw/zinc/53/18/95/1132531895.db2.gz WAQQAMBGFXKQFK-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CCOc1cc(Nc2ccc(OC)cc2)cc(C)[nH+]1 ZINC001173803513 1132536762 /nfs/dbraw/zinc/53/67/62/1132536762.db2.gz DOVGVPUGSBUHNQ-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO Cc1cc(C)c(Nc2ccc[nH+]c2N2CCOCC2)c(C)c1 ZINC001173843097 1132545229 /nfs/dbraw/zinc/54/52/29/1132545229.db2.gz JJOORPYZVZVIAN-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO CCOc1ccccc1Nc1cccn2cc(C)[nH+]c12 ZINC001173847512 1132549677 /nfs/dbraw/zinc/54/96/77/1132549677.db2.gz DTRFYBQWAIXNSQ-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CCCC[C@@H]3C)cc2[nH+]1 ZINC001150106308 1132551546 /nfs/dbraw/zinc/55/15/46/1132551546.db2.gz KKTDLURRCQLVAK-GWCFXTLKSA-N 1 2 271.364 3.636 20 0 CHADLO Cc1cn2cccc(Nc3c(F)cccc3F)c2[nH+]1 ZINC001173859081 1132560861 /nfs/dbraw/zinc/56/08/61/1132560861.db2.gz IVWGANDYCPQYOT-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO C[C@]1(Br)C[C@@H]1CNc1c[nH+]cc2c1CCCC2 ZINC001206637303 1132565241 /nfs/dbraw/zinc/56/52/41/1132565241.db2.gz ZIGFJGMXHRTNCW-RISCZKNCSA-N 1 2 295.224 3.546 20 0 CHADLO CC[NH+](CC)Cc1c(F)cc(Br)c(F)c1F ZINC001233060275 1132570015 /nfs/dbraw/zinc/57/00/15/1132570015.db2.gz ZXMOSEBVHRKAKZ-UHFFFAOYSA-N 1 2 296.130 3.708 20 0 CHADLO Cc1c2ccc(Nc3ccc(C)[nH+]c3C)cc2nn1C ZINC001203375345 1132577991 /nfs/dbraw/zinc/57/79/91/1132577991.db2.gz VTDCMLXKJFODPR-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2CCC[C@]3(CCO3)C2)cs1 ZINC001233178334 1132579145 /nfs/dbraw/zinc/57/91/45/1132579145.db2.gz KTLDXJSEDVKKIJ-LBPRGKRZSA-N 1 2 291.338 3.522 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2CCC[C@]3(CCO3)C2)cs1 ZINC001233178334 1132579147 /nfs/dbraw/zinc/57/91/47/1132579147.db2.gz KTLDXJSEDVKKIJ-LBPRGKRZSA-N 1 2 291.338 3.522 20 0 CHADLO COc1ccc2c(c1Nc1ccc(C)[nH+]c1C)C(=O)CC2 ZINC001203375874 1132582104 /nfs/dbraw/zinc/58/21/04/1132582104.db2.gz DHTPMOAFZYFCCI-UHFFFAOYSA-N 1 2 282.343 3.580 20 0 CHADLO Fc1ccc(Oc2cccc(C[N@H+]3C[C@H]4C[C@@H](C3)O4)c2)cc1 ZINC001233211785 1132582327 /nfs/dbraw/zinc/58/23/27/1132582327.db2.gz SXUUFQDIYQMRGN-HDICACEKSA-N 1 2 299.345 3.591 20 0 CHADLO Fc1ccc(Oc2cccc(C[N@@H+]3C[C@H]4C[C@@H](C3)O4)c2)cc1 ZINC001233211785 1132582331 /nfs/dbraw/zinc/58/23/31/1132582331.db2.gz SXUUFQDIYQMRGN-HDICACEKSA-N 1 2 299.345 3.591 20 0 CHADLO Clc1ccc(-c2ccc(C[NH+]3CC4(CCO4)C3)cc2)cc1 ZINC001233220800 1132582700 /nfs/dbraw/zinc/58/27/00/1132582700.db2.gz ZDLBEHNVICZTEI-UHFFFAOYSA-N 1 2 299.801 3.982 20 0 CHADLO Cc1cc(C)cc(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001173828191 1132583596 /nfs/dbraw/zinc/58/35/96/1132583596.db2.gz FWPJXWKHDJZUNJ-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO CC(=O)c1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1 ZINC001173894795 1132598944 /nfs/dbraw/zinc/59/89/44/1132598944.db2.gz DXXWFROIBXHGTF-UHFFFAOYSA-N 1 2 285.734 3.934 20 0 CHADLO COc1cc(F)c(C[N@@H+]2CCCC[C@](C)(F)C2)cc1F ZINC001233340522 1132605089 /nfs/dbraw/zinc/60/50/89/1132605089.db2.gz GMHIOHNQPYZCMS-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO COc1cc(F)c(C[N@H+]2CCCC[C@](C)(F)C2)cc1F ZINC001233340522 1132605092 /nfs/dbraw/zinc/60/50/92/1132605092.db2.gz GMHIOHNQPYZCMS-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CC[C@@H](F)C1 ZINC001233350862 1132608224 /nfs/dbraw/zinc/60/82/24/1132608224.db2.gz XDCPFADUXFYIQC-SECBINFHSA-N 1 2 259.777 3.606 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CC[C@@H](F)C1 ZINC001233350862 1132608227 /nfs/dbraw/zinc/60/82/27/1132608227.db2.gz XDCPFADUXFYIQC-SECBINFHSA-N 1 2 259.777 3.606 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(C)C)ccc1OC ZINC001173917284 1132612304 /nfs/dbraw/zinc/61/23/04/1132612304.db2.gz HMQMTYRRHOXNEE-UHFFFAOYSA-N 1 2 272.348 3.966 20 0 CHADLO COc1cc[nH+]c(Nc2cc(C(C)C)ccc2OC)c1 ZINC001173917817 1132612365 /nfs/dbraw/zinc/61/23/65/1132612365.db2.gz MTPKMYJXRUIVTG-UHFFFAOYSA-N 1 2 272.348 3.966 20 0 CHADLO CC[C@@H](C)C1CCC(NC(=O)c2cccc3[nH+]ccn32)CC1 ZINC001154525573 1132615771 /nfs/dbraw/zinc/61/57/71/1132615771.db2.gz NFQBCVWSMDVAGT-WLYUNCDWSA-N 1 2 299.418 3.669 20 0 CHADLO C[N@@H+](Cc1cn2cccc(Cl)c2n1)Cc1cccs1 ZINC001233422448 1132621752 /nfs/dbraw/zinc/62/17/52/1132621752.db2.gz HZGGUJXRODMKFO-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@H+](Cc1cn2cccc(Cl)c2n1)Cc1cccs1 ZINC001233422448 1132621753 /nfs/dbraw/zinc/62/17/53/1132621753.db2.gz HZGGUJXRODMKFO-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO Brc1cc(C[N@@H+]2CC[C@@H]2c2ccccc2)c[nH]1 ZINC001233437972 1132622665 /nfs/dbraw/zinc/62/26/65/1132622665.db2.gz VXNUHHJQBARQDV-CYBMUJFWSA-N 1 2 291.192 3.724 20 0 CHADLO Brc1cc(C[N@H+]2CC[C@@H]2c2ccccc2)c[nH]1 ZINC001233437972 1132622667 /nfs/dbraw/zinc/62/26/67/1132622667.db2.gz VXNUHHJQBARQDV-CYBMUJFWSA-N 1 2 291.192 3.724 20 0 CHADLO COc1ccc(Nc2cc[nH+]c(SC)c2)cc1OC ZINC001173887179 1132626234 /nfs/dbraw/zinc/62/62/34/1132626234.db2.gz AFZGNWWIOYXECZ-UHFFFAOYSA-N 1 2 276.361 3.564 20 0 CHADLO COc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1OC ZINC001173887763 1132627935 /nfs/dbraw/zinc/62/79/35/1132627935.db2.gz ZDZPTTYAJHAUEN-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OC)cc1OC ZINC001173890619 1132628976 /nfs/dbraw/zinc/62/89/76/1132628976.db2.gz ADWBUEKLDYHAGJ-UHFFFAOYSA-N 1 2 272.348 3.713 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2C(=O)[C@H]2CCCC2(C)C)[nH+]c1C ZINC001155174766 1132645418 /nfs/dbraw/zinc/64/54/18/1132645418.db2.gz NSBKEOSPEVWMTF-ZIAGYGMSSA-N 1 2 289.423 3.516 20 0 CHADLO C=Cc1ccc(NCCc2cccc(OCC)c2)[nH+]c1 ZINC001155871153 1132668020 /nfs/dbraw/zinc/66/80/20/1132668020.db2.gz IFJMELISGISPEX-UHFFFAOYSA-N 1 2 268.360 3.778 20 0 CHADLO CN(CCC(=O)c1cccs1)c1cccc(C2CC2)[nH+]1 ZINC001155963509 1132673591 /nfs/dbraw/zinc/67/35/91/1132673591.db2.gz GVAAMNCGQXHKBG-UHFFFAOYSA-N 1 2 286.400 3.730 20 0 CHADLO CC(C)c1cccc(Nc2ccc3c(c2)CCO3)[nH+]1 ZINC001174010090 1132676675 /nfs/dbraw/zinc/67/66/75/1132676675.db2.gz VFKYWKJJVUVOIF-UHFFFAOYSA-N 1 2 254.333 3.884 20 0 CHADLO CC(C)c1ccc(N2CCC[C@@H]2c2ccccn2)[nH+]c1 ZINC001156027631 1132677229 /nfs/dbraw/zinc/67/72/29/1132677229.db2.gz XUNIREKAOHEPOG-MRXNPFEDSA-N 1 2 267.376 3.942 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1cc2ccccc2n1C ZINC001156016534 1132678267 /nfs/dbraw/zinc/67/82/67/1132678267.db2.gz RVTXYANDLUQXCM-UHFFFAOYSA-N 1 2 269.323 3.633 20 0 CHADLO CSc1cc[nH+]c(N2CCC[C@@H]2c2ccccn2)c1 ZINC001156034007 1132679643 /nfs/dbraw/zinc/67/96/43/1132679643.db2.gz OYLCPOURNDRLDC-CQSZACIVSA-N 1 2 271.389 3.540 20 0 CHADLO Cc1cc(N2C[C@H](C)CC23CC3)[nH+]c2ccccc12 ZINC001156411775 1132691806 /nfs/dbraw/zinc/69/18/06/1132691806.db2.gz BKUGBCZVBARADA-GFCCVEGCSA-N 1 2 252.361 3.922 20 0 CHADLO Cc1cc(Cl)c(C)c(N2C[C@@H](C)CC23CC3)[nH+]1 ZINC001156421362 1132695085 /nfs/dbraw/zinc/69/50/85/1132695085.db2.gz ZWQHYCWZDHUZLK-VIFPVBQESA-N 1 2 250.773 3.731 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cncc2ccccc21 ZINC001174098911 1132715365 /nfs/dbraw/zinc/71/53/65/1132715365.db2.gz YASHAWWLEPNTTJ-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO Cc1cc(CNc2[nH+]ccc3cc(Cl)ccc32)nn1C ZINC001156947220 1132717553 /nfs/dbraw/zinc/71/75/53/1132717553.db2.gz KZSLUYWGMZAVAO-UHFFFAOYSA-N 1 2 286.766 3.542 20 0 CHADLO COCc1ccccc1Nc1cccn2cc(C)[nH+]c12 ZINC001174101923 1132718950 /nfs/dbraw/zinc/71/89/50/1132718950.db2.gz FLKCSDSURVEYQH-UHFFFAOYSA-N 1 2 267.332 3.533 20 0 CHADLO Cc1ccc(Nc2ccc(C3(C)COC3)cc2)c(C)[nH+]1 ZINC001203379642 1132721245 /nfs/dbraw/zinc/72/12/45/1132721245.db2.gz DWTFIQWOGIYJEE-UHFFFAOYSA-N 1 2 268.360 3.730 20 0 CHADLO COc1cc(Nc2ccc(C)[nH+]c2C)cc2cccnc21 ZINC001203379643 1132721580 /nfs/dbraw/zinc/72/15/80/1132721580.db2.gz DZRZPAAYCKZAAV-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CCCCCC[C@@H](C)C(=O)NCc1c[nH]c(C(C)C)[nH+]1 ZINC001157118603 1132722410 /nfs/dbraw/zinc/72/24/10/1132722410.db2.gz DCUHLBZSNSTWNV-CYBMUJFWSA-N 1 2 279.428 3.756 20 0 CHADLO CCCCCC[C@@H](C)C(=O)NCc1c[nH+]c(C(C)C)[nH]1 ZINC001157118603 1132722416 /nfs/dbraw/zinc/72/24/16/1132722416.db2.gz DCUHLBZSNSTWNV-CYBMUJFWSA-N 1 2 279.428 3.756 20 0 CHADLO CC(C)c1ccc(NC2(c3ccccn3)CC2)[nH+]c1 ZINC001157372073 1132730428 /nfs/dbraw/zinc/73/04/28/1132730428.db2.gz PLDNNMBBULPWQK-UHFFFAOYSA-N 1 2 253.349 3.701 20 0 CHADLO Cc1ncc(CNc2cc(C)c3cccc(C)c3[nH+]2)cn1 ZINC001157596116 1132736202 /nfs/dbraw/zinc/73/62/02/1132736202.db2.gz YUUVBGZPEISYOL-UHFFFAOYSA-N 1 2 278.359 3.562 20 0 CHADLO COc1cc(F)ccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001174129736 1132738087 /nfs/dbraw/zinc/73/80/87/1132738087.db2.gz IMOOALCQHYLTPK-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Cc1nc(N[C@@H](c2ccccn2)C(F)(F)F)c(C)c(C)[nH+]1 ZINC001157686951 1132739463 /nfs/dbraw/zinc/73/94/63/1132739463.db2.gz QOBMRZHMDLLMKO-LBPRGKRZSA-N 1 2 296.296 3.512 20 0 CHADLO COC1CCC(Nc2[nH+]c(C)cc(Cl)c2C)CC1 ZINC001157803235 1132742449 /nfs/dbraw/zinc/74/24/49/1132742449.db2.gz DWMLEJYSVKZKDT-UHFFFAOYSA-N 1 2 268.788 3.721 20 0 CHADLO COc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(F)c1 ZINC001174146316 1132748251 /nfs/dbraw/zinc/74/82/51/1132748251.db2.gz XRNLRBSWRCIAQP-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3cccnc32)nc(C(C)(C)C)[nH+]1 ZINC001157846483 1132748901 /nfs/dbraw/zinc/74/89/01/1132748901.db2.gz CHURBORIAWEKOR-CQSZACIVSA-N 1 2 296.418 3.967 20 0 CHADLO Cc1nc(NCCC2=CCCCC2)c2c([nH+]1)CCC2 ZINC001157948093 1132755793 /nfs/dbraw/zinc/75/57/93/1132755793.db2.gz GEZKLEBOHNLSIU-UHFFFAOYSA-N 1 2 257.381 3.576 20 0 CHADLO COc1ccc(F)c(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001174209902 1132758362 /nfs/dbraw/zinc/75/83/62/1132758362.db2.gz YQQUVYMIPGXLOV-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Cc1n[nH]c(C)c1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001174215628 1132760956 /nfs/dbraw/zinc/76/09/56/1132760956.db2.gz ZAVZCHSXJMUQOE-UHFFFAOYSA-N 1 2 270.380 3.760 20 0 CHADLO COc1c[nH+]c(NCc2c(Cl)cncc2Cl)c(C)c1 ZINC001158301957 1132769175 /nfs/dbraw/zinc/76/91/75/1132769175.db2.gz VBSFRRGVEBUBGJ-UHFFFAOYSA-N 1 2 298.173 3.713 20 0 CHADLO COc1ccc2[nH+]c(NCCc3cncs3)c(C)cc2c1 ZINC001158875620 1132795896 /nfs/dbraw/zinc/79/58/96/1132795896.db2.gz VVOJDHFECMPFKQ-UHFFFAOYSA-N 1 2 299.399 3.663 20 0 CHADLO Cc1c(C)n(-c2ccc3[nH+]cn(C)c3c2)c2c1cccc2N ZINC001174348881 1132807803 /nfs/dbraw/zinc/80/78/03/1132807803.db2.gz AWLKMCTYSIZCHF-UHFFFAOYSA-N 1 2 290.370 3.716 20 0 CHADLO Cc1c(Nc2ccc(Oc3cc[nH+]c(C)c3)cc2)cnn1C ZINC001174392075 1132809389 /nfs/dbraw/zinc/80/93/89/1132809389.db2.gz QYHJQAVNKIGQIA-UHFFFAOYSA-N 1 2 294.358 3.968 20 0 CHADLO COc1ccc[nH+]c1NCc1nc(-c2ccccc2)cs1 ZINC001159210556 1132817199 /nfs/dbraw/zinc/81/71/99/1132817199.db2.gz JEJFVXNPXJOTMG-UHFFFAOYSA-N 1 2 297.383 3.826 20 0 CHADLO CNc1ccc(Nc2cc(Cl)cc(C)c2F)c[nH+]1 ZINC001159253973 1132819505 /nfs/dbraw/zinc/81/95/05/1132819505.db2.gz NSUUOYAXAADMKI-UHFFFAOYSA-N 1 2 265.719 3.968 20 0 CHADLO Nc1ccc(Nc2cc(-c3ccccc3)cnc2F)c[nH+]1 ZINC001159282129 1132823176 /nfs/dbraw/zinc/82/31/76/1132823176.db2.gz OFBJFQYZTLTWNS-UHFFFAOYSA-N 1 2 280.306 3.609 20 0 CHADLO CC(C)Oc1c(F)cc(Nc2ccc(N)[nH+]c2)cc1Cl ZINC001159282123 1132823434 /nfs/dbraw/zinc/82/34/34/1132823434.db2.gz OAXUZFUMKDLWCZ-UHFFFAOYSA-N 1 2 295.745 3.987 20 0 CHADLO Cc1cc(O)cnc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174380832 1132829066 /nfs/dbraw/zinc/82/90/66/1132829066.db2.gz MDLWZDQPFZLKCC-UHFFFAOYSA-N 1 2 282.347 3.770 20 0 CHADLO CCc1nccnc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174382111 1132829426 /nfs/dbraw/zinc/82/94/26/1132829426.db2.gz YRCCLCZSOGZQJO-UHFFFAOYSA-N 1 2 281.363 3.713 20 0 CHADLO CSc1cc(Nc2c(Cl)cccc2CO)cc[nH+]1 ZINC001159371682 1132834914 /nfs/dbraw/zinc/83/49/14/1132834914.db2.gz KCDHKSQHXMOFIO-UHFFFAOYSA-N 1 2 280.780 3.693 20 0 CHADLO COc1cc(C)c(Nc2cc[nH+]c3[nH]ccc32)cc1OC ZINC001174411094 1132849140 /nfs/dbraw/zinc/84/91/40/1132849140.db2.gz IZWRROFABSMSHB-UHFFFAOYSA-N 1 2 283.331 3.584 20 0 CHADLO Cc1nc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2o1 ZINC001174411794 1132850883 /nfs/dbraw/zinc/85/08/83/1132850883.db2.gz IQJVKDMPGKXYJZ-UHFFFAOYSA-N 1 2 264.288 3.708 20 0 CHADLO Clc1ccnc(CNc2cc(-c3ccccc3)cc[nH+]2)n1 ZINC001159476822 1132851349 /nfs/dbraw/zinc/85/13/49/1132851349.db2.gz KIBUNRXEWVJEBQ-UHFFFAOYSA-N 1 2 296.761 3.804 20 0 CHADLO CCC(=O)c1cccc(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174415962 1132855087 /nfs/dbraw/zinc/85/50/87/1132855087.db2.gz YKIBOFNJCATWRQ-UHFFFAOYSA-N 1 2 265.316 3.851 20 0 CHADLO COc1cc(Nc2cccc(N3CCCC3)c2)cc(C)[nH+]1 ZINC001174487289 1132859029 /nfs/dbraw/zinc/85/90/29/1132859029.db2.gz QHGJGVVXWRFSME-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO CNc1ccc(Nc2cc(C)ccc2Br)c[nH+]1 ZINC001159566279 1132864405 /nfs/dbraw/zinc/86/44/05/1132864405.db2.gz NWNTWRDFKLGNRV-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO Cc1sccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001174460319 1132870529 /nfs/dbraw/zinc/87/05/29/1132870529.db2.gz NZKUXGADZGOMFN-UHFFFAOYSA-N 1 2 259.378 3.795 20 0 CHADLO CCSc1cc[nH+]c(NCOc2ccccc2)c1 ZINC001159706103 1132895820 /nfs/dbraw/zinc/89/58/20/1132895820.db2.gz NZTOHKXLNFAZBQ-UHFFFAOYSA-N 1 2 260.362 3.642 20 0 CHADLO COc1cc(Nc2ccc[nH+]c2N2CCCCC2)ccc1O ZINC001174540478 1132900699 /nfs/dbraw/zinc/90/06/99/1132900699.db2.gz NJRAPYVGYGKQRT-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnc(N)c(Cl)c3)ccc12 ZINC001159736410 1132901000 /nfs/dbraw/zinc/90/10/00/1132901000.db2.gz NANMRMATLDIIIL-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO c1n[nH]c2cc(Nc3cccc4cc[nH+]cc43)ccc12 ZINC001174502096 1132903173 /nfs/dbraw/zinc/90/31/73/1132903173.db2.gz SREJLQOADUWPEB-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO c1[nH]nc2cc(Nc3cccc4cc[nH+]cc43)ccc12 ZINC001174502096 1132903178 /nfs/dbraw/zinc/90/31/78/1132903178.db2.gz SREJLQOADUWPEB-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO CNC(=O)c1ccc(Nc2c[nH+]c(CC(C)C)cc2C)cc1 ZINC001174511178 1132906691 /nfs/dbraw/zinc/90/66/91/1132906691.db2.gz VMWQWNUDAFDCCB-UHFFFAOYSA-N 1 2 297.402 3.692 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(N2CCCCC2)nc1 ZINC001174523218 1132913226 /nfs/dbraw/zinc/91/32/26/1132913226.db2.gz JQLZEMKZKAAEET-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO Oc1c(F)cccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174524248 1132913256 /nfs/dbraw/zinc/91/32/56/1132913256.db2.gz JWUKAXXPIMFOMU-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO CCn1cc(Nc2ccc3c(C)cc[nH+]c3c2)cn1 ZINC001174572679 1132914509 /nfs/dbraw/zinc/91/45/09/1132914509.db2.gz YVQHGUZTAQLRPS-UHFFFAOYSA-N 1 2 252.321 3.503 20 0 CHADLO COc1cc(Nc2ccc(C)[nH+]c2C)cc(F)c1F ZINC001174583013 1132916916 /nfs/dbraw/zinc/91/69/16/1132916916.db2.gz HHPCTZWNTVWBQU-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)[nH+]cn3C)cc1C ZINC001174633701 1132935900 /nfs/dbraw/zinc/93/59/00/1132935900.db2.gz MUDZKZJKJMZXFF-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO COc1cc(Nc2cccc3[nH]ccc32)cc(C)[nH+]1 ZINC001174645142 1132937826 /nfs/dbraw/zinc/93/78/26/1132937826.db2.gz FXMVLMOKPNKPQX-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO CCc1cccc(Nc2ccc3c(c2)CCCO3)[nH+]1 ZINC001174719837 1132963278 /nfs/dbraw/zinc/96/32/78/1132963278.db2.gz CUXKADFXNHFYFK-UHFFFAOYSA-N 1 2 254.333 3.713 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3ncc(F)cc3C)c[nH+]c12 ZINC001160683751 1132978349 /nfs/dbraw/zinc/97/83/49/1132978349.db2.gz REJXXOGCVPRXRO-LBPRGKRZSA-N 1 2 284.338 3.658 20 0 CHADLO CC[C@H](Nc1cc(N)nc[nH+]1)c1cccc(C(F)(F)F)c1 ZINC001161077640 1132993446 /nfs/dbraw/zinc/99/34/46/1132993446.db2.gz ISZPGGUZDVWYGS-NSHDSACASA-N 1 2 296.296 3.641 20 0 CHADLO Nc1ccc(C(F)(F)F)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213030934 1132995341 /nfs/dbraw/zinc/99/53/41/1132995341.db2.gz YKBAWYSSCFYXFC-UHFFFAOYSA-N 1 2 292.264 3.908 20 0 CHADLO CCC(=O)Nc1cccc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213031475 1132995840 /nfs/dbraw/zinc/99/58/40/1132995840.db2.gz BOIORLNBRDJMQL-UHFFFAOYSA-N 1 2 280.331 3.655 20 0 CHADLO c1ncc(-c2cccc(Nc3cccc4[nH+]c[nH]c43)c2)o1 ZINC001213031556 1132996728 /nfs/dbraw/zinc/99/67/28/1132996728.db2.gz GDQMCABPXWKQPQ-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO COc1cccc(Nc2cccc3[nH+]c[nH]c32)c1C ZINC001213031615 1132996769 /nfs/dbraw/zinc/99/67/69/1132996769.db2.gz JJOSKFLNLZGCJL-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO Cn1ccc2cc(Nc3cccc4[nH+]c[nH]c43)ccc21 ZINC001213031944 1132997481 /nfs/dbraw/zinc/99/74/81/1132997481.db2.gz RPQBGCHTFOLCJQ-UHFFFAOYSA-N 1 2 262.316 3.798 20 0 CHADLO CSCc1ccc(NC[C@H]2CCOC(C)(C)C2)[nH+]c1 ZINC001161430754 1133001803 /nfs/dbraw/zinc/00/18/03/1133001803.db2.gz YVCWTSLGEKQYII-LBPRGKRZSA-N 1 2 280.437 3.562 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1nccc2c(F)cccc21 ZINC001161564992 1133006367 /nfs/dbraw/zinc/00/63/67/1133006367.db2.gz IHZXTXQKBXGLPF-UHFFFAOYSA-N 1 2 284.338 3.763 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2cccc(F)c2n1 ZINC001161569033 1133006996 /nfs/dbraw/zinc/00/69/96/1133006996.db2.gz GLPIQWDMJXUFQD-UHFFFAOYSA-N 1 2 284.338 3.763 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCC(F)(F)F)c1 ZINC001161632810 1133012252 /nfs/dbraw/zinc/01/22/52/1133012252.db2.gz NNXAPVPDVCWZQZ-UHFFFAOYSA-N 1 2 260.303 3.815 20 0 CHADLO CCOc1cccc(F)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213034635 1133016929 /nfs/dbraw/zinc/01/69/29/1133016929.db2.gz UTPOIRISCAUYRK-UHFFFAOYSA-N 1 2 271.295 3.844 20 0 CHADLO COC[C@H](Nc1cc(C)c2cccc(C)c2[nH+]1)C1CC1 ZINC001161719706 1133020358 /nfs/dbraw/zinc/02/03/58/1133020358.db2.gz BSDXDMNARWAXLO-HNNXBMFYSA-N 1 2 270.376 3.689 20 0 CHADLO c1[nH+]c2cc(NC3CCOCC3)ccc2n1-c1ccccc1 ZINC001161865368 1133035879 /nfs/dbraw/zinc/03/58/79/1133035879.db2.gz OEIUJZBIWYKXNU-UHFFFAOYSA-N 1 2 293.370 3.616 20 0 CHADLO COc1ccc2cc(NCc3ncccc3C)[nH+]cc2c1 ZINC001161880342 1133037453 /nfs/dbraw/zinc/03/74/53/1133037453.db2.gz BFEDHCVFHCQTNA-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CC(C)(CNc1cc(N2CCCCC2)nc[nH+]1)CC1CC1 ZINC001162125805 1133052096 /nfs/dbraw/zinc/05/20/96/1133052096.db2.gz WFOJTCSSBYZZAO-UHFFFAOYSA-N 1 2 288.439 3.705 20 0 CHADLO CC(C)(CNc1cc(N2CCCCC2)[nH+]cn1)CC1CC1 ZINC001162125805 1133052100 /nfs/dbraw/zinc/05/21/00/1133052100.db2.gz WFOJTCSSBYZZAO-UHFFFAOYSA-N 1 2 288.439 3.705 20 0 CHADLO Cc1nc(NC2C[NH+](C(C)(C)C)C2)c(Cl)cc1Cl ZINC001162226512 1133060614 /nfs/dbraw/zinc/06/06/14/1133060614.db2.gz UTBNEFVYGQLDNZ-UHFFFAOYSA-N 1 2 288.222 3.591 20 0 CHADLO Fc1ccc2c[nH+]c(NCCC3CCOCC3)cc2c1 ZINC001162218216 1133061435 /nfs/dbraw/zinc/06/14/35/1133061435.db2.gz PHXFTBJWLXLBCS-UHFFFAOYSA-N 1 2 274.339 3.603 20 0 CHADLO CCc1cc(N2CCC[C@@H]2C2CCCC2)nc(CC)[nH+]1 ZINC001162227360 1133061815 /nfs/dbraw/zinc/06/18/15/1133061815.db2.gz WUPKIZBDNIZHCB-OAHLLOKOSA-N 1 2 273.424 3.760 20 0 CHADLO FC(F)(F)c1ccncc1CNc1cc2cc[nH]c2c[nH+]1 ZINC001162286865 1133067829 /nfs/dbraw/zinc/06/78/29/1133067829.db2.gz GGODSODMINXSOR-UHFFFAOYSA-N 1 2 292.264 3.589 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1C[C@@]12CCCOC2 ZINC001162371454 1133072868 /nfs/dbraw/zinc/07/28/68/1133072868.db2.gz SAWVLYSYWYQWEF-DOTOQJQBSA-N 1 2 268.360 3.524 20 0 CHADLO CC(C)([NH2+]c1cc2c3c(c1)CCCN3CCC2)C1CC1 ZINC001162522513 1133086585 /nfs/dbraw/zinc/08/65/85/1133086585.db2.gz XBYXBIUDFIBNHS-UHFFFAOYSA-N 1 2 270.420 3.986 20 0 CHADLO C[C@@H]1CCCC[C@@H]1CNc1cc(C2(C)OCCO2)cc[nH+]1 ZINC001162524490 1133087853 /nfs/dbraw/zinc/08/78/53/1133087853.db2.gz NGFBLCFKJNSDDP-ZIAGYGMSSA-N 1 2 290.407 3.539 20 0 CHADLO Fc1cccc2nc(Oc3cccc(-n4cc[nH+]c4)c3)oc21 ZINC001162567665 1133092217 /nfs/dbraw/zinc/09/22/17/1133092217.db2.gz GENSMVHIFSOWMB-UHFFFAOYSA-N 1 2 295.273 3.945 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1COc2cnccc21 ZINC001162571902 1133093026 /nfs/dbraw/zinc/09/30/26/1133093026.db2.gz LRFIWSFYPXVZPV-AWEZNQCLSA-N 1 2 291.354 3.526 20 0 CHADLO CN1CCOc2cc(Nc3[nH+]cccc3C3CC3)ccc21 ZINC001213042427 1133096857 /nfs/dbraw/zinc/09/68/57/1133096857.db2.gz MEQXOKCDPWCCDK-UHFFFAOYSA-N 1 2 281.359 3.531 20 0 CHADLO COc1c[nH+]c(N[C@H]2CCc3ccc(C)cc32)c(C)c1 ZINC001162824280 1133115261 /nfs/dbraw/zinc/11/52/61/1133115261.db2.gz RKJCZZMPJPGWCV-INIZCTEOSA-N 1 2 268.360 3.806 20 0 CHADLO CC(C)c1cc(N(C)CCC2CC2)nc(C(C)C)[nH+]1 ZINC001162856919 1133117419 /nfs/dbraw/zinc/11/74/19/1133117419.db2.gz XWMRMTBHWRLTAQ-UHFFFAOYSA-N 1 2 261.413 3.960 20 0 CHADLO CS[C@H]1CCCCN(c2cc(C)[nH+]c(C3CCC3)n2)C1 ZINC001162861977 1133117697 /nfs/dbraw/zinc/11/76/97/1133117697.db2.gz OLARHJOVHILUQJ-AWEZNQCLSA-N 1 2 291.464 3.774 20 0 CHADLO Cc1cc(N(C)C[C@@H]2CC=CCC2)nc(C2CCC2)[nH+]1 ZINC001162899831 1133120443 /nfs/dbraw/zinc/12/04/43/1133120443.db2.gz KCDQPZPJACBXJU-CQSZACIVSA-N 1 2 271.408 3.845 20 0 CHADLO CCN(CC)c1cc(N[C@H](c2cccnc2)C(C)C)[nH+]cn1 ZINC001163060231 1133128730 /nfs/dbraw/zinc/12/87/30/1133128730.db2.gz IBJJRLXAEFJZGL-KRWDZBQOSA-N 1 2 299.422 3.527 20 0 CHADLO CCN(CC)c1cc(N[C@H](c2cccnc2)C(C)C)nc[nH+]1 ZINC001163060231 1133128733 /nfs/dbraw/zinc/12/87/33/1133128733.db2.gz IBJJRLXAEFJZGL-KRWDZBQOSA-N 1 2 299.422 3.527 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@H](c1cccnc1)C(C)C ZINC001163060512 1133128994 /nfs/dbraw/zinc/12/89/94/1133128994.db2.gz LRTMHBLHHDRUQB-HNNXBMFYSA-N 1 2 271.364 3.603 20 0 CHADLO Fc1ccc2ccc(NCc3ccc4[nH+]ccn4c3)nc2c1 ZINC001163141053 1133131331 /nfs/dbraw/zinc/13/13/31/1133131331.db2.gz RTECBHHITBQQHF-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO CCc1cc(N[C@@H]2C[C@H]2c2cccc(F)c2)nc(CC)[nH+]1 ZINC001163197165 1133136138 /nfs/dbraw/zinc/13/61/38/1133136138.db2.gz BEZROPKRHZQQJU-LSDHHAIUSA-N 1 2 285.366 3.709 20 0 CHADLO COc1c[nH+]c(N[C@H](C)c2cccc(F)c2OC)c(C)c1 ZINC001163335809 1133143841 /nfs/dbraw/zinc/14/38/41/1133143841.db2.gz XZLJBLPNVGMMFC-LLVKDONJSA-N 1 2 290.338 3.719 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@@H]3C[C@@H]32)nc(C2CCC2)[nH+]1 ZINC001163472638 1133151756 /nfs/dbraw/zinc/15/17/56/1133151756.db2.gz KLWULMOLNDCWEY-HZSPNIEDSA-N 1 2 257.381 3.653 20 0 CHADLO COC(=O)[C@@H]1CCc2cc(Nc3ccc(C)[nH+]c3C)ccc21 ZINC001203381144 1133156018 /nfs/dbraw/zinc/15/60/18/1133156018.db2.gz PZZYHMHBTDTBHV-MRXNPFEDSA-N 1 2 296.370 3.645 20 0 CHADLO CCSc1cc[nH+]c(N2[C@H](C)CCC[C@H]2C)c1 ZINC001163550853 1133158581 /nfs/dbraw/zinc/15/85/81/1133158581.db2.gz XUWBQGBTUWHEKH-VXGBXAGGSA-N 1 2 250.411 3.961 20 0 CHADLO Cc1cc(N(CC(C)C)CC(C)C)nc(C2CC2)[nH+]1 ZINC001163579714 1133160660 /nfs/dbraw/zinc/16/06/60/1133160660.db2.gz QKHIEWYJZDOFKZ-UHFFFAOYSA-N 1 2 261.413 3.781 20 0 CHADLO CCc1cc(NCc2cccc(C)c2OC)nc(CC)[nH+]1 ZINC001163617598 1133162937 /nfs/dbraw/zinc/16/29/37/1133162937.db2.gz JHAWQJNPIDNIBX-UHFFFAOYSA-N 1 2 285.391 3.531 20 0 CHADLO Cc1cc(C)cc(CNc2[nH+]c(C)nc3c2ccn3C)c1 ZINC001163747488 1133171427 /nfs/dbraw/zinc/17/14/27/1133171427.db2.gz IXLRQLZRYVQJGQ-UHFFFAOYSA-N 1 2 280.375 3.506 20 0 CHADLO O=C1CCN(c2[nH+]ccc3ccccc32)[C@@H]2CCCC[C@H]12 ZINC001163792352 1133175513 /nfs/dbraw/zinc/17/55/13/1133175513.db2.gz VERLTXRYHDJONZ-JKSUJKDBSA-N 1 2 280.371 3.573 20 0 CHADLO [NH2+]=C(Nc1ncnc2[nH]ccc21)C12CC3CC(CC(C3)C1)C2 ZINC001163840630 1133178357 /nfs/dbraw/zinc/17/83/57/1133178357.db2.gz CIDMAONPDFNKMO-UHFFFAOYSA-N 1 2 295.390 3.563 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C)n1)c1cc(C)ccc1C ZINC001163921006 1133185036 /nfs/dbraw/zinc/18/50/36/1133185036.db2.gz WPVYIJNVMIXFRB-HNNXBMFYSA-N 1 2 255.365 3.965 20 0 CHADLO CC(=O)c1cc(Nc2ccc(-n3cc[nH+]c3)cc2)cs1 ZINC001214780654 1133194066 /nfs/dbraw/zinc/19/40/66/1133194066.db2.gz MDXWDTRQMMGJTJ-UHFFFAOYSA-N 1 2 283.356 3.880 20 0 CHADLO Cc1nonc1CNc1cc(C)c2cccc(C(C)C)c2[nH+]1 ZINC001164057548 1133195329 /nfs/dbraw/zinc/19/53/29/1133195329.db2.gz OHXMRYMBDDXZNU-UHFFFAOYSA-N 1 2 296.374 3.970 20 0 CHADLO C[C@@H]1CCN(c2ccc(C(C)(C)C)c[nH+]2)C[C@H]1F ZINC001164178380 1133203222 /nfs/dbraw/zinc/20/32/22/1133203222.db2.gz DLDWMYVKGPZFES-DGCLKSJQSA-N 1 2 250.361 3.563 20 0 CHADLO Oc1ccc([NH2+]CCCOc2ccc(Cl)cc2)cc1 ZINC001164237439 1133210038 /nfs/dbraw/zinc/21/00/38/1133210038.db2.gz YOVDWSRDBQHBQD-UHFFFAOYSA-N 1 2 277.751 3.927 20 0 CHADLO Cc1cc(N2CC(C)(C)C[C@]2(C)CO)[nH+]c2ccccc12 ZINC001164357655 1133215743 /nfs/dbraw/zinc/21/57/43/1133215743.db2.gz QFBHPULBCQPJMQ-GOSISDBHSA-N 1 2 284.403 3.531 20 0 CHADLO CCc1ccc(C[N@@H+]2CCC[C@H]2c2nccs2)o1 ZINC001204394393 1133227666 /nfs/dbraw/zinc/22/76/66/1133227666.db2.gz CSIDEMMUSLMFAD-ZDUSSCGKSA-N 1 2 262.378 3.636 20 0 CHADLO CCc1ccc(C[N@H+]2CCC[C@H]2c2nccs2)o1 ZINC001204394393 1133227673 /nfs/dbraw/zinc/22/76/73/1133227673.db2.gz CSIDEMMUSLMFAD-ZDUSSCGKSA-N 1 2 262.378 3.636 20 0 CHADLO c1cc2c3c([nH]c2c(N2CCC24CCCC4)[nH+]1)CCC3 ZINC001165281331 1133236858 /nfs/dbraw/zinc/23/68/58/1133236858.db2.gz QBZUXYGSEGXHER-UHFFFAOYSA-N 1 2 267.376 3.575 20 0 CHADLO COc1cc(C)c[nH+]c1N1CCC2(CCCC2)CC1 ZINC001165323042 1133238970 /nfs/dbraw/zinc/23/89/70/1133238970.db2.gz JOIWLWQCBNDBDY-UHFFFAOYSA-N 1 2 260.381 3.559 20 0 CHADLO COCC(C)(C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001165983709 1133256661 /nfs/dbraw/zinc/25/66/61/1133256661.db2.gz NMYVHFONEOBYEE-UHFFFAOYSA-N 1 2 265.401 3.560 20 0 CHADLO Cc1cc(N(C)CCCc2ccccc2)nc(C(C)C)[nH+]1 ZINC001166556524 1133270432 /nfs/dbraw/zinc/27/04/32/1133270432.db2.gz MKXRXZTUMYLYEC-UHFFFAOYSA-N 1 2 283.419 3.977 20 0 CHADLO CCCc1cc(N2CCCC[C@H]2c2cccnc2)nc(C)[nH+]1 ZINC001166575377 1133271811 /nfs/dbraw/zinc/27/18/11/1133271811.db2.gz AZGRDMFLXMJJGR-KRWDZBQOSA-N 1 2 296.418 3.864 20 0 CHADLO CN(c1ccc(Cl)c(N)[nH+]1)C12CC3CC(CC(C3)C1)C2 ZINC001166592605 1133273467 /nfs/dbraw/zinc/27/34/67/1133273467.db2.gz DSKUCEKDZUBJEI-UHFFFAOYSA-N 1 2 291.826 3.722 20 0 CHADLO CCSc1cc[nH+]c(N(C)CCc2cccs2)c1 ZINC001166681167 1133280985 /nfs/dbraw/zinc/28/09/85/1133280985.db2.gz YGNCJXQHHMNKNC-UHFFFAOYSA-N 1 2 278.446 3.934 20 0 CHADLO CC(C)c1ccc(N2CCc3ccsc3C2)[nH+]c1 ZINC001166682416 1133281543 /nfs/dbraw/zinc/28/15/43/1133281543.db2.gz DOWIRZTXUVWJDC-UHFFFAOYSA-N 1 2 258.390 3.829 20 0 CHADLO Cc1nc(N(C)Cc2cccc3cccnc32)c(C)c(C)[nH+]1 ZINC001166688193 1133282230 /nfs/dbraw/zinc/28/22/30/1133282230.db2.gz QWAGFEFKXMSHBS-UHFFFAOYSA-N 1 2 292.386 3.586 20 0 CHADLO Cc1cccc2c(C)cc(N3CCCCC34COC4)[nH+]c12 ZINC001166691602 1133282305 /nfs/dbraw/zinc/28/23/05/1133282305.db2.gz FLGJTBCRUPXTST-UHFFFAOYSA-N 1 2 282.387 3.611 20 0 CHADLO COc1cc(C)c[nH+]c1N(C)Cc1cccc2cccnc21 ZINC001166687978 1133282912 /nfs/dbraw/zinc/28/29/12/1133282912.db2.gz LOANSHWQIXOGRC-UHFFFAOYSA-N 1 2 293.370 3.583 20 0 CHADLO COc1ccc2cc(NCCc3ccsc3)[nH+]cc2c1 ZINC001166784315 1133286958 /nfs/dbraw/zinc/28/69/58/1133286958.db2.gz ULKYBMJBPDNYGJ-UHFFFAOYSA-N 1 2 284.384 3.960 20 0 CHADLO COC(C)(C)[C@@H]1CCCN1c1cc2ccccc2c[nH+]1 ZINC001166791748 1133287007 /nfs/dbraw/zinc/28/70/07/1133287007.db2.gz IIZXUSIUFMKJDF-HNNXBMFYSA-N 1 2 270.376 3.629 20 0 CHADLO COC(=O)Cc1ccccc1Nc1[nH+]cccc1C(C)C ZINC001213058678 1133288844 /nfs/dbraw/zinc/28/88/44/1133288844.db2.gz ZUMHBPPYCWVROI-UHFFFAOYSA-N 1 2 284.359 3.664 20 0 CHADLO CCc1cn(CC(=O)c2ccc(C(C)(C)C)cc2)c[nH+]1 ZINC001166822546 1133290570 /nfs/dbraw/zinc/29/05/70/1133290570.db2.gz MLNJMAWNCUBUAA-UHFFFAOYSA-N 1 2 270.376 3.626 20 0 CHADLO CCc1cn(Cc2nc(C)c(C(C)(C)C)s2)c[nH+]1 ZINC001166823520 1133291224 /nfs/dbraw/zinc/29/12/24/1133291224.db2.gz VYLVMSGRWZNIQO-UHFFFAOYSA-N 1 2 263.410 3.556 20 0 CHADLO Cc1nc(N2CCC[C@@H]2c2nccs2)cc(C(C)C)[nH+]1 ZINC001167044429 1133301976 /nfs/dbraw/zinc/30/19/76/1133301976.db2.gz ZDZHRQOAZPTZAS-CYBMUJFWSA-N 1 2 288.420 3.706 20 0 CHADLO Cc1ccc(Nc2cc(-c3ccccc3)nn2C)c(C)[nH+]1 ZINC001203367837 1133318367 /nfs/dbraw/zinc/31/83/67/1133318367.db2.gz PXRDOGNRZBAOBM-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO Cc1ccc(Nc2cc(OC(F)(F)F)ccn2)c(C)[nH+]1 ZINC001203373232 1133319741 /nfs/dbraw/zinc/31/97/41/1133319741.db2.gz JOSQGHLNLDLHFG-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1ccc(Nc2ccc3nc(C(C)(C)C)nn3c2)c(C)[nH+]1 ZINC001203373173 1133319842 /nfs/dbraw/zinc/31/98/42/1133319842.db2.gz INAGTWZFPIOAAC-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO Cc1ccc(Nc2cn3c(cccc3Cl)n2)c(C)[nH+]1 ZINC001203370311 1133320178 /nfs/dbraw/zinc/32/01/78/1133320178.db2.gz ZPNIIJMWRFYHJC-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO CNc1ccc(Nc2cc(Cl)cc(Cl)c2O)c[nH+]1 ZINC001203457849 1133329467 /nfs/dbraw/zinc/32/94/67/1133329467.db2.gz CBWPRKGLFNZDPM-UHFFFAOYSA-N 1 2 284.146 3.879 20 0 CHADLO CNc1ccc(Nc2c(F)cccc2Cl)c[nH+]1 ZINC001203456561 1133329484 /nfs/dbraw/zinc/32/94/84/1133329484.db2.gz JZNQZLNAHQSKQT-UHFFFAOYSA-N 1 2 251.692 3.659 20 0 CHADLO CNc1ccc(Nc2ccc(Cl)cc2OC)c[nH+]1 ZINC001203456563 1133329823 /nfs/dbraw/zinc/32/98/23/1133329823.db2.gz KCHACFHUPNXCTG-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO CNc1ccc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001203456318 1133329949 /nfs/dbraw/zinc/32/99/49/1133329949.db2.gz CAMPDYMKNIWNOG-UHFFFAOYSA-N 1 2 282.391 3.857 20 0 CHADLO CNc1ccc(Nc2ccc3sc(C)nc3c2)c[nH+]1 ZINC001203454625 1133329993 /nfs/dbraw/zinc/32/99/93/1133329993.db2.gz HBTLAEZUUMPYKA-UHFFFAOYSA-N 1 2 270.361 3.785 20 0 CHADLO CNc1ccc(Nc2cc(F)c(OC(C)C)c(F)c2)c[nH+]1 ZINC001203462498 1133330992 /nfs/dbraw/zinc/33/09/92/1133330992.db2.gz VAPRCCDWSBFWST-UHFFFAOYSA-N 1 2 293.317 3.932 20 0 CHADLO Oc1ccc(C[NH2+]Cc2cccc(O)c2Cl)cc1Cl ZINC001203559099 1133340925 /nfs/dbraw/zinc/34/09/25/1133340925.db2.gz CESMKFCZCNDBAT-UHFFFAOYSA-N 1 2 298.169 3.694 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(C)c(C)nc2Cl)c1 ZINC001203657572 1133349434 /nfs/dbraw/zinc/34/94/34/1133349434.db2.gz PFOSWULUEYHSEE-UHFFFAOYSA-N 1 2 262.744 3.502 20 0 CHADLO Cc1ccc(-n2nccc2Nc2cc(C)c[nH+]c2C)cc1 ZINC001203658021 1133349513 /nfs/dbraw/zinc/34/95/13/1133349513.db2.gz USTYPCKEQSVRNK-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(F)cnc2Cl)c1 ZINC001203656003 1133349679 /nfs/dbraw/zinc/34/96/79/1133349679.db2.gz HBIHAOQJOGHMRY-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO COC(=O)c1ccc(Cl)c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203660104 1133349726 /nfs/dbraw/zinc/34/97/26/1133349726.db2.gz CGJQXPXVZVJKJL-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO CCOC(=O)Cc1ccc(Nc2cc(C)c[nH+]c2C)cc1 ZINC001203663034 1133350002 /nfs/dbraw/zinc/35/00/02/1133350002.db2.gz FKEVUMXCAVBXHT-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)CCCC3=O)c1 ZINC001203666760 1133350655 /nfs/dbraw/zinc/35/06/55/1133350655.db2.gz FWVSGHQNKJFZQL-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Cl)c(N)cc2C)c1 ZINC001203663391 1133350752 /nfs/dbraw/zinc/35/07/52/1133350752.db2.gz JKCSPVLZMPLBNJ-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(C(=O)NC(C)C)cc2)c1 ZINC001203663848 1133350868 /nfs/dbraw/zinc/35/08/68/1133350868.db2.gz XBPTYFQUNLWWJN-UHFFFAOYSA-N 1 2 283.375 3.580 20 0 CHADLO Cc1c2ccc(Nc3cc(C)c[nH+]c3C)cc2nn1C ZINC001203663883 1133351052 /nfs/dbraw/zinc/35/10/52/1133351052.db2.gz XVLPTBHZOOMWRA-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO COc1nc(C)ncc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203717817 1133358428 /nfs/dbraw/zinc/35/84/28/1133358428.db2.gz MPCQDPCUNIXDOA-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO c1nscc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203719495 1133358926 /nfs/dbraw/zinc/35/89/26/1133358926.db2.gz YRFOTXXABLBBFV-UHFFFAOYSA-N 1 2 259.378 3.877 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)cc3[nH]ccc32)c[nH+]1 ZINC001203735079 1133362185 /nfs/dbraw/zinc/36/21/85/1133362185.db2.gz XSRGTAWONLSBAV-UHFFFAOYSA-N 1 2 284.338 3.902 20 0 CHADLO C/C(=C\c1ccc(F)cc1)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC001276418352 1133365435 /nfs/dbraw/zinc/36/54/35/1133365435.db2.gz LEPMRHRBRRRESM-XYOKQWHBSA-N 1 2 298.361 3.557 20 0 CHADLO Cc1cc(CNC(=O)CCCCCC(C)C)cc(C)[nH+]1 ZINC001276418682 1133366872 /nfs/dbraw/zinc/36/68/72/1133366872.db2.gz ONBCWSFOLKIZJK-UHFFFAOYSA-N 1 2 276.424 3.921 20 0 CHADLO FC(F)(F)c1cccc(C[N@@H+]2CCc3cnccc3C2)c1 ZINC001203885524 1133380212 /nfs/dbraw/zinc/38/02/12/1133380212.db2.gz QCLXSDVSEMRGOX-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc(C[N@H+]2CCc3cnccc3C2)c1 ZINC001203885524 1133380218 /nfs/dbraw/zinc/38/02/18/1133380218.db2.gz QCLXSDVSEMRGOX-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc(Cl)cc2)C[C@H]1F ZINC001203919237 1133384887 /nfs/dbraw/zinc/38/48/87/1133384887.db2.gz RSPAYDBJLAZRCP-OLZOCXBDSA-N 1 2 259.727 3.612 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1F ZINC001203919237 1133384892 /nfs/dbraw/zinc/38/48/92/1133384892.db2.gz RSPAYDBJLAZRCP-OLZOCXBDSA-N 1 2 259.727 3.612 20 0 CHADLO c1cc(C[NH+](Cc2cccnc2)Cc2cccnc2)cs1 ZINC001204038917 1133402491 /nfs/dbraw/zinc/40/24/91/1133402491.db2.gz BTDJUOUXBHMCTA-UHFFFAOYSA-N 1 2 295.411 3.741 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1c[nH]c2ccccc12 ZINC001204048213 1133403376 /nfs/dbraw/zinc/40/33/76/1133403376.db2.gz MJSOOZPCMSMHNJ-CYBMUJFWSA-N 1 2 265.360 3.546 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1c[nH]c2ccccc12 ZINC001204048213 1133403380 /nfs/dbraw/zinc/40/33/80/1133403380.db2.gz MJSOOZPCMSMHNJ-CYBMUJFWSA-N 1 2 265.360 3.546 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@@H+]1Cc1ccncc1 ZINC001204082364 1133406490 /nfs/dbraw/zinc/40/64/90/1133406490.db2.gz YISHIMVKYFIPON-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@H+]1Cc1ccncc1 ZINC001204082364 1133406493 /nfs/dbraw/zinc/40/64/93/1133406493.db2.gz YISHIMVKYFIPON-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO CSc1ccc(C[N@@H+]2CCCC(F)(F)[C@H](F)C2)cc1 ZINC001204138542 1133410370 /nfs/dbraw/zinc/41/03/70/1133410370.db2.gz LXWXASUBRGHEJJ-CYBMUJFWSA-N 1 2 289.366 3.978 20 0 CHADLO CSc1ccc(C[N@H+]2CCCC(F)(F)[C@H](F)C2)cc1 ZINC001204138542 1133410373 /nfs/dbraw/zinc/41/03/73/1133410373.db2.gz LXWXASUBRGHEJJ-CYBMUJFWSA-N 1 2 289.366 3.978 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1cc(F)ccc1F)C2 ZINC001204214027 1133418970 /nfs/dbraw/zinc/41/89/70/1133418970.db2.gz AJYLZQXZEUUALP-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1cc(F)ccc1F)C2 ZINC001204214027 1133418975 /nfs/dbraw/zinc/41/89/75/1133418975.db2.gz AJYLZQXZEUUALP-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Fc1ccc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)c(F)c1 ZINC001204212169 1133419105 /nfs/dbraw/zinc/41/91/05/1133419105.db2.gz CDTXRMXEBKDECN-KRWDZBQOSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc(C[N@H+]2CCOC[C@H]2C2CCCCC2)c(F)c1 ZINC001204212169 1133419109 /nfs/dbraw/zinc/41/91/09/1133419109.db2.gz CDTXRMXEBKDECN-KRWDZBQOSA-N 1 2 295.373 3.746 20 0 CHADLO C[C@H]1C(=O)CC[N@H+](Cc2ccc(F)c(F)c2)C12CCCC2 ZINC001204227980 1133420632 /nfs/dbraw/zinc/42/06/32/1133420632.db2.gz PGLMEASZXJARLN-LBPRGKRZSA-N 1 2 293.357 3.689 20 0 CHADLO C[C@H]1C(=O)CC[N@@H+](Cc2ccc(F)c(F)c2)C12CCCC2 ZINC001204227980 1133420637 /nfs/dbraw/zinc/42/06/37/1133420637.db2.gz PGLMEASZXJARLN-LBPRGKRZSA-N 1 2 293.357 3.689 20 0 CHADLO CN(C)c1ccc(Nc2c3cc[nH]c3ccc2F)c[nH+]1 ZINC001204259067 1133425742 /nfs/dbraw/zinc/42/57/42/1133425742.db2.gz HECWRZKCWPSGFA-UHFFFAOYSA-N 1 2 270.311 3.512 20 0 CHADLO CC1(C)C[NH+](Cc2ccc(F)c(Cl)c2)CC(C)(C)O1 ZINC001204285011 1133428356 /nfs/dbraw/zinc/42/83/56/1133428356.db2.gz HEOITEUCKXGHPB-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO CCCOc1ccc(C[N@@H+]2CCC[C@@H]2c2ncccn2)cc1 ZINC001204310625 1133433967 /nfs/dbraw/zinc/43/39/67/1133433967.db2.gz XGNAIABYTLOAQH-QGZVFWFLSA-N 1 2 297.402 3.603 20 0 CHADLO CCCOc1ccc(C[N@H+]2CCC[C@@H]2c2ncccn2)cc1 ZINC001204310625 1133433969 /nfs/dbraw/zinc/43/39/69/1133433969.db2.gz XGNAIABYTLOAQH-QGZVFWFLSA-N 1 2 297.402 3.603 20 0 CHADLO COc1ccc2cc(C[N@@H+]3Cc4ccncc4C3)ccc2c1 ZINC001204514813 1133456404 /nfs/dbraw/zinc/45/64/04/1133456404.db2.gz RLAWXPFPRUNHHG-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1ccc2cc(C[N@H+]3Cc4ccncc4C3)ccc2c1 ZINC001204514813 1133456406 /nfs/dbraw/zinc/45/64/06/1133456406.db2.gz RLAWXPFPRUNHHG-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO Clc1ccc(Cl)c(C[NH+]2CC(c3cccnc3)C2)c1 ZINC001204568805 1133463604 /nfs/dbraw/zinc/46/36/04/1133463604.db2.gz PRBZUBIDRPAKMA-UHFFFAOYSA-N 1 2 293.197 3.988 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2cncc(C3CC3)c2)cc1F ZINC001204597440 1133465087 /nfs/dbraw/zinc/46/50/87/1133465087.db2.gz IFZWBJNIHRYTST-UHFFFAOYSA-N 1 2 288.341 3.966 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(-c3cccc(Cl)c3)o2)CCO1 ZINC001204693810 1133476211 /nfs/dbraw/zinc/47/62/11/1133476211.db2.gz GYWRQLPNAISOLR-LBPRGKRZSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(-c3cccc(Cl)c3)o2)CCO1 ZINC001204693810 1133476216 /nfs/dbraw/zinc/47/62/16/1133476216.db2.gz GYWRQLPNAISOLR-LBPRGKRZSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@H+]1Cc1cccn1C(F)F ZINC001204720832 1133478897 /nfs/dbraw/zinc/47/88/97/1133478897.db2.gz CXJOLUWGWDKFII-LBPRGKRZSA-N 1 2 292.329 3.839 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@@H+]1Cc1cccn1C(F)F ZINC001204720832 1133478898 /nfs/dbraw/zinc/47/88/98/1133478898.db2.gz CXJOLUWGWDKFII-LBPRGKRZSA-N 1 2 292.329 3.839 20 0 CHADLO Cc1cn(-c2ccc(Nc3ccn4cc[nH+]c4c3)cc2)cn1 ZINC001204978617 1133511136 /nfs/dbraw/zinc/51/11/36/1133511136.db2.gz WURUMAWISVPYFM-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO Fc1ccc(Nc2ccn3cc[nH+]c3c2)cc1Cl ZINC001204978228 1133511489 /nfs/dbraw/zinc/51/14/89/1133511489.db2.gz QLYHBRMDWPVKTC-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO Fc1ccc(Nc2ccn3cc[nH+]c3c2)c2ncccc12 ZINC001204991212 1133514306 /nfs/dbraw/zinc/51/43/06/1133514306.db2.gz LRHSWPRCEDAFJQ-UHFFFAOYSA-N 1 2 278.290 3.765 20 0 CHADLO CCCOc1ccccc1Nc1ccn2cc[nH+]c2c1 ZINC001204998021 1133515359 /nfs/dbraw/zinc/51/53/59/1133515359.db2.gz QBPKAOMBBDOYSB-UHFFFAOYSA-N 1 2 267.332 3.867 20 0 CHADLO CCOc1ccc2ccccc2c1C[N@@H+]1[C@@H](C)CC[C@H]1C[NH3+] ZINC001205068113 1133522847 /nfs/dbraw/zinc/52/28/47/1133522847.db2.gz BMQYQPCXKFHMBK-HOCLYGCPSA-N 1 2 298.430 3.550 20 0 CHADLO COc1cc(Cl)cc(-c2cc3[nH]ccc3c[nH+]2)c1 ZINC001205110975 1133531365 /nfs/dbraw/zinc/53/13/65/1133531365.db2.gz MPQIUKGAGPIIOZ-UHFFFAOYSA-N 1 2 258.708 3.892 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(C)c(F)c1)C2 ZINC001205235844 1133548379 /nfs/dbraw/zinc/54/83/79/1133548379.db2.gz DWTUWDLXBZGTGZ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(C)c(F)c1)C2 ZINC001205235844 1133548383 /nfs/dbraw/zinc/54/83/83/1133548383.db2.gz DWTUWDLXBZGTGZ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COCOc1cc(C)ccc1Nc1[nH+]cc(C)cc1C ZINC001205447489 1133577992 /nfs/dbraw/zinc/57/79/92/1133577992.db2.gz VNDFQSWIIRJMJX-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO Cc1cc(CN2CC3(CC3)c3ccccc32)cc(C)[nH+]1 ZINC001205450510 1133578242 /nfs/dbraw/zinc/57/82/42/1133578242.db2.gz KTNWVEWDVYSZQH-UHFFFAOYSA-N 1 2 264.372 3.750 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC=C(c3ccco3)C2)c(F)c1F ZINC001205752554 1133609285 /nfs/dbraw/zinc/60/92/85/1133609285.db2.gz LXSGZVVPRSODFY-UHFFFAOYSA-N 1 2 293.288 3.986 20 0 CHADLO Fc1ccc(C[N@H+]2CCC=C(c3ccco3)C2)c(F)c1F ZINC001205752554 1133609289 /nfs/dbraw/zinc/60/92/89/1133609289.db2.gz LXSGZVVPRSODFY-UHFFFAOYSA-N 1 2 293.288 3.986 20 0 CHADLO Cc1c[nH]c2ncc(Nc3ccccc3-n3cc[nH+]c3)cc12 ZINC001206043211 1133647352 /nfs/dbraw/zinc/64/73/52/1133647352.db2.gz TUQXEHPYMCMEAY-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Nc1ccccc1Nc1cc[nH+]c2ccc(Cl)cc12 ZINC001206309442 1133688085 /nfs/dbraw/zinc/68/80/85/1133688085.db2.gz ZGWLIXBODYGNSN-UHFFFAOYSA-N 1 2 269.735 3.636 20 0 CHADLO COCc1cc(C)c(-c2cn3cc[nH+]c3cc2OC)c(C)c1 ZINC001206339499 1133691650 /nfs/dbraw/zinc/69/16/50/1133691650.db2.gz UDYNFRGAOZTSIZ-UHFFFAOYSA-N 1 2 296.370 3.773 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1)Cc1ccncc1F ZINC001206785923 1133747710 /nfs/dbraw/zinc/74/77/10/1133747710.db2.gz HCOSUXCDWVHPKU-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1)Cc1ccncc1F ZINC001206785923 1133747713 /nfs/dbraw/zinc/74/77/13/1133747713.db2.gz HCOSUXCDWVHPKU-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CCC[C@@H]1c1cc(F)ccc1F ZINC001207137526 1133771483 /nfs/dbraw/zinc/77/14/83/1133771483.db2.gz IQDIJERWOXLNGJ-MRXNPFEDSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CCC[C@@H]1c1cc(F)ccc1F ZINC001207137526 1133771489 /nfs/dbraw/zinc/77/14/89/1133771489.db2.gz IQDIJERWOXLNGJ-MRXNPFEDSA-N 1 2 292.329 3.907 20 0 CHADLO F[C@H]1CCC[N@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342310 1133793167 /nfs/dbraw/zinc/79/31/67/1133793167.db2.gz GKFABMDWABPWJL-NSHDSACASA-N 1 2 285.300 3.881 20 0 CHADLO F[C@H]1CCC[N@@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342310 1133793171 /nfs/dbraw/zinc/79/31/71/1133793171.db2.gz GKFABMDWABPWJL-NSHDSACASA-N 1 2 285.300 3.881 20 0 CHADLO FC(F)[C@H]([NH2+][C@H]1CC[C@H]1C1CC1)c1ccccc1 ZINC001207873500 1133856708 /nfs/dbraw/zinc/85/67/08/1133856708.db2.gz WTJFZPXNFXUVEZ-MELADBBJSA-N 1 2 251.320 3.771 20 0 CHADLO FC(F)[C@H]([NH2+][C@@H]1CC[C@H]1C1CC1)c1ccccc1 ZINC001207873498 1133857907 /nfs/dbraw/zinc/85/79/07/1133857907.db2.gz WTJFZPXNFXUVEZ-BFHYXJOUSA-N 1 2 251.320 3.771 20 0 CHADLO COC(C)(C)CCC[C@@H](C)CC[N@@H+]1CCC(F)(F)C1 ZINC001208201495 1133906010 /nfs/dbraw/zinc/90/60/10/1133906010.db2.gz DWASYBKSAMDNNL-CYBMUJFWSA-N 1 2 277.399 3.949 20 0 CHADLO COC(C)(C)CCC[C@@H](C)CC[N@H+]1CCC(F)(F)C1 ZINC001208201495 1133906012 /nfs/dbraw/zinc/90/60/12/1133906012.db2.gz DWASYBKSAMDNNL-CYBMUJFWSA-N 1 2 277.399 3.949 20 0 CHADLO C[C@@H](CC[N@@H+]1CC(F)(F)C[C@]1(C)CO)CC(C)(C)C ZINC001208656080 1133922001 /nfs/dbraw/zinc/92/20/01/1133922001.db2.gz VBBYXPBGVCAICR-GXTWGEPZSA-N 1 2 277.399 3.541 20 0 CHADLO C[C@@H](CC[N@H+]1CC(F)(F)C[C@]1(C)CO)CC(C)(C)C ZINC001208656080 1133922003 /nfs/dbraw/zinc/92/20/03/1133922003.db2.gz VBBYXPBGVCAICR-GXTWGEPZSA-N 1 2 277.399 3.541 20 0 CHADLO CC[NH+]1CCN(C[C@@H](C)c2cccc3ccccc32)CC1 ZINC001208706564 1133933322 /nfs/dbraw/zinc/93/33/22/1133933322.db2.gz PPNPBDQGGCIHRX-MRXNPFEDSA-N 1 2 282.431 3.581 20 0 CHADLO C[C@H](C[N@@H+]1CCCC(=O)[C@@H](F)C1)c1cccc2ccccc21 ZINC001208705670 1133933362 /nfs/dbraw/zinc/93/33/62/1133933362.db2.gz JPOZOVKWDRUMLT-KDOFPFPSSA-N 1 2 299.389 3.946 20 0 CHADLO C[C@H](C[N@H+]1CCCC(=O)[C@@H](F)C1)c1cccc2ccccc21 ZINC001208705670 1133933365 /nfs/dbraw/zinc/93/33/65/1133933365.db2.gz JPOZOVKWDRUMLT-KDOFPFPSSA-N 1 2 299.389 3.946 20 0 CHADLO C[N@H+](CCCCCOCc1ccccc1)CC(F)F ZINC001208814624 1133948296 /nfs/dbraw/zinc/94/82/96/1133948296.db2.gz GQNGRWSYSMGLMM-UHFFFAOYSA-N 1 2 271.351 3.570 20 0 CHADLO C[N@@H+](CCCCCOCc1ccccc1)CC(F)F ZINC001208814624 1133948300 /nfs/dbraw/zinc/94/83/00/1133948300.db2.gz GQNGRWSYSMGLMM-UHFFFAOYSA-N 1 2 271.351 3.570 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1CCc1ccccc1C(F)(F)F ZINC001209282986 1134001965 /nfs/dbraw/zinc/00/19/65/1134001965.db2.gz KMAZONQSFVGIJM-HNNXBMFYSA-N 1 2 299.336 3.691 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1CCc1ccccc1C(F)(F)F ZINC001209282986 1134001973 /nfs/dbraw/zinc/00/19/73/1134001973.db2.gz KMAZONQSFVGIJM-HNNXBMFYSA-N 1 2 299.336 3.691 20 0 CHADLO Clc1cccc([C@@H]2CC[N@H+](Cc3ccon3)C2)c1Cl ZINC001209564026 1134036224 /nfs/dbraw/zinc/03/62/24/1134036224.db2.gz BUARZIQPRBWZJU-SNVBAGLBSA-N 1 2 297.185 3.971 20 0 CHADLO Clc1cccc([C@@H]2CC[N@@H+](Cc3ccon3)C2)c1Cl ZINC001209564026 1134036230 /nfs/dbraw/zinc/03/62/30/1134036230.db2.gz BUARZIQPRBWZJU-SNVBAGLBSA-N 1 2 297.185 3.971 20 0 CHADLO FC1(F)CCC[N@H+](Cc2n[nH]c3ccc(Cl)cc32)CC1 ZINC001209750170 1134058775 /nfs/dbraw/zinc/05/87/75/1134058775.db2.gz FGRRRHOASKLPIQ-UHFFFAOYSA-N 1 2 299.752 3.838 20 0 CHADLO FC1(F)CCC[N@@H+](Cc2n[nH]c3ccc(Cl)cc32)CC1 ZINC001209750170 1134058783 /nfs/dbraw/zinc/05/87/83/1134058783.db2.gz FGRRRHOASKLPIQ-UHFFFAOYSA-N 1 2 299.752 3.838 20 0 CHADLO COc1c(F)ccc(Nc2ccn3cc[nH+]c3c2)c1Cl ZINC001209802218 1134067605 /nfs/dbraw/zinc/06/76/05/1134067605.db2.gz FXOPRDVZZIPORC-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO COc1ccc(C)c(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001209838494 1134076202 /nfs/dbraw/zinc/07/62/02/1134076202.db2.gz VEMIBERYOKYUKL-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COc1ccc(C)c(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001209840199 1134076745 /nfs/dbraw/zinc/07/67/45/1134076745.db2.gz IBCGLDWHFFTGAV-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO CC(C)(C)Cc1cc(Nc2ccc3[nH]c[nH+]c3c2)on1 ZINC001209841603 1134078610 /nfs/dbraw/zinc/07/86/10/1134078610.db2.gz XIRQWYWJPNLACB-UHFFFAOYSA-N 1 2 270.336 3.883 20 0 CHADLO Cc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)ccc1O ZINC001209976573 1134114298 /nfs/dbraw/zinc/11/42/98/1134114298.db2.gz QBMQGDNWHNRUIG-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(O)c(C)c2)cc1 ZINC001209978257 1134115413 /nfs/dbraw/zinc/11/54/13/1134115413.db2.gz VRRCNEOZXHXESP-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(O)c(C)c2)cc1 ZINC001209978257 1134115415 /nfs/dbraw/zinc/11/54/15/1134115415.db2.gz VRRCNEOZXHXESP-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(N(C)C)c(C)c2)[nH+]1 ZINC001210039016 1134130160 /nfs/dbraw/zinc/13/01/60/1134130160.db2.gz HKBBQLDGLBDKAI-UHFFFAOYSA-N 1 2 280.375 3.761 20 0 CHADLO Cc1cc(Nc2ccccc2N(C)C)ccc1[NH+](C)C ZINC001210042284 1134131789 /nfs/dbraw/zinc/13/17/89/1134131789.db2.gz ZLLJELMNDIEDSC-UHFFFAOYSA-N 1 2 269.392 3.871 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)CCC3=O)ccc1[NH+](C)C ZINC001210042883 1134132224 /nfs/dbraw/zinc/13/22/24/1134132224.db2.gz FBWXTPUEBNAGSV-UHFFFAOYSA-N 1 2 280.371 3.934 20 0 CHADLO Cc1cc(Nc2ccc([NH+](C)C)c(C)c2)ccc1CO ZINC001210045378 1134132941 /nfs/dbraw/zinc/13/29/41/1134132941.db2.gz RVHCDUNLEIQHHH-UHFFFAOYSA-N 1 2 270.376 3.605 20 0 CHADLO CC(=O)Oc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1 ZINC001210043968 1134133283 /nfs/dbraw/zinc/13/32/83/1134133283.db2.gz ISOCMQKKPBTIEH-UHFFFAOYSA-N 1 2 284.359 3.730 20 0 CHADLO CN(c1ccc2c[nH+]ccc2c1)c1nc(Cl)ccc1N ZINC001210046930 1134134288 /nfs/dbraw/zinc/13/42/88/1134134288.db2.gz ZQKNZALWIOQCPE-UHFFFAOYSA-N 1 2 284.750 3.633 20 0 CHADLO Cc1c(F)c(F)ccc1Nc1cccn2cc[nH+]c12 ZINC001210130950 1134154246 /nfs/dbraw/zinc/15/42/46/1134154246.db2.gz WATBVMJLNLKYIE-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CCc1cc2ncc(Nc3cccc4cc[nH+]cc43)cn2n1 ZINC001210225869 1134172068 /nfs/dbraw/zinc/17/20/68/1134172068.db2.gz MQFLFEDPJGCIPP-UHFFFAOYSA-N 1 2 289.342 3.584 20 0 CHADLO CCN(c1ccccc1N)c1cccc2cc[nH+]cc21 ZINC001210229918 1134172700 /nfs/dbraw/zinc/17/27/00/1134172700.db2.gz BYGURWYWEGTYFG-UHFFFAOYSA-N 1 2 263.344 3.975 20 0 CHADLO c1cnc(Nc2cccc3cc[nH+]cc32)c(N2CCCC2)c1 ZINC001210226408 1134172918 /nfs/dbraw/zinc/17/29/18/1134172918.db2.gz VOHYWFUJZLBUQT-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO c1cc2c(Nc3cccc4cc[nH+]cc43)cccn2n1 ZINC001210225026 1134172999 /nfs/dbraw/zinc/17/29/99/1134172999.db2.gz AXHPRYKAAFUCQS-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO CC(=O)c1ccc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001210273529 1134180716 /nfs/dbraw/zinc/18/07/16/1134180716.db2.gz BOQWQFHVGKFUHN-UHFFFAOYSA-N 1 2 263.300 3.576 20 0 CHADLO CC(=O)c1ccc(Nc2ccc3c(C)cc[nH+]c3c2)cn1 ZINC001210275215 1134182569 /nfs/dbraw/zinc/18/25/69/1134182569.db2.gz BUIFFAVJKHHROE-UHFFFAOYSA-N 1 2 277.327 3.884 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)c(Cl)c2)cc1N ZINC001210287868 1134185105 /nfs/dbraw/zinc/18/51/05/1134185105.db2.gz DTNSRBOFHNMVCH-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1[nH+]cc(Nc2cc3ccccc3s2)cc1N ZINC001210286647 1134185672 /nfs/dbraw/zinc/18/56/72/1134185672.db2.gz BVNWWKYMJYPSCV-UHFFFAOYSA-N 1 2 255.346 3.931 20 0 CHADLO COc1cc(Nc2c[nH+]c(C)c(N)c2)ccc1C(F)(F)F ZINC001210288865 1134186457 /nfs/dbraw/zinc/18/64/57/1134186457.db2.gz XNRWEPLNHJJFJN-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CCCCOC(=O)c1ccc(Nc2c[nH+]c(C)c(N)c2)cc1 ZINC001210290449 1134186492 /nfs/dbraw/zinc/18/64/92/1134186492.db2.gz LPBCSOHSERXLNK-UHFFFAOYSA-N 1 2 299.374 3.673 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)cc3cccnc32)cc1N ZINC001210289335 1134186783 /nfs/dbraw/zinc/18/67/83/1134186783.db2.gz GWZZIEYFTUFNGQ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cc(C(F)(F)F)ccc2F)cc1N ZINC001210288343 1134186821 /nfs/dbraw/zinc/18/68/21/1134186821.db2.gz NFPQPMKCPDQKMB-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1cc(C)c(Nc2c[nH+]c(C)c(N)c2)c(Cl)c1 ZINC001210290834 1134186949 /nfs/dbraw/zinc/18/69/49/1134186949.db2.gz QDSDMFNVFJQJRB-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO Cc1ccc(Nc2c[nH+]c(C)c(N)c2)c2cccnc12 ZINC001210288271 1134187016 /nfs/dbraw/zinc/18/70/16/1134187016.db2.gz ORNVYHWJQOCLAS-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC(F)F)cc1 ZINC001210325979 1134194003 /nfs/dbraw/zinc/19/40/03/1134194003.db2.gz IDXPHDHKTVYIMX-UHFFFAOYSA-N 1 2 280.274 3.825 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc(CO)c(F)c3)ccc12 ZINC001210487162 1134226750 /nfs/dbraw/zinc/22/67/50/1134226750.db2.gz MWHHZTJHXSHZRJ-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO c1cn(-c2cccc(Nc3ccc4ocnc4c3)c2)c[nH+]1 ZINC001210572505 1134252917 /nfs/dbraw/zinc/25/29/17/1134252917.db2.gz VOEWAXOYYSYRAZ-UHFFFAOYSA-N 1 2 276.299 3.757 20 0 CHADLO C[C@H](O)c1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1 ZINC001210639936 1134266464 /nfs/dbraw/zinc/26/64/64/1134266464.db2.gz CMVNRFUKYRRLJO-AWEZNQCLSA-N 1 2 297.402 3.869 20 0 CHADLO Cc1ccc(N(C)c2[nH+]cccc2N)cc1C(F)(F)F ZINC001210684921 1134274543 /nfs/dbraw/zinc/27/45/43/1134274543.db2.gz XXJRGHFFMOAKDI-UHFFFAOYSA-N 1 2 281.281 3.759 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccccc1-n1cccn1 ZINC001210735191 1134286332 /nfs/dbraw/zinc/28/63/32/1134286332.db2.gz SVILUKRRMHJJQE-UHFFFAOYSA-N 1 2 278.359 3.882 20 0 CHADLO COc1cc(Nc2cc(Cl)cc3ncoc32)cc(C)[nH+]1 ZINC001210784536 1134294943 /nfs/dbraw/zinc/29/49/43/1134294943.db2.gz QOJIVYAYKZJCLO-UHFFFAOYSA-N 1 2 289.722 3.937 20 0 CHADLO CNc1ccc(Cl)cc1Nc1cc(C)[nH+]c(OC)c1 ZINC001210780701 1134295780 /nfs/dbraw/zinc/29/57/80/1134295780.db2.gz MMFIRBHLUNSQAJ-UHFFFAOYSA-N 1 2 277.755 3.837 20 0 CHADLO COc1cc(Nc2scnc2C(C)C)cc(C)[nH+]1 ZINC001210780647 1134295796 /nfs/dbraw/zinc/29/57/96/1134295796.db2.gz YLAZALSEXGRZQU-UHFFFAOYSA-N 1 2 263.366 3.722 20 0 CHADLO COc1cc(Nc2cc3ccccc3n2C)cc(C)[nH+]1 ZINC001210779567 1134296061 /nfs/dbraw/zinc/29/60/61/1134296061.db2.gz SGAQJRQFXDWLJU-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO CN(C)c1ccc(Nc2cc(F)c(Cl)c(F)c2)c[nH+]1 ZINC001210841908 1134309081 /nfs/dbraw/zinc/30/90/81/1134309081.db2.gz VXYAHCXKWYOXJR-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO Clc1ccc2[nH+]ccc(Nc3c[nH]c4ncccc34)c2c1 ZINC001210938665 1134331723 /nfs/dbraw/zinc/33/17/23/1134331723.db2.gz KZJABSFJWBYFPD-UHFFFAOYSA-N 1 2 294.745 3.930 20 0 CHADLO c1cn(Cc2ccc(Nc3c[nH]c4ncccc34)cc2)c[nH+]1 ZINC001210939419 1134333272 /nfs/dbraw/zinc/33/32/72/1134333272.db2.gz RUNGYXGTKWLINT-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO Nc1cn(-c2ccccc2[NH+]2CCCCC2)c2ncccc12 ZINC001210961359 1134340286 /nfs/dbraw/zinc/34/02/86/1134340286.db2.gz MWFSXFBBOJLPMW-UHFFFAOYSA-N 1 2 292.386 3.598 20 0 CHADLO Cc1ccc(Nc2cc(Br)c(C)cc2N)[nH+]c1 ZINC001211012057 1134348615 /nfs/dbraw/zinc/34/86/15/1134348615.db2.gz RQLHSMOVAJEIRG-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO CC(=O)N1CC(C)(C)c2ccc(Nc3ccc(C)c[nH+]3)cc21 ZINC001211013708 1134349047 /nfs/dbraw/zinc/34/90/47/1134349047.db2.gz KZKPLYBSPVDANF-UHFFFAOYSA-N 1 2 295.386 3.778 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3nc(C)oc3c2)c[nH+]1 ZINC001213065734 1134419545 /nfs/dbraw/zinc/41/95/45/1134419545.db2.gz GKRAHBHZFGXOMA-UHFFFAOYSA-N 1 2 282.347 3.731 20 0 CHADLO CC(=O)Nc1ccccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089177 1134425244 /nfs/dbraw/zinc/42/52/44/1134425244.db2.gz XSBNLEWTQRBOPO-UHFFFAOYSA-N 1 2 292.342 3.779 20 0 CHADLO Nc1cccc2c1N(c1ccc(-c3[nH]cc[nH+]3)cc1)CCC2 ZINC001213091043 1134425522 /nfs/dbraw/zinc/42/55/22/1134425522.db2.gz SRSKMFKICLSGGW-UHFFFAOYSA-N 1 2 290.370 3.743 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc2nc(N)ncc2c1 ZINC001213110111 1134427783 /nfs/dbraw/zinc/42/77/83/1134427783.db2.gz GHAJMNBTWXPDDO-UHFFFAOYSA-N 1 2 293.374 3.782 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cnc4nccnc4c3)ccc12 ZINC001213225113 1134435827 /nfs/dbraw/zinc/43/58/27/1134435827.db2.gz INDMNMYKFJHLHW-UHFFFAOYSA-N 1 2 287.326 3.625 20 0 CHADLO Cc1c[nH+]c(Nc2c(F)cccc2C2CC2)c(N)c1 ZINC001213447746 1134449148 /nfs/dbraw/zinc/44/91/48/1134449148.db2.gz JCWUYMCZRRYONH-UHFFFAOYSA-N 1 2 257.312 3.732 20 0 CHADLO Cc1cc(F)ncc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491271 1134453831 /nfs/dbraw/zinc/45/38/31/1134453831.db2.gz FSPLPRZKGBBJDA-UHFFFAOYSA-N 1 2 257.312 3.854 20 0 CHADLO CC(=O)c1ccncc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491275 1134453877 /nfs/dbraw/zinc/45/38/77/1134453877.db2.gz FTUYBAGWUUCGJA-UHFFFAOYSA-N 1 2 267.332 3.609 20 0 CHADLO CCOC(=O)c1occc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213495144 1134454112 /nfs/dbraw/zinc/45/41/12/1134454112.db2.gz SCXKCGOWVHCETJ-UHFFFAOYSA-N 1 2 286.331 3.781 20 0 CHADLO COC(=O)c1ccsc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491578 1134454151 /nfs/dbraw/zinc/45/41/51/1134454151.db2.gz NRSIIPZEPIHLTK-UHFFFAOYSA-N 1 2 288.372 3.859 20 0 CHADLO COC(=O)c1cc(C)c(Nc2cc(C3CC3)c[nH+]c2C)cn1 ZINC001213494715 1134454330 /nfs/dbraw/zinc/45/43/30/1134454330.db2.gz HOURIPRHUQQDJV-UHFFFAOYSA-N 1 2 297.358 3.501 20 0 CHADLO CC(=O)c1cccc(Nc2cc(C3CC3)c[nH+]c2C)n1 ZINC001213497312 1134454805 /nfs/dbraw/zinc/45/48/05/1134454805.db2.gz NFTRDJVQGTUIOT-UHFFFAOYSA-N 1 2 267.332 3.609 20 0 CHADLO COC(=O)c1ccnc(C)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213496925 1134455121 /nfs/dbraw/zinc/45/51/21/1134455121.db2.gz FCUUMNIBVPWNTA-UHFFFAOYSA-N 1 2 297.358 3.501 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2[nH]c(=O)oc2c1 ZINC001213499081 1134455128 /nfs/dbraw/zinc/45/51/28/1134455128.db2.gz BDUHJFSZAZBKFF-UHFFFAOYSA-N 1 2 281.315 3.858 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)cc(F)c1CO ZINC001213503401 1134456468 /nfs/dbraw/zinc/45/64/68/1134456468.db2.gz DFLCVMWPJPOAJZ-UHFFFAOYSA-N 1 2 290.313 3.782 20 0 CHADLO COc1ccc2ncc(Nc3c[nH+]c(C)cc3C)cc2c1 ZINC001213507826 1134457938 /nfs/dbraw/zinc/45/79/38/1134457938.db2.gz GGWQMAKRDIWVMH-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1cc(C)c(Nc2ccnn2-c2ccccc2)c[nH+]1 ZINC001213507723 1134458184 /nfs/dbraw/zinc/45/81/84/1134458184.db2.gz CXCHIZXQRNCMSA-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO CC(=O)c1ccc(F)cc1Nc1c[nH+]c(C)cc1C ZINC001213518554 1134458642 /nfs/dbraw/zinc/45/86/42/1134458642.db2.gz UYAGRPXVUKGNHA-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO Cc1cc(C)c(Nc2ccccc2C(C)(C)O)c[nH+]1 ZINC001213515700 1134458841 /nfs/dbraw/zinc/45/88/41/1134458841.db2.gz FOUSRWOBLUKQGM-UHFFFAOYSA-N 1 2 256.349 3.669 20 0 CHADLO CC(=O)c1c(F)cccc1Nc1c[nH+]c(C)cc1C ZINC001213518525 1134458935 /nfs/dbraw/zinc/45/89/35/1134458935.db2.gz SIKIRXLMQIIHGL-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO COC(=O)c1c(F)ccc(Nc2c[nH+]c(C)cc2C)c1C ZINC001213518532 1134459398 /nfs/dbraw/zinc/45/93/98/1134459398.db2.gz SWVDVSJZFWDFPP-UHFFFAOYSA-N 1 2 288.322 3.676 20 0 CHADLO COC(=O)c1cc(Nc2c[nH+]cc(C)c2C)c(Cl)s1 ZINC001213525165 1134460013 /nfs/dbraw/zinc/46/00/13/1134460013.db2.gz OWABQXMMIFMULJ-UHFFFAOYSA-N 1 2 296.779 3.944 20 0 CHADLO Cc1c[nH+]cc(Nc2cccnc2-c2ccccn2)c1C ZINC001213528395 1134460022 /nfs/dbraw/zinc/46/00/22/1134460022.db2.gz CZFHEYNGGPHCBL-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1ccccc1-n1nccc1Nc1c[nH+]cc(C)c1C ZINC001213527444 1134460341 /nfs/dbraw/zinc/46/03/41/1134460341.db2.gz LJBMCLZBJXUKHA-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnc(Br)c2C)c1C ZINC001213527243 1134460398 /nfs/dbraw/zinc/46/03/98/1134460398.db2.gz DLRSXAMBRUPCQF-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COc1ccc2ccc(Nc3c[nH+]c(C)cc3C)cc2n1 ZINC001213521200 1134460594 /nfs/dbraw/zinc/46/05/94/1134460594.db2.gz YSRPGPJUWJOVNK-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(OC(C)C)c(C)c2)c1C ZINC001213528345 1134460695 /nfs/dbraw/zinc/46/06/95/1134460695.db2.gz BHDUUXRVZJVAIQ-UHFFFAOYSA-N 1 2 271.364 3.933 20 0 CHADLO Cc1c[nH+]cc(Nc2c(F)c(F)cc(F)c2F)c1C ZINC001213531214 1134461406 /nfs/dbraw/zinc/46/14/06/1134461406.db2.gz WOPGFVHIAHVOJN-UHFFFAOYSA-N 1 2 270.229 3.998 20 0 CHADLO COC(=O)c1ccc(F)c(F)c1Nc1c[nH+]cc(C)c1C ZINC001213530858 1134461436 /nfs/dbraw/zinc/46/14/36/1134461436.db2.gz ONWWQTYZGBGMGP-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1cc2c(cccc2Nc2c[nH+]cc(C)c2C)nn1 ZINC001213531250 1134461507 /nfs/dbraw/zinc/46/15/07/1134461507.db2.gz XVYWHJBUTQMWSF-UHFFFAOYSA-N 1 2 264.332 3.694 20 0 CHADLO Cc1c[nH+]cc(Nc2ccccc2OC2CCOCC2)c1C ZINC001213533953 1134461840 /nfs/dbraw/zinc/46/18/40/1134461840.db2.gz DWFBZOVOOLFVAL-UHFFFAOYSA-N 1 2 298.386 4.000 20 0 CHADLO COc1cc(Nc2c[nH+]cc(C)c2C)ncc1C(F)(F)F ZINC001213528876 1134461867 /nfs/dbraw/zinc/46/18/67/1134461867.db2.gz PIIAWEPGYPTLFD-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3c2CC(C)(C)C(=O)N3)c1C ZINC001213537297 1134462566 /nfs/dbraw/zinc/46/25/66/1134462566.db2.gz ZKBJJDWSILHTSP-UHFFFAOYSA-N 1 2 295.386 3.963 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)CCCC(=O)N3)c1C ZINC001213534104 1134462763 /nfs/dbraw/zinc/46/27/63/1134462763.db2.gz MRLUHBMAXYYCOM-UHFFFAOYSA-N 1 2 281.359 3.717 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(-c3cc(CO)on3)cc2)c1C ZINC001213536698 1134463160 /nfs/dbraw/zinc/46/31/60/1134463160.db2.gz MGJPBAVUVSFLEL-UHFFFAOYSA-N 1 2 295.342 3.589 20 0 CHADLO COCc1ccc(C)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001213574153 1134464869 /nfs/dbraw/zinc/46/48/69/1134464869.db2.gz YNULROUNCKFLFO-UHFFFAOYSA-N 1 2 267.332 3.533 20 0 CHADLO CCN(C)c1ccc(Nc2cc(COC)ccc2C)c[nH+]1 ZINC001213573730 1134464993 /nfs/dbraw/zinc/46/49/93/1134464993.db2.gz CSKVCKKZFLXAPV-UHFFFAOYSA-N 1 2 285.391 3.736 20 0 CHADLO Cc1cn2c(cccc2Nc2ccccc2N2CCCC2)[nH+]1 ZINC001213599353 1134467869 /nfs/dbraw/zinc/46/78/69/1134467869.db2.gz BQSLLNCZIWNOIU-UHFFFAOYSA-N 1 2 292.386 3.987 20 0 CHADLO Fc1cc(N2CCCC2)ccc1Nc1cccn2cc[nH+]c12 ZINC001213845954 1134490042 /nfs/dbraw/zinc/49/00/42/1134490042.db2.gz JRRLPYAQHTZLQZ-UHFFFAOYSA-N 1 2 296.349 3.817 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(N3CCCC3)cc2F)c1 ZINC001213843008 1134490499 /nfs/dbraw/zinc/49/04/99/1134490499.db2.gz AQEMOIBHZGFSGX-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO Cc1cc(C)c(Nc2ccc(CC(F)(F)F)nc2)c[nH+]1 ZINC001213908746 1134496034 /nfs/dbraw/zinc/49/60/34/1134496034.db2.gz OFVHBZONHCWBQF-UHFFFAOYSA-N 1 2 281.281 3.942 20 0 CHADLO COc1cc[nH+]c(Nc2cc(Cl)c(OC)cc2F)c1 ZINC001214058037 1134507022 /nfs/dbraw/zinc/50/70/22/1134507022.db2.gz BCCZULIXLMOJBI-UHFFFAOYSA-N 1 2 282.702 3.635 20 0 CHADLO COc1cc(F)c(Nc2cccn3cc[nH+]c23)cc1Cl ZINC001214060200 1134507684 /nfs/dbraw/zinc/50/76/84/1134507684.db2.gz DXYDZAUPCCTTAU-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO Cc1cc(N)ccc1Nc1cc(OC(F)(F)F)cc[nH+]1 ZINC001214112445 1134512838 /nfs/dbraw/zinc/51/28/38/1134512838.db2.gz JEQFUOHQQIQBQF-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO Cc1ccc(O)c(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001214227770 1134524060 /nfs/dbraw/zinc/52/40/60/1134524060.db2.gz ZWAIMHQLSPDYIX-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO COCOc1ccc(C)cc1Nc1[nH+]c2ccccc2n1C ZINC001214235907 1134524678 /nfs/dbraw/zinc/52/46/78/1134524678.db2.gz CXAKSWZYMVMMAN-UHFFFAOYSA-N 1 2 297.358 3.608 20 0 CHADLO Cc1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)c(CO)c1 ZINC001214449309 1134539620 /nfs/dbraw/zinc/53/96/20/1134539620.db2.gz SCYBZFPQYHQOMP-UHFFFAOYSA-N 1 2 298.773 3.854 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cccc(F)c1CO ZINC001214611614 1134556511 /nfs/dbraw/zinc/55/65/11/1134556511.db2.gz MICPILOTBYXTFK-UHFFFAOYSA-N 1 2 274.339 3.888 20 0 CHADLO COc1ccc(C)c(F)c1Nc1cccc2[nH+]c(C)cn21 ZINC001214622383 1134558418 /nfs/dbraw/zinc/55/84/18/1134558418.db2.gz LSRSVBDZDNBANN-UHFFFAOYSA-N 1 2 285.322 3.842 20 0 CHADLO COc1ccc(C)c(F)c1Nc1cc(OC)c(C)c[nH+]1 ZINC001214628663 1134559468 /nfs/dbraw/zinc/55/94/68/1134559468.db2.gz CWUIBBFCTFRTBA-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO COCOc1c(C)cccc1Nc1c[nH+]c(C)cc1C ZINC001214847148 1134577436 /nfs/dbraw/zinc/57/74/36/1134577436.db2.gz YVSOEHYPQQOLLH-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO CCOc1ncc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1C ZINC001214933344 1134582483 /nfs/dbraw/zinc/58/24/83/1134582483.db2.gz WQAWLTXYMAUXED-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cnc(OC(C)C)c(C)c1 ZINC001214938362 1134583314 /nfs/dbraw/zinc/58/33/14/1134583314.db2.gz DSHJYDPEHJKYSJ-UHFFFAOYSA-N 1 2 287.363 3.715 20 0 CHADLO Cc1cccc(Nc2cnc(OC(C)C)c(C)c2)[nH+]1 ZINC001214937639 1134583711 /nfs/dbraw/zinc/58/37/11/1134583711.db2.gz YDYIYKAWZOVEIL-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO Cc1cn2cccc(Nc3cnc(OC(C)C)c(C)c3)c2[nH+]1 ZINC001214938820 1134583910 /nfs/dbraw/zinc/58/39/10/1134583910.db2.gz LPPRPJOYUGOXBN-UHFFFAOYSA-N 1 2 296.374 3.877 20 0 CHADLO Cc1cc(CO)cc(C)c1Nc1cccc(C2CC2)[nH+]1 ZINC001214952244 1134585517 /nfs/dbraw/zinc/58/55/17/1134585517.db2.gz SCSLWBIEVKMWCL-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO Cc1c(CO)ccc(Nc2cccc(C3CC3)[nH+]2)c1C ZINC001215240640 1134609099 /nfs/dbraw/zinc/60/90/99/1134609099.db2.gz IDXFBKKMAYLDDH-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO COCc1cc(C)c(Nc2[nH+]c(C)ccc2O)c(C)c1 ZINC001215528917 1134637759 /nfs/dbraw/zinc/63/77/59/1134637759.db2.gz IXTUMERTAZNRRN-UHFFFAOYSA-N 1 2 272.348 3.602 20 0 CHADLO COCc1cc(C)c(Nc2ccc(N(C)C)[nH+]c2)c(C)c1 ZINC001215528774 1134637768 /nfs/dbraw/zinc/63/77/68/1134637768.db2.gz RCXYBYPVDUFLAV-UHFFFAOYSA-N 1 2 285.391 3.654 20 0 CHADLO CCOc1cc(Nc2cc3n[nH]cc3c(F)c2)cc(C)[nH+]1 ZINC001215553800 1134639451 /nfs/dbraw/zinc/63/94/51/1134639451.db2.gz IBEUBDFRGADIKM-UHFFFAOYSA-N 1 2 286.310 3.548 20 0 CHADLO CCOc1cc(Nc2cc3[nH]ncc3c(F)c2)cc(C)[nH+]1 ZINC001215553800 1134639452 /nfs/dbraw/zinc/63/94/52/1134639452.db2.gz IBEUBDFRGADIKM-UHFFFAOYSA-N 1 2 286.310 3.548 20 0 CHADLO CCOc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c(C)n1 ZINC001215578284 1134646871 /nfs/dbraw/zinc/64/68/71/1134646871.db2.gz UQDBGPSVKKRAQZ-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO Cc1ccc(OC(C)C)cc1N(C)c1[nH+]cccc1N ZINC001215583510 1134648623 /nfs/dbraw/zinc/64/86/23/1134648623.db2.gz QZEAEXXEAMEVFQ-UHFFFAOYSA-N 1 2 271.364 3.527 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1N(C)c1[nH+]cccc1N ZINC001215686719 1134659693 /nfs/dbraw/zinc/65/96/93/1134659693.db2.gz HIVFTOXCJGGXSN-UHFFFAOYSA-N 1 2 285.391 3.836 20 0 CHADLO COc1cc(Nc2cccn3cc[nH+]c23)cc(C)c1F ZINC001215700308 1134661326 /nfs/dbraw/zinc/66/13/26/1134661326.db2.gz GZIYXTOKURRKJR-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CC(C)Oc1cc(Nc2ccccc2-n2cc[nH+]c2)ccn1 ZINC001215768741 1134681520 /nfs/dbraw/zinc/68/15/20/1134681520.db2.gz RWCYTCBRUONGMF-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO CCOCc1cncc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001215788778 1134686238 /nfs/dbraw/zinc/68/62/38/1134686238.db2.gz ZTVFWIKILNOAPQ-UHFFFAOYSA-N 1 2 294.358 3.547 20 0 CHADLO Cc1ccc(Nc2[nH+]cc(C)cc2N)c(OC(C)C)c1 ZINC001215877471 1134711976 /nfs/dbraw/zinc/71/19/76/1134711976.db2.gz IEOSHOWUYRKRPS-UHFFFAOYSA-N 1 2 271.364 3.811 20 0 CHADLO CSc1ccc(Nc2ccc(C)[nH+]c2C)c(C)n1 ZINC001215905787 1134715117 /nfs/dbraw/zinc/71/51/17/1134715117.db2.gz UMQLOLYBOMYNJC-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC(C)C)nc1C ZINC001215919109 1134720263 /nfs/dbraw/zinc/72/02/63/1134720263.db2.gz LSKUIRCZWKRYES-UHFFFAOYSA-N 1 2 287.363 3.715 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)c(F)c(F)c1N ZINC001216008122 1134749299 /nfs/dbraw/zinc/74/92/99/1134749299.db2.gz AUFFTWACFBSXTQ-UHFFFAOYSA-N 1 2 281.281 3.696 20 0 CHADLO COc1cc(Nc2cc(C(C)C)ccc2N)cc(C)[nH+]1 ZINC001216020216 1134750546 /nfs/dbraw/zinc/75/05/46/1134750546.db2.gz ARUWAIVGJZHCMS-UHFFFAOYSA-N 1 2 271.364 3.848 20 0 CHADLO Clc1ccc(Nc2cccn3cc[nH+]c23)c2n[nH]cc21 ZINC001216055493 1134762242 /nfs/dbraw/zinc/76/22/42/1134762242.db2.gz HTNSFHKJKROPPY-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(N(C)C)c(Cl)c1 ZINC001216058538 1134762889 /nfs/dbraw/zinc/76/28/89/1134762889.db2.gz KRTXFJUPXFLXSW-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO Cc1ccc(Nc2ccc(N(C)C)c(Cl)c2)[nH+]c1 ZINC001216059097 1134764234 /nfs/dbraw/zinc/76/42/34/1134764234.db2.gz AJHGUCDLSHQBPK-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(C)cc(Cl)c2N)[nH+]1 ZINC001216089128 1134773744 /nfs/dbraw/zinc/77/37/44/1134773744.db2.gz OHDZIIQBRLECCN-UHFFFAOYSA-N 1 2 286.766 3.930 20 0 CHADLO Cc1cc(Cl)c(N)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001216093246 1134775196 /nfs/dbraw/zinc/77/51/96/1134775196.db2.gz YXKFUAZIADNAFK-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO Cc1cc(Cl)c(N)c(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001216093883 1134775790 /nfs/dbraw/zinc/77/57/90/1134775790.db2.gz VIFHSOOUPYXHTP-UHFFFAOYSA-N 1 2 286.766 3.861 20 0 CHADLO COc1cc(Nc2ccc3c(C)cc[nH+]c3c2)ccc1CO ZINC001216118514 1134782959 /nfs/dbraw/zinc/78/29/59/1134782959.db2.gz XXCZTIDFVJFZEQ-UHFFFAOYSA-N 1 2 294.354 3.788 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(C)c(CO)c(C)c1 ZINC001216123249 1134784757 /nfs/dbraw/zinc/78/47/57/1134784757.db2.gz LKLUMAMQTDXIAY-UHFFFAOYSA-N 1 2 270.376 3.805 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ccc(O)c(CO)c1 ZINC001216138609 1134788122 /nfs/dbraw/zinc/78/81/22/1134788122.db2.gz PFYIOBFKACEHGJ-UHFFFAOYSA-N 1 2 286.375 3.530 20 0 CHADLO COc1cc(CO)cc(Nc2ccc3c(C)cc[nH+]c3c2)c1 ZINC001216202535 1134808819 /nfs/dbraw/zinc/80/88/19/1134808819.db2.gz VAJSLQXJUWVLMJ-UHFFFAOYSA-N 1 2 294.354 3.788 20 0 CHADLO CCOc1cc(Nc2cnc(Cl)cc2C)cc(C)[nH+]1 ZINC001216277887 1134833388 /nfs/dbraw/zinc/83/33/88/1134833388.db2.gz QEQQZEANQLBJQW-UHFFFAOYSA-N 1 2 277.755 3.889 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2c(cccc2F)o1 ZINC001216291439 1134837597 /nfs/dbraw/zinc/83/75/97/1134837597.db2.gz JDCGWNZVHOSEMI-UHFFFAOYSA-N 1 2 258.252 3.719 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c2ccoc21 ZINC001216295279 1134838773 /nfs/dbraw/zinc/83/87/73/1134838773.db2.gz LFWBYSKDATXMFG-UHFFFAOYSA-N 1 2 258.252 3.719 20 0 CHADLO Cc1c(O)cccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001216319152 1134851446 /nfs/dbraw/zinc/85/14/46/1134851446.db2.gz PEFRUEXUILKKFQ-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO COc1c(F)ccc(Nc2cc[nH+]c(SC)c2)c1OC ZINC001216392313 1134880470 /nfs/dbraw/zinc/88/04/70/1134880470.db2.gz YZSIGTJOQNXVGR-UHFFFAOYSA-N 1 2 294.351 3.703 20 0 CHADLO CCc1cccc(Nc2cc(F)cc(C(C)(C)O)c2)[nH+]1 ZINC001216489685 1134905171 /nfs/dbraw/zinc/90/51/71/1134905171.db2.gz SJWNHNIYZPAIAJ-UHFFFAOYSA-N 1 2 274.339 3.754 20 0 CHADLO CC(=O)c1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1F ZINC001216499103 1134910084 /nfs/dbraw/zinc/91/00/84/1134910084.db2.gz FGRKQHBLKXIHSX-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO CCCOc1ccc(Nc2[nH+]cc(C)cc2C)cn1 ZINC001216585208 1134924462 /nfs/dbraw/zinc/92/44/62/1134924462.db2.gz FEJPMAINIBFLAY-UHFFFAOYSA-N 1 2 257.337 3.626 20 0 CHADLO CCc1ccc(C[N@@H+]2CCC=C(Br)C2)s1 ZINC000404219075 1134940594 /nfs/dbraw/zinc/94/05/94/1134940594.db2.gz WHNKGBZSPCZVIW-UHFFFAOYSA-N 1 2 286.238 3.795 20 0 CHADLO CCc1ccc(C[N@H+]2CCC=C(Br)C2)s1 ZINC000404219075 1134940602 /nfs/dbraw/zinc/94/06/02/1134940602.db2.gz WHNKGBZSPCZVIW-UHFFFAOYSA-N 1 2 286.238 3.795 20 0 CHADLO Clc1cccc(-c2noc(-c3cccc4[nH+]ccn43)n2)c1 ZINC001217500781 1134981534 /nfs/dbraw/zinc/98/15/34/1134981534.db2.gz KWIJUPAWEIVQNT-UHFFFAOYSA-N 1 2 296.717 3.705 20 0 CHADLO C[C@@H](Oc1ccc[nH+]c1N)c1ccc2ccccc2c1 ZINC001217946542 1135029644 /nfs/dbraw/zinc/02/96/44/1135029644.db2.gz PAURARNLHPADIP-GFCCVEGCSA-N 1 2 264.328 3.957 20 0 CHADLO C[C@@H](Oc1cc(N)cc[nH+]1)c1ccccc1OC(F)(F)F ZINC001218319043 1135087209 /nfs/dbraw/zinc/08/72/09/1135087209.db2.gz GGJSPSBGGXIKGY-SECBINFHSA-N 1 2 298.264 3.702 20 0 CHADLO Nc1cc[nH+]c(OC2CC(c3ccccc3Cl)C2)c1 ZINC001218342296 1135090516 /nfs/dbraw/zinc/09/05/16/1135090516.db2.gz UFJDOUSVNZRRFX-UHFFFAOYSA-N 1 2 274.751 3.642 20 0 CHADLO Nc1cc[nH+]c(O[C@H]2CCCC[C@@H]2c2ccccc2)c1 ZINC001218357274 1135094436 /nfs/dbraw/zinc/09/44/36/1135094436.db2.gz KAANRXYZIRBFFK-CVEARBPZSA-N 1 2 268.360 3.769 20 0 CHADLO COc1c(F)c(C)ccc1-c1cc(C)c2[nH+]ccn2c1 ZINC001222308471 1135221840 /nfs/dbraw/zinc/22/18/40/1135221840.db2.gz GAEHJCMYSWDXER-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO FC(F)(F)Oc1cccc(-c2ccn3cc[nH+]c3c2)c1 ZINC001222324066 1135226240 /nfs/dbraw/zinc/22/62/40/1135226240.db2.gz YNHVOOISPRXDGH-UHFFFAOYSA-N 1 2 278.233 3.900 20 0 CHADLO COc1ccc2cc([C@@H](C)OCc3c[nH+]cn3C)ccc2c1 ZINC001222594679 1135254263 /nfs/dbraw/zinc/25/42/63/1135254263.db2.gz ISFUFJRQGNKAEK-CYBMUJFWSA-N 1 2 296.370 3.860 20 0 CHADLO CCCC[C@@H](OCc1c[nH+]cn1C)[C@H](C)CCC ZINC001222600897 1135255088 /nfs/dbraw/zinc/25/50/88/1135255088.db2.gz UVRWAKBVAHYVHR-UKRRQHHQSA-N 1 2 252.402 3.932 20 0 CHADLO CC(C)(C)[NH+]1CC(O[C@@](F)(Cl)c2ccccc2)C1 ZINC001222958316 1135288412 /nfs/dbraw/zinc/28/84/12/1135288412.db2.gz RJXVPXHDVSHXGO-CQSZACIVSA-N 1 2 271.763 3.505 20 0 CHADLO Cc1cc(COC2CC(OCc3ccccc3)C2)cc(C)[nH+]1 ZINC001223419612 1135342356 /nfs/dbraw/zinc/34/23/56/1135342356.db2.gz GIUFBKOLEODZKY-UHFFFAOYSA-N 1 2 297.398 3.963 20 0 CHADLO Cc1cc(CO[C@H]2CCc3c2nccc3Cl)cc(C)[nH+]1 ZINC001223421926 1135343673 /nfs/dbraw/zinc/34/36/73/1135343673.db2.gz SBNZAXJPIRXAFL-HNNXBMFYSA-N 1 2 288.778 3.951 20 0 CHADLO Cc1cc(CO[C@@H](C)COc2ccccc2C)cc(C)[nH+]1 ZINC001223426092 1135344394 /nfs/dbraw/zinc/34/43/94/1135344394.db2.gz YJDUFFXYPYJUGA-INIZCTEOSA-N 1 2 285.387 3.991 20 0 CHADLO C=C(OC1C[NH+](Cc2ccccc2)C1)c1ccccc1 ZINC001223638009 1135368100 /nfs/dbraw/zinc/36/81/00/1135368100.db2.gz ZAGSAPCURKRLFM-UHFFFAOYSA-N 1 2 265.356 3.558 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](C)COc1ccccc1C ZINC001224967478 1135497339 /nfs/dbraw/zinc/49/73/39/1135497339.db2.gz VUEZGPAXYFMWKB-OAHLLOKOSA-N 1 2 293.407 3.963 20 0 CHADLO C[C@H](Oc1cc[nH+]cc1)c1c(F)c(F)c(F)c(F)c1F ZINC001225291323 1135537074 /nfs/dbraw/zinc/53/70/74/1135537074.db2.gz RSTVNWLBYRJSAD-LURJTMIESA-N 1 2 289.203 3.917 20 0 CHADLO c1ccc([C@@H](Oc2cc[nH+]cc2)C2CCOCC2)cc1 ZINC001225296576 1135537715 /nfs/dbraw/zinc/53/77/15/1135537715.db2.gz ZBTLODIWISJYMX-QGZVFWFLSA-N 1 2 269.344 3.628 20 0 CHADLO c1ccc([C@H](Oc2cc[nH+]cc2)C2CCOCC2)cc1 ZINC001225296575 1135538203 /nfs/dbraw/zinc/53/82/03/1135538203.db2.gz ZBTLODIWISJYMX-KRWDZBQOSA-N 1 2 269.344 3.628 20 0 CHADLO Cc1cc(-c2cccc(Cl)c2Cl)c[nH+]c1N ZINC000082730074 178247575 /nfs/dbraw/zinc/24/75/75/178247575.db2.gz NFFKBQIQXQQTQK-UHFFFAOYSA-N 1 2 253.132 3.946 20 0 CHADLO Clc1ccc2[nH]c(NCc3ccccc3)[nH+]c2c1 ZINC000082739904 178262360 /nfs/dbraw/zinc/26/23/60/178262360.db2.gz FCURLBVZJKNUKS-UHFFFAOYSA-N 1 2 257.724 3.828 20 0 CHADLO Clc1ccc2[nH+]c(NCc3ccccc3)[nH]c2c1 ZINC000082739904 178262363 /nfs/dbraw/zinc/26/23/63/178262363.db2.gz FCURLBVZJKNUKS-UHFFFAOYSA-N 1 2 257.724 3.828 20 0 CHADLO Cc1ccc(NCc2cccc(Cl)c2F)c[nH+]1 ZINC000083383929 178387225 /nfs/dbraw/zinc/38/72/25/178387225.db2.gz UEYHEYCAJQVYGG-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CC[C@H](C[C@H](C)CO)Nc1[nH+]ccc2ccc(F)cc21 ZINC000354547516 529423718 /nfs/dbraw/zinc/42/37/18/529423718.db2.gz WDWMWQFSAZZUEO-SMDDNHRTSA-N 1 2 276.355 3.583 20 0 CHADLO CC[C@H](NC(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1 ZINC000353653899 529531548 /nfs/dbraw/zinc/53/15/48/529531548.db2.gz CFPLHVBQAWSRHN-HNNXBMFYSA-N 1 2 294.358 3.607 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)cn1 ZINC000347886277 529645372 /nfs/dbraw/zinc/64/53/72/529645372.db2.gz SVSQCSVTXOXDFL-DNVCBOLYSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)cn1 ZINC000347886277 529645373 /nfs/dbraw/zinc/64/53/73/529645373.db2.gz SVSQCSVTXOXDFL-DNVCBOLYSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1cnc(C[NH2+]C2(c3nccs3)CCCC2)s1 ZINC000344237571 529652533 /nfs/dbraw/zinc/65/25/33/529652533.db2.gz WXKLCPMYRUYFMD-UHFFFAOYSA-N 1 2 293.461 3.721 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nnc(C)s1)c1cc(F)ccc1F ZINC000354973169 529667876 /nfs/dbraw/zinc/66/78/76/529667876.db2.gz WMWYDLOIRNVIQI-OQPBUACISA-N 1 2 297.374 3.927 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCOc3c(C)cccc32)cs1 ZINC000353211124 529740851 /nfs/dbraw/zinc/74/08/51/529740851.db2.gz RGYHYTIYSXWLMI-AWEZNQCLSA-N 1 2 288.416 3.627 20 0 CHADLO CCc1nnc(C[N@H+](Cc2ccc(C(C)C)cc2)C2CC2)[nH]1 ZINC000347357256 529735520 /nfs/dbraw/zinc/73/55/20/529735520.db2.gz FVOUFYXBAQENCV-UHFFFAOYSA-N 1 2 298.434 3.655 20 0 CHADLO CCc1nnc(C[N@@H+](Cc2ccc(C(C)C)cc2)C2CC2)[nH]1 ZINC000347357256 529735521 /nfs/dbraw/zinc/73/55/21/529735521.db2.gz FVOUFYXBAQENCV-UHFFFAOYSA-N 1 2 298.434 3.655 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[N@H+](C)Cc1ccsc1 ZINC000127190865 187287935 /nfs/dbraw/zinc/28/79/35/187287935.db2.gz CNVMYWCTAWHVSE-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[N@@H+](C)Cc1ccsc1 ZINC000127190865 187287938 /nfs/dbraw/zinc/28/79/38/187287938.db2.gz CNVMYWCTAWHVSE-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[N@H+](Cc1c(Cl)cccc1Cl)[C@H]1CCCOC1 ZINC000352195269 529974009 /nfs/dbraw/zinc/97/40/09/529974009.db2.gz PLFCHPICIRNHNN-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[N@@H+](Cc1c(Cl)cccc1Cl)[C@H]1CCCOC1 ZINC000352195269 529974011 /nfs/dbraw/zinc/97/40/11/529974011.db2.gz PLFCHPICIRNHNN-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2cccc(C)c2)cc(C)[nH+]1 ZINC000573825737 334969512 /nfs/dbraw/zinc/96/95/12/334969512.db2.gz UGKXQWOCXFQYEH-MRXNPFEDSA-N 1 2 281.403 3.997 20 0 CHADLO Clc1ccc([C@@H]2CCC[C@H]2Nc2c[nH]c[nH+]2)cc1 ZINC000574246637 335003711 /nfs/dbraw/zinc/00/37/11/335003711.db2.gz MAEWDYIDYOTYOV-QWHCGFSZSA-N 1 2 261.756 3.811 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@H](C(F)(F)F)[C@@H]1C ZINC000360702918 261094749 /nfs/dbraw/zinc/09/47/49/261094749.db2.gz LCBLGOOAJZESSW-RYUDHWBXSA-N 1 2 289.345 3.627 20 0 CHADLO COc1ccc[nH+]c1NCc1ccccc1C(F)(F)F ZINC000169115055 335024265 /nfs/dbraw/zinc/02/42/65/335024265.db2.gz JLSTUHPPOIBYLF-UHFFFAOYSA-N 1 2 282.265 3.721 20 0 CHADLO COc1cc2c(cc1F)C[N@H+](Cc1ccccc1F)CC2 ZINC000347664144 533164263 /nfs/dbraw/zinc/16/42/63/533164263.db2.gz DJPIWMFEIUOGTE-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc2c(cc1F)C[N@@H+](Cc1ccccc1F)CC2 ZINC000347664144 533164264 /nfs/dbraw/zinc/16/42/64/533164264.db2.gz DJPIWMFEIUOGTE-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1cc(N)nc(S[C@@H](C)c2ccc(F)c(Cl)c2)[nH+]1 ZINC000497661560 262186870 /nfs/dbraw/zinc/18/68/70/262186870.db2.gz URYVNLFWAQUTHH-QMMMGPOBSA-N 1 2 297.786 3.843 20 0 CHADLO CCN(CC)c1ccc(NCC2(SC)CCC2)c[nH+]1 ZINC000311423754 262250282 /nfs/dbraw/zinc/25/02/82/262250282.db2.gz DGZKIACRRISBPN-UHFFFAOYSA-N 1 2 279.453 3.625 20 0 CHADLO CN(C)c1ccc(NCc2cccc(C(F)(F)F)c2)c[nH+]1 ZINC000036988811 260061743 /nfs/dbraw/zinc/06/17/43/260061743.db2.gz VMOPPHONOAEWQG-UHFFFAOYSA-N 1 2 295.308 3.779 20 0 CHADLO COc1c2ccccc2oc1C[NH2+][C@@H](C)c1cc(C)on1 ZINC000353596367 533451240 /nfs/dbraw/zinc/45/12/40/533451240.db2.gz NINNYOGJWCDBHI-NSHDSACASA-N 1 2 286.331 3.589 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2cc(F)c(F)c(F)c21)c1cscn1 ZINC000334907762 533525962 /nfs/dbraw/zinc/52/59/62/533525962.db2.gz WKLAGABOMQCANO-GMSGAONNSA-N 1 2 298.333 3.899 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)c2ccc(C(C)(C)C)cc2)c2[nH+]ccn21 ZINC000334743734 533636130 /nfs/dbraw/zinc/63/61/30/533636130.db2.gz LVOJLSZKNBETRA-IUODEOHRSA-N 1 2 297.402 3.616 20 0 CHADLO COc1ncccc1CNc1cc[nH+]c2c(Cl)cccc12 ZINC000341746900 130017045 /nfs/dbraw/zinc/01/70/45/130017045.db2.gz WDVMKSMTIGZQPY-UHFFFAOYSA-N 1 2 299.761 3.904 20 0 CHADLO C[C@H]([NH2+][C@@H](CC(F)(F)F)c1ccccc1)c1nccn1C ZINC000341761713 130027142 /nfs/dbraw/zinc/02/71/42/130027142.db2.gz QUYVRRWURNVFIW-AAEUAGOBSA-N 1 2 297.324 3.764 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](CC)c2ccc(F)cc2F)o1 ZINC000341854953 130097222 /nfs/dbraw/zinc/09/72/22/130097222.db2.gz IUFDEBJPWAEBKQ-ZANVPECISA-N 1 2 295.333 3.712 20 0 CHADLO CCOC(=O)C[NH2+][C@H](CC(C)C)c1ccc(Cl)cc1 ZINC000341902451 130117690 /nfs/dbraw/zinc/11/76/90/130117690.db2.gz FADYNMDSMQSKIG-CQSZACIVSA-N 1 2 283.799 3.580 20 0 CHADLO Cn1c(CCSCCCCF)[nH+]c2ccccc21 ZINC000342024768 130206130 /nfs/dbraw/zinc/20/61/30/130206130.db2.gz OVLJEIONEKNKDE-UHFFFAOYSA-N 1 2 266.385 3.599 20 0 CHADLO Cc1ccc2[nH]c(-c3cc(-n4cc[nH+]c4)cs3)nc2c1 ZINC000342135718 130293623 /nfs/dbraw/zinc/29/36/23/130293623.db2.gz LCQJWWXQAVWGGE-UHFFFAOYSA-N 1 2 280.356 3.786 20 0 CHADLO Cc1ccc2nc(-c3cc(-n4cc[nH+]c4)cs3)[nH]c2c1 ZINC000342135718 130293627 /nfs/dbraw/zinc/29/36/27/130293627.db2.gz LCQJWWXQAVWGGE-UHFFFAOYSA-N 1 2 280.356 3.786 20 0 CHADLO Cc1noc(C[NH2+][C@H](c2cccc(F)c2)C2CCCC2)n1 ZINC000342226157 130397538 /nfs/dbraw/zinc/39/75/38/130397538.db2.gz GLTZTHBMHGUEKM-INIZCTEOSA-N 1 2 289.354 3.538 20 0 CHADLO Cc1cc2[nH+]cn(Cc3ccc(Cl)nc3)c2cc1C ZINC000019275282 170769052 /nfs/dbraw/zinc/76/90/52/170769052.db2.gz ZRRKFQWCQBKGES-UHFFFAOYSA-N 1 2 271.751 3.750 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(Cl)c(Cl)c1 ZINC000020317482 171147849 /nfs/dbraw/zinc/14/78/49/171147849.db2.gz QJICHPZJSKIRDK-UHFFFAOYSA-N 1 2 254.120 3.714 20 0 CHADLO Nc1ccc[nH+]c1Nc1cccc(Cl)c1Cl ZINC000020317715 171147959 /nfs/dbraw/zinc/14/79/59/171147959.db2.gz OJWDPWVRCIFAET-UHFFFAOYSA-N 1 2 254.120 3.714 20 0 CHADLO C[C@H]([NH2+]Cc1ccco1)c1nc2ccccc2s1 ZINC000022169442 171367452 /nfs/dbraw/zinc/36/74/52/171367452.db2.gz BCUYQSHRMSZJIN-JTQLQIEISA-N 1 2 258.346 3.740 20 0 CHADLO Cc1nccc(C[NH2+][C@@H](C)c2ccc(Cl)cc2Cl)n1 ZINC000038037427 174037437 /nfs/dbraw/zinc/03/74/37/174037437.db2.gz YMSKHRKXRZQZRB-VIFPVBQESA-N 1 2 296.201 3.943 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cccc(Cl)c2)oc1C ZINC000038092414 174106254 /nfs/dbraw/zinc/10/62/54/174106254.db2.gz GUCBGKZHNKAQSD-SNVBAGLBSA-N 1 2 264.756 3.796 20 0 CHADLO c1cc2c(s1)CC[N@@H+](Cc1ncc(-c3ccccc3)o1)C2 ZINC000040033628 174183754 /nfs/dbraw/zinc/18/37/54/174183754.db2.gz SDUVMWFUJFSNCH-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO c1cc2c(s1)CC[N@H+](Cc1ncc(-c3ccccc3)o1)C2 ZINC000040033628 174183755 /nfs/dbraw/zinc/18/37/55/174183755.db2.gz SDUVMWFUJFSNCH-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(Cl)c(F)c1 ZINC000071413925 176159317 /nfs/dbraw/zinc/15/93/17/176159317.db2.gz QCCVWWUXZSGZCY-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CCCCn1c(SCC(C)C)nnc1[C@H](CC)[NH+](C)C ZINC000072115103 176318115 /nfs/dbraw/zinc/31/81/15/176318115.db2.gz ISUDUTKRPYBHKS-ZDUSSCGKSA-N 1 2 298.500 3.839 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)CC[C@@H]2C)c(C)[nH+]1 ZINC000334714147 225284163 /nfs/dbraw/zinc/28/41/63/225284163.db2.gz WVRKJUJZOMNANN-MFKMUULPSA-N 1 2 275.396 3.659 20 0 CHADLO C[C@H]1C[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)c2[nH+]ccn21 ZINC000334743737 225299098 /nfs/dbraw/zinc/29/90/98/225299098.db2.gz LVOJLSZKNBETRA-WFASDCNBSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1nc(C)c(CNc2ccc3c(c2)CCC[NH2+]3)s1 ZINC000334865473 225341200 /nfs/dbraw/zinc/34/12/00/225341200.db2.gz UIONYKAYBQGTEB-UHFFFAOYSA-N 1 2 273.405 3.730 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000346321481 225766889 /nfs/dbraw/zinc/76/68/89/225766889.db2.gz NMMINWMKZZDWOZ-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000346321481 225766891 /nfs/dbraw/zinc/76/68/91/225766891.db2.gz NMMINWMKZZDWOZ-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO C[C@@H](CCC1CC1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000346622761 225849463 /nfs/dbraw/zinc/84/94/63/225849463.db2.gz UVZPZLWRKHAYJH-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO c1ccc2c(c1)cccc2CCNc1cc[nH+]c(C2CC2)n1 ZINC000346744317 225895260 /nfs/dbraw/zinc/89/52/60/225895260.db2.gz STWFCFZPDHFDEZ-UHFFFAOYSA-N 1 2 289.382 3.584 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2F)n1 ZINC000347390383 226144021 /nfs/dbraw/zinc/14/40/21/226144021.db2.gz WDMRPQVCICYVMB-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@@H](C)c2ccc(F)cc2F)n1 ZINC000347390383 226144026 /nfs/dbraw/zinc/14/40/26/226144026.db2.gz WDMRPQVCICYVMB-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+]2CC(C)(C)[C@H]2c2cccs2)o1 ZINC000347597383 226212449 /nfs/dbraw/zinc/21/24/49/226212449.db2.gz JCOKCFPDRZMNJE-ZWNOBZJWSA-N 1 2 291.420 3.838 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+]2CC(C)(C)[C@H]2c2cccs2)o1 ZINC000347597383 226212453 /nfs/dbraw/zinc/21/24/53/226212453.db2.gz JCOKCFPDRZMNJE-ZWNOBZJWSA-N 1 2 291.420 3.838 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)cc1 ZINC000347673083 226218578 /nfs/dbraw/zinc/21/85/78/226218578.db2.gz CWIYWNTZHQLZPU-HNNXBMFYSA-N 1 2 291.345 3.924 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)cc1 ZINC000347673083 226218580 /nfs/dbraw/zinc/21/85/80/226218580.db2.gz CWIYWNTZHQLZPU-HNNXBMFYSA-N 1 2 291.345 3.924 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347704480 226240192 /nfs/dbraw/zinc/24/01/92/226240192.db2.gz ODISJJLBBZZSPU-ZDUSSCGKSA-N 1 2 295.333 3.907 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@H+]2Cc2ccn(C(F)F)n2)o1 ZINC000347704480 226240195 /nfs/dbraw/zinc/24/01/95/226240195.db2.gz ODISJJLBBZZSPU-ZDUSSCGKSA-N 1 2 295.333 3.907 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1cccc2cccnc21 ZINC000347868626 226387279 /nfs/dbraw/zinc/38/72/79/226387279.db2.gz AHXLTKIBTWFPHR-UHFFFAOYSA-N 1 2 277.371 3.983 20 0 CHADLO Cc1c2ccccc2oc1[C@H](C)[NH2+]Cc1ncc(C)cn1 ZINC000348003068 226395326 /nfs/dbraw/zinc/39/53/26/226395326.db2.gz KIQCFVYXNGDICR-ZDUSSCGKSA-N 1 2 281.359 3.690 20 0 CHADLO Cc1ccc(C(=O)N2C[C@H](C)[C@H]2C)cc1Oc1cc[nH+]cc1 ZINC000336436897 227009820 /nfs/dbraw/zinc/00/98/20/227009820.db2.gz RKJQLEMYHPFDOJ-UONOGXRCSA-N 1 2 296.370 3.663 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1ccc(F)cc1C(F)(F)F ZINC000354520625 227020897 /nfs/dbraw/zinc/02/08/97/227020897.db2.gz KYDQHRMUFUUVGI-NSHDSACASA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1ccc(F)cc1C(F)(F)F ZINC000354520625 227020901 /nfs/dbraw/zinc/02/09/01/227020901.db2.gz KYDQHRMUFUUVGI-NSHDSACASA-N 1 2 279.252 3.778 20 0 CHADLO COC1(CNc2[nH+]cccc2OCc2ccccc2)CCC1 ZINC000354564616 227034947 /nfs/dbraw/zinc/03/49/47/227034947.db2.gz BWDVJZYIUUDJLA-UHFFFAOYSA-N 1 2 298.386 3.642 20 0 CHADLO c1ccc(COc2ccc[nH+]c2N[C@H]2CCSC2)cc1 ZINC000354597586 227045934 /nfs/dbraw/zinc/04/59/34/227045934.db2.gz QIHJYNHDQQPJNG-AWEZNQCLSA-N 1 2 286.400 3.578 20 0 CHADLO COc1cc2c(cc1Cl)C[N@H+](Cc1ccsc1)CC2 ZINC000354628667 227052609 /nfs/dbraw/zinc/05/26/09/227052609.db2.gz SCNLEYJSWISOJB-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cc2c(cc1Cl)C[N@@H+](Cc1ccsc1)CC2 ZINC000354628667 227052614 /nfs/dbraw/zinc/05/26/14/227052614.db2.gz SCNLEYJSWISOJB-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO Cc1ccc2c(c1C)OC[C@H]2[NH2+]Cc1ncc(Cl)s1 ZINC000336490103 227060757 /nfs/dbraw/zinc/06/07/57/227060757.db2.gz JJNVWGJWZKYFGS-LLVKDONJSA-N 1 2 294.807 3.637 20 0 CHADLO Cc1cc(CNc2cc[nH+]c(C(C)C)n2)cc(C)c1O ZINC000171849045 335082900 /nfs/dbraw/zinc/08/29/00/335082900.db2.gz GYKFYDPWPVJJCO-UHFFFAOYSA-N 1 2 271.364 3.535 20 0 CHADLO Cc1cc(C)c(C[NH2+]CC(F)(F)C(F)F)cc1C ZINC000191779512 227172281 /nfs/dbraw/zinc/17/22/81/227172281.db2.gz ZZBMTIAARSEAHB-UHFFFAOYSA-N 1 2 263.278 3.602 20 0 CHADLO C[C@@H]1c2cc(Cl)cc(Cl)c2CCN1Cc1c[nH+]c[nH]1 ZINC000355018795 227316966 /nfs/dbraw/zinc/31/69/66/227316966.db2.gz DVHHMDHDUDTFLS-SECBINFHSA-N 1 2 296.201 3.836 20 0 CHADLO C[C@@H]1c2cc(Cl)cc(Cl)c2CCN1Cc1c[nH]c[nH+]1 ZINC000355018795 227316968 /nfs/dbraw/zinc/31/69/68/227316968.db2.gz DVHHMDHDUDTFLS-SECBINFHSA-N 1 2 296.201 3.836 20 0 CHADLO Cc1cc(N(C)C[C@@H]2CCCCO2)c2cccc(F)c2[nH+]1 ZINC000301674690 227637513 /nfs/dbraw/zinc/63/75/13/227637513.db2.gz PGKHCLJZSSTUQJ-ZDUSSCGKSA-N 1 2 288.366 3.688 20 0 CHADLO OCc1cccc(NCc2cc(-c3ccccc3)cs2)[nH+]1 ZINC000351408177 227805008 /nfs/dbraw/zinc/80/50/08/227805008.db2.gz GOVCMIVQEIYHOJ-UHFFFAOYSA-N 1 2 296.395 3.915 20 0 CHADLO C[C@H]1[C@H](Cc2ccccc2)CCN1c1cc[nH+]c(C2CC2)n1 ZINC000353491867 228110058 /nfs/dbraw/zinc/11/00/58/228110058.db2.gz VVUQAEDLXZVFMD-YOEHRIQHSA-N 1 2 293.414 3.812 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2C/C=C\c2ccccc2)n1 ZINC000353548390 228118808 /nfs/dbraw/zinc/11/88/08/228118808.db2.gz GMHLMMOVYZRJIT-BSDKJSHOSA-N 1 2 283.375 3.618 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2C/C=C\c2ccccc2)n1 ZINC000353548390 228118809 /nfs/dbraw/zinc/11/88/09/228118809.db2.gz GMHLMMOVYZRJIT-BSDKJSHOSA-N 1 2 283.375 3.618 20 0 CHADLO FC(F)(C[NH2+]Cc1ccncc1)c1ccc(Cl)cc1 ZINC000353091310 228039673 /nfs/dbraw/zinc/03/96/73/228039673.db2.gz WYHFLWIXVSPKNZ-UHFFFAOYSA-N 1 2 282.721 3.617 20 0 CHADLO FC(F)Oc1cccc(C[N@@H+]2CCC[C@H]2C(F)F)c1 ZINC000353177720 228066616 /nfs/dbraw/zinc/06/66/16/228066616.db2.gz WCHKQRKCVCWIFN-NSHDSACASA-N 1 2 277.261 3.518 20 0 CHADLO FC(F)Oc1cccc(C[N@H+]2CCC[C@H]2C(F)F)c1 ZINC000353177720 228066618 /nfs/dbraw/zinc/06/66/18/228066618.db2.gz WCHKQRKCVCWIFN-NSHDSACASA-N 1 2 277.261 3.518 20 0 CHADLO COc1cccc(C[N@H+](C)[C@H](C)c2c(F)cccc2F)n1 ZINC000353295261 228081181 /nfs/dbraw/zinc/08/11/81/228081181.db2.gz MBMPZSWIRUYXIC-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@H](C)c2c(F)cccc2F)n1 ZINC000353295261 228081182 /nfs/dbraw/zinc/08/11/82/228081182.db2.gz MBMPZSWIRUYXIC-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO Clc1ccc2[nH+]cc(CN3Cc4ccccc4C3)n2c1 ZINC000353389340 228093515 /nfs/dbraw/zinc/09/35/15/228093515.db2.gz VDPBZLZPYSUCJX-UHFFFAOYSA-N 1 2 283.762 3.504 20 0 CHADLO Cc1ccc(Cc2noc(CCCC3CCCC3)n2)c[nH+]1 ZINC000356111932 228125192 /nfs/dbraw/zinc/12/51/92/228125192.db2.gz OQTVYPQDGNSYMU-UHFFFAOYSA-N 1 2 285.391 3.877 20 0 CHADLO Cc1ccc(Cc2noc(C[C@H]3CCC[C@H](C)C3)n2)c[nH+]1 ZINC000356119623 228129106 /nfs/dbraw/zinc/12/91/06/228129106.db2.gz CAAFNHJJAGYQHL-JSGCOSHPSA-N 1 2 285.391 3.733 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@H]1CCC[C@@H](C)C1 ZINC000351922803 228165265 /nfs/dbraw/zinc/16/52/65/228165265.db2.gz KRXMDUGSBVEYPL-RISCZKNCSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CC[C@H](C)[C@@H](C)C1 ZINC000351934237 228167419 /nfs/dbraw/zinc/16/74/19/228167419.db2.gz BILZDBQAIYDXCY-VHRBIJSZSA-N 1 2 275.396 3.645 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCc1coc2ccccc12 ZINC000351942774 228168002 /nfs/dbraw/zinc/16/80/02/228168002.db2.gz HXJRESIYHOLOJI-UHFFFAOYSA-N 1 2 295.342 3.766 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@@H](C)c2ccccc21 ZINC000351943604 228168342 /nfs/dbraw/zinc/16/83/42/228168342.db2.gz MYYXKVMTZKGSPS-GFCCVEGCSA-N 1 2 281.359 3.854 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)Nc1cnn([C@H](C)C2CC2)c1 ZINC000351954790 228168426 /nfs/dbraw/zinc/16/84/26/228168426.db2.gz BMYIBNVMWIYVFP-GFCCVEGCSA-N 1 2 299.378 3.510 20 0 CHADLO Cc1ccc(OCC[N@@H+](C)Cc2ncc(Cl)s2)cc1 ZINC000351987958 228171212 /nfs/dbraw/zinc/17/12/12/228171212.db2.gz CBIJLJQPCXTPOR-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1ccc(OCC[N@H+](C)Cc2ncc(Cl)s2)cc1 ZINC000351987958 228171213 /nfs/dbraw/zinc/17/12/13/228171213.db2.gz CBIJLJQPCXTPOR-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Oc1cc(F)cc(C[N@H+](Cc2ccccc2F)C2CC2)c1 ZINC000352011544 228174072 /nfs/dbraw/zinc/17/40/72/228174072.db2.gz SEMLTMFQEFZJGB-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Oc1cc(F)cc(C[N@@H+](Cc2ccccc2F)C2CC2)c1 ZINC000352011544 228174073 /nfs/dbraw/zinc/17/40/73/228174073.db2.gz SEMLTMFQEFZJGB-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO FC1(F)CCC12C[NH+](Cc1cc(Cl)cs1)C2 ZINC000336017495 228177971 /nfs/dbraw/zinc/17/79/71/228177971.db2.gz IDWRQJDIXMSOGK-UHFFFAOYSA-N 1 2 263.740 3.633 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)c(C)c1 ZINC000333824202 228216014 /nfs/dbraw/zinc/21/60/14/228216014.db2.gz KIKLZGRSVMSLEM-ZIAGYGMSSA-N 1 2 298.390 3.636 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2cc(F)c(F)c(F)c21)c1nccs1 ZINC000336224555 228217616 /nfs/dbraw/zinc/21/76/16/228217616.db2.gz KZHLXJLSLVYWBK-XCBNKYQSSA-N 1 2 298.333 3.899 20 0 CHADLO C[N@H+](Cc1c[nH]c(-c2ccccc2)n1)Cc1ccccc1F ZINC000179607184 260057835 /nfs/dbraw/zinc/05/78/35/260057835.db2.gz NANGVFIWZKJXES-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO C[N@@H+](Cc1c[nH]c(-c2ccccc2)n1)Cc1ccccc1F ZINC000179607184 260057836 /nfs/dbraw/zinc/05/78/36/260057836.db2.gz NANGVFIWZKJXES-UHFFFAOYSA-N 1 2 295.361 3.848 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2ccco2)c[nH+]1 ZINC000037003647 260062046 /nfs/dbraw/zinc/06/20/46/260062046.db2.gz FSKHFXXCMRHXOD-GFCCVEGCSA-N 1 2 259.353 3.694 20 0 CHADLO CCc1cccc2c1OCC[C@@H]2Nc1cc[nH+]c(C(C)C)n1 ZINC000521364028 260086507 /nfs/dbraw/zinc/08/65/07/260086507.db2.gz BVIBYIFXQUFRJA-HNNXBMFYSA-N 1 2 297.402 3.520 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cc(F)c(F)cc3F)cn2c1 ZINC000180401410 260100511 /nfs/dbraw/zinc/10/05/11/260100511.db2.gz VFDCTBAHKYKAQV-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO CCOc1cccc(NCc2c(C)[nH+]c3ccccn32)c1 ZINC000037780361 260117119 /nfs/dbraw/zinc/11/71/19/260117119.db2.gz MZACYJPHZMHWSF-UHFFFAOYSA-N 1 2 281.359 3.654 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000447852076 260143315 /nfs/dbraw/zinc/14/33/15/260143315.db2.gz XWOUKBTZSPSGRE-ABAIWWIYSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000447852076 260143316 /nfs/dbraw/zinc/14/33/16/260143316.db2.gz XWOUKBTZSPSGRE-ABAIWWIYSA-N 1 2 283.362 3.773 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2cc(F)c(F)c(F)c2)n1 ZINC000070940062 260158498 /nfs/dbraw/zinc/15/84/98/260158498.db2.gz ZMUJBGDSLULBIA-MRVPVSSYSA-N 1 2 286.322 3.720 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc2c(c1)CC(C)(C)O2 ZINC000071413881 260162787 /nfs/dbraw/zinc/16/27/87/260162787.db2.gz HFQKILHVEPRLEH-UHFFFAOYSA-N 1 2 268.360 3.716 20 0 CHADLO COCc1ccccc1CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000078592031 260168260 /nfs/dbraw/zinc/16/82/60/260168260.db2.gz OWBVZKDGLFTHAA-UHFFFAOYSA-N 1 2 296.292 3.859 20 0 CHADLO Fc1ccc(F)c(CNc2cc(C(F)(F)F)cc[nH+]2)c1 ZINC000078612446 260168421 /nfs/dbraw/zinc/16/84/21/260168421.db2.gz XXKLNKMMCPWRIK-UHFFFAOYSA-N 1 2 288.219 3.991 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc2ccccc2s1)c1nccs1 ZINC000080278509 260179969 /nfs/dbraw/zinc/17/99/69/260179969.db2.gz GSHROVYYTOALKQ-SNVBAGLBSA-N 1 2 289.429 3.994 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)[C@@H]2C[C@H](C)CC(C)(C)C2)[nH+]1 ZINC000517576154 260195249 /nfs/dbraw/zinc/19/52/49/260195249.db2.gz RQVFFDJLHLODMM-WCQYABFASA-N 1 2 291.439 3.532 20 0 CHADLO CCc1cccc(NCc2cc(OC)cc(C)[nH+]2)c1 ZINC000214135443 260237131 /nfs/dbraw/zinc/23/71/31/260237131.db2.gz DOTISRFOOAABCV-UHFFFAOYSA-N 1 2 256.349 3.573 20 0 CHADLO COc1cc(CNc2ccc(C)[nH+]c2)cc(Cl)c1OC ZINC000128036120 260371378 /nfs/dbraw/zinc/37/13/78/260371378.db2.gz DSRLCJZVTJGDKD-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO Cc1[nH+]cccc1N[C@H]1CCC[C@H](C(F)(F)F)C1 ZINC000091495425 260477972 /nfs/dbraw/zinc/47/79/72/260477972.db2.gz IDCQONNRXYCTOI-QWRGUYRKSA-N 1 2 258.287 3.923 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+]Cc1ncccc1OC ZINC000449748860 260835084 /nfs/dbraw/zinc/83/50/84/260835084.db2.gz NWRIKXIDZWNGOG-UHFFFAOYSA-N 1 2 290.794 3.596 20 0 CHADLO Cc1nc(N2CCCC[C@@H]2c2cc3ccccc3[nH]2)cc[nH+]1 ZINC000151737295 261055059 /nfs/dbraw/zinc/05/50/59/261055059.db2.gz CESHYXDBCTWDAU-QGZVFWFLSA-N 1 2 292.386 3.998 20 0 CHADLO O=C(Nc1cccc(NCc2[nH]cc[nH+]2)c1)C1CCCCC1 ZINC000152390850 261063791 /nfs/dbraw/zinc/06/37/91/261063791.db2.gz VGTYBRYWJGSSQE-UHFFFAOYSA-N 1 2 298.390 3.541 20 0 CHADLO Cc1nc(N[C@@H]2CCc3c2cccc3Cl)cc[nH+]1 ZINC000360529735 261073583 /nfs/dbraw/zinc/07/35/83/261073583.db2.gz NGLBKNMNRZORRM-CYBMUJFWSA-N 1 2 259.740 3.538 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C)on1)c1ccccc1OC(F)F ZINC000153525145 261079740 /nfs/dbraw/zinc/07/97/40/261079740.db2.gz KTGWURBTGWXTBB-CYBMUJFWSA-N 1 2 296.317 3.825 20 0 CHADLO C[N@@H+](Cc1nccs1)[C@@H]1CCc2c1cccc2Cl ZINC000154784558 261094658 /nfs/dbraw/zinc/09/46/58/261094658.db2.gz CBNXILUOYHSOIU-CYBMUJFWSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@H+](Cc1nccs1)[C@@H]1CCc2c1cccc2Cl ZINC000154784558 261094657 /nfs/dbraw/zinc/09/46/57/261094657.db2.gz CBNXILUOYHSOIU-CYBMUJFWSA-N 1 2 278.808 3.916 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@H](C(F)(F)F)[C@@H]1C ZINC000360702918 261094748 /nfs/dbraw/zinc/09/47/48/261094748.db2.gz LCBLGOOAJZESSW-RYUDHWBXSA-N 1 2 289.345 3.627 20 0 CHADLO FC(F)(F)CCCC[N@@H+]1CCO[C@@H](c2cccs2)C1 ZINC000155636016 261105417 /nfs/dbraw/zinc/10/54/17/261105417.db2.gz KVBYRQJRQVNBLJ-LLVKDONJSA-N 1 2 293.354 3.854 20 0 CHADLO FC(F)(F)CCCC[N@H+]1CCO[C@@H](c2cccs2)C1 ZINC000155636016 261105418 /nfs/dbraw/zinc/10/54/18/261105418.db2.gz KVBYRQJRQVNBLJ-LLVKDONJSA-N 1 2 293.354 3.854 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)Cc2cc(C)cc(C)c2)c1 ZINC000444708357 261111470 /nfs/dbraw/zinc/11/14/70/261111470.db2.gz QHANXUCMUDZASE-UHFFFAOYSA-N 1 2 284.403 3.647 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cc(F)ccc1F)c1ccc(C)o1 ZINC000156385240 261122056 /nfs/dbraw/zinc/12/20/56/261122056.db2.gz PHRWCSZANVADSH-ABAIWWIYSA-N 1 2 295.329 3.905 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1cc(F)cc(F)c1)c1ccc(C)o1 ZINC000156455580 261122839 /nfs/dbraw/zinc/12/28/39/261122839.db2.gz BUEIBWCDMWGHFO-XHDPSFHLSA-N 1 2 295.329 3.905 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@@H](COC)c2ccc(C)o2)o1 ZINC000156534790 261125668 /nfs/dbraw/zinc/12/56/68/261125668.db2.gz UKAJJIXEXXLKEH-OCCSQVGLSA-N 1 2 277.364 3.782 20 0 CHADLO CC(C)(C)[C@H]1C[N@H+](Cc2cc(Cl)cs2)CCO1 ZINC000448374477 261133121 /nfs/dbraw/zinc/13/31/21/261133121.db2.gz LBIQVDKHJXNMNE-GFCCVEGCSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)(C)[C@H]1C[N@@H+](Cc2cc(Cl)cs2)CCO1 ZINC000448374477 261133122 /nfs/dbraw/zinc/13/31/22/261133122.db2.gz LBIQVDKHJXNMNE-GFCCVEGCSA-N 1 2 273.829 3.648 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](C)c2cn(-c3ccccc3)nn2)o1 ZINC000158127302 261158968 /nfs/dbraw/zinc/15/89/68/261158968.db2.gz YMYKHBJOXGDUFK-KGLIPLIRSA-N 1 2 296.374 3.581 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](C)c2cn(-c3ccccc3)nn2)o1 ZINC000158127141 261159436 /nfs/dbraw/zinc/15/94/36/261159436.db2.gz YMYKHBJOXGDUFK-ZIAGYGMSSA-N 1 2 296.374 3.581 20 0 CHADLO CO[C@@H](C)c1nc(C[N@H+](C)Cc2ccc(C)s2)cs1 ZINC000175170182 261209720 /nfs/dbraw/zinc/20/97/20/261209720.db2.gz GLHFFMGCORITNY-NSHDSACASA-N 1 2 296.461 3.852 20 0 CHADLO CO[C@@H](C)c1nc(C[N@@H+](C)Cc2ccc(C)s2)cs1 ZINC000175170182 261209722 /nfs/dbraw/zinc/20/97/22/261209722.db2.gz GLHFFMGCORITNY-NSHDSACASA-N 1 2 296.461 3.852 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1ccco1 ZINC000102696225 261271217 /nfs/dbraw/zinc/27/12/17/261271217.db2.gz JCXKDFLJDZRWOE-LBPRGKRZSA-N 1 2 268.316 3.785 20 0 CHADLO CC(C)Cc1ncc(C[N@H+](C)Cc2ccco2)s1 ZINC000162187415 261272543 /nfs/dbraw/zinc/27/25/43/261272543.db2.gz MWGIHPJSVGQOPO-UHFFFAOYSA-N 1 2 264.394 3.567 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+](C)Cc2ccco2)s1 ZINC000162187415 261272546 /nfs/dbraw/zinc/27/25/46/261272546.db2.gz MWGIHPJSVGQOPO-UHFFFAOYSA-N 1 2 264.394 3.567 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2cnn(C(C)C)c2)cs1 ZINC000162407010 261280649 /nfs/dbraw/zinc/28/06/49/261280649.db2.gz OWUXDLFKVZEUGG-VXGBXAGGSA-N 1 2 292.452 3.895 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2cc(F)cc(F)c2)o1 ZINC000162896467 261297988 /nfs/dbraw/zinc/29/79/88/261297988.db2.gz OCNQMSJIAQXAKI-HNNXBMFYSA-N 1 2 295.329 3.598 20 0 CHADLO COc1ccccc1C[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC000105498831 261360556 /nfs/dbraw/zinc/36/05/56/261360556.db2.gz AEPXLAYRHTYEKZ-UHFFFAOYSA-N 1 2 290.432 3.739 20 0 CHADLO COc1ccccc1CCNc1[nH+]ccc(C)c1Cl ZINC000460423524 261562020 /nfs/dbraw/zinc/56/20/20/261562020.db2.gz ICEGODLLNLSZNJ-UHFFFAOYSA-N 1 2 276.767 3.707 20 0 CHADLO Cc1ccc(NC(=O)N2CCCc3ccccc3C2)c(C)[nH+]1 ZINC000176762203 261667995 /nfs/dbraw/zinc/66/79/95/261667995.db2.gz HOMPJUOWTJGLAO-UHFFFAOYSA-N 1 2 295.386 3.679 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(F)cc1-c1ccc(F)cc1 ZINC000363889833 262010872 /nfs/dbraw/zinc/01/08/72/262010872.db2.gz APOLDISYXIPPSZ-UHFFFAOYSA-N 1 2 299.324 3.977 20 0 CHADLO Cc1cccc(C[N@@H+]2CCSC[C@H]2c2nccs2)c1 ZINC000186631424 262102603 /nfs/dbraw/zinc/10/26/03/262102603.db2.gz BRALKXKREYQDRQ-AWEZNQCLSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1cccc(C[N@H+]2CCSC[C@H]2c2nccs2)c1 ZINC000186631424 262102605 /nfs/dbraw/zinc/10/26/05/262102605.db2.gz BRALKXKREYQDRQ-AWEZNQCLSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(OC(C)C)cc2)c1 ZINC000119562249 262167740 /nfs/dbraw/zinc/16/77/40/262167740.db2.gz WLPLZALRIDZUAD-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO CCc1cnc(C[N@@H+](CC)[C@@H](C)c2cccc(OC)c2)o1 ZINC000525783020 262231323 /nfs/dbraw/zinc/23/13/23/262231323.db2.gz TTYXDOHUGMKMQM-ZDUSSCGKSA-N 1 2 288.391 3.829 20 0 CHADLO CCc1cnc(C[N@H+](CC)[C@@H](C)c2cccc(OC)c2)o1 ZINC000525783020 262231324 /nfs/dbraw/zinc/23/13/24/262231324.db2.gz TTYXDOHUGMKMQM-ZDUSSCGKSA-N 1 2 288.391 3.829 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@H]2CCCC2(F)F)c1 ZINC000390862587 262355471 /nfs/dbraw/zinc/35/54/71/262355471.db2.gz KYEQHLIJPYSYSY-SECBINFHSA-N 1 2 280.240 3.948 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)cc1C ZINC000393478745 262379730 /nfs/dbraw/zinc/37/97/30/262379730.db2.gz CBUKESLLEHUVTM-GFCCVEGCSA-N 1 2 277.799 3.541 20 0 CHADLO Cc1ccsc1C[NH2+][C@H](c1ncc[nH]1)c1ccccc1 ZINC000446756918 262389400 /nfs/dbraw/zinc/38/94/00/262389400.db2.gz NZSQYFKGCJAEPA-HNNXBMFYSA-N 1 2 283.400 3.659 20 0 CHADLO Cc1cc(NCc2ccc(-n3cc[nH+]c3)cc2)ccc1F ZINC000061117093 262392724 /nfs/dbraw/zinc/39/27/24/262392724.db2.gz RQFSXPVYEWBGJR-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nnc(C3CC3)s2)cs1 ZINC000414327643 262844330 /nfs/dbraw/zinc/84/43/30/262844330.db2.gz PBLNUIZROSEJIP-SECBINFHSA-N 1 2 279.434 3.636 20 0 CHADLO Cc1noc(C[NH2+][C@H](c2ccc(Cl)cc2F)C(C)C)n1 ZINC000272781203 263008701 /nfs/dbraw/zinc/00/87/01/263008701.db2.gz VWSCRIAIUGALHG-AWEZNQCLSA-N 1 2 297.761 3.657 20 0 CHADLO Brc1ccc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)o1 ZINC000276818621 263038156 /nfs/dbraw/zinc/03/81/56/263038156.db2.gz ZCYIQRNSYQHFAP-LBPRGKRZSA-N 1 2 295.180 3.707 20 0 CHADLO Brc1ccc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)o1 ZINC000276818621 263038157 /nfs/dbraw/zinc/03/81/57/263038157.db2.gz ZCYIQRNSYQHFAP-LBPRGKRZSA-N 1 2 295.180 3.707 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2cc(C)ccc2F)[nH]1 ZINC000277392977 263041085 /nfs/dbraw/zinc/04/10/85/263041085.db2.gz JSZWICLOULLDNZ-RYUDHWBXSA-N 1 2 290.386 3.617 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)c2cc(C)oc2C)[nH]1 ZINC000278871378 263051513 /nfs/dbraw/zinc/05/15/13/263051513.db2.gz WZOHHJANERXWJM-DLOVCJGASA-N 1 2 290.411 3.940 20 0 CHADLO CC[C@H]1C[C@@H](CNc2ccc3c(c2)CCC[NH2+]3)CCO1 ZINC000414844377 263058971 /nfs/dbraw/zinc/05/89/71/263058971.db2.gz DPLRLKLUWXWNJY-BBRMVZONSA-N 1 2 274.408 3.662 20 0 CHADLO C[C@H](CNc1ccc2c(c1)CCC[NH2+]2)CC1CCOCC1 ZINC000414848170 263064362 /nfs/dbraw/zinc/06/43/62/263064362.db2.gz KECHFGGHPCSDBS-AWEZNQCLSA-N 1 2 288.435 3.909 20 0 CHADLO CCn1c2ccccc2nc1[C@H](C)[NH2+][C@H](C)c1cc(C)on1 ZINC000282695432 263078213 /nfs/dbraw/zinc/07/82/13/263078213.db2.gz KPXYSKLFKCRDRC-OLZOCXBDSA-N 1 2 298.390 3.764 20 0 CHADLO CC(C)[C@@H](NC(=O)[C@H](F)CC1CCCCC1)c1[nH]cc[nH+]1 ZINC000283001011 263080264 /nfs/dbraw/zinc/08/02/64/263080264.db2.gz LVKQWBNYFXITGV-ZIAGYGMSSA-N 1 2 295.402 3.532 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1cccnc1Cl ZINC000285662402 263094936 /nfs/dbraw/zinc/09/49/36/263094936.db2.gz MJZZZCZMXVYYHA-BDAKNGLRSA-N 1 2 297.736 3.820 20 0 CHADLO COc1cc[nH+]cc1CNC(=O)Nc1cccc(C(C)C)c1 ZINC000292672275 263133584 /nfs/dbraw/zinc/13/35/84/263133584.db2.gz OXONLWVROYSUNY-UHFFFAOYSA-N 1 2 299.374 3.535 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc2ccccc2n1)C(F)F ZINC000453246219 263208124 /nfs/dbraw/zinc/20/81/24/263208124.db2.gz MYMMNQUWMHEBIE-CMPLNLGQSA-N 1 2 264.319 3.929 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](CC)c2cc(F)ccc2F)o1 ZINC000453367310 263253884 /nfs/dbraw/zinc/25/38/84/263253884.db2.gz HJAFEOULQTWJSI-AWEZNQCLSA-N 1 2 280.318 3.756 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCC(=O)NC1CCCCCC1 ZINC000163807019 263259860 /nfs/dbraw/zinc/25/98/60/263259860.db2.gz ZTLZRWMWKLGEQP-UHFFFAOYSA-N 1 2 299.418 3.574 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCc2ccc(Cl)cc2C1 ZINC000425334528 264010459 /nfs/dbraw/zinc/01/04/59/264010459.db2.gz HDIGNEMUQDQQAK-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)CCC=C(C)C)c1ccccc1 ZINC000428077548 264036481 /nfs/dbraw/zinc/03/64/81/264036481.db2.gz PHLDNTIPUBEWGF-HOCLYGCPSA-N 1 2 275.392 3.625 20 0 CHADLO Cc1ccc(Cc2noc([C@H]3C[C@H](C)C[C@H](C)C3)n2)c[nH+]1 ZINC000330919562 264093338 /nfs/dbraw/zinc/09/33/38/264093338.db2.gz YUUADHLAWIBHDQ-JYAVWHMHSA-N 1 2 285.391 3.904 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)Cc1cc(F)c(F)c(F)c1 ZINC000425355410 264128867 /nfs/dbraw/zinc/12/88/67/264128867.db2.gz OQMNZMPTOZFLMH-UHFFFAOYSA-N 1 2 297.324 3.513 20 0 CHADLO CO[C@H]1CCC[C@H](CC(=O)Nc2c(C)cc(C)[nH+]c2C)C1 ZINC000331154608 264140789 /nfs/dbraw/zinc/14/07/89/264140789.db2.gz IQGHGEAGXWJYHM-GJZGRUSLSA-N 1 2 290.407 3.541 20 0 CHADLO COc1cccc(Cl)c1C[N@H+](C)C1(C(N)=O)CCCC1 ZINC000331161427 264143334 /nfs/dbraw/zinc/14/33/34/264143334.db2.gz FKBHFEIEAHIIAV-UHFFFAOYSA-N 1 2 296.798 3.628 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+](C)C1(C(N)=O)CCCC1 ZINC000331161427 264143336 /nfs/dbraw/zinc/14/33/36/264143336.db2.gz FKBHFEIEAHIIAV-UHFFFAOYSA-N 1 2 296.798 3.628 20 0 CHADLO CS[C@H]1CC[C@H]1Nc1ccc(-n2c[nH+]c(C)c2C)cc1 ZINC000425377785 264216865 /nfs/dbraw/zinc/21/68/65/264216865.db2.gz IFORVUUUZFXSLU-CVEARBPZSA-N 1 2 287.432 3.795 20 0 CHADLO CC[C@@H](C)C[C@H](C)NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334105577 264235526 /nfs/dbraw/zinc/23/55/26/264235526.db2.gz UMOLXFMLGTZXMA-PWSUYJOCSA-N 1 2 277.412 3.953 20 0 CHADLO Cc1ccc(Br)cc1N[C@H](C)c1[nH+]ccn1C ZINC000070444593 264236475 /nfs/dbraw/zinc/23/64/75/264236475.db2.gz WGAJPXPPFZIOSA-SNVBAGLBSA-N 1 2 294.196 3.664 20 0 CHADLO COCC[N@H+](Cc1ccco1)Cc1ccc(Cl)cc1F ZINC000188960779 264260951 /nfs/dbraw/zinc/26/09/51/264260951.db2.gz DUGYWLLQIGTICT-UHFFFAOYSA-N 1 2 297.757 3.721 20 0 CHADLO COCC[N@@H+](Cc1ccco1)Cc1ccc(Cl)cc1F ZINC000188960779 264260952 /nfs/dbraw/zinc/26/09/52/264260952.db2.gz DUGYWLLQIGTICT-UHFFFAOYSA-N 1 2 297.757 3.721 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc(Cl)cc1F)c1ccc(C)o1 ZINC000189185705 264281601 /nfs/dbraw/zinc/28/16/01/264281601.db2.gz ZPRPXEUDGVNUSU-AWEZNQCLSA-N 1 2 297.757 3.858 20 0 CHADLO Cc1oncc1C[NH2+][C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000189450686 264297875 /nfs/dbraw/zinc/29/78/75/264297875.db2.gz GIKNFNRCWWSTLD-VIFPVBQESA-N 1 2 279.409 3.588 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccco2)o1 ZINC000252480615 264301284 /nfs/dbraw/zinc/30/12/84/264301284.db2.gz FPOWURKVWZNJFA-QWHCGFSZSA-N 1 2 289.379 3.759 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccco2)o1 ZINC000252480615 264301287 /nfs/dbraw/zinc/30/12/87/264301287.db2.gz FPOWURKVWZNJFA-QWHCGFSZSA-N 1 2 289.379 3.759 20 0 CHADLO CC(C)OCC[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000189491662 264302655 /nfs/dbraw/zinc/30/26/55/264302655.db2.gz CFLUTCHMOLPTKZ-CZUORRHYSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OCC[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000189491662 264302656 /nfs/dbraw/zinc/30/26/56/264302656.db2.gz CFLUTCHMOLPTKZ-CZUORRHYSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)c1noc([C@@H](C)[NH+]2CCC(OC(C)(C)C)CC2)n1 ZINC000162631403 264327426 /nfs/dbraw/zinc/32/74/26/264327426.db2.gz OXWNKRHMLZQIPN-GFCCVEGCSA-N 1 2 295.427 3.534 20 0 CHADLO COc1ccccc1[C@@H]1CC[C@H](C)CN1c1cc[nH+]c(C)n1 ZINC000343280313 264328081 /nfs/dbraw/zinc/32/80/81/264328081.db2.gz FJEIJZWQQJJISX-BBRMVZONSA-N 1 2 297.402 3.771 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@H+](Cc2nc(C(F)F)no2)C1 ZINC000425461632 264333578 /nfs/dbraw/zinc/33/35/78/264333578.db2.gz VDSVODYXJPEXPY-CMPLNLGQSA-N 1 2 293.317 3.590 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@@H+](Cc2nc(C(F)F)no2)C1 ZINC000425461632 264333579 /nfs/dbraw/zinc/33/35/79/264333579.db2.gz VDSVODYXJPEXPY-CMPLNLGQSA-N 1 2 293.317 3.590 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C(C)(C)C)nn1)c1ccc(Cl)s1 ZINC000189945091 264338000 /nfs/dbraw/zinc/33/80/00/264338000.db2.gz GZDMGJMBFJWOJP-VIFPVBQESA-N 1 2 298.843 3.599 20 0 CHADLO CCO[C@H](CC(=O)Nc1c(C)cc[nH+]c1C)C1=CCCC1 ZINC000425584531 264945970 /nfs/dbraw/zinc/94/59/70/264945970.db2.gz DJQWUHBDNDMRAJ-OAHLLOKOSA-N 1 2 288.391 3.542 20 0 CHADLO COc1cccc([C@H](C)CC(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000425588247 264948816 /nfs/dbraw/zinc/94/88/16/264948816.db2.gz PPABXDQTLURLFW-CYBMUJFWSA-N 1 2 298.386 3.839 20 0 CHADLO Cc1ccc(C)c(OCCC(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000425589632 264950175 /nfs/dbraw/zinc/95/01/75/264950175.db2.gz UVDNJVIDAMQFFK-UHFFFAOYSA-N 1 2 298.386 3.723 20 0 CHADLO CCCC[C@H](CC)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000345965117 265103818 /nfs/dbraw/zinc/10/38/18/265103818.db2.gz RCDWHLVCGBVVGU-JYJNAYRXSA-N 1 2 291.439 3.509 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1ccc(CC)cc1)C(=O)OCC ZINC000096867253 265391516 /nfs/dbraw/zinc/39/15/16/265391516.db2.gz GHMGKCPPVFDTJM-CZUORRHYSA-N 1 2 277.408 3.631 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2cccc(O)c2)c1 ZINC000514643381 266025333 /nfs/dbraw/zinc/02/53/33/266025333.db2.gz JDOYJIHVPLYVQO-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2cccc(O)c2)c1 ZINC000514643381 266025335 /nfs/dbraw/zinc/02/53/35/266025335.db2.gz JDOYJIHVPLYVQO-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO Cc1ccc(Cc2noc(C[C@@H](C)C(C)(C)C)n2)c[nH+]1 ZINC000356180737 266064197 /nfs/dbraw/zinc/06/41/97/266064197.db2.gz ZDTHPIAWAOSJDQ-LLVKDONJSA-N 1 2 273.380 3.589 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cscc2C(F)(F)F)[C@H](C)[C@H](C)O1 ZINC000356388027 266106770 /nfs/dbraw/zinc/10/67/70/266106770.db2.gz HBUSXPJEFBNWTQ-BBBLOLIVSA-N 1 2 293.354 3.765 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cscc2C(F)(F)F)[C@H](C)[C@H](C)O1 ZINC000356388027 266106772 /nfs/dbraw/zinc/10/67/72/266106772.db2.gz HBUSXPJEFBNWTQ-BBBLOLIVSA-N 1 2 293.354 3.765 20 0 CHADLO C[C@H]1COC(C)(C)C[N@@H+]1Cc1cscc1C(F)(F)F ZINC000356401934 266107301 /nfs/dbraw/zinc/10/73/01/266107301.db2.gz XBYMEUHUTWNZEA-VIFPVBQESA-N 1 2 293.354 3.766 20 0 CHADLO C[C@H]1COC(C)(C)C[N@H+]1Cc1cscc1C(F)(F)F ZINC000356401934 266107303 /nfs/dbraw/zinc/10/73/03/266107303.db2.gz XBYMEUHUTWNZEA-VIFPVBQESA-N 1 2 293.354 3.766 20 0 CHADLO CCC(CC)c1noc(C[N@H+](C)Cc2ccccc2)n1 ZINC000356519274 266129601 /nfs/dbraw/zinc/12/96/01/266129601.db2.gz CCJYJWWJYAWLKZ-UHFFFAOYSA-N 1 2 273.380 3.605 20 0 CHADLO CCC(CC)c1noc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000356519274 266129602 /nfs/dbraw/zinc/12/96/02/266129602.db2.gz CCJYJWWJYAWLKZ-UHFFFAOYSA-N 1 2 273.380 3.605 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccccc2N2CCCC2)o1 ZINC000356956278 266217905 /nfs/dbraw/zinc/21/79/05/266217905.db2.gz KPCYMKZXAJIOBC-OAHLLOKOSA-N 1 2 299.418 3.776 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccccc2N2CCCC2)o1 ZINC000356956278 266217908 /nfs/dbraw/zinc/21/79/08/266217908.db2.gz KPCYMKZXAJIOBC-OAHLLOKOSA-N 1 2 299.418 3.776 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)[C@H](C)c1ncc(C)o1 ZINC000356958902 266218581 /nfs/dbraw/zinc/21/85/81/266218581.db2.gz WBXDNLRVMGMGDS-LLVKDONJSA-N 1 2 294.782 3.838 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)[C@H](C)c1ncc(C)o1 ZINC000356958902 266218582 /nfs/dbraw/zinc/21/85/82/266218582.db2.gz WBXDNLRVMGMGDS-LLVKDONJSA-N 1 2 294.782 3.838 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccc(Cl)cc2)o1 ZINC000356978658 266223070 /nfs/dbraw/zinc/22/30/70/266223070.db2.gz NEPCKJGSEDMWCJ-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccc(Cl)cc2)o1 ZINC000356978658 266223072 /nfs/dbraw/zinc/22/30/72/266223072.db2.gz NEPCKJGSEDMWCJ-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2nc(-c3ccoc3)no2)cc1 ZINC000357271145 266269455 /nfs/dbraw/zinc/26/94/55/266269455.db2.gz QWYUNEIQFMEJKA-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2nc(-c3ccoc3)no2)cc1 ZINC000357271145 266269457 /nfs/dbraw/zinc/26/94/57/266269457.db2.gz QWYUNEIQFMEJKA-UHFFFAOYSA-N 1 2 297.358 3.524 20 0 CHADLO CCc1sc(C(=O)Nc2cccc3[nH+]ccn32)cc1C ZINC000357441699 266296602 /nfs/dbraw/zinc/29/66/02/266296602.db2.gz RNJRCVPUVDGONO-UHFFFAOYSA-N 1 2 285.372 3.519 20 0 CHADLO Cc1ccc(Cc2nc(CCCc3ccsc3)no2)c[nH+]1 ZINC000357466653 266302591 /nfs/dbraw/zinc/30/25/91/266302591.db2.gz JPYTUSACNYCYMT-UHFFFAOYSA-N 1 2 299.399 3.601 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3ncc(C)cn3)CC2)cc1 ZINC000357549043 266320029 /nfs/dbraw/zinc/32/00/29/266320029.db2.gz DDEUBNLWEGWNQT-UHFFFAOYSA-N 1 2 293.414 3.637 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3ncc(C)cn3)CC2)cc1 ZINC000357549043 266320031 /nfs/dbraw/zinc/32/00/31/266320031.db2.gz DDEUBNLWEGWNQT-UHFFFAOYSA-N 1 2 293.414 3.637 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)N(C)C2CCCCC2)c1 ZINC000357583263 266330938 /nfs/dbraw/zinc/33/09/38/266330938.db2.gz OMCUVBRJNNHVQP-UHFFFAOYSA-N 1 2 297.402 3.585 20 0 CHADLO Clc1ccccc1CSc1[nH+]cnc2[nH]ccc21 ZINC000357762125 266357366 /nfs/dbraw/zinc/35/73/66/266357366.db2.gz XOBWBBKKDVYASJ-UHFFFAOYSA-N 1 2 275.764 3.904 20 0 CHADLO Cc1ccc(C[S@@](=O)[C@@H](C)c2ccc(F)cc2)c(C)[nH+]1 ZINC000357785175 266361580 /nfs/dbraw/zinc/36/15/80/266361580.db2.gz GNEYTWBBKHTXKU-RNODOKPDSA-N 1 2 291.391 3.847 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)[nH]1 ZINC000357923056 266386253 /nfs/dbraw/zinc/38/62/53/266386253.db2.gz GASFMWPDLCKHKK-UHFFFAOYSA-N 1 2 294.358 3.582 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2nc(-c3ccco3)no2)c1 ZINC000357965897 266390947 /nfs/dbraw/zinc/39/09/47/266390947.db2.gz SHENPCTUAYRLIC-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2nc(-c3ccco3)no2)c1 ZINC000357965897 266390950 /nfs/dbraw/zinc/39/09/50/266390950.db2.gz SHENPCTUAYRLIC-UHFFFAOYSA-N 1 2 297.358 3.578 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]Cc1nc(C)sc1C ZINC000358369350 266458515 /nfs/dbraw/zinc/45/85/15/266458515.db2.gz QSMBZXFJWLXVSR-SECBINFHSA-N 1 2 294.395 3.758 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]Cc1nc(C)sc1C ZINC000358369351 266458523 /nfs/dbraw/zinc/45/85/23/266458523.db2.gz QSMBZXFJWLXVSR-VIFPVBQESA-N 1 2 294.395 3.758 20 0 CHADLO CC[C@@]1(C)CCCN(c2cc(C)[nH+]c(-c3ccncc3)n2)C1 ZINC000361180868 266796324 /nfs/dbraw/zinc/79/63/24/266796324.db2.gz UWJSMHXZENWNOZ-SFHVURJKSA-N 1 2 296.418 3.864 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NCc3cccc(O)c3)cc2)c1C ZINC000361231730 266805813 /nfs/dbraw/zinc/80/58/13/266805813.db2.gz ZRBGKZYLMMZSKE-UHFFFAOYSA-N 1 2 293.370 3.807 20 0 CHADLO CCOc1c(Cl)cccc1CNc1cccc[nH+]1 ZINC000362628391 267025727 /nfs/dbraw/zinc/02/57/27/267025727.db2.gz TUURUSQYACETPE-UHFFFAOYSA-N 1 2 262.740 3.746 20 0 CHADLO CCOc1ccc(CNc2ccc(C)[nH+]c2)cc1F ZINC000362634883 267028004 /nfs/dbraw/zinc/02/80/04/267028004.db2.gz HPUFIEQZDAQBIC-UHFFFAOYSA-N 1 2 260.312 3.540 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(Cl)c(Cl)c1 ZINC000362803545 267048442 /nfs/dbraw/zinc/04/84/42/267048442.db2.gz ZOCOXPQYNHJEMH-UHFFFAOYSA-N 1 2 271.122 3.562 20 0 CHADLO CCN(C)c1ccc(CNc2ccc3scnc3c2)c[nH+]1 ZINC000362997380 267071560 /nfs/dbraw/zinc/07/15/60/267071560.db2.gz PSFDERKNPANCHJ-UHFFFAOYSA-N 1 2 298.415 3.760 20 0 CHADLO CCC[C@@H](C)[C@@H]1CCCN1c1[nH+]c(C)nc2[nH]ccc21 ZINC000362999751 267071705 /nfs/dbraw/zinc/07/17/05/267071705.db2.gz APYUYPXUQOAGKY-RISCZKNCSA-N 1 2 272.396 3.671 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc(-c3[nH+]ccn3C)c2)s1 ZINC000363173770 267078368 /nfs/dbraw/zinc/07/83/68/267078368.db2.gz LFUJBFWMGGBRQB-UHFFFAOYSA-N 1 2 297.383 3.709 20 0 CHADLO CSc1cc(C)ccc1C[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367601530 267103439 /nfs/dbraw/zinc/10/34/39/267103439.db2.gz OEMFWCNCIDXPBS-AWEZNQCLSA-N 1 2 287.432 3.607 20 0 CHADLO Cc1cc(N2CCC3(CCC3)CC2)nc(-c2ccncc2)[nH+]1 ZINC000367734381 267113753 /nfs/dbraw/zinc/11/37/53/267113753.db2.gz OMBFSIHYLTUNHG-UHFFFAOYSA-N 1 2 294.402 3.618 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@@H+]2CCSC(C)(C)C)no1 ZINC000369206900 267226362 /nfs/dbraw/zinc/22/63/62/267226362.db2.gz NYQOJPXYMZSZQS-LBPRGKRZSA-N 1 2 297.468 3.691 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@H+]2CCSC(C)(C)C)no1 ZINC000369206900 267226363 /nfs/dbraw/zinc/22/63/63/267226363.db2.gz NYQOJPXYMZSZQS-LBPRGKRZSA-N 1 2 297.468 3.691 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@H+](C)Cc1cccnc1 ZINC000119028747 267292986 /nfs/dbraw/zinc/29/29/86/267292986.db2.gz MTDDLVFRNUKCPQ-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@@H+](C)Cc1cccnc1 ZINC000119028747 267292987 /nfs/dbraw/zinc/29/29/87/267292987.db2.gz MTDDLVFRNUKCPQ-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@@H]1CC[C@@H](CC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000119291755 267314544 /nfs/dbraw/zinc/31/45/44/267314544.db2.gz VLXJDFMIPONCSF-ZIAGYGMSSA-N 1 2 283.375 3.637 20 0 CHADLO CCCCc1ccc(NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000370606822 267330808 /nfs/dbraw/zinc/33/08/08/267330808.db2.gz PIOCUWGJKZNRQJ-HNNXBMFYSA-N 1 2 297.402 3.811 20 0 CHADLO CC(=CC(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1)C1CC1 ZINC000371247077 267376725 /nfs/dbraw/zinc/37/67/25/267376725.db2.gz JZSLAJHZCRHWIU-PKNBQFBNSA-N 1 2 281.359 3.680 20 0 CHADLO CC[C@@]1(C)C[C@H]1C(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000371247390 267376899 /nfs/dbraw/zinc/37/68/99/267376899.db2.gz MRCJZXDZXLKQGW-GUYCJALGSA-N 1 2 283.375 3.760 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)C[C@@H]2C=CCC2)[nH+]1 ZINC000371246179 267377646 /nfs/dbraw/zinc/37/76/46/267377646.db2.gz BJWUCEKSVPQTST-CYBMUJFWSA-N 1 2 281.359 3.680 20 0 CHADLO Fc1cccc(Cl)c1CN1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000371432154 267404156 /nfs/dbraw/zinc/40/41/56/267404156.db2.gz QJSZDQCQIFADJB-CYBMUJFWSA-N 1 2 279.746 3.539 20 0 CHADLO O=C(CC/C=C/c1ccccc1)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000371440095 267406923 /nfs/dbraw/zinc/40/69/23/267406923.db2.gz OVYPJNCYSUMFPN-VCIFKONDSA-N 1 2 295.386 3.567 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H](C)c1cccc(F)c1F ZINC000120361856 267436897 /nfs/dbraw/zinc/43/68/97/267436897.db2.gz XDEAYMPQKXUWCS-JTQLQIEISA-N 1 2 291.301 3.551 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)c1cc(Cl)ccc1F ZINC000306083714 268022228 /nfs/dbraw/zinc/02/22/28/268022228.db2.gz JEEQGFWBRCMRNF-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO Cc1cncc(C[N@@H+]2CCCn3c(cc4ccccc43)C2)c1 ZINC000376834225 268022293 /nfs/dbraw/zinc/02/22/93/268022293.db2.gz MMGLBYWCKHTYSQ-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO Cc1cncc(C[N@H+]2CCCn3c(cc4ccccc43)C2)c1 ZINC000376834225 268022294 /nfs/dbraw/zinc/02/22/94/268022294.db2.gz MMGLBYWCKHTYSQ-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO CSCCN(C)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301862672 525497645 /nfs/dbraw/zinc/49/76/45/525497645.db2.gz LLYFDLMRSZGBGK-UHFFFAOYSA-N 1 2 267.442 3.523 20 0 CHADLO CC(=O)c1csc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000170943245 327678956 /nfs/dbraw/zinc/67/89/56/327678956.db2.gz BQNBXCZZHIMSTE-UHFFFAOYSA-N 1 2 288.372 3.523 20 0 CHADLO O=C(Nc1cccc(Oc2cc[nH+]cc2)c1)C1[C@@H]2CCC[C@H]12 ZINC000178230367 327792823 /nfs/dbraw/zinc/79/28/23/327792823.db2.gz ICKSOHVCOHIQQG-SJPCQFCGSA-N 1 2 294.354 3.859 20 0 CHADLO CC[C@H]([NH2+][C@H](Cc1ccccc1)c1ccccc1)C(=O)OC ZINC000319023033 327863292 /nfs/dbraw/zinc/86/32/92/327863292.db2.gz RCQVCODEGXGEGO-ZWKOTPCHSA-N 1 2 297.398 3.512 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@H](C)c1nnc(C)s1 ZINC000274241875 327977068 /nfs/dbraw/zinc/97/70/68/327977068.db2.gz QYAHSXFHOWPCAP-GHMZBOCLSA-N 1 2 291.420 3.657 20 0 CHADLO Clc1ccc2sc(CCNc3cccc[nH+]3)nc2c1 ZINC000531531762 328015142 /nfs/dbraw/zinc/01/51/42/328015142.db2.gz LSEFMURWOTTYBM-UHFFFAOYSA-N 1 2 289.791 3.999 20 0 CHADLO Cc1cc(NC(=O)c2cccc3ccccc32)c(C)c[nH+]1 ZINC000534299964 328044134 /nfs/dbraw/zinc/04/41/34/328044134.db2.gz PAOXLCNVEUPKKA-UHFFFAOYSA-N 1 2 276.339 3.526 20 0 CHADLO Cc1csc(C2([NH2+]Cc3c(C)nsc3C)CCC2)n1 ZINC000563353839 328057540 /nfs/dbraw/zinc/05/75/40/328057540.db2.gz PZXNDFNENYFQEB-UHFFFAOYSA-N 1 2 293.461 3.694 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2ccc(CC(C)C)cc2)n1 ZINC000563354468 328057761 /nfs/dbraw/zinc/05/77/61/328057761.db2.gz FJQMYKVFTWOZQR-ZDUSSCGKSA-N 1 2 287.407 3.770 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2ccc(CC(C)C)cc2)n1 ZINC000563354468 328057763 /nfs/dbraw/zinc/05/77/63/328057763.db2.gz FJQMYKVFTWOZQR-ZDUSSCGKSA-N 1 2 287.407 3.770 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2ccc(CC(C)C)cc2)n1 ZINC000563354467 328057806 /nfs/dbraw/zinc/05/78/06/328057806.db2.gz FJQMYKVFTWOZQR-CYBMUJFWSA-N 1 2 287.407 3.770 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2ccc(CC(C)C)cc2)n1 ZINC000563354467 328057808 /nfs/dbraw/zinc/05/78/08/328057808.db2.gz FJQMYKVFTWOZQR-CYBMUJFWSA-N 1 2 287.407 3.770 20 0 CHADLO CC[C@@H]([NH2+]C[C@@H](C)c1ccccc1)C(=O)OC(C)(C)C ZINC000563592857 328075518 /nfs/dbraw/zinc/07/55/18/328075518.db2.gz QNYBVLZHWAUHNE-UKRRQHHQSA-N 1 2 277.408 3.500 20 0 CHADLO CCOC1CC(CSCCc2[nH+]cc(C)cc2C)C1 ZINC000563625870 328078325 /nfs/dbraw/zinc/07/83/25/328078325.db2.gz UPAKSMSUNYMFDJ-UHFFFAOYSA-N 1 2 279.449 3.789 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC=C(c3ccccn3)C2)no1 ZINC000563628292 328078478 /nfs/dbraw/zinc/07/84/78/328078478.db2.gz CASUIGZPYHZKGX-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC=C(c3ccccn3)C2)no1 ZINC000563628292 328078480 /nfs/dbraw/zinc/07/84/80/328078480.db2.gz CASUIGZPYHZKGX-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO Cc1cnccc1C[N@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000571135157 328087573 /nfs/dbraw/zinc/08/75/73/328087573.db2.gz PTPWNXGAYIMTMS-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1cnccc1C[N@@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000571135157 328087575 /nfs/dbraw/zinc/08/75/75/328087575.db2.gz PTPWNXGAYIMTMS-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Clc1nc(C[N@H+](Cc2ccccc2)C2CC2)cs1 ZINC000289305106 328115659 /nfs/dbraw/zinc/11/56/59/328115659.db2.gz IRFWHEXWPSJMQO-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Clc1nc(C[N@@H+](Cc2ccccc2)C2CC2)cs1 ZINC000289305106 328115661 /nfs/dbraw/zinc/11/56/61/328115661.db2.gz IRFWHEXWPSJMQO-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO CC[C@]1(C(C)C)C[C@H]1[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000571614444 328137749 /nfs/dbraw/zinc/13/77/49/328137749.db2.gz YCKPFUCFFFCVMJ-BFYDXBDKSA-N 1 2 290.455 3.840 20 0 CHADLO CC[C@]1(C(C)C)C[C@@H]1[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000571614446 328137788 /nfs/dbraw/zinc/13/77/88/328137788.db2.gz YCKPFUCFFFCVMJ-YQQAZPJKSA-N 1 2 290.455 3.840 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc(C)cc(C)c1 ZINC000264959918 328163339 /nfs/dbraw/zinc/16/33/39/328163339.db2.gz AGZXSJYLJKDIRO-UHFFFAOYSA-N 1 2 254.333 3.513 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccon2)ccc1C(F)(F)F ZINC000414393529 328162206 /nfs/dbraw/zinc/16/22/06/328162206.db2.gz GOXAVUZWULHZCW-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccon2)ccc1C(F)(F)F ZINC000414393529 328162207 /nfs/dbraw/zinc/16/22/07/328162207.db2.gz GOXAVUZWULHZCW-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO CC(C)n1cc[nH+]c1CN[C@H](C)c1c(F)cccc1F ZINC000271811391 328197558 /nfs/dbraw/zinc/19/75/58/328197558.db2.gz UJNRKCNHEZBIQK-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO CC(C)n1ccnc1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000271811391 328197560 /nfs/dbraw/zinc/19/75/60/328197560.db2.gz UJNRKCNHEZBIQK-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000279902890 328233593 /nfs/dbraw/zinc/23/35/93/328233593.db2.gz VFNVTPPKCQDXRS-SWLSCSKDSA-N 1 2 288.391 3.636 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000279902890 328233594 /nfs/dbraw/zinc/23/35/94/328233594.db2.gz VFNVTPPKCQDXRS-SWLSCSKDSA-N 1 2 288.391 3.636 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc2c(c1)C(C)(C)CC2(C)C ZINC000340103503 328240410 /nfs/dbraw/zinc/24/04/10/328240410.db2.gz AGYKNMKOIDLHAT-UHFFFAOYSA-N 1 2 283.419 3.991 20 0 CHADLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)c1cccc(C)c1 ZINC000281872865 328241381 /nfs/dbraw/zinc/24/13/81/328241381.db2.gz ROTAICFBFZJLRV-INIZCTEOSA-N 1 2 297.402 3.579 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000285986731 328248750 /nfs/dbraw/zinc/24/87/50/328248750.db2.gz FOQNNXQNUXEPPI-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000285986731 328248751 /nfs/dbraw/zinc/24/87/51/328248751.db2.gz FOQNNXQNUXEPPI-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Cn1cc[nH+]c1[C@@H]1N(Cc2cccc(Cl)c2)CC1(C)C ZINC000294848198 328283133 /nfs/dbraw/zinc/28/31/33/328283133.db2.gz RWFMATAWMNDDNW-AWEZNQCLSA-N 1 2 289.810 3.657 20 0 CHADLO Cc1cccc(N(C)Cc2cn3cc(Cl)ccc3[nH+]2)c1 ZINC000303160047 328326088 /nfs/dbraw/zinc/32/60/88/328326088.db2.gz OVRNVZHQDXKXSV-UHFFFAOYSA-N 1 2 285.778 3.933 20 0 CHADLO CCc1nc(C[NH2+][C@@H](c2ccc(CC)cc2)C(C)C)no1 ZINC000111195111 328335532 /nfs/dbraw/zinc/33/55/32/328335532.db2.gz CBVGGXMZYHCSGD-QGZVFWFLSA-N 1 2 287.407 3.681 20 0 CHADLO CC[C@@H](C)n1ncc(Nc2[nH+]cnc3[nH]ccc32)c1C1CC1 ZINC000534980412 328372821 /nfs/dbraw/zinc/37/28/21/328372821.db2.gz ZDWZTXMQAYERMB-SNVBAGLBSA-N 1 2 296.378 3.746 20 0 CHADLO Oc1ccccc1SCc1cn2cc(Cl)ccc2[nH+]1 ZINC000050046328 328383265 /nfs/dbraw/zinc/38/32/65/328383265.db2.gz GVVVTCXPHRONRG-UHFFFAOYSA-N 1 2 290.775 3.986 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CC[C@@H](C2CCOCC2)C1 ZINC000535088337 328387387 /nfs/dbraw/zinc/38/73/87/328387387.db2.gz SJQYFLSTYBWYOO-QGZVFWFLSA-N 1 2 296.414 3.796 20 0 CHADLO CC[C@@H](NC(=O)C[C@@H](C)C1CCCCC1)c1[nH]cc[nH+]1 ZINC000331000499 328472602 /nfs/dbraw/zinc/47/26/02/328472602.db2.gz QCHOLKMWQSLJCH-TZMCWYRMSA-N 1 2 277.412 3.584 20 0 CHADLO CCN(C(=O)c1cccc(Oc2cc[nH+]cc2)c1)C1CCC1 ZINC000348303861 328578415 /nfs/dbraw/zinc/57/84/15/328578415.db2.gz FDMPJGWONGWNBZ-UHFFFAOYSA-N 1 2 296.370 3.889 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc2c(c1)CCCC2)c1csnn1 ZINC000398438401 328592368 /nfs/dbraw/zinc/59/23/68/328592368.db2.gz WRFMRTDVPSCKJM-NWDGAFQWSA-N 1 2 287.432 3.829 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398500092 328593643 /nfs/dbraw/zinc/59/36/43/328593643.db2.gz ZFXQJBMFLUTGMY-GHMZBOCLSA-N 1 2 261.394 3.512 20 0 CHADLO c1ccc(-c2ncc(C[NH+]3Cc4ccccc4C3)cn2)cc1 ZINC000533415132 326830118 /nfs/dbraw/zinc/83/01/18/326830118.db2.gz MCXZLNPSMFUJQD-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(OC(F)F)cc1 ZINC000398297990 326877828 /nfs/dbraw/zinc/87/78/28/326877828.db2.gz LJXWJJYWEJWGKY-BDAKNGLRSA-N 1 2 299.346 3.551 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398308467 326878269 /nfs/dbraw/zinc/87/82/69/326878269.db2.gz QPIDVUMPMZAZOO-NWDGAFQWSA-N 1 2 291.420 3.737 20 0 CHADLO CCCC[C@@H](COC)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000530691142 326886512 /nfs/dbraw/zinc/88/65/12/326886512.db2.gz ROEULUZZGUXZHB-NSHDSACASA-N 1 2 276.302 3.718 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2ccc(C3CC3)cc2)no1 ZINC000584103373 326970071 /nfs/dbraw/zinc/97/00/71/326970071.db2.gz VBQLOAJOKWQGRW-MNOVXSKESA-N 1 2 271.364 3.667 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc2occc2c1)C(=O)OC(C)(C)C ZINC000556836850 326970902 /nfs/dbraw/zinc/97/09/02/326970902.db2.gz KBRGVDNQYNZJOZ-CQSZACIVSA-N 1 2 289.375 3.643 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC1(C(F)(F)F)CC1 ZINC000557715752 327022350 /nfs/dbraw/zinc/02/23/50/327022350.db2.gz UERYFVBDXMGDCP-UHFFFAOYSA-N 1 2 280.293 3.720 20 0 CHADLO C[C@@H]1COCC[C@H]1CNc1cc[nH+]c2c(Cl)cccc12 ZINC000557721028 327022668 /nfs/dbraw/zinc/02/26/68/327022668.db2.gz ZHGHSJWHCZCJQS-NEPJUHHUSA-N 1 2 290.794 3.973 20 0 CHADLO COc1cc[nH+]cc1CSc1cc(C)ccc1C ZINC000557758772 327025248 /nfs/dbraw/zinc/02/52/48/327025248.db2.gz YGNYCEMQXANTCH-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO C[C@H](Nc1ccc2ccccc2[nH+]1)c1ccc2n[nH]cc2c1 ZINC000557762220 327025819 /nfs/dbraw/zinc/02/58/19/327025819.db2.gz MKFPFRMRQHVLQI-LBPRGKRZSA-N 1 2 288.354 3.706 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nccn1C(F)F ZINC000255896415 327026194 /nfs/dbraw/zinc/02/61/94/327026194.db2.gz ZRLFRDXKQQPGKB-RAXLEYEMSA-N 1 2 291.345 3.814 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nccn1C(F)F ZINC000255896415 327026195 /nfs/dbraw/zinc/02/61/95/327026195.db2.gz ZRLFRDXKQQPGKB-RAXLEYEMSA-N 1 2 291.345 3.814 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H](C)c1ccc(C(C)(C)C)cc1)C1CC1 ZINC000558124172 327074411 /nfs/dbraw/zinc/07/44/11/327074411.db2.gz GLHGHDBNMGAGGT-WBMJQRKESA-N 1 2 289.419 3.586 20 0 CHADLO COc1cccc(SCCc2cccc(C)[nH+]2)c1 ZINC000564450407 327099341 /nfs/dbraw/zinc/09/93/41/327099341.db2.gz SUBWFMCGYDRLDQ-UHFFFAOYSA-N 1 2 259.374 3.733 20 0 CHADLO Clc1ccccc1[C@H]1CCC[C@@H]1Nc1c[nH]c[nH+]1 ZINC000558575210 327106569 /nfs/dbraw/zinc/10/65/69/327106569.db2.gz HVPWPQBJEJYGNM-YPMHNXCESA-N 1 2 261.756 3.811 20 0 CHADLO Cc1cc(C)nc(Nc2ccc([NH+]3CCCC3)cc2C)n1 ZINC000558642708 327111693 /nfs/dbraw/zinc/11/16/93/327111693.db2.gz XKNPWJOIXNHROH-UHFFFAOYSA-N 1 2 282.391 3.746 20 0 CHADLO C[C@@H](c1ccccc1F)[NH+]1CCN(Cc2ccccc2)CC1 ZINC000558737454 327118399 /nfs/dbraw/zinc/11/83/99/327118399.db2.gz BVCBLXHSRFQLKM-INIZCTEOSA-N 1 2 298.405 3.705 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@H+](C)Cc2ncc(C)o2)s1 ZINC000075766922 327127685 /nfs/dbraw/zinc/12/76/85/327127685.db2.gz IXFDWICOVMIMSE-LLVKDONJSA-N 1 2 279.409 3.503 20 0 CHADLO CCc1nc(C)c([C@@H](C)[N@@H+](C)Cc2ncc(C)o2)s1 ZINC000075766922 327127686 /nfs/dbraw/zinc/12/76/86/327127686.db2.gz IXFDWICOVMIMSE-LLVKDONJSA-N 1 2 279.409 3.503 20 0 CHADLO CCc1nc(Nc2ccc([C@@H]3CCOC3)cc2)cc(C)[nH+]1 ZINC000558981556 327136439 /nfs/dbraw/zinc/13/64/39/327136439.db2.gz CYZVSEYWYXKFCU-CQSZACIVSA-N 1 2 283.375 3.595 20 0 CHADLO Cc1c[nH+]cc(CCc2nc(CC3CCCCC3)no2)c1 ZINC000559145754 327142934 /nfs/dbraw/zinc/14/29/34/327142934.db2.gz ISPQJHSTONOZND-UHFFFAOYSA-N 1 2 285.391 3.681 20 0 CHADLO Cc1nn(-c2ccc(Cl)cc2)cc1C[NH2+]CC(C)(F)F ZINC000559321915 327159274 /nfs/dbraw/zinc/15/92/74/327159274.db2.gz CENMQEYXEOHMFZ-UHFFFAOYSA-N 1 2 299.752 3.579 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000091729356 327176852 /nfs/dbraw/zinc/17/68/52/327176852.db2.gz MUAQAVWHMHVYMQ-ZJUUUORDSA-N 1 2 280.368 3.703 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1c(F)cccc1F ZINC000559366455 327196297 /nfs/dbraw/zinc/19/62/97/327196297.db2.gz GCIDAMBYZGRRKW-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1c(F)cccc1F ZINC000559366455 327196298 /nfs/dbraw/zinc/19/62/98/327196298.db2.gz GCIDAMBYZGRRKW-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cnsn2)C(C)(C)C)cc1 ZINC000528591695 327203789 /nfs/dbraw/zinc/20/37/89/327203789.db2.gz JJEPWTOXCIXTOB-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cnsn2)C(C)(C)C)cc1 ZINC000528591695 327203791 /nfs/dbraw/zinc/20/37/91/327203791.db2.gz JJEPWTOXCIXTOB-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO Cc1ccc(NC(=O)COc2ccccc2C(C)C)c(C)[nH+]1 ZINC000531034563 327220834 /nfs/dbraw/zinc/22/08/34/327220834.db2.gz PBHKKAWJEFSPND-UHFFFAOYSA-N 1 2 298.386 3.839 20 0 CHADLO Cc1cc(N[C@@H](C)CCc2ccco2)nc(C(C)C)[nH+]1 ZINC000042271125 327236631 /nfs/dbraw/zinc/23/66/31/327236631.db2.gz ONKJFARXGYEVAC-LBPRGKRZSA-N 1 2 273.380 3.935 20 0 CHADLO CCCc1nc(C[N@@H+]2Cc3cc(C)ccc3CC2(C)C)no1 ZINC000559394289 327243523 /nfs/dbraw/zinc/24/35/23/327243523.db2.gz RHOLDDLBURYVQO-UHFFFAOYSA-N 1 2 299.418 3.667 20 0 CHADLO CCCc1nc(C[N@H+]2Cc3cc(C)ccc3CC2(C)C)no1 ZINC000559394289 327243524 /nfs/dbraw/zinc/24/35/24/327243524.db2.gz RHOLDDLBURYVQO-UHFFFAOYSA-N 1 2 299.418 3.667 20 0 CHADLO COc1ccc([C@H](C)[NH2+]CC(C)(F)F)cc1Cl ZINC000559877915 327267030 /nfs/dbraw/zinc/26/70/30/327267030.db2.gz IVNPQKAPUZJABN-QMMMGPOBSA-N 1 2 263.715 3.654 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccccc1OC(F)(F)F ZINC000559878439 327267661 /nfs/dbraw/zinc/26/76/61/327267661.db2.gz RSAZPRUKZSOUAU-QMMMGPOBSA-N 1 2 283.240 3.891 20 0 CHADLO Fc1cccc(Cl)c1CCC[N@@H+]1CCO[C@@H](C2CC2)C1 ZINC000559948774 327273655 /nfs/dbraw/zinc/27/36/55/327273655.db2.gz BULGKSOTDBJEEZ-MRXNPFEDSA-N 1 2 297.801 3.523 20 0 CHADLO Fc1cccc(Cl)c1CCC[N@H+]1CCO[C@@H](C2CC2)C1 ZINC000559948774 327273657 /nfs/dbraw/zinc/27/36/57/327273657.db2.gz BULGKSOTDBJEEZ-MRXNPFEDSA-N 1 2 297.801 3.523 20 0 CHADLO Cc1ccc2[nH+]c(CNc3ccc4ncsc4c3)cn2c1 ZINC000560079233 327282818 /nfs/dbraw/zinc/28/28/18/327282818.db2.gz YRANFMSLVLVWIO-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO CC1=CC[C@H](Nc2ccc(N3CCCC3)c[nH+]2)CC1 ZINC000560467510 327311710 /nfs/dbraw/zinc/31/17/10/327311710.db2.gz GWUMXJINEPMWEQ-AWEZNQCLSA-N 1 2 257.381 3.593 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1nc(C2CC2)cs1 ZINC000560538570 327321394 /nfs/dbraw/zinc/32/13/94/327321394.db2.gz LTFVECQTPRRKAE-UHFFFAOYSA-N 1 2 291.420 3.650 20 0 CHADLO CSc1ccc(C[N@@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)s1 ZINC000560628772 327331832 /nfs/dbraw/zinc/33/18/32/327331832.db2.gz SQVSCWZMUXQEOV-LSDHHAIUSA-N 1 2 295.473 3.613 20 0 CHADLO CSc1ccc(C[N@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)s1 ZINC000560628772 327331833 /nfs/dbraw/zinc/33/18/33/327331833.db2.gz SQVSCWZMUXQEOV-LSDHHAIUSA-N 1 2 295.473 3.613 20 0 CHADLO C[C@@H](NC(=O)c1ccc(C2CCCCC2)cc1)c1[nH]cc[nH+]1 ZINC000560698063 327337035 /nfs/dbraw/zinc/33/70/35/327337035.db2.gz BGEQSAYDLNELIC-CYBMUJFWSA-N 1 2 297.402 3.948 20 0 CHADLO CCC(CC)[N@H+](Cc1noc(C(C)(C)C)n1)C1CC1 ZINC000560729301 327339151 /nfs/dbraw/zinc/33/91/51/327339151.db2.gz WZWFJQKMVROBDN-UHFFFAOYSA-N 1 2 265.401 3.520 20 0 CHADLO CCC(CC)[N@@H+](Cc1noc(C(C)(C)C)n1)C1CC1 ZINC000560729301 327339152 /nfs/dbraw/zinc/33/91/52/327339152.db2.gz WZWFJQKMVROBDN-UHFFFAOYSA-N 1 2 265.401 3.520 20 0 CHADLO OCC[C@@H]1CCC[C@@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000561305324 327384219 /nfs/dbraw/zinc/38/42/19/327384219.db2.gz RCYLVBYFKCWRQF-FZMZJTMJSA-N 1 2 290.794 3.851 20 0 CHADLO CC[C@H]([NH2+][C@H](c1ccc(Cl)cc1)[C@H]1C[C@H]1C)C(=O)OC ZINC000561371998 327389753 /nfs/dbraw/zinc/38/97/53/327389753.db2.gz YILVTFMCQTYURU-SDJAHQOYSA-N 1 2 295.810 3.578 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@](F)(c3ccccc3)C2)sc1C ZINC000561487384 327399121 /nfs/dbraw/zinc/39/91/21/327399121.db2.gz QOHLSOMGBBAQKG-INIZCTEOSA-N 1 2 290.407 3.831 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@](F)(c3ccccc3)C2)sc1C ZINC000561487384 327399123 /nfs/dbraw/zinc/39/91/23/327399123.db2.gz QOHLSOMGBBAQKG-INIZCTEOSA-N 1 2 290.407 3.831 20 0 CHADLO CCc1oc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1C ZINC000151658108 327487234 /nfs/dbraw/zinc/48/72/34/327487234.db2.gz CRWAVWPGHRYTLZ-UHFFFAOYSA-N 1 2 295.342 3.793 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2C[C@H]2c2ccccc2F)c(C)[nH+]1 ZINC000562688776 327505446 /nfs/dbraw/zinc/50/54/46/327505446.db2.gz WAFCXLWOHZPDSQ-XJKSGUPXSA-N 1 2 299.349 3.515 20 0 CHADLO CCCN(Cc1ccc(C)cc1)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000572648460 327598683 /nfs/dbraw/zinc/59/86/83/327598683.db2.gz FCPWLXCOQHCNQY-MRXNPFEDSA-N 1 2 299.418 3.581 20 0 CHADLO CC[C@@H](COC)Nc1cc(C)[nH+]c2c(F)cccc12 ZINC000519796468 328697685 /nfs/dbraw/zinc/69/76/85/328697685.db2.gz MTXJNVPGGGXCBV-NSHDSACASA-N 1 2 262.328 3.519 20 0 CHADLO Cc1nc(C[NH2+]C(c2ccccc2)c2ccccc2)co1 ZINC000582219573 328726091 /nfs/dbraw/zinc/72/60/91/328726091.db2.gz HVTKGAYIHMUCGM-UHFFFAOYSA-N 1 2 278.355 3.862 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(OC(F)F)cc1 ZINC000389456286 328846883 /nfs/dbraw/zinc/84/68/83/328846883.db2.gz ADNGQIXVRKJAET-RKDXNWHRSA-N 1 2 279.277 3.982 20 0 CHADLO Cc1cc(OCc2ccnc(C)n2)c2cccc(C)c2[nH+]1 ZINC000358578188 328887388 /nfs/dbraw/zinc/88/73/88/328887388.db2.gz IFVORARIKNFSPI-UHFFFAOYSA-N 1 2 279.343 3.529 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2ccc(C)c(C)c2)no1 ZINC000378347666 329047077 /nfs/dbraw/zinc/04/70/77/329047077.db2.gz AVNJNYDLDHSNST-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2ccc(C)c(C)c2)no1 ZINC000378347666 329047079 /nfs/dbraw/zinc/04/70/79/329047079.db2.gz AVNJNYDLDHSNST-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc(C)c(OC)c2)s1 ZINC000194031297 329064766 /nfs/dbraw/zinc/06/47/66/329064766.db2.gz QLCUNBFKUGTWHH-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc(C)c(OC)c2)s1 ZINC000194031297 329064767 /nfs/dbraw/zinc/06/47/67/329064767.db2.gz QLCUNBFKUGTWHH-UHFFFAOYSA-N 1 2 290.432 3.655 20 0 CHADLO C[C@@H](c1ccccc1Cl)N1CC[NH+](CC2(F)CC2)CC1 ZINC000525977174 329066218 /nfs/dbraw/zinc/06/62/18/329066218.db2.gz BHUWZEPRWNGHAW-ZDUSSCGKSA-N 1 2 296.817 3.521 20 0 CHADLO C[C@@H](c1ccccc1Cl)[NH+]1CCN(CC2(F)CC2)CC1 ZINC000525977174 329066219 /nfs/dbraw/zinc/06/62/19/329066219.db2.gz BHUWZEPRWNGHAW-ZDUSSCGKSA-N 1 2 296.817 3.521 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCOc3ccccc32)c(C)[nH+]1 ZINC000170840898 329068307 /nfs/dbraw/zinc/06/83/07/329068307.db2.gz VZIPQBWUFHYTFL-OAHLLOKOSA-N 1 2 296.370 3.512 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1cn2ccsc2n1 ZINC000170954454 329072574 /nfs/dbraw/zinc/07/25/74/329072574.db2.gz IHRBJHWKTIGOEP-LBPRGKRZSA-N 1 2 283.400 3.515 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1cn2ccsc2n1 ZINC000170954454 329072575 /nfs/dbraw/zinc/07/25/75/329072575.db2.gz IHRBJHWKTIGOEP-LBPRGKRZSA-N 1 2 283.400 3.515 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@@H+]1Cc1ccn(C(C)C)n1 ZINC000172013755 329083490 /nfs/dbraw/zinc/08/34/90/329083490.db2.gz FTLSKGJFDRIWGV-QGZVFWFLSA-N 1 2 299.418 3.810 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@H+]1Cc1ccn(C(C)C)n1 ZINC000172013755 329083491 /nfs/dbraw/zinc/08/34/91/329083491.db2.gz FTLSKGJFDRIWGV-QGZVFWFLSA-N 1 2 299.418 3.810 20 0 CHADLO Cc1cccc2[nH+]c(CNc3nc4cc(F)ccc4o3)cn21 ZINC000172241110 329084056 /nfs/dbraw/zinc/08/40/56/329084056.db2.gz DCMNLHOVXOUYFS-UHFFFAOYSA-N 1 2 296.305 3.535 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1cc(Br)cs1 ZINC000393456923 329092456 /nfs/dbraw/zinc/09/24/56/329092456.db2.gz FCEPISPFOSUHSU-ZCFIWIBFSA-N 1 2 284.169 3.816 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccn1)c1nnc(-c2ccccc2)o1 ZINC000172985440 329141604 /nfs/dbraw/zinc/14/16/04/329141604.db2.gz YZBFLAXBDSMKBM-CHWSQXEVSA-N 1 2 294.358 3.543 20 0 CHADLO CO[C@@H](CNc1cc[nH+]c(C(C)C)n1)c1ccc(F)cc1 ZINC000173987685 329151898 /nfs/dbraw/zinc/15/18/98/329151898.db2.gz JQNKPDTYYMSXKA-AWEZNQCLSA-N 1 2 289.354 3.539 20 0 CHADLO C[C@H]([NH2+]Cc1ccccn1)c1ncc(-c2ccccc2)o1 ZINC000173794260 329152269 /nfs/dbraw/zinc/15/22/69/329152269.db2.gz GXJALVHQEFHTFR-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO c1cc(C[N@@H+]2Cc3ccccc3C[C@@H]2c2ccccc2)no1 ZINC000174039002 329153663 /nfs/dbraw/zinc/15/36/63/329153663.db2.gz RVCCRTIZAVLRQE-LJQANCHMSA-N 1 2 290.366 3.974 20 0 CHADLO c1cc(C[N@H+]2Cc3ccccc3C[C@@H]2c2ccccc2)no1 ZINC000174039002 329153664 /nfs/dbraw/zinc/15/36/64/329153664.db2.gz RVCCRTIZAVLRQE-LJQANCHMSA-N 1 2 290.366 3.974 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)c(C)s1 ZINC000174134000 329154778 /nfs/dbraw/zinc/15/47/78/329154778.db2.gz GQDOROBDUGMXAH-SNVBAGLBSA-N 1 2 293.436 3.896 20 0 CHADLO CC[C@@H](C)c1ccccc1OCC(=O)Nc1cc[nH+]c(C)c1 ZINC000219337423 329158578 /nfs/dbraw/zinc/15/85/78/329158578.db2.gz NCCQNCLBGRXZTJ-CYBMUJFWSA-N 1 2 298.386 3.921 20 0 CHADLO CSCCC[N@@H+](Cc1ccccc1)CC(F)F ZINC000174992574 329162085 /nfs/dbraw/zinc/16/20/85/329162085.db2.gz XGFPLSMTBDUREH-UHFFFAOYSA-N 1 2 259.365 3.507 20 0 CHADLO CSCCC[N@H+](Cc1ccccc1)CC(F)F ZINC000174992574 329162086 /nfs/dbraw/zinc/16/20/86/329162086.db2.gz XGFPLSMTBDUREH-UHFFFAOYSA-N 1 2 259.365 3.507 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CCc2c1cccc2Cl ZINC000176393282 329175308 /nfs/dbraw/zinc/17/53/08/329175308.db2.gz JHNIXTZRKLEXLJ-UHFFFAOYSA-N 1 2 275.783 3.680 20 0 CHADLO CC(C)CC[C@H]1CCCCN1C(=O)c1ccc2[nH+]ccn2c1 ZINC000177496314 329184967 /nfs/dbraw/zinc/18/49/67/329184967.db2.gz NMICLBBEDDTSCF-MRXNPFEDSA-N 1 2 299.418 3.765 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000177684868 329188933 /nfs/dbraw/zinc/18/89/33/329188933.db2.gz ZYVCDIBOZXXFGF-WBMJQRKESA-N 1 2 275.323 3.553 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000177684868 329188935 /nfs/dbraw/zinc/18/89/35/329188935.db2.gz ZYVCDIBOZXXFGF-WBMJQRKESA-N 1 2 275.323 3.553 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(-c4ccccc4)oc3C2)no1 ZINC000177721001 329189561 /nfs/dbraw/zinc/18/95/61/329189561.db2.gz QNTGLHUMZOKKNE-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(-c4ccccc4)oc3C2)no1 ZINC000177721001 329189563 /nfs/dbraw/zinc/18/95/63/329189563.db2.gz QNTGLHUMZOKKNE-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO C[C@H]1C[N@H+](Cc2cn3ccsc3n2)[C@@H]1c1ccccc1 ZINC000177827832 329192932 /nfs/dbraw/zinc/19/29/32/329192932.db2.gz QVRPSWFWRKUDNV-WFASDCNBSA-N 1 2 283.400 3.589 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cn3ccsc3n2)[C@@H]1c1ccccc1 ZINC000177827832 329192933 /nfs/dbraw/zinc/19/29/33/329192933.db2.gz QVRPSWFWRKUDNV-WFASDCNBSA-N 1 2 283.400 3.589 20 0 CHADLO c1coc([C@@H]2C[N@H+](Cc3cc4ccccc4o3)CCO2)c1 ZINC000178545514 329198162 /nfs/dbraw/zinc/19/81/62/329198162.db2.gz RARUCPYMGYSMEG-KRWDZBQOSA-N 1 2 283.327 3.599 20 0 CHADLO c1coc([C@@H]2C[N@@H+](Cc3cc4ccccc4o3)CCO2)c1 ZINC000178545514 329198164 /nfs/dbraw/zinc/19/81/64/329198164.db2.gz RARUCPYMGYSMEG-KRWDZBQOSA-N 1 2 283.327 3.599 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2cc3ccccc3o2)o1 ZINC000178536897 329198180 /nfs/dbraw/zinc/19/81/80/329198180.db2.gz YEGSRBJKWAVLPI-INIZCTEOSA-N 1 2 297.354 3.908 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2cc3ccccc3o2)o1 ZINC000178536897 329198181 /nfs/dbraw/zinc/19/81/81/329198181.db2.gz YEGSRBJKWAVLPI-INIZCTEOSA-N 1 2 297.354 3.908 20 0 CHADLO Cc1ccc(CNc2[nH+]cccc2OC(F)F)cc1 ZINC000180001317 329214373 /nfs/dbraw/zinc/21/43/73/329214373.db2.gz BRVKLXGEUHJFCG-UHFFFAOYSA-N 1 2 264.275 3.604 20 0 CHADLO Brc1cccc(SCCCn2cc[nH+]c2)c1 ZINC000180437047 329216915 /nfs/dbraw/zinc/21/69/15/329216915.db2.gz FLRRAKGFGAOIRH-UHFFFAOYSA-N 1 2 297.221 3.828 20 0 CHADLO Fc1ccc2oc(C[N@H+](Cc3ccccn3)C3CC3)nc2c1 ZINC000180859601 329223373 /nfs/dbraw/zinc/22/33/73/329223373.db2.gz BTQHSZHLCBHDAW-UHFFFAOYSA-N 1 2 297.333 3.527 20 0 CHADLO Fc1ccc2oc(C[N@@H+](Cc3ccccn3)C3CC3)nc2c1 ZINC000180859601 329223375 /nfs/dbraw/zinc/22/33/75/329223375.db2.gz BTQHSZHLCBHDAW-UHFFFAOYSA-N 1 2 297.333 3.527 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(-c2cccnc2)cc1 ZINC000181275307 329228706 /nfs/dbraw/zinc/22/87/06/329228706.db2.gz GDZPJNINZDFDHI-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO C[C@H]([NH2+]Cc1csc(-c2ccoc2)n1)c1ccccn1 ZINC000181782835 329237086 /nfs/dbraw/zinc/23/70/86/329237086.db2.gz GHLOEIRLLUQZDL-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000182423362 329242134 /nfs/dbraw/zinc/24/21/34/329242134.db2.gz AWCWTCLITOZOKQ-SECBINFHSA-N 1 2 299.296 3.502 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2ccc(F)cc2)n1)c1cccnc1 ZINC000182888209 329245655 /nfs/dbraw/zinc/24/56/55/329245655.db2.gz KNPKILQGMSURFB-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@@H](C)[C@H]3CCCC[C@@H]32)c(C)[nH+]1 ZINC000183208206 329248708 /nfs/dbraw/zinc/24/87/08/329248708.db2.gz DYJJBTVINUSCML-XFJVYGCCSA-N 1 2 287.407 3.741 20 0 CHADLO Cc1cccn2c(CNc3ccc(F)cc3F)c[nH+]c12 ZINC000183287104 329249192 /nfs/dbraw/zinc/24/91/92/329249192.db2.gz QWCXZZUEBXYJLV-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO CCOc1ccc(OC)cc1NCc1ccc(C)[nH+]c1C ZINC000184443141 329257932 /nfs/dbraw/zinc/25/79/32/329257932.db2.gz MNECEKKZUPINGS-UHFFFAOYSA-N 1 2 286.375 3.718 20 0 CHADLO Cc1ccc(CNc2ccccc2OCC(F)F)c(C)[nH+]1 ZINC000184489291 329260683 /nfs/dbraw/zinc/26/06/83/329260683.db2.gz LIKOOGORVKFRRC-UHFFFAOYSA-N 1 2 292.329 3.954 20 0 CHADLO COc1cc(C)cc(OC)c1OCc1ccc(C)[nH+]c1C ZINC000185257881 329269723 /nfs/dbraw/zinc/26/97/23/329269723.db2.gz SWMUCCYHVVSHRH-UHFFFAOYSA-N 1 2 287.359 3.603 20 0 CHADLO CC(=O)Nc1ccc(C)cc1OCc1ccc(C)[nH+]c1C ZINC000185368087 329271111 /nfs/dbraw/zinc/27/11/11/329271111.db2.gz UXTQWMNNSPEGEE-UHFFFAOYSA-N 1 2 284.359 3.544 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CC12CCOCC2 ZINC000395650583 329293951 /nfs/dbraw/zinc/29/39/51/329293951.db2.gz RAPCAOKQCWEGMK-OAHLLOKOSA-N 1 2 268.360 3.524 20 0 CHADLO C[C@H](CCC1CCCCC1)NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000582968430 329295645 /nfs/dbraw/zinc/29/56/45/329295645.db2.gz QRSIWIVURSUXIR-HUUCEWRRSA-N 1 2 291.439 3.699 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cncc2ccccc21 ZINC000396538701 329316906 /nfs/dbraw/zinc/31/69/06/329316906.db2.gz ZBWJRCKVWXBVFE-UHFFFAOYSA-N 1 2 266.348 3.624 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NCc2cc(O)cc(F)c2)c1 ZINC000185588557 329326739 /nfs/dbraw/zinc/32/67/39/329326739.db2.gz ZAVSTSKEDWALBE-UHFFFAOYSA-N 1 2 297.333 3.544 20 0 CHADLO CC(C)[C@]1(C)C[C@@H]1C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000185722607 329330710 /nfs/dbraw/zinc/33/07/10/329330710.db2.gz WCWICOBRULRWIE-QAPCUYQASA-N 1 2 297.402 3.708 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2cccc(Cl)c2Cl)[nH]1 ZINC000392462008 329340685 /nfs/dbraw/zinc/34/06/85/329340685.db2.gz NQJPOERALKBNBH-YUMQZZPRSA-N 1 2 299.205 3.832 20 0 CHADLO C[C@@H]([NH2+][C@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccco1 ZINC000186655939 329347098 /nfs/dbraw/zinc/34/70/98/329347098.db2.gz MXVYZKXUIVHVCN-PXAZEXFGSA-N 1 2 299.349 3.592 20 0 CHADLO COc1ccc(Cl)cc1NCc1ccc(C)[nH+]c1C ZINC000187703710 329362499 /nfs/dbraw/zinc/36/24/99/329362499.db2.gz IBNNBKPJVJHZMC-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO Clc1ccccc1CSCCCn1cc[nH+]c1 ZINC000188503675 329369401 /nfs/dbraw/zinc/36/94/01/329369401.db2.gz UKHRFUAPLPZEIO-UHFFFAOYSA-N 1 2 266.797 3.860 20 0 CHADLO CCC(CC)NC(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000509016601 332855890 /nfs/dbraw/zinc/85/58/90/332855890.db2.gz QPGDECFPJKJKHJ-UHFFFAOYSA-N 1 2 284.359 3.792 20 0 CHADLO Cc1cc(N[C@H](C)CC(F)(F)F)nc(C(C)C)[nH+]1 ZINC000231749853 329378600 /nfs/dbraw/zinc/37/86/00/329378600.db2.gz YFWWGZNGSMANRR-SECBINFHSA-N 1 2 261.291 3.661 20 0 CHADLO Fc1ccc([C@@H]2C[N@H+](Cc3cccs3)CCO2)c(F)c1 ZINC000190134096 329392403 /nfs/dbraw/zinc/39/24/03/329392403.db2.gz SFRRRPCQNXJQEO-HNNXBMFYSA-N 1 2 295.354 3.600 20 0 CHADLO Fc1ccc([C@@H]2C[N@@H+](Cc3cccs3)CCO2)c(F)c1 ZINC000190134096 329392404 /nfs/dbraw/zinc/39/24/04/329392404.db2.gz SFRRRPCQNXJQEO-HNNXBMFYSA-N 1 2 295.354 3.600 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Cl)c(F)c1)c1csnn1 ZINC000398348677 329442462 /nfs/dbraw/zinc/44/24/62/329442462.db2.gz WBQIYCYSLHKLGJ-JGVFFNPUSA-N 1 2 285.775 3.742 20 0 CHADLO Cc1cc(NC(=O)N2CC[C@H](c3ccccc3)[C@H]2C)cc[nH+]1 ZINC000119691623 329548608 /nfs/dbraw/zinc/54/86/08/329548608.db2.gz SCLSJOAJISHQAC-PBHICJAKSA-N 1 2 295.386 3.800 20 0 CHADLO Cc1csc(C[NH2+]C(C)(C)c2nc(C)c(C)s2)n1 ZINC000124747692 329573605 /nfs/dbraw/zinc/57/36/05/329573605.db2.gz GHTZNKHUOXKZBT-UHFFFAOYSA-N 1 2 281.450 3.550 20 0 CHADLO CC(C)=CC(=O)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000044568912 329592703 /nfs/dbraw/zinc/59/27/03/329592703.db2.gz MUOUDWJCGKXFEE-UHFFFAOYSA-N 1 2 295.386 3.791 20 0 CHADLO CCc1ccc(N[C@H](c2[nH]cc[nH+]2)c2cccnc2)cc1F ZINC000195099690 329690541 /nfs/dbraw/zinc/69/05/41/329690541.db2.gz FTFBWJACWHMHKP-INIZCTEOSA-N 1 2 296.349 3.708 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COc1ccccc1CCO ZINC000418960112 329702150 /nfs/dbraw/zinc/70/21/50/329702150.db2.gz FEDZDORRUWUPND-UHFFFAOYSA-N 1 2 285.387 3.627 20 0 CHADLO Cc1ccc(CSCCC2CCOCC2)c(C)[nH+]1 ZINC000419463061 329731899 /nfs/dbraw/zinc/73/18/99/329731899.db2.gz MVMICXFLYATCCS-UHFFFAOYSA-N 1 2 265.422 3.748 20 0 CHADLO CC[C@@H](C)NC(=O)CSCc1ccc(C(C)C)[nH+]c1C ZINC000419487287 329736595 /nfs/dbraw/zinc/73/65/95/329736595.db2.gz AXJXPQGAHOORFP-GFCCVEGCSA-N 1 2 294.464 3.661 20 0 CHADLO CCc1ccccc1Cc1nc(Cc2ccc[nH+]c2C)no1 ZINC000420509994 329772697 /nfs/dbraw/zinc/77/26/97/329772697.db2.gz GVXUZNCPUORHBM-UHFFFAOYSA-N 1 2 293.370 3.517 20 0 CHADLO CCC(CC)n1ccc(C[NH+]2C[C@@H](CC)O[C@H](CC)C2)n1 ZINC000420947785 329790027 /nfs/dbraw/zinc/79/00/27/329790027.db2.gz CZSYOMOFEQJPSR-IAGOWNOFSA-N 1 2 293.455 3.634 20 0 CHADLO Cc1cc(C[NH2+]Cc2csc(C(C)(C)C)n2)cc(C)n1 ZINC000421366350 329823707 /nfs/dbraw/zinc/82/37/07/329823707.db2.gz AOFVNLCHBFHKNX-UHFFFAOYSA-N 1 2 289.448 3.742 20 0 CHADLO Cn1c2ccc(NC(=O)[C@H]3CC34CC4)cc2[nH+]c1C(C)(C)C ZINC000421501637 329836294 /nfs/dbraw/zinc/83/62/94/329836294.db2.gz XFTZKMPMFLBTIZ-GFCCVEGCSA-N 1 2 297.402 3.609 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000421524424 329842335 /nfs/dbraw/zinc/84/23/35/329842335.db2.gz LFFCJYRGIWXJER-XQQFMLRXSA-N 1 2 288.391 3.634 20 0 CHADLO C[C@H](CC(=O)NCc1ccccc1-n1cc[nH+]c1)C(C)(C)C ZINC000066621941 329849610 /nfs/dbraw/zinc/84/96/10/329849610.db2.gz REKCABKQTNASFH-CQSZACIVSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1cc(N2C[C@H](C)C[C@H]2c2cccnc2)nc(C2CC2)[nH+]1 ZINC000248570080 329892037 /nfs/dbraw/zinc/89/20/37/329892037.db2.gz LUAKHXUNKBJKTM-WBMJQRKESA-N 1 2 294.402 3.645 20 0 CHADLO C[C@H](CC(=O)N(CC(C)(C)C)c1ccccc1)n1cc[nH+]c1 ZINC000572044807 329937801 /nfs/dbraw/zinc/93/78/01/329937801.db2.gz KZUGSYPCGFPRNS-OAHLLOKOSA-N 1 2 299.418 3.914 20 0 CHADLO CSc1ccc(NC[C@@H](C)c2ccc(F)cc2)[nH+]c1 ZINC000527111067 329963144 /nfs/dbraw/zinc/96/31/44/329963144.db2.gz DSPXZHXVYNMXNE-LLVKDONJSA-N 1 2 276.380 3.580 20 0 CHADLO CC[C@H](C)Cc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000572283617 329969868 /nfs/dbraw/zinc/96/98/68/329969868.db2.gz QGODJMIQOBGMFA-LBPRGKRZSA-N 1 2 282.347 3.511 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@H](C)c1cscn1 ZINC000162330239 330000181 /nfs/dbraw/zinc/00/01/81/330000181.db2.gz VPEVVWWJPROVQB-VXGBXAGGSA-N 1 2 276.405 3.872 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2cscn2)c(C)o1 ZINC000162396675 330016847 /nfs/dbraw/zinc/01/68/47/330016847.db2.gz ZXOUIVXXQVCGTG-VHSXEESVSA-N 1 2 250.367 3.765 20 0 CHADLO Cc1cc(F)cc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC000079852506 330062989 /nfs/dbraw/zinc/06/29/89/330062989.db2.gz RMEVOFSRBRBXLO-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO COc1ccc(C[NH2+]C2(C(F)F)CCCCC2)cc1 ZINC000510112310 332892815 /nfs/dbraw/zinc/89/28/15/332892815.db2.gz KCZHIPIGDMNZLE-UHFFFAOYSA-N 1 2 269.335 3.753 20 0 CHADLO Cc1c[nH+]ccc1CCSc1nc2ccccc2n1C ZINC000421818024 330086000 /nfs/dbraw/zinc/08/60/00/330086000.db2.gz YNXXRTRQISTUSV-UHFFFAOYSA-N 1 2 283.400 3.612 20 0 CHADLO COc1ccc([C@@H]([NH2+]Cc2nccs2)C2CC2)c(F)c1 ZINC000421819790 330086557 /nfs/dbraw/zinc/08/65/57/330086557.db2.gz JAAJYDZMZXNPIW-HNNXBMFYSA-N 1 2 292.379 3.532 20 0 CHADLO CCCCn1ncc(N[C@@H]2CCc3ccc[nH+]c3CC2)c1C ZINC000421836633 330091878 /nfs/dbraw/zinc/09/18/78/330091878.db2.gz OWYZOQXLDORSRN-MRXNPFEDSA-N 1 2 298.434 3.746 20 0 CHADLO Cc1cc(CNC(=O)N2C[C@@H](C)c3ccccc32)cc(C)[nH+]1 ZINC000422216603 330132922 /nfs/dbraw/zinc/13/29/22/330132922.db2.gz VNVSWDJQHJISDN-GFCCVEGCSA-N 1 2 295.386 3.532 20 0 CHADLO Cc1cc(CNC(=O)N(CC(C)C)CC(C)C)cc(C)[nH+]1 ZINC000422206365 330134495 /nfs/dbraw/zinc/13/44/95/330134495.db2.gz KWUVCPKUKXYVBK-UHFFFAOYSA-N 1 2 291.439 3.522 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000580449046 330137501 /nfs/dbraw/zinc/13/75/01/330137501.db2.gz DRWIZCKMUAZMNE-CYBMUJFWSA-N 1 2 285.391 3.507 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1cc(Cl)ccc1OC(F)F ZINC000527238506 330240675 /nfs/dbraw/zinc/24/06/75/330240675.db2.gz DXUGFWXAMDUFIG-RKDXNWHRSA-N 1 2 275.726 3.924 20 0 CHADLO FC1(C[N@H+]2CC=C(c3ccccc3Cl)CC2)CC1 ZINC000527524993 330257211 /nfs/dbraw/zinc/25/72/11/330257211.db2.gz YGWTUVFMZCTBEN-UHFFFAOYSA-N 1 2 265.759 3.931 20 0 CHADLO FC1(C[N@@H+]2CC=C(c3ccccc3Cl)CC2)CC1 ZINC000527524993 330257213 /nfs/dbraw/zinc/25/72/13/330257213.db2.gz YGWTUVFMZCTBEN-UHFFFAOYSA-N 1 2 265.759 3.931 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)ccn1 ZINC000527531952 330262643 /nfs/dbraw/zinc/26/26/43/330262643.db2.gz DKEACQNKWMYOSM-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)ccn1 ZINC000527531952 330262644 /nfs/dbraw/zinc/26/26/44/330262644.db2.gz DKEACQNKWMYOSM-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@H+]([C@H](C)c1ccc(F)cc1)C2 ZINC000527622327 330265950 /nfs/dbraw/zinc/26/59/50/330265950.db2.gz QCJBDIVYTBYIRC-CYBMUJFWSA-N 1 2 299.393 3.858 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@@H+]([C@H](C)c1ccc(F)cc1)C2 ZINC000527622327 330265952 /nfs/dbraw/zinc/26/59/52/330265952.db2.gz QCJBDIVYTBYIRC-CYBMUJFWSA-N 1 2 299.393 3.858 20 0 CHADLO Cn1cc[nH+]c1CNc1ccc(Oc2ccccc2)cc1 ZINC000036804497 330275268 /nfs/dbraw/zinc/27/52/68/330275268.db2.gz PSLNIIJUWFWPRZ-UHFFFAOYSA-N 1 2 279.343 3.825 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@@H](C)c3ccco3)cc2[nH+]1 ZINC000527763690 330281578 /nfs/dbraw/zinc/28/15/78/330281578.db2.gz LQNVOWFVEBGZEU-SNVBAGLBSA-N 1 2 283.331 3.597 20 0 CHADLO Fc1cc2c(cc1Cl)[C@@H]([NH2+]Cc1cscn1)CC2 ZINC000527851639 330288294 /nfs/dbraw/zinc/28/82/94/330288294.db2.gz ALZDPFYGZFIKCE-ZDUSSCGKSA-N 1 2 282.771 3.713 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](C)c1cc(-c2ccccc2)n(C)n1 ZINC000527902268 330293654 /nfs/dbraw/zinc/29/36/54/330293654.db2.gz NIQCUJGIWBZCNL-CQSZACIVSA-N 1 2 295.386 3.839 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]Cc1ccccc1CC(C)C ZINC000527919806 330295454 /nfs/dbraw/zinc/29/54/54/330295454.db2.gz KUBSMOMXMZPZOA-CYBMUJFWSA-N 1 2 271.408 3.767 20 0 CHADLO CCn1ncc(C[NH2+][C@H](C)c2cc3cc(C)c(C)cc3o2)n1 ZINC000527920045 330295656 /nfs/dbraw/zinc/29/56/56/330295656.db2.gz GLRYIUAUKWEUSP-CYBMUJFWSA-N 1 2 298.390 3.512 20 0 CHADLO C[C@H]1C[C@H](Nc2cccc(C3CCOCC3)c2)c2[nH+]ccn21 ZINC000527993771 330299352 /nfs/dbraw/zinc/29/93/52/330299352.db2.gz FXKVUAPWKFXQMA-GUYCJALGSA-N 1 2 297.402 3.895 20 0 CHADLO CC[C@@H](Nc1cccc(C2CCOCC2)c1)c1[nH+]ccn1C ZINC000527997633 330299557 /nfs/dbraw/zinc/29/95/57/330299557.db2.gz ZJPDLPSNCZNADP-QGZVFWFLSA-N 1 2 299.418 3.877 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccn1)C1CCC1)c1cscn1 ZINC000527964125 330300935 /nfs/dbraw/zinc/30/09/35/330300935.db2.gz HQYRJNWJRWEEEW-NHYWBVRUSA-N 1 2 273.405 3.730 20 0 CHADLO CSCc1ccc(NCc2c[nH+]cn2C(C)C)cc1 ZINC000424155396 330302100 /nfs/dbraw/zinc/30/21/00/330302100.db2.gz NSKKWWNMZOKSSU-UHFFFAOYSA-N 1 2 275.421 3.939 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@H](OC2CCC2)C1 ZINC000528047976 330305980 /nfs/dbraw/zinc/30/59/80/330305980.db2.gz RAZAUTPDXRTYNA-INIZCTEOSA-N 1 2 282.387 3.773 20 0 CHADLO CC(C)CCO[C@@H]1CCN(c2[nH+]ccc3ccccc32)C1 ZINC000528057450 330306408 /nfs/dbraw/zinc/30/64/08/330306408.db2.gz IQBRUDWAMOSFKY-MRXNPFEDSA-N 1 2 284.403 3.876 20 0 CHADLO C[N@H+](Cc1ccccc1Cl)Cc1cc(CO)ccc1F ZINC000528336715 330319445 /nfs/dbraw/zinc/31/94/45/330319445.db2.gz GVASEVYAQZHDMN-UHFFFAOYSA-N 1 2 293.769 3.603 20 0 CHADLO C[N@@H+](Cc1ccccc1Cl)Cc1cc(CO)ccc1F ZINC000528336715 330319446 /nfs/dbraw/zinc/31/94/46/330319446.db2.gz GVASEVYAQZHDMN-UHFFFAOYSA-N 1 2 293.769 3.603 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H]2C[C@@]2(F)c2ccccc2)s1 ZINC000424189417 330322277 /nfs/dbraw/zinc/32/22/77/330322277.db2.gz DMUIDPMBCZQASJ-GOEBONIOSA-N 1 2 290.407 3.993 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H]2c2ccccc2)nc2ccccc12 ZINC000533013581 330324237 /nfs/dbraw/zinc/32/42/37/330324237.db2.gz AZHTWNGFUZDHHR-SFHVURJKSA-N 1 2 289.382 3.885 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H]2c2ccccc2)nc2ccccc12 ZINC000533013581 330324238 /nfs/dbraw/zinc/32/42/38/330324238.db2.gz AZHTWNGFUZDHHR-SFHVURJKSA-N 1 2 289.382 3.885 20 0 CHADLO CC[N@H+](CCCCCn1cccn1)c1cccc(C)c1 ZINC000533026944 330324611 /nfs/dbraw/zinc/32/46/11/330324611.db2.gz IYYRJSSGNLCPNF-UHFFFAOYSA-N 1 2 271.408 3.888 20 0 CHADLO CC[N@@H+](CCCCCn1cccn1)c1cccc(C)c1 ZINC000533026944 330324612 /nfs/dbraw/zinc/32/46/12/330324612.db2.gz IYYRJSSGNLCPNF-UHFFFAOYSA-N 1 2 271.408 3.888 20 0 CHADLO c1ccc([N@H+](CC2CCC2)CC2CCOCC2)cc1 ZINC000533074790 330329161 /nfs/dbraw/zinc/32/91/61/330329161.db2.gz LJJFHGVFVMCRRW-UHFFFAOYSA-N 1 2 259.393 3.720 20 0 CHADLO c1ccc([N@@H+](CC2CCC2)CC2CCOCC2)cc1 ZINC000533074790 330329162 /nfs/dbraw/zinc/32/91/62/330329162.db2.gz LJJFHGVFVMCRRW-UHFFFAOYSA-N 1 2 259.393 3.720 20 0 CHADLO COc1ccnc(C[NH2+][C@H](C)c2ccccc2C(C)(C)C)n1 ZINC000424305947 330338124 /nfs/dbraw/zinc/33/81/24/330338124.db2.gz GFPACTRPJYGVLC-CYBMUJFWSA-N 1 2 299.418 3.634 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@]1(F)c1ccccc1)c1ccncc1F ZINC000424327390 330341486 /nfs/dbraw/zinc/34/14/86/330341486.db2.gz PUQZXAQBICWGAC-KNXALSJPSA-N 1 2 274.314 3.509 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2csnn2)c(Cl)c1 ZINC000424332742 330342822 /nfs/dbraw/zinc/34/28/22/330342822.db2.gz LEWHFVVROHTGIC-IUCAKERBSA-N 1 2 297.811 3.612 20 0 CHADLO Fc1cccc(CNc2ccc(N3CCCC3)[nH+]c2)c1F ZINC000107038149 330345974 /nfs/dbraw/zinc/34/59/74/330345974.db2.gz SSNJWYOKAWCHQI-UHFFFAOYSA-N 1 2 289.329 3.572 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C[C@@H](C)C1CCCCC1 ZINC000107293857 330348695 /nfs/dbraw/zinc/34/86/95/330348695.db2.gz CCISSQUDZNLJQI-GFCCVEGCSA-N 1 2 260.381 3.935 20 0 CHADLO CC1(C)CCN(c2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000533180800 330352092 /nfs/dbraw/zinc/35/20/92/330352092.db2.gz QGWSIXBLWKCXQS-UHFFFAOYSA-N 1 2 258.287 3.727 20 0 CHADLO Cc1nc(NCCc2csc(-c3ccccc3)n2)cc[nH+]1 ZINC000084935484 330363543 /nfs/dbraw/zinc/36/35/43/330363543.db2.gz UVJZWGDRQMKCAS-UHFFFAOYSA-N 1 2 296.399 3.563 20 0 CHADLO CO[C@H]1C[C@H]2c3c([nH+]c4cccc(C)c4c3C)C[C@H]2C1 ZINC000424522017 330365218 /nfs/dbraw/zinc/36/52/18/330365218.db2.gz GLJXJTQTOBJKDM-MGPQQGTHSA-N 1 2 267.372 3.916 20 0 CHADLO CC[C@H](Oc1ccccc1C)C(=O)Nc1ccc(C)[nH+]c1C ZINC000119077798 330370034 /nfs/dbraw/zinc/37/00/34/330370034.db2.gz QWDIBGOCMOWIFI-INIZCTEOSA-N 1 2 298.386 3.803 20 0 CHADLO COc1ccc(CNc2c[nH+]ccc2C)cc1Cl ZINC000086586085 330370519 /nfs/dbraw/zinc/37/05/19/330370519.db2.gz BQBJYQOUZHEEBA-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)ccc1F)c1nccs1 ZINC000119128198 330371063 /nfs/dbraw/zinc/37/10/63/330371063.db2.gz QRLBWZUCGMFHRZ-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1ccc(NC(=O)c2cc3c(s2)CCCC3)c(C)[nH+]1 ZINC000119125846 330371085 /nfs/dbraw/zinc/37/10/85/330371085.db2.gz GFWQIWQCBHMWJH-UHFFFAOYSA-N 1 2 286.400 3.891 20 0 CHADLO CCc1sc(C(=O)Nc2ccc(C)[nH+]c2C)cc1C ZINC000119301288 330371847 /nfs/dbraw/zinc/37/18/47/330371847.db2.gz ZXYVAOHPSFEFHK-UHFFFAOYSA-N 1 2 274.389 3.883 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(Cl)cc2)c(C)[nH+]1 ZINC000119295392 330371853 /nfs/dbraw/zinc/37/18/53/330371853.db2.gz VUWDKOXQAUCUFK-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCC[C@H]1C1CCCC1 ZINC000536988290 330375924 /nfs/dbraw/zinc/37/59/24/330375924.db2.gz QUTLYSCTOZWJEA-HNNXBMFYSA-N 1 2 269.392 3.732 20 0 CHADLO C[C@H](SCCn1cc[nH+]c1)c1cc(F)ccc1F ZINC000090200628 330391205 /nfs/dbraw/zinc/39/12/05/330391205.db2.gz JQTXZYFBENZVGV-JTQLQIEISA-N 1 2 268.332 3.656 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(Br)cc1 ZINC000090207548 330391411 /nfs/dbraw/zinc/39/14/11/330391411.db2.gz KQBBPRLZHYXGPZ-UHFFFAOYSA-N 1 2 294.196 3.839 20 0 CHADLO CC[C@@H](SCCn1cc[nH+]c1)c1ccc(F)cc1 ZINC000090201518 330391414 /nfs/dbraw/zinc/39/14/14/330391414.db2.gz QOGUMXNWODJXNR-CQSZACIVSA-N 1 2 264.369 3.907 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1ccoc1 ZINC000121041620 330395384 /nfs/dbraw/zinc/39/53/84/330395384.db2.gz YCWRIYQWZWDIOC-SECBINFHSA-N 1 2 253.704 3.923 20 0 CHADLO Cc1cc(C)c(NC(=O)CC2CCCCC2)c(C)[nH+]1 ZINC000121111934 330396265 /nfs/dbraw/zinc/39/62/65/330396265.db2.gz APBDSFHYPTYLAG-UHFFFAOYSA-N 1 2 260.381 3.916 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H]1CCC[C@@H](C(C)C)C1 ZINC000121433844 330401258 /nfs/dbraw/zinc/40/12/58/330401258.db2.gz ROCQJTTYLFJMBD-ZIAGYGMSSA-N 1 2 275.396 3.726 20 0 CHADLO Fc1cc(CN2CCCC[C@H]2c2[nH]cc[nH+]2)ccc1Cl ZINC000092729987 330412540 /nfs/dbraw/zinc/41/25/40/330412540.db2.gz PHFAYRIBIMYZBB-AWEZNQCLSA-N 1 2 293.773 3.929 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(-c2ccccc2)o1 ZINC000537362483 330434105 /nfs/dbraw/zinc/43/41/05/330434105.db2.gz KDRXDVSJZFDOMJ-UHFFFAOYSA-N 1 2 280.327 3.962 20 0 CHADLO CC(C)c1nc(N2CCC(CC(F)(F)F)CC2)cc[nH+]1 ZINC000514785227 330464861 /nfs/dbraw/zinc/46/48/61/330464861.db2.gz KFMBHEYWZSKFSO-UHFFFAOYSA-N 1 2 287.329 3.769 20 0 CHADLO Cc1c[nH+]cc(CCc2nc(-c3ccc(Cl)cc3)no2)c1 ZINC000426293037 330502933 /nfs/dbraw/zinc/50/29/33/330502933.db2.gz GNCSORVUNOEGKW-UHFFFAOYSA-N 1 2 299.761 3.879 20 0 CHADLO CCCc1nc(C)c(C[NH2+]C(C)(C)c2nc(C)cs2)o1 ZINC000428364517 330521419 /nfs/dbraw/zinc/52/14/19/330521419.db2.gz PYZQPIPXLMZRIV-UHFFFAOYSA-N 1 2 293.436 3.725 20 0 CHADLO COC1(C[C@@H](C)[NH2+][C@@H](C(C)C)C(F)(F)F)CCC1 ZINC000429400641 330545340 /nfs/dbraw/zinc/54/53/40/330545340.db2.gz FUNWDEZAFGYEPT-MNOVXSKESA-N 1 2 267.335 3.511 20 0 CHADLO Clc1cccc(Cl)c1OCCOc1cc[nH+]cc1 ZINC000431511811 330586079 /nfs/dbraw/zinc/58/60/79/330586079.db2.gz ZIFAEMJCFQTELP-UHFFFAOYSA-N 1 2 284.142 3.846 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCC[C@H](c3ccccc3)C2)[nH+]1 ZINC000431509601 330586092 /nfs/dbraw/zinc/58/60/92/330586092.db2.gz PLHLJJXERIAIHQ-HNNXBMFYSA-N 1 2 292.386 3.650 20 0 CHADLO Cc1cccc(CC[NH2+][C@H](c2ccccc2)C(F)F)n1 ZINC000572479341 330587819 /nfs/dbraw/zinc/58/78/19/330587819.db2.gz SIEBDZAASPTDSP-OAHLLOKOSA-N 1 2 276.330 3.529 20 0 CHADLO C[C@@H](O)C1CC[NH+](Cc2csc(Cl)c2Cl)CC1 ZINC000432739680 330605025 /nfs/dbraw/zinc/60/50/25/330605025.db2.gz ANWYMMQCCFWLFV-MRVPVSSYSA-N 1 2 294.247 3.648 20 0 CHADLO Cc1nc(N2CC[C@@](C)(CCc3ccccc3)C2)cc[nH+]1 ZINC000433654116 330629949 /nfs/dbraw/zinc/62/99/49/330629949.db2.gz PUMCQLJWWQULHD-GOSISDBHSA-N 1 2 281.403 3.634 20 0 CHADLO CC(C)c1nc(N(C)CC(F)F)cc(C(C)(C)C)[nH+]1 ZINC000434084857 330635268 /nfs/dbraw/zinc/63/52/68/330635268.db2.gz ZIWRPVCCPOBPHI-UHFFFAOYSA-N 1 2 271.355 3.599 20 0 CHADLO CC1(C)CC[NH+](Cc2ncc(C(F)(F)F)s2)CC1 ZINC000434981694 330647181 /nfs/dbraw/zinc/64/71/81/330647181.db2.gz LNBONWWDIAGIKK-UHFFFAOYSA-N 1 2 278.343 3.784 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc(C)[nH+]c2)c(OC)c1 ZINC000154234882 330654497 /nfs/dbraw/zinc/65/44/97/330654497.db2.gz SRMCKXOKXNZWLI-GFCCVEGCSA-N 1 2 272.348 3.580 20 0 CHADLO CCC[C@H]([NH2+][C@@H]1C[C@H]1c1ccc(Cl)cc1)C(=O)OCC ZINC000435655773 330659522 /nfs/dbraw/zinc/65/95/22/330659522.db2.gz PWNARWSCMJLWJY-SOUVJXGZSA-N 1 2 295.810 3.517 20 0 CHADLO Cc1cc(CN(C)c2cc[nH+]c3ccncc32)ccc1F ZINC000436428736 330677480 /nfs/dbraw/zinc/67/74/80/330677480.db2.gz BRJPLEKLMJFGCI-UHFFFAOYSA-N 1 2 281.334 3.714 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NCCC(C)(C)C)c1 ZINC000438075962 330714948 /nfs/dbraw/zinc/71/49/48/330714948.db2.gz JDQCWUNEYCLNNZ-UHFFFAOYSA-N 1 2 257.381 3.935 20 0 CHADLO Cc1ccccc1NCc1c[nH+]cn1Cc1ccccc1 ZINC000438307350 330721276 /nfs/dbraw/zinc/72/12/76/330721276.db2.gz UJRKUWAWSPNQFY-UHFFFAOYSA-N 1 2 277.371 3.852 20 0 CHADLO Cc1cc(F)ccc1OCc1c[nH+]cn1Cc1ccccc1 ZINC000438325036 330721771 /nfs/dbraw/zinc/72/17/71/330721771.db2.gz YSIOKNWFUQPVQO-UHFFFAOYSA-N 1 2 296.345 3.958 20 0 CHADLO Cc1ccc(OCc2c[nH+]cn2C)c(C(C)(C)C)c1 ZINC000439550366 330740246 /nfs/dbraw/zinc/74/02/46/330740246.db2.gz OTXNWOFIGIGUKW-UHFFFAOYSA-N 1 2 258.365 3.605 20 0 CHADLO CC(C)(C)CSCc1[nH+]ccn1CC(F)(F)F ZINC000440595449 330751829 /nfs/dbraw/zinc/75/18/29/330751829.db2.gz DQXJTULYPCWHSV-UHFFFAOYSA-N 1 2 266.332 3.725 20 0 CHADLO COc1cccc2c(N[C@H](C)CC(C)(C)OC)cc[nH+]c12 ZINC000440679519 330754005 /nfs/dbraw/zinc/75/40/05/330754005.db2.gz WQDWPJQLYCDURU-GFCCVEGCSA-N 1 2 288.391 3.859 20 0 CHADLO Cc1ccc(Cl)c(N(Cc2[nH]cc[nH+]2)C(=O)C(C)C)c1 ZINC000440891751 330757471 /nfs/dbraw/zinc/75/74/71/330757471.db2.gz RLBNUTFLGDDOJW-UHFFFAOYSA-N 1 2 291.782 3.561 20 0 CHADLO CC[C@H](CC(=O)N[C@H](CC)c1[nH]cc[nH+]1)c1ccc(C)cc1 ZINC000443115322 330795520 /nfs/dbraw/zinc/79/55/20/330795520.db2.gz IAJIOKXRPKPKLT-GDBMZVCRSA-N 1 2 299.418 3.869 20 0 CHADLO CC[C@H](NC(=O)c1ccc(C(C)(C)CC)cc1)c1[nH]cc[nH+]1 ZINC000443139527 330795717 /nfs/dbraw/zinc/79/57/17/330795717.db2.gz XCQNKHJYMNINKG-HNNXBMFYSA-N 1 2 299.418 3.978 20 0 CHADLO CC1(C)CN(c2[nH+]ccc3ccc(F)cc32)CC(C)(C)O1 ZINC000443510121 330800451 /nfs/dbraw/zinc/80/04/51/330800451.db2.gz LHWMIDCZMRYQGE-UHFFFAOYSA-N 1 2 288.366 3.768 20 0 CHADLO Cc1ccccc1[C@H](CC(F)(F)F)[NH2+]Cc1cocn1 ZINC000444008474 330809300 /nfs/dbraw/zinc/80/93/00/330809300.db2.gz ITLALKUEWZVBPT-ZDUSSCGKSA-N 1 2 284.281 3.766 20 0 CHADLO c1sc(C2CC2)nc1C[NH2+]Cc1ccc2c(n1)CCCC2 ZINC000444012131 330809363 /nfs/dbraw/zinc/80/93/63/330809363.db2.gz UPWPUXGNASUQAN-UHFFFAOYSA-N 1 2 299.443 3.584 20 0 CHADLO CCS[C@@H]1CCCC[C@H]1Nc1cc[nH+]c2ccncc12 ZINC000444082728 330809833 /nfs/dbraw/zinc/80/98/33/330809833.db2.gz JDYVZGLUFLKPBN-HZPDHXFCSA-N 1 2 287.432 3.528 20 0 CHADLO CN(c1cc[nH+]c2ccncc21)[C@H]1CCc2ccccc2C1 ZINC000444082064 330809889 /nfs/dbraw/zinc/80/98/89/330809889.db2.gz GFTXQAFEEVIVQV-INIZCTEOSA-N 1 2 289.382 3.624 20 0 CHADLO CC[C@@H]1CCC[C@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000448722407 330829043 /nfs/dbraw/zinc/82/90/43/330829043.db2.gz BZIXYZSJVRWUGJ-CZUORRHYSA-N 1 2 283.375 3.637 20 0 CHADLO c1[nH+]cn(Cc2ccccc2)c1CSc1ccncc1 ZINC000445603398 330832766 /nfs/dbraw/zinc/83/27/66/330832766.db2.gz CVCAWPWOOMGEBJ-UHFFFAOYSA-N 1 2 281.384 3.619 20 0 CHADLO Cc1ccc(NC(=O)NC[C@@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC000447180318 330862575 /nfs/dbraw/zinc/86/25/75/330862575.db2.gz HFDZYDCOUZSBCQ-SMDDNHRTSA-N 1 2 275.396 3.646 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2cccc(C)c2F)o1 ZINC000449157676 330902383 /nfs/dbraw/zinc/90/23/83/330902383.db2.gz WAXPAYPRUXCCRB-HNNXBMFYSA-N 1 2 291.366 3.767 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(F)c(C(F)(F)F)c1)C(F)F ZINC000449358356 330918372 /nfs/dbraw/zinc/91/83/72/330918372.db2.gz FRQOEWSSOJMNQJ-SNVBAGLBSA-N 1 2 285.231 3.978 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)C(C)(F)F)sc1Br ZINC000449415281 330923928 /nfs/dbraw/zinc/92/39/28/330923928.db2.gz OMMGJAWRXPAHRU-ZETCQYMHSA-N 1 2 298.196 3.952 20 0 CHADLO CCN(C)c1ccc(CNc2cccc(OC(C)C)c2)c[nH+]1 ZINC000449468842 330928163 /nfs/dbraw/zinc/92/81/63/330928163.db2.gz QUTRAHSIBLUZFR-UHFFFAOYSA-N 1 2 299.418 3.937 20 0 CHADLO Cc1cnc(C[NH+]2CC(c3cccc(Cl)c3)C2)s1 ZINC000449558038 330936460 /nfs/dbraw/zinc/93/64/60/330936460.db2.gz VCNLTPUTIGFJMJ-UHFFFAOYSA-N 1 2 278.808 3.704 20 0 CHADLO Clc1sccc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000449705312 330945348 /nfs/dbraw/zinc/94/53/48/330945348.db2.gz JVPLGVSPHRHOFO-UHFFFAOYSA-N 1 2 268.769 3.557 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000449819114 330951211 /nfs/dbraw/zinc/95/12/11/330951211.db2.gz HKSHGTHLFCFMPB-OAHLLOKOSA-N 1 2 291.439 3.509 20 0 CHADLO CC[C@H](CC(F)(F)F)C(=O)Nc1ccc(C)[nH+]c1C ZINC000450146570 330963465 /nfs/dbraw/zinc/96/34/65/330963465.db2.gz LNHUXSKKTOTRIE-SNVBAGLBSA-N 1 2 274.286 3.616 20 0 CHADLO c1ccc(C2CC[NH+](Cc3nnc(C4CC4)s3)CC2)cc1 ZINC000450230683 330967479 /nfs/dbraw/zinc/96/74/79/330967479.db2.gz QKQXSDNIFMMHCM-UHFFFAOYSA-N 1 2 299.443 3.795 20 0 CHADLO CC(C)CC(CC(C)C)C(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000450368290 330974945 /nfs/dbraw/zinc/97/49/45/330974945.db2.gz FXIFXRMUMIVPPT-HNNXBMFYSA-N 1 2 291.439 3.782 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H]1CCC[C@H]2OCC[C@@H]21 ZINC000450389371 330975825 /nfs/dbraw/zinc/97/58/25/330975825.db2.gz MVFCHJXSGLCQHJ-BFYDXBDKSA-N 1 2 298.386 3.613 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccc(F)cc1)C1CCC1)c1csnn1 ZINC000450549558 330985881 /nfs/dbraw/zinc/98/58/81/330985881.db2.gz BYPVWTFXGLQWGJ-BONVTDFDSA-N 1 2 291.395 3.869 20 0 CHADLO Fc1cc(F)c2c(c1)[C@@H](Nc1cccc[nH+]1)CCC2 ZINC000450564904 330986850 /nfs/dbraw/zinc/98/68/50/330986850.db2.gz UCPZBCJTFARIJV-AWEZNQCLSA-N 1 2 260.287 3.849 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+][C@H](C)c1csnn1 ZINC000450748524 330997623 /nfs/dbraw/zinc/99/76/23/330997623.db2.gz UHSZCBYBUZSGQH-NEPJUHHUSA-N 1 2 261.394 3.567 20 0 CHADLO CC(C)c1nc(N2CC3(CCC3)[C@@H]2c2ccco2)cc[nH+]1 ZINC000450860380 331003328 /nfs/dbraw/zinc/00/33/28/331003328.db2.gz NUISLGNBZNKMDM-HNNXBMFYSA-N 1 2 283.375 3.925 20 0 CHADLO CCc1cc(N2CC3(CCC3)[C@H]2c2ccco2)nc(C)[nH+]1 ZINC000450867478 331003715 /nfs/dbraw/zinc/00/37/15/331003715.db2.gz YIIDSGVUJUKQPB-MRXNPFEDSA-N 1 2 283.375 3.672 20 0 CHADLO Fc1ccc(F)c([C@@H]([NH2+]CC2CC(F)(F)C2)C(F)F)c1 ZINC000450877989 331004260 /nfs/dbraw/zinc/00/42/60/331004260.db2.gz LDKJSSBBMJWRSV-LLVKDONJSA-N 1 2 297.242 3.906 20 0 CHADLO CCC(=O)CCC[N@@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000450931614 331007862 /nfs/dbraw/zinc/00/78/62/331007862.db2.gz QAPUJCQKLJTDGX-MRXNPFEDSA-N 1 2 299.336 3.595 20 0 CHADLO CCC(=O)CCC[N@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000450931614 331007863 /nfs/dbraw/zinc/00/78/63/331007863.db2.gz QAPUJCQKLJTDGX-MRXNPFEDSA-N 1 2 299.336 3.595 20 0 CHADLO Cc1[nH+]c2ccccn2c1CSC1(CO)CCCCC1 ZINC000451057433 331013949 /nfs/dbraw/zinc/01/39/49/331013949.db2.gz QUDUHXRJLMTFDV-UHFFFAOYSA-N 1 2 290.432 3.571 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@H](c1ccccc1)c1ccccn1 ZINC000194779277 331047983 /nfs/dbraw/zinc/04/79/83/331047983.db2.gz YFGHAVAFRPFUIV-GOSISDBHSA-N 1 2 293.370 3.566 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2nc(C3CC3)no2)cc1C ZINC000452046338 331052001 /nfs/dbraw/zinc/05/20/01/331052001.db2.gz VOLNPSYOEFHATL-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2nc(C3CC3)no2)cc1C ZINC000452046338 331052002 /nfs/dbraw/zinc/05/20/02/331052002.db2.gz VOLNPSYOEFHATL-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000452549039 331068338 /nfs/dbraw/zinc/06/83/38/331068338.db2.gz LRCZNDPAYSAZRD-OAHLLOKOSA-N 1 2 297.402 3.737 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H](C)c1cc(C)oc1C ZINC000453082344 331087420 /nfs/dbraw/zinc/08/74/20/331087420.db2.gz HALOIEHKEQLAAU-NEPJUHHUSA-N 1 2 261.369 3.525 20 0 CHADLO CC(C)[C@H]([NH2+][C@H]1CCn2ccnc21)c1ccc(F)cc1F ZINC000453140706 331093530 /nfs/dbraw/zinc/09/35/30/331093530.db2.gz OEDRWNRYVSZFDX-GJZGRUSLSA-N 1 2 291.345 3.593 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H]1CCn2ccnc21)c1ccc(F)cc1F ZINC000453140708 331093596 /nfs/dbraw/zinc/09/35/96/331093596.db2.gz OEDRWNRYVSZFDX-LSDHHAIUSA-N 1 2 291.345 3.593 20 0 CHADLO CC(C)c1nc([C@H](C)N[C@H]2C[C@H](C)n3cc[nH+]c32)cs1 ZINC000453143687 331093836 /nfs/dbraw/zinc/09/38/36/331093836.db2.gz LNVQCJQKVGOPDC-SRVKXCTJSA-N 1 2 290.436 3.820 20 0 CHADLO FC(F)(F)Cc1ccc(N[C@@H]2CCn3cc[nH+]c32)cc1 ZINC000453165471 331096201 /nfs/dbraw/zinc/09/62/01/331096201.db2.gz MGFAOFUJPRCCAU-GFCCVEGCSA-N 1 2 281.281 3.545 20 0 CHADLO CC[C@@H](C)n1ncc(N[C@@H](C)c2[nH+]ccn2CC)c1C ZINC000453200249 331100682 /nfs/dbraw/zinc/10/06/82/331100682.db2.gz ALPOUOXZEDHRIA-NEPJUHHUSA-N 1 2 275.400 3.552 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C2(F)CCCCC2)n1 ZINC000453213526 331102085 /nfs/dbraw/zinc/10/20/85/331102085.db2.gz AOAHCZXGXMZDCA-UHFFFAOYSA-N 1 2 289.354 3.687 20 0 CHADLO CCC(CC)Cc1nc(CCc2[nH+]cccc2C)no1 ZINC000453222209 331103274 /nfs/dbraw/zinc/10/32/74/331103274.db2.gz KVIZRHAPFXCDOX-UHFFFAOYSA-N 1 2 273.380 3.537 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)C(F)F)c1ccc(C(=O)OC)cc1 ZINC000453228529 331104155 /nfs/dbraw/zinc/10/41/55/331104155.db2.gz BWCRCYXKIADRNN-OLZOCXBDSA-N 1 2 285.334 3.558 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nccc2ccccc21)C(F)F ZINC000453233182 331104895 /nfs/dbraw/zinc/10/48/95/331104895.db2.gz HKIYNJWGOOQNBE-GWCFXTLKSA-N 1 2 264.319 3.929 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(-n2ccnc2)cc1)C(F)F ZINC000453242714 331104936 /nfs/dbraw/zinc/10/49/36/331104936.db2.gz HQWSUEYAPUQNQV-RISCZKNCSA-N 1 2 279.334 3.567 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(OC)c(OC)c1C)C(F)F ZINC000453248157 331107140 /nfs/dbraw/zinc/10/71/40/331107140.db2.gz OPZREYNQJZHLIU-JQWIXIFHSA-N 1 2 287.350 3.707 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)C(F)F ZINC000453251387 331107619 /nfs/dbraw/zinc/10/76/19/331107619.db2.gz TUBHSJJHSVNVLU-JOYOIKCWSA-N 1 2 296.361 3.611 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)C(F)F ZINC000453251385 331107707 /nfs/dbraw/zinc/10/77/07/331107707.db2.gz TUBHSJJHSVNVLU-BXKDBHETSA-N 1 2 296.361 3.611 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@H](CC)C(F)F ZINC000453254113 331107910 /nfs/dbraw/zinc/10/79/10/331107910.db2.gz XSTGIAYELOWLCA-CMPLNLGQSA-N 1 2 257.324 3.780 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCSc2ccc(OC)cc21)C(F)F ZINC000453253448 331107936 /nfs/dbraw/zinc/10/79/36/331107936.db2.gz XFTOTQGSMNPPTL-NEPJUHHUSA-N 1 2 287.375 3.865 20 0 CHADLO C[NH+](C)[C@@H](c1nc(C(C)(C)C2CCC2)no1)c1ccccc1 ZINC000453265690 331109175 /nfs/dbraw/zinc/10/91/75/331109175.db2.gz ASIKEOBPILRNGV-OAHLLOKOSA-N 1 2 299.418 3.798 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(Cl)cc1 ZINC000453278132 331110424 /nfs/dbraw/zinc/11/04/24/331110424.db2.gz DXTFAIPZAHBKKF-CABZTGNLSA-N 1 2 277.742 3.661 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2c1c(F)ccc2F)C(C)(F)F ZINC000453279692 331110531 /nfs/dbraw/zinc/11/05/31/331110531.db2.gz GCCSFYXPILKDNL-RDDDGLTNSA-N 1 2 261.262 3.585 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)C(C)(F)F)c1cccc(OC)c1 ZINC000453294931 331112285 /nfs/dbraw/zinc/11/22/85/331112285.db2.gz PQDKARNAYKXJQH-GWCFXTLKSA-N 1 2 257.324 3.780 20 0 CHADLO Cn1cc(Cl)c(C[NH2+][C@@H]2CC(C)(C)c3ccccc32)n1 ZINC000453314698 331115399 /nfs/dbraw/zinc/11/53/99/331115399.db2.gz GDMDAAMNVCKAFO-CQSZACIVSA-N 1 2 289.810 3.586 20 0 CHADLO CCn1ccnc1C[NH2+][C@H](c1cc(F)ccc1F)C(C)C ZINC000453320028 331116216 /nfs/dbraw/zinc/11/62/16/331116216.db2.gz MWKRTSAPTULYPY-INIZCTEOSA-N 1 2 293.361 3.668 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1ccc2ccccc2n1 ZINC000453334506 331118143 /nfs/dbraw/zinc/11/81/43/331118143.db2.gz CMOSYVYJKYXBGF-DCGLDWPTSA-N 1 2 292.386 3.788 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1csc(Cl)c1 ZINC000453339195 331118935 /nfs/dbraw/zinc/11/89/35/331118935.db2.gz GHHCZCGTKCRAFC-ATZCPNFKSA-N 1 2 281.812 3.955 20 0 CHADLO C=Cn1cc(CNc2[nH+]cccc2-c2ccccc2)cn1 ZINC000195010752 331131977 /nfs/dbraw/zinc/13/19/77/331131977.db2.gz KVOCOZPDHKDACI-UHFFFAOYSA-N 1 2 276.343 3.658 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@H]3[C@@H]4CC[C@@H](C4)[C@@]3(C)C2)c(C)[nH+]1 ZINC000455054970 331159726 /nfs/dbraw/zinc/15/97/26/331159726.db2.gz VQYXNSVYXKDKFH-LDDOYCOJSA-N 1 2 299.418 3.598 20 0 CHADLO CCC[C@H](NC(=O)NCc1c[nH+]c(C)cc1C)C(C)(C)C ZINC000455297722 331164793 /nfs/dbraw/zinc/16/47/93/331164793.db2.gz BBRPAEQASGKYIV-HNNXBMFYSA-N 1 2 291.439 3.712 20 0 CHADLO CC[C@]1(C(C)C)C[C@H]1NC(=O)Nc1c(C)cc[nH+]c1C ZINC000455433078 331169835 /nfs/dbraw/zinc/16/98/35/331169835.db2.gz FJAUEPVPUCIJNL-CZUORRHYSA-N 1 2 275.396 3.645 20 0 CHADLO CCCCN(CC)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455468028 331170379 /nfs/dbraw/zinc/17/03/79/331170379.db2.gz GTJJHSXRNDUNRN-UHFFFAOYSA-N 1 2 263.385 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@@H](C(C)C)C2)c(C)[nH+]1 ZINC000455521257 331171781 /nfs/dbraw/zinc/17/17/81/331171781.db2.gz NDFYMVIVCISNJH-OAHLLOKOSA-N 1 2 289.423 3.907 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)C[C@H](C)[C@H]2C)c(C)[nH+]1 ZINC000455528561 331172289 /nfs/dbraw/zinc/17/22/89/331172289.db2.gz QPIRDKRMWFDYCX-JRPNMDOOSA-N 1 2 289.423 3.905 20 0 CHADLO C[C@@H]1CC=C(C(=O)Nc2c[nH+]ccc2OC(C)(C)C)CC1 ZINC000455993081 331181988 /nfs/dbraw/zinc/18/19/88/331181988.db2.gz KMESVMZUVJDCML-GFCCVEGCSA-N 1 2 288.391 3.944 20 0 CHADLO C[C@H]1CC=C(C(=O)Nc2c[nH+]ccc2OC(C)(C)C)CC1 ZINC000455993082 331182009 /nfs/dbraw/zinc/18/20/09/331182009.db2.gz KMESVMZUVJDCML-LBPRGKRZSA-N 1 2 288.391 3.944 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C[C@@H]1CCCCC1(C)C ZINC000456356446 331190759 /nfs/dbraw/zinc/19/07/59/331190759.db2.gz OWBZGDQUIIHNBM-KGLIPLIRSA-N 1 2 291.439 3.687 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000456364318 331191039 /nfs/dbraw/zinc/19/10/39/331191039.db2.gz VKYSAIGNHKCCHO-KBPBESRZSA-N 1 2 279.428 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)CC[C@@H](C)c1ccccc1 ZINC000456369738 331191364 /nfs/dbraw/zinc/19/13/64/331191364.db2.gz YBTQBKIOISFBEQ-CABCVRRESA-N 1 2 299.418 3.664 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)c2csc3ccccc23)c2[nH+]ccn21 ZINC000456407506 331192155 /nfs/dbraw/zinc/19/21/55/331192155.db2.gz YRHBKZZPTXJMQT-ZWNOBZJWSA-N 1 2 297.383 3.534 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)c2ccc3sccc3c2)c2[nH+]ccn21 ZINC000456397827 331192168 /nfs/dbraw/zinc/19/21/68/331192168.db2.gz CFYMSDJNDHAWSO-MFKMUULPSA-N 1 2 297.383 3.534 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)c2[nH+]ccn21 ZINC000456414587 331192257 /nfs/dbraw/zinc/19/22/57/331192257.db2.gz ISFMTLLJELMLOT-RDBSUJKOSA-N 1 2 289.423 3.612 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)C[C@H]2CCCC(C)(C)C2)c2[nH+]ccn21 ZINC000456414585 331192319 /nfs/dbraw/zinc/19/23/19/331192319.db2.gz ISFMTLLJELMLOT-MCIONIFRSA-N 1 2 289.423 3.612 20 0 CHADLO CC[C@H]1CCCC[C@H]1C(=O)NCc1c[nH+]c(C)cc1C ZINC000456855018 331207483 /nfs/dbraw/zinc/20/74/83/331207483.db2.gz YVXXXQTXFFPBAQ-GOEBONIOSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H](C)CC2CCCC2)c[nH+]1 ZINC000456851595 331207497 /nfs/dbraw/zinc/20/74/97/331207497.db2.gz WRTXKGGCGPLVLB-ZDUSSCGKSA-N 1 2 274.408 3.531 20 0 CHADLO CCCCN(CCCC)C(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000459073447 331269241 /nfs/dbraw/zinc/26/92/41/331269241.db2.gz BEKKCNUGXXGYRG-UONOGXRCSA-N 1 2 292.427 3.501 20 0 CHADLO CCCCCN(C(=O)N[C@@H](C)c1[nH+]ccn1CC)C(C)C ZINC000459095357 331270143 /nfs/dbraw/zinc/27/01/43/331270143.db2.gz DEMZTQUTLCGURA-AWEZNQCLSA-N 1 2 294.443 3.574 20 0 CHADLO C[N@H+](Cc1cnc(C2CC2)nc1)Cc1ccccc1Cl ZINC000459290021 331274684 /nfs/dbraw/zinc/27/46/84/331274684.db2.gz LEIYPXXOXGLMCT-UHFFFAOYSA-N 1 2 287.794 3.639 20 0 CHADLO C[N@@H+](Cc1cnc(C2CC2)nc1)Cc1ccccc1Cl ZINC000459290021 331274685 /nfs/dbraw/zinc/27/46/85/331274685.db2.gz LEIYPXXOXGLMCT-UHFFFAOYSA-N 1 2 287.794 3.639 20 0 CHADLO COc1cc[nH+]cc1NCc1ccc(C2CC2)cc1 ZINC000459446651 331281666 /nfs/dbraw/zinc/28/16/66/331281666.db2.gz IQLWOXRQBKOUGD-UHFFFAOYSA-N 1 2 254.333 3.580 20 0 CHADLO CC[C@@H](C)Oc1cc(C)ccc1C[NH2+]Cc1cc(C)on1 ZINC000459449435 331281887 /nfs/dbraw/zinc/28/18/87/331281887.db2.gz XRAMIQSVPPXCGZ-CYBMUJFWSA-N 1 2 288.391 3.759 20 0 CHADLO CCc1nnc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)o1 ZINC000459560418 331287858 /nfs/dbraw/zinc/28/78/58/331287858.db2.gz AEPHRYZORVHFFY-KRWDZBQOSA-N 1 2 297.402 3.749 20 0 CHADLO CCc1nnc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccccc2)o1 ZINC000459560418 331287859 /nfs/dbraw/zinc/28/78/59/331287859.db2.gz AEPHRYZORVHFFY-KRWDZBQOSA-N 1 2 297.402 3.749 20 0 CHADLO c1coc([C@H]2[N@H+](Cc3cnc(C4CC4)nc3)CC23CCC3)c1 ZINC000459565152 331288144 /nfs/dbraw/zinc/28/81/44/331288144.db2.gz GBRVVHSOYHNTHT-MRXNPFEDSA-N 1 2 295.386 3.674 20 0 CHADLO c1coc([C@H]2[N@@H+](Cc3cnc(C4CC4)nc3)CC23CCC3)c1 ZINC000459565152 331288145 /nfs/dbraw/zinc/28/81/45/331288145.db2.gz GBRVVHSOYHNTHT-MRXNPFEDSA-N 1 2 295.386 3.674 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1c(F)cc(C)cc1Cl ZINC000459570878 331288432 /nfs/dbraw/zinc/28/84/32/331288432.db2.gz NAXKKYZYPQFOSN-LLVKDONJSA-N 1 2 295.789 3.855 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NCc1c(F)cc(C)cc1Cl ZINC000459570878 331288433 /nfs/dbraw/zinc/28/84/33/331288433.db2.gz NAXKKYZYPQFOSN-LLVKDONJSA-N 1 2 295.789 3.855 20 0 CHADLO Clc1cccc(C2C[NH+](Cc3cnc(C4CC4)nc3)C2)c1 ZINC000459578822 331289121 /nfs/dbraw/zinc/28/91/21/331289121.db2.gz YAXGHBMNUFCNFW-UHFFFAOYSA-N 1 2 299.805 3.607 20 0 CHADLO CCC1(CC)C[NH+](Cc2nccn2Cc2ccccc2)C1 ZINC000459594758 331289834 /nfs/dbraw/zinc/28/98/34/331289834.db2.gz LSNFABJWFPLUSI-UHFFFAOYSA-N 1 2 283.419 3.553 20 0 CHADLO c1cn(Cc2ccccc2)c(C[NH+]2CC3(C2)CCCCC3)n1 ZINC000459596903 331289971 /nfs/dbraw/zinc/28/99/71/331289971.db2.gz OORGBILZAPODJN-UHFFFAOYSA-N 1 2 295.430 3.698 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2cc(F)ccc2F)C1 ZINC000459635495 331291525 /nfs/dbraw/zinc/29/15/25/331291525.db2.gz JASSJPUPHCBWHR-UHFFFAOYSA-N 1 2 279.252 3.739 20 0 CHADLO Cc1nn(C(C)C)cc1C[NH+]1CC(C)(CC(F)(F)F)C1 ZINC000459640198 331291638 /nfs/dbraw/zinc/29/16/38/331291638.db2.gz PLPCGYMASTZEJN-UHFFFAOYSA-N 1 2 289.345 3.547 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CCc3cccc(Cl)c3C2)cn1 ZINC000459671026 331293321 /nfs/dbraw/zinc/29/33/21/331293321.db2.gz FPIKVWGSRVFPLM-LBPRGKRZSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CCc3cccc(Cl)c3C2)cn1 ZINC000459671026 331293322 /nfs/dbraw/zinc/29/33/22/331293322.db2.gz FPIKVWGSRVFPLM-LBPRGKRZSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1nccc(Nc2cccc([NH+]3CCCCCC3)c2)n1 ZINC000462864255 331333076 /nfs/dbraw/zinc/33/30/76/331333076.db2.gz WLIDRNJYCYTFCH-UHFFFAOYSA-N 1 2 282.391 3.909 20 0 CHADLO CC(C)c1nc(N2CCC3(C2)CCCCC3)cc[nH+]1 ZINC000462893974 331334183 /nfs/dbraw/zinc/33/41/83/331334183.db2.gz HLFRNTDKRCZSCB-UHFFFAOYSA-N 1 2 259.397 3.761 20 0 CHADLO C[C@@H]1CCCN1c1nc(N2CCC[C@@H]2C)c2ccccc2[nH+]1 ZINC000463063262 331339126 /nfs/dbraw/zinc/33/91/26/331339126.db2.gz GPGDQJHQBWAVDC-UONOGXRCSA-N 1 2 296.418 3.607 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1cc(C)[nH+]c(-c2cccnc2)n1 ZINC000463070164 331339272 /nfs/dbraw/zinc/33/92/72/331339272.db2.gz NJTNGZPNTUFJTC-CHWSQXEVSA-N 1 2 284.407 3.506 20 0 CHADLO COc1c(C)c[nH+]c(CS[C@H](C)C(C)C)c1C ZINC000463234924 331343299 /nfs/dbraw/zinc/34/32/99/331343299.db2.gz KNJPNEIFIXHIQX-GFCCVEGCSA-N 1 2 253.411 3.985 20 0 CHADLO Cc1ccsc1CNc1[nH+]c2c(cccc2Cl)n1C ZINC000464354305 331359849 /nfs/dbraw/zinc/35/98/49/331359849.db2.gz UJAQBHKJICYEGY-UHFFFAOYSA-N 1 2 291.807 3.631 20 0 CHADLO Fc1ccc(CSc2[nH+]cc3ccccn32)c(F)c1 ZINC000464527033 331361765 /nfs/dbraw/zinc/36/17/65/331361765.db2.gz NWXIFHHWLHTUTA-UHFFFAOYSA-N 1 2 276.311 3.905 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2F)[N@H+](CC(=O)OC(C)(C)C)C1 ZINC000464741605 331365852 /nfs/dbraw/zinc/36/58/52/331365852.db2.gz UMHKSTRSONCPAC-IUODEOHRSA-N 1 2 293.382 3.550 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2F)[N@@H+](CC(=O)OC(C)(C)C)C1 ZINC000464741605 331365853 /nfs/dbraw/zinc/36/58/53/331365853.db2.gz UMHKSTRSONCPAC-IUODEOHRSA-N 1 2 293.382 3.550 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2CC(=O)OC(C)(C)C)o1 ZINC000464829707 331369918 /nfs/dbraw/zinc/36/99/18/331369918.db2.gz JSQSKLUFXJZJNA-GXTWGEPZSA-N 1 2 293.407 3.703 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2CC(=O)OC(C)(C)C)o1 ZINC000464829707 331369919 /nfs/dbraw/zinc/36/99/19/331369919.db2.gz JSQSKLUFXJZJNA-GXTWGEPZSA-N 1 2 293.407 3.703 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1cc(Cl)ccc1F)CO2 ZINC000466453542 331397488 /nfs/dbraw/zinc/39/74/88/331397488.db2.gz DHOGKGMIKZQTKM-AWEZNQCLSA-N 1 2 295.716 3.842 20 0 CHADLO COc1ccc(N[C@H]2CCC[C@@H](c3[nH+]cccc3C)C2)cn1 ZINC000467353002 331415915 /nfs/dbraw/zinc/41/59/15/331415915.db2.gz BJWQFVDZOHKYLF-CABCVRRESA-N 1 2 297.402 3.932 20 0 CHADLO CC(C)[C@H]([NH2+]C[C@@H](C)CC1CCOCC1)C(F)(F)F ZINC000469004181 331444084 /nfs/dbraw/zinc/44/40/84/331444084.db2.gz RITUKPSPYFVPTA-AAEUAGOBSA-N 1 2 281.362 3.616 20 0 CHADLO Cc1cccc(SCc2c[nH+]c3ccccn23)c1 ZINC000469807606 331461541 /nfs/dbraw/zinc/46/15/41/331461541.db2.gz OEXCZLIQGYCZLE-UHFFFAOYSA-N 1 2 254.358 3.935 20 0 CHADLO COC(=O)C1([NH2+]Cc2cccc(C(F)F)c2)CCCCC1 ZINC000470778039 331490359 /nfs/dbraw/zinc/49/03/59/331490359.db2.gz CZVJWUPGSSWFNR-UHFFFAOYSA-N 1 2 297.345 3.590 20 0 CHADLO CSc1ccc(N[C@@H]2CCCC[C@@H]2[C@@H]2CCOC2)[nH+]c1 ZINC000474041612 331564766 /nfs/dbraw/zinc/56/47/66/331564766.db2.gz GSOVBCVGNHYWIF-BPLDGKMQSA-N 1 2 292.448 3.811 20 0 CHADLO CSc1ccc(N[C@@H]2CCCC[C@@H]2[C@H]2CCOC2)[nH+]c1 ZINC000474041616 331564815 /nfs/dbraw/zinc/56/48/15/331564815.db2.gz GSOVBCVGNHYWIF-NWANDNLSSA-N 1 2 292.448 3.811 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)C2CCSCC2)c[nH+]1 ZINC000474888803 331603022 /nfs/dbraw/zinc/60/30/22/331603022.db2.gz UYNIJIVVCNIASG-ZDUSSCGKSA-N 1 2 293.480 3.871 20 0 CHADLO COC(=O)[C@H]([NH2+]CC1CCCCCC1)c1ccsc1 ZINC000475160756 331616873 /nfs/dbraw/zinc/61/68/73/331616873.db2.gz YRUZHBWEZNHHFV-CQSZACIVSA-N 1 2 281.421 3.522 20 0 CHADLO Cc1cc2[nH]c(CNc3cccc[nH+]3)cc2c(C)c1 ZINC000478614496 331710287 /nfs/dbraw/zinc/71/02/87/331710287.db2.gz BIFAQRRHNAWEOO-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO CCC[C@@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC000481854483 331817237 /nfs/dbraw/zinc/81/72/37/331817237.db2.gz GMFZWVBMFHZTKD-MRXNPFEDSA-N 1 2 285.391 3.883 20 0 CHADLO CCCC[C@H](CCC)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000482595446 331839718 /nfs/dbraw/zinc/83/97/18/331839718.db2.gz CVQLTOFMUFXVBZ-INIZCTEOSA-N 1 2 299.418 3.961 20 0 CHADLO CC(C)[C@H]1CC[C@@H](C)C[C@H]1NC(=O)c1ccc2[nH+]ccn2c1 ZINC000482748657 331845389 /nfs/dbraw/zinc/84/53/89/331845389.db2.gz DHLZFZFKLMKXSR-FVQBIDKESA-N 1 2 299.418 3.525 20 0 CHADLO CCOC(=O)[C@@H]([NH2+][C@H](C)C(C)C)c1cccc(C)c1C ZINC000483336478 331870241 /nfs/dbraw/zinc/87/02/41/331870241.db2.gz HPSQOWAPZIPVRN-ZBFHGGJFSA-N 1 2 277.408 3.542 20 0 CHADLO Clc1ccc([C@@H]2CCN2Cc2cn3ccccc3[nH+]2)cc1 ZINC000511551695 332935228 /nfs/dbraw/zinc/93/52/28/332935228.db2.gz DTIKLHXAEFIUNE-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@H](c3ccccc3)C2)nc[nH+]1 ZINC000487119663 331956777 /nfs/dbraw/zinc/95/67/77/331956777.db2.gz RRJUXXIPMDXVNW-JKSUJKDBSA-N 1 2 267.376 3.923 20 0 CHADLO C[C@H]1C[C@@H]1C[NH2+]c1ccc(-n2cccn2)c(Cl)c1 ZINC000488326935 331999850 /nfs/dbraw/zinc/99/98/50/331999850.db2.gz LSBUELYVXCPGRC-WDEREUQCSA-N 1 2 261.756 3.594 20 0 CHADLO Cc1[nH+]cccc1N[C@@H]1CS[C@@H](C(C)(C)C)C1 ZINC000488436197 332008173 /nfs/dbraw/zinc/00/81/73/332008173.db2.gz XMDMAGFFCLDQJM-WCQYABFASA-N 1 2 250.411 3.722 20 0 CHADLO CSC[C@H]1CCCN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000488942523 332034601 /nfs/dbraw/zinc/03/46/01/332034601.db2.gz KQRDXBNSKXAFBB-JTQLQIEISA-N 1 2 290.354 3.680 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+]1CCOc2ccc(F)cc2C1 ZINC000489190459 332042938 /nfs/dbraw/zinc/04/29/38/332042938.db2.gz UBONIPCAVKUEBA-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+]1CCOc2ccc(F)cc2C1 ZINC000489190459 332042939 /nfs/dbraw/zinc/04/29/39/332042939.db2.gz UBONIPCAVKUEBA-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO FC(F)(F)[C@H]([NH2+][C@@H]1CCC[C@H](C2CC2)C1)C1CC1 ZINC000489806161 332067438 /nfs/dbraw/zinc/06/74/38/332067438.db2.gz ZKAGOVFXEYYBMS-YNEHKIRRSA-N 1 2 261.331 3.886 20 0 CHADLO FC(F)(F)[C@H]([NH2+][C@H]1CCC[C@H](C2CC2)C1)C1CC1 ZINC000489806160 332067450 /nfs/dbraw/zinc/06/74/50/332067450.db2.gz ZKAGOVFXEYYBMS-RWMBFGLXSA-N 1 2 261.331 3.886 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@H]3C=CCCC3)cc2[nH+]1 ZINC000489958713 332074528 /nfs/dbraw/zinc/07/45/28/332074528.db2.gz XLXCTINLOSZKTM-LBPRGKRZSA-N 1 2 269.348 3.556 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@H](CC)c1ccccc1 ZINC000511881548 332946592 /nfs/dbraw/zinc/94/65/92/332946592.db2.gz WRWXPWYZGQGTRJ-AWEZNQCLSA-N 1 2 282.387 3.588 20 0 CHADLO O=C(C=Cc1ccsc1)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000491262756 332175980 /nfs/dbraw/zinc/17/59/80/332175980.db2.gz QHWUZUUSBMWKEG-BHQIHCQQSA-N 1 2 295.367 3.790 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]Cc2ccc3c(c2)CCCC3)no1 ZINC000491374576 332199931 /nfs/dbraw/zinc/19/99/31/332199931.db2.gz LLYIAMWUQXMYAB-UHFFFAOYSA-N 1 2 299.418 3.536 20 0 CHADLO C/C=C/C=C\C(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000491387334 332202738 /nfs/dbraw/zinc/20/27/38/332202738.db2.gz QRQGTYQOUWVJGX-IAROGAJJSA-N 1 2 280.327 3.945 20 0 CHADLO CCCc1ccccc1NC(=O)/C=C\c1[nH+]ccn1CC ZINC000492537091 332402176 /nfs/dbraw/zinc/40/21/76/332402176.db2.gz QAZDUBQJCUJPJI-KHPPLWFESA-N 1 2 283.375 3.507 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2nc(C)cs2)cc1 ZINC000172402618 335104272 /nfs/dbraw/zinc/10/42/72/335104272.db2.gz DQLJLOSXOMFVQC-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2nc(C)cs2)cc1 ZINC000172402618 335104273 /nfs/dbraw/zinc/10/42/73/335104273.db2.gz DQLJLOSXOMFVQC-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO O=C(C=Cc1c[nH]c[nH+]1)Nc1cccc(-c2ccccc2)c1 ZINC000492791248 332413626 /nfs/dbraw/zinc/41/36/26/332413626.db2.gz AHXGYSRETYTCRV-MDZDMXLPSA-N 1 2 289.338 3.729 20 0 CHADLO CCCCOc1ccc(NC(=O)C=Cc2c[nH]c[nH+]2)c(C)c1 ZINC000492890975 332417614 /nfs/dbraw/zinc/41/76/14/332417614.db2.gz COVHSCFCEQHPCL-YVMONPNESA-N 1 2 299.374 3.549 20 0 CHADLO C/C=C\c1ccc(NC(=O)/C=C/c2[nH+]ccn2CC)cc1 ZINC000493026609 332423462 /nfs/dbraw/zinc/42/34/62/332423462.db2.gz QWLANUBLGRPPSN-OFSYMQIBSA-N 1 2 281.359 3.588 20 0 CHADLO CCCc1csc(C[NH2+][C@H](C)c2ccc(C)o2)n1 ZINC000494294729 332469726 /nfs/dbraw/zinc/46/97/26/332469726.db2.gz CABCMFGUMDWQFE-LLVKDONJSA-N 1 2 264.394 3.848 20 0 CHADLO CCCCCNC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000494612298 332480053 /nfs/dbraw/zinc/48/00/53/332480053.db2.gz BEBHLZGJSSPKEM-UHFFFAOYSA-N 1 2 284.359 3.794 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC(C)(C)C)C(F)(F)F)[C@H]1CCOC1 ZINC000495395966 332517043 /nfs/dbraw/zinc/51/70/43/332517043.db2.gz KHPZVKLDPLSBSF-SRVKXCTJSA-N 1 2 281.362 3.758 20 0 CHADLO Clc1ccc2[nH+]cc(CN3CCc4ccccc43)n2c1 ZINC000498626449 332570833 /nfs/dbraw/zinc/57/08/33/332570833.db2.gz KLFIQJMKGMKKMP-UHFFFAOYSA-N 1 2 283.762 3.550 20 0 CHADLO CCC[C@H](CC1CCCC1)C(=O)Nc1cc[nH+]c(C)c1 ZINC000499815675 332593708 /nfs/dbraw/zinc/59/37/08/332593708.db2.gz UPGPEQIUDDUAST-OAHLLOKOSA-N 1 2 274.408 3.747 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2COc3ccc(F)cc32)oc1C ZINC000502428978 332658885 /nfs/dbraw/zinc/65/88/85/332658885.db2.gz FWTVJYINXHZWOU-IINYFYTJSA-N 1 2 275.323 3.820 20 0 CHADLO CC[C@@H]1C[C@]1([NH2+]Cc1ncc(C)cn1)c1cccc(C)c1 ZINC000502480702 332662873 /nfs/dbraw/zinc/66/28/73/332662873.db2.gz ISDXRSOLDTVSEF-CRAIPNDOSA-N 1 2 281.403 3.508 20 0 CHADLO CC[C@@H]1C[C@]1([NH2+]Cc1ncc(C)o1)c1cccc(C)c1 ZINC000502483840 332663177 /nfs/dbraw/zinc/66/31/77/332663177.db2.gz VVJNPOXIMVHNEX-RHSMWYFYSA-N 1 2 270.376 3.706 20 0 CHADLO CC[C@@H]1C[C@]1([NH2+]Cc1nc(C2CC2)no1)c1cccc(C)c1 ZINC000502513623 332664238 /nfs/dbraw/zinc/66/42/38/332664238.db2.gz ZDNSRNBMHUUIOD-RDTXWAMCSA-N 1 2 297.402 3.670 20 0 CHADLO CC[C@@H]1C[C@]1([NH2+]Cc1cc(C)on1)c1cccc(C)c1 ZINC000502558438 332665606 /nfs/dbraw/zinc/66/56/06/332665606.db2.gz JKUFVYRQVPUGNZ-RHSMWYFYSA-N 1 2 270.376 3.706 20 0 CHADLO Fc1cccc(F)c1CSc1[nH+]cc2ccccn21 ZINC000502840766 332673891 /nfs/dbraw/zinc/67/38/91/332673891.db2.gz PTSYADIUURQCIE-UHFFFAOYSA-N 1 2 276.311 3.905 20 0 CHADLO COC(=O)C1([NH2+]Cc2c(C)ccc3ccccc32)CCCC1 ZINC000503182550 332683521 /nfs/dbraw/zinc/68/35/21/332683521.db2.gz QVNJBDYXUMCKNS-UHFFFAOYSA-N 1 2 297.398 3.724 20 0 CHADLO CC(C)c1nc(N2CC[C@@H](COc3ccccc3)C2)cc[nH+]1 ZINC000503316066 332686346 /nfs/dbraw/zinc/68/63/46/332686346.db2.gz QZTJRQYIJLLWPO-OAHLLOKOSA-N 1 2 297.402 3.505 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H](C)[C@@H](C)C1CCCCC1 ZINC000504149159 332704828 /nfs/dbraw/zinc/70/48/28/332704828.db2.gz BFOKZFMJNCXANK-KGLIPLIRSA-N 1 2 289.423 3.538 20 0 CHADLO Cc1cc(NC[C@H]2CCC[C@@H](C)C2)nc(-c2cccnc2)[nH+]1 ZINC000505585433 332745206 /nfs/dbraw/zinc/74/52/06/332745206.db2.gz NITXXIIRWAGJPQ-HIFRSBDPSA-N 1 2 296.418 3.507 20 0 CHADLO CCCC[NH2+][C@@](CC)(C(=O)OC)c1ccc(Cl)cc1 ZINC000512238188 332958765 /nfs/dbraw/zinc/95/87/65/332958765.db2.gz YLXKJRVKMKMOBA-OAHLLOKOSA-N 1 2 283.799 3.508 20 0 CHADLO Cc1ncccc1C[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC000512983212 332996892 /nfs/dbraw/zinc/99/68/92/332996892.db2.gz NMFBASRSBFYWGB-UHFFFAOYSA-N 1 2 296.748 3.925 20 0 CHADLO C[C@H](CCNc1cc(N2CCCC2)nc[nH+]1)c1ccccc1 ZINC000513066267 333002483 /nfs/dbraw/zinc/00/24/83/333002483.db2.gz XSUZRXMPGFYGDW-OAHLLOKOSA-N 1 2 296.418 3.683 20 0 CHADLO C[C@H](CCNc1cc(N2CCCC2)[nH+]cn1)c1ccccc1 ZINC000513066267 333002486 /nfs/dbraw/zinc/00/24/86/333002486.db2.gz XSUZRXMPGFYGDW-OAHLLOKOSA-N 1 2 296.418 3.683 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccncc2Cl)s1 ZINC000174844536 333008914 /nfs/dbraw/zinc/00/89/14/333008914.db2.gz OHNOVQXXMSUNPS-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccncc2Cl)s1 ZINC000174844536 333008915 /nfs/dbraw/zinc/00/89/15/333008915.db2.gz OHNOVQXXMSUNPS-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCOC[C@@H]2CC(F)F)cc1 ZINC000513374695 333016410 /nfs/dbraw/zinc/01/64/10/333016410.db2.gz BXDOQHXJAHONBL-HNNXBMFYSA-N 1 2 297.389 3.840 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCOC[C@@H]2CC(F)F)cc1 ZINC000513374695 333016412 /nfs/dbraw/zinc/01/64/12/333016412.db2.gz BXDOQHXJAHONBL-HNNXBMFYSA-N 1 2 297.389 3.840 20 0 CHADLO FC(F)C[C@@H]1COCC[N@@H+]1Cc1ccc(C2CCC2)cc1 ZINC000513419733 333018469 /nfs/dbraw/zinc/01/84/69/333018469.db2.gz JFAXBOVKBSSCFM-MRXNPFEDSA-N 1 2 295.373 3.810 20 0 CHADLO FC(F)C[C@@H]1COCC[N@H+]1Cc1ccc(C2CCC2)cc1 ZINC000513419733 333018470 /nfs/dbraw/zinc/01/84/70/333018470.db2.gz JFAXBOVKBSSCFM-MRXNPFEDSA-N 1 2 295.373 3.810 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@@H+]1CCOC(C)(C)C1 ZINC000513682739 333032089 /nfs/dbraw/zinc/03/20/89/333032089.db2.gz BMMFQBIFSPCLKV-NSHDSACASA-N 1 2 298.224 3.621 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@H+]1CCOC(C)(C)C1 ZINC000513682739 333032090 /nfs/dbraw/zinc/03/20/90/333032090.db2.gz BMMFQBIFSPCLKV-NSHDSACASA-N 1 2 298.224 3.621 20 0 CHADLO CCOC(=O)[C@H](C)[N@H+](C)Cc1ccc(-c2ccccc2)cc1 ZINC000513782659 333038972 /nfs/dbraw/zinc/03/89/72/333038972.db2.gz JKICDYQWXNFTPH-HNNXBMFYSA-N 1 2 297.398 3.737 20 0 CHADLO CCOC(=O)[C@H](C)[N@@H+](C)Cc1ccc(-c2ccccc2)cc1 ZINC000513782659 333038973 /nfs/dbraw/zinc/03/89/73/333038973.db2.gz JKICDYQWXNFTPH-HNNXBMFYSA-N 1 2 297.398 3.737 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)c(F)c1 ZINC000176464308 333042215 /nfs/dbraw/zinc/04/22/15/333042215.db2.gz CGOIZPUFTHXKTN-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CCC[N@H+](CCO[C@H]1CCCC[C@@H]1C)CC(F)F ZINC000514007258 333059541 /nfs/dbraw/zinc/05/95/41/333059541.db2.gz DGKUVIYKARHGTQ-STQMWFEESA-N 1 2 263.372 3.559 20 0 CHADLO CCC[N@@H+](CCO[C@H]1CCCC[C@@H]1C)CC(F)F ZINC000514007258 333059543 /nfs/dbraw/zinc/05/95/43/333059543.db2.gz DGKUVIYKARHGTQ-STQMWFEESA-N 1 2 263.372 3.559 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc(N3CCCCC3)[nH+]c2)[C@@H]1C ZINC000311617216 333070457 /nfs/dbraw/zinc/07/04/57/333070457.db2.gz GNSGUKOOFLFUSJ-NFAWXSAZSA-N 1 2 259.397 3.528 20 0 CHADLO CCCC[C@@H](CC)CC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178380259 333071716 /nfs/dbraw/zinc/07/17/16/333071716.db2.gz KNDWDDRULXVUBL-CQSZACIVSA-N 1 2 287.407 3.557 20 0 CHADLO Cc1sccc1CNc1cccc(-n2cc[nH+]c2)c1 ZINC000312123239 333076942 /nfs/dbraw/zinc/07/69/42/333076942.db2.gz XAMHICLXSSXJDA-UHFFFAOYSA-N 1 2 269.373 3.854 20 0 CHADLO Cc1cccc2[nH+]c(CNC(=O)CC3(C)CCCCC3)cn21 ZINC000178799439 333077896 /nfs/dbraw/zinc/07/78/96/333077896.db2.gz HUVZTUDBWKNNRO-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1cc(C(C)(C)C)on1 ZINC000516998550 333089171 /nfs/dbraw/zinc/08/91/71/333089171.db2.gz WNXUFLNVWJLEJN-CYBMUJFWSA-N 1 2 284.403 3.919 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1cc(C(C)(C)C)on1 ZINC000516998550 333089172 /nfs/dbraw/zinc/08/91/72/333089172.db2.gz WNXUFLNVWJLEJN-CYBMUJFWSA-N 1 2 284.403 3.919 20 0 CHADLO Cc1nc(COc2cccc(-n3cc[nH+]c3)c2)sc1C ZINC000088521049 333089655 /nfs/dbraw/zinc/08/96/55/333089655.db2.gz CNAFXTCVJPHNGO-UHFFFAOYSA-N 1 2 285.372 3.525 20 0 CHADLO CC[C@H](C)[C@@H](C)Nc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000336851079 335121350 /nfs/dbraw/zinc/12/13/50/335121350.db2.gz DQZRDWBCRFHSSY-QWHCGFSZSA-N 1 2 295.390 3.662 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000183543401 333121273 /nfs/dbraw/zinc/12/12/73/333121273.db2.gz LBAHJKMZOWMESE-NWDGAFQWSA-N 1 2 271.364 3.697 20 0 CHADLO CC/C=C/CC[N@@H+](C)Cc1nc([C@H](C)SCC)no1 ZINC000184626988 333130656 /nfs/dbraw/zinc/13/06/56/333130656.db2.gz XNOHUUJOYSWNGQ-GUOLPTJISA-N 1 2 283.441 3.672 20 0 CHADLO CC/C=C/CC[N@H+](C)Cc1nc([C@H](C)SCC)no1 ZINC000184626988 333130658 /nfs/dbraw/zinc/13/06/58/333130658.db2.gz XNOHUUJOYSWNGQ-GUOLPTJISA-N 1 2 283.441 3.672 20 0 CHADLO COCCn1c2ccccc2[nH+]c1NCc1ccc(C)cc1 ZINC000185850096 333150048 /nfs/dbraw/zinc/15/00/48/333150048.db2.gz PHUORINGOURRGI-UHFFFAOYSA-N 1 2 295.386 3.603 20 0 CHADLO CCCc1cccc(C[N@H+](C)Cc2cscn2)c1 ZINC000186916981 333166575 /nfs/dbraw/zinc/16/65/75/333166575.db2.gz JTCJRYCUDSHJSD-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO CCCc1cccc(C[N@@H+](C)Cc2cscn2)c1 ZINC000186916981 333166577 /nfs/dbraw/zinc/16/65/77/333166577.db2.gz JTCJRYCUDSHJSD-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@H](C)c1ncc(C)o1 ZINC000220897365 333170260 /nfs/dbraw/zinc/17/02/60/333170260.db2.gz QTUHKYFDYSPHAX-CHWSQXEVSA-N 1 2 274.364 3.712 20 0 CHADLO C[C@@H]1CCC[C@@H]1CC(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000187625268 333173227 /nfs/dbraw/zinc/17/32/27/333173227.db2.gz HSLMRPIMTJWELN-CHWSQXEVSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1cccn2c(CNc3cccc4ccn(C)c43)c[nH+]c12 ZINC000190905581 333212142 /nfs/dbraw/zinc/21/21/42/333212142.db2.gz UYONGFWCORELJL-UHFFFAOYSA-N 1 2 290.370 3.747 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2c(F)cccc2F)s1 ZINC000224318094 333219181 /nfs/dbraw/zinc/21/91/81/333219181.db2.gz KFGOAQHEBIRUCP-SECBINFHSA-N 1 2 282.359 3.835 20 0 CHADLO Cc1cc(N[C@@H](C)CCc2ccccc2)nc(C2CC2)[nH+]1 ZINC000521917585 333223011 /nfs/dbraw/zinc/22/30/11/333223011.db2.gz WEBIZMNVBGOWAR-ZDUSSCGKSA-N 1 2 281.403 3.518 20 0 CHADLO CCOc1ccccc1[C@@H](CC)Nc1cc[nH+]c(C(C)C)n1 ZINC000521931085 333224030 /nfs/dbraw/zinc/22/40/30/333224030.db2.gz PHHAMRPMKOUCAN-OAHLLOKOSA-N 1 2 299.418 3.984 20 0 CHADLO CCN(CC)c1ccc(NCc2ncc(Cl)s2)c[nH+]1 ZINC000225275254 333234523 /nfs/dbraw/zinc/23/45/23/333234523.db2.gz OKGKDABWWDIJBJ-UHFFFAOYSA-N 1 2 296.827 3.650 20 0 CHADLO Clc1ccc(C[NH2+]Cc2ncc(Cl)s2)s1 ZINC000225306546 333234987 /nfs/dbraw/zinc/23/49/87/333234987.db2.gz HDECDAASUGKIPL-UHFFFAOYSA-N 1 2 279.217 3.801 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(F)c1)c1nc(C(C)(C)C)no1 ZINC000192621974 333237129 /nfs/dbraw/zinc/23/71/29/333237129.db2.gz RYQKGAYKGHAYPQ-GHMZBOCLSA-N 1 2 291.370 3.918 20 0 CHADLO CSc1ccccc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000192679723 333237501 /nfs/dbraw/zinc/23/75/01/333237501.db2.gz FDYVLNVYJRLLJP-UHFFFAOYSA-N 1 2 286.400 3.981 20 0 CHADLO C[C@@H]1CN(C)CC[N@@H+]1Cc1sc2ccccc2c1Cl ZINC000522069935 333238319 /nfs/dbraw/zinc/23/83/19/333238319.db2.gz VUMDEBWWKWRVKM-LLVKDONJSA-N 1 2 294.851 3.691 20 0 CHADLO C[C@@H]1CN(C)CC[N@H+]1Cc1sc2ccccc2c1Cl ZINC000522069935 333238320 /nfs/dbraw/zinc/23/83/20/333238320.db2.gz VUMDEBWWKWRVKM-LLVKDONJSA-N 1 2 294.851 3.691 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1cc2sccc2s1 ZINC000522118665 333243967 /nfs/dbraw/zinc/24/39/67/333243967.db2.gz JKISLUGLMOFIBP-UHFFFAOYSA-N 1 2 290.369 3.619 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(Cl)s2)c(C)[nH+]1 ZINC000193182121 333244321 /nfs/dbraw/zinc/24/43/21/333244321.db2.gz HPWYHQBXTNQEAF-UHFFFAOYSA-N 1 2 280.780 3.974 20 0 CHADLO Cc1cnc(NCc2[nH+]ccn2CCc2ccccc2)s1 ZINC000193471173 333250913 /nfs/dbraw/zinc/25/09/13/333250913.db2.gz KIDZBONVJBNQOY-UHFFFAOYSA-N 1 2 298.415 3.503 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccccc2OC(C)C)o1 ZINC000522157085 333253128 /nfs/dbraw/zinc/25/31/28/333253128.db2.gz LWPBBPKXRIKKNB-VXGBXAGGSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)Cc1cccc(Cl)c1Cl ZINC000194063295 333264802 /nfs/dbraw/zinc/26/48/02/333264802.db2.gz HWJTUUVCLLVPRW-UHFFFAOYSA-N 1 2 295.169 3.878 20 0 CHADLO Cc1ccc(NCc2cccc3cc[nH]c32)c(C)[nH+]1 ZINC000227600736 333286684 /nfs/dbraw/zinc/28/66/84/333286684.db2.gz LTIVXSWXBJCTKT-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO Fc1ccc(C[NH2+]CC(F)(F)c2ccccc2)cc1 ZINC000227898537 333290250 /nfs/dbraw/zinc/29/02/50/333290250.db2.gz LDXGBQJKLFKNAV-UHFFFAOYSA-N 1 2 265.278 3.707 20 0 CHADLO Cc1cccc([C@@H]2CCCN2C(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000575927144 335132246 /nfs/dbraw/zinc/13/22/46/335132246.db2.gz VQKGKOKHPVSDPR-WBVHZDCISA-N 1 2 297.402 3.506 20 0 CHADLO Cc1c[nH+]cc(NC2CC(c3cccc(F)c3)C2)c1 ZINC000228829681 333297701 /nfs/dbraw/zinc/29/77/01/333297701.db2.gz RJCSJXGBKKQEHT-UHFFFAOYSA-N 1 2 256.324 3.887 20 0 CHADLO CO[C@@H]1CC[C@@H](Nc2[nH+]c3ccccc3cc2C)C1 ZINC000231682870 333328010 /nfs/dbraw/zinc/32/80/10/333328010.db2.gz GYBWBSAABKWYFR-ZIAGYGMSSA-N 1 2 256.349 3.523 20 0 CHADLO CCCC[C@@H]([NH2+][C@H](C)c1ccc(Cl)cc1)C(=O)OC ZINC000231729666 333328680 /nfs/dbraw/zinc/32/86/80/333328680.db2.gz FLJGXUGYBJZPST-BXUZGUMPSA-N 1 2 283.799 3.722 20 0 CHADLO CCCc1[nH+]c2ccccc2n1C[C@H](O)CC1CCCC1 ZINC000232146784 333334403 /nfs/dbraw/zinc/33/44/03/333334403.db2.gz WRYQUQFTIIFMER-OAHLLOKOSA-N 1 2 286.419 3.930 20 0 CHADLO CCC[N@H+](CCOc1cc(C)ccc1C)CC(F)F ZINC000303023793 333336938 /nfs/dbraw/zinc/33/69/38/333336938.db2.gz NOWKUOQKTLLXDH-UHFFFAOYSA-N 1 2 271.351 3.659 20 0 CHADLO CCC[N@@H+](CCOc1cc(C)ccc1C)CC(F)F ZINC000303023793 333336939 /nfs/dbraw/zinc/33/69/39/333336939.db2.gz NOWKUOQKTLLXDH-UHFFFAOYSA-N 1 2 271.351 3.659 20 0 CHADLO CC(C)C1CC([NH2+]c2ccc(-n3cccn3)cc2)C1 ZINC000232551766 333338654 /nfs/dbraw/zinc/33/86/54/333338654.db2.gz SQBWXNSUAMRKOZ-UHFFFAOYSA-N 1 2 255.365 3.719 20 0 CHADLO CCC[C@H](CC)[NH2+][C@H](C(=O)OC)c1cccc(Cl)c1 ZINC000524015859 333351538 /nfs/dbraw/zinc/35/15/38/333351538.db2.gz UQVGIOLKCBZXLT-KBPBESRZSA-N 1 2 283.799 3.722 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H]1CCOC(C)(C)C1 ZINC000235041736 333365112 /nfs/dbraw/zinc/36/51/12/333365112.db2.gz XDUWYPCLCMTQDM-GFCCVEGCSA-N 1 2 286.375 3.613 20 0 CHADLO CCCc1noc(C[N@H+](C)[C@@H](C)c2cc(C)ccc2C)n1 ZINC000524592745 333367705 /nfs/dbraw/zinc/36/77/05/333367705.db2.gz RMFUTWYTHAWHGC-AWEZNQCLSA-N 1 2 287.407 3.832 20 0 CHADLO CCCc1noc(C[N@@H+](C)[C@@H](C)c2cc(C)ccc2C)n1 ZINC000524592745 333367706 /nfs/dbraw/zinc/36/77/06/333367706.db2.gz RMFUTWYTHAWHGC-AWEZNQCLSA-N 1 2 287.407 3.832 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@@H](CC)C1 ZINC000524591259 333367775 /nfs/dbraw/zinc/36/77/75/333367775.db2.gz ADBYDFZSCBANGK-WFASDCNBSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1CCO[C@@H](CC)C1 ZINC000524591259 333367776 /nfs/dbraw/zinc/36/77/76/333367776.db2.gz ADBYDFZSCBANGK-WFASDCNBSA-N 1 2 269.335 3.527 20 0 CHADLO Fc1ccc2[nH+]c(NCc3ccc(Cl)cc3)[nH]c2c1 ZINC000236187994 333373216 /nfs/dbraw/zinc/37/32/16/333373216.db2.gz MYVXOEDDFHSERJ-UHFFFAOYSA-N 1 2 275.714 3.968 20 0 CHADLO CC[C@@H](Cc1ccccc1)[NH2+][C@H](C(=O)OC)c1ccccc1 ZINC000237034572 333377419 /nfs/dbraw/zinc/37/74/19/333377419.db2.gz INAQXJQEFKDBKO-ROUUACIJSA-N 1 2 297.398 3.512 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1ccc(C(F)(F)F)cc1 ZINC000237521828 333379965 /nfs/dbraw/zinc/37/99/65/333379965.db2.gz IRIIMQHQCUMXBY-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO CC[C@H]1CCC[C@@H](Nc2cc(C)[nH+]c(-c3cccnc3)n2)C1 ZINC000524843489 333390200 /nfs/dbraw/zinc/39/02/00/333390200.db2.gz QBSWDIJLAMOWKV-GOEBONIOSA-N 1 2 296.418 3.650 20 0 CHADLO C/C(Cl)=C/C[NH2+][C@H](C)c1nc(C2CCCCC2)no1 ZINC000528454590 333439019 /nfs/dbraw/zinc/43/90/19/333439019.db2.gz XHHHLVOXMNFSJM-HIJJYWJESA-N 1 2 283.803 3.911 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+][C@@H](C)c1ccc(Cl)cc1 ZINC000036980445 333448540 /nfs/dbraw/zinc/44/85/40/333448540.db2.gz SSQAPZLNXCJTFY-FZMZJTMJSA-N 1 2 283.799 3.578 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nc(C)no1)c1cc(C)ccc1C ZINC000528800703 333458902 /nfs/dbraw/zinc/45/89/02/333458902.db2.gz LOBOVCOHCXPUAO-IUODEOHRSA-N 1 2 273.380 3.797 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC[C@H]2CC=CCC2)c(C)[nH+]1 ZINC000529106549 333472461 /nfs/dbraw/zinc/47/24/61/333472461.db2.gz XRSRBXJBNAMMBP-HNNXBMFYSA-N 1 2 287.407 3.875 20 0 CHADLO FC(F)CC1C[NH+](Cc2ccsc2Br)C1 ZINC000529612060 333501452 /nfs/dbraw/zinc/50/14/52/333501452.db2.gz SIBGVQRFGDTMBZ-UHFFFAOYSA-N 1 2 296.180 3.598 20 0 CHADLO C/C(Cl)=C/Cn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000530163026 333526362 /nfs/dbraw/zinc/52/63/62/333526362.db2.gz USEREEKOJCPQPY-CLTKARDFSA-N 1 2 275.661 3.593 20 0 CHADLO Clc1cccc(C[NH2+][C@H](c2ncc[nH]2)c2ccccc2)c1 ZINC000125487644 333567172 /nfs/dbraw/zinc/56/71/72/333567172.db2.gz MTRUXEAZHWTUJH-INIZCTEOSA-N 1 2 297.789 3.942 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1c(F)cccc1F ZINC000125925100 333582350 /nfs/dbraw/zinc/58/23/50/333582350.db2.gz ZNXBTUYJVOJVRH-JTQLQIEISA-N 1 2 295.304 3.963 20 0 CHADLO Cc1ccc(F)c(NCc2[nH+]ccn2CC(F)(F)F)c1 ZINC000128215073 333644707 /nfs/dbraw/zinc/64/47/07/333644707.db2.gz QPKXTDVLZHHCOW-UHFFFAOYSA-N 1 2 287.260 3.505 20 0 CHADLO COCc1cccc(N[C@H](c2[nH]cc[nH+]2)c2ccccc2)c1 ZINC000128512496 333679982 /nfs/dbraw/zinc/67/99/82/333679982.db2.gz WZYYSLRUOMIWCN-KRWDZBQOSA-N 1 2 293.370 3.758 20 0 CHADLO CC[C@H]1CC[C@@H](C)N1c1cc(C(F)(F)F)cc[nH+]1 ZINC000540078375 333708746 /nfs/dbraw/zinc/70/87/46/333708746.db2.gz OYWQHIMVFUXWEW-KOLCDFICSA-N 1 2 258.287 3.868 20 0 CHADLO CC(C)C[C@@H](C)CNc1ccccc1C[NH+]1CCOCC1 ZINC000540809295 333747953 /nfs/dbraw/zinc/74/79/53/333747953.db2.gz BGDIKCSJFBEVHU-MRXNPFEDSA-N 1 2 290.451 3.613 20 0 CHADLO Clc1cccc(C[NH2+]Cc2cscn2)c1Cl ZINC000069099100 333752230 /nfs/dbraw/zinc/75/22/30/333752230.db2.gz MVQQSJKNBZPFKW-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO Fc1cccc(CNc2c[nH+]c3c(c2)CCCC3)c1F ZINC000541996382 333805928 /nfs/dbraw/zinc/80/59/28/333805928.db2.gz JOXKNPSXRNNBED-UHFFFAOYSA-N 1 2 274.314 3.851 20 0 CHADLO CCN(CC)c1ccc(N[C@H]2CCO[C@@H](C(C)C)C2)c[nH+]1 ZINC000070351685 333808177 /nfs/dbraw/zinc/80/81/77/333808177.db2.gz HIWIAUHTNVMENV-GOEBONIOSA-N 1 2 291.439 3.543 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000542798277 333841446 /nfs/dbraw/zinc/84/14/46/333841446.db2.gz WYCPTRPGXSVZSO-WDEREUQCSA-N 1 2 273.380 3.502 20 0 CHADLO CCC[C@@](C)([NH2+]CCCc1ccccc1Cl)C(=O)OC ZINC000543206773 333864608 /nfs/dbraw/zinc/86/46/08/333864608.db2.gz ANUQWKJHKGNFPF-MRXNPFEDSA-N 1 2 297.826 3.594 20 0 CHADLO CCCc1nc(C[NH2+]C2(c3ccccc3)CCCCC2)no1 ZINC000130749861 333869426 /nfs/dbraw/zinc/86/94/26/333869426.db2.gz LKDWPHPACCEFOV-UHFFFAOYSA-N 1 2 299.418 3.971 20 0 CHADLO COc1ccccc1CNc1c[nH+]c2c(c1)CCCC2 ZINC000543850774 333903311 /nfs/dbraw/zinc/90/33/11/333903311.db2.gz ZTVUDHCCMIXPGT-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@@H]2CCCSC2)c1 ZINC000340888571 335163377 /nfs/dbraw/zinc/16/33/77/335163377.db2.gz FPDJUGXHBONMFI-VIFPVBQESA-N 1 2 276.327 3.656 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@H]4CC[C@H](C)C4)n3)[nH+]c12 ZINC000544610571 333940246 /nfs/dbraw/zinc/94/02/46/333940246.db2.gz YMUKETIUCZZTFQ-AAEUAGOBSA-N 1 2 296.374 3.520 20 0 CHADLO CC(C)[C@@H](C)CC(=O)Nc1c[nH+]ccc1OC(C)(C)C ZINC000131718935 333964526 /nfs/dbraw/zinc/96/45/26/333964526.db2.gz KXHJNTSBJPDPHQ-LBPRGKRZSA-N 1 2 278.396 3.880 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)no1)c1ccc(F)cc1 ZINC000073637183 333976017 /nfs/dbraw/zinc/97/60/17/333976017.db2.gz CADUDTOYIGOMPU-MNOVXSKESA-N 1 2 291.370 3.918 20 0 CHADLO C[C@H](CC1CCCCC1)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000074687378 334011942 /nfs/dbraw/zinc/01/19/42/334011942.db2.gz HOEZGQCJXFFHSR-CQSZACIVSA-N 1 2 299.418 3.557 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nnsc2Cl)CC12CCCCC2 ZINC000546609431 334040202 /nfs/dbraw/zinc/04/02/02/334040202.db2.gz BYKKPMDBYAQRRF-LLVKDONJSA-N 1 2 299.871 3.984 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nnsc2Cl)CC12CCCCC2 ZINC000546609431 334040203 /nfs/dbraw/zinc/04/02/03/334040203.db2.gz BYKKPMDBYAQRRF-LLVKDONJSA-N 1 2 299.871 3.984 20 0 CHADLO Cc1ccc2[nH+]c(CN[C@@H](C(C)(C)C)C(F)(F)F)cn2c1 ZINC000546629141 334041800 /nfs/dbraw/zinc/04/18/00/334041800.db2.gz ZVHIRHILFWOWGM-ZDUSSCGKSA-N 1 2 299.340 3.709 20 0 CHADLO Cc1cc(CNc2[nH+]cccc2OCc2ccccc2)no1 ZINC000546895748 334054393 /nfs/dbraw/zinc/05/43/93/334054393.db2.gz KMEFIFPYXZYDTF-UHFFFAOYSA-N 1 2 295.342 3.569 20 0 CHADLO C[C@@H]1CCC[C@H]1CC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000547424491 334087246 /nfs/dbraw/zinc/08/72/46/334087246.db2.gz ZNGOEBMIIHOAOD-HIFRSBDPSA-N 1 2 297.402 3.519 20 0 CHADLO CCO[C@H](C)c1nc(C[N@H+](C)Cc2cccs2)cs1 ZINC000076715825 334087574 /nfs/dbraw/zinc/08/75/74/334087574.db2.gz FLFWSIVDVWBIMI-LLVKDONJSA-N 1 2 296.461 3.934 20 0 CHADLO CCO[C@H](C)c1nc(C[N@@H+](C)Cc2cccs2)cs1 ZINC000076715825 334087575 /nfs/dbraw/zinc/08/75/75/334087575.db2.gz FLFWSIVDVWBIMI-LLVKDONJSA-N 1 2 296.461 3.934 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2ncc(Cl)s2)o1 ZINC000547435729 334088272 /nfs/dbraw/zinc/08/82/72/334088272.db2.gz NSDUSIYUDYALMB-SCZZXKLOSA-N 1 2 282.796 3.803 20 0 CHADLO Cc1ccc(NC(=O)c2coc(C3CCCCC3)n2)c(C)[nH+]1 ZINC000547622897 334103097 /nfs/dbraw/zinc/10/30/97/334103097.db2.gz OAGBJYMSWZBGTG-UHFFFAOYSA-N 1 2 299.374 3.986 20 0 CHADLO O=C(C[C@H]1C=CCCC1)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000547650931 334105628 /nfs/dbraw/zinc/10/56/28/334105628.db2.gz YXKRUUJCKZIYQI-ZDUSSCGKSA-N 1 2 281.359 3.762 20 0 CHADLO CCn1c(C[N@@H+]2CCCc3occc3C2)nc2ccccc21 ZINC000564330265 334115154 /nfs/dbraw/zinc/11/51/54/334115154.db2.gz LOTBKJXJIQGRKP-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO CCn1c(C[N@H+]2CCCc3occc3C2)nc2ccccc21 ZINC000564330265 334115156 /nfs/dbraw/zinc/11/51/56/334115156.db2.gz LOTBKJXJIQGRKP-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1csc(Cc2ccccc2)n1 ZINC000547768604 334116182 /nfs/dbraw/zinc/11/61/82/334116182.db2.gz DTLIUDOTRKNQKS-STQMWFEESA-N 1 2 272.417 3.717 20 0 CHADLO CCc1cc(C)c(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)o1 ZINC000135144534 334117640 /nfs/dbraw/zinc/11/76/40/334117640.db2.gz LSNMRABRTRGPAA-UHFFFAOYSA-N 1 2 295.342 3.588 20 0 CHADLO O=C(/C=C/c1ccc(-n2cc[nH+]c2)cc1)Nc1ccsc1 ZINC000135363475 334127750 /nfs/dbraw/zinc/12/77/50/334127750.db2.gz UHUJCSYMHZUQAQ-ZZXKWVIFSA-N 1 2 295.367 3.586 20 0 CHADLO CCCCSc1nnc([C@@H](CC)[NH+](C)C)n1CCCC ZINC000078448405 334133916 /nfs/dbraw/zinc/13/39/16/334133916.db2.gz OFLVMHPVHHZKKP-CYBMUJFWSA-N 1 2 298.500 3.983 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1csc(C2CCCC2)n1 ZINC000548096098 334139585 /nfs/dbraw/zinc/13/95/85/334139585.db2.gz FGNMZZJXOGYBNY-QWRGUYRKSA-N 1 2 250.411 3.783 20 0 CHADLO CCCCC[N@@H+]1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC000548628180 334164323 /nfs/dbraw/zinc/16/43/23/334164323.db2.gz OVYNGARULXPILE-OAHLLOKOSA-N 1 2 269.335 3.528 20 0 CHADLO CCCCC[N@H+]1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC000548628180 334164324 /nfs/dbraw/zinc/16/43/24/334164324.db2.gz OVYNGARULXPILE-OAHLLOKOSA-N 1 2 269.335 3.528 20 0 CHADLO CC1(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CCCCC1 ZINC000548685608 334165792 /nfs/dbraw/zinc/16/57/92/334165792.db2.gz ZQGHZWSKQASIIU-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO C[C@H]([NH2+]C1(c2ccccc2F)CC1)c1cn2ccccc2n1 ZINC000548711476 334166930 /nfs/dbraw/zinc/16/69/30/334166930.db2.gz ZFAJTVJDFWCLMQ-ZDUSSCGKSA-N 1 2 295.361 3.813 20 0 CHADLO Cc1cc(C[NH2+]CC(C)(F)F)c(OC(F)F)cc1C ZINC000550261335 334217832 /nfs/dbraw/zinc/21/78/32/334217832.db2.gz KYQHBQQCCXKPTH-UHFFFAOYSA-N 1 2 279.277 3.650 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000245932467 334224805 /nfs/dbraw/zinc/22/48/05/334224805.db2.gz QUFWQJDTQWMGPT-SOUVJXGZSA-N 1 2 272.392 3.935 20 0 CHADLO Cc1cc([C@H]([NH2+]CC(C)(F)F)C2CC2)ccc1F ZINC000550882266 334231516 /nfs/dbraw/zinc/23/15/16/334231516.db2.gz LBJOWXWVDAOORB-CYBMUJFWSA-N 1 2 257.299 3.830 20 0 CHADLO CC[C@@H]([NH2+]CC(C)(F)F)c1cc(C)ccc1OC ZINC000550882969 334231665 /nfs/dbraw/zinc/23/16/65/334231665.db2.gz ULQMPGVBGVZDSP-GFCCVEGCSA-N 1 2 257.324 3.700 20 0 CHADLO CCCOc1ccc([C@H](C)[NH2+]CC(C)(F)F)cc1 ZINC000551241996 334242689 /nfs/dbraw/zinc/24/26/89/334242689.db2.gz QYXNHEAPPCRFQM-NSHDSACASA-N 1 2 257.324 3.781 20 0 CHADLO CC(C)NC(=O)Nc1ccc([C@H](C)[NH2+]CC(C)(F)F)cc1 ZINC000551256037 334243225 /nfs/dbraw/zinc/24/32/25/334243225.db2.gz VOVIHALTLKOWOS-NSHDSACASA-N 1 2 299.365 3.522 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1c(F)cccc1OC(F)(F)F ZINC000551583924 334255172 /nfs/dbraw/zinc/25/51/72/334255172.db2.gz WUKUSWBVVYIWCK-RKDXNWHRSA-N 1 2 277.261 3.707 20 0 CHADLO CC(C)[C@@H]1C[N@@H+]([C@H](C)c2nc(C(C)(C)C)no2)CCS1 ZINC000247541697 334285005 /nfs/dbraw/zinc/28/50/05/334285005.db2.gz PEPNJCLAYAMVHK-NEPJUHHUSA-N 1 2 297.468 3.502 20 0 CHADLO CC(C)[C@@H]1C[N@H+]([C@H](C)c2nc(C(C)(C)C)no2)CCS1 ZINC000247541697 334285007 /nfs/dbraw/zinc/28/50/07/334285007.db2.gz PEPNJCLAYAMVHK-NEPJUHHUSA-N 1 2 297.468 3.502 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CC[C@@](C)(F)C2)c1 ZINC000552203287 334302497 /nfs/dbraw/zinc/30/24/97/334302497.db2.gz GSRRHDSLEANAJW-CQSZACIVSA-N 1 2 273.298 3.530 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CC[C@@](C)(F)C2)c1 ZINC000552203287 334302499 /nfs/dbraw/zinc/30/24/99/334302499.db2.gz GSRRHDSLEANAJW-CQSZACIVSA-N 1 2 273.298 3.530 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc(N3CCCCC3)c[nH+]2)c1 ZINC000552274883 334311357 /nfs/dbraw/zinc/31/13/57/334311357.db2.gz FDLVIVMEHXVFOF-HNNXBMFYSA-N 1 2 296.418 3.948 20 0 CHADLO CC(C)[C@@H]1CC[C@@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC000552277636 334311841 /nfs/dbraw/zinc/31/18/41/334311841.db2.gz BEFFRIIPXBBKPH-GJZGRUSLSA-N 1 2 259.397 3.528 20 0 CHADLO Cc1ccsc1C[N@@H+](C)[C@H](C)c1nc(C(C)(C)C)no1 ZINC000552470268 334330104 /nfs/dbraw/zinc/33/01/04/334330104.db2.gz JLSWKOLENDCOBF-LLVKDONJSA-N 1 2 293.436 3.930 20 0 CHADLO Cc1ccsc1C[N@H+](C)[C@H](C)c1nc(C(C)(C)C)no1 ZINC000552470268 334330105 /nfs/dbraw/zinc/33/01/05/334330105.db2.gz JLSWKOLENDCOBF-LLVKDONJSA-N 1 2 293.436 3.930 20 0 CHADLO CC(C)c1ccc(C(=O)N[C@H](C)c2[nH]cc[nH+]2)cc1Cl ZINC000552557858 334335357 /nfs/dbraw/zinc/33/53/57/334335357.db2.gz OWEMLMKHEPUZNY-SNVBAGLBSA-N 1 2 291.782 3.678 20 0 CHADLO CC(=O)Nc1ccccc1CNc1[nH+]ccc(C)c1Cl ZINC000553080568 334360270 /nfs/dbraw/zinc/36/02/70/334360270.db2.gz PDSSKOQYVASEST-UHFFFAOYSA-N 1 2 289.766 3.614 20 0 CHADLO Cc1cc(NC(=O)c2coc3ccc(C(C)C)cc23)cc[nH+]1 ZINC000553323747 334377597 /nfs/dbraw/zinc/37/75/97/334377597.db2.gz XBMUXUYYLRPJJP-UHFFFAOYSA-N 1 2 294.354 3.934 20 0 CHADLO CN(CC1CCOCC1)c1cc[nH+]c2c(Cl)cccc12 ZINC000553466069 334386720 /nfs/dbraw/zinc/38/67/20/334386720.db2.gz WRUIIXFLFMHEBE-UHFFFAOYSA-N 1 2 290.794 3.751 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)Nc1cccc2cc[nH]c21 ZINC000554517972 334434424 /nfs/dbraw/zinc/43/44/24/334434424.db2.gz ZTIRLTAHHZCQQB-UHFFFAOYSA-N 1 2 280.331 3.824 20 0 CHADLO CC(C)C1CCC(Nc2ccc(Cn3cc[nH+]c3)cn2)CC1 ZINC000554878393 334458262 /nfs/dbraw/zinc/45/82/62/334458262.db2.gz OSAMEPIMEWZRAJ-UHFFFAOYSA-N 1 2 298.434 3.953 20 0 CHADLO CC(C)[C@@H](c1ccccc1)N(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000565049981 334536455 /nfs/dbraw/zinc/53/64/55/334536455.db2.gz WNZRCPTZGPKBHC-YJBOKZPZSA-N 1 2 299.418 3.690 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H]2CC[C@@H](C)C2)c(C)[nH+]1 ZINC000153722481 334678228 /nfs/dbraw/zinc/67/82/28/334678228.db2.gz SMJSMCZLZBOQLN-YGRLFVJLSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccn(C3CCCC3)n2)c(C)[nH+]1 ZINC000153895670 334682195 /nfs/dbraw/zinc/68/21/95/334682195.db2.gz AYFQNBOSYJYJRF-UHFFFAOYSA-N 1 2 298.390 3.571 20 0 CHADLO FC(F)(C[NH2+]Cc1cnc(C2CC2)s1)c1ccccc1 ZINC000567202680 334690176 /nfs/dbraw/zinc/69/01/76/334690176.db2.gz AVOWUQWFUYQWSS-UHFFFAOYSA-N 1 2 294.370 3.902 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H]2CC[C@H]3C[C@H]3C2)[nH+]1 ZINC000567226633 334695303 /nfs/dbraw/zinc/69/53/03/334695303.db2.gz XAIUMKVVBIPBOE-MJBXVCDLSA-N 1 2 295.386 3.760 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1cncc(F)c1 ZINC000154830448 334705365 /nfs/dbraw/zinc/70/53/65/334705365.db2.gz ULFCJJKWNCRKGF-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO C[C@@H]1CC[C@@H](C)[NH+]1Cc1nc(C(F)(F)F)cs1 ZINC000155517561 334722883 /nfs/dbraw/zinc/72/28/83/334722883.db2.gz PWLHCLNXASGOPY-HTQZYQBOSA-N 1 2 264.316 3.535 20 0 CHADLO CCC[C@]1(CO)CCN(c2[nH+]c3ccccc3cc2C)C1 ZINC000568804922 334841710 /nfs/dbraw/zinc/84/17/10/334841710.db2.gz JOYLNNVWVBNWMD-SFHVURJKSA-N 1 2 284.403 3.532 20 0 CHADLO CCc1cc2c(ncnc2N[C@H]2C[C@H](C)n3cc[nH+]c32)s1 ZINC000568838274 334843089 /nfs/dbraw/zinc/84/30/89/334843089.db2.gz MNSKADHKXLRUPV-CABZTGNLSA-N 1 2 299.403 3.568 20 0 CHADLO Cc1c[nH+]c(CCSCC[C@H]2CCCO2)c(C)c1 ZINC000572881042 334887518 /nfs/dbraw/zinc/88/75/18/334887518.db2.gz YRJCICKZLUXGBX-CQSZACIVSA-N 1 2 265.422 3.543 20 0 CHADLO CCCn1ncnc1C[N@@H+]1CCCC[C@@H]1c1ccc(C)cc1 ZINC000576341593 335191647 /nfs/dbraw/zinc/19/16/47/335191647.db2.gz HIWHHFASZIGBHD-QGZVFWFLSA-N 1 2 298.434 3.724 20 0 CHADLO CCCn1ncnc1C[N@H+]1CCCC[C@@H]1c1ccc(C)cc1 ZINC000576341593 335191648 /nfs/dbraw/zinc/19/16/48/335191648.db2.gz HIWHHFASZIGBHD-QGZVFWFLSA-N 1 2 298.434 3.724 20 0 CHADLO O=C(Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1)[C@H]1C[C@H]1C1CC1 ZINC000175919869 335217968 /nfs/dbraw/zinc/21/79/68/335217968.db2.gz GYSDIDYMHZETEQ-KBPBESRZSA-N 1 2 295.386 3.815 20 0 CHADLO CCc1nnc(C[NH2+][C@H](CC)c2ccc(Cl)cc2)o1 ZINC000192515389 335271881 /nfs/dbraw/zinc/27/18/81/335271881.db2.gz CAQZKIKTRBGDQW-GFCCVEGCSA-N 1 2 279.771 3.526 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@H](C)c2cscn2)o1 ZINC000580572077 335285769 /nfs/dbraw/zinc/28/57/69/335285769.db2.gz MMVCBHBWALTYGS-ZJUUUORDSA-N 1 2 250.367 3.710 20 0 CHADLO Cc1[nH]c(CNc2ccc(C)c(Br)c2)[nH+]c1C ZINC000580572409 335286082 /nfs/dbraw/zinc/28/60/82/335286082.db2.gz VCHRZJDQYAQJGF-UHFFFAOYSA-N 1 2 294.196 3.710 20 0 CHADLO CCc1cc(N)nc(S[C@H](C)c2ccc(Cl)cc2)[nH+]1 ZINC000577347647 335341047 /nfs/dbraw/zinc/34/10/47/335341047.db2.gz ZGBSSCHGPQRRDX-SECBINFHSA-N 1 2 293.823 3.958 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@@H](CC(C)C)C3)ccn12 ZINC000577408886 335349775 /nfs/dbraw/zinc/34/97/75/335349775.db2.gz JOECEPQNXYBSPW-HNNXBMFYSA-N 1 2 299.418 3.541 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncc1Cl)c1cscn1 ZINC000577530501 335365810 /nfs/dbraw/zinc/36/58/10/335365810.db2.gz IWSQLLVBAVMRQY-DTWKUNHWSA-N 1 2 267.785 3.603 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccncc1Cl)c1cscn1 ZINC000577530503 335365838 /nfs/dbraw/zinc/36/58/38/335365838.db2.gz IWSQLLVBAVMRQY-RKDXNWHRSA-N 1 2 267.785 3.603 20 0 CHADLO Cc1cccn2cc(Cc3noc(CCCC(C)C)n3)[nH+]c12 ZINC000577708245 335390905 /nfs/dbraw/zinc/39/09/05/335390905.db2.gz TYTBFSLWBSZURS-UHFFFAOYSA-N 1 2 298.390 3.595 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CC4CCC3CC4)n2)c[nH+]1 ZINC000349671118 335475313 /nfs/dbraw/zinc/47/53/13/335475313.db2.gz PGXUVNSEQGGJON-PESDSKBTSA-N 1 2 283.375 3.658 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC000578065605 335475841 /nfs/dbraw/zinc/47/58/41/335475841.db2.gz XEMZWGYOIXCRAX-CYBMUJFWSA-N 1 2 293.317 3.557 20 0 CHADLO CC(C)c1nnc(C[NH2+]C(C)(C)c2cccc(Cl)c2)o1 ZINC000179605315 335503594 /nfs/dbraw/zinc/50/35/94/335503594.db2.gz PTPRKVQYERVZLL-UHFFFAOYSA-N 1 2 293.798 3.871 20 0 CHADLO C[C@@H](Nc1ccc(CC(F)(F)F)cc1)c1[nH+]ccn1C ZINC000182264758 335807041 /nfs/dbraw/zinc/80/70/41/335807041.db2.gz MJDVGXSGAGTXSA-SNVBAGLBSA-N 1 2 283.297 3.698 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+]Cc2noc(C(C)C)n2)c1 ZINC000182903617 335849610 /nfs/dbraw/zinc/84/96/10/335849610.db2.gz CGTNLGZCUOTNRI-ZDUSSCGKSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C=C2CCC(C)CC2)n1 ZINC000579818453 335892263 /nfs/dbraw/zinc/89/22/63/335892263.db2.gz UZPFBSQZBSHDBE-UHFFFAOYSA-N 1 2 283.375 3.957 20 0 CHADLO CC(C)c1nnc(C[NH2+]C2(c3ccccc3)CCCC2)o1 ZINC000183545894 335896971 /nfs/dbraw/zinc/89/69/71/335896971.db2.gz GIQNQKKHHFNLPT-UHFFFAOYSA-N 1 2 285.391 3.752 20 0 CHADLO CC[C@H]([NH2+]Cc1nc2cc(F)ccc2o1)c1nccs1 ZINC000185078106 335945505 /nfs/dbraw/zinc/94/55/05/335945505.db2.gz HPNLBOQTFUWCCZ-JTQLQIEISA-N 1 2 291.351 3.664 20 0 CHADLO COc1cccc2c1cc[nH+]c2N1C[C@@H](C)S[C@@H](C)C1 ZINC000581052779 336001321 /nfs/dbraw/zinc/00/13/21/336001321.db2.gz NJUZWCBJLTXHIM-TXEJJXNPSA-N 1 2 288.416 3.574 20 0 CHADLO CCc1noc(C)c1CNc1[nH+]ccc2c(OC)cccc21 ZINC000581291466 336037853 /nfs/dbraw/zinc/03/78/53/336037853.db2.gz QTCFAQLZEZBWFL-UHFFFAOYSA-N 1 2 297.358 3.714 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)C1CCC1 ZINC000380949835 336040680 /nfs/dbraw/zinc/04/06/80/336040680.db2.gz ABWLVWFEZCCHIX-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cccc(N[C@H](c2[nH]cc[nH+]2)c2cccnc2)c1Cl ZINC000195112164 336045983 /nfs/dbraw/zinc/04/59/83/336045983.db2.gz RJTYDGIRJKGTSV-HNNXBMFYSA-N 1 2 298.777 3.968 20 0 CHADLO Clc1cccc2c1CCN(c1[nH]c3ccccc3[nH+]1)C2 ZINC000581373583 336063686 /nfs/dbraw/zinc/06/36/86/336063686.db2.gz BNSAQJBYZRMLTI-UHFFFAOYSA-N 1 2 283.762 3.779 20 0 CHADLO CCCC(C)(C)NC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000581376508 336064289 /nfs/dbraw/zinc/06/42/89/336064289.db2.gz IIRKVOZNDBVYPF-HNNXBMFYSA-N 1 2 299.418 3.627 20 0 CHADLO COCC(C)(C)CCCNc1ccc2ccccc2[nH+]1 ZINC000581450703 336079519 /nfs/dbraw/zinc/07/95/19/336079519.db2.gz VDZOLICJSQHENR-UHFFFAOYSA-N 1 2 272.392 3.521 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccc(F)c(Br)c1 ZINC000382115259 336081903 /nfs/dbraw/zinc/08/19/03/336081903.db2.gz LUPPPOSALVHYCH-ZETCQYMHSA-N 1 2 296.130 3.894 20 0 CHADLO CCC1(CC)C[NH+](Cc2ccc(Br)o2)C1 ZINC000382135598 336082716 /nfs/dbraw/zinc/08/27/16/336082716.db2.gz YJGJWRSSFWNCMO-UHFFFAOYSA-N 1 2 272.186 3.664 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)Nc1ccc[nH+]c1N(C)C ZINC000347680137 533801129 /nfs/dbraw/zinc/80/11/29/533801129.db2.gz ACGDCNJCYQROBS-GFCCVEGCSA-N 1 2 277.412 3.549 20 0 CHADLO COC[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1nccs1 ZINC000279066280 522431876 /nfs/dbraw/zinc/43/18/76/522431876.db2.gz ZUMRRXBAQCPKDV-ZDUSSCGKSA-N 1 2 296.823 3.616 20 0 CHADLO COC[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1nccs1 ZINC000279066280 522431890 /nfs/dbraw/zinc/43/18/90/522431890.db2.gz ZUMRRXBAQCPKDV-ZDUSSCGKSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1ccc(CN(C)c2[nH+]ccc3ccc(F)cc32)o1 ZINC000354560189 533881357 /nfs/dbraw/zinc/88/13/57/533881357.db2.gz GJFYAMYFIREYBH-UHFFFAOYSA-N 1 2 270.307 3.912 20 0 CHADLO COc1ccc(F)cc1C[N@@H+]1CCc2cccc(F)c2C1 ZINC000347957974 533932728 /nfs/dbraw/zinc/93/27/28/533932728.db2.gz NAONAXLEAKYGOE-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(F)cc1C[N@H+]1CCc2cccc(F)c2C1 ZINC000347957974 533932737 /nfs/dbraw/zinc/93/27/37/533932737.db2.gz NAONAXLEAKYGOE-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(Cl)ccc1C(=O)Nc1c(C)cc[nH+]c1C ZINC000335414397 533957765 /nfs/dbraw/zinc/95/77/65/533957765.db2.gz HCFSBHDRKIDTOG-UHFFFAOYSA-N 1 2 290.750 3.613 20 0 CHADLO Cc1cc(OCc2nc(C3CC3)no2)c2cccc(C)c2[nH+]1 ZINC000354543535 534027807 /nfs/dbraw/zinc/02/78/07/534027807.db2.gz XJSLZYORMCPYPI-UHFFFAOYSA-N 1 2 295.342 3.691 20 0 CHADLO CS[C@H](C)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301850647 534060265 /nfs/dbraw/zinc/06/02/65/534060265.db2.gz FAIGULSXOLPJME-LLVKDONJSA-N 1 2 267.442 3.887 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCC[C@H]2C(F)F)c(C)[nH+]1 ZINC000334706824 534074619 /nfs/dbraw/zinc/07/46/19/534074619.db2.gz WEHSYEBPIKSQQU-LBPRGKRZSA-N 1 2 297.349 3.658 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000192667272 534149257 /nfs/dbraw/zinc/14/92/57/534149257.db2.gz MODWFGWXPVZJKK-SNVBAGLBSA-N 1 2 279.409 3.622 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000192667272 534149265 /nfs/dbraw/zinc/14/92/65/534149265.db2.gz MODWFGWXPVZJKK-SNVBAGLBSA-N 1 2 279.409 3.622 20 0 CHADLO C[C@@H](CSCCCn1cc[nH+]c1)c1ccccc1 ZINC000355932189 534192062 /nfs/dbraw/zinc/19/20/62/534192062.db2.gz PNLSXABRMSEISU-AWEZNQCLSA-N 1 2 260.406 3.810 20 0 CHADLO CC[C@H](NC(=O)c1ccc(C(C)(C)C)s1)c1[nH]cc[nH+]1 ZINC000443118446 517826604 /nfs/dbraw/zinc/82/66/04/517826604.db2.gz NBIJGVKZKZIODA-JTQLQIEISA-N 1 2 291.420 3.650 20 0 CHADLO CC(C)(C)c1csc(C[N@@H+]2CCOC3(CCCC3)C2)n1 ZINC000157972474 519080418 /nfs/dbraw/zinc/08/04/18/519080418.db2.gz VJAFNIZZKAXUDE-UHFFFAOYSA-N 1 2 294.464 3.586 20 0 CHADLO CC(C)(C)c1csc(C[N@H+]2CCOC3(CCCC3)C2)n1 ZINC000157972474 519080430 /nfs/dbraw/zinc/08/04/30/519080430.db2.gz VJAFNIZZKAXUDE-UHFFFAOYSA-N 1 2 294.464 3.586 20 0 CHADLO Cc1cc[nH+]c(N[C@@H]2CCO[C@@]3(CCSC3)C2)c1Cl ZINC000334601640 534405717 /nfs/dbraw/zinc/40/57/17/534405717.db2.gz KLGFWCFEPCDOGK-RISCZKNCSA-N 1 2 298.839 3.510 20 0 CHADLO Cc1ccncc1CNc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000353818213 534421046 /nfs/dbraw/zinc/42/10/46/534421046.db2.gz SGOCIWXDMCFUHL-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO CC(C)C[C@H]([NH2+]CC(=O)OC(C)(C)C)c1ccccc1 ZINC000164114594 519394499 /nfs/dbraw/zinc/39/44/99/519394499.db2.gz YYWHNOIOFAAKPK-HNNXBMFYSA-N 1 2 277.408 3.705 20 0 CHADLO CC(C)N(CC1CCC1)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000277488548 519641070 /nfs/dbraw/zinc/64/10/70/519641070.db2.gz CLURWNZWGLRFPC-UHFFFAOYSA-N 1 2 297.402 3.523 20 0 CHADLO CC(C)[N@@H+](Cc1cscn1)Cc1cccs1 ZINC000299210658 519663699 /nfs/dbraw/zinc/66/36/99/519663699.db2.gz UCBMQAMVMFFYFH-UHFFFAOYSA-N 1 2 252.408 3.615 20 0 CHADLO CC(C)[N@H+](Cc1cscn1)Cc1cccs1 ZINC000299210658 519663701 /nfs/dbraw/zinc/66/37/01/519663701.db2.gz UCBMQAMVMFFYFH-UHFFFAOYSA-N 1 2 252.408 3.615 20 0 CHADLO CC(C)COC[C@@H]([NH2+]Cc1ccccc1F)c1ccco1 ZINC000236622683 519755372 /nfs/dbraw/zinc/75/53/72/519755372.db2.gz KWQPPJPEEPOWAS-MRXNPFEDSA-N 1 2 291.366 3.922 20 0 CHADLO CC(C)OCC[N@@H+]1C[C@@H](c2ccccc2Cl)OC[C@@H]1C ZINC000111309921 519766721 /nfs/dbraw/zinc/76/67/21/519766721.db2.gz FYNNRTOFASVJCS-BBRMVZONSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OCC[N@H+]1C[C@@H](c2ccccc2Cl)OC[C@@H]1C ZINC000111309921 519766722 /nfs/dbraw/zinc/76/67/22/519766722.db2.gz FYNNRTOFASVJCS-BBRMVZONSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)[C@@H]1CCC[C@@H]([NH2+][C@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000280078191 519802642 /nfs/dbraw/zinc/80/26/42/519802642.db2.gz ZRJCTYOVMGWVSR-ZACQAIPSSA-N 1 2 298.434 3.699 20 0 CHADLO CC(C)c1nc(N(C)[C@H](C)c2cccs2)cc[nH+]1 ZINC000112964817 519878162 /nfs/dbraw/zinc/87/81/62/519878162.db2.gz NORYLZRWVKQLOV-LLVKDONJSA-N 1 2 261.394 3.859 20 0 CHADLO CC(C)n1ncnc1C[N@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000280133562 520129428 /nfs/dbraw/zinc/12/94/28/520129428.db2.gz WEVDBGFRGMEQGX-LBPRGKRZSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000280133562 520129429 /nfs/dbraw/zinc/12/94/29/520129429.db2.gz WEVDBGFRGMEQGX-LBPRGKRZSA-N 1 2 292.814 3.705 20 0 CHADLO CCCNc1cc(C)[nH+]c(-c2ccc(SC)cc2)n1 ZINC000050864703 520135232 /nfs/dbraw/zinc/13/52/32/520135232.db2.gz BTZBZYXDQFAPFO-UHFFFAOYSA-N 1 2 273.405 3.996 20 0 CHADLO CCCCC[C@@H]([NH2+]Cc1ccn[nH]1)c1ccccc1 ZINC000041015437 520294088 /nfs/dbraw/zinc/29/40/88/520294088.db2.gz VPROVCGMTHRLED-MRXNPFEDSA-N 1 2 257.381 3.821 20 0 CHADLO CCCC[N@H+](C)c1ccc(NCc2[nH]ncc2C)cc1 ZINC000289618224 520436014 /nfs/dbraw/zinc/43/60/14/520436014.db2.gz WCQNTMCUXWSHFH-UHFFFAOYSA-N 1 2 272.396 3.567 20 0 CHADLO CCCC[N@@H+](C)c1ccc(NCc2[nH]ncc2C)cc1 ZINC000289618224 520436025 /nfs/dbraw/zinc/43/60/25/520436025.db2.gz WCQNTMCUXWSHFH-UHFFFAOYSA-N 1 2 272.396 3.567 20 0 CHADLO Cc1nocc1C[N@@H+]1CC[C@@](F)(c2cccc(Cl)c2)C1 ZINC000353360772 534494368 /nfs/dbraw/zinc/49/43/68/534494368.db2.gz LSZMSCRRHPJVAI-HNNXBMFYSA-N 1 2 294.757 3.707 20 0 CHADLO Cc1nocc1C[N@H+]1CC[C@@](F)(c2cccc(Cl)c2)C1 ZINC000353360772 534494371 /nfs/dbraw/zinc/49/43/71/534494371.db2.gz LSZMSCRRHPJVAI-HNNXBMFYSA-N 1 2 294.757 3.707 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(O)cc(F)c2)[C@@H]1c1cccnc1 ZINC000292726657 520474571 /nfs/dbraw/zinc/47/45/71/520474571.db2.gz FVBBBFYCDMBLND-MRXNPFEDSA-N 1 2 286.350 3.509 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(O)cc(F)c2)[C@@H]1c1cccnc1 ZINC000292726657 520474584 /nfs/dbraw/zinc/47/45/84/520474584.db2.gz FVBBBFYCDMBLND-MRXNPFEDSA-N 1 2 286.350 3.509 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cn2)[C@@H]1c1cccs1 ZINC000287004687 520479739 /nfs/dbraw/zinc/47/97/39/520479739.db2.gz QIDWAQLXDMEVNY-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cn2)[C@@H]1c1cccs1 ZINC000287004687 520479749 /nfs/dbraw/zinc/47/97/49/520479749.db2.gz QIDWAQLXDMEVNY-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO Fc1ccc2c(c1)CC[N@@H+](Cc1ccccc1F)C2 ZINC000351929606 534498992 /nfs/dbraw/zinc/49/89/92/534498992.db2.gz ZWESTQXKNLXMHD-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1ccc2c(c1)CC[N@H+](Cc1ccccc1F)C2 ZINC000351929606 534498996 /nfs/dbraw/zinc/49/89/96/534498996.db2.gz ZWESTQXKNLXMHD-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1ccc2cc[nH+]c(NCCc3ccsc3)c2c1 ZINC000354559208 534506123 /nfs/dbraw/zinc/50/61/23/534506123.db2.gz DHTHRSPLPMLZQH-UHFFFAOYSA-N 1 2 272.348 3.512 20 0 CHADLO Fc1ccc2cc[nH+]c(N3C[C@@H]4CCC[C@@H]4C3)c2c1 ZINC000354550192 534509023 /nfs/dbraw/zinc/50/90/23/534509023.db2.gz ZTCLZNFSQKKIJD-BETUJISGSA-N 1 2 256.324 3.610 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3[C@H]4CCO[C@@H]4C34CCC4)c2c1 ZINC000354877091 534509465 /nfs/dbraw/zinc/50/94/65/534509465.db2.gz KVLDDXXZQINDSO-BMFZPTHFSA-N 1 2 298.361 3.743 20 0 CHADLO CCC[C@@H](CC)[S@@](=O)Cc1cn2cc(Cl)ccc2[nH+]1 ZINC000280109873 520693841 /nfs/dbraw/zinc/69/38/41/520693841.db2.gz YLZKQFHPEXNKJT-YJYMSZOUSA-N 1 2 298.839 3.815 20 0 CHADLO CC1=C(C)C[N@H+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC000280803892 520834549 /nfs/dbraw/zinc/83/45/49/520834549.db2.gz SKQJDHRHTXDFQD-UHFFFAOYSA-N 1 2 276.327 3.704 20 0 CHADLO CC1=C(C)C[N@@H+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC000280803892 520834556 /nfs/dbraw/zinc/83/45/56/520834556.db2.gz SKQJDHRHTXDFQD-UHFFFAOYSA-N 1 2 276.327 3.704 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@H]2CC[C@H](C)C2)[nH+]1 ZINC000336557447 534520326 /nfs/dbraw/zinc/52/03/26/534520326.db2.gz BQDFNPVMVPZWEK-AAEUAGOBSA-N 1 2 283.375 3.760 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2csc(C)n2)c[nH+]1 ZINC000037003744 520919130 /nfs/dbraw/zinc/91/91/30/520919130.db2.gz HRDJIJBRFACNLZ-NSHDSACASA-N 1 2 290.436 3.866 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@@H+]1CC[C@](C)(F)C1 ZINC000347384444 534532539 /nfs/dbraw/zinc/53/25/39/534532539.db2.gz OZWLBOJJAIPHJX-AWEZNQCLSA-N 1 2 280.368 3.645 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@H+]1CC[C@](C)(F)C1 ZINC000347384444 534532543 /nfs/dbraw/zinc/53/25/43/534532543.db2.gz OZWLBOJJAIPHJX-AWEZNQCLSA-N 1 2 280.368 3.645 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CC2CCCCC2)C2CCCCC2)n1 ZINC000330252286 534532912 /nfs/dbraw/zinc/53/29/12/534532912.db2.gz IHCYTCXXVKHTGH-OAHLLOKOSA-N 1 2 276.428 3.596 20 0 CHADLO Cc1nc([C@@H](C)[NH+]2CCC(C)(CC(C)C)CC2)no1 ZINC000351983615 534536528 /nfs/dbraw/zinc/53/65/28/534536528.db2.gz UOMPRAQMEINORC-GFCCVEGCSA-N 1 2 265.401 3.587 20 0 CHADLO CCOc1ccc([NH2+]CCc2scnc2C)cc1 ZINC000050284611 521126453 /nfs/dbraw/zinc/12/64/53/521126453.db2.gz RVVKTGJVZIMFHS-UHFFFAOYSA-N 1 2 262.378 3.505 20 0 CHADLO CC[N@H+](Cc1ncnn1C(C)C)Cc1cccc(Cl)c1 ZINC000279842951 521461439 /nfs/dbraw/zinc/46/14/39/521461439.db2.gz ALKYBDCJDVDZAY-UHFFFAOYSA-N 1 2 292.814 3.535 20 0 CHADLO CC[N@@H+](Cc1ncnn1C(C)C)Cc1cccc(Cl)c1 ZINC000279842951 521461453 /nfs/dbraw/zinc/46/14/53/521461453.db2.gz ALKYBDCJDVDZAY-UHFFFAOYSA-N 1 2 292.814 3.535 20 0 CHADLO CC[N@H+](Cc1nccn1C(F)F)Cc1ccc(Cl)cc1 ZINC000299367178 521462681 /nfs/dbraw/zinc/46/26/81/521462681.db2.gz KCBAPSBMQDBSPN-UHFFFAOYSA-N 1 2 299.752 3.954 20 0 CHADLO CC[N@@H+](Cc1nccn1C(F)F)Cc1ccc(Cl)cc1 ZINC000299367178 521462689 /nfs/dbraw/zinc/46/26/89/521462689.db2.gz KCBAPSBMQDBSPN-UHFFFAOYSA-N 1 2 299.752 3.954 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2cc(F)ccc2F)o1 ZINC000291735575 521497919 /nfs/dbraw/zinc/49/79/19/521497919.db2.gz OGWHGVWYMZXLJI-UHFFFAOYSA-N 1 2 280.318 3.504 20 0 CHADLO CCSc1cc(C[NH2+][C@H](C)c2csc(C)n2)ccn1 ZINC000275098249 521734378 /nfs/dbraw/zinc/73/43/78/521734378.db2.gz CAZANNFPLOLLQA-SNVBAGLBSA-N 1 2 293.461 3.809 20 0 CHADLO COCC[C@@H](C)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000278374144 521802214 /nfs/dbraw/zinc/80/22/14/521802214.db2.gz DQNMHZQHGJWWML-CQSZACIVSA-N 1 2 299.418 3.723 20 0 CHADLO COCC[C@H]1CCC[C@@H]1[NH2+]c1ccc(OC)cc1C ZINC000282454935 521984476 /nfs/dbraw/zinc/98/44/76/521984476.db2.gz FPVAGKYSGAFDDZ-CJNGLKHVSA-N 1 2 263.381 3.621 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)c2ccccc2F)[nH]1 ZINC000277094936 522055290 /nfs/dbraw/zinc/05/52/90/522055290.db2.gz GQNIHWIOLFFSAD-SRVKXCTJSA-N 1 2 290.386 3.869 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C(C)(C)c1ccc(C)cc1 ZINC000265037013 522079434 /nfs/dbraw/zinc/07/94/34/522079434.db2.gz LGHNNBRLHPORLW-UHFFFAOYSA-N 1 2 282.387 3.869 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H]1C[C@@H]1c1ccc(F)cc1 ZINC000265254851 522083683 /nfs/dbraw/zinc/08/36/83/522083683.db2.gz UJVPZQOAVZBLCY-CABCVRRESA-N 1 2 284.334 3.525 20 0 CHADLO CCc1c[nH+]ccc1[C@H](C)[C@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000279819486 522093025 /nfs/dbraw/zinc/09/30/25/522093025.db2.gz XZPIQULIDPYEEJ-NKKGCODLSA-N 1 2 271.404 3.953 20 0 CHADLO COc1cc(C)c(NC(=O)Nc2cc[nH+]c(C)c2)cc1C ZINC000278058387 522209608 /nfs/dbraw/zinc/20/96/08/522209608.db2.gz DWWISKNJVWQLKN-UHFFFAOYSA-N 1 2 285.347 3.659 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@@H](CC)c2ccncc2)cs1 ZINC000130296998 522292952 /nfs/dbraw/zinc/29/29/52/522292952.db2.gz SBGCOLVUFCDZCX-AWEZNQCLSA-N 1 2 275.421 3.684 20 0 CHADLO CCc1nc(C[N@H+](C)[C@@H](CC)c2ccncc2)cs1 ZINC000130296998 522292958 /nfs/dbraw/zinc/29/29/58/522292958.db2.gz SBGCOLVUFCDZCX-AWEZNQCLSA-N 1 2 275.421 3.684 20 0 CHADLO CCc1[nH+]c2cc(CNC(=O)[C@H](C)CC)ccc2n1C1CC1 ZINC000338502366 522481800 /nfs/dbraw/zinc/48/18/00/522481800.db2.gz NBCBSOKBDAGXAE-GFCCVEGCSA-N 1 2 299.418 3.596 20 0 CHADLO CCc1ncc(C[N@@H+]2CCC[C@H]2c2csc(C)n2)s1 ZINC000275162693 522550251 /nfs/dbraw/zinc/55/02/51/522550251.db2.gz YTEDWVWHHCSWDR-ZDUSSCGKSA-N 1 2 293.461 3.808 20 0 CHADLO CCc1ncc(C[N@H+]2CCC[C@H]2c2csc(C)n2)s1 ZINC000275162693 522550259 /nfs/dbraw/zinc/55/02/59/522550259.db2.gz YTEDWVWHHCSWDR-ZDUSSCGKSA-N 1 2 293.461 3.808 20 0 CHADLO COc1cc(NC(=O)Nc2cc[nH+]cc2C)c(C)cc1C ZINC000281083672 522703660 /nfs/dbraw/zinc/70/36/60/522703660.db2.gz RDKBSULKTGUMCD-UHFFFAOYSA-N 1 2 285.347 3.659 20 0 CHADLO CCc1nnc(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccc(C)o2)o1 ZINC000076120495 522733999 /nfs/dbraw/zinc/73/39/99/522733999.db2.gz FGLPYRLFCNWVAP-DGCLKSJQSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1nnc(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccc(C)o2)o1 ZINC000076120495 522734009 /nfs/dbraw/zinc/73/40/09/522734009.db2.gz FGLPYRLFCNWVAP-DGCLKSJQSA-N 1 2 289.379 3.507 20 0 CHADLO COC[C@H]([NH2+]Cc1cccc(C)c1F)c1ccc(C)o1 ZINC000295857499 522750675 /nfs/dbraw/zinc/75/06/75/522750675.db2.gz WROAGTYUMWCDGT-AWEZNQCLSA-N 1 2 277.339 3.513 20 0 CHADLO COCc1cc(N2CCC[C@@H]2C)c2cc(F)ccc2[nH+]1 ZINC000290756300 522927165 /nfs/dbraw/zinc/92/71/65/522927165.db2.gz JITYXWQHGQOLNU-NSHDSACASA-N 1 2 274.339 3.509 20 0 CHADLO CC[C@H](c1cccc(OC)c1)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000340784594 522935995 /nfs/dbraw/zinc/93/59/95/522935995.db2.gz RRSNYVJFHHSHBJ-MRXNPFEDSA-N 1 2 297.402 3.950 20 0 CHADLO CC[C@@H](Nc1cc(C)c(OC)cc1Cl)c1[nH+]ccn1C ZINC000290238595 522953454 /nfs/dbraw/zinc/95/34/54/522953454.db2.gz JAAFXBHMUIIAJI-GFCCVEGCSA-N 1 2 293.798 3.954 20 0 CHADLO CC[C@@H](Nc1ncnc2ccc(SC)cc21)c1[nH]cc[nH+]1 ZINC000340937361 522968293 /nfs/dbraw/zinc/96/82/93/522968293.db2.gz YBBSQXMWIMLAGJ-GFCCVEGCSA-N 1 2 299.403 3.638 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCC(=O)N1CCCCC[C@H]1C ZINC000353632328 534677370 /nfs/dbraw/zinc/67/73/70/534677370.db2.gz RZHGINMCALUFRD-CQSZACIVSA-N 1 2 299.418 3.526 20 0 CHADLO CC[C@@H]1CCCCCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000155686179 523144756 /nfs/dbraw/zinc/14/47/56/523144756.db2.gz PNBBLQBXJLTPTQ-MRXNPFEDSA-N 1 2 297.402 3.667 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1cc(Br)c(OC)s1 ZINC000292899533 523173857 /nfs/dbraw/zinc/17/38/57/523173857.db2.gz ZTADIMJTVXTDLK-QMMMGPOBSA-N 1 2 290.226 3.504 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1cc(Br)c(OC)s1 ZINC000292899533 523173868 /nfs/dbraw/zinc/17/38/68/523173868.db2.gz ZTADIMJTVXTDLK-QMMMGPOBSA-N 1 2 290.226 3.504 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1ncc(C(C)(C)C)s1 ZINC000279867249 523434186 /nfs/dbraw/zinc/43/41/86/523434186.db2.gz QIQUVKUALGVTDV-UHFFFAOYSA-N 1 2 264.394 3.666 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1ncc(C(C)(C)C)s1 ZINC000279867249 523434193 /nfs/dbraw/zinc/43/41/93/523434193.db2.gz QIQUVKUALGVTDV-UHFFFAOYSA-N 1 2 264.394 3.666 20 0 CHADLO C[N@H+](Cc1cnc(Cl)s1)Cc1ccc(F)c(F)c1 ZINC000079315771 523497836 /nfs/dbraw/zinc/49/78/36/523497836.db2.gz DNGUHAZIGIVPMQ-UHFFFAOYSA-N 1 2 288.750 3.707 20 0 CHADLO C[N@@H+](Cc1cnc(Cl)s1)Cc1ccc(F)c(F)c1 ZINC000079315771 523497850 /nfs/dbraw/zinc/49/78/50/523497850.db2.gz DNGUHAZIGIVPMQ-UHFFFAOYSA-N 1 2 288.750 3.707 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1ncc(C)o1 ZINC000075637943 523630638 /nfs/dbraw/zinc/63/06/38/523630638.db2.gz CRYXBSVXKSRSTD-GFCCVEGCSA-N 1 2 262.378 3.554 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1ncc(C)o1 ZINC000075637943 523630643 /nfs/dbraw/zinc/63/06/43/523630643.db2.gz CRYXBSVXKSRSTD-GFCCVEGCSA-N 1 2 262.378 3.554 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cccc(Cl)n1)c1nccs1 ZINC000072684634 523656733 /nfs/dbraw/zinc/65/67/33/523656733.db2.gz NWZGZCNYKIUWKP-CYBMUJFWSA-N 1 2 281.812 3.607 20 0 CHADLO CC[C@H](C)C(=O)N1CCC(n2c(C)[nH+]c3ccccc32)CC1 ZINC000279802785 523826130 /nfs/dbraw/zinc/82/61/30/523826130.db2.gz MPOIRKUCLHODJO-ZDUSSCGKSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]CC(F)(F)c2ccccc2)c(C)n1 ZINC000353651410 534750127 /nfs/dbraw/zinc/75/01/27/534750127.db2.gz UFSAOCQXSPWKFO-NSHDSACASA-N 1 2 291.345 3.536 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2ncc(CC)s2)o1 ZINC000130680470 524026031 /nfs/dbraw/zinc/02/60/31/524026031.db2.gz LVCMIZUTNKAXJK-SNVBAGLBSA-N 1 2 264.394 3.712 20 0 CHADLO CCc1ccc([C@@H]2C[N@H+](Cc3cncc(C)c3)CCO2)cc1 ZINC000276725299 524039034 /nfs/dbraw/zinc/03/90/34/524039034.db2.gz BBNHLFWVPDMFBO-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@@H]2C[N@@H+](Cc3cncc(C)c3)CCO2)cc1 ZINC000276725299 524039036 /nfs/dbraw/zinc/03/90/36/524039036.db2.gz BBNHLFWVPDMFBO-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2noc(C(C)C)n2)cc1 ZINC000097078811 524047163 /nfs/dbraw/zinc/04/71/63/524047163.db2.gz HKTRCVYNCCOCSM-LBPRGKRZSA-N 1 2 273.380 3.606 20 0 CHADLO COc1ccc(C[NH2+]C2(C(F)F)CC2)cc1OCC(C)C ZINC000337305605 524068769 /nfs/dbraw/zinc/06/87/69/524068769.db2.gz SVPZWZUYCQLONM-UHFFFAOYSA-N 1 2 299.361 3.617 20 0 CHADLO COc1cccc([C@@H](C)Nc2cc[nH+]c(C3CC3)n2)c1 ZINC000264718927 524091873 /nfs/dbraw/zinc/09/18/73/524091873.db2.gz UYTGDUJAAYYLRX-LLVKDONJSA-N 1 2 269.348 3.536 20 0 CHADLO COc1cccc([C@@H](C)Nc2ccc([NH+](C)C)cc2)c1 ZINC000036335435 524092947 /nfs/dbraw/zinc/09/29/47/524092947.db2.gz SDPLYQXFXBNTLC-CYBMUJFWSA-N 1 2 270.376 3.934 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CCCCC1 ZINC000302733856 524254517 /nfs/dbraw/zinc/25/45/17/524254517.db2.gz YEHLTXISMLENOM-UHFFFAOYSA-N 1 2 256.349 3.542 20 0 CHADLO C[C@@H]1CCC[C@@H](C)N(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000150788512 524273486 /nfs/dbraw/zinc/27/34/86/524273486.db2.gz RAADKBJOLDLPFG-HUUCEWRRSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1cc(C)c(NC(=O)CC2(C(F)(F)F)CC2)c(C)[nH+]1 ZINC000340772562 524281150 /nfs/dbraw/zinc/28/11/50/524281150.db2.gz FKOFOSDQOGWBRJ-UHFFFAOYSA-N 1 2 286.297 3.678 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]Cc1c(F)cccc1F ZINC000037089729 524329042 /nfs/dbraw/zinc/32/90/42/524329042.db2.gz FRZJNOPTFUOENH-SNVBAGLBSA-N 1 2 295.304 3.963 20 0 CHADLO Cc1cccc(CNc2cc(C)[nH+]c3c(F)cccc23)n1 ZINC000121712395 524441474 /nfs/dbraw/zinc/44/14/74/524441474.db2.gz IGIUSMQNLIZOCG-UHFFFAOYSA-N 1 2 281.334 3.998 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(C(C)(C)C)cs2)o1 ZINC000105498720 524585336 /nfs/dbraw/zinc/58/53/36/524585336.db2.gz OBBJVCYZVAOASK-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nc(C)sc2C)o1 ZINC000053036126 524595084 /nfs/dbraw/zinc/59/50/84/524595084.db2.gz VMLJNROYTDCNCY-VIFPVBQESA-N 1 2 250.367 3.512 20 0 CHADLO Cc1ccc(CNc2c[nH+]cc(C)c2)c(-c2ccnn2C)c1 ZINC000338450053 524620405 /nfs/dbraw/zinc/62/04/05/524620405.db2.gz BHJIPRFRGGNDAT-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO Cc1ncsc1CNc1[nH+]c2cc(Cl)ccc2n1C ZINC000167766574 534799637 /nfs/dbraw/zinc/79/96/37/534799637.db2.gz RWGNRAOWVTWUOH-UHFFFAOYSA-N 1 2 292.795 3.604 20 0 CHADLO Cc1ccc(C[C@H](C)Nc2cc[nH+]c(C3CC3)n2)s1 ZINC000150865016 524683593 /nfs/dbraw/zinc/68/35/93/524683593.db2.gz FVXJWYLGBCQHBV-JTQLQIEISA-N 1 2 273.405 3.767 20 0 CHADLO Cc1ccc(Cc2nc(C(C)(C)c3ccccc3)no2)c[nH+]1 ZINC000278062348 524693681 /nfs/dbraw/zinc/69/36/81/524693681.db2.gz DWCYNHVHVKWOHV-UHFFFAOYSA-N 1 2 293.370 3.690 20 0 CHADLO Cc1ccc(Cc2noc(C3C(C)(C)C3(C)C)n2)c[nH+]1 ZINC000356136068 524698304 /nfs/dbraw/zinc/69/83/04/524698304.db2.gz NILRINYSPVISSG-UHFFFAOYSA-N 1 2 271.364 3.513 20 0 CHADLO Cc1cccc(N[C@H]2C[C@H](C)n3cc[nH+]c32)c1Cl ZINC000294242335 524701413 /nfs/dbraw/zinc/70/14/13/524701413.db2.gz WAQRIIVVSNHYRE-JQWIXIFHSA-N 1 2 261.756 3.963 20 0 CHADLO Cc1cc(C[NH2+]Cc2cc(Cl)ccc2Cl)on1 ZINC000087759195 524757077 /nfs/dbraw/zinc/75/70/77/524757077.db2.gz DRZTYXMBFSBYIR-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(Cl)cc2Cl)on1 ZINC000044300946 524760434 /nfs/dbraw/zinc/76/04/34/524760434.db2.gz LJRVXLCYSGDLRT-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccon2)ccc1Br ZINC000337310731 524778942 /nfs/dbraw/zinc/77/89/42/524778942.db2.gz BHFVMGSDPDLIQF-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO COc1ccc(OC)c([C@H](C)Nc2cc[nH+]c(C3CC3)n2)c1 ZINC000264891061 524906459 /nfs/dbraw/zinc/90/64/59/524906459.db2.gz FWUOZBUSJFOKCT-NSHDSACASA-N 1 2 299.374 3.544 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1c(F)ccc(O)c1F)CC2 ZINC000353203276 524944935 /nfs/dbraw/zinc/94/49/35/524944935.db2.gz LQLLCOLGRIKGPT-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1c(F)ccc(O)c1F)CC2 ZINC000353203276 524944944 /nfs/dbraw/zinc/94/49/44/524944944.db2.gz LQLLCOLGRIKGPT-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1Br)c1nccs1 ZINC000070650448 525463212 /nfs/dbraw/zinc/46/32/12/525463212.db2.gz LAKIMAAVWGMAQI-VIFPVBQESA-N 1 2 297.221 3.756 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2ccc(F)cc2F)no1 ZINC000336962572 525473955 /nfs/dbraw/zinc/47/39/55/525473955.db2.gz FLCYSDGARNBWML-NXEZZACHSA-N 1 2 266.291 3.673 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](CO)c2c(F)cccc2F)c(C)o1 ZINC000278673023 525474791 /nfs/dbraw/zinc/47/47/91/525474791.db2.gz JHMUZYNVEMIYLQ-MEBBXXQBSA-N 1 2 295.329 3.559 20 0 CHADLO C[C@H]([NH2+]Cc1csc(C2CCCCC2)n1)c1cn[nH]c1 ZINC000275131626 525484593 /nfs/dbraw/zinc/48/45/93/525484593.db2.gz BYWGLTYZRMHMSJ-NSHDSACASA-N 1 2 290.436 3.765 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccco1 ZINC000094965457 525487342 /nfs/dbraw/zinc/48/73/42/525487342.db2.gz KZOBSEIDYACKJM-JTQLQIEISA-N 1 2 264.394 3.884 20 0 CHADLO C[C@H](NCc1[nH]cc[nH+]1)c1cc(F)c(Cl)cc1Cl ZINC000067666826 525490272 /nfs/dbraw/zinc/49/02/72/525490272.db2.gz DOFSBCPZDZWSMR-ZETCQYMHSA-N 1 2 288.153 3.706 20 0 CHADLO C[C@H]([NH2+]Cc1ncc[nH]1)c1cc(F)c(Cl)cc1Cl ZINC000067666826 525490278 /nfs/dbraw/zinc/49/02/78/525490278.db2.gz DOFSBCPZDZWSMR-ZETCQYMHSA-N 1 2 288.153 3.706 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1C(F)F)c1ccccc1Cl ZINC000042336511 525492510 /nfs/dbraw/zinc/49/25/10/525492510.db2.gz TZHSWUGOWYHJCW-VIFPVBQESA-N 1 2 285.725 3.782 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)c(Cl)c1)c1ncnn1C ZINC000276700273 525527686 /nfs/dbraw/zinc/52/76/86/525527686.db2.gz XENODNNMVOBWNU-IUCAKERBSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1nccs1 ZINC000070984428 525529170 /nfs/dbraw/zinc/52/91/70/525529170.db2.gz SLBKZBAPEYNSMO-IUCAKERBSA-N 1 2 268.332 3.833 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1cncs1 ZINC000129202843 525529423 /nfs/dbraw/zinc/52/94/23/525529423.db2.gz UUUBPILKZFYQGE-IUCAKERBSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2CCCOc3cc(F)ccc32)no1 ZINC000290650243 525530216 /nfs/dbraw/zinc/53/02/16/525530216.db2.gz KBVHKPXOFCRWIW-FZMZJTMJSA-N 1 2 290.338 3.687 20 0 CHADLO C[C@@H]([NH2+]Cc1ccsc1)c1nc(Cc2ccccc2)no1 ZINC000237355809 525548929 /nfs/dbraw/zinc/54/89/29/525548929.db2.gz OWMQHLUQRRSYPJ-GFCCVEGCSA-N 1 2 299.399 3.573 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)cs1)c1ccc(Cl)cn1 ZINC000274984540 525558829 /nfs/dbraw/zinc/55/88/29/525558829.db2.gz ZSVZLUWSFQTYDJ-SECBINFHSA-N 1 2 293.823 3.920 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncnn1C)c1ccc(Cl)cc1Cl ZINC000276700636 525624037 /nfs/dbraw/zinc/62/40/37/525624037.db2.gz XKGZWVWLQZLRQZ-BDAKNGLRSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1(c2ccccc2)CCC1 ZINC000286971805 525637545 /nfs/dbraw/zinc/63/75/45/525637545.db2.gz BJMZGUKQMKIWQA-UHFFFAOYSA-N 1 2 295.386 3.899 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N(C)Cc1cccc(F)c1 ZINC000287697370 525638892 /nfs/dbraw/zinc/63/88/92/525638892.db2.gz ZIXRENQXIGNGDZ-UHFFFAOYSA-N 1 2 287.338 3.501 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CCC[C@@H](O)C1 ZINC000225358024 525656512 /nfs/dbraw/zinc/65/65/12/525656512.db2.gz BNIVWBDMJRMICN-DZGCQCFKSA-N 1 2 270.376 3.506 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2ncnn2C(C)C)c(C)c1 ZINC000280137620 525689305 /nfs/dbraw/zinc/68/93/05/525689305.db2.gz WKUUKHJMWXQRSO-OAHLLOKOSA-N 1 2 286.423 3.669 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2ncnn2C(C)C)c(C)c1 ZINC000280137620 525689312 /nfs/dbraw/zinc/68/93/12/525689312.db2.gz WKUUKHJMWXQRSO-OAHLLOKOSA-N 1 2 286.423 3.669 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](c2cnn(C)c2)c2ccccc2)o1 ZINC000366685603 525743770 /nfs/dbraw/zinc/74/37/70/525743770.db2.gz YAQNGHAODPCWSZ-RDTXWAMCSA-N 1 2 295.386 3.762 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2ccon2)o1 ZINC000076120558 525844875 /nfs/dbraw/zinc/84/48/75/525844875.db2.gz WJHQMCWOMYSUJM-FZMZJTMJSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2ccon2)o1 ZINC000076120558 525844883 /nfs/dbraw/zinc/84/48/83/525844883.db2.gz WJHQMCWOMYSUJM-FZMZJTMJSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2ncc(C)cn2)o1 ZINC000340691179 525854105 /nfs/dbraw/zinc/85/41/05/525854105.db2.gz QMVXBWBGLMIOIB-DOMZBBRYSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2ncc(C)cn2)o1 ZINC000340691179 525854116 /nfs/dbraw/zinc/85/41/16/525854116.db2.gz QMVXBWBGLMIOIB-DOMZBBRYSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2cccc(Cl)c2)nc1 ZINC000340662950 526018275 /nfs/dbraw/zinc/01/82/75/526018275.db2.gz PBLNDMKASMSXBO-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2cccc(Cl)c2)nc1 ZINC000340662950 526018277 /nfs/dbraw/zinc/01/82/77/526018277.db2.gz PBLNDMKASMSXBO-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@@H](C)[C@@H]2c2cccc(F)c2)nc1 ZINC000340691623 526022058 /nfs/dbraw/zinc/02/20/58/526022058.db2.gz GMUWUCVSIZRJND-CXAGYDPISA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@@H](C)[C@@H]2c2cccc(F)c2)nc1 ZINC000340691623 526022074 /nfs/dbraw/zinc/02/20/74/526022074.db2.gz GMUWUCVSIZRJND-CXAGYDPISA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)nc1 ZINC000340696506 526027696 /nfs/dbraw/zinc/02/76/96/526027696.db2.gz MSQHVIQZUDJCGF-BLLLJJGKSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2F)nc1 ZINC000340696506 526027707 /nfs/dbraw/zinc/02/77/07/526027707.db2.gz MSQHVIQZUDJCGF-BLLLJJGKSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[NH2+]Cc2c(F)cccc2Cl)s1 ZINC000230687384 526039212 /nfs/dbraw/zinc/03/92/12/526039212.db2.gz ZLVMLBVISUZIMG-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+](C)Cc1cn2c(n1)CCCC2 ZINC000369365467 526073396 /nfs/dbraw/zinc/07/33/96/526073396.db2.gz BVBUGVDZZSVHOV-ZDUSSCGKSA-N 1 2 287.382 3.552 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+](C)Cc1cn2c(n1)CCCC2 ZINC000369365467 526073407 /nfs/dbraw/zinc/07/34/07/526073407.db2.gz BVBUGVDZZSVHOV-ZDUSSCGKSA-N 1 2 287.382 3.552 20 0 CHADLO C[C@@H](c1cccc(F)c1)N(C)Cc1cn2c([nH+]1)CCCC2 ZINC000369365467 526073418 /nfs/dbraw/zinc/07/34/18/526073418.db2.gz BVBUGVDZZSVHOV-ZDUSSCGKSA-N 1 2 287.382 3.552 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278539703 526083008 /nfs/dbraw/zinc/08/30/08/526083008.db2.gz GDFHWFAKLKWIOS-GFCCVEGCSA-N 1 2 288.391 3.871 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278539703 526083018 /nfs/dbraw/zinc/08/30/18/526083018.db2.gz GDFHWFAKLKWIOS-GFCCVEGCSA-N 1 2 288.391 3.871 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1ccc(F)cn1 ZINC000276338072 526105731 /nfs/dbraw/zinc/10/57/31/526105731.db2.gz IAAULOIWUZYHEQ-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1ccc(F)cn1 ZINC000276338072 526105745 /nfs/dbraw/zinc/10/57/45/526105745.db2.gz IAAULOIWUZYHEQ-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1ccnc(Cl)c1Cl ZINC000278484037 526124820 /nfs/dbraw/zinc/12/48/20/526124820.db2.gz AUOVJMICOAWVKY-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1ccnc(Cl)c1Cl ZINC000278484037 526124825 /nfs/dbraw/zinc/12/48/25/526124825.db2.gz AUOVJMICOAWVKY-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1csc(-c2ccoc2)n1 ZINC000127709009 526130914 /nfs/dbraw/zinc/13/09/14/526130914.db2.gz JFMGYYWAWJAOFY-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1csc(-c2ccoc2)n1 ZINC000127709009 526130922 /nfs/dbraw/zinc/13/09/22/526130922.db2.gz JFMGYYWAWJAOFY-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)CC(=O)Nc1ccccc1Cl ZINC000069069801 526133618 /nfs/dbraw/zinc/13/36/18/526133618.db2.gz XLMUEPBVJBJMPK-NSHDSACASA-N 1 2 292.766 3.565 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)CC(=O)Nc1ccccc1Cl ZINC000069069801 526133623 /nfs/dbraw/zinc/13/36/23/526133623.db2.gz XLMUEPBVJBJMPK-NSHDSACASA-N 1 2 292.766 3.565 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1cc(-c2cccs2)on1 ZINC000127564768 526153972 /nfs/dbraw/zinc/15/39/72/526153972.db2.gz IXQWFFRJCQNZGC-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1cc(-c2cccs2)on1 ZINC000127564768 526153977 /nfs/dbraw/zinc/15/39/77/526153977.db2.gz IXQWFFRJCQNZGC-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1ncc(C)s1 ZINC000280267098 526158023 /nfs/dbraw/zinc/15/80/23/526158023.db2.gz CUDDDBDLLHDLHH-UHFFFAOYSA-N 1 2 278.446 3.805 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1ncc(C)s1 ZINC000280267098 526158033 /nfs/dbraw/zinc/15/80/33/526158033.db2.gz CUDDDBDLLHDLHH-UHFFFAOYSA-N 1 2 278.446 3.805 20 0 CHADLO Cc1cncc(C[N@@H+]2CC[C@](F)(c3ccccc3F)C2)c1 ZINC000338584300 526196384 /nfs/dbraw/zinc/19/63/84/526196384.db2.gz ATNADRGTPGVJLN-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1cncc(C[N@H+]2CC[C@](F)(c3ccccc3F)C2)c1 ZINC000338584300 526196395 /nfs/dbraw/zinc/19/63/95/526196395.db2.gz ATNADRGTPGVJLN-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N1CC[C@@H](c2ccccc2)[C@H]1C ZINC000121498065 526238998 /nfs/dbraw/zinc/23/89/98/526238998.db2.gz DUUPPLHDYFAKRZ-GDBMZVCRSA-N 1 2 295.386 3.800 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H]1CCCc2sccc21 ZINC000127531553 526240805 /nfs/dbraw/zinc/24/08/05/526240805.db2.gz KRUGWGCMVJXEFZ-ZDUSSCGKSA-N 1 2 287.388 3.651 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(C(C)C)cc1 ZINC000107292038 526241918 /nfs/dbraw/zinc/24/19/18/526241918.db2.gz KZSWDOQKIZAHTG-UHFFFAOYSA-N 1 2 254.333 3.766 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cc(F)cc(C(F)(F)F)c1 ZINC000354518337 526287936 /nfs/dbraw/zinc/28/79/36/526287936.db2.gz AHQVMDNWEPRRRH-GFCCVEGCSA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cc(F)cc(C(F)(F)F)c1 ZINC000354518337 526287942 /nfs/dbraw/zinc/28/79/42/526287942.db2.gz AHQVMDNWEPRRRH-GFCCVEGCSA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccccc1OC(F)(F)F ZINC000354522505 526288759 /nfs/dbraw/zinc/28/87/59/526288759.db2.gz UHAHOFCBHCYLNW-LLVKDONJSA-N 1 2 277.261 3.519 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccccc1OC(F)(F)F ZINC000354522505 526288766 /nfs/dbraw/zinc/28/87/66/526288766.db2.gz UHAHOFCBHCYLNW-LLVKDONJSA-N 1 2 277.261 3.519 20 0 CHADLO Fc1cc(F)cc(C[N@@H+]2CCC[C@H]2c2nccs2)c1 ZINC000374299100 526307006 /nfs/dbraw/zinc/30/70/06/526307006.db2.gz BBLDGBFLSOQKFX-ZDUSSCGKSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cc(F)cc(C[N@H+]2CCC[C@H]2c2nccs2)c1 ZINC000374299100 526307011 /nfs/dbraw/zinc/30/70/11/526307011.db2.gz BBLDGBFLSOQKFX-ZDUSSCGKSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000276246155 526334661 /nfs/dbraw/zinc/33/46/61/526334661.db2.gz OVBCLQLIKKAWDD-OAHLLOKOSA-N 1 2 276.742 3.821 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)nc1 ZINC000276246155 526334670 /nfs/dbraw/zinc/33/46/70/526334670.db2.gz OVBCLQLIKKAWDD-OAHLLOKOSA-N 1 2 276.742 3.821 20 0 CHADLO Fc1cccc2c1SCC[C@H]2[NH2+]Cc1cscn1 ZINC000070166708 526403368 /nfs/dbraw/zinc/40/33/68/526403368.db2.gz OGTDBDKVGVQNIC-GFCCVEGCSA-N 1 2 280.393 3.609 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H]2CCc3c2cccc3Cl)s1 ZINC000273747105 526406498 /nfs/dbraw/zinc/40/64/98/526406498.db2.gz WOITWNDBGMLBCM-SDBXPKJASA-N 1 2 293.823 3.838 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@H+]2Cc2nccn2-c2ccccc2)c1 ZINC000276724883 526409909 /nfs/dbraw/zinc/40/99/09/526409909.db2.gz GDCLNCXOGIGVMH-KRWDZBQOSA-N 1 2 292.386 3.538 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@@H+]2Cc2nccn2-c2ccccc2)c1 ZINC000276724883 526409916 /nfs/dbraw/zinc/40/99/16/526409916.db2.gz GDCLNCXOGIGVMH-KRWDZBQOSA-N 1 2 292.386 3.538 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1NC1CCSCC1 ZINC000037102385 526431530 /nfs/dbraw/zinc/43/15/30/526431530.db2.gz DFHAFSOZUYXULP-UHFFFAOYSA-N 1 2 262.422 3.594 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+]C1CCSCC1 ZINC000037102385 526431533 /nfs/dbraw/zinc/43/15/33/526431533.db2.gz DFHAFSOZUYXULP-UHFFFAOYSA-N 1 2 262.422 3.594 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](C)c1ccc(C(C)(C)C)cc1 ZINC000037878833 526554882 /nfs/dbraw/zinc/55/48/82/526554882.db2.gz ORDJHZDDDSASRP-LBPRGKRZSA-N 1 2 271.408 3.866 20 0 CHADLO c1coc(-c2noc(-c3[nH+]c(C4CC4)n4ccccc34)n2)c1 ZINC000340656818 526563900 /nfs/dbraw/zinc/56/39/00/526563900.db2.gz UVKQDAOVXFRZLK-UHFFFAOYSA-N 1 2 292.298 3.522 20 0 CHADLO c1coc(C[NH2+][C@H]2CCCc3cn(C4CCCC4)nc32)c1 ZINC000365009652 526568221 /nfs/dbraw/zinc/56/82/21/526568221.db2.gz HQQBKVXOFUXZLV-INIZCTEOSA-N 1 2 285.391 3.758 20 0 CHADLO c1coc([C@@H]2C[N@H+](CCSC3CCCCC3)CCO2)c1 ZINC000337249768 526570226 /nfs/dbraw/zinc/57/02/26/526570226.db2.gz MCUZSXFLSUMHSH-INIZCTEOSA-N 1 2 295.448 3.719 20 0 CHADLO c1coc([C@@H]2C[N@@H+](CCSC3CCCCC3)CCO2)c1 ZINC000337249768 526570234 /nfs/dbraw/zinc/57/02/34/526570234.db2.gz MCUZSXFLSUMHSH-INIZCTEOSA-N 1 2 295.448 3.719 20 0 CHADLO c1nc(C[N@H+](Cc2ccc3ccccc3c2)C2CC2)co1 ZINC000277918161 526595418 /nfs/dbraw/zinc/59/54/18/526595418.db2.gz GTZRGIZOKXJYIR-UHFFFAOYSA-N 1 2 278.355 3.992 20 0 CHADLO c1nc(C[N@@H+](Cc2ccc3ccccc3c2)C2CC2)co1 ZINC000277918161 526595424 /nfs/dbraw/zinc/59/54/24/526595424.db2.gz GTZRGIZOKXJYIR-UHFFFAOYSA-N 1 2 278.355 3.992 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000299337944 526613672 /nfs/dbraw/zinc/61/36/72/526613672.db2.gz DLFQUXMKJKEKSF-SECBINFHSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1noc(C[N@H+](C)[C@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000299337944 526613677 /nfs/dbraw/zinc/61/36/77/526613677.db2.gz DLFQUXMKJKEKSF-SECBINFHSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COC(=O)C1CC=CC1 ZINC000293076400 526668372 /nfs/dbraw/zinc/66/83/72/526668372.db2.gz OMFOKMYTSLMADS-UHFFFAOYSA-N 1 2 259.349 3.523 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COC(=O)C[C@H]1CCCCO1 ZINC000293004076 526668768 /nfs/dbraw/zinc/66/87/68/526668768.db2.gz JGDSUJFJJKIBBL-OAHLLOKOSA-N 1 2 291.391 3.516 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSc1nccn1C ZINC000292758547 526668857 /nfs/dbraw/zinc/66/88/57/526668857.db2.gz SELGZNDHRZXJJU-UHFFFAOYSA-N 1 2 261.394 3.539 20 0 CHADLO Cc1nocc1C[NH2+]Cc1nc2cc(Cl)ccc2s1 ZINC000339200931 526693052 /nfs/dbraw/zinc/69/30/52/526693052.db2.gz GBCKOESXFHVOMK-UHFFFAOYSA-N 1 2 293.779 3.536 20 0 CHADLO Cc1nc(C[N@@H+]([C@@H](C)c2ccco2)C2CC2)oc1C ZINC000086234118 526849062 /nfs/dbraw/zinc/84/90/62/526849062.db2.gz QYZQRXGMYHSYLM-NSHDSACASA-N 1 2 260.337 3.610 20 0 CHADLO Cc1nc(C[N@H+]([C@@H](C)c2ccco2)C2CC2)oc1C ZINC000086234118 526849069 /nfs/dbraw/zinc/84/90/69/526849069.db2.gz QYZQRXGMYHSYLM-NSHDSACASA-N 1 2 260.337 3.610 20 0 CHADLO Cc1nc(COc2ccc([NH2+]C[C@@H]3CC=CCC3)cc2)no1 ZINC000125454611 526908563 /nfs/dbraw/zinc/90/85/63/526908563.db2.gz WIIRKOJYVYZDGB-CQSZACIVSA-N 1 2 299.374 3.725 20 0 CHADLO Cc1sccc1C[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000339288401 526918485 /nfs/dbraw/zinc/91/84/85/526918485.db2.gz BLZWXCXTEUJUIO-SECBINFHSA-N 1 2 279.409 3.588 20 0 CHADLO Cn1c(CCSCCC(F)(F)F)[nH+]c2ccccc21 ZINC000279959937 527050517 /nfs/dbraw/zinc/05/05/17/527050517.db2.gz NVWLLHQGJDLAJE-UHFFFAOYSA-N 1 2 288.338 3.801 20 0 CHADLO Cn1c(CCSCc2nccs2)[nH+]c2ccccc21 ZINC000289477797 527050697 /nfs/dbraw/zinc/05/06/97/527050697.db2.gz WXQGHLMBUTXXRE-UHFFFAOYSA-N 1 2 289.429 3.506 20 0 CHADLO Cc1nc([C@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)no1 ZINC000289387762 527058425 /nfs/dbraw/zinc/05/84/25/527058425.db2.gz QLYCCPSESKKZMP-ZDUSSCGKSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1nc([C@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)no1 ZINC000289387762 527058429 /nfs/dbraw/zinc/05/84/29/527058429.db2.gz QLYCCPSESKKZMP-ZDUSSCGKSA-N 1 2 283.375 3.537 20 0 CHADLO Cc1[nH+]c2n(c1C)CCN([C@@H](C)c1ccccc1Cl)C2 ZINC000370761864 527185865 /nfs/dbraw/zinc/18/58/65/527185865.db2.gz YADCBPPZGQXAIQ-ZDUSSCGKSA-N 1 2 289.810 3.730 20 0 CHADLO Cc1nc(N2C[C@@H](C)C[C@H]2c2cccc(F)c2)cc[nH+]1 ZINC000266206767 527347429 /nfs/dbraw/zinc/34/74/29/527347429.db2.gz FKXXLYQHXMKGLU-NHYWBVRUSA-N 1 2 271.339 3.512 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccc(OC(F)F)cc2)cc[nH+]1 ZINC000266097813 527350374 /nfs/dbraw/zinc/35/03/74/527350374.db2.gz GXTFVTBOCAZNTL-VIFPVBQESA-N 1 2 279.290 3.560 20 0 CHADLO Cc1nc(N[C@H](C)c2cccc(N3CCCC3)c2)cc[nH+]1 ZINC000088758965 527350409 /nfs/dbraw/zinc/35/04/09/527350409.db2.gz BKGVSHXSCINCLI-CYBMUJFWSA-N 1 2 282.391 3.558 20 0 CHADLO O=C(NC1CCCC1)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264909740 527510711 /nfs/dbraw/zinc/51/07/11/527510711.db2.gz NLJVZNZXGVYFKE-UHFFFAOYSA-N 1 2 282.343 3.546 20 0 CHADLO Cn1c[nH+]cc1CNc1cccc(COC2CCCCC2)c1 ZINC000125627304 527511512 /nfs/dbraw/zinc/51/15/12/527511512.db2.gz GSRIZAGLQJXPDD-UHFFFAOYSA-N 1 2 299.418 3.882 20 0 CHADLO FC(F)(F)c1cnc(C[NH2+]C2(c3ccccc3)CC2)s1 ZINC000278644282 527688999 /nfs/dbraw/zinc/68/89/99/527688999.db2.gz LUWSUXZVNQDGTB-UHFFFAOYSA-N 1 2 298.333 3.941 20 0 CHADLO FC(F)C1([NH2+]Cc2cc(Cl)cc3cccnc32)CC1 ZINC000337290701 527692437 /nfs/dbraw/zinc/69/24/37/527692437.db2.gz MISYSDIGJVKTNZ-UHFFFAOYSA-N 1 2 282.721 3.776 20 0 CHADLO FC(F)C1([NH2+]Cc2cccc(OCC(F)(F)F)c2)CC1 ZINC000337277372 527692830 /nfs/dbraw/zinc/69/28/30/527692830.db2.gz FOXODRYHOPIIKQ-UHFFFAOYSA-N 1 2 295.251 3.515 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344288179 527705530 /nfs/dbraw/zinc/70/55/30/527705530.db2.gz LHAWBDPCWWRTDB-OAHLLOKOSA-N 1 2 299.418 3.817 20 0 CHADLO CCOC(=O)[C@H]1CCCC[N@@H+]1Cc1cccc(C(F)F)c1 ZINC000347962069 528052613 /nfs/dbraw/zinc/05/26/13/528052613.db2.gz HRPDEBLXSLCQSO-CQSZACIVSA-N 1 2 297.345 3.542 20 0 CHADLO CCOC(=O)[C@H]1CCCC[N@H+]1Cc1cccc(C(F)F)c1 ZINC000347962069 528052618 /nfs/dbraw/zinc/05/26/18/528052618.db2.gz HRPDEBLXSLCQSO-CQSZACIVSA-N 1 2 297.345 3.542 20 0 CHADLO CC(C)c1ccc(C(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)s1 ZINC000334750115 528076738 /nfs/dbraw/zinc/07/67/38/528076738.db2.gz QLVSRZMIXFOSAX-GHMZBOCLSA-N 1 2 289.404 3.504 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H]2COCc3ccccc32)s1 ZINC000418105794 528215847 /nfs/dbraw/zinc/21/58/47/528215847.db2.gz LWERVAVFPBQGQJ-CQSZACIVSA-N 1 2 288.416 3.628 20 0 CHADLO CCOC(=O)C1(C)CCC(Nc2ccc(C)[nH+]c2C)CC1 ZINC000354580247 528589573 /nfs/dbraw/zinc/58/95/73/528589573.db2.gz BWPSMGREIHYOII-UHFFFAOYSA-N 1 2 290.407 3.622 20 0 CHADLO CCOc1cccc(C[N@@H+]2Cc3ccccc3[C@H]2C)n1 ZINC000353869260 528645121 /nfs/dbraw/zinc/64/51/21/528645121.db2.gz QNYFKZCLBYLTAY-CYBMUJFWSA-N 1 2 268.360 3.557 20 0 CHADLO CCOc1cccc(C[N@H+]2Cc3ccccc3[C@H]2C)n1 ZINC000353869260 528645126 /nfs/dbraw/zinc/64/51/26/528645126.db2.gz QNYFKZCLBYLTAY-CYBMUJFWSA-N 1 2 268.360 3.557 20 0 CHADLO CC[N@H+](Cc1ccn(C)n1)Cc1ccc(Cl)c(Cl)c1 ZINC000352649856 528856051 /nfs/dbraw/zinc/85/60/51/528856051.db2.gz PWJLEWXXBVFHNW-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1ccn(C)n1)Cc1ccc(Cl)c(Cl)c1 ZINC000352649856 528856055 /nfs/dbraw/zinc/85/60/55/528856055.db2.gz PWJLEWXXBVFHNW-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC1(C)CCC([S@@](=O)Cc2cn3ccccc3[nH+]2)CC1 ZINC000336605430 528908722 /nfs/dbraw/zinc/90/87/22/528908722.db2.gz JVMHCLYMQAQLMX-FQEVSTJZSA-N 1 2 290.432 3.552 20 0 CHADLO CC1(C)CCCC[C@H]1CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000335956671 528920574 /nfs/dbraw/zinc/92/05/74/528920574.db2.gz QLPWUVBSLUZSOF-UONOGXRCSA-N 1 2 289.423 3.680 20 0 CHADLO CC1(C)C[N@H+](Cc2c(Cl)oc3ccccc32)CCO1 ZINC000351986425 529123057 /nfs/dbraw/zinc/12/30/57/529123057.db2.gz IXWRVMHWTUHITM-UHFFFAOYSA-N 1 2 279.767 3.697 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(Cl)oc3ccccc32)CCO1 ZINC000351986425 529123060 /nfs/dbraw/zinc/12/30/60/529123060.db2.gz IXWRVMHWTUHITM-UHFFFAOYSA-N 1 2 279.767 3.697 20 0 CHADLO CCc1cccc(F)c1C[N@H+](CCOC)Cc1ccco1 ZINC000353453001 529173233 /nfs/dbraw/zinc/17/32/33/529173233.db2.gz XQOPBFSPHHGVKD-UHFFFAOYSA-N 1 2 291.366 3.630 20 0 CHADLO CCc1cccc(F)c1C[N@@H+](CCOC)Cc1ccco1 ZINC000353453001 529173236 /nfs/dbraw/zinc/17/32/36/529173236.db2.gz XQOPBFSPHHGVKD-UHFFFAOYSA-N 1 2 291.366 3.630 20 0 CHADLO CCc1cccc(F)c1C[NH2+]Cc1nnc(C(C)C)s1 ZINC000354505767 529174967 /nfs/dbraw/zinc/17/49/67/529174967.db2.gz QSBKDMWJSVZQPP-UHFFFAOYSA-N 1 2 293.411 3.653 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C2CC2)n1)c1nc2ccccc2[nH]1 ZINC000112966256 529212151 /nfs/dbraw/zinc/21/21/51/529212151.db2.gz BHSRPYLZIOUYEY-GFCCVEGCSA-N 1 2 293.374 3.794 20 0 CHADLO CC[C@@H]1CCCCN1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000336147315 529270688 /nfs/dbraw/zinc/27/06/88/529270688.db2.gz RVEOVKKDOXTRIL-CQSZACIVSA-N 1 2 275.396 3.803 20 0 CHADLO CC[C@@H](C)[N@H+](CC(=O)OC(C)(C)C)Cc1ccc(C)o1 ZINC000347643981 529346976 /nfs/dbraw/zinc/34/69/76/529346976.db2.gz DMAJGMYVUAHVEP-GFCCVEGCSA-N 1 2 281.396 3.530 20 0 CHADLO CC[C@@H](C)[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc(C)o1 ZINC000347643981 529346977 /nfs/dbraw/zinc/34/69/77/529346977.db2.gz DMAJGMYVUAHVEP-GFCCVEGCSA-N 1 2 281.396 3.530 20 0 CHADLO Cc1cc(NC(=O)Cc2ccc(CC(C)C)cc2)c(C)c[nH+]1 ZINC000536575583 1125470640 /nfs/dbraw/zinc/47/06/40/1125470640.db2.gz WPMXNKWRWBRHKT-UHFFFAOYSA-N 1 2 296.414 3.500 20 0 CHADLO Cc1nc2c(s1)C[N@H+](C[C@H](C)c1ccccc1)C2 ZINC001207914447 1117763670 /nfs/dbraw/zinc/76/36/70/1117763670.db2.gz ULTQNEOYXUGRSU-NSHDSACASA-N 1 2 258.390 3.571 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+]CC(F)(F)CC)cc1 ZINC000624146918 1117992220 /nfs/dbraw/zinc/99/22/20/1117992220.db2.gz OBVDSFYRSCYPQV-LLVKDONJSA-N 1 2 257.324 3.781 20 0 CHADLO CC(C)c1cnc(C[N@@H+](C)Cc2ccccc2)s1 ZINC000182928737 1118033696 /nfs/dbraw/zinc/03/36/96/1118033696.db2.gz MKBUXXCBLVUEIN-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO CC(C)c1cnc(C[N@H+](C)Cc2ccccc2)s1 ZINC000182928737 1118033693 /nfs/dbraw/zinc/03/36/93/1118033693.db2.gz MKBUXXCBLVUEIN-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO FC(F)Oc1ccccc1C[NH+]1CC(CC(F)(F)F)C1 ZINC000656685973 1118636186 /nfs/dbraw/zinc/63/61/86/1118636186.db2.gz JAUXLUBCHPURFR-UHFFFAOYSA-N 1 2 295.251 3.672 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138003571 1131449261 /nfs/dbraw/zinc/44/92/61/1131449261.db2.gz BXIFFNZVFGOIDI-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138003571 1131449262 /nfs/dbraw/zinc/44/92/62/1131449262.db2.gz BXIFFNZVFGOIDI-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO Fc1c(C[N@@H+]2CCC[C@H]3C[C@H]32)cccc1C(F)(F)F ZINC001138011644 1131449912 /nfs/dbraw/zinc/44/99/12/1131449912.db2.gz BTOSEIXTVXGIGA-JOYOIKCWSA-N 1 2 273.273 3.829 20 0 CHADLO Fc1c(C[N@H+]2CCC[C@H]3C[C@H]32)cccc1C(F)(F)F ZINC001138011644 1131449916 /nfs/dbraw/zinc/44/99/16/1131449916.db2.gz BTOSEIXTVXGIGA-JOYOIKCWSA-N 1 2 273.273 3.829 20 0 CHADLO CCCCc1ccc(CO[C@@H]2C[NH2+]CC(F)(F)C2)cc1 ZINC001217854559 1119642761 /nfs/dbraw/zinc/64/27/61/1119642761.db2.gz GZZZULCPLVFSHY-HNNXBMFYSA-N 1 2 283.362 3.543 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cn3cc(C)ccc3n2)c(Cl)c1 ZINC001202677490 1125504759 /nfs/dbraw/zinc/50/47/59/1125504759.db2.gz VYGSFBZZXPDALJ-UHFFFAOYSA-N 1 2 299.805 3.894 20 0 CHADLO CCCCc1ncc(C[N@@H+]2CCC(F)(F)C(C)(C)C2)[nH]1 ZINC001141067988 1120295776 /nfs/dbraw/zinc/29/57/76/1120295776.db2.gz UYVJYYBYUMDZOZ-UHFFFAOYSA-N 1 2 285.382 3.620 20 0 CHADLO CCCCc1ncc(C[N@H+]2CCC(F)(F)C(C)(C)C2)[nH]1 ZINC001141067988 1120295781 /nfs/dbraw/zinc/29/57/81/1120295781.db2.gz UYVJYYBYUMDZOZ-UHFFFAOYSA-N 1 2 285.382 3.620 20 0 CHADLO CCn1cncc1C[N@H+](CC)Cc1ccc(Cl)s1 ZINC000417680384 1120474146 /nfs/dbraw/zinc/47/41/46/1120474146.db2.gz HGKRMCLOTTVHMC-UHFFFAOYSA-N 1 2 283.828 3.640 20 0 CHADLO CCn1cncc1C[N@@H+](CC)Cc1ccc(Cl)s1 ZINC000417680384 1120474149 /nfs/dbraw/zinc/47/41/49/1120474149.db2.gz HGKRMCLOTTVHMC-UHFFFAOYSA-N 1 2 283.828 3.640 20 0 CHADLO CCc1ccc(CC)c(NCc2c[nH+]cn2CC)c1 ZINC000417828117 1120482831 /nfs/dbraw/zinc/48/28/31/1120482831.db2.gz GLDSMRSOPPEEDG-UHFFFAOYSA-N 1 2 257.381 3.640 20 0 CHADLO CC(C)Oc1cccc(C[NH2+][C@H]2CCCC2(F)F)c1 ZINC000398009405 1120553081 /nfs/dbraw/zinc/55/30/81/1120553081.db2.gz BBHKBMDKDZIZJT-AWEZNQCLSA-N 1 2 269.335 3.751 20 0 CHADLO C/C(=C\c1ccccc1)C[NH2+][C@@H]1CCCC1(F)F ZINC000673617835 1120601097 /nfs/dbraw/zinc/60/10/97/1120601097.db2.gz KSGCWUDUUBUIOR-IEZBTEQYSA-N 1 2 251.320 3.867 20 0 CHADLO CC[C@@H](Nc1cc(COC)cc[nH+]1)c1ccsc1 ZINC000652330361 1120827796 /nfs/dbraw/zinc/82/77/96/1120827796.db2.gz DGYSOCBRTISGNT-CYBMUJFWSA-N 1 2 262.378 3.853 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1nc(C)no1 ZINC000621280683 1121144980 /nfs/dbraw/zinc/14/49/80/1121144980.db2.gz WCQVDYNDDLOQPB-CYBMUJFWSA-N 1 2 279.771 3.615 20 0 CHADLO C[N@@H+](Cc1csc(Cl)c1Cl)C[C@@H]1CCCO1 ZINC000432746065 1121314077 /nfs/dbraw/zinc/31/40/77/1121314077.db2.gz ANCBEZCDZJBJHT-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO C[N@H+](Cc1csc(Cl)c1Cl)C[C@@H]1CCCO1 ZINC000432746065 1121314087 /nfs/dbraw/zinc/31/40/87/1121314087.db2.gz ANCBEZCDZJBJHT-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)n(C)n1)c1ccccc1Cl ZINC000706176453 1121420234 /nfs/dbraw/zinc/42/02/34/1121420234.db2.gz ZJHCIMCISBUMOK-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO Cc1cc(C)c(C(=O)NCC(C)(C)CC(C)C)c(C)[nH+]1 ZINC001532245244 1121497689 /nfs/dbraw/zinc/49/76/89/1121497689.db2.gz AAGUGKNPYKFZML-UHFFFAOYSA-N 1 2 276.424 3.809 20 0 CHADLO C[C@@H]1CCC(F)(F)C[N@@H+]1CCCCC1CCOCC1 ZINC001176896449 1121701466 /nfs/dbraw/zinc/70/14/66/1121701466.db2.gz GGLADURRCPHBSL-CYBMUJFWSA-N 1 2 275.383 3.703 20 0 CHADLO C[C@@H]1CCC(F)(F)C[N@H+]1CCCCC1CCOCC1 ZINC001176896449 1121701474 /nfs/dbraw/zinc/70/14/74/1121701474.db2.gz GGLADURRCPHBSL-CYBMUJFWSA-N 1 2 275.383 3.703 20 0 CHADLO CCOc1cc(Nc2cc(C)cc(C)[nH+]2)c(Cl)cn1 ZINC001250209836 1122360730 /nfs/dbraw/zinc/36/07/30/1122360730.db2.gz CBNYUZSSDHFIOJ-UHFFFAOYSA-N 1 2 277.755 3.889 20 0 CHADLO CC[C@@H]1[C@@H](C)CC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434981024 1122426668 /nfs/dbraw/zinc/42/66/68/1122426668.db2.gz CSOKOAUIGAGQCS-DTWKUNHWSA-N 1 2 278.343 3.782 20 0 CHADLO CC[C@@H]1[C@@H](C)CC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434981024 1122426671 /nfs/dbraw/zinc/42/66/71/1122426671.db2.gz CSOKOAUIGAGQCS-DTWKUNHWSA-N 1 2 278.343 3.782 20 0 CHADLO CCCCC[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001201744886 1123144372 /nfs/dbraw/zinc/14/43/72/1123144372.db2.gz QAZURVDALFNBLV-OAHLLOKOSA-N 1 2 267.800 3.904 20 0 CHADLO CCCCC[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001201744886 1123144374 /nfs/dbraw/zinc/14/43/74/1123144374.db2.gz QAZURVDALFNBLV-OAHLLOKOSA-N 1 2 267.800 3.904 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@@H+]1C[C@@H](CO)CC(F)(F)C1 ZINC001201975460 1123158751 /nfs/dbraw/zinc/15/87/51/1123158751.db2.gz HRLADGCOQFDKBF-GJZGRUSLSA-N 1 2 289.410 3.709 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@H+]1C[C@@H](CO)CC(F)(F)C1 ZINC001201975460 1123158753 /nfs/dbraw/zinc/15/87/53/1123158753.db2.gz HRLADGCOQFDKBF-GJZGRUSLSA-N 1 2 289.410 3.709 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cccc(F)c1Cl ZINC000338923644 1123228139 /nfs/dbraw/zinc/22/81/39/1123228139.db2.gz DWGMGJBPTUTDOG-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cccc(F)c1Cl ZINC000338923644 1123228140 /nfs/dbraw/zinc/22/81/40/1123228140.db2.gz DWGMGJBPTUTDOG-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@@H+]2CC=C(Cl)Cl)o1 ZINC000851941521 1123391182 /nfs/dbraw/zinc/39/11/82/1123391182.db2.gz KNUMEVCJQMLCRH-NSHDSACASA-N 1 2 290.190 3.882 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@H+]2CC=C(Cl)Cl)o1 ZINC000851941521 1123391188 /nfs/dbraw/zinc/39/11/88/1123391188.db2.gz KNUMEVCJQMLCRH-NSHDSACASA-N 1 2 290.190 3.882 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)C1(C)CC1 ZINC000346572857 1123697058 /nfs/dbraw/zinc/69/70/58/1123697058.db2.gz LRSFJDAWXDUYDE-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1C/C=C(/C)Cl ZINC000528484157 1124677855 /nfs/dbraw/zinc/67/78/55/1124677855.db2.gz DVBZIQLHZOOBQQ-OTAKNEKHSA-N 1 2 267.804 3.573 20 0 CHADLO CC[C@@H]([NH2+]C/C=C\c1ccc(F)c(F)c1)C(F)F ZINC000449360065 1124712508 /nfs/dbraw/zinc/71/25/08/1124712508.db2.gz IRCVOSDFWMHGBM-VSQXVHSFSA-N 1 2 261.262 3.611 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+]Cc1cc(Cl)ccc1Cl ZINC000873186583 1124747614 /nfs/dbraw/zinc/74/76/14/1124747614.db2.gz CDKFADRAGVNSTM-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO CC(C)CCc1nc(C[N@H+](C)C[C@H]2CCCCO2)cs1 ZINC000121742655 1125148156 /nfs/dbraw/zinc/14/81/56/1125148156.db2.gz UQVHZRDUMNULSG-OAHLLOKOSA-N 1 2 296.480 3.733 20 0 CHADLO CC(C)CCc1nc(C[N@@H+](C)C[C@H]2CCCCO2)cs1 ZINC000121742655 1125148158 /nfs/dbraw/zinc/14/81/58/1125148158.db2.gz UQVHZRDUMNULSG-OAHLLOKOSA-N 1 2 296.480 3.733 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3ccsc3[C@@H]2C)cs1 ZINC000880375968 1125269597 /nfs/dbraw/zinc/26/95/97/1125269597.db2.gz AUJNBWAYWUTFHT-VIFPVBQESA-N 1 2 264.419 3.632 20 0 CHADLO Cc1nc(C[N@H+]2CCc3ccsc3[C@@H]2C)cs1 ZINC000880375968 1125269603 /nfs/dbraw/zinc/26/96/03/1125269603.db2.gz AUJNBWAYWUTFHT-VIFPVBQESA-N 1 2 264.419 3.632 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)n1)Cc1ccccc1F ZINC001139551808 1131615516 /nfs/dbraw/zinc/61/55/16/1131615516.db2.gz HHMQOFIPOUXVLH-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)n1)Cc1ccccc1F ZINC001139551808 1131615518 /nfs/dbraw/zinc/61/55/18/1131615518.db2.gz HHMQOFIPOUXVLH-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1sc(NC(C)(C)C)nc1Cl ZINC001140392592 1131711552 /nfs/dbraw/zinc/71/15/52/1131711552.db2.gz WLGRKSPZOCMEOC-MRVPVSSYSA-N 1 2 273.833 3.601 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1sc(NC(C)(C)C)nc1Cl ZINC001140392592 1131711554 /nfs/dbraw/zinc/71/15/54/1131711554.db2.gz WLGRKSPZOCMEOC-MRVPVSSYSA-N 1 2 273.833 3.601 20 0 CHADLO CC1(F)CC[NH+](Cc2cc(F)c(Cl)cc2F)CC1 ZINC001140699592 1131879370 /nfs/dbraw/zinc/87/93/70/1131879370.db2.gz VWOFPTDTUFXGGL-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO CCOc1ccc[nH+]c1NCc1c(Cl)cncc1Cl ZINC001158302801 1132769708 /nfs/dbraw/zinc/76/97/08/1132769708.db2.gz MHCMBEJFOVDDCF-UHFFFAOYSA-N 1 2 298.173 3.794 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(O)c2)nc(C2CC2)[nH+]1 ZINC000116260730 1125509060 /nfs/dbraw/zinc/50/90/60/1125509060.db2.gz MAHCGUFPJKTXOP-NSHDSACASA-N 1 2 269.348 3.541 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2cccc(Cl)c2)no1 ZINC000052077778 1125513734 /nfs/dbraw/zinc/51/37/34/1125513734.db2.gz DCLLQWYZQPIEGZ-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2cccc(Cl)c2)no1 ZINC000052077778 1125513738 /nfs/dbraw/zinc/51/37/38/1125513738.db2.gz DCLLQWYZQPIEGZ-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO C[N@@H+](Cc1csc(C2CCCCC2)n1)C[C@@H]1CCCO1 ZINC000052102936 1125514468 /nfs/dbraw/zinc/51/44/68/1125514468.db2.gz SYIFPKPDIBRHFL-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO C[N@H+](Cc1csc(C2CCCCC2)n1)C[C@@H]1CCCO1 ZINC000052102936 1125514471 /nfs/dbraw/zinc/51/44/71/1125514471.db2.gz SYIFPKPDIBRHFL-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1cc(C[N@H+]2CCS[C@@H](C)[C@@H]2c2ccccc2)no1 ZINC000118422812 1125523011 /nfs/dbraw/zinc/52/30/11/1125523011.db2.gz NJMDGXUKYWUXDN-XJKSGUPXSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cc(C[N@@H+]2CCS[C@@H](C)[C@@H]2c2ccccc2)no1 ZINC000118422812 1125523017 /nfs/dbraw/zinc/52/30/17/1125523017.db2.gz NJMDGXUKYWUXDN-XJKSGUPXSA-N 1 2 288.416 3.662 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@@H](C)c1csc(C(C)C)n1 ZINC000120607489 1125549740 /nfs/dbraw/zinc/54/97/40/1125549740.db2.gz QWBXLTHNRFSFBX-JTQLQIEISA-N 1 2 293.436 3.976 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCO[C@H](C(C)C)C1 ZINC001238682426 1131230874 /nfs/dbraw/zinc/23/08/74/1131230874.db2.gz YFLPTSXWBOCJGI-AWEZNQCLSA-N 1 2 299.867 3.919 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCO[C@H](C(C)C)C1 ZINC001238682426 1131230877 /nfs/dbraw/zinc/23/08/77/1131230877.db2.gz YFLPTSXWBOCJGI-AWEZNQCLSA-N 1 2 299.867 3.919 20 0 CHADLO C[N@H+](CCSc1ccc(F)cc1)Cc1cscn1 ZINC000195029929 1125559472 /nfs/dbraw/zinc/55/94/72/1125559472.db2.gz ZOURFXYZXFLABS-UHFFFAOYSA-N 1 2 282.409 3.506 20 0 CHADLO C[N@@H+](CCSc1ccc(F)cc1)Cc1cscn1 ZINC000195029929 1125559476 /nfs/dbraw/zinc/55/94/76/1125559476.db2.gz ZOURFXYZXFLABS-UHFFFAOYSA-N 1 2 282.409 3.506 20 0 CHADLO Cc1ccoc1C[N@@H+](C)[C@@H](C)c1nnc(-c2ccccc2)o1 ZINC000429412044 1125579957 /nfs/dbraw/zinc/57/99/57/1125579957.db2.gz XREXTFRYNKUSBP-ZDUSSCGKSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccoc1C[N@H+](C)[C@@H](C)c1nnc(-c2ccccc2)o1 ZINC000429412044 1125579961 /nfs/dbraw/zinc/57/99/61/1125579961.db2.gz XREXTFRYNKUSBP-ZDUSSCGKSA-N 1 2 297.358 3.831 20 0 CHADLO CCC[C@@H]([NH2+]Cc1ccc(F)cn1)c1ncccc1Cl ZINC000925286469 1125588521 /nfs/dbraw/zinc/58/85/21/1125588521.db2.gz VNVBTJKYBDJRPD-CQSZACIVSA-N 1 2 293.773 3.900 20 0 CHADLO C[C@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)C[C@H]1C ZINC000245856277 1125597057 /nfs/dbraw/zinc/59/70/57/1125597057.db2.gz DPNNIPVFKGBNKP-QWHCGFSZSA-N 1 2 277.412 3.595 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)C[C@H]1C ZINC000245856277 1125597062 /nfs/dbraw/zinc/59/70/62/1125597062.db2.gz DPNNIPVFKGBNKP-QWHCGFSZSA-N 1 2 277.412 3.595 20 0 CHADLO CC[C@@](C)(NCc1ccc(-n2cc[nH+]c2)cc1)C(F)(F)F ZINC000533389202 1125605024 /nfs/dbraw/zinc/60/50/24/1125605024.db2.gz FUXWZCOSUPXHAQ-CQSZACIVSA-N 1 2 297.324 3.693 20 0 CHADLO CC(C)CCOCC[N@H+]1C[C@@H](c2ccsc2)O[C@@H](C)C1 ZINC000246300013 1125618728 /nfs/dbraw/zinc/61/87/28/1125618728.db2.gz BYFSQIRGTVZBHN-HOCLYGCPSA-N 1 2 297.464 3.573 20 0 CHADLO CC(C)CCOCC[N@@H+]1C[C@@H](c2ccsc2)O[C@@H](C)C1 ZINC000246300013 1125618734 /nfs/dbraw/zinc/61/87/34/1125618734.db2.gz BYFSQIRGTVZBHN-HOCLYGCPSA-N 1 2 297.464 3.573 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1ccccc1Cl ZINC000066565206 1125623762 /nfs/dbraw/zinc/62/37/62/1125623762.db2.gz SAUQIYKDVOOGTJ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1ccccc1Cl ZINC000066565206 1125623766 /nfs/dbraw/zinc/62/37/66/1125623766.db2.gz SAUQIYKDVOOGTJ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO F[C@H]1C[N@H+](Cc2ccc3cccc(Cl)c3n2)C[C@@H]2C[C@@H]21 ZINC001137221396 1125624456 /nfs/dbraw/zinc/62/44/56/1125624456.db2.gz OALHIBRSLPAOHC-WHOFXGATSA-N 1 2 290.769 3.678 20 0 CHADLO F[C@H]1C[N@@H+](Cc2ccc3cccc(Cl)c3n2)C[C@@H]2C[C@@H]21 ZINC001137221396 1125624467 /nfs/dbraw/zinc/62/44/67/1125624467.db2.gz OALHIBRSLPAOHC-WHOFXGATSA-N 1 2 290.769 3.678 20 0 CHADLO CC[N@@H+](c1ccc(NCc2n[nH]c(C)c2C)cc1)C(C)C ZINC000885930607 1125627639 /nfs/dbraw/zinc/62/76/39/1125627639.db2.gz BSXRKIPQKWSKMM-UHFFFAOYSA-N 1 2 286.423 3.873 20 0 CHADLO CC[N@H+](c1ccc(NCc2n[nH]c(C)c2C)cc1)C(C)C ZINC000885930607 1125627641 /nfs/dbraw/zinc/62/76/41/1125627641.db2.gz BSXRKIPQKWSKMM-UHFFFAOYSA-N 1 2 286.423 3.873 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2ccc(Cl)cn2)n1 ZINC000886022480 1125637875 /nfs/dbraw/zinc/63/78/75/1125637875.db2.gz CJGBIZDZHZTGBP-UHFFFAOYSA-N 1 2 281.812 3.605 20 0 CHADLO Cc1cc(NCc2ccc(Cl)cn2)ccc1[NH+](C)C ZINC000886030902 1125639357 /nfs/dbraw/zinc/63/93/57/1125639357.db2.gz XELAHJCUPPTGKD-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO c1coc(C[N@H+](Cc2ccccc2)Cc2cccnc2)c1 ZINC000073882791 1125639786 /nfs/dbraw/zinc/63/97/86/1125639786.db2.gz WFDFVASPLUPKBS-UHFFFAOYSA-N 1 2 278.355 3.877 20 0 CHADLO c1coc(C[N@@H+](Cc2ccccc2)Cc2cccnc2)c1 ZINC000073882791 1125639790 /nfs/dbraw/zinc/63/97/90/1125639790.db2.gz WFDFVASPLUPKBS-UHFFFAOYSA-N 1 2 278.355 3.877 20 0 CHADLO Cc1cnc(C[N@H+]2CCS[C@@H](C)[C@H]2c2ccccc2)o1 ZINC000246956329 1125651629 /nfs/dbraw/zinc/65/16/29/1125651629.db2.gz AWVGRFFMCFSDHI-BBRMVZONSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cnc(C[N@@H+]2CCS[C@@H](C)[C@H]2c2ccccc2)o1 ZINC000246956329 1125651633 /nfs/dbraw/zinc/65/16/33/1125651633.db2.gz AWVGRFFMCFSDHI-BBRMVZONSA-N 1 2 288.416 3.662 20 0 CHADLO Clc1cscc1C[NH2+]Cc1nc2c(s1)CCC2 ZINC000886198906 1125661307 /nfs/dbraw/zinc/66/13/07/1125661307.db2.gz DEKIQOPEDQMZAR-UHFFFAOYSA-N 1 2 284.837 3.637 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1CC(F)(F)F)c1ncco1 ZINC000886272662 1125666078 /nfs/dbraw/zinc/66/60/78/1125666078.db2.gz YKRWCFXTDIRDKG-SNVBAGLBSA-N 1 2 284.281 3.630 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CC[C@@H]2CC)c1 ZINC000348377299 1125667155 /nfs/dbraw/zinc/66/71/55/1125667155.db2.gz MHJGVGPQXWHBFY-STQMWFEESA-N 1 2 250.411 3.935 20 0 CHADLO Cc1cc(N2C[C@@H](C)C[C@H]2c2cccnc2)nc(C2CC2)[nH+]1 ZINC000248570074 1125667502 /nfs/dbraw/zinc/66/75/02/1125667502.db2.gz LUAKHXUNKBJKTM-LRDDRELGSA-N 1 2 294.402 3.645 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CC[C@@H]2C2CC2)c1 ZINC000348379685 1125670077 /nfs/dbraw/zinc/67/00/77/1125670077.db2.gz OWBVPWTYFQDBJQ-ZIAGYGMSSA-N 1 2 262.422 3.935 20 0 CHADLO Cc1nc(C)c(C[NH2+]C(C)(C)c2ccc(F)cc2F)o1 ZINC000886349866 1125672352 /nfs/dbraw/zinc/67/23/52/1125672352.db2.gz BUJUHVJHNZQFBV-UHFFFAOYSA-N 1 2 280.318 3.595 20 0 CHADLO c1csc(-c2nc(C[NH2+][C@H]3CCc4cccnc43)co2)c1 ZINC000886512341 1125681001 /nfs/dbraw/zinc/68/10/01/1125681001.db2.gz CLXDHSWPGORCSC-ZDUSSCGKSA-N 1 2 297.383 3.575 20 0 CHADLO C[C@H]([NH2+]Cc1ccco1)c1nc(-c2ccncc2)cs1 ZINC000076895513 1125687281 /nfs/dbraw/zinc/68/72/81/1125687281.db2.gz KYOADAWBZMJJHM-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348391072 1125690209 /nfs/dbraw/zinc/69/02/09/1125690209.db2.gz RBPXWZPIMSVFRZ-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348391072 1125690215 /nfs/dbraw/zinc/69/02/15/1125690215.db2.gz RBPXWZPIMSVFRZ-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO CCSCc1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000077664450 1125698925 /nfs/dbraw/zinc/69/89/25/1125698925.db2.gz KZQBJNWSDZPMPD-UHFFFAOYSA-N 1 2 286.400 3.895 20 0 CHADLO CCCn1c(C)nn(C[N@H+](C)CC2CCCCC2)c1=S ZINC000179266259 1125707511 /nfs/dbraw/zinc/70/75/11/1125707511.db2.gz MYLCWHUKXUKZKW-UHFFFAOYSA-N 1 2 296.484 3.602 20 0 CHADLO CCCn1c(C)nn(C[N@@H+](C)CC2CCCCC2)c1=S ZINC000179266259 1125707517 /nfs/dbraw/zinc/70/75/17/1125707517.db2.gz MYLCWHUKXUKZKW-UHFFFAOYSA-N 1 2 296.484 3.602 20 0 CHADLO Cc1cc(C)cc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC000161818386 1125713924 /nfs/dbraw/zinc/71/39/24/1125713924.db2.gz NBLUUVRLJVAQEW-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2cnccc2Cl)C1 ZINC001137235206 1125728701 /nfs/dbraw/zinc/72/87/01/1125728701.db2.gz GLSIXMNQTNCBES-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2cnccc2Cl)C1 ZINC001137235206 1125728708 /nfs/dbraw/zinc/72/87/08/1125728708.db2.gz GLSIXMNQTNCBES-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO Brc1cccc2c(N3CCCCC3)cc[nH+]c12 ZINC000259855121 1125731643 /nfs/dbraw/zinc/73/16/43/1125731643.db2.gz MLIDGACUTGMYIW-UHFFFAOYSA-N 1 2 291.192 3.988 20 0 CHADLO OCc1ccc(C[N@H+](Cc2ccco2)Cc2ccccc2)o1 ZINC000162210599 1125741816 /nfs/dbraw/zinc/74/18/16/1125741816.db2.gz MXMLIRGWBHZLQT-UHFFFAOYSA-N 1 2 297.354 3.567 20 0 CHADLO OCc1ccc(C[N@@H+](Cc2ccco2)Cc2ccccc2)o1 ZINC000162210599 1125741820 /nfs/dbraw/zinc/74/18/20/1125741820.db2.gz MXMLIRGWBHZLQT-UHFFFAOYSA-N 1 2 297.354 3.567 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1C[C@@H](C)OC[C@@H]1C ZINC001238678941 1131231400 /nfs/dbraw/zinc/23/14/00/1131231400.db2.gz AUAHJFYWNBCRMR-WDEREUQCSA-N 1 2 285.840 3.671 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1C[C@@H](C)OC[C@@H]1C ZINC001238678941 1131231405 /nfs/dbraw/zinc/23/14/05/1131231405.db2.gz AUAHJFYWNBCRMR-WDEREUQCSA-N 1 2 285.840 3.671 20 0 CHADLO Fc1cnccc1C[N@@H+]1Cc2ccccc2OC2(CCC2)C1 ZINC000887149999 1125756207 /nfs/dbraw/zinc/75/62/07/1125756207.db2.gz SFWVERUKTSCKEP-UHFFFAOYSA-N 1 2 298.361 3.538 20 0 CHADLO Fc1cnccc1C[N@H+]1Cc2ccccc2OC2(CCC2)C1 ZINC000887149999 1125756212 /nfs/dbraw/zinc/75/62/12/1125756212.db2.gz SFWVERUKTSCKEP-UHFFFAOYSA-N 1 2 298.361 3.538 20 0 CHADLO CCc1noc([C@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)n1 ZINC000092822249 1125762881 /nfs/dbraw/zinc/76/28/81/1125762881.db2.gz RBEPRMDKSXTBLO-AWEZNQCLSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1noc([C@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)n1 ZINC000092822249 1125762885 /nfs/dbraw/zinc/76/28/85/1125762885.db2.gz RBEPRMDKSXTBLO-AWEZNQCLSA-N 1 2 297.402 3.791 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[NH+]1CC2(C1)CC(F)(F)C2 ZINC001238699293 1131234333 /nfs/dbraw/zinc/23/43/33/1131234333.db2.gz ZPULQMOYJHHBJA-UHFFFAOYSA-N 1 2 299.336 3.844 20 0 CHADLO CCC(F)(F)C[NH+]1CCC(OCc2ccccc2)CC1 ZINC000639433213 1129313742 /nfs/dbraw/zinc/31/37/42/1129313742.db2.gz LKBVTFDJTZFKHO-UHFFFAOYSA-N 1 2 283.362 3.713 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@@H+]1CCC[C@@H]1c1ccsc1 ZINC000741776982 1129452075 /nfs/dbraw/zinc/45/20/75/1129452075.db2.gz RNBDKBQIQYWYIS-TZMCWYRMSA-N 1 2 281.421 3.617 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@H+]1CCC[C@@H]1c1ccsc1 ZINC000741776982 1129452078 /nfs/dbraw/zinc/45/20/78/1129452078.db2.gz RNBDKBQIQYWYIS-TZMCWYRMSA-N 1 2 281.421 3.617 20 0 CHADLO OCC[C@@H]([NH2+]Cc1ccsc1Cl)c1ccccc1F ZINC000647249950 1129560300 /nfs/dbraw/zinc/56/03/00/1129560300.db2.gz WVQOUNGSGFQSKT-CYBMUJFWSA-N 1 2 299.798 3.754 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1cc(C)cnc1SC ZINC001238717981 1131237435 /nfs/dbraw/zinc/23/74/35/1131237435.db2.gz HBVFHEAVCGXMTA-UHFFFAOYSA-N 1 2 287.432 3.529 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1cc(C)cnc1SC ZINC001238717981 1131237444 /nfs/dbraw/zinc/23/74/44/1131237444.db2.gz HBVFHEAVCGXMTA-UHFFFAOYSA-N 1 2 287.432 3.529 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccncc1F)c1ccc(Cl)cn1 ZINC000338023336 1126712191 /nfs/dbraw/zinc/71/21/91/1126712191.db2.gz RYEQPOMRLPNUEH-NXEZZACHSA-N 1 2 279.746 3.681 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2cccc(C3CCCC3)c2)n1 ZINC000668050419 1126757501 /nfs/dbraw/zinc/75/75/01/1126757501.db2.gz QHBPAURIHCPSDV-GFCCVEGCSA-N 1 2 285.391 3.886 20 0 CHADLO CCc1noc(C)c1C[N@H+](C)Cc1ccc(Cl)s1 ZINC000162531206 1126778430 /nfs/dbraw/zinc/77/84/30/1126778430.db2.gz LBFWWOFWRDEBAY-UHFFFAOYSA-N 1 2 284.812 3.892 20 0 CHADLO CCc1noc(C)c1C[N@@H+](C)Cc1ccc(Cl)s1 ZINC000162531206 1126778432 /nfs/dbraw/zinc/77/84/32/1126778432.db2.gz LBFWWOFWRDEBAY-UHFFFAOYSA-N 1 2 284.812 3.892 20 0 CHADLO CCCOc1ccc(C(C)(C)[NH2+]Cc2ncc(C)o2)cc1 ZINC000483262154 1126775997 /nfs/dbraw/zinc/77/59/97/1126775997.db2.gz KLLOFPCQGOLUMM-UHFFFAOYSA-N 1 2 288.391 3.797 20 0 CHADLO C/C=C(\C)C(=O)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000175670065 1126784976 /nfs/dbraw/zinc/78/49/76/1126784976.db2.gz AOSVKMNSFNCXGT-QLKAYGNNSA-N 1 2 295.386 3.791 20 0 CHADLO COc1cccc2c1CC[N@H+](Cc1ccsc1Cl)C2 ZINC000483323570 1126781475 /nfs/dbraw/zinc/78/14/75/1126781475.db2.gz WCQXTYZVHZUCSI-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc2c1CC[N@@H+](Cc1ccsc1Cl)C2 ZINC000483323570 1126781477 /nfs/dbraw/zinc/78/14/77/1126781477.db2.gz WCQXTYZVHZUCSI-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO C[N@H+](Cc1c(Cl)ccc(F)c1Cl)[C@H]1CCCOC1 ZINC001137359799 1130484612 /nfs/dbraw/zinc/48/46/12/1130484612.db2.gz ZRHWHTKRQIAVBA-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO C[N@@H+](Cc1c(Cl)ccc(F)c1Cl)[C@H]1CCCOC1 ZINC001137359799 1130484617 /nfs/dbraw/zinc/48/46/17/1130484617.db2.gz ZRHWHTKRQIAVBA-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)sc1C ZINC000176316564 1126790090 /nfs/dbraw/zinc/79/00/90/1126790090.db2.gz TWLGFPLJEOROMN-JTQLQIEISA-N 1 2 278.343 3.534 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)sc1C ZINC000176316564 1126790094 /nfs/dbraw/zinc/79/00/94/1126790094.db2.gz TWLGFPLJEOROMN-JTQLQIEISA-N 1 2 278.343 3.534 20 0 CHADLO Cc1nc(-c2ccccc2)sc1[C@H](C)[NH2+]Cc1ccon1 ZINC000178263388 1126810768 /nfs/dbraw/zinc/81/07/68/1126810768.db2.gz RYGCOPGNMLJMKF-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cc(C)ccc2F)s1 ZINC000179380745 1126827399 /nfs/dbraw/zinc/82/73/99/1126827399.db2.gz LZSQWBHRPKQKJL-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cc(C)ccc2F)s1 ZINC000179380745 1126827403 /nfs/dbraw/zinc/82/74/03/1126827403.db2.gz LZSQWBHRPKQKJL-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1ccc(Cl)cc1F ZINC000179490601 1126827987 /nfs/dbraw/zinc/82/79/87/1126827987.db2.gz IDHQDHNKVSGLOI-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1ccc(Cl)cc1F ZINC000179490601 1126827989 /nfs/dbraw/zinc/82/79/89/1126827989.db2.gz IDHQDHNKVSGLOI-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO CC(C)n1ccc(C[NH2+]C2(c3cccc(Cl)c3)CC2)n1 ZINC000179679047 1126831986 /nfs/dbraw/zinc/83/19/86/1126831986.db2.gz YPZHUYIQAPJZQQ-UHFFFAOYSA-N 1 2 289.810 3.896 20 0 CHADLO FC(F)(F)c1cccc(C2([NH2+]Cc3ccon3)CCC2)c1 ZINC000179999287 1126837769 /nfs/dbraw/zinc/83/77/69/1126837769.db2.gz XWPORIZDJBITGZ-UHFFFAOYSA-N 1 2 296.292 3.862 20 0 CHADLO CCCc1noc(C[NH2+]C(C)(C)c2ccc(CC)cc2)n1 ZINC000180095393 1126839621 /nfs/dbraw/zinc/83/96/21/1126839621.db2.gz RADZXAYOXYUORD-UHFFFAOYSA-N 1 2 287.407 3.609 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc([C@@H]2CCC(C)=C(C)C2)n1 ZINC000904263058 1126840660 /nfs/dbraw/zinc/84/06/60/1126840660.db2.gz PPRLCBXZQNKGSD-GFCCVEGCSA-N 1 2 284.363 3.626 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc([C@@H](C)CC2CCCC2)n1 ZINC000904270299 1126840851 /nfs/dbraw/zinc/84/08/51/1126840851.db2.gz YYUSOFXJNNWNSZ-NSHDSACASA-N 1 2 286.379 3.706 20 0 CHADLO Cc1cnc(C[N@H+](Cc2cccc(F)c2)C2CC2)s1 ZINC000180440669 1126844752 /nfs/dbraw/zinc/84/47/52/1126844752.db2.gz JLBHNXLIAWUNKP-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2cccc(F)c2)C2CC2)s1 ZINC000180440669 1126844755 /nfs/dbraw/zinc/84/47/55/1126844755.db2.gz JLBHNXLIAWUNKP-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO c1cn(-c2ccc(Cc3nc(C4CCCC4)no3)cc2)c[nH+]1 ZINC000904353470 1126847855 /nfs/dbraw/zinc/84/78/55/1126847855.db2.gz MMEZZFJCUXYGQP-UHFFFAOYSA-N 1 2 294.358 3.504 20 0 CHADLO CC(C)CCOc1ccc([C@H](C)[NH2+]Cc2cocn2)cc1 ZINC000182064460 1126865086 /nfs/dbraw/zinc/86/50/86/1126865086.db2.gz BPCYHGINXRIOLC-AWEZNQCLSA-N 1 2 288.391 3.950 20 0 CHADLO Cc1csc([C@@H](C)CNc2cc(C)[nH+]c(C3CC3)n2)n1 ZINC000182593532 1126875065 /nfs/dbraw/zinc/87/50/65/1126875065.db2.gz KAPPUYLQEWZQAZ-VIFPVBQESA-N 1 2 288.420 3.643 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1cncc(F)c1 ZINC000182955163 1126879910 /nfs/dbraw/zinc/87/99/10/1126879910.db2.gz DHTRUAAQZLJFCD-GFCCVEGCSA-N 1 2 285.366 3.776 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1cncc(F)c1 ZINC000182955163 1126879912 /nfs/dbraw/zinc/87/99/12/1126879912.db2.gz DHTRUAAQZLJFCD-GFCCVEGCSA-N 1 2 285.366 3.776 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1noc(C2CC2)n1)C(C)C ZINC000183201229 1126883716 /nfs/dbraw/zinc/88/37/16/1126883716.db2.gz CZFHFIZVRHJUIH-MRXNPFEDSA-N 1 2 285.391 3.742 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1noc(C(C)C)n1)C(C)C ZINC000183214699 1126884091 /nfs/dbraw/zinc/88/40/91/1126884091.db2.gz CGAIVRJUDKGDSI-MRXNPFEDSA-N 1 2 287.407 3.988 20 0 CHADLO CC(C)[C@@H]1C[C@H](Nc2c[nH+]cc3c2CCCC3)CCO1 ZINC000668440610 1126891679 /nfs/dbraw/zinc/89/16/79/1126891679.db2.gz OWTPKHMBEFEICK-PBHICJAKSA-N 1 2 274.408 3.576 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)c1C ZINC000183730414 1126892122 /nfs/dbraw/zinc/89/21/22/1126892122.db2.gz LCDYGZMQPPBVHS-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO COc1c(C)c[nH+]c(CSC[C@@H]2CCO[C@H](C)C2)c1C ZINC000485572370 1126928004 /nfs/dbraw/zinc/92/80/04/1126928004.db2.gz SMJBRULPDGFHCF-TZMCWYRMSA-N 1 2 295.448 3.755 20 0 CHADLO Cc1ncn(C)c1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC001235554952 1130755040 /nfs/dbraw/zinc/75/50/40/1130755040.db2.gz GOVYYRMCNXCGFY-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ncn(C)c1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC001235554952 1130755045 /nfs/dbraw/zinc/75/50/45/1130755045.db2.gz GOVYYRMCNXCGFY-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO CC(C)c1[nH+]cc(-c2cccc3scnc32)n1C ZINC000906205802 1126938044 /nfs/dbraw/zinc/93/80/44/1126938044.db2.gz WFPUVGZKTLFHKJ-UHFFFAOYSA-N 1 2 257.362 3.820 20 0 CHADLO C[C@H]1CCC[C@H]([N@H+](C)Cc2nnc(C(F)(F)F)s2)C1 ZINC000659842789 1126943522 /nfs/dbraw/zinc/94/35/22/1126943522.db2.gz UKMVGCOTVPORLN-IUCAKERBSA-N 1 2 293.358 3.567 20 0 CHADLO C[C@H]1CCC[C@H]([N@@H+](C)Cc2nnc(C(F)(F)F)s2)C1 ZINC000659842789 1126943524 /nfs/dbraw/zinc/94/35/24/1126943524.db2.gz UKMVGCOTVPORLN-IUCAKERBSA-N 1 2 293.358 3.567 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@@H](C2CC2)C1 ZINC000485798136 1126953728 /nfs/dbraw/zinc/95/37/28/1126953728.db2.gz MWLUIJYIRIMICM-JKSUJKDBSA-N 1 2 281.346 3.527 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1CCO[C@@H](C2CC2)C1 ZINC000485798136 1126953732 /nfs/dbraw/zinc/95/37/32/1126953732.db2.gz MWLUIJYIRIMICM-JKSUJKDBSA-N 1 2 281.346 3.527 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2cocn2)o1 ZINC000191944750 1126956481 /nfs/dbraw/zinc/95/64/81/1126956481.db2.gz PUBVTYAWKWCIDG-HNNXBMFYSA-N 1 2 274.364 3.947 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2cocn2)o1 ZINC000191944750 1126956482 /nfs/dbraw/zinc/95/64/82/1126956482.db2.gz PUBVTYAWKWCIDG-HNNXBMFYSA-N 1 2 274.364 3.947 20 0 CHADLO C[C@H]1CO[C@H](c2ccccc2Cl)CN1c1cccc[nH+]1 ZINC000192660744 1126963449 /nfs/dbraw/zinc/96/34/49/1126963449.db2.gz FDVISFZLRITBKS-WFASDCNBSA-N 1 2 288.778 3.701 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1sccc1Cl ZINC000194481074 1126974662 /nfs/dbraw/zinc/97/46/62/1126974662.db2.gz KEAUWWHYDFYGCV-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1sccc1Cl ZINC000194481074 1126974667 /nfs/dbraw/zinc/97/46/67/1126974667.db2.gz KEAUWWHYDFYGCV-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2ccc3occ(C)c3c2)c[nH+]1 ZINC000907493494 1126979143 /nfs/dbraw/zinc/97/91/43/1126979143.db2.gz QYAILOPKDGZODG-UHFFFAOYSA-N 1 2 297.358 3.620 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)nc(C)n1 ZINC000659847976 1126994217 /nfs/dbraw/zinc/99/42/17/1126994217.db2.gz UOHBVMFZMGPXEC-GFCCVEGCSA-N 1 2 289.810 3.940 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2ccccc2Cl)nc(C)n1 ZINC000659847976 1126994220 /nfs/dbraw/zinc/99/42/20/1126994220.db2.gz UOHBVMFZMGPXEC-GFCCVEGCSA-N 1 2 289.810 3.940 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2ccc(F)cc2)no1 ZINC000267651638 1127003820 /nfs/dbraw/zinc/00/38/20/1127003820.db2.gz LIKYYFBTCJUWGX-MRXNPFEDSA-N 1 2 274.339 3.849 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2ccc(F)cc2)no1 ZINC000267651638 1127003823 /nfs/dbraw/zinc/00/38/23/1127003823.db2.gz LIKYYFBTCJUWGX-MRXNPFEDSA-N 1 2 274.339 3.849 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)n1 ZINC000269667216 1127044188 /nfs/dbraw/zinc/04/41/88/1127044188.db2.gz ZIIMKUQBFAMVJM-KRWDZBQOSA-N 1 2 286.423 3.909 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)n1 ZINC000269667216 1127044192 /nfs/dbraw/zinc/04/41/92/1127044192.db2.gz ZIIMKUQBFAMVJM-KRWDZBQOSA-N 1 2 286.423 3.909 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(F)cc2C)s1 ZINC000487990333 1127051193 /nfs/dbraw/zinc/05/11/93/1127051193.db2.gz SLJRHSNLUQCJNN-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(F)cc2C)s1 ZINC000487990333 1127051195 /nfs/dbraw/zinc/05/11/95/1127051195.db2.gz SLJRHSNLUQCJNN-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO c1nc(C[N@H+](Cc2ccccc2)C2CC2)oc1C1CC1 ZINC000270607955 1127058390 /nfs/dbraw/zinc/05/83/90/1127058390.db2.gz MUEMOCCXVDYFDV-UHFFFAOYSA-N 1 2 268.360 3.717 20 0 CHADLO c1nc(C[N@@H+](Cc2ccccc2)C2CC2)oc1C1CC1 ZINC000270607955 1127058395 /nfs/dbraw/zinc/05/83/95/1127058395.db2.gz MUEMOCCXVDYFDV-UHFFFAOYSA-N 1 2 268.360 3.717 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000270642302 1127060038 /nfs/dbraw/zinc/06/00/38/1127060038.db2.gz RYUBIDILIKLGJD-LBPRGKRZSA-N 1 2 256.349 3.745 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000270642302 1127060042 /nfs/dbraw/zinc/06/00/42/1127060042.db2.gz RYUBIDILIKLGJD-LBPRGKRZSA-N 1 2 256.349 3.745 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cnc2ccccc2c1 ZINC000271025347 1127064636 /nfs/dbraw/zinc/06/46/36/1127064636.db2.gz VXZIHKRFIKATRH-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cnc2ccccc2c1 ZINC000271025347 1127064639 /nfs/dbraw/zinc/06/46/39/1127064639.db2.gz VXZIHKRFIKATRH-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO Cc1ccc(OC(F)F)c(C[NH2+][C@@H](C)c2cscn2)c1 ZINC000271193682 1127071658 /nfs/dbraw/zinc/07/16/58/1127071658.db2.gz XZCXUBHEOQNNLN-JTQLQIEISA-N 1 2 298.358 3.904 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@H](C)c1cscn1 ZINC000271202095 1127072065 /nfs/dbraw/zinc/07/20/65/1127072065.db2.gz ZNDLKEGJZBYZAD-VXGBXAGGSA-N 1 2 276.405 3.954 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCOc2ccccc21)c1cscn1 ZINC000271184567 1127072630 /nfs/dbraw/zinc/07/26/30/1127072630.db2.gz WLNADJIZKXRAOI-YPMHNXCESA-N 1 2 274.389 3.708 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2cn[nH]c21)c1ccccc1Cl ZINC000271429184 1127080898 /nfs/dbraw/zinc/08/08/98/1127080898.db2.gz ARHOWNXSTHCAEF-QMTHXVAHSA-N 1 2 275.783 3.791 20 0 CHADLO CC(C)c1cccc(C(C)C)c1NC(=O)C[C@H]([NH3+])C(F)F ZINC000911915902 1127089169 /nfs/dbraw/zinc/08/91/69/1127089169.db2.gz KKZVMTYOKTVAPF-ZDUSSCGKSA-N 1 2 298.377 3.854 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(SC)s1)c1ccccc1 ZINC000271681460 1127097278 /nfs/dbraw/zinc/09/72/78/1127097278.db2.gz OYYFNCPBUIWOQG-NSHDSACASA-N 1 2 279.434 3.501 20 0 CHADLO CCc1nc(C)c([C@H](C)[NH2+][C@@H](C)c2nnc(C)s2)s1 ZINC000274148346 1127109622 /nfs/dbraw/zinc/10/96/22/1127109622.db2.gz DYMKZUHEKHSMRX-CBAPKCEASA-N 1 2 296.465 3.586 20 0 CHADLO COc1ccccc1[C@H]1CC[C@@H](C)C[N@@H+]1Cc1cn[nH]c1C ZINC000348716662 1127125434 /nfs/dbraw/zinc/12/54/34/1127125434.db2.gz SPFITEQSMLUOKA-CXAGYDPISA-N 1 2 299.418 3.700 20 0 CHADLO COc1ccccc1[C@H]1CC[C@@H](C)C[N@H+]1Cc1cn[nH]c1C ZINC000348716662 1127125437 /nfs/dbraw/zinc/12/54/37/1127125437.db2.gz SPFITEQSMLUOKA-CXAGYDPISA-N 1 2 299.418 3.700 20 0 CHADLO C[N@H+](Cc1csc(Cl)c1Cl)[C@H]1CCCOC1 ZINC000348708251 1127125643 /nfs/dbraw/zinc/12/56/43/1127125643.db2.gz KRYKUMQDHBUERX-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO C[N@@H+](Cc1csc(Cl)c1Cl)[C@H]1CCCOC1 ZINC000348708251 1127125644 /nfs/dbraw/zinc/12/56/44/1127125644.db2.gz KRYKUMQDHBUERX-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO C[C@@H]1CC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C[C@@H]1C ZINC000348837027 1127130068 /nfs/dbraw/zinc/13/00/68/1127130068.db2.gz ZKEYKBLDIZDHLC-YCPHGPKFSA-N 1 2 284.407 3.563 20 0 CHADLO C[C@H]1CC(C)(C)CC[C@@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348838089 1127130166 /nfs/dbraw/zinc/13/01/66/1127130166.db2.gz MBCANXMRQPUGQE-HOCLYGCPSA-N 1 2 298.434 3.953 20 0 CHADLO C[C@H](Nc1ccc(Cn2cc[nH+]c2)cn1)C1C(C)(C)C1(C)C ZINC000348834518 1127130560 /nfs/dbraw/zinc/13/05/60/1127130560.db2.gz QPXJEUDMYVYCPZ-ZDUSSCGKSA-N 1 2 298.434 3.809 20 0 CHADLO C[C@@H]1CC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)[C@H](C)C1 ZINC000348837044 1127130571 /nfs/dbraw/zinc/13/05/71/1127130571.db2.gz ZNLBKKKPORXWQJ-IIAWOOMASA-N 1 2 284.407 3.563 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)cc2cccnc21)c1ccon1 ZINC000349238462 1127144074 /nfs/dbraw/zinc/14/40/74/1127144074.db2.gz JSQMVSLMHCOJHJ-SNVBAGLBSA-N 1 2 287.750 3.727 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)c(Cl)c1)c1ccon1 ZINC000349239793 1127144126 /nfs/dbraw/zinc/14/41/26/1127144126.db2.gz LDDSDSITWSOFAI-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO CCSc1cccc(CNc2ccc(N(C)C)[nH+]c2)c1 ZINC000349103666 1127139982 /nfs/dbraw/zinc/13/99/82/1127139982.db2.gz PSENXVJUJOFFSP-UHFFFAOYSA-N 1 2 287.432 3.872 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CCC[C@@H]2c2ccsc2)s1 ZINC000283606254 1127146261 /nfs/dbraw/zinc/14/62/61/1127146261.db2.gz QTFMNFYIZAMJHN-JOYOIKCWSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CCC[C@@H]2c2ccsc2)s1 ZINC000283606254 1127146262 /nfs/dbraw/zinc/14/62/62/1127146262.db2.gz QTFMNFYIZAMJHN-JOYOIKCWSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@H+]1CCC(F)(F)[C@@H](F)C1 ZINC001237318888 1131081253 /nfs/dbraw/zinc/08/12/53/1131081253.db2.gz WGYIMKUSILATJY-ZDUSSCGKSA-N 1 2 275.289 3.622 20 0 CHADLO CCc1ccc(C[NH2+]Cc2nc3ccccc3n2CC)o1 ZINC000760669780 1127162863 /nfs/dbraw/zinc/16/28/63/1127162863.db2.gz NFFDFYQLNZJZIH-UHFFFAOYSA-N 1 2 283.375 3.501 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc3ccc(Cl)cn23)o1 ZINC000350096189 1127172958 /nfs/dbraw/zinc/17/29/58/1127172958.db2.gz BEGYDNVBUUWALT-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc3ccc(Cl)cn23)o1 ZINC000350096189 1127172961 /nfs/dbraw/zinc/17/29/61/1127172961.db2.gz BEGYDNVBUUWALT-UHFFFAOYSA-N 1 2 289.766 3.521 20 0 CHADLO C[C@H](CC(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1F ZINC000350146853 1127174082 /nfs/dbraw/zinc/17/40/82/1127174082.db2.gz NBTBWOAREMVBAV-GFCCVEGCSA-N 1 2 297.333 3.606 20 0 CHADLO C[N@H+](Cc1c(Cl)cc(F)cc1Cl)[C@H]1CCCOC1 ZINC001237603382 1131118239 /nfs/dbraw/zinc/11/82/39/1131118239.db2.gz RYBJLENWPJRZOD-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO C[N@@H+](Cc1c(Cl)cc(F)cc1Cl)[C@H]1CCCOC1 ZINC001237603382 1131118240 /nfs/dbraw/zinc/11/82/40/1131118240.db2.gz RYBJLENWPJRZOD-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO CCCC[C@H](C)[C@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000572080862 1127190961 /nfs/dbraw/zinc/19/09/61/1127190961.db2.gz OTLAXMPDGWZQBH-GJZGRUSLSA-N 1 2 286.423 3.953 20 0 CHADLO COc1ccc(F)c(C[N@@H+]2CCc3ccc(F)cc3C2)c1 ZINC000351588383 1127196252 /nfs/dbraw/zinc/19/62/52/1127196252.db2.gz HMOFDRWHSCFXIG-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(F)c(C[N@H+]2CCc3ccc(F)cc3C2)c1 ZINC000351588383 1127196255 /nfs/dbraw/zinc/19/62/55/1127196255.db2.gz HMOFDRWHSCFXIG-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@]23CCOC3)c(Cl)cc1Cl ZINC001237700684 1131130083 /nfs/dbraw/zinc/13/00/83/1131130083.db2.gz YFRYQSAFPOPEBH-AWEZNQCLSA-N 1 2 286.202 3.667 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@]23CCOC3)c(Cl)cc1Cl ZINC001237700684 1131130085 /nfs/dbraw/zinc/13/00/85/1131130085.db2.gz YFRYQSAFPOPEBH-AWEZNQCLSA-N 1 2 286.202 3.667 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc(Sc3ccccn3)o2)C1 ZINC000351820645 1127210467 /nfs/dbraw/zinc/21/04/67/1127210467.db2.gz AOWUSIZWKILNHT-HNNXBMFYSA-N 1 2 292.379 3.760 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc(Sc3ccccn3)o2)C1 ZINC000351820645 1127210470 /nfs/dbraw/zinc/21/04/70/1127210470.db2.gz AOWUSIZWKILNHT-HNNXBMFYSA-N 1 2 292.379 3.760 20 0 CHADLO CC(C)[C@H](Nc1cc(N2CCCC2)nc[nH+]1)c1ccccc1 ZINC000572773777 1127227255 /nfs/dbraw/zinc/22/72/55/1127227255.db2.gz GPFMKMVXGJJNIR-SFHVURJKSA-N 1 2 296.418 3.886 20 0 CHADLO CC(C)[C@H](Nc1cc(N2CCCC2)[nH+]cn1)c1ccccc1 ZINC000572773777 1127227257 /nfs/dbraw/zinc/22/72/57/1127227257.db2.gz GPFMKMVXGJJNIR-SFHVURJKSA-N 1 2 296.418 3.886 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+]Cc2n[nH]c3ccccc32)cc1 ZINC000589639097 1127232322 /nfs/dbraw/zinc/23/23/22/1127232322.db2.gz NEORQYNXGYNLFT-ZDUSSCGKSA-N 1 2 295.386 3.812 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2nn(C)c3ccccc23)o1 ZINC000589643442 1127232576 /nfs/dbraw/zinc/23/25/76/1127232576.db2.gz XJMRPPBUKUNCPS-DOMZBBRYSA-N 1 2 295.386 3.580 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCc3cc(F)ccc32)cc1 ZINC000165512209 1127234757 /nfs/dbraw/zinc/23/47/57/1127234757.db2.gz CWHDEWQPPXQPBX-QGZVFWFLSA-N 1 2 270.351 3.991 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2cc3ccccc3o2)n1 ZINC000285534943 1127235783 /nfs/dbraw/zinc/23/57/83/1127235783.db2.gz FSDOEXKMTRMPFI-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2cc3ccccc3o2)n1 ZINC000285534943 1127235784 /nfs/dbraw/zinc/23/57/84/1127235784.db2.gz FSDOEXKMTRMPFI-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cncc1F)c1ccc(Cl)nc1 ZINC000285775679 1127242978 /nfs/dbraw/zinc/24/29/78/1127242978.db2.gz UGRGRJDGHCZURU-RKDXNWHRSA-N 1 2 297.736 3.820 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(F)c(O)c(Cl)c2)C2(CC2)C1 ZINC001237994017 1131159139 /nfs/dbraw/zinc/15/91/39/1131159139.db2.gz YZJBIYPAAIXVPR-SECBINFHSA-N 1 2 269.747 3.559 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(F)c(O)c(Cl)c2)C2(CC2)C1 ZINC001237994017 1131159142 /nfs/dbraw/zinc/15/91/42/1131159142.db2.gz YZJBIYPAAIXVPR-SECBINFHSA-N 1 2 269.747 3.559 20 0 CHADLO Cc1oc(C(C)C)cc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000286181707 1127262028 /nfs/dbraw/zinc/26/20/28/1127262028.db2.gz XDHSGVGRNCNKCL-UHFFFAOYSA-N 1 2 258.321 3.667 20 0 CHADLO CCC[C@H](CC1CCCCC1)c1nnc(C[NH+](C)C)o1 ZINC001322583039 1127268227 /nfs/dbraw/zinc/26/82/27/1127268227.db2.gz LIMBWOXLNMFZPG-CQSZACIVSA-N 1 2 279.428 3.985 20 0 CHADLO C[C@@H](CC(=O)N1CCC[C@H](n2cc[nH+]c2)C1)CC(C)(C)C ZINC000590728522 1127268591 /nfs/dbraw/zinc/26/85/91/1127268591.db2.gz VHXIDNZNGMFHEB-GJZGRUSLSA-N 1 2 291.439 3.509 20 0 CHADLO Fc1ccc(F)c2c1CC[N@H+](Cc1ccccc1)C2 ZINC000354011750 1127284901 /nfs/dbraw/zinc/28/49/01/1127284901.db2.gz UMIHMLCROJZSIK-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1ccc(F)c2c1CC[N@@H+](Cc1ccccc1)C2 ZINC000354011750 1127284903 /nfs/dbraw/zinc/28/49/03/1127284903.db2.gz UMIHMLCROJZSIK-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc[nH]2)c(Br)c1 ZINC000354025292 1127286238 /nfs/dbraw/zinc/28/62/38/1127286238.db2.gz BERYKKDKNDWHHH-UHFFFAOYSA-N 1 2 293.208 3.718 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc[nH]2)c(Br)c1 ZINC000354025292 1127286240 /nfs/dbraw/zinc/28/62/40/1127286240.db2.gz BERYKKDKNDWHHH-UHFFFAOYSA-N 1 2 293.208 3.718 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(C3CC3)o2)Cc2ccccc21 ZINC000760809967 1127287881 /nfs/dbraw/zinc/28/78/81/1127287881.db2.gz WVMRVIFLSCLIEW-GFCCVEGCSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(C3CC3)o2)Cc2ccccc21 ZINC000760809967 1127287882 /nfs/dbraw/zinc/28/78/82/1127287882.db2.gz WVMRVIFLSCLIEW-GFCCVEGCSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nccn1-c1ccccc1)c1cncs1 ZINC000287164432 1127294096 /nfs/dbraw/zinc/29/40/96/1127294096.db2.gz UFQVIEYIEWGCEZ-CHWSQXEVSA-N 1 2 298.415 3.741 20 0 CHADLO FC(F)(F)[C@@H](Nc1cccc[nH+]1)c1ccccc1 ZINC001170882506 1131187091 /nfs/dbraw/zinc/18/70/91/1131187091.db2.gz HIRGDYRULPFWIL-LBPRGKRZSA-N 1 2 252.239 3.797 20 0 CHADLO Cc1nc(N2CC[C@](C)(c3ccccc3)C2)c(C)c(C)[nH+]1 ZINC000354431883 1127307801 /nfs/dbraw/zinc/30/78/01/1127307801.db2.gz SQFVOGXQSDJHNM-SFHVURJKSA-N 1 2 281.403 3.570 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2C[C@@H](F)C[C@H]2C)c1F ZINC001238423331 1131197860 /nfs/dbraw/zinc/19/78/60/1131197860.db2.gz ITLDIAHLWMFWGI-YPMHNXCESA-N 1 2 269.335 3.545 20 0 CHADLO CC1(C)CC[NH+](Cc2cnc(Br)s2)CC1 ZINC000718863687 1127329253 /nfs/dbraw/zinc/32/92/53/1127329253.db2.gz ONBBSYNJRIYCGY-UHFFFAOYSA-N 1 2 289.242 3.528 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C(C)(C)C1CC1 ZINC000288372289 1127335106 /nfs/dbraw/zinc/33/51/06/1127335106.db2.gz XDOPXCLKQAFXJX-UHFFFAOYSA-N 1 2 283.375 3.760 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(-c2cnc(C3CC3)o2)cc1 ZINC000355507599 1127346137 /nfs/dbraw/zinc/34/61/37/1127346137.db2.gz MTFBNNVNUHIELV-UHFFFAOYSA-N 1 2 294.358 3.565 20 0 CHADLO Cc1ccc(CSCc2cc3n(n2)CCCC3)c(C)[nH+]1 ZINC000593192894 1127377272 /nfs/dbraw/zinc/37/72/72/1127377272.db2.gz JMJHTNVKVAFECW-UHFFFAOYSA-N 1 2 287.432 3.665 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+]1CCC=C(c2cccnc2)C1 ZINC000289341911 1127381573 /nfs/dbraw/zinc/38/15/73/1127381573.db2.gz LXYBYPKSDUMPHT-UHFFFAOYSA-N 1 2 297.427 3.705 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+]1CCC=C(c2cccnc2)C1 ZINC000289341911 1127381576 /nfs/dbraw/zinc/38/15/76/1127381576.db2.gz LXYBYPKSDUMPHT-UHFFFAOYSA-N 1 2 297.427 3.705 20 0 CHADLO Cn1ccnc1C[N@H+](C)Cc1ccc(-c2ccccc2)s1 ZINC000357225658 1127388228 /nfs/dbraw/zinc/38/82/28/1127388228.db2.gz AKYHSUVTFPTHBQ-UHFFFAOYSA-N 1 2 297.427 3.781 20 0 CHADLO Cn1ccnc1C[N@@H+](C)Cc1ccc(-c2ccccc2)s1 ZINC000357225658 1127388232 /nfs/dbraw/zinc/38/82/32/1127388232.db2.gz AKYHSUVTFPTHBQ-UHFFFAOYSA-N 1 2 297.427 3.781 20 0 CHADLO CC[C@]1(C)CCC[C@@H]1Nc1cc(CSCCO)cc[nH+]1 ZINC000593612226 1127390719 /nfs/dbraw/zinc/39/07/19/1127390719.db2.gz ZEZZXARAIXPZHB-GOEBONIOSA-N 1 2 294.464 3.688 20 0 CHADLO FC(F)(F)[C@H]1CC[N@H+](Cc2ncc(-c3ccccc3)o2)C1 ZINC000761042787 1127400750 /nfs/dbraw/zinc/40/07/50/1127400750.db2.gz RWWAZLNGQDDCHQ-LBPRGKRZSA-N 1 2 296.292 3.726 20 0 CHADLO FC(F)(F)[C@H]1CC[N@@H+](Cc2ncc(-c3ccccc3)o2)C1 ZINC000761042787 1127400752 /nfs/dbraw/zinc/40/07/52/1127400752.db2.gz RWWAZLNGQDDCHQ-LBPRGKRZSA-N 1 2 296.292 3.726 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nnc(C(C)C)s2)cc1F ZINC000358282163 1127412816 /nfs/dbraw/zinc/41/28/16/1127412816.db2.gz BKPYJTKVUCIABC-NSHDSACASA-N 1 2 293.411 3.960 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](c2cccnc2)C(C)C)s1 ZINC000359300742 1127457276 /nfs/dbraw/zinc/45/72/76/1127457276.db2.gz CHWHJHXJPBCSFJ-AWEZNQCLSA-N 1 2 290.436 3.543 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@@H+](Cc1cscn1)[C@@H]2C ZINC000359302299 1127457095 /nfs/dbraw/zinc/45/70/95/1127457095.db2.gz UCYGNOLASTXXRF-NWDGAFQWSA-N 1 2 288.416 3.660 20 0 CHADLO COc1ccc2c(c1)C[C@H](C)[N@H+](Cc1cscn1)[C@@H]2C ZINC000359302299 1127457096 /nfs/dbraw/zinc/45/70/96/1127457096.db2.gz UCYGNOLASTXXRF-NWDGAFQWSA-N 1 2 288.416 3.660 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H](C)c2ccc(Cl)cn2)n1 ZINC000359660986 1127471482 /nfs/dbraw/zinc/47/14/82/1127471482.db2.gz DVSCUNVVSNWRCD-UWVGGRQHSA-N 1 2 281.812 3.912 20 0 CHADLO COC(=O)c1ccccc1C[N@@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000595702195 1127472577 /nfs/dbraw/zinc/47/25/77/1127472577.db2.gz HJHYBSAGJFFAPG-KRWDZBQOSA-N 1 2 299.345 3.559 20 0 CHADLO COC(=O)c1ccccc1C[N@H+]1CC[C@H]1c1ccc(F)cc1 ZINC000595702195 1127472578 /nfs/dbraw/zinc/47/25/78/1127472578.db2.gz HJHYBSAGJFFAPG-KRWDZBQOSA-N 1 2 299.345 3.559 20 0 CHADLO C[C@@H]([NH2+]Cc1occc1Br)c1cccc(O)c1 ZINC000227370316 1127474568 /nfs/dbraw/zinc/47/45/68/1127474568.db2.gz LUWJHUORQUVXQD-SECBINFHSA-N 1 2 296.164 3.599 20 0 CHADLO COCCCC[C@@H](Nc1cc[nH+]c(C)n1)c1ccccc1 ZINC000359908611 1127482838 /nfs/dbraw/zinc/48/28/38/1127482838.db2.gz XIVDKOFCJRTUQN-MRXNPFEDSA-N 1 2 285.391 3.755 20 0 CHADLO CS[C@H]1CCCCN(c2[nH+]ccc3c(N)cccc32)C1 ZINC000596169224 1127485071 /nfs/dbraw/zinc/48/50/71/1127485071.db2.gz DEFMFNWMWIJKOP-LBPRGKRZSA-N 1 2 287.432 3.539 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2noc(C3CC3)n2)CC12CCCCC2 ZINC000360610861 1127502515 /nfs/dbraw/zinc/50/25/15/1127502515.db2.gz KXMUBLKKQKYMCJ-CYBMUJFWSA-N 1 2 289.423 3.739 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2noc(C3CC3)n2)CC12CCCCC2 ZINC000360610861 1127502517 /nfs/dbraw/zinc/50/25/17/1127502517.db2.gz KXMUBLKKQKYMCJ-CYBMUJFWSA-N 1 2 289.423 3.739 20 0 CHADLO Cc1ccnc(C[N@H+]2CC=C(c3cccc(Cl)c3)CC2)n1 ZINC000360739624 1127508930 /nfs/dbraw/zinc/50/89/30/1127508930.db2.gz SWKJKJTTXOJFIJ-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC=C(c3cccc(Cl)c3)CC2)n1 ZINC000360739624 1127508931 /nfs/dbraw/zinc/50/89/31/1127508931.db2.gz SWKJKJTTXOJFIJ-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1nc(N[C@H]2CC(C)(C)Oc3cc(F)ccc32)cc[nH+]1 ZINC000360787492 1127511556 /nfs/dbraw/zinc/51/15/56/1127511556.db2.gz PCRQUEJDXZTHJC-ZDUSSCGKSA-N 1 2 287.338 3.638 20 0 CHADLO c1cn(-c2cccc(OCCCc3ccsc3)c2)c[nH+]1 ZINC000360978202 1127525273 /nfs/dbraw/zinc/52/52/73/1127525273.db2.gz DNNVRFDSJQZZKO-UHFFFAOYSA-N 1 2 284.384 3.945 20 0 CHADLO CC(C)Cc1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001231786764 1127531867 /nfs/dbraw/zinc/53/18/67/1127531867.db2.gz KWQVXXPKYKPURA-HOTGVXAUSA-N 1 2 267.363 3.767 20 0 CHADLO CC(C)Cc1ccc(C[N@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001231786764 1127531868 /nfs/dbraw/zinc/53/18/68/1127531868.db2.gz KWQVXXPKYKPURA-HOTGVXAUSA-N 1 2 267.363 3.767 20 0 CHADLO c1ncn2c1C[N@H+](Cc1ccc(C3CCCC3)cc1)CC2 ZINC000361137688 1127540914 /nfs/dbraw/zinc/54/09/14/1127540914.db2.gz AIYBTVHGGOXVIT-UHFFFAOYSA-N 1 2 281.403 3.557 20 0 CHADLO c1ncn2c1C[N@@H+](Cc1ccc(C3CCCC3)cc1)CC2 ZINC000361137688 1127540916 /nfs/dbraw/zinc/54/09/16/1127540916.db2.gz AIYBTVHGGOXVIT-UHFFFAOYSA-N 1 2 281.403 3.557 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@H]3C[C@H]3C)cc2)c1C ZINC000361237343 1127548939 /nfs/dbraw/zinc/54/89/39/1127548939.db2.gz JPTFLPMITSKMKQ-BXUZGUMPSA-N 1 2 255.365 3.557 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@@H]3C[C@H]3C)cc2)c1C ZINC000361237345 1127549061 /nfs/dbraw/zinc/54/90/61/1127549061.db2.gz JPTFLPMITSKMKQ-RISCZKNCSA-N 1 2 255.365 3.557 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1ccsc1Cl ZINC000361588533 1127574385 /nfs/dbraw/zinc/57/43/85/1127574385.db2.gz YPYNLFPAWOHHKF-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1ccsc1Cl ZINC000361588533 1127574389 /nfs/dbraw/zinc/57/43/89/1127574389.db2.gz YPYNLFPAWOHHKF-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO CCSCC[N@H+](Cc1c(F)cccc1F)C1CC1 ZINC000361726829 1127584671 /nfs/dbraw/zinc/58/46/71/1127584671.db2.gz LFYRXKRYKPNIIK-UHFFFAOYSA-N 1 2 271.376 3.682 20 0 CHADLO CCSCC[N@@H+](Cc1c(F)cccc1F)C1CC1 ZINC000361726829 1127584672 /nfs/dbraw/zinc/58/46/72/1127584672.db2.gz LFYRXKRYKPNIIK-UHFFFAOYSA-N 1 2 271.376 3.682 20 0 CHADLO CCc1nc(C[N@@H+]2CCc3cc(C)ccc3C2)cs1 ZINC000361724007 1127584694 /nfs/dbraw/zinc/58/46/94/1127584694.db2.gz ACHKGMKAZMTSOZ-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCc1nc(C[N@H+]2CCc3cc(C)ccc3C2)cs1 ZINC000361724007 1127584696 /nfs/dbraw/zinc/58/46/96/1127584696.db2.gz ACHKGMKAZMTSOZ-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO Cc1nocc1C[N@@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000294827598 1127602205 /nfs/dbraw/zinc/60/22/05/1127602205.db2.gz GTYSUDXRAWKAOZ-BDJLRTHQSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1nocc1C[N@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000294827598 1127602206 /nfs/dbraw/zinc/60/22/06/1127602206.db2.gz GTYSUDXRAWKAOZ-BDJLRTHQSA-N 1 2 286.375 3.864 20 0 CHADLO Oc1cccc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)c1Cl ZINC000294771392 1127597968 /nfs/dbraw/zinc/59/79/68/1127597968.db2.gz AYOLZANRUPPHHJ-ZDUSSCGKSA-N 1 2 276.767 3.711 20 0 CHADLO Oc1cccc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)c1Cl ZINC000294771392 1127597971 /nfs/dbraw/zinc/59/79/71/1127597971.db2.gz AYOLZANRUPPHHJ-ZDUSSCGKSA-N 1 2 276.767 3.711 20 0 CHADLO CCc1nc(C[N@@H+]2CCc3c(C)cccc3C2)cs1 ZINC000170921720 1127664837 /nfs/dbraw/zinc/66/48/37/1127664837.db2.gz DZZPNVOVZIFLJN-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCc1nc(C[N@H+]2CCc3c(C)cccc3C2)cs1 ZINC000170921720 1127664839 /nfs/dbraw/zinc/66/48/39/1127664839.db2.gz DZZPNVOVZIFLJN-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cnc(-c3ccco3)s2)C1 ZINC000296201904 1127665236 /nfs/dbraw/zinc/66/52/36/1127665236.db2.gz ZFBHFAXVOHWCSO-AWEZNQCLSA-N 1 2 280.368 3.727 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cnc(-c3ccco3)s2)C1 ZINC000296201904 1127665239 /nfs/dbraw/zinc/66/52/39/1127665239.db2.gz ZFBHFAXVOHWCSO-AWEZNQCLSA-N 1 2 280.368 3.727 20 0 CHADLO CSc1ccc(C)c(C(=O)Nc2ccc3[nH+]ccn3c2)c1 ZINC000603809387 1127672986 /nfs/dbraw/zinc/67/29/86/1127672986.db2.gz YOPMADCWXUMNLH-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO CC(C)CC[C@H]([NH2+]Cc1nnc(C(C)C)[nH]1)c1ccoc1 ZINC000296586274 1127676975 /nfs/dbraw/zinc/67/69/75/1127676975.db2.gz USJARSBNKQKLGR-AWEZNQCLSA-N 1 2 290.411 3.788 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1cccc(F)c1F ZINC000296706126 1127681995 /nfs/dbraw/zinc/68/19/95/1127681995.db2.gz HXXDXPRODYLVNQ-OUJBWJOFSA-N 1 2 277.318 3.518 20 0 CHADLO c1cn(CCOc2ccccc2N[C@@H]2CCC23CCC3)c[nH+]1 ZINC000669703485 1127680613 /nfs/dbraw/zinc/68/06/13/1127680613.db2.gz YDKJIXJNBKYURS-QGZVFWFLSA-N 1 2 297.402 3.707 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](CO)c2c(F)cccc2F)cs1 ZINC000296966982 1127686241 /nfs/dbraw/zinc/68/62/41/1127686241.db2.gz RJCVRRSXBZSBBT-HZMBPMFUSA-N 1 2 297.370 3.719 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2CCCc3c(F)cc(F)cc32)no1 ZINC000297167868 1127690266 /nfs/dbraw/zinc/69/02/66/1127690266.db2.gz DGEZWADPNMBJIR-BMIGLBTASA-N 1 2 292.329 3.989 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+](Cc3csc(Cl)n3)C2)c1 ZINC000297222375 1127691362 /nfs/dbraw/zinc/69/13/62/1127691362.db2.gz SVDZCMMYTBANCE-LLVKDONJSA-N 1 2 296.798 3.925 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+](Cc3csc(Cl)n3)C2)c1 ZINC000297222375 1127691363 /nfs/dbraw/zinc/69/13/63/1127691363.db2.gz SVDZCMMYTBANCE-LLVKDONJSA-N 1 2 296.798 3.925 20 0 CHADLO CCC[C@H]([NH2+][C@H]1CCn2ccnc21)c1ccc(F)cc1F ZINC000297646014 1127703445 /nfs/dbraw/zinc/70/34/45/1127703445.db2.gz JYWRZTUXPAGGEB-GJZGRUSLSA-N 1 2 291.345 3.737 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1C[C@H]1CCOc2ccccc21 ZINC000669716710 1127709387 /nfs/dbraw/zinc/70/93/87/1127709387.db2.gz ZIBZGIQLEULCAR-TZMCWYRMSA-N 1 2 281.346 3.672 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1C[C@H]1CCOc2ccccc21 ZINC000669716710 1127709390 /nfs/dbraw/zinc/70/93/90/1127709390.db2.gz ZIBZGIQLEULCAR-TZMCWYRMSA-N 1 2 281.346 3.672 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000297853787 1127710115 /nfs/dbraw/zinc/71/01/15/1127710115.db2.gz SZHJRBCCPSEZKG-YDHLFZDLSA-N 1 2 285.391 3.638 20 0 CHADLO C/C(=C\c1ccccc1OC(F)F)C[NH2+]CC(F)F ZINC000298134331 1127714758 /nfs/dbraw/zinc/71/47/58/1127714758.db2.gz QIKCKXLCRUOPFC-RMKNXTFCSA-N 1 2 277.261 3.546 20 0 CHADLO CCc1nc(C[N@H+](C)CCSc2ccccc2)cs1 ZINC000605150096 1127729886 /nfs/dbraw/zinc/72/98/86/1127729886.db2.gz QDPZITRTAYEXEZ-UHFFFAOYSA-N 1 2 292.473 3.930 20 0 CHADLO CCc1nc(C[N@@H+](C)CCSc2ccccc2)cs1 ZINC000605150096 1127729888 /nfs/dbraw/zinc/72/98/88/1127729888.db2.gz QDPZITRTAYEXEZ-UHFFFAOYSA-N 1 2 292.473 3.930 20 0 CHADLO C[C@@H]1CC2(CCC2)C[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000365531141 1127731588 /nfs/dbraw/zinc/73/15/88/1127731588.db2.gz YDCRNNRCQYVOJW-SECBINFHSA-N 1 2 290.354 3.926 20 0 CHADLO C[C@@H]1CC2(CCC2)C[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000365531141 1127731591 /nfs/dbraw/zinc/73/15/91/1127731591.db2.gz YDCRNNRCQYVOJW-SECBINFHSA-N 1 2 290.354 3.926 20 0 CHADLO COCCCC[N@@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000366814052 1127748125 /nfs/dbraw/zinc/74/81/25/1127748125.db2.gz XXBRKTOXUYZXFL-UHFFFAOYSA-N 1 2 292.810 3.537 20 0 CHADLO COCCCC[N@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000366814052 1127748126 /nfs/dbraw/zinc/74/81/26/1127748126.db2.gz XXBRKTOXUYZXFL-UHFFFAOYSA-N 1 2 292.810 3.537 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCC[C@@H](C3CC3)C2)s1 ZINC000368143668 1127756686 /nfs/dbraw/zinc/75/66/86/1127756686.db2.gz SLLOQMXVGNSZKJ-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCC[C@@H](C3CC3)C2)s1 ZINC000368143668 1127756690 /nfs/dbraw/zinc/75/66/90/1127756690.db2.gz SLLOQMXVGNSZKJ-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@H+](Cc2cnc(Cl)s2)C1 ZINC000370229621 1127761471 /nfs/dbraw/zinc/76/14/71/1127761471.db2.gz CRWZOFSDTVVHRP-GWCFXTLKSA-N 1 2 293.823 3.775 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2cnc(Cl)s2)C1 ZINC000370229621 1127761475 /nfs/dbraw/zinc/76/14/75/1127761475.db2.gz CRWZOFSDTVVHRP-GWCFXTLKSA-N 1 2 293.823 3.775 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1c(Cl)cccc1Cl ZINC000606451783 1127763623 /nfs/dbraw/zinc/76/36/23/1127763623.db2.gz RHGYQULOKRHPPW-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1c(Cl)cccc1Cl ZINC000606451783 1127763627 /nfs/dbraw/zinc/76/36/27/1127763627.db2.gz RHGYQULOKRHPPW-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3cccc(C)c3C)ccc2[nH+]1 ZINC000606570305 1127767150 /nfs/dbraw/zinc/76/71/50/1127767150.db2.gz KQZVTXTWZUFBBY-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO CC(C)(C)CCCCNC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606583835 1127767771 /nfs/dbraw/zinc/76/77/71/1127767771.db2.gz PIEVKFDCNZRHHW-UHFFFAOYSA-N 1 2 288.395 3.672 20 0 CHADLO CCc1ccc(NC(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000606582170 1127767890 /nfs/dbraw/zinc/76/78/90/1127767890.db2.gz FOJHFUYUIFFSFM-UHFFFAOYSA-N 1 2 280.331 3.541 20 0 CHADLO Cc1cccc(C(C)(C)C/[NH+]=C/c2cccc(O)c2O)c1 ZINC000062692457 1127769986 /nfs/dbraw/zinc/76/99/86/1127769986.db2.gz VOTICSCEUVVESP-YBFXNURJSA-N 1 2 283.371 3.803 20 0 CHADLO COc1cccc([C@H]2C[C@@H](C)CN2c2cccc[nH+]2)c1 ZINC000371691561 1127775670 /nfs/dbraw/zinc/77/56/70/1127775670.db2.gz BBJWTTQWRWVUAR-CZUORRHYSA-N 1 2 268.360 3.678 20 0 CHADLO CCC[C@]1(C)CCC[N@H+](Cn2nc(C)n(CC)c2=S)C1 ZINC000173536337 1127836660 /nfs/dbraw/zinc/83/66/60/1127836660.db2.gz OKBRMUANCWVFRV-OAHLLOKOSA-N 1 2 296.484 3.602 20 0 CHADLO CCC[C@]1(C)CCC[N@@H+](Cn2nc(C)n(CC)c2=S)C1 ZINC000173536337 1127836663 /nfs/dbraw/zinc/83/66/63/1127836663.db2.gz OKBRMUANCWVFRV-OAHLLOKOSA-N 1 2 296.484 3.602 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)[C@H](C)c1nnc(C)[nH]1 ZINC000411125986 1127841396 /nfs/dbraw/zinc/84/13/96/1127841396.db2.gz MYZQPSGIOIRMQF-LLVKDONJSA-N 1 2 298.390 3.615 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)[C@H](C)c1nnc(C)[nH]1 ZINC000411125986 1127841399 /nfs/dbraw/zinc/84/13/99/1127841399.db2.gz MYZQPSGIOIRMQF-LLVKDONJSA-N 1 2 298.390 3.615 20 0 CHADLO COc1ccccc1-c1ccc(C[NH2+]Cc2cocn2)cc1 ZINC000608056506 1127845909 /nfs/dbraw/zinc/84/59/09/1127845909.db2.gz QAOMGSPPJCICQZ-UHFFFAOYSA-N 1 2 294.354 3.640 20 0 CHADLO Cc1nc(N[C@H](CC2CC2)c2ccccc2)cc[nH+]1 ZINC000608090751 1127847745 /nfs/dbraw/zinc/84/77/45/1127847745.db2.gz ZKVGBVJPTNBHLM-OAHLLOKOSA-N 1 2 253.349 3.738 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3sccc3C2)c(F)cc1F ZINC001232785351 1127854034 /nfs/dbraw/zinc/85/40/34/1127854034.db2.gz RVRJNWDYFKYCDD-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1cc(C[N@H+]2CCc3sccc3C2)c(F)cc1F ZINC001232785351 1127854038 /nfs/dbraw/zinc/85/40/38/1127854038.db2.gz RVRJNWDYFKYCDD-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO CCc1occc1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000373810250 1127861362 /nfs/dbraw/zinc/86/13/62/1127861362.db2.gz JFVHWUXOKQCFGZ-UHFFFAOYSA-N 1 2 295.342 3.793 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C2CCC2)cc1)c1csnn1 ZINC000412031284 1127891703 /nfs/dbraw/zinc/89/17/03/1127891703.db2.gz BWEBDCKTCRQXLW-LLVKDONJSA-N 1 2 273.405 3.656 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C2CCC2)cc1)c1csnn1 ZINC000412031285 1127892047 /nfs/dbraw/zinc/89/20/47/1127892047.db2.gz BWEBDCKTCRQXLW-NSHDSACASA-N 1 2 273.405 3.656 20 0 CHADLO CC[N@H+](Cc1cc(-c2ccco2)on1)Cc1occc1C ZINC000412048705 1127892990 /nfs/dbraw/zinc/89/29/90/1127892990.db2.gz XEOAIUQOTFOCJF-UHFFFAOYSA-N 1 2 286.331 3.858 20 0 CHADLO CC[N@@H+](Cc1cc(-c2ccco2)on1)Cc1occc1C ZINC000412048705 1127892992 /nfs/dbraw/zinc/89/29/92/1127892992.db2.gz XEOAIUQOTFOCJF-UHFFFAOYSA-N 1 2 286.331 3.858 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@]2(C)C[C@@H]2c2ccccc2)c[nH+]1 ZINC000412402903 1127910581 /nfs/dbraw/zinc/91/05/81/1127910581.db2.gz LJUJLGXDUYOPOU-MJGOQNOKSA-N 1 2 294.398 3.508 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCO[C@@H](C(C)(C)C)C1 ZINC000535006507 1127908788 /nfs/dbraw/zinc/90/87/88/1127908788.db2.gz OOEDFIMOKGBIHH-DOTOQJQBSA-N 1 2 298.430 3.971 20 0 CHADLO Cc1cc(C)c(NC(=O)Cc2coc3ccccc23)c(C)[nH+]1 ZINC000516596936 1127935138 /nfs/dbraw/zinc/93/51/38/1127935138.db2.gz KUKKANWBGRBSFG-UHFFFAOYSA-N 1 2 294.354 3.934 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ncc(CC)s2)s1 ZINC000539824019 1127940869 /nfs/dbraw/zinc/94/08/69/1127940869.db2.gz YTFBLGZCOVSXOB-UHFFFAOYSA-N 1 2 280.462 3.961 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ncc(CC)s2)s1 ZINC000539824019 1127940873 /nfs/dbraw/zinc/94/08/73/1127940873.db2.gz YTFBLGZCOVSXOB-UHFFFAOYSA-N 1 2 280.462 3.961 20 0 CHADLO Cc1ccc([C@@H]2CCC[C@@H]2N(C)c2cc[nH+]c(C)n2)cc1 ZINC000463066619 1127971424 /nfs/dbraw/zinc/97/14/24/1127971424.db2.gz ACMMVAFEPXIJFT-IRXDYDNUSA-N 1 2 281.403 3.866 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2c(Cl)oc3ccccc32)CCO1 ZINC000506322828 1127976272 /nfs/dbraw/zinc/97/62/72/1127976272.db2.gz BDBZYRNKPQTVIC-LLVKDONJSA-N 1 2 279.767 3.697 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2c(Cl)oc3ccccc32)CCO1 ZINC000506322828 1127976274 /nfs/dbraw/zinc/97/62/74/1127976274.db2.gz BDBZYRNKPQTVIC-LLVKDONJSA-N 1 2 279.767 3.697 20 0 CHADLO COCCC(C)(C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000544701069 1127981156 /nfs/dbraw/zinc/98/11/56/1127981156.db2.gz IHMWNQRTHITOPJ-UHFFFAOYSA-N 1 2 279.428 3.950 20 0 CHADLO CC[C@@]1(C)CC[N@H+](CC(=O)Nc2ccc(F)cc2Cl)C1 ZINC000468135444 1127993660 /nfs/dbraw/zinc/99/36/60/1127993660.db2.gz BSOHPWWPIVRCFZ-HNNXBMFYSA-N 1 2 298.789 3.540 20 0 CHADLO CC[C@@]1(C)CC[N@@H+](CC(=O)Nc2ccc(F)cc2Cl)C1 ZINC000468135444 1127993664 /nfs/dbraw/zinc/99/36/64/1127993664.db2.gz BSOHPWWPIVRCFZ-HNNXBMFYSA-N 1 2 298.789 3.540 20 0 CHADLO COCCC(C)(C)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000545344821 1128011446 /nfs/dbraw/zinc/01/14/46/1128011446.db2.gz UTFGWQAXZVVWKX-UHFFFAOYSA-N 1 2 293.455 3.620 20 0 CHADLO C[C@@H]1C[N@H+](Cc2noc(Cc3ccccc3)n2)CC(C)(C)C1 ZINC000541326753 1128018633 /nfs/dbraw/zinc/01/86/33/1128018633.db2.gz VQCQHZYOQDHXKE-AWEZNQCLSA-N 1 2 299.418 3.528 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2noc(Cc3ccccc3)n2)CC(C)(C)C1 ZINC000541326753 1128018638 /nfs/dbraw/zinc/01/86/38/1128018638.db2.gz VQCQHZYOQDHXKE-AWEZNQCLSA-N 1 2 299.418 3.528 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@H](C)c2ncccn2)cc1 ZINC000930318389 1128046205 /nfs/dbraw/zinc/04/62/05/1128046205.db2.gz QVQIBLMIYNZCMG-VXGBXAGGSA-N 1 2 273.405 3.610 20 0 CHADLO c1n[nH]c2c1CC[N@@H+](C(c1ccccc1)c1ccccc1)C2 ZINC000669931992 1128066175 /nfs/dbraw/zinc/06/61/75/1128066175.db2.gz BBGIDWOLXDWCFF-UHFFFAOYSA-N 1 2 289.382 3.557 20 0 CHADLO c1n[nH]c2c1CC[N@H+](C(c1ccccc1)c1ccccc1)C2 ZINC000669931992 1128066179 /nfs/dbraw/zinc/06/61/79/1128066179.db2.gz BBGIDWOLXDWCFF-UHFFFAOYSA-N 1 2 289.382 3.557 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(-c2ccccc2)no1)c1ccsc1 ZINC000669937642 1128074957 /nfs/dbraw/zinc/07/49/57/1128074957.db2.gz NQVIGFMNUWGPBI-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@H](C2CC2)O1 ZINC000470908582 1128079790 /nfs/dbraw/zinc/07/97/90/1128079790.db2.gz ZBALDDDHIFTZOR-XHDPSFHLSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@H](C2CC2)O1 ZINC000470908582 1128079794 /nfs/dbraw/zinc/07/97/94/1128079794.db2.gz ZBALDDDHIFTZOR-XHDPSFHLSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470910322 1128080906 /nfs/dbraw/zinc/08/09/06/1128080906.db2.gz ZCFCFIBFFKTKOG-HFAKWTLXSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470910322 1128080911 /nfs/dbraw/zinc/08/09/11/1128080911.db2.gz ZCFCFIBFFKTKOG-HFAKWTLXSA-N 1 2 281.346 3.525 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+]2CCS[C@H](C(C)C)C2)n1 ZINC000174332164 1128100320 /nfs/dbraw/zinc/10/03/20/1128100320.db2.gz QXRAZMKIHGZVEO-STQMWFEESA-N 1 2 297.468 3.547 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+]2CCS[C@H](C(C)C)C2)n1 ZINC000174332164 1128100323 /nfs/dbraw/zinc/10/03/23/1128100323.db2.gz QXRAZMKIHGZVEO-STQMWFEESA-N 1 2 297.468 3.547 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@@H](C)c1ccc(F)c(F)c1 ZINC000924532774 1128102131 /nfs/dbraw/zinc/10/21/31/1128102131.db2.gz UQGMMMZUFNQJKL-LKFCYVNXSA-N 1 2 292.329 3.989 20 0 CHADLO CC(C)Oc1cccc([C@@H](C)[NH2+][C@H](C)c2ncco2)c1 ZINC000924681938 1128110068 /nfs/dbraw/zinc/11/00/68/1128110068.db2.gz UKMKIAWSXVBGBJ-CHWSQXEVSA-N 1 2 274.364 3.874 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@@H](C)c1ccc(F)c(Cl)c1 ZINC000924770508 1128112146 /nfs/dbraw/zinc/11/21/46/1128112146.db2.gz QQYDYSSIRJOMNA-JGVFFNPUSA-N 1 2 283.734 3.582 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@@H](C)c1ccns1)c1ccco1 ZINC000924889760 1128115870 /nfs/dbraw/zinc/11/58/70/1128115870.db2.gz MRDRBBMATWKVES-QWHCGFSZSA-N 1 2 294.420 3.801 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@H]1CCOc2c(C)cccc21 ZINC000924887414 1128115965 /nfs/dbraw/zinc/11/59/65/1128115965.db2.gz SIHIWRHLGYODRD-LSDHHAIUSA-N 1 2 298.386 3.782 20 0 CHADLO CSc1cc(C)ccc1C[NH2+][C@@H](C)c1ncco1 ZINC000925265480 1128126943 /nfs/dbraw/zinc/12/69/43/1128126943.db2.gz ZNWVILIZCPEAHB-NSHDSACASA-N 1 2 262.378 3.556 20 0 CHADLO Cc1cc(N[C@H](C)CCCC(F)(F)F)nc(C2CC2)[nH+]1 ZINC000493389434 1128140556 /nfs/dbraw/zinc/14/05/56/1128140556.db2.gz SYFLXQWIMQKHDD-SECBINFHSA-N 1 2 287.329 3.617 20 0 CHADLO C[C@H]1CC[N@H+](CCCCOC(C)(C)C)CC1(F)F ZINC000494213315 1128159841 /nfs/dbraw/zinc/15/98/41/1128159841.db2.gz SHTZGKFPRWTZEQ-LBPRGKRZSA-N 1 2 263.372 3.559 20 0 CHADLO C[C@H]1CC[N@@H+](CCCCOC(C)(C)C)CC1(F)F ZINC000494213315 1128159842 /nfs/dbraw/zinc/15/98/42/1128159842.db2.gz SHTZGKFPRWTZEQ-LBPRGKRZSA-N 1 2 263.372 3.559 20 0 CHADLO C[C@H]([NH2+]C1(c2ncccn2)CCC1)c1cc2ccccc2[nH]1 ZINC000926850888 1128198313 /nfs/dbraw/zinc/19/83/13/1128198313.db2.gz GPVBECWTQMXCOU-ZDUSSCGKSA-N 1 2 292.386 3.688 20 0 CHADLO CC[C@@H](C)[C@H](C)C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC000780400155 1128182360 /nfs/dbraw/zinc/18/23/60/1128182360.db2.gz HRJUYWUWAPNRCR-NEPJUHHUSA-N 1 2 297.468 3.606 20 0 CHADLO Cc1cc(N[C@H](C)c2cnn(C3CCC3)c2)c[nH+]c1C ZINC000926856315 1128198482 /nfs/dbraw/zinc/19/84/82/1128198482.db2.gz RNPTYFXSFOUXAM-CYBMUJFWSA-N 1 2 270.380 3.793 20 0 CHADLO Cc1ccccc1C(F)(F)C[NH2+][C@H](C)c1cc[nH]c(=O)c1 ZINC000926725283 1128190581 /nfs/dbraw/zinc/19/05/81/1128190581.db2.gz XVBHMIBUMNCCCA-GFCCVEGCSA-N 1 2 292.329 3.538 20 0 CHADLO Cc1ccccc1C(F)(F)C[NH2+][C@@H](C)c1cc[nH]c(=O)c1 ZINC000926725284 1128190607 /nfs/dbraw/zinc/19/06/07/1128190607.db2.gz XVBHMIBUMNCCCA-LBPRGKRZSA-N 1 2 292.329 3.538 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2COCc3cccc(Cl)c32)o1 ZINC000926788880 1128194882 /nfs/dbraw/zinc/19/48/82/1128194882.db2.gz MAJTUUUXMXQHLX-CQSZACIVSA-N 1 2 277.751 3.603 20 0 CHADLO Cl/C=C/C[N@@H+](C/C=C\Cl)CCOc1ccccc1 ZINC000914873674 1128258750 /nfs/dbraw/zinc/25/87/50/1128258750.db2.gz MHOZCSZEOPESOB-MVTUOISNSA-N 1 2 286.202 3.872 20 0 CHADLO Cl/C=C/C[N@H+](C/C=C\Cl)CCOc1ccccc1 ZINC000914873674 1128258753 /nfs/dbraw/zinc/25/87/53/1128258753.db2.gz MHOZCSZEOPESOB-MVTUOISNSA-N 1 2 286.202 3.872 20 0 CHADLO CC(C)CC[N@H+](Cn1sc2ccccc2c1=O)C1CC1 ZINC000914566898 1128210708 /nfs/dbraw/zinc/21/07/08/1128210708.db2.gz RSSRFFBSVMGPLN-UHFFFAOYSA-N 1 2 290.432 3.531 20 0 CHADLO CC(C)CC[N@@H+](Cn1sc2ccccc2c1=O)C1CC1 ZINC000914566898 1128210711 /nfs/dbraw/zinc/21/07/11/1128210711.db2.gz RSSRFFBSVMGPLN-UHFFFAOYSA-N 1 2 290.432 3.531 20 0 CHADLO CC(C)C[C@@H]1COCC[N@@H+]1Cc1cc(Cl)cs1 ZINC000494935035 1128212184 /nfs/dbraw/zinc/21/21/84/1128212184.db2.gz HEODVARXOLQGPG-GFCCVEGCSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)C[C@@H]1COCC[N@H+]1Cc1cc(Cl)cs1 ZINC000494935035 1128212187 /nfs/dbraw/zinc/21/21/87/1128212187.db2.gz HEODVARXOLQGPG-GFCCVEGCSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)[N@H+](Cc1c(F)c(F)c(F)c(F)c1F)C1CC1 ZINC000914573665 1128212281 /nfs/dbraw/zinc/21/22/81/1128212281.db2.gz OQBRDWZPMRGNEY-UHFFFAOYSA-N 1 2 279.252 3.755 20 0 CHADLO CC(C)[N@@H+](Cc1c(F)c(F)c(F)c(F)c1F)C1CC1 ZINC000914573665 1128212286 /nfs/dbraw/zinc/21/22/86/1128212286.db2.gz OQBRDWZPMRGNEY-UHFFFAOYSA-N 1 2 279.252 3.755 20 0 CHADLO CC(C)C[C@H]1COCC[N@@H+]1Cc1cc(Cl)cs1 ZINC000494935058 1128212795 /nfs/dbraw/zinc/21/27/95/1128212795.db2.gz HEODVARXOLQGPG-LBPRGKRZSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)C[C@H]1COCC[N@H+]1Cc1cc(Cl)cs1 ZINC000494935058 1128212797 /nfs/dbraw/zinc/21/27/97/1128212797.db2.gz HEODVARXOLQGPG-LBPRGKRZSA-N 1 2 273.829 3.648 20 0 CHADLO C[C@@H]1CCC[C@H](C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000494925332 1128213484 /nfs/dbraw/zinc/21/34/84/1128213484.db2.gz UCRLOLRLPBRIHA-IJEWVQPXSA-N 1 2 290.455 3.842 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)C[N@@H+]1C/C=C/c1ccncc1 ZINC000494936886 1128214706 /nfs/dbraw/zinc/21/47/06/1128214706.db2.gz LOSKEXDRDGGTAV-KOBPNJQFSA-N 1 2 294.398 3.557 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)C[N@H+]1C/C=C/c1ccncc1 ZINC000494936886 1128214709 /nfs/dbraw/zinc/21/47/09/1128214709.db2.gz LOSKEXDRDGGTAV-KOBPNJQFSA-N 1 2 294.398 3.557 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2ccco2)c(F)c1 ZINC000927093744 1128216478 /nfs/dbraw/zinc/21/64/78/1128216478.db2.gz GEDMQZFFQIBWDQ-JTQLQIEISA-N 1 2 251.276 3.717 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](C)c2cnn(C(C)C)c2)c(F)c1 ZINC000927092864 1128216697 /nfs/dbraw/zinc/21/66/97/1128216697.db2.gz GKHWLFHLBJOLMT-GFCCVEGCSA-N 1 2 293.361 3.901 20 0 CHADLO CC(C)C(C[NH2+][C@H](c1ncn[nH]1)C1CCCCC1)C(C)C ZINC000494971746 1128217975 /nfs/dbraw/zinc/21/79/75/1128217975.db2.gz IHMQBSQSTWZKHN-INIZCTEOSA-N 1 2 292.471 3.944 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C2CC2)n(C)n1)c1csc(Cl)c1 ZINC000927109830 1128218191 /nfs/dbraw/zinc/21/81/91/1128218191.db2.gz YVFSXFIDXAUHRK-SECBINFHSA-N 1 2 295.839 3.863 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cn2ncn(C(C)(C)C)c2=S)CC1 ZINC000153324338 1128235110 /nfs/dbraw/zinc/23/51/10/1128235110.db2.gz WMRFCNUWBVFPBT-CYBMUJFWSA-N 1 2 296.484 3.639 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cn2ncn(C(C)(C)C)c2=S)CC1 ZINC000153324338 1128235113 /nfs/dbraw/zinc/23/51/13/1128235113.db2.gz WMRFCNUWBVFPBT-CYBMUJFWSA-N 1 2 296.484 3.639 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C\c2cccc(F)c2)c(C)[nH+]1 ZINC000229855593 1128240939 /nfs/dbraw/zinc/24/09/39/1128240939.db2.gz GQDPFOMXTSNLGW-FPLPWBNLSA-N 1 2 284.334 3.798 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)C1CCCC1 ZINC000777691105 1128242489 /nfs/dbraw/zinc/24/24/89/1128242489.db2.gz BLEDNNWKARJCLH-GJZGRUSLSA-N 1 2 288.435 3.902 20 0 CHADLO CCc1nc(C[N@H+](C)C[C@@H]2CCCC3(CCC3)O2)cs1 ZINC000929343681 1128270937 /nfs/dbraw/zinc/27/09/37/1128270937.db2.gz MEXGNERFNJEQGL-AWEZNQCLSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1nc(C[N@@H+](C)C[C@@H]2CCCC3(CCC3)O2)cs1 ZINC000929343681 1128270940 /nfs/dbraw/zinc/27/09/40/1128270940.db2.gz MEXGNERFNJEQGL-AWEZNQCLSA-N 1 2 294.464 3.629 20 0 CHADLO Cc1cccc([C@@H]2CCCC[N@@H+]2Cc2nncs2)c1C ZINC000929747485 1128284547 /nfs/dbraw/zinc/28/45/47/1128284547.db2.gz DJAUFRYGSBTOPW-HNNXBMFYSA-N 1 2 287.432 3.882 20 0 CHADLO Cc1cccc([C@@H]2CCCC[N@H+]2Cc2nncs2)c1C ZINC000929747485 1128284549 /nfs/dbraw/zinc/28/45/49/1128284549.db2.gz DJAUFRYGSBTOPW-HNNXBMFYSA-N 1 2 287.432 3.882 20 0 CHADLO CN(C)c1cc(COc2c(F)cccc2Cl)cc[nH+]1 ZINC000762477539 1128301990 /nfs/dbraw/zinc/30/19/90/1128301990.db2.gz OXOXDJGMRFBMFH-UHFFFAOYSA-N 1 2 280.730 3.519 20 0 CHADLO CN(C)c1cc(COc2ccccc2C(F)(F)F)cc[nH+]1 ZINC000762465880 1128302080 /nfs/dbraw/zinc/30/20/80/1128302080.db2.gz CZZVQCFOLSHJML-UHFFFAOYSA-N 1 2 296.292 3.745 20 0 CHADLO CCCCCN(C(=O)c1cc2c[nH+]ccc2[nH]1)C(C)C ZINC000930344496 1128314866 /nfs/dbraw/zinc/31/48/66/1128314866.db2.gz BIYXGNCPNRODJM-UHFFFAOYSA-N 1 2 273.380 3.604 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCC[C@H](c2ccn[nH]2)C1 ZINC000193624027 1128323479 /nfs/dbraw/zinc/32/34/79/1128323479.db2.gz ZUTDMOLBEKUKNZ-HNNXBMFYSA-N 1 2 299.418 3.577 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCC[C@H](c2ccn[nH]2)C1 ZINC000193624027 1128323483 /nfs/dbraw/zinc/32/34/83/1128323483.db2.gz ZUTDMOLBEKUKNZ-HNNXBMFYSA-N 1 2 299.418 3.577 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nc(C(C)C)ns2)CC1 ZINC000930814241 1128336099 /nfs/dbraw/zinc/33/60/99/1128336099.db2.gz IUTBTRKXYOJDSO-UHFFFAOYSA-N 1 2 287.432 3.749 20 0 CHADLO CC[C@H]([NH2+]Cc1c(F)cncc1F)c1ccccc1F ZINC000930849852 1128337802 /nfs/dbraw/zinc/33/78/02/1128337802.db2.gz PLFKYGMUCJKKJW-HNNXBMFYSA-N 1 2 280.293 3.740 20 0 CHADLO CCc1onc(C)c1C[N@@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000930970220 1128342175 /nfs/dbraw/zinc/34/21/75/1128342175.db2.gz XOLHCKPLCJERPM-OAHLLOKOSA-N 1 2 274.339 3.632 20 0 CHADLO CCc1onc(C)c1C[N@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000930970220 1128342179 /nfs/dbraw/zinc/34/21/79/1128342179.db2.gz XOLHCKPLCJERPM-OAHLLOKOSA-N 1 2 274.339 3.632 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCOC[C@H]1c1cccc(F)c1 ZINC000931017550 1128343790 /nfs/dbraw/zinc/34/37/90/1128343790.db2.gz HJKDLOLVOVLVKG-AWEZNQCLSA-N 1 2 287.325 3.634 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCOC[C@H]1c1cccc(F)c1 ZINC000931017550 1128343794 /nfs/dbraw/zinc/34/37/94/1128343794.db2.gz HJKDLOLVOVLVKG-AWEZNQCLSA-N 1 2 287.325 3.634 20 0 CHADLO Cc1cc(C)nc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)c1 ZINC000931084877 1128347457 /nfs/dbraw/zinc/34/74/57/1128347457.db2.gz SZABLSAVMUPHIK-KRWDZBQOSA-N 1 2 281.403 3.677 20 0 CHADLO Cc1cc(C)nc(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)c1 ZINC000931084877 1128347459 /nfs/dbraw/zinc/34/74/59/1128347459.db2.gz SZABLSAVMUPHIK-KRWDZBQOSA-N 1 2 281.403 3.677 20 0 CHADLO C[C@@H]1Oc2cc(F)ccc2[C@H]1[NH2+]Cc1ccc(Cl)o1 ZINC000561748953 1128351774 /nfs/dbraw/zinc/35/17/74/1128351774.db2.gz RHTPOPUEZMOBQP-RTHLEPHNSA-N 1 2 281.714 3.684 20 0 CHADLO CC(C)c1ccc(Nc2[nH+]cnc3[nH]ccc32)cc1 ZINC000082359137 1128356087 /nfs/dbraw/zinc/35/60/87/1128356087.db2.gz FDQYYBXKXYGMKT-UHFFFAOYSA-N 1 2 252.321 3.825 20 0 CHADLO Cc1ccccc1C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000054225447 1128360648 /nfs/dbraw/zinc/36/06/48/1128360648.db2.gz XJIBMBGDEMKSBZ-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@H]2c2nc(C)no2)s1 ZINC000561974458 1128366858 /nfs/dbraw/zinc/36/68/58/1128366858.db2.gz AURGVVKNMMBMRA-AWEZNQCLSA-N 1 2 291.420 3.729 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@H]2c2nc(C)no2)s1 ZINC000561974458 1128366863 /nfs/dbraw/zinc/36/68/63/1128366863.db2.gz AURGVVKNMMBMRA-AWEZNQCLSA-N 1 2 291.420 3.729 20 0 CHADLO Clc1ccccc1[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000507809227 1128370359 /nfs/dbraw/zinc/37/03/59/1128370359.db2.gz UVPHEKSOHLKVMO-KRWDZBQOSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1ccccc1[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000507809227 1128370362 /nfs/dbraw/zinc/37/03/62/1128370362.db2.gz UVPHEKSOHLKVMO-KRWDZBQOSA-N 1 2 287.790 3.914 20 0 CHADLO CC(C)Cc1ccccc1C[NH2+]C1(c2ncccn2)CCC1 ZINC000931693064 1128376740 /nfs/dbraw/zinc/37/67/40/1128376740.db2.gz UPYADWMNILAFNY-UHFFFAOYSA-N 1 2 295.430 3.844 20 0 CHADLO CCN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@@H]1CCCC[C@@H]1C ZINC000931700419 1128377665 /nfs/dbraw/zinc/37/76/65/1128377665.db2.gz OHMNABJUSCIWME-BLLLJJGKSA-N 1 2 285.391 3.604 20 0 CHADLO CC1(C[N@@H+]2CCO[C@H](c3cccc(Cl)c3)C2)CC1 ZINC000562342487 1128396217 /nfs/dbraw/zinc/39/62/17/1128396217.db2.gz WHMALMDYEOZVMU-AWEZNQCLSA-N 1 2 265.784 3.513 20 0 CHADLO CC1(C[N@H+]2CCO[C@H](c3cccc(Cl)c3)C2)CC1 ZINC000562342487 1128396220 /nfs/dbraw/zinc/39/62/20/1128396220.db2.gz WHMALMDYEOZVMU-AWEZNQCLSA-N 1 2 265.784 3.513 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CCc3cccc(F)c3[C@@H]2C)o1 ZINC000562376611 1128398753 /nfs/dbraw/zinc/39/87/53/1128398753.db2.gz PTJLRZXFNDZAGL-LBPRGKRZSA-N 1 2 288.366 3.804 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CCc3cccc(F)c3[C@@H]2C)o1 ZINC000562376611 1128398756 /nfs/dbraw/zinc/39/87/56/1128398756.db2.gz PTJLRZXFNDZAGL-LBPRGKRZSA-N 1 2 288.366 3.804 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCC[C@@H](Cc2nccs2)C1 ZINC000932202462 1128399823 /nfs/dbraw/zinc/39/98/23/1128399823.db2.gz ZQXKTUQCYUCZLT-VUBLIPMDSA-N 1 2 291.247 3.717 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCC[C@@H](Cc2nccs2)C1 ZINC000932202462 1128399827 /nfs/dbraw/zinc/39/98/27/1128399827.db2.gz ZQXKTUQCYUCZLT-VUBLIPMDSA-N 1 2 291.247 3.717 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCC[C@H](Cc2nccs2)C1 ZINC000932202461 1128400006 /nfs/dbraw/zinc/40/00/06/1128400006.db2.gz ZQXKTUQCYUCZLT-UEUZTHOGSA-N 1 2 291.247 3.717 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCC[C@H](Cc2nccs2)C1 ZINC000932202461 1128400009 /nfs/dbraw/zinc/40/00/09/1128400009.db2.gz ZQXKTUQCYUCZLT-UEUZTHOGSA-N 1 2 291.247 3.717 20 0 CHADLO CCCCC[N@@H+]1CCC[C@@H]1c1ncc(Br)cn1 ZINC000932255593 1128402148 /nfs/dbraw/zinc/40/21/48/1128402148.db2.gz FDUQLZKALUVIKT-GFCCVEGCSA-N 1 2 298.228 3.566 20 0 CHADLO CCCCC[N@H+]1CCC[C@@H]1c1ncc(Br)cn1 ZINC000932255593 1128402151 /nfs/dbraw/zinc/40/21/51/1128402151.db2.gz FDUQLZKALUVIKT-GFCCVEGCSA-N 1 2 298.228 3.566 20 0 CHADLO O=C(OCC1CCCCC1)c1cccc(Cn2cc[nH+]c2)c1 ZINC000916372307 1128417810 /nfs/dbraw/zinc/41/78/10/1128417810.db2.gz USIJUQVYPULFQG-UHFFFAOYSA-N 1 2 298.386 3.669 20 0 CHADLO C[C@H]1CC[N@H+](Cc2csc(C3CCCCC3)n2)CCO1 ZINC000932348596 1128405374 /nfs/dbraw/zinc/40/53/74/1128405374.db2.gz BADTUULVPCXZCD-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2csc(C3CCCCC3)n2)CCO1 ZINC000932348596 1128405376 /nfs/dbraw/zinc/40/53/76/1128405376.db2.gz BADTUULVPCXZCD-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO Fc1cccc(F)c1C[NH2+][C@@H](c1ccccn1)C1CC1 ZINC000932380722 1128406647 /nfs/dbraw/zinc/40/66/47/1128406647.db2.gz DQHFHKLLNCRIIQ-MRXNPFEDSA-N 1 2 274.314 3.601 20 0 CHADLO CC(C)C[N@H+](Cc1ccccn1)Cc1cccnc1Cl ZINC000932444399 1128410125 /nfs/dbraw/zinc/41/01/25/1128410125.db2.gz HTRYTWVLBXLNSW-UHFFFAOYSA-N 1 2 289.810 3.788 20 0 CHADLO CC(C)C[N@@H+](Cc1ccccn1)Cc1cccnc1Cl ZINC000932444399 1128410129 /nfs/dbraw/zinc/41/01/29/1128410129.db2.gz HTRYTWVLBXLNSW-UHFFFAOYSA-N 1 2 289.810 3.788 20 0 CHADLO CC[N@@H+]1CCC[C@H]1CN[C@@H](C)c1oc2ccccc2c1C ZINC000037213788 1128423071 /nfs/dbraw/zinc/42/30/71/1128423071.db2.gz JPDOGXRGRIWSSB-GJZGRUSLSA-N 1 2 286.419 3.876 20 0 CHADLO CC[N@H+]1CCC[C@H]1CN[C@@H](C)c1oc2ccccc2c1C ZINC000037213788 1128423072 /nfs/dbraw/zinc/42/30/72/1128423072.db2.gz JPDOGXRGRIWSSB-GJZGRUSLSA-N 1 2 286.419 3.876 20 0 CHADLO CCCc1noc(C[NH2+][C@@](C)(CCC)c2ccccc2)n1 ZINC000934182036 1128454096 /nfs/dbraw/zinc/45/40/96/1128454096.db2.gz FBCSVLUESCYBIQ-KRWDZBQOSA-N 1 2 287.407 3.827 20 0 CHADLO Cc1cc(F)ccc1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000125529009 1128450708 /nfs/dbraw/zinc/45/07/08/1128450708.db2.gz VOHRZQBBNYWUKM-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO FCCC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1ncc(Cl)s1 ZINC000934452557 1128460579 /nfs/dbraw/zinc/46/05/79/1128460579.db2.gz ILQVDRUANIILOH-FGWVZKOKSA-N 1 2 288.819 3.899 20 0 CHADLO FCCC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1ncc(Cl)s1 ZINC000934452557 1128460581 /nfs/dbraw/zinc/46/05/81/1128460581.db2.gz ILQVDRUANIILOH-FGWVZKOKSA-N 1 2 288.819 3.899 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc(C)cc(C)c2)cs1 ZINC000065301731 1128461988 /nfs/dbraw/zinc/46/19/88/1128461988.db2.gz YVZIMAFTGQRYAN-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc(C)cc(C)c2)cs1 ZINC000065301731 1128461991 /nfs/dbraw/zinc/46/19/91/1128461991.db2.gz YVZIMAFTGQRYAN-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO c1ccc(-c2ccc(SCC[NH+]3CCOCC3)cc2)cc1 ZINC000917253575 1128492238 /nfs/dbraw/zinc/49/22/38/1128492238.db2.gz BGLXQNZNDVTOGB-UHFFFAOYSA-N 1 2 299.439 3.778 20 0 CHADLO CC[N@H+](Cn1c2cc(Cl)ccc2n(C)c1=S)C1CC1 ZINC000917426810 1128499967 /nfs/dbraw/zinc/49/99/67/1128499967.db2.gz QROJMRZEMCUHQT-UHFFFAOYSA-N 1 2 295.839 3.804 20 0 CHADLO CC[N@@H+](Cn1c2cc(Cl)ccc2n(C)c1=S)C1CC1 ZINC000917426810 1128499968 /nfs/dbraw/zinc/49/99/68/1128499968.db2.gz QROJMRZEMCUHQT-UHFFFAOYSA-N 1 2 295.839 3.804 20 0 CHADLO C[C@H](CC(=O)N(C)[C@H]1CCC[C@@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000564803695 1128515789 /nfs/dbraw/zinc/51/57/89/1128515789.db2.gz SWPBLVRUWONBRZ-ILXRZTDVSA-N 1 2 291.439 3.507 20 0 CHADLO CN(c1ccccc1)c1ccc(C[N@@H+]2CCC[C@@H](F)C2)cn1 ZINC000565099481 1128518975 /nfs/dbraw/zinc/51/89/75/1128518975.db2.gz KFTKNHMPSCNUGJ-MRXNPFEDSA-N 1 2 299.393 3.783 20 0 CHADLO CN(c1ccccc1)c1ccc(C[N@H+]2CCC[C@@H](F)C2)cn1 ZINC000565099481 1128518978 /nfs/dbraw/zinc/51/89/78/1128518978.db2.gz KFTKNHMPSCNUGJ-MRXNPFEDSA-N 1 2 299.393 3.783 20 0 CHADLO CC(C)C[C@H]([NH2+]Cc1ccn(C(C)C)n1)c1ccccn1 ZINC000566581775 1128547499 /nfs/dbraw/zinc/54/74/99/1128547499.db2.gz KCPILYAXBIEKRJ-KRWDZBQOSA-N 1 2 286.423 3.736 20 0 CHADLO CC(C)(C)C[N@H+](CCCN1CCCC1=O)c1ccccc1 ZINC000566949802 1128554997 /nfs/dbraw/zinc/55/49/97/1128554997.db2.gz DMYNUEKXBOFNAH-UHFFFAOYSA-N 1 2 288.435 3.552 20 0 CHADLO CC(C)(C)C[N@@H+](CCCN1CCCC1=O)c1ccccc1 ZINC000566949802 1128554999 /nfs/dbraw/zinc/55/49/99/1128554999.db2.gz DMYNUEKXBOFNAH-UHFFFAOYSA-N 1 2 288.435 3.552 20 0 CHADLO Nc1ccc2c(c1)CC[N@@H+](Cc1ccsc1Cl)C2 ZINC001236105520 1128556195 /nfs/dbraw/zinc/55/61/95/1128556195.db2.gz JFMULNMYWTXBHJ-UHFFFAOYSA-N 1 2 278.808 3.542 20 0 CHADLO Nc1ccc2c(c1)CC[N@H+](Cc1ccsc1Cl)C2 ZINC001236105520 1128556197 /nfs/dbraw/zinc/55/61/97/1128556197.db2.gz JFMULNMYWTXBHJ-UHFFFAOYSA-N 1 2 278.808 3.542 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H](C)C(F)(F)F ZINC000567298209 1128562080 /nfs/dbraw/zinc/56/20/80/1128562080.db2.gz KWTXUFOVSPVGOB-SNVBAGLBSA-N 1 2 268.282 3.575 20 0 CHADLO CCCCc1ccc(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)cc1 ZINC000567364493 1128563118 /nfs/dbraw/zinc/56/31/18/1128563118.db2.gz LHQVANYJURCOSR-ZDUSSCGKSA-N 1 2 285.391 3.570 20 0 CHADLO CCCCc1ccc(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)cc1 ZINC000567364493 1128563122 /nfs/dbraw/zinc/56/31/22/1128563122.db2.gz LHQVANYJURCOSR-ZDUSSCGKSA-N 1 2 285.391 3.570 20 0 CHADLO CC(C)CC[C@H](NC(=O)[C@H](C)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC000568199029 1128580507 /nfs/dbraw/zinc/58/05/07/1128580507.db2.gz OFFIWULMXUSXGZ-HIFRSBDPSA-N 1 2 293.455 3.555 20 0 CHADLO CC(C)CC[C@H](NC(=O)[C@H](C)Cc1c[nH+]c[nH]1)C(C)(C)C ZINC000568199029 1128580510 /nfs/dbraw/zinc/58/05/10/1128580510.db2.gz OFFIWULMXUSXGZ-HIFRSBDPSA-N 1 2 293.455 3.555 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@H]2C[C@@H]2C)o1)c1nccs1 ZINC000070942497 1128580781 /nfs/dbraw/zinc/58/07/81/1128580781.db2.gz ATTHBNCGBXNIHF-NHCYSSNCSA-N 1 2 262.378 3.710 20 0 CHADLO Cc1cnc(F)cc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212851091 1128581360 /nfs/dbraw/zinc/58/13/60/1128581360.db2.gz ZCEHMHPCOFTBMS-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCCc3occc32)nc2ccccc12 ZINC000568379749 1128583623 /nfs/dbraw/zinc/58/36/23/1128583623.db2.gz AZWSBNHFOAEVPL-OAHLLOKOSA-N 1 2 293.370 3.698 20 0 CHADLO CCCc1nc(Cn2c[nH+]c(Cc3ccccc3)c2)cs1 ZINC000919138023 1128591492 /nfs/dbraw/zinc/59/14/92/1128591492.db2.gz ZTHWBXXHMRMKND-UHFFFAOYSA-N 1 2 297.427 3.931 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@@H]3CC(C)(C)C)ccn12 ZINC000568898309 1128593646 /nfs/dbraw/zinc/59/36/46/1128593646.db2.gz HYMVLGLQIXGQLT-OAHLLOKOSA-N 1 2 299.418 3.684 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cc3cccc(F)c3o2)[nH]c1C ZINC000569141085 1128599192 /nfs/dbraw/zinc/59/91/92/1128599192.db2.gz SHDZCNIZZLIMBG-NSHDSACASA-N 1 2 287.338 3.763 20 0 CHADLO COc1nc2ccccc2cc1C[NH+]1Cc2ccccc2C1 ZINC000556858471 1128631508 /nfs/dbraw/zinc/63/15/08/1128631508.db2.gz FDZAWFGVPZBRFW-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO C[C@@H](O)[C@@H]([NH2+]Cc1ccsc1Cl)c1ccccc1F ZINC000571052236 1128636210 /nfs/dbraw/zinc/63/62/10/1128636210.db2.gz QYAPYLNBUSNVGJ-NOZJJQNGSA-N 1 2 299.798 3.752 20 0 CHADLO CC1(C)C[N@H+](Cn2cccnc2=S)[C@H]1c1ccccc1 ZINC000919947782 1128653788 /nfs/dbraw/zinc/65/37/88/1128653788.db2.gz FCUFFMBTQVBKQN-AWEZNQCLSA-N 1 2 285.416 3.653 20 0 CHADLO CC1(C)C[N@@H+](Cn2cccnc2=S)[C@H]1c1ccccc1 ZINC000919947782 1128653789 /nfs/dbraw/zinc/65/37/89/1128653789.db2.gz FCUFFMBTQVBKQN-AWEZNQCLSA-N 1 2 285.416 3.653 20 0 CHADLO NC(=[NH+]OCc1ccc(Cl)c(Cl)c1)c1ccccc1 ZINC000047823477 1128673854 /nfs/dbraw/zinc/67/38/54/1128673854.db2.gz JRAHDPRFMLEBDP-UHFFFAOYSA-N 1 2 295.169 3.831 20 0 CHADLO C[NH+](C)c1ccc(NCc2cccc3c2NCCC3)cc1 ZINC000159331868 1128681992 /nfs/dbraw/zinc/68/19/92/1128681992.db2.gz WTKWCZGBTWWJFU-UHFFFAOYSA-N 1 2 281.403 3.723 20 0 CHADLO CC(C)n1cncc1C[N@@H+]1CCc2cccc(F)c2[C@@H]1C ZINC000577860999 1128694603 /nfs/dbraw/zinc/69/46/03/1128694603.db2.gz LZOYKFIYBLXHLP-ZDUSSCGKSA-N 1 2 287.382 3.722 20 0 CHADLO CC(C)n1cncc1C[N@H+]1CCc2cccc(F)c2[C@@H]1C ZINC000577860999 1128694605 /nfs/dbraw/zinc/69/46/05/1128694605.db2.gz LZOYKFIYBLXHLP-ZDUSSCGKSA-N 1 2 287.382 3.722 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC(F)(F)[C@H](C)C2)n1 ZINC000577920741 1128698680 /nfs/dbraw/zinc/69/86/80/1128698680.db2.gz AVZLQQOBRFUYGI-GFCCVEGCSA-N 1 2 285.382 3.721 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC(F)(F)[C@H](C)C2)n1 ZINC000577920741 1128698682 /nfs/dbraw/zinc/69/86/82/1128698682.db2.gz AVZLQQOBRFUYGI-GFCCVEGCSA-N 1 2 285.382 3.721 20 0 CHADLO C[N@@H+](Cc1csc2ccccc12)Cn1cc(Cl)cn1 ZINC000921185932 1128702916 /nfs/dbraw/zinc/70/29/16/1128702916.db2.gz CHYVAMNPDHZBJB-UHFFFAOYSA-N 1 2 291.807 3.841 20 0 CHADLO C[N@H+](Cc1csc2ccccc12)Cn1cc(Cl)cn1 ZINC000921185932 1128702919 /nfs/dbraw/zinc/70/29/19/1128702919.db2.gz CHYVAMNPDHZBJB-UHFFFAOYSA-N 1 2 291.807 3.841 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCc2cc(Br)ccc21 ZINC000378754164 1128719082 /nfs/dbraw/zinc/71/90/82/1128719082.db2.gz QVBZNZOMCNYGNR-LLVKDONJSA-N 1 2 290.151 3.681 20 0 CHADLO C[C@H]1[C@H](c2ccccc2)CC[N@@H+]1Cn1ncsc1=S ZINC000175267606 1128722215 /nfs/dbraw/zinc/72/22/15/1128722215.db2.gz KDXXLOVPHBIYMM-WCQYABFASA-N 1 2 291.445 3.510 20 0 CHADLO C[C@H]1[C@H](c2ccccc2)CC[N@H+]1Cn1ncsc1=S ZINC000175267606 1128722220 /nfs/dbraw/zinc/72/22/20/1128722220.db2.gz KDXXLOVPHBIYMM-WCQYABFASA-N 1 2 291.445 3.510 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](C[C@H](C)c1ccccc1)C2 ZINC001207914447 1117763666 /nfs/dbraw/zinc/76/36/66/1117763666.db2.gz ULTQNEOYXUGRSU-NSHDSACASA-N 1 2 258.390 3.571 20 0 CHADLO Cc1cc(NC(=O)c2cc3c(s2)CC[C@@H](C)C3)cc[nH+]1 ZINC000010162885 1117774239 /nfs/dbraw/zinc/77/42/39/1117774239.db2.gz UEIIGNVREUNQCA-SNVBAGLBSA-N 1 2 286.400 3.829 20 0 CHADLO Cc1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1CO ZINC001213501132 1117781985 /nfs/dbraw/zinc/78/19/85/1117781985.db2.gz JLCOBDWLMDNFCU-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO C[C@H]1C[N@H+](Cc2cncc(C(F)(F)F)c2)CCC1(F)F ZINC000361373606 1117789321 /nfs/dbraw/zinc/78/93/21/1117789321.db2.gz COALXACKMDLYAC-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cncc(C(F)(F)F)c2)CCC1(F)F ZINC000361373606 1117789329 /nfs/dbraw/zinc/78/93/29/1117789329.db2.gz COALXACKMDLYAC-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)[C@H](C)C(=O)OC(C)(C)C ZINC000610012834 1128735687 /nfs/dbraw/zinc/73/56/87/1128735687.db2.gz IANCFPWTJAHXSZ-GFCCVEGCSA-N 1 2 297.826 3.892 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)[C@H](C)C(=O)OC(C)(C)C ZINC000610012834 1128735691 /nfs/dbraw/zinc/73/56/91/1128735691.db2.gz IANCFPWTJAHXSZ-GFCCVEGCSA-N 1 2 297.826 3.892 20 0 CHADLO CCCN(C)c1c(C(=O)OCC)c[nH+]c2ccc(C)cc21 ZINC000610160866 1128740039 /nfs/dbraw/zinc/74/00/39/1128740039.db2.gz VNNUXBIVYVKSFG-UHFFFAOYSA-N 1 2 286.375 3.566 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2cc(F)cc(F)c2)cs1 ZINC000339496254 1117808657 /nfs/dbraw/zinc/80/86/57/1117808657.db2.gz QGSJGJDLIBFFQH-QMMMGPOBSA-N 1 2 268.332 3.581 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2cc(F)ccc2F)C(C)C)s1 ZINC000530465625 1117814701 /nfs/dbraw/zinc/81/47/01/1117814701.db2.gz SPFMWLSLOIOFCU-CQSZACIVSA-N 1 2 297.374 3.612 20 0 CHADLO C[N@@H+](Cc1csc(-c2ccco2)n1)CC1=CCSC1 ZINC000662271323 1117817352 /nfs/dbraw/zinc/81/73/52/1117817352.db2.gz VHSKRUOFJPPUJU-UHFFFAOYSA-N 1 2 292.429 3.508 20 0 CHADLO C[N@H+](Cc1csc(-c2ccco2)n1)CC1=CCSC1 ZINC000662271323 1117817356 /nfs/dbraw/zinc/81/73/56/1117817356.db2.gz VHSKRUOFJPPUJU-UHFFFAOYSA-N 1 2 292.429 3.508 20 0 CHADLO CC[C@H](c1ccccc1)N(CC)C(=O)CCCn1cc[nH+]c1 ZINC000623254012 1117826734 /nfs/dbraw/zinc/82/67/34/1117826734.db2.gz ZKKJNDKKJMRPIR-QGZVFWFLSA-N 1 2 299.418 3.663 20 0 CHADLO COCC[N@H+](Cc1cccs1)Cc1cccc(F)c1F ZINC000045004112 1117831576 /nfs/dbraw/zinc/83/15/76/1117831576.db2.gz DNAUBMJTAIHPPR-UHFFFAOYSA-N 1 2 297.370 3.675 20 0 CHADLO COCC[N@@H+](Cc1cccs1)Cc1cccc(F)c1F ZINC000045004112 1117831580 /nfs/dbraw/zinc/83/15/80/1117831580.db2.gz DNAUBMJTAIHPPR-UHFFFAOYSA-N 1 2 297.370 3.675 20 0 CHADLO COCc1nc(C[NH2+][C@H](C)c2ccccc2Cl)cs1 ZINC000339553442 1117850577 /nfs/dbraw/zinc/85/05/77/1117850577.db2.gz IEYKYTYZGAUPJS-SNVBAGLBSA-N 1 2 296.823 3.794 20 0 CHADLO COC(=O)c1csc(C)c1Nc1c[nH+]c(C)cc1C ZINC001213508442 1117852476 /nfs/dbraw/zinc/85/24/76/1117852476.db2.gz SHTOVWOGEUTPFT-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCc3sc(Cl)cc32)[nH]c1C ZINC000579142660 1128739086 /nfs/dbraw/zinc/73/90/86/1128739086.db2.gz GTAOPVFEGBLTSU-JTQLQIEISA-N 1 2 281.812 3.519 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc3cc[nH]c3c2)CCCC1 ZINC000623526695 1117867502 /nfs/dbraw/zinc/86/75/02/1117867502.db2.gz OBWDKVIRAJWTPP-UHFFFAOYSA-N 1 2 264.319 3.835 20 0 CHADLO Cc1c(F)cc[nH+]c1N1CC[C@H](C2CCCCC2)C1 ZINC001167068341 1117878716 /nfs/dbraw/zinc/87/87/16/1117878716.db2.gz SDEYPIBFHBYNOG-AWEZNQCLSA-N 1 2 262.372 3.936 20 0 CHADLO CNc1ccc(Nc2ccccc2C(F)(F)F)c[nH+]1 ZINC001203455319 1128741301 /nfs/dbraw/zinc/74/13/01/1128741301.db2.gz RDBKSLJWVWZJJT-UHFFFAOYSA-N 1 2 267.254 3.886 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(C3CCCC3)n2)[C@H]1C ZINC000339579048 1117886148 /nfs/dbraw/zinc/88/61/48/1117886148.db2.gz KXXDHGWNTQKLHN-MNOVXSKESA-N 1 2 250.411 3.641 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(C3CCCC3)n2)[C@H]1C ZINC000339579048 1117886156 /nfs/dbraw/zinc/88/61/56/1117886156.db2.gz KXXDHGWNTQKLHN-MNOVXSKESA-N 1 2 250.411 3.641 20 0 CHADLO C[C@@H]([NH2+][C@@H](CN1CCCC1)c1ccccc1)c1ccoc1 ZINC000178500320 1117892603 /nfs/dbraw/zinc/89/26/03/1117892603.db2.gz WDYAAAHBPVHTJW-QAPCUYQASA-N 1 2 284.403 3.767 20 0 CHADLO Cc1ccc(SCC[N@@H+](C)Cc2nccs2)cc1C ZINC000350452895 1117908224 /nfs/dbraw/zinc/90/82/24/1117908224.db2.gz DUXCCAJLWHDXAW-UHFFFAOYSA-N 1 2 292.473 3.984 20 0 CHADLO Cc1ccc(SCC[N@H+](C)Cc2nccs2)cc1C ZINC000350452895 1117908228 /nfs/dbraw/zinc/90/82/28/1117908228.db2.gz DUXCCAJLWHDXAW-UHFFFAOYSA-N 1 2 292.473 3.984 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCC[C@@](C)(F)C2)c1F ZINC001238424121 1117925544 /nfs/dbraw/zinc/92/55/44/1117925544.db2.gz QQALNDKVSNAVPB-MRXNPFEDSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCC[C@@](C)(F)C2)c1F ZINC001238424121 1117925549 /nfs/dbraw/zinc/92/55/49/1117925549.db2.gz QQALNDKVSNAVPB-MRXNPFEDSA-N 1 2 283.362 3.937 20 0 CHADLO COc1ccc[nH+]c1NCCCO[C@@H](C)c1ccccc1 ZINC000623813487 1117939653 /nfs/dbraw/zinc/93/96/53/1117939653.db2.gz QBYJDXBFFKSELB-AWEZNQCLSA-N 1 2 286.375 3.670 20 0 CHADLO Cc1ccnc(C[N@H+]2CC[C@@H](C)[C@H]2c2cccc(F)c2)n1 ZINC000339657811 1117948572 /nfs/dbraw/zinc/94/85/72/1117948572.db2.gz DULCUVSHTKWKIU-PXAZEXFGSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC[C@@H](C)[C@H]2c2cccc(F)c2)n1 ZINC000339657811 1117948575 /nfs/dbraw/zinc/94/85/75/1117948575.db2.gz DULCUVSHTKWKIU-PXAZEXFGSA-N 1 2 285.366 3.507 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2nccc(C)n2)o1 ZINC000339662486 1117949355 /nfs/dbraw/zinc/94/93/55/1117949355.db2.gz JTHNFCWVCVIESB-BBRMVZONSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2nccc(C)n2)o1 ZINC000339662486 1117949358 /nfs/dbraw/zinc/94/93/58/1117949358.db2.gz JTHNFCWVCVIESB-BBRMVZONSA-N 1 2 299.418 3.914 20 0 CHADLO Cc1cc(N2CCC[C@@H]3CCCC[C@@H]32)nc(C2CC2)[nH+]1 ZINC000339668446 1117951087 /nfs/dbraw/zinc/95/10/87/1117951087.db2.gz JBIAXMDRNKSUAK-ZFWWWQNUSA-N 1 2 271.408 3.821 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1ncc(-c2ccccc2)s1 ZINC000339669494 1117951344 /nfs/dbraw/zinc/95/13/44/1117951344.db2.gz RHRCNCYEBPXDMD-ZDUSSCGKSA-N 1 2 276.380 3.744 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1ncc(-c2ccccc2)s1 ZINC000339669494 1117951345 /nfs/dbraw/zinc/95/13/45/1117951345.db2.gz RHRCNCYEBPXDMD-ZDUSSCGKSA-N 1 2 276.380 3.744 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1csnn1)c1ccc(F)cc1 ZINC000037119216 1117966967 /nfs/dbraw/zinc/96/69/67/1117966967.db2.gz WHWFXUFITLRYEQ-CYBMUJFWSA-N 1 2 279.384 3.554 20 0 CHADLO CC[C@H]1CN(c2[nH+]ccc3ccccc32)CCS1 ZINC000624007524 1117971103 /nfs/dbraw/zinc/97/11/03/1117971103.db2.gz YCUISLJYDMXDKS-ZDUSSCGKSA-N 1 2 258.390 3.567 20 0 CHADLO COc1ccccc1C1([NH2+][C@H](C)c2nc(C)cs2)CC1 ZINC000624006213 1117971280 /nfs/dbraw/zinc/97/12/80/1117971280.db2.gz OZTNCIRFLYMTQD-GFCCVEGCSA-N 1 2 288.416 3.800 20 0 CHADLO COc1ccc[nH+]c1NC[C@@H]1SCCc2ccccc21 ZINC000624024818 1117971370 /nfs/dbraw/zinc/97/13/70/1117971370.db2.gz ZYKYUXCKILCPHO-HNNXBMFYSA-N 1 2 286.400 3.533 20 0 CHADLO Cc1nnsc1C[N@@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000579430708 1128748432 /nfs/dbraw/zinc/74/84/32/1128748432.db2.gz XVUPGVRXYAGICA-JTQLQIEISA-N 1 2 281.812 3.693 20 0 CHADLO Cc1nnsc1C[N@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000579430708 1128748434 /nfs/dbraw/zinc/74/84/34/1128748434.db2.gz XVUPGVRXYAGICA-JTQLQIEISA-N 1 2 281.812 3.693 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1cnc(Cl)nc1 ZINC001139992248 1117996156 /nfs/dbraw/zinc/99/61/56/1117996156.db2.gz VVQVDAGKAASWCG-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1cnc(Cl)nc1 ZINC001139992248 1117996158 /nfs/dbraw/zinc/99/61/58/1117996158.db2.gz VVQVDAGKAASWCG-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO CC(C)C[N@H+](Cc1ccn(C(C)C)n1)Cc1ccccn1 ZINC000932444287 1117997209 /nfs/dbraw/zinc/99/72/09/1117997209.db2.gz HHVOVOVQSAAWRM-UHFFFAOYSA-N 1 2 286.423 3.517 20 0 CHADLO CC(C)C[N@@H+](Cc1ccn(C(C)C)n1)Cc1ccccn1 ZINC000932444287 1117997210 /nfs/dbraw/zinc/99/72/10/1117997210.db2.gz HHVOVOVQSAAWRM-UHFFFAOYSA-N 1 2 286.423 3.517 20 0 CHADLO Clc1ccc2oc(NCc3cccc4[nH+]ccn43)nc2c1 ZINC000181505302 1118000304 /nfs/dbraw/zinc/00/03/04/1118000304.db2.gz VTDBBVOWXBBIJM-UHFFFAOYSA-N 1 2 298.733 3.741 20 0 CHADLO CC(C)(C)SCCCC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000754024877 1118000591 /nfs/dbraw/zinc/00/05/91/1118000591.db2.gz PIEPIOHPHTVSRW-UHFFFAOYSA-N 1 2 291.420 3.585 20 0 CHADLO COc1ccccc1[C@@H](C)N(C)c1[nH+]cc(C)cc1OC ZINC001167109681 1118024883 /nfs/dbraw/zinc/02/48/83/1118024883.db2.gz OSJQVSYIAJZCQV-CYBMUJFWSA-N 1 2 286.375 3.605 20 0 CHADLO COc1ccccc1[C@H](C)N(C)c1[nH+]cc(C)cc1OC ZINC001167109683 1118025213 /nfs/dbraw/zinc/02/52/13/1118025213.db2.gz OSJQVSYIAJZCQV-ZDUSSCGKSA-N 1 2 286.375 3.605 20 0 CHADLO Clc1cnc(NCCCNc2cccc[nH+]2)c(Cl)c1 ZINC000339977037 1118030409 /nfs/dbraw/zinc/03/04/09/1118030409.db2.gz RVLDAWWDWRIDNH-UHFFFAOYSA-N 1 2 297.189 3.698 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2ccc(OC)cc2)cc(C)[nH+]1 ZINC000339979530 1118031424 /nfs/dbraw/zinc/03/14/24/1118031424.db2.gz YJFDENQJLHYUCD-MRXNPFEDSA-N 1 2 297.402 3.698 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCS[C@@H](C)[C@@H]2C)s1 ZINC000183082907 1118036749 /nfs/dbraw/zinc/03/67/49/1118036749.db2.gz FDQKKIKRHGLISD-QWRGUYRKSA-N 1 2 270.467 3.592 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCS[C@@H](C)[C@@H]2C)s1 ZINC000183082907 1118036755 /nfs/dbraw/zinc/03/67/55/1118036755.db2.gz FDQKKIKRHGLISD-QWRGUYRKSA-N 1 2 270.467 3.592 20 0 CHADLO N#Cc1ccc(F)c(C[NH2+]C2(c3ccccc3F)CC2)c1 ZINC000179640449 1128752044 /nfs/dbraw/zinc/75/20/44/1128752044.db2.gz CJASHQDCCNKZKQ-UHFFFAOYSA-N 1 2 284.309 3.615 20 0 CHADLO CC(C)Oc1cccc([C@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)c1 ZINC000367629340 1118055401 /nfs/dbraw/zinc/05/54/01/1118055401.db2.gz BAOVWHKNWJEYNV-SUMWQHHRSA-N 1 2 299.418 3.925 20 0 CHADLO CCC[C@@H]([NH2+][C@@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000368607180 1118058217 /nfs/dbraw/zinc/05/82/17/1118058217.db2.gz GXBIBWSCXVKJRM-HZPDHXFCSA-N 1 2 269.392 3.918 20 0 CHADLO C[C@@H](Nc1[nH+]c2ccccc2n1C)c1ccccc1 ZINC000079043926 1118072311 /nfs/dbraw/zinc/07/23/11/1118072311.db2.gz IBAKBMACWIWKSD-GFCCVEGCSA-N 1 2 251.333 3.746 20 0 CHADLO C/C=C(/C=C/C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)CC ZINC000764740101 1118109969 /nfs/dbraw/zinc/10/99/69/1118109969.db2.gz XHYXJOFWERBHEZ-LXHIFTQNSA-N 1 2 281.359 3.723 20 0 CHADLO Fc1cc(C[NH2+]Cc2cc(C3CC3)no2)c(F)cc1Cl ZINC000639114613 1118112749 /nfs/dbraw/zinc/11/27/49/1118112749.db2.gz GGDYALRCKPAWLF-UHFFFAOYSA-N 1 2 298.720 3.773 20 0 CHADLO C/C=C(/C=C/C(=O)Nc1ccccc1-n1cc[nH+]c1)CC ZINC000764805161 1118115946 /nfs/dbraw/zinc/11/59/46/1118115946.db2.gz BZPWXZZLCQMXQD-KMSCECPDSA-N 1 2 281.359 3.723 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1csnn1)c1ccc(Cl)cc1 ZINC000398289529 1118117692 /nfs/dbraw/zinc/11/76/92/1118117692.db2.gz BMXOTOAYGSWQNA-BXKDBHETSA-N 1 2 281.812 3.993 20 0 CHADLO CNc1ccc(Nc2ccc(OC(F)F)cc2C)c[nH+]1 ZINC001203456524 1118141749 /nfs/dbraw/zinc/14/17/49/1118141749.db2.gz IETLGCVBTDDXQA-UHFFFAOYSA-N 1 2 279.290 3.777 20 0 CHADLO Cc1cnc(Cl)c(Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210046690 1118142494 /nfs/dbraw/zinc/14/24/94/1118142494.db2.gz MYPIAKYRQNZKDF-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO CNc1ccc(Nc2ccc(OC(F)(F)F)cc2)c[nH+]1 ZINC001203456644 1118143531 /nfs/dbraw/zinc/14/35/31/1118143531.db2.gz OJHZBKFQATZOBK-UHFFFAOYSA-N 1 2 283.253 3.766 20 0 CHADLO CNc1ccc(Nc2ccccc2-c2ccccn2)c[nH+]1 ZINC001203456757 1118144043 /nfs/dbraw/zinc/14/40/43/1118144043.db2.gz UYKLKRXYIMSHGW-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCc3c2nccc3Cl)cc1 ZINC000926027802 1118154647 /nfs/dbraw/zinc/15/46/47/1118154647.db2.gz QIBQDEKMAFKHFF-HNNXBMFYSA-N 1 2 287.794 3.900 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2ccc(-c3cccs3)cc2)o1 ZINC000683522733 1118168802 /nfs/dbraw/zinc/16/88/02/1118168802.db2.gz YYMRRLNTSVKFOF-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Fc1ccc(C2CC[NH+](Cn3cc(Cl)cn3)CC2)cc1 ZINC000083630934 1118189604 /nfs/dbraw/zinc/18/96/04/1118189604.db2.gz VDDOIDPOHYWVQR-UHFFFAOYSA-N 1 2 293.773 3.513 20 0 CHADLO COc1cccc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)co2)c1 ZINC000921563038 1118201256 /nfs/dbraw/zinc/20/12/56/1118201256.db2.gz KRGNCKLCXVTIBI-LBPRGKRZSA-N 1 2 288.391 3.832 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)c(C)o1 ZINC001210279338 1118228351 /nfs/dbraw/zinc/22/83/51/1118228351.db2.gz PWLFTPZJCXTCKQ-UHFFFAOYSA-N 1 2 257.337 3.635 20 0 CHADLO CNc1ccc(Nc2ccc(-c3ccccn3)cc2)c[nH+]1 ZINC001203458919 1118228678 /nfs/dbraw/zinc/22/86/78/1118228678.db2.gz UIISQOITDDWKNY-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO CNc1ccc(Nc2ccc(CC(F)(F)F)cc2)c[nH+]1 ZINC001203458926 1118229220 /nfs/dbraw/zinc/22/92/20/1118229220.db2.gz URENPCGDDPLWMV-UHFFFAOYSA-N 1 2 281.281 3.972 20 0 CHADLO Clc1ccc(-c2cnc(C[NH+]3CCSCC3)o2)cc1 ZINC000041366763 1118230252 /nfs/dbraw/zinc/23/02/52/1118230252.db2.gz RVWJZQISHZJFNN-UHFFFAOYSA-N 1 2 294.807 3.544 20 0 CHADLO Cc1[nH+]cc(Nc2nc3cc(Cl)ccc3o2)cc1N ZINC001210285818 1118232567 /nfs/dbraw/zinc/23/25/67/1118232567.db2.gz SQPGVTVBCBIADT-UHFFFAOYSA-N 1 2 274.711 3.510 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2OC(F)(F)F)cc1N ZINC001210288734 1118235453 /nfs/dbraw/zinc/23/54/53/1118235453.db2.gz TYXACIKQOLXSTK-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO Cc1cc2cc(Nc3c[nH+]c(C)c(N)c3)ccc2o1 ZINC001210289635 1118236481 /nfs/dbraw/zinc/23/64/81/1118236481.db2.gz VXIXKBCIELEOTD-UHFFFAOYSA-N 1 2 253.305 3.770 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(F)cc2F)cc1F ZINC000043626992 1118246641 /nfs/dbraw/zinc/24/66/41/1118246641.db2.gz PPVOXBAOALAVID-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(F)cc2F)cc1F ZINC000043626992 1118246645 /nfs/dbraw/zinc/24/66/45/1118246645.db2.gz PPVOXBAOALAVID-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO Fc1ccc(C[N@@H+]2CCO[C@@H](c3ccc(F)cc3)C2)cc1 ZINC000044736193 1118251584 /nfs/dbraw/zinc/25/15/84/1118251584.db2.gz IMQKMSYBPOHBLO-QGZVFWFLSA-N 1 2 289.325 3.538 20 0 CHADLO Fc1ccc(C[N@H+]2CCO[C@@H](c3ccc(F)cc3)C2)cc1 ZINC000044736193 1118251587 /nfs/dbraw/zinc/25/15/87/1118251587.db2.gz IMQKMSYBPOHBLO-QGZVFWFLSA-N 1 2 289.325 3.538 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1nc2cc(F)ccc2o1 ZINC001648851427 1118259346 /nfs/dbraw/zinc/25/93/46/1118259346.db2.gz LNPUAHUSRGMUGZ-UHFFFAOYSA-N 1 2 299.349 3.953 20 0 CHADLO O=C(CC1CCCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000046105605 1118259974 /nfs/dbraw/zinc/25/99/74/1118259974.db2.gz CCNOWAGEISYOHA-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO COc1ccc(C[N@H+](C)CC=C(Cl)Cl)cc1C ZINC001167321087 1118282662 /nfs/dbraw/zinc/28/26/62/1118282662.db2.gz CVFNNLCJQCZOLZ-UHFFFAOYSA-N 1 2 274.191 3.754 20 0 CHADLO COc1ccc(C[N@@H+](C)CC=C(Cl)Cl)cc1C ZINC001167321087 1118282664 /nfs/dbraw/zinc/28/26/64/1118282664.db2.gz CVFNNLCJQCZOLZ-UHFFFAOYSA-N 1 2 274.191 3.754 20 0 CHADLO C[C@H]1CCCC[C@@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000022152800 1118304315 /nfs/dbraw/zinc/30/43/15/1118304315.db2.gz YDYCNKMVKHTIJO-YOEHRIQHSA-N 1 2 274.408 3.514 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+]Cc2c(F)cc(F)cc2F)c1 ZINC000340494490 1118306687 /nfs/dbraw/zinc/30/66/87/1118306687.db2.gz FDHJCAKLOIWQGM-SNVBAGLBSA-N 1 2 280.293 3.658 20 0 CHADLO C[C@@H](c1ccc(OC(F)F)cc1)[N@H+](C)Cc1cscn1 ZINC000063592751 1118318167 /nfs/dbraw/zinc/31/81/67/1118318167.db2.gz ZCFFYNZNRPPYPP-JTQLQIEISA-N 1 2 298.358 3.938 20 0 CHADLO C[C@@H](c1ccc(OC(F)F)cc1)[N@@H+](C)Cc1cscn1 ZINC000063592751 1118318173 /nfs/dbraw/zinc/31/81/73/1118318173.db2.gz ZCFFYNZNRPPYPP-JTQLQIEISA-N 1 2 298.358 3.938 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(F)c1Cl ZINC001210541810 1118320772 /nfs/dbraw/zinc/32/07/72/1118320772.db2.gz UFKNFMUQXDTSNZ-UHFFFAOYSA-N 1 2 275.714 3.709 20 0 CHADLO C[NH+](C)[C@@H](c1nc([C@@H]2CCC[C@@H]3C[C@@H]32)no1)c1ccccc1 ZINC000656049436 1118340657 /nfs/dbraw/zinc/34/06/57/1118340657.db2.gz NAACBDAKAYKQDE-LVQVYYBASA-N 1 2 297.402 3.624 20 0 CHADLO CN(Cc1c(F)cccc1Cl)c1cccc[nH+]1 ZINC000067949156 1118356754 /nfs/dbraw/zinc/35/67/54/1118356754.db2.gz BXOKYRGDJYQKGV-UHFFFAOYSA-N 1 2 250.704 3.511 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)s1 ZINC000671770911 1118370900 /nfs/dbraw/zinc/37/09/00/1118370900.db2.gz XTMAHUZYLALQIY-SFYZADRCSA-N 1 2 283.347 3.537 20 0 CHADLO COc1cc(Nc2ccc3c(=O)cc(C)oc3c2)cc(C)[nH+]1 ZINC001210781046 1118385243 /nfs/dbraw/zinc/38/52/43/1118385243.db2.gz VXRQKAWWTSIXNG-UHFFFAOYSA-N 1 2 296.326 3.557 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2sccc2Cl)nc1 ZINC000683839524 1118403403 /nfs/dbraw/zinc/40/34/03/1118403403.db2.gz KJJYZTBWABUPSH-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2sccc2Cl)nc1 ZINC000683839524 1118403404 /nfs/dbraw/zinc/40/34/04/1118403404.db2.gz KJJYZTBWABUPSH-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC1(C)C[C@H](Sc2nc3ccccc3n3c[nH+]cc23)CO1 ZINC000624484875 1118410082 /nfs/dbraw/zinc/41/00/82/1118410082.db2.gz FVOXXNOFSBSFDP-NSHDSACASA-N 1 2 299.399 3.542 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cccc(C(C)C)c2)c[nH+]1 ZINC000616114439 1128776109 /nfs/dbraw/zinc/77/61/09/1128776109.db2.gz ADOBRNMAYWUOHP-UHFFFAOYSA-N 1 2 282.387 3.752 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2CC3(C2)CCCCC3)c[nH+]1 ZINC000616115021 1128776208 /nfs/dbraw/zinc/77/62/08/1128776208.db2.gz GODYIJQDIFJEAK-UHFFFAOYSA-N 1 2 286.419 3.675 20 0 CHADLO Cc1ccc(-n2ccn(C[N@@H+](C)C3CC3)c2=S)cc1C ZINC000049227398 1118426045 /nfs/dbraw/zinc/42/60/45/1118426045.db2.gz COYOZVKDYNGIEE-UHFFFAOYSA-N 1 2 287.432 3.677 20 0 CHADLO Cc1ccc(-n2ccn(C[N@H+](C)C3CC3)c2=S)cc1C ZINC000049227398 1118426046 /nfs/dbraw/zinc/42/60/46/1118426046.db2.gz COYOZVKDYNGIEE-UHFFFAOYSA-N 1 2 287.432 3.677 20 0 CHADLO Cc1nc(C)c(C[N@H+](Cc2ccccc2F)C2CC2)o1 ZINC000683897056 1118432202 /nfs/dbraw/zinc/43/22/02/1118432202.db2.gz KQTDKJXAVMFJKM-UHFFFAOYSA-N 1 2 274.339 3.595 20 0 CHADLO Cc1nc(C)c(C[N@@H+](Cc2ccccc2F)C2CC2)o1 ZINC000683897056 1118432205 /nfs/dbraw/zinc/43/22/05/1118432205.db2.gz KQTDKJXAVMFJKM-UHFFFAOYSA-N 1 2 274.339 3.595 20 0 CHADLO C(=C\c1cccc2[nH+]ccn21)\c1nc2ccccc2o1 ZINC000255493556 1118442301 /nfs/dbraw/zinc/44/23/01/1118442301.db2.gz BEROQHDLVYYKOX-HJWRWDBZSA-N 1 2 261.284 3.646 20 0 CHADLO Cc1c[nH+]c(CCNc2ncnc3cccc(C)c32)c(C)c1 ZINC000656210839 1118446171 /nfs/dbraw/zinc/44/61/71/1118446171.db2.gz IOJSWZDXXHWGJB-UHFFFAOYSA-N 1 2 292.386 3.605 20 0 CHADLO C[C@H](C1CC1)[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000782183339 1118449141 /nfs/dbraw/zinc/44/91/41/1118449141.db2.gz KFOJGURSUMPYLX-MEBBXXQBSA-N 1 2 283.774 3.651 20 0 CHADLO C[C@H](C1CC1)[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000782183339 1118449143 /nfs/dbraw/zinc/44/91/43/1118449143.db2.gz KFOJGURSUMPYLX-MEBBXXQBSA-N 1 2 283.774 3.651 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(CO)c2C)c1 ZINC001211166757 1118474293 /nfs/dbraw/zinc/47/42/93/1118474293.db2.gz ZQSGECFSSDZOIC-UHFFFAOYSA-N 1 2 256.349 3.578 20 0 CHADLO Clc1ccncc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001211210605 1118478275 /nfs/dbraw/zinc/47/82/75/1118478275.db2.gz LWWHEJZJLAGKES-UHFFFAOYSA-N 1 2 284.750 3.723 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ccc(C)cc2Cl)C[C@@H](C)O1 ZINC001167420380 1118481696 /nfs/dbraw/zinc/48/16/96/1118481696.db2.gz CIUKPAOQHRTXAN-OCCSQVGLSA-N 1 2 267.800 3.648 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ccc(C)cc2Cl)C[C@@H](C)O1 ZINC001167420380 1118481698 /nfs/dbraw/zinc/48/16/98/1118481698.db2.gz CIUKPAOQHRTXAN-OCCSQVGLSA-N 1 2 267.800 3.648 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@H+](C)Cc1ccc(C)cc1 ZINC000160634567 1118504114 /nfs/dbraw/zinc/50/41/14/1118504114.db2.gz KOOMELBKBDQXSU-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@@H+](C)Cc1ccc(C)cc1 ZINC000160634567 1118504117 /nfs/dbraw/zinc/50/41/17/1118504117.db2.gz KOOMELBKBDQXSU-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO Cc1cc(C)c(Nc2cc(Cl)ccc2CO)c[nH+]1 ZINC001213518209 1118515108 /nfs/dbraw/zinc/51/51/08/1118515108.db2.gz AYJMMTFIPBWEKZ-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1cc(C)c(Nc2ccc(C3(C)COC3)cc2)c[nH+]1 ZINC001213521001 1118521398 /nfs/dbraw/zinc/52/13/98/1118521398.db2.gz OGJRYBQQDNCWGN-UHFFFAOYSA-N 1 2 268.360 3.730 20 0 CHADLO COC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)c(Cl)c1 ZINC001213520954 1118521743 /nfs/dbraw/zinc/52/17/43/1118521743.db2.gz MBFFYKYJKOGTMD-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2ccc(Cl)o2)o1 ZINC000071007014 1118551642 /nfs/dbraw/zinc/55/16/42/1118551642.db2.gz QFRGEOQRGZJIBE-BXKDBHETSA-N 1 2 265.740 3.939 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)NCC3)c1 ZINC001213151527 1118571133 /nfs/dbraw/zinc/57/11/33/1118571133.db2.gz ACUKQSIVBITGII-UHFFFAOYSA-N 1 2 253.349 3.746 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2c3ccccc3[nH]c21)C(F)F ZINC000389954983 1118590135 /nfs/dbraw/zinc/59/01/35/1118590135.db2.gz WNHINOWWUFIPCM-RNCFNFMXSA-N 1 2 264.319 3.789 20 0 CHADLO Cc1nc(N2Cc3ccccc3OC(C)(C)C2)c(C)c(C)[nH+]1 ZINC000341070796 1118599742 /nfs/dbraw/zinc/59/97/42/1118599742.db2.gz NVZZMXHJFJILES-UHFFFAOYSA-N 1 2 297.402 3.579 20 0 CHADLO CCc1nc(C[NH2+][C@H](CC(C)C)c2ccccn2)co1 ZINC000834898225 1118617141 /nfs/dbraw/zinc/61/71/41/1118617141.db2.gz KJNPNHXYJFSZLB-OAHLLOKOSA-N 1 2 273.380 3.509 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCc3ccc(Cl)cc32)co1 ZINC000834900970 1118620545 /nfs/dbraw/zinc/62/05/45/1118620545.db2.gz XZXQCBIQDHULMX-CQSZACIVSA-N 1 2 276.767 3.668 20 0 CHADLO COc1ccc(C[NH+]2CC(CC(F)(F)F)C2)cc1Cl ZINC000656686612 1118635561 /nfs/dbraw/zinc/63/55/61/1118635561.db2.gz QPIGADQMGVTIDP-UHFFFAOYSA-N 1 2 293.716 3.733 20 0 CHADLO CCC[C@H]([NH2+]Cc1ncc(C)n1C)c1ccc(F)cc1F ZINC000341255788 1118642850 /nfs/dbraw/zinc/64/28/50/1118642850.db2.gz CBXUUFKXSYCORW-HNNXBMFYSA-N 1 2 293.361 3.638 20 0 CHADLO CCC[C@H](NCc1[nH+]cc(C)n1C)c1ccc(F)cc1F ZINC000341255788 1118642851 /nfs/dbraw/zinc/64/28/51/1118642851.db2.gz CBXUUFKXSYCORW-HNNXBMFYSA-N 1 2 293.361 3.638 20 0 CHADLO Cc1c[nH+]c(CNc2ccccc2N(C)C2CCCC2)n1C ZINC000341266356 1118644033 /nfs/dbraw/zinc/64/40/33/1118644033.db2.gz XBGZSEFOITVYAS-UHFFFAOYSA-N 1 2 298.434 3.719 20 0 CHADLO CSc1ccc(NCc2[nH+]cc(C)n2C)cc1Cl ZINC000341271096 1118646482 /nfs/dbraw/zinc/64/64/82/1118646482.db2.gz KJKGBFPCYFKNAO-UHFFFAOYSA-N 1 2 281.812 3.716 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000684361972 1118651789 /nfs/dbraw/zinc/65/17/89/1118651789.db2.gz YKXMQLVZFJVMOD-INIZCTEOSA-N 1 2 273.355 3.550 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000684361972 1118651790 /nfs/dbraw/zinc/65/17/90/1118651790.db2.gz YKXMQLVZFJVMOD-INIZCTEOSA-N 1 2 273.355 3.550 20 0 CHADLO Oc1c(Cl)cccc1C[N@@H+]1CCOC2(CCCCC2)C1 ZINC000180458096 1128792252 /nfs/dbraw/zinc/79/22/52/1128792252.db2.gz AIGYWCVKJWZIIQ-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO Oc1c(Cl)cccc1C[N@H+]1CCOC2(CCCCC2)C1 ZINC000180458096 1128792255 /nfs/dbraw/zinc/79/22/55/1128792255.db2.gz AIGYWCVKJWZIIQ-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO Cc1occc1C[NH2+]Cc1c(F)cccc1Br ZINC000684371749 1118662100 /nfs/dbraw/zinc/66/21/00/1118662100.db2.gz FYIXRBRBJKJBAS-UHFFFAOYSA-N 1 2 298.155 3.779 20 0 CHADLO CC(C)c1cc(N2CC[C@H](OC(F)F)C2)nc(C(C)C)[nH+]1 ZINC000606441571 1118663275 /nfs/dbraw/zinc/66/32/75/1118663275.db2.gz RKCUYGBIVIIUMU-NSHDSACASA-N 1 2 299.365 3.541 20 0 CHADLO Cc1nc(N2CC[C@H](c3ccccc3)C[C@@H]2C)cc[nH+]1 ZINC000341367578 1118676084 /nfs/dbraw/zinc/67/60/84/1118676084.db2.gz FNZBLSUAOIPTDU-BBRMVZONSA-N 1 2 267.376 3.558 20 0 CHADLO CC(C)C[C@@H](C[N@@H+]1CC(F)(F)C[C@H]1CO)c1ccccc1 ZINC000684405283 1118683800 /nfs/dbraw/zinc/68/38/00/1118683800.db2.gz DQSHCBKEMLWWLK-HOTGVXAUSA-N 1 2 297.389 3.518 20 0 CHADLO CC(C)C[C@@H](C[N@H+]1CC(F)(F)C[C@H]1CO)c1ccccc1 ZINC000684405283 1118683803 /nfs/dbraw/zinc/68/38/03/1118683803.db2.gz DQSHCBKEMLWWLK-HOTGVXAUSA-N 1 2 297.389 3.518 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+](C)Cc1nccn1C1CC1 ZINC000891537252 1118704847 /nfs/dbraw/zinc/70/48/47/1118704847.db2.gz QGTHBIOBLOFPMB-GFCCVEGCSA-N 1 2 273.355 3.550 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1nccn1C1CC1 ZINC000891537252 1118704852 /nfs/dbraw/zinc/70/48/52/1118704852.db2.gz QGTHBIOBLOFPMB-GFCCVEGCSA-N 1 2 273.355 3.550 20 0 CHADLO Cc1cc(N[C@H](C)c2cccnc2)nc(C2CCC2)[nH+]1 ZINC000891560909 1118709972 /nfs/dbraw/zinc/70/99/72/1118709972.db2.gz FYMHCVTZUNXOLM-GFCCVEGCSA-N 1 2 268.364 3.621 20 0 CHADLO Cc1cc(N[C@H]2CCc3c2cccc3Cl)nc(C2CC2)[nH+]1 ZINC000341463158 1118713298 /nfs/dbraw/zinc/71/32/98/1118713298.db2.gz CHPHBAZNGJBODX-HNNXBMFYSA-N 1 2 299.805 3.837 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+]1Cc1csc(C(F)(F)F)n1 ZINC000891618348 1118722891 /nfs/dbraw/zinc/72/28/91/1118722891.db2.gz OYIYOOZMMGTNAP-JTQLQIEISA-N 1 2 278.343 3.782 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+]1Cc1csc(C(F)(F)F)n1 ZINC000891618348 1118722895 /nfs/dbraw/zinc/72/28/95/1118722895.db2.gz OYIYOOZMMGTNAP-JTQLQIEISA-N 1 2 278.343 3.782 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+][C@H](C)c2cnc(C)s2)o1 ZINC000090417993 1118734174 /nfs/dbraw/zinc/73/41/74/1118734174.db2.gz BRPKLCPBLZJQPM-MFKMUULPSA-N 1 2 294.420 3.645 20 0 CHADLO CCc1ncc(C[NH2+]Cc2nc(C(C)(C)C)cs2)s1 ZINC000084022364 1118766085 /nfs/dbraw/zinc/76/60/85/1118766085.db2.gz DNOGZJBXQFLUNJ-UHFFFAOYSA-N 1 2 295.477 3.749 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2ccncc2)nc(C2CCC2)[nH+]1 ZINC000891791779 1118776086 /nfs/dbraw/zinc/77/60/86/1118776086.db2.gz ALWDDHZARSVUFH-MRXNPFEDSA-N 1 2 294.402 3.789 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC[C@@H]3CCC[C@H]32)cs1 ZINC000891803274 1118783558 /nfs/dbraw/zinc/78/35/58/1118783558.db2.gz HOZJOADGDWMMLJ-GXSJLCMTSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC[C@@H]3CCC[C@H]32)cs1 ZINC000891803274 1118783561 /nfs/dbraw/zinc/78/35/61/1118783561.db2.gz HOZJOADGDWMMLJ-GXSJLCMTSA-N 1 2 290.354 3.926 20 0 CHADLO CC[C@H]([NH2+]C/C=C/c1ccc(F)c(F)c1)C(F)F ZINC000449360061 1118794371 /nfs/dbraw/zinc/79/43/71/1118794371.db2.gz IRCVOSDFWMHGBM-PCAWENJQSA-N 1 2 261.262 3.611 20 0 CHADLO CCCCn1c(SC2CCC2)nnc1[C@H](CC)[NH+](C)C ZINC000430972663 1118797215 /nfs/dbraw/zinc/79/72/15/1118797215.db2.gz QPLRFGBUQJVDQI-ZDUSSCGKSA-N 1 2 296.484 3.736 20 0 CHADLO CC(C)c1noc(C[NH2+][C@@]2(C)CCCc3ccccc32)n1 ZINC000341690109 1118797888 /nfs/dbraw/zinc/79/78/88/1118797888.db2.gz NBZOQYZUCLDQPK-KRWDZBQOSA-N 1 2 285.391 3.534 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C(F)(F)F)C[C@H](C2CC2)O1 ZINC000510781319 1118815865 /nfs/dbraw/zinc/81/58/65/1118815865.db2.gz UIYGJQZFYCMRMW-IAQYHMDHSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)C[C@H](C2CC2)O1 ZINC000510781319 1118815871 /nfs/dbraw/zinc/81/58/71/1118815871.db2.gz UIYGJQZFYCMRMW-IAQYHMDHSA-N 1 2 299.336 3.705 20 0 CHADLO CC1(Cc2nc(-c3ccc(-n4cc[nH+]c4)cc3)no2)CCC1 ZINC001211527436 1118849777 /nfs/dbraw/zinc/84/97/77/1118849777.db2.gz HTZJOUSIRASBNP-UHFFFAOYSA-N 1 2 294.358 3.655 20 0 CHADLO Cc1cccc2nc(N3CCC[C@H](n4cc[nH+]c4)C3)sc21 ZINC000684573383 1118857904 /nfs/dbraw/zinc/85/79/04/1118857904.db2.gz YNKWAGDPZXZHGB-ZDUSSCGKSA-N 1 2 298.415 3.643 20 0 CHADLO Cc1cc(NC(=O)N(C)CCC2CCCCC2)c(C)c[nH+]1 ZINC000535774225 1118882424 /nfs/dbraw/zinc/88/24/24/1118882424.db2.gz BDPGVTUFRLBOTD-UHFFFAOYSA-N 1 2 289.423 3.554 20 0 CHADLO C[C@@H](CC(=O)Nc1cc(Cl)ccc1Cl)n1cc[nH+]c1 ZINC001349552287 1118892195 /nfs/dbraw/zinc/89/21/95/1118892195.db2.gz ZFRLVQMTZPYEIJ-VIFPVBQESA-N 1 2 298.173 3.780 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@](C)(F)C2)c(OC(F)F)cc1C ZINC000535842624 1118906260 /nfs/dbraw/zinc/90/62/60/1118906260.db2.gz DDWNJXAJBOWUGG-OAHLLOKOSA-N 1 2 287.325 3.839 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@](C)(F)C2)c(OC(F)F)cc1C ZINC000535842624 1118906262 /nfs/dbraw/zinc/90/62/62/1118906262.db2.gz DDWNJXAJBOWUGG-OAHLLOKOSA-N 1 2 287.325 3.839 20 0 CHADLO Cl/C=C\C[N@@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000255426415 1118911679 /nfs/dbraw/zinc/91/16/79/1118911679.db2.gz KBUJJWYNRZTOPH-MEMKZHQFSA-N 1 2 272.779 3.646 20 0 CHADLO Cl/C=C\C[N@H+]1CCn2cccc2[C@H]1c1ccccc1 ZINC000255426415 1118911682 /nfs/dbraw/zinc/91/16/82/1118911682.db2.gz KBUJJWYNRZTOPH-MEMKZHQFSA-N 1 2 272.779 3.646 20 0 CHADLO CCCOc1ccc(C(C)(C)[NH2+]CC(F)F)cc1 ZINC000684629256 1118913715 /nfs/dbraw/zinc/91/37/15/1118913715.db2.gz VNMPTCGRHIBBHI-UHFFFAOYSA-N 1 2 257.324 3.565 20 0 CHADLO CC1(C)C[N@H+](Cc2nccn2C2CC2)Cc2ccccc21 ZINC000892054594 1118951294 /nfs/dbraw/zinc/95/12/94/1118951294.db2.gz MZQGVFKWNWOXDW-UHFFFAOYSA-N 1 2 281.403 3.511 20 0 CHADLO CC1(C)C[N@@H+](Cc2nccn2C2CC2)Cc2ccccc21 ZINC000892054594 1118951296 /nfs/dbraw/zinc/95/12/96/1118951296.db2.gz MZQGVFKWNWOXDW-UHFFFAOYSA-N 1 2 281.403 3.511 20 0 CHADLO C[C@H]([NH2+]Cc1nc(Cl)ccc1Cl)c1cncs1 ZINC000934195910 1118962916 /nfs/dbraw/zinc/96/29/16/1118962916.db2.gz RDKAWDLSTRZBDM-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO Fc1cc(C[N@@H+]2CCOC3(CCC3)C2)ccc1C(F)F ZINC000892105429 1118967761 /nfs/dbraw/zinc/96/77/61/1118967761.db2.gz KNFFYCYIDXYFCP-UHFFFAOYSA-N 1 2 285.309 3.518 20 0 CHADLO Fc1cc(C[N@H+]2CCOC3(CCC3)C2)ccc1C(F)F ZINC000892105429 1118967765 /nfs/dbraw/zinc/96/77/65/1118967765.db2.gz KNFFYCYIDXYFCP-UHFFFAOYSA-N 1 2 285.309 3.518 20 0 CHADLO CN(c1cc(N(C)C2CCC2)c2ccncc2[nH+]1)C1CCC1 ZINC000342296877 1118970038 /nfs/dbraw/zinc/97/00/38/1118970038.db2.gz RVOWOLSMZSLKDC-UHFFFAOYSA-N 1 2 296.418 3.607 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)cs1)c1ccc(F)cc1F ZINC000119600502 1118986968 /nfs/dbraw/zinc/98/69/68/1118986968.db2.gz NCDXEKVXSWIVPJ-CYBMUJFWSA-N 1 2 282.359 3.971 20 0 CHADLO Cc1cccc(C[NH2+]Cc2nc(C(C)(C)C)co2)c1 ZINC000724401194 1118992939 /nfs/dbraw/zinc/99/29/39/1118992939.db2.gz USYUSMYCCKXCBY-UHFFFAOYSA-N 1 2 258.365 3.570 20 0 CHADLO CCCc1csc(C[NH2+][C@H]2c3ccccc3O[C@H]2C)n1 ZINC000342413522 1119009320 /nfs/dbraw/zinc/00/93/20/1119009320.db2.gz GFNKJTXEHCJVHC-MEDUHNTESA-N 1 2 288.416 3.707 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)o1 ZINC000628130614 1128815520 /nfs/dbraw/zinc/81/55/20/1128815520.db2.gz FVGPOIWDOIVHFB-BZNIZROVSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)o1 ZINC000628130614 1128815525 /nfs/dbraw/zinc/81/55/25/1128815525.db2.gz FVGPOIWDOIVHFB-BZNIZROVSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ccnc(NCc2cccc(-n3cc[nH+]c3)c2)c1Cl ZINC000342416781 1119012639 /nfs/dbraw/zinc/01/26/39/1119012639.db2.gz QQJGLLOZZTUSCR-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1cnc(C2CC2)o1 ZINC000628131037 1128815664 /nfs/dbraw/zinc/81/56/64/1128815664.db2.gz PQGOEPMJZINCOK-LLVKDONJSA-N 1 2 274.339 3.884 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1cnc(C2CC2)o1 ZINC000628131037 1128815669 /nfs/dbraw/zinc/81/56/69/1128815669.db2.gz PQGOEPMJZINCOK-LLVKDONJSA-N 1 2 274.339 3.884 20 0 CHADLO CC1CCC(C)(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000342429578 1119021758 /nfs/dbraw/zinc/02/17/58/1119021758.db2.gz QKJOXQCVKYHEMN-UHFFFAOYSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1[nH]c(CN(C)Cc2c(Cl)cccc2Cl)[nH+]c1C ZINC000628135237 1128816441 /nfs/dbraw/zinc/81/64/41/1128816441.db2.gz QFPZFLHMLRQTMX-UHFFFAOYSA-N 1 2 298.217 3.965 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@@H](C)c2cccc(Cl)c2Cl)n1 ZINC000657368242 1119032823 /nfs/dbraw/zinc/03/28/23/1119032823.db2.gz ZFBXPJHCTKMGOE-VIFPVBQESA-N 1 2 284.190 3.876 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2oc3ccccc3c2C)no1 ZINC000044692838 1119058776 /nfs/dbraw/zinc/05/87/76/1119058776.db2.gz RIYSZWRKCXPBRS-GFCCVEGCSA-N 1 2 270.332 3.888 20 0 CHADLO Cc1cc(C[NH2+][C@H](CC(F)(F)F)c2ccccc2)on1 ZINC000044987348 1119063465 /nfs/dbraw/zinc/06/34/65/1119063465.db2.gz RAUUOMLXPOLJKQ-CYBMUJFWSA-N 1 2 284.281 3.766 20 0 CHADLO C[C@]1(CNc2cc[nH+]c3c(Cl)cccc23)CCCO1 ZINC000342537591 1119072522 /nfs/dbraw/zinc/07/25/22/1119072522.db2.gz JNNSJKTZMQQOJR-OAHLLOKOSA-N 1 2 276.767 3.869 20 0 CHADLO CSCC[N@H+](C)Cc1csc(Cc2ccccc2)n1 ZINC000152479772 1119074628 /nfs/dbraw/zinc/07/46/28/1119074628.db2.gz ZGVOYVLIKKZTFS-UHFFFAOYSA-N 1 2 292.473 3.529 20 0 CHADLO CSCC[N@@H+](C)Cc1csc(Cc2ccccc2)n1 ZINC000152479772 1119074633 /nfs/dbraw/zinc/07/46/33/1119074633.db2.gz ZGVOYVLIKKZTFS-UHFFFAOYSA-N 1 2 292.473 3.529 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](C)c2ccccc2C)n1 ZINC000128295748 1119081271 /nfs/dbraw/zinc/08/12/71/1119081271.db2.gz OSVXLJZSVBGPIM-CYBMUJFWSA-N 1 2 273.380 3.571 20 0 CHADLO Oc1ccc(F)c(C[NH2+]Cc2ccc(C(F)F)cc2)c1F ZINC000352926110 1119112233 /nfs/dbraw/zinc/11/22/33/1119112233.db2.gz SWRGJFOPEAQVFU-UHFFFAOYSA-N 1 2 299.267 3.898 20 0 CHADLO Cc1cccc2[nH]c(C[NH2+][C@@H]3CCCC3(F)F)cc21 ZINC000657467164 1119113541 /nfs/dbraw/zinc/11/35/41/1119113541.db2.gz GBCPMEZXGASBDB-CQSZACIVSA-N 1 2 264.319 3.754 20 0 CHADLO Cc1cn2cc(NC(=O)C[C@H](C)C(C)(C)C)ccc2[nH+]1 ZINC000342682617 1119125160 /nfs/dbraw/zinc/12/51/60/1119125160.db2.gz PJWHZVWZFSWFOZ-NSHDSACASA-N 1 2 273.380 3.654 20 0 CHADLO Cc1nc(CC[N@@H+]2CCc3c4ccccc4[nH]c3C2)cs1 ZINC000152911210 1119140664 /nfs/dbraw/zinc/14/06/64/1119140664.db2.gz PZYQJFMAKKCZRT-UHFFFAOYSA-N 1 2 297.427 3.534 20 0 CHADLO Cc1nc(CC[N@H+]2CCc3c4ccccc4[nH]c3C2)cs1 ZINC000152911210 1119140665 /nfs/dbraw/zinc/14/06/65/1119140665.db2.gz PZYQJFMAKKCZRT-UHFFFAOYSA-N 1 2 297.427 3.534 20 0 CHADLO Cc1[nH]c(CN2CC[C@@H](C)C[C@H]2c2ccco2)[nH+]c1C ZINC000628186792 1128824664 /nfs/dbraw/zinc/82/46/64/1128824664.db2.gz WMERDZGGFHMGES-RISCZKNCSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccco2)[nH]c1C ZINC000628186792 1128824667 /nfs/dbraw/zinc/82/46/67/1128824667.db2.gz WMERDZGGFHMGES-RISCZKNCSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccco2)[nH]c1C ZINC000628186792 1128824671 /nfs/dbraw/zinc/82/46/71/1128824671.db2.gz WMERDZGGFHMGES-RISCZKNCSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1cc(NCc2ccc(F)cc2F)nc(C(C)C)[nH+]1 ZINC000050197781 1119153375 /nfs/dbraw/zinc/15/33/75/1119153375.db2.gz PMYUCCFSERDLNG-UHFFFAOYSA-N 1 2 277.318 3.799 20 0 CHADLO CCc1cnc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)o1 ZINC000075750136 1119179076 /nfs/dbraw/zinc/17/90/76/1119179076.db2.gz PLPAUMRNMRGMQX-CQSZACIVSA-N 1 2 274.364 3.947 20 0 CHADLO CCc1cnc(C[N@H+]2CCCCC[C@@H]2c2ccco2)o1 ZINC000075750136 1119179079 /nfs/dbraw/zinc/17/90/79/1119179079.db2.gz PLPAUMRNMRGMQX-CQSZACIVSA-N 1 2 274.364 3.947 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](c2ccc(F)cc2)C(C)C)o1 ZINC000076292158 1119184756 /nfs/dbraw/zinc/18/47/56/1119184756.db2.gz CHIXBOXIQICXDH-OAHLLOKOSA-N 1 2 291.370 3.819 20 0 CHADLO Clc1cccc2c(N3CCOCC4(CC4)C3)cc[nH+]c12 ZINC000342834249 1119202623 /nfs/dbraw/zinc/20/26/23/1119202623.db2.gz IZEDTKMBYYSICA-UHFFFAOYSA-N 1 2 288.778 3.505 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1cn2ccccc2n1)C1CC1 ZINC000119120781 1119204570 /nfs/dbraw/zinc/20/45/70/1119204570.db2.gz JRBXOFWQQWYDBU-ZDUSSCGKSA-N 1 2 281.359 3.653 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1cn2ccccc2n1)C1CC1 ZINC000119120781 1119204573 /nfs/dbraw/zinc/20/45/73/1119204573.db2.gz JRBXOFWQQWYDBU-ZDUSSCGKSA-N 1 2 281.359 3.653 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1csc(C(F)(F)F)c1 ZINC000628152965 1119205986 /nfs/dbraw/zinc/20/59/86/1119205986.db2.gz UVRDRIMTNFPDSE-UHFFFAOYSA-N 1 2 275.295 3.992 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1csc(C(F)(F)F)c1 ZINC000628152965 1119205988 /nfs/dbraw/zinc/20/59/88/1119205988.db2.gz UVRDRIMTNFPDSE-UHFFFAOYSA-N 1 2 275.295 3.992 20 0 CHADLO CCc1noc(C)c1C[NH2+][C@](C)(CC)c1nc(C)cs1 ZINC000119508656 1119215661 /nfs/dbraw/zinc/21/56/61/1119215661.db2.gz OBHDIRLQSWPWRQ-OAHLLOKOSA-N 1 2 293.436 3.725 20 0 CHADLO CCCCc1cccc(NCc2cc[nH+]c(N)c2)c1 ZINC001167617974 1119217967 /nfs/dbraw/zinc/21/79/67/1119217967.db2.gz BTBFICWEEPYZEF-UHFFFAOYSA-N 1 2 255.365 3.619 20 0 CHADLO Cc1ccc(Nc2ccc(-c3ncc[nH]3)cc2)c(C)[nH+]1 ZINC001213085666 1119223966 /nfs/dbraw/zinc/22/39/66/1119223966.db2.gz QEZHNOMVFUFTCH-UHFFFAOYSA-N 1 2 264.332 3.832 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCC[C@@H](C(F)(F)F)C2)cc[nH+]1 ZINC000120342930 1119237469 /nfs/dbraw/zinc/23/74/69/1119237469.db2.gz OTJHJZNGARGNDN-GHMZBOCLSA-N 1 2 286.297 3.697 20 0 CHADLO Cc1ccc([C@H]2OCCC[C@@H]2CNc2cccc[nH+]2)cc1 ZINC000078678114 1119245897 /nfs/dbraw/zinc/24/58/97/1119245897.db2.gz HIZRAXMEXPUBMS-SJLPKXTDSA-N 1 2 282.387 3.970 20 0 CHADLO Cc1ccc([C@@H]2OCCC[C@@H]2CNc2cccc[nH+]2)cc1 ZINC000078678121 1119246183 /nfs/dbraw/zinc/24/61/83/1119246183.db2.gz HIZRAXMEXPUBMS-AEFFLSMTSA-N 1 2 282.387 3.970 20 0 CHADLO Fc1cccc(F)c1-c1nc(COc2cc[nH+]cc2)co1 ZINC000431508144 1119261334 /nfs/dbraw/zinc/26/13/34/1119261334.db2.gz XELRPWKJNKZHRW-UHFFFAOYSA-N 1 2 288.253 3.594 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H](C)c2nc3ccccc3n2C)on1 ZINC000091339830 1119261803 /nfs/dbraw/zinc/26/18/03/1119261803.db2.gz WPSRHONKFKQBCE-GFCCVEGCSA-N 1 2 298.390 3.536 20 0 CHADLO CCOc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)ccn1 ZINC001213086479 1119270075 /nfs/dbraw/zinc/27/00/75/1119270075.db2.gz QQCJYCSXHLKIEE-UHFFFAOYSA-N 1 2 280.331 3.614 20 0 CHADLO Cc1n[nH]c(C)c1C[N@H+](Cc1ccco1)Cc1ccccc1 ZINC000162217509 1119270783 /nfs/dbraw/zinc/27/07/83/1119270783.db2.gz XNDADAJPGNGNGZ-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1n[nH]c(C)c1C[N@@H+](Cc1ccco1)Cc1ccccc1 ZINC000162217509 1119270784 /nfs/dbraw/zinc/27/07/84/1119270784.db2.gz XNDADAJPGNGNGZ-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO C[C@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)C1CC(F)(F)C1 ZINC000628196492 1119278510 /nfs/dbraw/zinc/27/85/10/1119278510.db2.gz RJCZKWQBHDEHEY-JTQLQIEISA-N 1 2 295.308 3.857 20 0 CHADLO Oc1cc(Cl)cnc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086646 1119279175 /nfs/dbraw/zinc/27/91/75/1119279175.db2.gz UUOWUNFQJHZTQJ-UHFFFAOYSA-N 1 2 286.722 3.574 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(-c2ncc[nH]2)cc1 ZINC001213086767 1119283291 /nfs/dbraw/zinc/28/32/91/1119283291.db2.gz YWXXQOXFHINYPL-UHFFFAOYSA-N 1 2 280.331 3.614 20 0 CHADLO Cc1cc(F)cc(C(=O)Nc2ccccc2-n2cc[nH+]c2)c1 ZINC000079498688 1119289183 /nfs/dbraw/zinc/28/91/83/1119289183.db2.gz AVSCUSQGVBUZOF-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nc(C)c(C)[nH]1 ZINC000628252041 1128835752 /nfs/dbraw/zinc/83/57/52/1128835752.db2.gz GECVFBYHTLEQEY-JLHYYAGUSA-N 1 2 269.392 3.562 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nc(C)c(C)[nH]1 ZINC000628252041 1128835756 /nfs/dbraw/zinc/83/57/56/1128835756.db2.gz GECVFBYHTLEQEY-JLHYYAGUSA-N 1 2 269.392 3.562 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H]1CCCc2[nH]ncc21)c1ccc(F)cc1 ZINC000353444894 1128836383 /nfs/dbraw/zinc/83/63/83/1128836383.db2.gz GUHUNOSKAOWREK-NVXWUHKLSA-N 1 2 287.382 3.913 20 0 CHADLO CC(C)Oc1ccc(F)c(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001212305837 1128837380 /nfs/dbraw/zinc/83/73/80/1128837380.db2.gz KFPWGLUXACENGB-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2ccc(F)cn2)c[nH+]1 ZINC000147683449 1119321690 /nfs/dbraw/zinc/32/16/90/1119321690.db2.gz FITFJGIPXBMBIJ-GFCCVEGCSA-N 1 2 288.370 3.635 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3nccc4cnccc43)cc2)[nH]1 ZINC001213087685 1119329725 /nfs/dbraw/zinc/32/97/25/1119329725.db2.gz VQORWLIPTBXRAP-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO CCCc1csc(C[N@@H+]2CCOCC23CCCCC3)n1 ZINC000449622754 1119337936 /nfs/dbraw/zinc/33/79/36/1119337936.db2.gz FLOBTAHBERABSS-UHFFFAOYSA-N 1 2 294.464 3.631 20 0 CHADLO CCCc1csc(C[N@H+]2CCOCC23CCCCC3)n1 ZINC000449622754 1119337939 /nfs/dbraw/zinc/33/79/39/1119337939.db2.gz FLOBTAHBERABSS-UHFFFAOYSA-N 1 2 294.464 3.631 20 0 CHADLO COc1ccc(Cl)cc1[C@H](C)[NH2+]Cc1csc(C)n1 ZINC000126619219 1119354050 /nfs/dbraw/zinc/35/40/50/1119354050.db2.gz HPCURGISFIWYRT-VIFPVBQESA-N 1 2 296.823 3.964 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@@H+]2CCO[C@@H](C(F)F)C2)cc1 ZINC000801563882 1128840270 /nfs/dbraw/zinc/84/02/70/1128840270.db2.gz IMSHBQOPKVNXFM-SWLSCSKDSA-N 1 2 283.362 3.837 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@H+]2CCO[C@@H](C(F)F)C2)cc1 ZINC000801563882 1128840274 /nfs/dbraw/zinc/84/02/74/1128840274.db2.gz IMSHBQOPKVNXFM-SWLSCSKDSA-N 1 2 283.362 3.837 20 0 CHADLO O=C(C/C=C\c1cccc(F)c1)Nc1cccc2[nH+]ccn21 ZINC000427613107 1119355971 /nfs/dbraw/zinc/35/59/71/1119355971.db2.gz REBNIFBZPVXQOX-DJWKRKHSSA-N 1 2 295.317 3.515 20 0 CHADLO Cc1cccc(COc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000525641898 1119356950 /nfs/dbraw/zinc/35/69/50/1119356950.db2.gz LGQYWMQZSFVYEK-UHFFFAOYSA-N 1 2 264.328 3.760 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(Cl)cn2)nc(C(C)C)[nH+]1 ZINC000343238191 1119361583 /nfs/dbraw/zinc/36/15/83/1119361583.db2.gz YLPPHPOAQUGMTP-NSHDSACASA-N 1 2 290.798 3.552 20 0 CHADLO CC[C@H](Nc1ccc([NH+](C)C)cc1)c1ccc(F)cn1 ZINC000161810720 1119388707 /nfs/dbraw/zinc/38/87/07/1119388707.db2.gz JVPKKYHNOGVXLK-HNNXBMFYSA-N 1 2 273.355 3.850 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C)on1)c1ccc(Cl)s1 ZINC000268800989 1119392871 /nfs/dbraw/zinc/39/28/71/1119392871.db2.gz AXVPWGMGDVDIPI-SNVBAGLBSA-N 1 2 270.785 3.939 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1cnc(C2CC2)o1)C1CC1 ZINC000628122569 1119405392 /nfs/dbraw/zinc/40/53/92/1119405392.db2.gz COTFZOHESLQVKL-UHFFFAOYSA-N 1 2 286.350 3.856 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1cnc(C2CC2)o1)C1CC1 ZINC000628122569 1119405395 /nfs/dbraw/zinc/40/53/95/1119405395.db2.gz COTFZOHESLQVKL-UHFFFAOYSA-N 1 2 286.350 3.856 20 0 CHADLO CC(C)C[C@@H](C(=O)Nc1ccc2[nH]ccc2c1)n1cc[nH+]c1 ZINC000635200207 1119409965 /nfs/dbraw/zinc/40/99/65/1119409965.db2.gz UYHUJZXLKVCYRN-INIZCTEOSA-N 1 2 296.374 3.590 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1c[nH]nc1-c1ccccc1 ZINC000657793339 1119411531 /nfs/dbraw/zinc/41/15/31/1119411531.db2.gz KBTDEFPJJXYYQF-UHFFFAOYSA-N 1 2 293.361 3.848 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@]1(F)c1ccccc1)c1cc2n(n1)CCCC2 ZINC000647309683 1119413307 /nfs/dbraw/zinc/41/33/07/1119413307.db2.gz FQMXVZUUHOOGHM-KKXDTOCCSA-N 1 2 299.393 3.507 20 0 CHADLO COCc1cccc(C[NH2+]CC(F)(F)C(C)(C)C)c1 ZINC000657794601 1119413602 /nfs/dbraw/zinc/41/36/02/1119413602.db2.gz XRBCZHGKFVLZMT-UHFFFAOYSA-N 1 2 271.351 3.604 20 0 CHADLO Cc1[nH]c(CN(C)[C@H](C)c2ccccc2Cl)[nH+]c1C ZINC000628133256 1119425034 /nfs/dbraw/zinc/42/50/34/1119425034.db2.gz XGVMBMKLWLHWCM-GFCCVEGCSA-N 1 2 277.799 3.873 20 0 CHADLO CC(C)CCOCC[N@@H+]1CCc2c3ccccc3[nH]c2C1 ZINC000536173102 1119442787 /nfs/dbraw/zinc/44/27/87/1119442787.db2.gz AVBDXHNTQTYDEH-UHFFFAOYSA-N 1 2 286.419 3.589 20 0 CHADLO CC(C)CCOCC[N@H+]1CCc2c3ccccc3[nH]c2C1 ZINC000536173102 1119442789 /nfs/dbraw/zinc/44/27/89/1119442789.db2.gz AVBDXHNTQTYDEH-UHFFFAOYSA-N 1 2 286.419 3.589 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)cc2F)[C@H]1[C@@H]1CCCO1 ZINC000584022622 1119459851 /nfs/dbraw/zinc/45/98/51/1119459851.db2.gz YKVBDTAXEPBODK-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)cc2F)[C@H]1[C@@H]1CCCO1 ZINC000584022622 1119459854 /nfs/dbraw/zinc/45/98/54/1119459854.db2.gz YKVBDTAXEPBODK-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1cnccn1 ZINC000151543614 1119466667 /nfs/dbraw/zinc/46/66/67/1119466667.db2.gz GYGVHAGSKBFRQZ-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO CCC(C)(CC)c1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000685278711 1119491251 /nfs/dbraw/zinc/49/12/51/1119491251.db2.gz DWICZBZRWUWHMX-UHFFFAOYSA-N 1 2 298.390 3.694 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@H](C)C[C@@H]2c2cccc(F)c2)[nH]c1C ZINC000628180491 1119492743 /nfs/dbraw/zinc/49/27/43/1119492743.db2.gz RDAPRCDMKKZQHX-BDJLRTHQSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@H+]2C[C@H](C)C[C@@H]2c2cccc(F)c2)[nH]c1C ZINC000628180491 1119492744 /nfs/dbraw/zinc/49/27/44/1119492744.db2.gz RDAPRCDMKKZQHX-BDJLRTHQSA-N 1 2 287.382 3.749 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[NH+]1CCN(Cc2ccccc2)CC1 ZINC000302736605 1119501644 /nfs/dbraw/zinc/50/16/44/1119501644.db2.gz IDNDKNZPUOZRLF-INIZCTEOSA-N 1 2 298.405 3.705 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1nc(C2CC2)cs1 ZINC000343775925 1119521074 /nfs/dbraw/zinc/52/10/74/1119521074.db2.gz WXEVEUMJOFZASC-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1nc(C2CC2)cs1 ZINC000343775925 1119521079 /nfs/dbraw/zinc/52/10/79/1119521079.db2.gz WXEVEUMJOFZASC-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]C2(c3ccccc3F)CCC2)c2nccn21 ZINC000647332620 1119523963 /nfs/dbraw/zinc/52/39/63/1119523963.db2.gz BCWVKYYPSCFYJX-DOMZBBRYSA-N 1 2 285.366 3.697 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1cccc(N2CCCC2)c1 ZINC000088758980 1119537808 /nfs/dbraw/zinc/53/78/08/1119537808.db2.gz ZQRIERLIJHDFOO-AWEZNQCLSA-N 1 2 267.376 3.855 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H](F)C2)cc(C(F)(F)F)c1 ZINC001143455425 1119542798 /nfs/dbraw/zinc/54/27/98/1119542798.db2.gz SGILHKZGFSKDDL-CYBMUJFWSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H](F)C2)cc(C(F)(F)F)c1 ZINC001143455425 1119542800 /nfs/dbraw/zinc/54/28/00/1119542800.db2.gz SGILHKZGFSKDDL-CYBMUJFWSA-N 1 2 275.289 3.948 20 0 CHADLO CC(C)c1cc(N[C@@H](C)[C@@H]2CCOC2)nc(C(C)C)[nH+]1 ZINC000090095694 1119545797 /nfs/dbraw/zinc/54/57/97/1119545797.db2.gz RLAQMSIHZJUCRV-QWHCGFSZSA-N 1 2 277.412 3.560 20 0 CHADLO CC(C)c1cc(NC2CCC(CO)CC2)nc(C(C)C)[nH+]1 ZINC000090110896 1119545865 /nfs/dbraw/zinc/54/58/65/1119545865.db2.gz WFDGEIMUSHXGPP-UHFFFAOYSA-N 1 2 291.439 3.686 20 0 CHADLO C[C@H]([NH2+]Cc1ncccc1F)c1ccccc1OC(F)F ZINC000090720421 1119549028 /nfs/dbraw/zinc/54/90/28/1119549028.db2.gz RAVQPODTPHJVEI-JTQLQIEISA-N 1 2 296.292 3.673 20 0 CHADLO Fc1cccnc1C[NH2+][C@@H]1CC2(CCC2)Oc2ccccc21 ZINC000090722306 1119549293 /nfs/dbraw/zinc/54/92/93/1119549293.db2.gz FNWHCWUHKHXQJZ-OAHLLOKOSA-N 1 2 298.361 3.757 20 0 CHADLO Fc1cc([C@H]2OCC[C@H]2Nc2cccc[nH+]2)ccc1Cl ZINC000091025206 1119551697 /nfs/dbraw/zinc/55/16/97/1119551697.db2.gz SSUFQTMYUKCHIE-UKRRQHHQSA-N 1 2 292.741 3.816 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3ccccc3Cl)CC2)cn1 ZINC000091856931 1119560593 /nfs/dbraw/zinc/56/05/93/1119560593.db2.gz DOKAVFGVXNXCNL-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3ccccc3Cl)CC2)cn1 ZINC000091856931 1119560596 /nfs/dbraw/zinc/56/05/96/1119560596.db2.gz DOKAVFGVXNXCNL-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO CCCC(=CC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)CCC ZINC000092395064 1119562078 /nfs/dbraw/zinc/56/20/78/1119562078.db2.gz GQTMZEYGLWNDBB-HNNXBMFYSA-N 1 2 289.423 3.642 20 0 CHADLO CC[C@H]1C[N@H+]([C@@H](C)c2ccccc2Br)CCO1 ZINC000138328100 1119578699 /nfs/dbraw/zinc/57/86/99/1119578699.db2.gz SXILNSJNCKNHGE-RYUDHWBXSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@H]1C[N@@H+]([C@@H](C)c2ccccc2Br)CCO1 ZINC000138328100 1119578696 /nfs/dbraw/zinc/57/86/96/1119578696.db2.gz SXILNSJNCKNHGE-RYUDHWBXSA-N 1 2 298.224 3.621 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc(-c3[nH]c(C)c(C)[nH+]3)c2)o1 ZINC000142517478 1119580671 /nfs/dbraw/zinc/58/06/71/1119580671.db2.gz UJTKBKHENJPCNT-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO C[C@H]([NH2+][C@H]1CCn2ccnc21)c1ccc(Cl)cc1Cl ZINC000294200305 1119590185 /nfs/dbraw/zinc/59/01/85/1119590185.db2.gz SXJRUWQLPJWEFA-ZANVPECISA-N 1 2 296.201 3.986 20 0 CHADLO COc1cc(O)ccc1Nc1c(C)cc[nH+]c1C(C)C ZINC001213095592 1119592061 /nfs/dbraw/zinc/59/20/61/1119592061.db2.gz GHZQVWLZISODGH-UHFFFAOYSA-N 1 2 272.348 3.971 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@H]1CCc2c[nH+]cn2C1 ZINC000611919453 1119604690 /nfs/dbraw/zinc/60/46/90/1119604690.db2.gz NXEXMDPZBJIGPJ-AWEZNQCLSA-N 1 2 297.402 3.516 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1ccccc1Cl ZINC000294230470 1119622447 /nfs/dbraw/zinc/62/24/47/1119622447.db2.gz VLLUPFXTVHJVDI-MJVIPROJSA-N 1 2 275.783 3.893 20 0 CHADLO Cc1cc2cc(Nc3ccn4cc[nH+]c4c3)ccc2[nH]1 ZINC001213789851 1119635096 /nfs/dbraw/zinc/63/50/96/1119635096.db2.gz PPIOVZMZAQZPNG-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1N[C@@H]1CCCSCC1 ZINC000657969155 1119655432 /nfs/dbraw/zinc/65/54/32/1119655432.db2.gz ZNKPHFFTAPBLMF-CQSZACIVSA-N 1 2 291.464 3.770 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1N[C@H]1CCCSCC1 ZINC000657969153 1119655672 /nfs/dbraw/zinc/65/56/72/1119655672.db2.gz ZNKPHFFTAPBLMF-AWEZNQCLSA-N 1 2 291.464 3.770 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)c1 ZINC000628430827 1128860518 /nfs/dbraw/zinc/86/05/18/1128860518.db2.gz IGICQNMJRZVAOY-DZGCQCFKSA-N 1 2 297.402 3.598 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000235318855 1119665388 /nfs/dbraw/zinc/66/53/88/1119665388.db2.gz NOKRDUHJKOJPSZ-SECBINFHSA-N 1 2 259.180 3.620 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000235318855 1119665389 /nfs/dbraw/zinc/66/53/89/1119665389.db2.gz NOKRDUHJKOJPSZ-SECBINFHSA-N 1 2 259.180 3.620 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+][C@H]3C[C@@H](C)n4ncnc43)oc2c1 ZINC000658103235 1119686472 /nfs/dbraw/zinc/68/64/72/1119686472.db2.gz UKSXNKNIFXUXLV-DYEKYZERSA-N 1 2 296.374 3.689 20 0 CHADLO CCn1cc(C[NH2+][C@@H](C)c2cc3cc(C)c(C)cc3o2)nn1 ZINC000658177023 1119696695 /nfs/dbraw/zinc/69/66/95/1119696695.db2.gz RGHKMLFMPKAUPO-ZDUSSCGKSA-N 1 2 298.390 3.512 20 0 CHADLO Clc1ccc(C[NH2+]Cc2nccn2C2CC2)c(Cl)c1 ZINC000892423477 1119697706 /nfs/dbraw/zinc/69/77/06/1119697706.db2.gz QDFSFIFGHPLUEJ-UHFFFAOYSA-N 1 2 296.201 3.815 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+][C@H](C)c2ccccc2Cl)nn1 ZINC000924527385 1119710802 /nfs/dbraw/zinc/71/08/02/1119710802.db2.gz YHHYYJTXPHVDHQ-VXGBXAGGSA-N 1 2 292.814 3.924 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+][C@@H](C)c2ccccc2Cl)nn1 ZINC000924527383 1119711094 /nfs/dbraw/zinc/71/10/94/1119711094.db2.gz YHHYYJTXPHVDHQ-NWDGAFQWSA-N 1 2 292.814 3.924 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nccn1C)c1csc(Cl)c1 ZINC000658335796 1119726132 /nfs/dbraw/zinc/72/61/32/1119726132.db2.gz GRSCBXGJNMHGOG-IUCAKERBSA-N 1 2 269.801 3.547 20 0 CHADLO Cc1nc(C)c(C[NH2+]Cc2cc(F)c(Cl)cc2F)o1 ZINC000628254920 1119777555 /nfs/dbraw/zinc/77/75/55/1119777555.db2.gz UXIGIUVFENAZGX-UHFFFAOYSA-N 1 2 286.709 3.513 20 0 CHADLO Cc1cc([C@H]([NH2+][C@@H]2CCCc3[nH]ncc32)C2CC2)ccc1F ZINC000375895269 1119780125 /nfs/dbraw/zinc/78/01/25/1119780125.db2.gz LBSASWLABRDZIE-SJLPKXTDSA-N 1 2 299.393 3.976 20 0 CHADLO C[C@H]([NH2+][C@@H]1COCc2cccc(Cl)c21)c1ccccn1 ZINC000924544739 1119788107 /nfs/dbraw/zinc/78/81/07/1119788107.db2.gz WJIWQWKCVKELJI-XHDPSFHLSA-N 1 2 288.778 3.657 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@H](C)c1ccc(F)cc1F ZINC000924544994 1119788552 /nfs/dbraw/zinc/78/85/52/1119788552.db2.gz MGKXUTWAIQEAFY-YMTOWFKASA-N 1 2 292.329 3.989 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cccc(C)c2F)s1 ZINC000294921486 1119820039 /nfs/dbraw/zinc/82/00/39/1119820039.db2.gz ARGKWHXRHVLEEV-LLVKDONJSA-N 1 2 264.369 3.750 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)n1 ZINC000628315912 1119824658 /nfs/dbraw/zinc/82/46/58/1119824658.db2.gz BHIGTEQARFUYSK-GFCCVEGCSA-N 1 2 263.410 3.751 20 0 CHADLO Cc1c[nH]c(C[N@H+](C(C)C)[C@H](C)c2ccsc2)n1 ZINC000628315912 1119824661 /nfs/dbraw/zinc/82/46/61/1119824661.db2.gz BHIGTEQARFUYSK-GFCCVEGCSA-N 1 2 263.410 3.751 20 0 CHADLO FC(F)CC1C[NH+](Cc2csc(C(F)(F)F)c2)C1 ZINC000628319159 1119827871 /nfs/dbraw/zinc/82/78/71/1119827871.db2.gz PCCFNPJKXCKMEH-UHFFFAOYSA-N 1 2 285.281 3.854 20 0 CHADLO COc1cc([C@@H](C)[NH2+]Cc2cc3cc(C)ccc3o2)on1 ZINC000926704556 1119830848 /nfs/dbraw/zinc/83/08/48/1119830848.db2.gz ZMSXGXLRKCFCRG-LLVKDONJSA-N 1 2 286.331 3.589 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc3c(c2)CCCC3=O)c1 ZINC001213100160 1119858199 /nfs/dbraw/zinc/85/81/99/1119858199.db2.gz HORKAXOJWWYTJU-UHFFFAOYSA-N 1 2 252.317 3.653 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccc1Cl)c1cc2n(n1)CCC2 ZINC000414047118 1119864662 /nfs/dbraw/zinc/86/46/62/1119864662.db2.gz BMKVJEOABZOLHJ-NWDGAFQWSA-N 1 2 289.810 3.895 20 0 CHADLO CCCCC[C@@H](CC)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000181362530 1128874310 /nfs/dbraw/zinc/87/43/10/1128874310.db2.gz RBVMMTMKGPZMLU-OAHLLOKOSA-N 1 2 287.407 3.557 20 0 CHADLO C[C@@H]([NH2+][C@H](CC(F)(F)F)c1ccccc1)c1ccn(C)n1 ZINC000414065140 1119876577 /nfs/dbraw/zinc/87/65/77/1119876577.db2.gz GOGUQXDRKLZAJC-BXUZGUMPSA-N 1 2 297.324 3.764 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1Cl)c1ccn(C)n1 ZINC000414108572 1119901306 /nfs/dbraw/zinc/90/13/06/1119901306.db2.gz GKVKPEINNNTWMT-NXEZZACHSA-N 1 2 281.762 3.624 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(OC)cc1C)C(F)F ZINC000414149854 1119918297 /nfs/dbraw/zinc/91/82/97/1119918297.db2.gz VEQDYRUFTXHQIU-GWCFXTLKSA-N 1 2 257.324 3.698 20 0 CHADLO C[N@H+](Cc1cc(O)cc(Cl)c1)Cc1ccc(F)cc1F ZINC001140790704 1119920087 /nfs/dbraw/zinc/92/00/87/1119920087.db2.gz HMQASOISOIVFSP-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cc(O)cc(Cl)c1)Cc1ccc(F)cc1F ZINC001140790704 1119920091 /nfs/dbraw/zinc/92/00/91/1119920091.db2.gz HMQASOISOIVFSP-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO Cc1cc(NC(=O)/C=C\Sc2ccccc2)cc[nH+]1 ZINC000255890386 1119941393 /nfs/dbraw/zinc/94/13/93/1119941393.db2.gz OLZKQYFOIWOTKH-NTMALXAHSA-N 1 2 270.357 3.635 20 0 CHADLO CC(C)C[C@@H](c1nc(C2CCCCC2)no1)n1cc[nH+]c1 ZINC000923788048 1119955166 /nfs/dbraw/zinc/95/51/66/1119955166.db2.gz GUTWWBGRINCBLR-AWEZNQCLSA-N 1 2 288.395 3.949 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1csnn1)c1ccc(OC)cc1 ZINC000414298499 1119971555 /nfs/dbraw/zinc/97/15/55/1119971555.db2.gz IVLDZUXKONAFLW-FZMZJTMJSA-N 1 2 291.420 3.739 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccc(F)cc1 ZINC000414334574 1119987007 /nfs/dbraw/zinc/98/70/07/1119987007.db2.gz XKDJJFLVZCXZNK-ZDUSSCGKSA-N 1 2 291.395 3.796 20 0 CHADLO CC[C@@H](C)c1ccccc1O[C@H]1C[NH2+]CC(F)(F)C1 ZINC001218273042 1119993573 /nfs/dbraw/zinc/99/35/73/1119993573.db2.gz HKATXTBGXXJTFE-VXGBXAGGSA-N 1 2 269.335 3.576 20 0 CHADLO CSc1cc(NCc2nccc3ccccc32)nc(C)[nH+]1 ZINC001167699979 1119996245 /nfs/dbraw/zinc/99/62/45/1119996245.db2.gz NNNBERQIALXZSW-UHFFFAOYSA-N 1 2 296.399 3.667 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)nn1 ZINC000414369888 1120003205 /nfs/dbraw/zinc/00/32/05/1120003205.db2.gz KEQJGPUFQBAQRM-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2ccccc2Cl)nn1 ZINC000414369888 1120003207 /nfs/dbraw/zinc/00/32/07/1120003207.db2.gz KEQJGPUFQBAQRM-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO CC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1csc(Cl)n1 ZINC000692926910 1120018670 /nfs/dbraw/zinc/01/86/70/1120018670.db2.gz SLGNLGBEZKNNGB-UQPYNNQESA-N 1 2 256.802 3.559 20 0 CHADLO CC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1csc(Cl)n1 ZINC000692926910 1120018675 /nfs/dbraw/zinc/01/86/75/1120018675.db2.gz SLGNLGBEZKNNGB-UQPYNNQESA-N 1 2 256.802 3.559 20 0 CHADLO COc1ccc(CCSc2[nH+]cc3ccccn32)cc1 ZINC000649471374 1128885557 /nfs/dbraw/zinc/88/55/57/1128885557.db2.gz YDVLPRNEUKUJTH-UHFFFAOYSA-N 1 2 284.384 3.678 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(O)c2)nc(C(C)C)[nH+]1 ZINC000302885934 1120035565 /nfs/dbraw/zinc/03/55/65/1120035565.db2.gz MSWHVFYGJFXCJH-LBPRGKRZSA-N 1 2 271.364 3.787 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2ccn[nH]2)C2CCCC2)cc1 ZINC000187981316 1120062462 /nfs/dbraw/zinc/06/24/62/1120062462.db2.gz CTINWCLCZUTKRU-KRWDZBQOSA-N 1 2 269.392 3.739 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1ccc(O)c(F)c1F ZINC001140892654 1120080481 /nfs/dbraw/zinc/08/04/81/1120080481.db2.gz VQIDKKINVZIQGU-NSHDSACASA-N 1 2 289.325 3.617 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1ccc(O)c(F)c1F ZINC001140892654 1120080485 /nfs/dbraw/zinc/08/04/85/1120080485.db2.gz VQIDKKINVZIQGU-NSHDSACASA-N 1 2 289.325 3.617 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000181607010 1120105153 /nfs/dbraw/zinc/10/51/53/1120105153.db2.gz SXCVUOIBLSMQKH-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO CCCc1ccc(CNc2ccc3c([nH+]2)CCOC3)cc1 ZINC001168634931 1120109687 /nfs/dbraw/zinc/10/96/87/1120109687.db2.gz DVKGUVQRDISDNN-UHFFFAOYSA-N 1 2 282.387 3.719 20 0 CHADLO CC[N@H+](Cc1cn(C(C)C)nn1)[C@@H](C)c1ccc(F)cc1 ZINC000347658302 1120115131 /nfs/dbraw/zinc/11/51/31/1120115131.db2.gz JLSSRMIXJBVCBP-ZDUSSCGKSA-N 1 2 290.386 3.581 20 0 CHADLO CC[N@@H+](Cc1cn(C(C)C)nn1)[C@@H](C)c1ccc(F)cc1 ZINC000347658302 1120115133 /nfs/dbraw/zinc/11/51/33/1120115133.db2.gz JLSSRMIXJBVCBP-ZDUSSCGKSA-N 1 2 290.386 3.581 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cc(C2CC2)no1 ZINC000659822954 1120118916 /nfs/dbraw/zinc/11/89/16/1120118916.db2.gz QEARVHZUQBAFDY-JTQLQIEISA-N 1 2 274.389 3.733 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659822954 1120118919 /nfs/dbraw/zinc/11/89/19/1120118919.db2.gz QEARVHZUQBAFDY-JTQLQIEISA-N 1 2 274.389 3.733 20 0 CHADLO Cc1nnc(C[NH2+][C@H](C)c2cc3ccccc3o2)n1C1CC1 ZINC000182625921 1120121347 /nfs/dbraw/zinc/12/13/47/1120121347.db2.gz HZMHGCHYCNTJIO-LLVKDONJSA-N 1 2 296.374 3.518 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2c(F)cccc2Cl)o1 ZINC000892744776 1120135039 /nfs/dbraw/zinc/13/50/39/1120135039.db2.gz FGHUTGYBEZBXTC-UHFFFAOYSA-N 1 2 283.730 3.761 20 0 CHADLO Cc1cnc([C@H](C)Nc2cc(C)[nH+]c(C3CCC3)n2)s1 ZINC000892842081 1120149899 /nfs/dbraw/zinc/14/98/99/1120149899.db2.gz LZZWKEJCHXNDPO-NSHDSACASA-N 1 2 288.420 3.991 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2cccc(C)n2)nc(CC)[nH+]1 ZINC000892942350 1120169214 /nfs/dbraw/zinc/16/92/14/1120169214.db2.gz AFUDRODJXWXXSI-INIZCTEOSA-N 1 2 296.418 3.646 20 0 CHADLO C[N@H+](Cc1noc2c1CCCC2)Cc1ccc(Cl)cc1 ZINC000108850565 1120173089 /nfs/dbraw/zinc/17/30/89/1120173089.db2.gz WBFBIXXSQIOFOB-UHFFFAOYSA-N 1 2 290.794 3.839 20 0 CHADLO C[N@@H+](Cc1noc2c1CCCC2)Cc1ccc(Cl)cc1 ZINC000108850565 1120173090 /nfs/dbraw/zinc/17/30/90/1120173090.db2.gz WBFBIXXSQIOFOB-UHFFFAOYSA-N 1 2 290.794 3.839 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccc(F)c2)C(C)C)c(C)o1 ZINC000659851219 1120190494 /nfs/dbraw/zinc/19/04/94/1120190494.db2.gz BVMZBNXDHWKJBO-UHFFFAOYSA-N 1 2 276.355 3.841 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccc(F)c2)C(C)C)c(C)o1 ZINC000659851219 1120190497 /nfs/dbraw/zinc/19/04/97/1120190497.db2.gz BVMZBNXDHWKJBO-UHFFFAOYSA-N 1 2 276.355 3.841 20 0 CHADLO Cc1cnc(Cl)c(C[NH2+]C(C)(C)c2nccs2)c1 ZINC000921748887 1120196645 /nfs/dbraw/zinc/19/66/45/1120196645.db2.gz KVSNFCMBEYNXQJ-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO CC(C)O[C@H](C[N@@H+]1CCC[C@H]1C(F)F)c1ccccc1 ZINC000644680382 1120201043 /nfs/dbraw/zinc/20/10/43/1120201043.db2.gz IAJGNPKDCRLKME-LSDHHAIUSA-N 1 2 283.362 3.882 20 0 CHADLO CC(C)O[C@H](C[N@H+]1CCC[C@H]1C(F)F)c1ccccc1 ZINC000644680382 1120201045 /nfs/dbraw/zinc/20/10/45/1120201045.db2.gz IAJGNPKDCRLKME-LSDHHAIUSA-N 1 2 283.362 3.882 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1ccncc1 ZINC000921775677 1120208541 /nfs/dbraw/zinc/20/85/41/1120208541.db2.gz IMWBRQOMFPTPHM-CYBMUJFWSA-N 1 2 273.380 3.608 20 0 CHADLO CC(C)c1ccc(N[C@H](C)c2cn3c([nH+]2)CCCC3)cn1 ZINC000926896189 1120211377 /nfs/dbraw/zinc/21/13/77/1120211377.db2.gz IXSXOESVNAVTPN-CYBMUJFWSA-N 1 2 284.407 3.911 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](c2nc(C)cs2)C2CC2)o1 ZINC000893079303 1120215927 /nfs/dbraw/zinc/21/59/27/1120215927.db2.gz ISRFVPGBMFKFFL-CQSZACIVSA-N 1 2 292.404 3.684 20 0 CHADLO Cc1ccccc1-c1noc(C[N@@H+](C)Cc2ccccc2)n1 ZINC000061745469 1120228865 /nfs/dbraw/zinc/22/88/65/1120228865.db2.gz WZCNMNAQNMCGSE-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccccc1-c1noc(C[N@H+](C)Cc2ccccc2)n1 ZINC000061745469 1120228868 /nfs/dbraw/zinc/22/88/68/1120228868.db2.gz WZCNMNAQNMCGSE-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc3ccccc3c2)no1 ZINC000061783079 1120230637 /nfs/dbraw/zinc/23/06/37/1120230637.db2.gz LHVVEIHJHCKUCS-UHFFFAOYSA-N 1 2 266.344 3.768 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc3ccccc3c2)no1 ZINC000061783079 1120230640 /nfs/dbraw/zinc/23/06/40/1120230640.db2.gz LHVVEIHJHCKUCS-UHFFFAOYSA-N 1 2 266.344 3.768 20 0 CHADLO C[C@H]1CO[C@@H](c2ccccc2)C[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659875762 1120235228 /nfs/dbraw/zinc/23/52/28/1120235228.db2.gz YULQJLXUTQOFDS-SCLBCKFNSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@H]1CO[C@@H](c2ccccc2)C[N@H+]1Cc1cc(C2CC2)no1 ZINC000659875762 1120235231 /nfs/dbraw/zinc/23/52/31/1120235231.db2.gz YULQJLXUTQOFDS-SCLBCKFNSA-N 1 2 298.386 3.514 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2nc(C(C)C)cs2)o1 ZINC000893153164 1120239343 /nfs/dbraw/zinc/23/93/43/1120239343.db2.gz MHSGHEODNSQQIT-UHFFFAOYSA-N 1 2 280.393 3.548 20 0 CHADLO C[C@H](Nc1cc[nH+]c(OCc2ccccn2)c1)C(C)(C)C ZINC001168686335 1120248358 /nfs/dbraw/zinc/24/83/58/1120248358.db2.gz LFONMUWHQNBLAK-ZDUSSCGKSA-N 1 2 285.391 3.902 20 0 CHADLO CC(C)c1ccc(C(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000074859424 1120249196 /nfs/dbraw/zinc/24/91/96/1120249196.db2.gz HJLJHFJVIJKUNV-UHFFFAOYSA-N 1 2 279.343 3.710 20 0 CHADLO CCO[C@@H]1CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000672188559 1120251897 /nfs/dbraw/zinc/25/18/97/1120251897.db2.gz XBWPGFAWSAUDOA-GFCCVEGCSA-N 1 2 290.794 3.894 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1cc(F)c(F)cc1F ZINC000136140700 1120252825 /nfs/dbraw/zinc/25/28/25/1120252825.db2.gz DOPVYVMVOUIZQZ-ZETCQYMHSA-N 1 2 284.281 3.560 20 0 CHADLO CC(C)[C@@H](C)[NH2+]c1ccc(N2CCSCC2)cc1 ZINC000179900380 1120257136 /nfs/dbraw/zinc/25/71/36/1120257136.db2.gz GFIDOBGSCLOYQK-CYBMUJFWSA-N 1 2 264.438 3.696 20 0 CHADLO CCOC(=O)CCc1ccc[nH+]c1N[C@@H](C)C[C@H](C)CC ZINC001168691090 1120261545 /nfs/dbraw/zinc/26/15/45/1120261545.db2.gz STOKQQKKLIHJEY-KGLIPLIRSA-N 1 2 292.423 3.814 20 0 CHADLO Cc1nc(N(C)[C@H](C)c2cccs2)c2c([nH+]1)CCCC2 ZINC000542936715 1120264980 /nfs/dbraw/zinc/26/49/80/1120264980.db2.gz PJVZQOQSWJQBIX-LLVKDONJSA-N 1 2 287.432 3.923 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1ccc(-n2c[nH+]c(C)c2C)nn1 ZINC001168691667 1120265107 /nfs/dbraw/zinc/26/51/07/1120265107.db2.gz VNHROGTWCFACFS-RYUDHWBXSA-N 1 2 287.411 3.516 20 0 CHADLO c1cc2cc(NCc3ccc4ncccc4c3)[nH+]cc2[nH]1 ZINC000672271535 1120272432 /nfs/dbraw/zinc/27/24/32/1120272432.db2.gz ITKQPTACAGDVOD-UHFFFAOYSA-N 1 2 274.327 3.723 20 0 CHADLO CC[C@@]1(Nc2cc(C(C)C)[nH+]c(C(C)C)n2)CCOC1 ZINC000672276173 1120273671 /nfs/dbraw/zinc/27/36/71/1120273671.db2.gz ZLSCLFNRRVUCIN-MRXNPFEDSA-N 1 2 277.412 3.704 20 0 CHADLO C[C@H](CCCC(C)(C)O)Nc1cc(N(C)C)cc(Cl)[nH+]1 ZINC001168694518 1120276549 /nfs/dbraw/zinc/27/65/49/1120276549.db2.gz AQKWUIQBGNOQKG-LLVKDONJSA-N 1 2 299.846 3.543 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000076399781 1120282364 /nfs/dbraw/zinc/28/23/64/1120282364.db2.gz VYJVTLRRTFCBHG-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2csc(C3CCCC3)n2)C1 ZINC000076399781 1120282366 /nfs/dbraw/zinc/28/23/66/1120282366.db2.gz VYJVTLRRTFCBHG-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1ncsc1C[N@@H+](C)[C@@H](C)c1nc2ccccc2o1 ZINC000181105639 1120289356 /nfs/dbraw/zinc/28/93/56/1120289356.db2.gz KEXHFXJQCAVBJH-NSHDSACASA-N 1 2 287.388 3.786 20 0 CHADLO Cc1ncsc1C[N@H+](C)[C@@H](C)c1nc2ccccc2o1 ZINC000181105639 1120289364 /nfs/dbraw/zinc/28/93/64/1120289364.db2.gz KEXHFXJQCAVBJH-NSHDSACASA-N 1 2 287.388 3.786 20 0 CHADLO CC(C)C[C@H]1COCC[N@@H+]1Cc1nsc2ccccc21 ZINC000659929809 1120291422 /nfs/dbraw/zinc/29/14/22/1120291422.db2.gz VSQCRGYZQQKGGN-ZDUSSCGKSA-N 1 2 290.432 3.543 20 0 CHADLO CC(C)C[C@H]1COCC[N@H+]1Cc1nsc2ccccc21 ZINC000659929809 1120291426 /nfs/dbraw/zinc/29/14/26/1120291426.db2.gz VSQCRGYZQQKGGN-ZDUSSCGKSA-N 1 2 290.432 3.543 20 0 CHADLO COc1ccc2ccc(N[C@H]3CCO[C@H](C4CC4)C3)[nH+]c2c1 ZINC000893194085 1120294818 /nfs/dbraw/zinc/29/48/18/1120294818.db2.gz CTTFCOFMDVXRSF-YOEHRIQHSA-N 1 2 298.386 3.613 20 0 CHADLO CCC[C@@H](NC(=O)CCCn1cc[nH+]c1)C1CCCCC1 ZINC000076836088 1120298295 /nfs/dbraw/zinc/29/82/95/1120298295.db2.gz PRWUFGJLJFFBPA-MRXNPFEDSA-N 1 2 291.439 3.529 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1Cc1cc(C2CC2)no1 ZINC000659943772 1120300125 /nfs/dbraw/zinc/30/01/25/1120300125.db2.gz FBVULEFGLJKFSX-NSHDSACASA-N 1 2 286.350 3.810 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659943772 1120300128 /nfs/dbraw/zinc/30/01/28/1120300128.db2.gz FBVULEFGLJKFSX-NSHDSACASA-N 1 2 286.350 3.810 20 0 CHADLO c1cc(-c2nc(C[N@H+]3CC=CCC3)cs2)cs1 ZINC000679323566 1120301640 /nfs/dbraw/zinc/30/16/40/1120301640.db2.gz CHWPFXQAUZPWAG-UHFFFAOYSA-N 1 2 262.403 3.634 20 0 CHADLO c1cc(-c2nc(C[N@@H+]3CC=CCC3)cs2)cs1 ZINC000679323566 1120301643 /nfs/dbraw/zinc/30/16/43/1120301643.db2.gz CHWPFXQAUZPWAG-UHFFFAOYSA-N 1 2 262.403 3.634 20 0 CHADLO CC[C@H]1C[C@@H](C)C[N@@H+]1Cc1ncsc1Br ZINC000659975584 1120319777 /nfs/dbraw/zinc/31/97/77/1120319777.db2.gz XYRLSLRXIFCZRI-BDAKNGLRSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1C[C@@H](C)C[N@H+]1Cc1ncsc1Br ZINC000659975584 1120319779 /nfs/dbraw/zinc/31/97/79/1120319779.db2.gz XYRLSLRXIFCZRI-BDAKNGLRSA-N 1 2 289.242 3.526 20 0 CHADLO CO[C@H](c1ccccc1)[C@H](C)[NH2+][C@@H]1CCCC1(F)F ZINC000672620404 1120334308 /nfs/dbraw/zinc/33/43/08/1120334308.db2.gz MIFRNPXMBLEPER-YUTCNCBUSA-N 1 2 269.335 3.540 20 0 CHADLO Cc1cccc2c1CC[C@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000672622411 1120335437 /nfs/dbraw/zinc/33/54/37/1120335437.db2.gz HUCDJBARQNLVMV-ZIAGYGMSSA-N 1 2 251.320 3.760 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+][C@@H]1CCCC1(F)F)CC2 ZINC000672622592 1120336569 /nfs/dbraw/zinc/33/65/69/1120336569.db2.gz NRFVIBWTMMGBAS-CHWSQXEVSA-N 1 2 255.283 3.590 20 0 CHADLO C[NH2+]c1ccc(NCc2cc(Cl)cc3c2OCC3)cc1 ZINC000629388553 1128910123 /nfs/dbraw/zinc/91/01/23/1128910123.db2.gz DZPKPDZFCPGROR-UHFFFAOYSA-N 1 2 288.778 3.929 20 0 CHADLO CC1(C)CC(CC(=O)Nc2ccccc2-n2cc[nH+]c2)C1 ZINC000431885466 1120350014 /nfs/dbraw/zinc/35/00/14/1120350014.db2.gz YQOXONSSLUKICH-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cc(N[C@H](C)CC(=O)OC(C)(C)C)ccc1[NH+](C)C ZINC000183945758 1120350250 /nfs/dbraw/zinc/35/02/50/1120350250.db2.gz BTZQBFPBCLNLLV-CYBMUJFWSA-N 1 2 292.423 3.593 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cncs2)c1Cl ZINC001236855564 1128910864 /nfs/dbraw/zinc/91/08/64/1128910864.db2.gz HZJXPAMQYCHSEW-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cncs2)c1Cl ZINC001236855564 1128910868 /nfs/dbraw/zinc/91/08/68/1128910868.db2.gz HZJXPAMQYCHSEW-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO FC(F)(F)[C@@H]1C[C@H](C(F)(F)F)CN(c2cccc[nH+]2)C1 ZINC000618438083 1128911490 /nfs/dbraw/zinc/91/14/90/1128911490.db2.gz MLLWHJILBWXYGW-DTORHVGOSA-N 1 2 298.230 3.649 20 0 CHADLO Oc1c(F)cc(F)cc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001212486277 1120370584 /nfs/dbraw/zinc/37/05/84/1120370584.db2.gz UPXINEKEYYMDIH-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO CCC1(CC)CC[NH+](Cn2ncsc2=S)CC1 ZINC000186110845 1120392221 /nfs/dbraw/zinc/39/22/21/1120392221.db2.gz BIONEQVEMCBODA-UHFFFAOYSA-N 1 2 271.455 3.534 20 0 CHADLO C[N@H+](Cc1cc(C2CC2)no1)Cc1ccc(Cl)c(F)c1 ZINC000660152185 1120401968 /nfs/dbraw/zinc/40/19/68/1120401968.db2.gz RXQIABUIVPYJLY-UHFFFAOYSA-N 1 2 294.757 3.977 20 0 CHADLO C[N@@H+](Cc1cc(C2CC2)no1)Cc1ccc(Cl)c(F)c1 ZINC000660152185 1120401972 /nfs/dbraw/zinc/40/19/72/1120401972.db2.gz RXQIABUIVPYJLY-UHFFFAOYSA-N 1 2 294.757 3.977 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(OC2CC2)c1F)c1nccs1 ZINC000657354180 1120438804 /nfs/dbraw/zinc/43/88/04/1120438804.db2.gz ZDFXBUZHXNQHFK-JTQLQIEISA-N 1 2 292.379 3.674 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(OC2CC2)c1F)c1nccs1 ZINC000657354181 1120439019 /nfs/dbraw/zinc/43/90/19/1120439019.db2.gz ZDFXBUZHXNQHFK-SNVBAGLBSA-N 1 2 292.379 3.674 20 0 CHADLO CC/C=C/CC[N@@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000364143233 1120453714 /nfs/dbraw/zinc/45/37/14/1120453714.db2.gz XPUHEJNQIZIAPU-RDFMZFSFSA-N 1 2 275.396 3.830 20 0 CHADLO CC/C=C/CC[N@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000364143233 1120453718 /nfs/dbraw/zinc/45/37/18/1120453718.db2.gz XPUHEJNQIZIAPU-RDFMZFSFSA-N 1 2 275.396 3.830 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnc(-c2ccccc2)nc1 ZINC000644780995 1120465723 /nfs/dbraw/zinc/46/57/23/1120465723.db2.gz ACUNBVMETHMFAZ-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnc(-c2ccccc2)nc1 ZINC000644780995 1120465725 /nfs/dbraw/zinc/46/57/25/1120465725.db2.gz ACUNBVMETHMFAZ-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2oc3ccccc3c2C)co1 ZINC000660262912 1120470911 /nfs/dbraw/zinc/47/09/11/1120470911.db2.gz HUSIHARILZGYOS-LLVKDONJSA-N 1 2 270.332 3.888 20 0 CHADLO CCOc1cc(Cl)ccc1NCc1c[nH+]cn1CC ZINC000417807035 1120481979 /nfs/dbraw/zinc/48/19/79/1120481979.db2.gz JKNAMGDLSJSGLZ-UHFFFAOYSA-N 1 2 279.771 3.567 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CCc3c(C)cc(C)cc3C2)s1 ZINC000282345114 1120486317 /nfs/dbraw/zinc/48/63/17/1120486317.db2.gz ADNOUWLKFUXOOI-GFCCVEGCSA-N 1 2 287.432 3.583 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CCc3c(C)cc(C)cc3C2)s1 ZINC000282345114 1120486320 /nfs/dbraw/zinc/48/63/20/1120486320.db2.gz ADNOUWLKFUXOOI-GFCCVEGCSA-N 1 2 287.432 3.583 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cc2sccc2nc1Cl ZINC001236869438 1128919814 /nfs/dbraw/zinc/91/98/14/1128919814.db2.gz LPOUJMFYAPPMIW-MRVPVSSYSA-N 1 2 252.770 3.544 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cc2sccc2nc1Cl ZINC001236869438 1128919818 /nfs/dbraw/zinc/91/98/18/1128919818.db2.gz LPOUJMFYAPPMIW-MRVPVSSYSA-N 1 2 252.770 3.544 20 0 CHADLO C[C@@H]1CCCC[N@H+](Cc2ncsc2Br)C1 ZINC000660309397 1120491880 /nfs/dbraw/zinc/49/18/80/1120491880.db2.gz FWJNMCOGQLTUJD-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO C[C@@H]1CCCC[N@@H+](Cc2ncsc2Br)C1 ZINC000660309397 1120491884 /nfs/dbraw/zinc/49/18/84/1120491884.db2.gz FWJNMCOGQLTUJD-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO CC(C)(C)[C@H]1CC[C@H](OCCn2cc[nH+]c2)CC1 ZINC001222139180 1120492628 /nfs/dbraw/zinc/49/26/28/1120492628.db2.gz PWKUXJYFDSBJDP-HDJSIYSDSA-N 1 2 250.386 3.505 20 0 CHADLO C[NH+](C)c1ccc(NCc2c(O)ccc(Cl)c2F)cc1 ZINC000191368583 1128920268 /nfs/dbraw/zinc/92/02/68/1128920268.db2.gz LRMMCDLQPINIGJ-UHFFFAOYSA-N 1 2 294.757 3.863 20 0 CHADLO COC[C@@H]1CC[N@@H+]1Cc1ccc(Cl)cc1C(F)(F)F ZINC001143508575 1120498835 /nfs/dbraw/zinc/49/88/35/1120498835.db2.gz NILOWOKDBJNONU-NSHDSACASA-N 1 2 293.716 3.580 20 0 CHADLO COC[C@@H]1CC[N@H+]1Cc1ccc(Cl)cc1C(F)(F)F ZINC001143508575 1120498837 /nfs/dbraw/zinc/49/88/37/1120498837.db2.gz NILOWOKDBJNONU-NSHDSACASA-N 1 2 293.716 3.580 20 0 CHADLO CCCn1c(C)nnc1C[N@@H+]1CCc2ccccc2[C@H]1CC ZINC000158236734 1120516501 /nfs/dbraw/zinc/51/65/01/1120516501.db2.gz BFARLIIABJJZEE-QGZVFWFLSA-N 1 2 298.434 3.506 20 0 CHADLO CCCn1c(C)nnc1C[N@H+]1CCc2ccccc2[C@H]1CC ZINC000158236734 1120516504 /nfs/dbraw/zinc/51/65/04/1120516504.db2.gz BFARLIIABJJZEE-QGZVFWFLSA-N 1 2 298.434 3.506 20 0 CHADLO CCc1onc(C)c1C[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000931083401 1120524044 /nfs/dbraw/zinc/52/40/44/1120524044.db2.gz KPKSUASZKNBTJF-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1onc(C)c1C[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000931083401 1120524046 /nfs/dbraw/zinc/52/40/46/1120524046.db2.gz KPKSUASZKNBTJF-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO Cc1ccc(C(=O)Nc2cc[nH+]c(C)c2)c(Cl)c1 ZINC000191694029 1120526567 /nfs/dbraw/zinc/52/65/67/1120526567.db2.gz LILVVMIXZZMLMJ-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO c1ccc2c(c1)C=C(CNc1ccc3c(c1)CCC[NH2+]3)CO2 ZINC000629648126 1128922602 /nfs/dbraw/zinc/92/26/02/1128922602.db2.gz PCZLZVNNTVSLCV-UHFFFAOYSA-N 1 2 292.382 3.933 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1ccc2c[nH]nc2c1 ZINC000158445376 1120532636 /nfs/dbraw/zinc/53/26/36/1120532636.db2.gz HTIMRGNYOHQOFQ-UHFFFAOYSA-N 1 2 296.374 3.747 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1ccc(Cl)c(F)c1 ZINC000213720671 1120534466 /nfs/dbraw/zinc/53/44/66/1120534466.db2.gz ARQUTXFQNOATAS-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CCc1cc(OCCOC2CCC2)c2ccccc2[nH+]1 ZINC000673546383 1120533908 /nfs/dbraw/zinc/53/39/08/1120533908.db2.gz JGTHDVCVVZHLLC-UHFFFAOYSA-N 1 2 271.360 3.745 20 0 CHADLO Cc1ccc(F)cc1C[NH2+][C@H]1c2ccccc2OC[C@H]1F ZINC000922521697 1120547238 /nfs/dbraw/zinc/54/72/38/1120547238.db2.gz XPPVHZFXVKHIDE-WBVHZDCISA-N 1 2 289.325 3.696 20 0 CHADLO Cc1nc2cc(CNc3cc4cc[nH]c4c[nH+]3)ccc2o1 ZINC001167753313 1120550577 /nfs/dbraw/zinc/55/05/77/1120550577.db2.gz HDJJFUSZJGEHFX-UHFFFAOYSA-N 1 2 278.315 3.625 20 0 CHADLO Fc1ccc(C[NH2+][C@H](c2ncccn2)C2CC2)cc1Cl ZINC000922577399 1120554275 /nfs/dbraw/zinc/55/42/75/1120554275.db2.gz AFZCQHXVEIJOOK-AWEZNQCLSA-N 1 2 291.757 3.510 20 0 CHADLO CSc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000398016771 1120554442 /nfs/dbraw/zinc/55/44/42/1120554442.db2.gz HHICDOTVPHYAOM-GFCCVEGCSA-N 1 2 257.349 3.686 20 0 CHADLO Cc1cc(Cl)ccc1C[NH2+][C@@H]1CCCC1(F)F ZINC000398031884 1120558489 /nfs/dbraw/zinc/55/84/89/1120558489.db2.gz NSULNYFTNNCCQW-GFCCVEGCSA-N 1 2 259.727 3.926 20 0 CHADLO Cc1cc(NC(=O)CCCCCc2cccs2)cc[nH+]1 ZINC000500300592 1120562906 /nfs/dbraw/zinc/56/29/06/1120562906.db2.gz ZGSXAHTZRGGLQY-UHFFFAOYSA-N 1 2 288.416 3.615 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000398062403 1120564002 /nfs/dbraw/zinc/56/40/02/1120564002.db2.gz WSSMGPHKKRCTCK-GXFFZTMASA-N 1 2 255.308 3.534 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C)cn1)c1cc(Cl)ccc1Cl ZINC000673600752 1120569449 /nfs/dbraw/zinc/56/94/49/1120569449.db2.gz GEPFCFKDSBPTKK-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)CCc2ccccc2)c1 ZINC000652068646 1120578120 /nfs/dbraw/zinc/57/81/20/1120578120.db2.gz KARWWWDOUGLWSZ-AWEZNQCLSA-N 1 2 270.376 3.661 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1c(F)cccc1F ZINC000020096607 1120584218 /nfs/dbraw/zinc/58/42/18/1120584218.db2.gz IBWKZBVBTVWMRR-NSHDSACASA-N 1 2 277.314 3.824 20 0 CHADLO COc1ccc2c(c1)[C@@H]([NH2+][C@H]1CCCC1(F)F)CCC2 ZINC000398197022 1120590072 /nfs/dbraw/zinc/59/00/72/1120590072.db2.gz OHKGVUFKLWGJEK-GJZGRUSLSA-N 1 2 281.346 3.850 20 0 CHADLO FC(F)(F)c1cc(Cl)cc(C[NH2+]Cc2ccon2)c1 ZINC000780527788 1120610218 /nfs/dbraw/zinc/61/02/18/1120610218.db2.gz DGYIRKCVLLPCKT-UHFFFAOYSA-N 1 2 290.672 3.637 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCn2cccc2[C@H]1C ZINC000338891686 1120624771 /nfs/dbraw/zinc/62/47/71/1120624771.db2.gz HFLXXJYNJKRZPE-OAHLLOKOSA-N 1 2 283.419 3.892 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCn2cccc2[C@H]1C ZINC000338891686 1120624775 /nfs/dbraw/zinc/62/47/75/1120624775.db2.gz HFLXXJYNJKRZPE-OAHLLOKOSA-N 1 2 283.419 3.892 20 0 CHADLO COc1cc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)cc(C(F)(F)F)c1 ZINC001143511809 1120640512 /nfs/dbraw/zinc/64/05/12/1120640512.db2.gz LWMVWNBTIDBHJG-RNCFNFMXSA-N 1 2 291.288 3.504 20 0 CHADLO COc1cc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)cc(C(F)(F)F)c1 ZINC001143511809 1120640514 /nfs/dbraw/zinc/64/05/14/1120640514.db2.gz LWMVWNBTIDBHJG-RNCFNFMXSA-N 1 2 291.288 3.504 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000093841577 1120649537 /nfs/dbraw/zinc/64/95/37/1120649537.db2.gz SMBJYQZNJCPRDR-NZHZHZHDSA-N 1 2 283.371 3.756 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000093841577 1120649538 /nfs/dbraw/zinc/64/95/38/1120649538.db2.gz SMBJYQZNJCPRDR-NZHZHZHDSA-N 1 2 283.371 3.756 20 0 CHADLO Cc1ccc2sc(C[NH+]3CC4(C3)CC(F)(F)C4)nc2c1 ZINC000625274917 1120660286 /nfs/dbraw/zinc/66/02/86/1120660286.db2.gz NSZCCJNBULVSBA-UHFFFAOYSA-N 1 2 294.370 3.836 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1cc(O)ccc1Cl ZINC000625304346 1120666591 /nfs/dbraw/zinc/66/65/91/1120666591.db2.gz VXPCUWHKQNOQBB-QMMMGPOBSA-N 1 2 280.755 3.501 20 0 CHADLO Cc1cccc([C@H]2CC[N@H+](Cc3noc(C4CCC4)n3)C2)c1 ZINC000625312484 1120667770 /nfs/dbraw/zinc/66/77/70/1120667770.db2.gz FXPUQKULQBIAFS-INIZCTEOSA-N 1 2 297.402 3.635 20 0 CHADLO Cc1cccc([C@H]2CC[N@@H+](Cc3noc(C4CCC4)n3)C2)c1 ZINC000625312484 1120667772 /nfs/dbraw/zinc/66/77/72/1120667772.db2.gz FXPUQKULQBIAFS-INIZCTEOSA-N 1 2 297.402 3.635 20 0 CHADLO Cc1nc2c(s1)C[N@@H+]([C@H]1Cc3cccc(Cl)c3C1)C2 ZINC001168741702 1120670822 /nfs/dbraw/zinc/67/08/22/1120670822.db2.gz ACLLEJUCCWQERM-NSHDSACASA-N 1 2 290.819 3.588 20 0 CHADLO Cc1nc2c(s1)C[N@H+]([C@H]1Cc3cccc(Cl)c3C1)C2 ZINC001168741702 1120670826 /nfs/dbraw/zinc/67/08/26/1120670826.db2.gz ACLLEJUCCWQERM-NSHDSACASA-N 1 2 290.819 3.588 20 0 CHADLO Cc1ccccc1C[C@H](C)[N@H+](C)Cc1noc(C2CCC2)n1 ZINC000625375798 1120674057 /nfs/dbraw/zinc/67/40/57/1120674057.db2.gz CCWUOGHMKFFEDI-AWEZNQCLSA-N 1 2 299.418 3.709 20 0 CHADLO Cc1ccccc1C[C@H](C)[N@@H+](C)Cc1noc(C2CCC2)n1 ZINC000625375798 1120674059 /nfs/dbraw/zinc/67/40/59/1120674059.db2.gz CCWUOGHMKFFEDI-AWEZNQCLSA-N 1 2 299.418 3.709 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1cc(O)ccc1Cl ZINC000625428921 1120679605 /nfs/dbraw/zinc/67/96/05/1120679605.db2.gz ONPGLRQJVARBMC-UHFFFAOYSA-N 1 2 294.782 3.675 20 0 CHADLO CC(C)OCC[N@H+](C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000660707731 1120680890 /nfs/dbraw/zinc/68/08/90/1120680890.db2.gz IHOSEYLUBFHTNQ-LLVKDONJSA-N 1 2 295.814 3.634 20 0 CHADLO CC(C)OCC[N@@H+](C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000660707731 1120680891 /nfs/dbraw/zinc/68/08/91/1120680891.db2.gz IHOSEYLUBFHTNQ-LLVKDONJSA-N 1 2 295.814 3.634 20 0 CHADLO Cn1cnc(C[N@@H+]2CCCC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000660737786 1120682769 /nfs/dbraw/zinc/68/27/69/1120682769.db2.gz ZEWKWZZKHUHVNG-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cnc(C[N@H+]2CCCC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000660737786 1120682772 /nfs/dbraw/zinc/68/27/72/1120682772.db2.gz ZEWKWZZKHUHVNG-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO C[C@@H]1CCC[C@H](C[N@@H+](C)[C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000660765889 1120686893 /nfs/dbraw/zinc/68/68/93/1120686893.db2.gz YFMYFXCTKNYRLC-HLLBOEOZSA-N 1 2 298.434 3.652 20 0 CHADLO Fc1ccc(NC(C2CC2)C2CC2)cc1-n1cc[nH+]c1 ZINC001168746757 1120687247 /nfs/dbraw/zinc/68/72/47/1120687247.db2.gz HYRHJCXLAULJAM-UHFFFAOYSA-N 1 2 271.339 3.612 20 0 CHADLO CCO[C@H](C)c1nc(C[N@@H+]2C[C@@H]3CCCC[C@@H]32)cs1 ZINC000660929017 1120702607 /nfs/dbraw/zinc/70/26/07/1120702607.db2.gz CHEMMZZCXZGAMX-DYEKYZERSA-N 1 2 280.437 3.615 20 0 CHADLO CCO[C@H](C)c1nc(C[N@H+]2C[C@@H]3CCCC[C@@H]32)cs1 ZINC000660929017 1120702614 /nfs/dbraw/zinc/70/26/14/1120702614.db2.gz CHEMMZZCXZGAMX-DYEKYZERSA-N 1 2 280.437 3.615 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2[nH]ncc21)c1ccc(Cl)cc1 ZINC000335082742 1120714008 /nfs/dbraw/zinc/71/40/08/1120714008.db2.gz JBUCCXDFPHKWCC-IINYFYTJSA-N 1 2 275.783 3.791 20 0 CHADLO C[C@H](c1ccc(C[N@H+](C)Cc2cnc[nH]2)cc1)C(F)(F)F ZINC000661091539 1120715773 /nfs/dbraw/zinc/71/57/73/1120715773.db2.gz ZJYCLLLDIRLXJU-LLVKDONJSA-N 1 2 297.324 3.708 20 0 CHADLO C[C@H](c1ccc(C[N@@H+](C)Cc2cnc[nH]2)cc1)C(F)(F)F ZINC000661091539 1120715775 /nfs/dbraw/zinc/71/57/75/1120715775.db2.gz ZJYCLLLDIRLXJU-LLVKDONJSA-N 1 2 297.324 3.708 20 0 CHADLO C[C@H](c1ccc(C[N@H+](C)Cc2c[nH]cn2)cc1)C(F)(F)F ZINC000661091539 1120715777 /nfs/dbraw/zinc/71/57/77/1120715777.db2.gz ZJYCLLLDIRLXJU-LLVKDONJSA-N 1 2 297.324 3.708 20 0 CHADLO C[C@H](c1ccc(C[N@@H+](C)Cc2c[nH]cn2)cc1)C(F)(F)F ZINC000661091539 1120715783 /nfs/dbraw/zinc/71/57/83/1120715783.db2.gz ZJYCLLLDIRLXJU-LLVKDONJSA-N 1 2 297.324 3.708 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661170819 1120731310 /nfs/dbraw/zinc/73/13/10/1120731310.db2.gz RWSFHQZYVOYWFD-ZBEGNZNMSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661170819 1120731316 /nfs/dbraw/zinc/73/13/16/1120731316.db2.gz RWSFHQZYVOYWFD-ZBEGNZNMSA-N 1 2 281.346 3.527 20 0 CHADLO CCc1nc(C[N@@H+]2CCc3ccc(C)cc3C2)cs1 ZINC000661374697 1120757395 /nfs/dbraw/zinc/75/73/95/1120757395.db2.gz DKKXZFDMGFFVKR-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCc1nc(C[N@H+]2CCc3ccc(C)cc3C2)cs1 ZINC000661374697 1120757399 /nfs/dbraw/zinc/75/73/99/1120757399.db2.gz DKKXZFDMGFFVKR-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cc(-c3ccco3)on1)CC2 ZINC000661374548 1120757415 /nfs/dbraw/zinc/75/74/15/1120757415.db2.gz BEVQJMTUKWHPJK-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cc(-c3ccco3)on1)CC2 ZINC000661374548 1120757418 /nfs/dbraw/zinc/75/74/18/1120757418.db2.gz BEVQJMTUKWHPJK-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccc(Cl)cn1)CC2 ZINC000661373774 1120759061 /nfs/dbraw/zinc/75/90/61/1120759061.db2.gz VRVGSYCPAIAAPE-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccc(Cl)cn1)CC2 ZINC000661373774 1120759065 /nfs/dbraw/zinc/75/90/65/1120759065.db2.gz VRVGSYCPAIAAPE-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000045752336 1120767913 /nfs/dbraw/zinc/76/79/13/1120767913.db2.gz KENSQCQIFIBSQQ-NWDGAFQWSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000045752336 1120767914 /nfs/dbraw/zinc/76/79/14/1120767914.db2.gz KENSQCQIFIBSQQ-NWDGAFQWSA-N 1 2 253.773 3.512 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nc(CC(C)C)no2)cc1 ZINC000047960000 1120799324 /nfs/dbraw/zinc/79/93/24/1120799324.db2.gz CRCHOKVIZXFZIY-CQSZACIVSA-N 1 2 287.407 3.770 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nc(CC(C)C)no2)cc1 ZINC000047960000 1120799327 /nfs/dbraw/zinc/79/93/27/1120799327.db2.gz CRCHOKVIZXFZIY-CQSZACIVSA-N 1 2 287.407 3.770 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(C(C)(C)C)cs2)nc1C ZINC000313840375 1120800420 /nfs/dbraw/zinc/80/04/20/1120800420.db2.gz QBGFRXSLCBECKT-UHFFFAOYSA-N 1 2 289.448 3.742 20 0 CHADLO Cc1ccc(-c2noc(C[NH2+][C@@H](C)c3ccccc3)n2)cc1 ZINC000102676762 1120824008 /nfs/dbraw/zinc/82/40/08/1120824008.db2.gz VDAJOMIPPDOLMQ-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO COCc1cc[nH+]c(N[C@H](c2ccccc2)C(F)(F)F)c1 ZINC000652330925 1120829127 /nfs/dbraw/zinc/82/91/27/1120829127.db2.gz SSHVQTHVMFSEBD-CQSZACIVSA-N 1 2 296.292 3.944 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCc3c2cccc3Cl)c1 ZINC000652331169 1120829657 /nfs/dbraw/zinc/82/96/57/1120829657.db2.gz ZVCHOJJQGNXQFO-HNNXBMFYSA-N 1 2 288.778 3.981 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(-c3ccccc3)no2)cc1 ZINC000048812344 1120843706 /nfs/dbraw/zinc/84/37/06/1120843706.db2.gz MCMVYCZLYRHGOX-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(-c3ccccc3)no2)cc1 ZINC000048812344 1120843711 /nfs/dbraw/zinc/84/37/11/1120843711.db2.gz MCMVYCZLYRHGOX-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO COc1c(F)cc(Nc2cc[nH+]c(SC)c2)cc1F ZINC001211681026 1120856262 /nfs/dbraw/zinc/85/62/62/1120856262.db2.gz WUCLKHILUFZPIA-UHFFFAOYSA-N 1 2 282.315 3.834 20 0 CHADLO FC(F)(F)Cc1ccccc1-c1c[nH+]c2n1CCCC2 ZINC000630054564 1128946297 /nfs/dbraw/zinc/94/62/97/1128946297.db2.gz YJELHGINHLDRBW-UHFFFAOYSA-N 1 2 280.293 3.991 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2C)[nH]c1C ZINC000628302433 1120884115 /nfs/dbraw/zinc/88/41/15/1120884115.db2.gz CARKNVYFSSSUSN-MRXNPFEDSA-N 1 2 287.382 3.811 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2C)[nH]c1C ZINC000628302433 1120884118 /nfs/dbraw/zinc/88/41/18/1120884118.db2.gz CARKNVYFSSSUSN-MRXNPFEDSA-N 1 2 287.382 3.811 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2C)n1 ZINC000628302490 1120887206 /nfs/dbraw/zinc/88/72/06/1120887206.db2.gz FKXBKWVCPMYBBZ-OAHLLOKOSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2C)n1 ZINC000628302490 1120887209 /nfs/dbraw/zinc/88/72/09/1120887209.db2.gz FKXBKWVCPMYBBZ-OAHLLOKOSA-N 1 2 273.355 3.503 20 0 CHADLO c1cn(Cc2nc(CCC3CCCC3)cs2)c[nH+]1 ZINC000663218915 1120891677 /nfs/dbraw/zinc/89/16/77/1120891677.db2.gz FLBQEJKUEZPMOV-UHFFFAOYSA-N 1 2 261.394 3.511 20 0 CHADLO O=C(CCCC1CC1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000643184928 1120902416 /nfs/dbraw/zinc/90/24/16/1120902416.db2.gz HFXFMYHEHVOWCY-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO C1=CCC(CNc2cccc3c2CN(c2cccc[nH+]2)C3)C1 ZINC000663280072 1120909036 /nfs/dbraw/zinc/90/90/36/1120909036.db2.gz RVMKTBUFFSIMGP-UHFFFAOYSA-N 1 2 291.398 3.980 20 0 CHADLO c1ccc(C23CC(C2)C[N@H+]3Cc2nnc(C3CC3)s2)cc1 ZINC000662332426 1120927552 /nfs/dbraw/zinc/92/75/52/1120927552.db2.gz POXQYGABQQWTAK-UHFFFAOYSA-N 1 2 297.427 3.537 20 0 CHADLO c1ccc(C23CC(C2)C[N@@H+]3Cc2nnc(C3CC3)s2)cc1 ZINC000662332426 1120927556 /nfs/dbraw/zinc/92/75/56/1120927556.db2.gz POXQYGABQQWTAK-UHFFFAOYSA-N 1 2 297.427 3.537 20 0 CHADLO C[N@@H+](Cc1noc(C2CCCCC2)n1)C[C@H]1CC1(C)C ZINC000662346670 1120929626 /nfs/dbraw/zinc/92/96/26/1120929626.db2.gz YMPFHKFVKHIRBK-CYBMUJFWSA-N 1 2 277.412 3.595 20 0 CHADLO C[N@H+](Cc1noc(C2CCCCC2)n1)C[C@H]1CC1(C)C ZINC000662346670 1120929629 /nfs/dbraw/zinc/92/96/29/1120929629.db2.gz YMPFHKFVKHIRBK-CYBMUJFWSA-N 1 2 277.412 3.595 20 0 CHADLO CC[C@@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC000078608893 1128951116 /nfs/dbraw/zinc/95/11/16/1128951116.db2.gz SRNNYUGQZUSTMT-DOTOQJQBSA-N 1 2 274.408 3.656 20 0 CHADLO CC[C@@H]1C[C@@H]([NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC000078608893 1128951118 /nfs/dbraw/zinc/95/11/18/1128951118.db2.gz SRNNYUGQZUSTMT-DOTOQJQBSA-N 1 2 274.408 3.656 20 0 CHADLO Fc1cccc(COc2cccc(-n3cc[nH+]c3)c2)c1F ZINC000343908712 1120957854 /nfs/dbraw/zinc/95/78/54/1120957854.db2.gz AMWJTRYDLHWKKP-UHFFFAOYSA-N 1 2 286.281 3.730 20 0 CHADLO CC(C)n1c2ccccc2[nH+]c1NCc1ccco1 ZINC000000513345 1121010313 /nfs/dbraw/zinc/01/03/13/1121010313.db2.gz JOTFGDITOZEXOD-UHFFFAOYSA-N 1 2 255.321 3.822 20 0 CHADLO C(=C/c1nc2ccccc2o1)\c1c[nH+]c2ccccn12 ZINC000133170311 1121023815 /nfs/dbraw/zinc/02/38/15/1121023815.db2.gz YDJUIBPZRIJQCD-CMDGGOBGSA-N 1 2 261.284 3.646 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(C(F)(F)F)cc1 ZINC001174768723 1121024493 /nfs/dbraw/zinc/02/44/93/1121024493.db2.gz CHSAOYMFYXRLGZ-UHFFFAOYSA-N 1 2 268.238 3.858 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+]2Cc2ccccc2F)c1 ZINC000674008500 1121036111 /nfs/dbraw/zinc/03/61/11/1121036111.db2.gz BNVQYWHJBDPVFJ-MRXNPFEDSA-N 1 2 259.299 3.912 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+]2Cc2ccccc2F)c1 ZINC000674008500 1121036117 /nfs/dbraw/zinc/03/61/17/1121036117.db2.gz BNVQYWHJBDPVFJ-MRXNPFEDSA-N 1 2 259.299 3.912 20 0 CHADLO Cc1cnc(C[N@@H+]2CC(C)(C)[C@H]2c2ccccc2)o1 ZINC000674011570 1121039060 /nfs/dbraw/zinc/03/90/60/1121039060.db2.gz VZKYKRSVSMRWMN-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cnc(C[N@H+]2CC(C)(C)[C@H]2c2ccccc2)o1 ZINC000674011570 1121039068 /nfs/dbraw/zinc/03/90/68/1121039068.db2.gz VZKYKRSVSMRWMN-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO CCOc1nnc(C[NH+]2CCC(C)(CC(C)C)CC2)s1 ZINC000343989410 1121045732 /nfs/dbraw/zinc/04/57/32/1121045732.db2.gz CIKXANGBGADPRD-UHFFFAOYSA-N 1 2 297.468 3.585 20 0 CHADLO CNc1ccc(Nc2cc3ccccc3nc2C)c[nH+]1 ZINC001203448871 1121049615 /nfs/dbraw/zinc/04/96/15/1121049615.db2.gz OKNMEEYDQDXIFS-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1[C@H](C(=O)OC(C)(C)C)C1CC1 ZINC001174854047 1121064800 /nfs/dbraw/zinc/06/48/00/1121064800.db2.gz MPLZMIHYCHENBK-WBMJQRKESA-N 1 2 287.403 3.684 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1[C@H](C(=O)OC(C)(C)C)C1CC1 ZINC001174854047 1121064809 /nfs/dbraw/zinc/06/48/09/1121064809.db2.gz MPLZMIHYCHENBK-WBMJQRKESA-N 1 2 287.403 3.684 20 0 CHADLO CN(C)c1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001174878896 1121077720 /nfs/dbraw/zinc/07/77/20/1121077720.db2.gz RWZXILXHNGATGX-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO CN(C)c1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1 ZINC001174879670 1121079488 /nfs/dbraw/zinc/07/94/88/1121079488.db2.gz BAOIQODIZKYHGW-UHFFFAOYSA-N 1 2 292.386 3.741 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc3ncccc32)[nH+]1 ZINC001174904410 1121085405 /nfs/dbraw/zinc/08/54/05/1121085405.db2.gz BRROCMUYXIPQDV-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2Cc3ccccc3OC(C)(C)C2)o1 ZINC000344103595 1121086678 /nfs/dbraw/zinc/08/66/78/1121086678.db2.gz FPKFXHQCRSZITH-ZDUSSCGKSA-N 1 2 286.375 3.717 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2Cc3ccccc3OC(C)(C)C2)o1 ZINC000344103595 1121086687 /nfs/dbraw/zinc/08/66/87/1121086687.db2.gz FPKFXHQCRSZITH-ZDUSSCGKSA-N 1 2 286.375 3.717 20 0 CHADLO Cc1cc(C[NH2+]C2(C(F)F)CCCC2)ccc1F ZINC000674144011 1121092133 /nfs/dbraw/zinc/09/21/33/1121092133.db2.gz IUIWFQPVTKZPRC-UHFFFAOYSA-N 1 2 257.299 3.802 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CCC(C)(C)c2ccccc21 ZINC000038011088 1121093351 /nfs/dbraw/zinc/09/33/51/1121093351.db2.gz OJHWBTBBXJBFRF-MRXNPFEDSA-N 1 2 269.392 3.620 20 0 CHADLO COCc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001174919794 1121094526 /nfs/dbraw/zinc/09/45/26/1121094526.db2.gz NCJWKGGPYNCJAW-UHFFFAOYSA-N 1 2 254.333 3.849 20 0 CHADLO CCC(C)(C)C(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000171265951 1121106998 /nfs/dbraw/zinc/10/69/98/1121106998.db2.gz JJEBCTMOMQKVSB-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@H+]([C@@H]1CCCOC1)C2 ZINC000674300249 1121115176 /nfs/dbraw/zinc/11/51/76/1121115176.db2.gz AUFGIDGIFAHFPD-LLVKDONJSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1ccc2c(c1Cl)CC[N@@H+]([C@@H]1CCCOC1)C2 ZINC000674300249 1121115180 /nfs/dbraw/zinc/11/51/80/1121115180.db2.gz AUFGIDGIFAHFPD-LLVKDONJSA-N 1 2 286.202 3.531 20 0 CHADLO CCOc1cc(C)ccc1C[NH2+][C@@H](C)c1cc(C)on1 ZINC000344192783 1121117694 /nfs/dbraw/zinc/11/76/94/1121117694.db2.gz NHVYPLJNEIFMQL-ZDUSSCGKSA-N 1 2 274.364 3.541 20 0 CHADLO Cc1nc(C2([NH2+]Cc3ccccc3C3CC3)CCCC2)no1 ZINC000674334323 1121119160 /nfs/dbraw/zinc/11/91/60/1121119160.db2.gz BGUYQBJCNMSEMY-UHFFFAOYSA-N 1 2 297.402 3.815 20 0 CHADLO COc1cc(Nc2cccn3cc(C)[nH+]c23)ccc1C ZINC001175012492 1121133099 /nfs/dbraw/zinc/13/30/99/1121133099.db2.gz BVMVUJSTWRNQJC-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(C)c(OC)c2)c[nH+]1 ZINC001175016701 1121135437 /nfs/dbraw/zinc/13/54/37/1121135437.db2.gz MOOZPAUQOPHHAP-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@H+]([C@@H]1CCCOC1)C2 ZINC000674506086 1121139944 /nfs/dbraw/zinc/13/99/44/1121139944.db2.gz ZTZSWQQQSHMIBS-GFCCVEGCSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@@H+]([C@@H]1CCCOC1)C2 ZINC000674506086 1121139948 /nfs/dbraw/zinc/13/99/48/1121139948.db2.gz ZTZSWQQQSHMIBS-GFCCVEGCSA-N 1 2 286.202 3.531 20 0 CHADLO Cc1cn2cc(NC(=O)N[C@@H](C)CCC(C)C)ccc2[nH+]1 ZINC000606569136 1121141656 /nfs/dbraw/zinc/14/16/56/1121141656.db2.gz ZBQMLOSSCJRAED-LBPRGKRZSA-N 1 2 288.395 3.589 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C#N)ccc1F)c1cccnc1Cl ZINC000090117553 1121142485 /nfs/dbraw/zinc/14/24/85/1121142485.db2.gz XVDFBNSAGPAOSY-SNVBAGLBSA-N 1 2 289.741 3.597 20 0 CHADLO COc1c(F)cccc1C[N@H+](C)Cc1ccc(Cl)nc1 ZINC001143529935 1121143900 /nfs/dbraw/zinc/14/39/00/1121143900.db2.gz IQVNIQQQWGQAMH-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1c(F)cccc1C[N@@H+](C)Cc1ccc(Cl)nc1 ZINC001143529935 1121143903 /nfs/dbraw/zinc/14/39/03/1121143903.db2.gz IQVNIQQQWGQAMH-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CCOc1cc(F)c(Nc2cccn3cc[nH+]c23)cc1F ZINC001175056582 1121143878 /nfs/dbraw/zinc/14/38/78/1121143878.db2.gz YQJAYTLQJDITTH-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1nc(C)no1 ZINC000621280683 1121144978 /nfs/dbraw/zinc/14/49/78/1121144978.db2.gz WCQVDYNDDLOQPB-CYBMUJFWSA-N 1 2 279.771 3.615 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]c(C)cn32)cc1F ZINC001175066217 1121146219 /nfs/dbraw/zinc/14/62/19/1121146219.db2.gz NJWKRYQNPYDDGI-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO CCOc1ccc(Nc2ccc(N(C)CC)[nH+]c2)cc1F ZINC001175067386 1121147651 /nfs/dbraw/zinc/14/76/51/1121147651.db2.gz RNFAVCUDENRXGQ-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO FC(F)C[N@@H+]1CCC[C@]2(CCCc3ccccc32)C1 ZINC000674677449 1121169903 /nfs/dbraw/zinc/16/99/03/1121169903.db2.gz XNWKZKMEHSRYTJ-MRXNPFEDSA-N 1 2 265.347 3.622 20 0 CHADLO FC(F)C[N@H+]1CCC[C@]2(CCCc3ccccc32)C1 ZINC000674677449 1121169909 /nfs/dbraw/zinc/16/99/09/1121169909.db2.gz XNWKZKMEHSRYTJ-MRXNPFEDSA-N 1 2 265.347 3.622 20 0 CHADLO COc1ccc(C[N@H+](C)[C@H](C)c2ccc(F)cc2F)cn1 ZINC000674749905 1121177133 /nfs/dbraw/zinc/17/71/33/1121177133.db2.gz BSWLGAFIKWOUAQ-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@H](C)c2ccc(F)cc2F)cn1 ZINC000674749905 1121177134 /nfs/dbraw/zinc/17/71/34/1121177134.db2.gz BSWLGAFIKWOUAQ-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO Cc1cn2cccc(Nc3cc(F)c(F)cc3F)c2[nH+]1 ZINC001175085292 1121177932 /nfs/dbraw/zinc/17/79/32/1121177932.db2.gz CUSOCKMKBRJSFE-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO CC[C@@H]1CC[C@@H](C)N(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000619132825 1128967806 /nfs/dbraw/zinc/96/78/06/1128967806.db2.gz RRJKDUZQRYPKIR-HUUCEWRRSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ccn(-c3ccccc3)n2)o1 ZINC000061641029 1121179358 /nfs/dbraw/zinc/17/93/58/1121179358.db2.gz VBWSQTFNTMUQRQ-CQSZACIVSA-N 1 2 281.359 3.625 20 0 CHADLO CCOc1cccc(Nc2cc(C)[nH+]c(OC)c2)c1 ZINC001175092120 1121181145 /nfs/dbraw/zinc/18/11/45/1121181145.db2.gz PRAXVRUGDDJXAT-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCc1ccccn1 ZINC000063105565 1121197834 /nfs/dbraw/zinc/19/78/34/1121197834.db2.gz PVXGSTPNOIOAIL-UHFFFAOYSA-N 1 2 263.344 3.593 20 0 CHADLO CC1(C)C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC000070354407 1128968925 /nfs/dbraw/zinc/96/89/25/1128968925.db2.gz JTZMDHCBCMTTBB-HNNXBMFYSA-N 1 2 274.408 3.656 20 0 CHADLO CC1(C)C[C@@H]([NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC000070354407 1128968928 /nfs/dbraw/zinc/96/89/28/1128968928.db2.gz JTZMDHCBCMTTBB-HNNXBMFYSA-N 1 2 274.408 3.656 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCc1ccco1 ZINC000063105853 1121198474 /nfs/dbraw/zinc/19/84/74/1121198474.db2.gz ZFPHJUZVKFBZBX-UHFFFAOYSA-N 1 2 252.317 3.791 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@H+](C/C=C/Cl)CC2 ZINC001175140775 1121199001 /nfs/dbraw/zinc/19/90/01/1121199001.db2.gz QNERQSHWKKDBJQ-QHHAFSJGSA-N 1 2 275.701 3.816 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@@H+](C/C=C/Cl)CC2 ZINC001175140775 1121199004 /nfs/dbraw/zinc/19/90/04/1121199004.db2.gz QNERQSHWKKDBJQ-QHHAFSJGSA-N 1 2 275.701 3.816 20 0 CHADLO CCOC(=O)Cc1cccc(Nc2c(C)cc[nH+]c2CC)c1 ZINC001212525861 1121202027 /nfs/dbraw/zinc/20/20/27/1121202027.db2.gz PIWQQBVYVPHUJW-UHFFFAOYSA-N 1 2 298.386 3.802 20 0 CHADLO COc1cc(Nc2c(F)cc(F)cc2F)cc(C)[nH+]1 ZINC001175147154 1121202687 /nfs/dbraw/zinc/20/26/87/1121202687.db2.gz IKQVWBMSDQUYKR-UHFFFAOYSA-N 1 2 268.238 3.560 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N(C)C)ccc1OC(C)C ZINC001175192399 1121204226 /nfs/dbraw/zinc/20/42/26/1121204226.db2.gz LASJHCLRLVVQLK-UHFFFAOYSA-N 1 2 285.391 3.987 20 0 CHADLO Cc1ccc(C)c(Nc2ccccc2C[NH+]2CCOCC2)c1 ZINC001175164249 1121211426 /nfs/dbraw/zinc/21/14/26/1121211426.db2.gz BGJJZEZVUZZFRA-UHFFFAOYSA-N 1 2 296.414 3.879 20 0 CHADLO CCOc1cc(Nc2ccc(N(C)C)[nH+]c2)c(F)cc1F ZINC001212528750 1121215712 /nfs/dbraw/zinc/21/57/12/1121215712.db2.gz MCBFEULQNUGGKU-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO CC(=O)c1ccccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001175177161 1121217676 /nfs/dbraw/zinc/21/76/76/1121217676.db2.gz ZJKYZOSQOIZTPQ-UHFFFAOYSA-N 1 2 277.327 3.819 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C(C)=O)c(F)c1 ZINC001175226820 1121232730 /nfs/dbraw/zinc/23/27/30/1121232730.db2.gz GGFZDFPLTGGXAQ-UHFFFAOYSA-N 1 2 274.295 3.566 20 0 CHADLO CC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)cc1F ZINC001175227334 1121233569 /nfs/dbraw/zinc/23/35/69/1121233569.db2.gz MZDNBOZQZBVALT-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001175274819 1121248515 /nfs/dbraw/zinc/24/85/15/1121248515.db2.gz UPNUMRYEMAWAJS-UHFFFAOYSA-N 1 2 295.317 3.958 20 0 CHADLO Cc1ccncc1C[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000123234188 1121262753 /nfs/dbraw/zinc/26/27/53/1121262753.db2.gz HDJSBUNFTYSZTM-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(Cl)nc2Cl)CCS1 ZINC000282563593 1121270654 /nfs/dbraw/zinc/27/06/54/1121270654.db2.gz UKLCUENMCMFZGK-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(Cl)nc2Cl)CCS1 ZINC000282563593 1121270658 /nfs/dbraw/zinc/27/06/58/1121270658.db2.gz UKLCUENMCMFZGK-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO COc1ccc(F)c(Nc2ccc(N(C)C)[nH+]c2)c1Cl ZINC001175344801 1121274244 /nfs/dbraw/zinc/27/42/44/1121274244.db2.gz HVQKOHQLRPOFLS-UHFFFAOYSA-N 1 2 295.745 3.692 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2-c2ccccn2)c[nH+]1 ZINC001175344121 1121274317 /nfs/dbraw/zinc/27/43/17/1121274317.db2.gz VJTGNQGDXJSXRT-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c(F)c1 ZINC001175326382 1121284497 /nfs/dbraw/zinc/28/44/97/1121284497.db2.gz NVIYMMYUCUOQHP-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO CC[C@H](CC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)C(C)(C)C ZINC000630909759 1128975231 /nfs/dbraw/zinc/97/52/31/1128975231.db2.gz ATXUGHGXMZTEPO-UONOGXRCSA-N 1 2 291.439 3.578 20 0 CHADLO Cc1ccc(C[S@@](=O)[C@H]2CCc3ccccc32)c(C)[nH+]1 ZINC000614035242 1121286674 /nfs/dbraw/zinc/28/66/74/1121286674.db2.gz SIBMXCDCLWDMMA-FXAWDEMLSA-N 1 2 285.412 3.635 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2ccccc2Cl)o1 ZINC000174477445 1121286876 /nfs/dbraw/zinc/28/68/76/1121286876.db2.gz DLMJRDOQAHFUDW-HNNXBMFYSA-N 1 2 293.794 3.973 20 0 CHADLO COc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)ccc1F ZINC001175396449 1121291692 /nfs/dbraw/zinc/29/16/92/1121291692.db2.gz BWBYEGRMRIRZSL-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO COc1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1C ZINC001175350825 1121291806 /nfs/dbraw/zinc/29/18/06/1121291806.db2.gz CKHRDIZUIKNNKZ-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO CCc1cccc(O)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175353260 1121293542 /nfs/dbraw/zinc/29/35/42/1121293542.db2.gz ZJGZCTOWIYFDCQ-UHFFFAOYSA-N 1 2 283.375 3.693 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)c(C)cc1N ZINC001175351474 1121293643 /nfs/dbraw/zinc/29/36/43/1121293643.db2.gz NCABJPZEVGYHAI-UHFFFAOYSA-N 1 2 282.391 3.624 20 0 CHADLO CC(=O)c1cc(Nc2ccc(N3CCCC3)[nH+]c2)ccc1F ZINC001175352595 1121293664 /nfs/dbraw/zinc/29/36/64/1121293664.db2.gz XIPFRXOWTHVZRR-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO c1nc2c(cccc2Nc2ccc(N3CCCC3)[nH+]c2)o1 ZINC001175351572 1121294208 /nfs/dbraw/zinc/29/42/08/1121294208.db2.gz JLDSWDGXUNLHMA-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO Cc1nc(N[C@@H]2CCCC(F)(F)C2)cc(C(C)C)[nH+]1 ZINC000709810886 1121301322 /nfs/dbraw/zinc/30/13/22/1121301322.db2.gz OCRWZFLOXWFBOM-LLVKDONJSA-N 1 2 269.339 3.898 20 0 CHADLO CO[C@H]1CCC[N@H+](Cc2csc(Cl)c2Cl)C1 ZINC000432739868 1121307228 /nfs/dbraw/zinc/30/72/28/1121307228.db2.gz BRVNDNCYDBLNJO-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO CO[C@H]1CCC[N@@H+](Cc2csc(Cl)c2Cl)C1 ZINC000432739868 1121307235 /nfs/dbraw/zinc/30/72/35/1121307235.db2.gz BRVNDNCYDBLNJO-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO Fc1c(F)c(F)c(Nc2cccc3[nH+]ccn32)c(F)c1F ZINC001175383242 1121308163 /nfs/dbraw/zinc/30/81/63/1121308163.db2.gz CDDGAHYRXWDYPP-UHFFFAOYSA-N 1 2 299.202 3.773 20 0 CHADLO Cc1cc2ccccc2c(NC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC001129026636 1121325888 /nfs/dbraw/zinc/32/58/88/1121325888.db2.gz JGWHPDMXYKSJJG-CQSZACIVSA-N 1 2 293.370 3.935 20 0 CHADLO Cc1c2c[nH]nc2ccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001175468293 1121333058 /nfs/dbraw/zinc/33/30/58/1121333058.db2.gz DIZIRFYMYBCAHE-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)cc2c[nH]nc21 ZINC001175518651 1121359213 /nfs/dbraw/zinc/35/92/13/1121359213.db2.gz ZHCBFCYMFICPQC-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2COc3c2cccc3Cl)n1 ZINC000675809570 1121364728 /nfs/dbraw/zinc/36/47/28/1121364728.db2.gz KWSYJKLDAAQVBQ-JOYOIKCWSA-N 1 2 294.807 3.889 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC000408405682 1121371903 /nfs/dbraw/zinc/37/19/03/1121371903.db2.gz FEJNYKTZWGOIMO-IINYFYTJSA-N 1 2 275.396 3.707 20 0 CHADLO CCCOc1ccc(NCc2c[nH+]cn2C(C)C)cc1 ZINC000090209036 1121374361 /nfs/dbraw/zinc/37/43/61/1121374361.db2.gz COXGEYUSHGKKKL-UHFFFAOYSA-N 1 2 273.380 3.865 20 0 CHADLO CCCC[C@@H](C)[C@@H](C)Nc1ccc(N2CCOCC2)c[nH+]1 ZINC000675859109 1121374551 /nfs/dbraw/zinc/37/45/51/1121374551.db2.gz GDDMXPFCRKQPSB-HUUCEWRRSA-N 1 2 291.439 3.545 20 0 CHADLO Cc1nc(N2CCC(F)(c3ccccc3)CC2)c(C)c(C)[nH+]1 ZINC000432836292 1121400948 /nfs/dbraw/zinc/40/09/48/1121400948.db2.gz LVYIAOGKLAWNHE-UHFFFAOYSA-N 1 2 299.393 3.867 20 0 CHADLO Cn1cc2ccc(Nc3cc(Cl)c4[nH+]ccn4c3)cc2n1 ZINC001175609892 1121410124 /nfs/dbraw/zinc/41/01/24/1121410124.db2.gz WLGSMGKFHYYRFW-UHFFFAOYSA-N 1 2 297.749 3.618 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(C(=O)NC3CC3)cc2)c1 ZINC001175606035 1121410354 /nfs/dbraw/zinc/41/03/54/1121410354.db2.gz CWWNLDYSTQBWGO-UHFFFAOYSA-N 1 2 295.386 3.670 20 0 CHADLO Cn1cc2ccc(Nc3ccccc3-n3cc[nH+]c3)cc2n1 ZINC001175612638 1121411178 /nfs/dbraw/zinc/41/11/78/1121411178.db2.gz SGXPYEPIKDZBJJ-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2[nH]nc(C)c2c1 ZINC001175698663 1121416927 /nfs/dbraw/zinc/41/69/27/1121416927.db2.gz DZSVWTPYZKSNKK-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2n[nH]c(C)c2c1 ZINC001175698663 1121416930 /nfs/dbraw/zinc/41/69/30/1121416930.db2.gz DZSVWTPYZKSNKK-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO Cc1cn2cc(Nc3ccc4c(C)[nH]nc4c3)ccc2[nH+]1 ZINC001175659870 1121424235 /nfs/dbraw/zinc/42/42/35/1121424235.db2.gz JTADTQIZSWRRLX-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1ccc(F)cc1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175712802 1121434643 /nfs/dbraw/zinc/43/46/43/1121434643.db2.gz RWIAAFQZVBVGLU-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ccc3cnoc3c2)c[nH+]1 ZINC001175714828 1121435294 /nfs/dbraw/zinc/43/52/94/1121435294.db2.gz VXCUBRNVKPUSMH-UHFFFAOYSA-N 1 2 294.236 3.567 20 0 CHADLO CC(=O)c1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)cc1 ZINC001175713002 1121435499 /nfs/dbraw/zinc/43/54/99/1121435499.db2.gz XGMKZLHBQPBHCC-UHFFFAOYSA-N 1 2 295.264 3.629 20 0 CHADLO COc1cc(F)c(Nc2cccc3[nH+]c(C)cn32)c(F)c1 ZINC001175721373 1121438121 /nfs/dbraw/zinc/43/81/21/1121438121.db2.gz MWWUUFKGFSRHAS-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Cc1cnc(CCN(C)c2cc3ccccc3c[nH+]2)s1 ZINC000631180067 1128989450 /nfs/dbraw/zinc/98/94/50/1128989450.db2.gz AMPABUGUMGIVFY-UHFFFAOYSA-N 1 2 283.400 3.679 20 0 CHADLO Cc1n[nH]c(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)c1C ZINC000893931869 1121442675 /nfs/dbraw/zinc/44/26/75/1121442675.db2.gz UJYVYZPVTAGFBV-LBPRGKRZSA-N 1 2 277.799 3.873 20 0 CHADLO Cc1n[nH]c(C[N@H+](C)[C@@H](C)c2ccccc2Cl)c1C ZINC000893931869 1121442676 /nfs/dbraw/zinc/44/26/76/1121442676.db2.gz UJYVYZPVTAGFBV-LBPRGKRZSA-N 1 2 277.799 3.873 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1C1CC1)c1cc2ccccc2o1 ZINC000893933301 1121443661 /nfs/dbraw/zinc/44/36/61/1121443661.db2.gz OQQAOGXVILKDGG-LBPRGKRZSA-N 1 2 281.359 3.815 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CC[C@@H](c3ccc(Cl)cc3)C2)c1C ZINC000894019443 1121454530 /nfs/dbraw/zinc/45/45/30/1121454530.db2.gz CMBNOXBEKGZKIR-CQSZACIVSA-N 1 2 289.810 3.669 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1nc(-c2ccccc2)c[nH]1 ZINC000676707297 1121458472 /nfs/dbraw/zinc/45/84/72/1121458472.db2.gz VEIBOVRCENKHKM-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1nc(-c2ccccc2)c[nH]1 ZINC000676707297 1121458475 /nfs/dbraw/zinc/45/84/75/1121458475.db2.gz VEIBOVRCENKHKM-AWEZNQCLSA-N 1 2 292.386 3.665 20 0 CHADLO Cc1cscc1Nc1ccccc1C[NH+]1CCOCC1 ZINC001175767071 1121459577 /nfs/dbraw/zinc/45/95/77/1121459577.db2.gz JFIXDXRCHOXHEK-UHFFFAOYSA-N 1 2 288.416 3.632 20 0 CHADLO Cc1conc1C[NH2+][C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000708223428 1121463608 /nfs/dbraw/zinc/46/36/08/1121463608.db2.gz ZMSRUVWDFYXQNU-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO CCOc1cc(Nc2cnn(-c3ccccc3)c2)cc(C)[nH+]1 ZINC001175793241 1121467202 /nfs/dbraw/zinc/46/72/02/1121467202.db2.gz ZFJFROABRQUREB-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(Cl)c1Cl)c1cn(C)cn1 ZINC000710023653 1121473065 /nfs/dbraw/zinc/47/30/65/1121473065.db2.gz KXWRSUDEVWWMJC-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO C/C=C\C[C@H]([NH2+][C@H](C)CCc1ccsc1)C(=O)OCC ZINC000432904137 1121475595 /nfs/dbraw/zinc/47/55/95/1121475595.db2.gz GUVISGWKIKWLEE-CWNOIDMWSA-N 1 2 295.448 3.557 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cnn(C2CCCCC2)c1 ZINC001175881300 1121476509 /nfs/dbraw/zinc/47/65/09/1121476509.db2.gz UISHWEUGWMDPMG-UHFFFAOYSA-N 1 2 286.379 3.926 20 0 CHADLO COc1cc(Nc2cccc(OC)c2Cl)cc(C)[nH+]1 ZINC001175893824 1121478995 /nfs/dbraw/zinc/47/89/95/1121478995.db2.gz IAFLCRDZFJMXQE-UHFFFAOYSA-N 1 2 278.739 3.804 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(OC)c2Cl)c[nH+]1 ZINC001175894999 1121479048 /nfs/dbraw/zinc/47/90/48/1121479048.db2.gz UOEGQJGMFJVGQQ-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO Cc1nn(C)c(C)c1C[C@H](C)Nc1cc2ccccc2c[nH+]1 ZINC000631129397 1128986993 /nfs/dbraw/zinc/98/69/93/1128986993.db2.gz BCDZGHQAXOVDDM-LBPRGKRZSA-N 1 2 294.402 3.628 20 0 CHADLO CCc1ccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)cc1 ZINC000236926634 1121488383 /nfs/dbraw/zinc/48/83/83/1121488383.db2.gz CMIIWWYWFHMPBX-GFCCVEGCSA-N 1 2 287.407 3.780 20 0 CHADLO c1cn2c(cccc2Nc2ccc3scnc3c2)[nH+]1 ZINC001175911005 1121495247 /nfs/dbraw/zinc/49/52/47/1121495247.db2.gz QJJJKLCYIULBNV-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1c(F)cccc1Br ZINC000429275786 1121498565 /nfs/dbraw/zinc/49/85/65/1121498565.db2.gz QYTBZQFESXDVII-SECBINFHSA-N 1 2 272.161 3.573 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1c(F)cccc1Br ZINC000429275786 1121498570 /nfs/dbraw/zinc/49/85/70/1121498570.db2.gz QYTBZQFESXDVII-SECBINFHSA-N 1 2 272.161 3.573 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC[C@@H](c3ccccc3)C2)no1 ZINC000433058992 1121544336 /nfs/dbraw/zinc/54/43/36/1121544336.db2.gz BXVPSVRQDBWYFX-OAHLLOKOSA-N 1 2 299.418 3.747 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC[C@@H](c3ccccc3)C2)no1 ZINC000433058992 1121544344 /nfs/dbraw/zinc/54/43/44/1121544344.db2.gz BXVPSVRQDBWYFX-OAHLLOKOSA-N 1 2 299.418 3.747 20 0 CHADLO C[C@H]1[N@H+](Cc2ccccc2C(F)(F)F)CCOC1(C)C ZINC000509356991 1121545792 /nfs/dbraw/zinc/54/57/92/1121545792.db2.gz JLZAYIJEGNPDPN-LLVKDONJSA-N 1 2 287.325 3.705 20 0 CHADLO C[C@H]1[N@@H+](Cc2ccccc2C(F)(F)F)CCOC1(C)C ZINC000509356991 1121545800 /nfs/dbraw/zinc/54/58/00/1121545800.db2.gz JLZAYIJEGNPDPN-LLVKDONJSA-N 1 2 287.325 3.705 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)ncc1Cl ZINC001176119011 1121556160 /nfs/dbraw/zinc/55/61/60/1121556160.db2.gz SCYWWCXWSKAVSM-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(-c2cccs2)no1 ZINC001176119362 1121556283 /nfs/dbraw/zinc/55/62/83/1121556283.db2.gz XNLJLGUIJCVRRY-UHFFFAOYSA-N 1 2 273.317 3.550 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(-c2ccc(C)cc2)no1 ZINC001176116594 1121556555 /nfs/dbraw/zinc/55/65/55/1121556555.db2.gz HDQMEYGALMCGSQ-UHFFFAOYSA-N 1 2 281.315 3.797 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Br)c(C)c1 ZINC001176123456 1121558165 /nfs/dbraw/zinc/55/81/65/1121558165.db2.gz USEGPCGSBIOBEC-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cc2cccnc2)cc1 ZINC001176124179 1121558572 /nfs/dbraw/zinc/55/85/72/1121558572.db2.gz KHTDKYPWHJBAGU-UHFFFAOYSA-N 1 2 291.354 3.820 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)c(O)cc1Cl ZINC001176123439 1121558865 /nfs/dbraw/zinc/55/88/65/1121558865.db2.gz UEIYDKKVVKOFRE-UHFFFAOYSA-N 1 2 285.130 3.846 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2ccc(O)cc2c1 ZINC001176121585 1121559456 /nfs/dbraw/zinc/55/94/56/1121559456.db2.gz YSXJSSYEJBBDFN-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2c(OC)cccc12 ZINC001176124213 1121560812 /nfs/dbraw/zinc/56/08/12/1121560812.db2.gz OTDUYFIEGOJRSU-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO Cc1cc(OCc2ncnn2C(C)C)c2cccc(C)c2[nH+]1 ZINC000664001421 1121567925 /nfs/dbraw/zinc/56/79/25/1121567925.db2.gz LEPVNLJJNSOMGJ-UHFFFAOYSA-N 1 2 296.374 3.603 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cncc(C4CC4)c3)ccc21 ZINC001176288169 1121589333 /nfs/dbraw/zinc/58/93/33/1121589333.db2.gz LADOXYJCBXNRQM-UHFFFAOYSA-N 1 2 264.332 3.589 20 0 CHADLO CCOc1cc(F)c(F)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001211796685 1121596191 /nfs/dbraw/zinc/59/61/91/1121596191.db2.gz KAHRKZRQOOIXDC-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO c1nc(C[NH+]2CCC(Sc3ccccc3)CC2)cs1 ZINC001137597784 1121601293 /nfs/dbraw/zinc/60/12/93/1121601293.db2.gz MWUXJFZMTNMSKX-UHFFFAOYSA-N 1 2 290.457 3.900 20 0 CHADLO Cc1ccc(Nc2c(F)cc(F)c(F)c2F)c(C)[nH+]1 ZINC001176346677 1121603727 /nfs/dbraw/zinc/60/37/27/1121603727.db2.gz OFDRFKQRXIHTKY-UHFFFAOYSA-N 1 2 270.229 3.998 20 0 CHADLO FC[C@H]([NH2+]Cc1ccc(C(F)F)nc1)c1ccc(F)cc1 ZINC000631284755 1128996831 /nfs/dbraw/zinc/99/68/31/1128996831.db2.gz UASLYNDNPRPAQG-AWEZNQCLSA-N 1 2 298.283 3.959 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)cc(F)c(F)c2F)c[nH+]1 ZINC001176349703 1121611341 /nfs/dbraw/zinc/61/13/41/1121611341.db2.gz LGKPUYSPKXORSG-UHFFFAOYSA-N 1 2 299.271 3.838 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1ccoc1 ZINC000094868134 1128997213 /nfs/dbraw/zinc/99/72/13/1128997213.db2.gz QLCGSLSCOTZKOL-ZDUSSCGKSA-N 1 2 271.364 3.838 20 0 CHADLO Cn1c[nH+]c2cc(Nc3c(F)cc(F)c(F)c3F)ccc21 ZINC001176351896 1121612452 /nfs/dbraw/zinc/61/24/52/1121612452.db2.gz YODFIDMLQXAZHS-UHFFFAOYSA-N 1 2 295.239 3.873 20 0 CHADLO COc1cc2ccc(N(C(C)C)C(C)C)[nH+]c2cc1OC ZINC001163577063 1121613427 /nfs/dbraw/zinc/61/34/27/1121613427.db2.gz NOYPZRATXOHTSU-UHFFFAOYSA-N 1 2 288.391 3.875 20 0 CHADLO Cc1noc(C)c1C[NH2+]Cc1cc(F)c(Cl)cc1F ZINC000433198518 1121615092 /nfs/dbraw/zinc/61/50/92/1121615092.db2.gz GODJWSKPKJJJAV-UHFFFAOYSA-N 1 2 286.709 3.513 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1CNC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001129314020 1121623003 /nfs/dbraw/zinc/62/30/03/1121623003.db2.gz INKOWZALRMCEGZ-GDBMZVCRSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccc(Nc2cccc(OCC3CC3)c2)[nH+]c1 ZINC001176490189 1121637491 /nfs/dbraw/zinc/63/74/91/1121637491.db2.gz HSVSVFPAQVWAIF-UHFFFAOYSA-N 1 2 254.333 3.922 20 0 CHADLO COc1c(C)c[nH+]c(CSCc2ccccn2)c1C ZINC000611470685 1121650973 /nfs/dbraw/zinc/65/09/73/1121650973.db2.gz YITGVQFDVIULBI-UHFFFAOYSA-N 1 2 274.389 3.535 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)Cc1cccs1)C(=O)OC(C)(C)C ZINC000611475751 1121651113 /nfs/dbraw/zinc/65/11/13/1121651113.db2.gz GFDZVDWITZPLPK-OCCSQVGLSA-N 1 2 297.464 3.635 20 0 CHADLO C[C@H]1CCN(c2[nH+]ccc3cc(F)ccc32)C[C@@H]1F ZINC000645206670 1121652408 /nfs/dbraw/zinc/65/24/08/1121652408.db2.gz LLDSVRMAZOXJIO-HZMBPMFUSA-N 1 2 262.303 3.558 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1nc(C(C)(C)C)co1 ZINC000894141762 1121653320 /nfs/dbraw/zinc/65/33/20/1121653320.db2.gz JHNLEJMBZKTAHB-NXEZZACHSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1nc(C(C)(C)C)co1 ZINC000894141762 1121653322 /nfs/dbraw/zinc/65/33/22/1121653322.db2.gz JHNLEJMBZKTAHB-NXEZZACHSA-N 1 2 290.329 3.745 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)c2cscn2)c(OC)c1 ZINC000162359635 1121666149 /nfs/dbraw/zinc/66/61/49/1121666149.db2.gz VUZSWOZUPOWWQV-GHMZBOCLSA-N 1 2 292.404 3.572 20 0 CHADLO Cc1cc(N[C@H](C)c2cncc(F)c2)nc(C2CCC2)[nH+]1 ZINC000894151810 1121670848 /nfs/dbraw/zinc/67/08/48/1121670848.db2.gz VZEJCIGBWOJLRB-LLVKDONJSA-N 1 2 286.354 3.760 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2ccsc2)nc(C)[nH+]1 ZINC000272920640 1121672597 /nfs/dbraw/zinc/67/25/97/1121672597.db2.gz SVOXNIPJAUGSMB-CQSZACIVSA-N 1 2 273.405 3.750 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccnn1Cc1ccccc1 ZINC001176700150 1121676368 /nfs/dbraw/zinc/67/63/68/1121676368.db2.gz AOMAWYJCGILWCI-UHFFFAOYSA-N 1 2 292.386 3.941 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cc(-c3ccccc3)no2)s1 ZINC000052101146 1121679349 /nfs/dbraw/zinc/67/93/49/1121679349.db2.gz RJOYHWUEULRMPZ-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cc(-c3ccccc3)no2)s1 ZINC000052101146 1121679353 /nfs/dbraw/zinc/67/93/53/1121679353.db2.gz RJOYHWUEULRMPZ-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CC(C)c1noc(C[N@@H+]2CCCC[C@H]2c2ccccc2)n1 ZINC000273847338 1121680698 /nfs/dbraw/zinc/68/06/98/1121680698.db2.gz KREHDLBMDQQDSJ-HNNXBMFYSA-N 1 2 285.391 3.920 20 0 CHADLO CC(C)c1noc(C[N@H+]2CCCC[C@H]2c2ccccc2)n1 ZINC000273847338 1121680700 /nfs/dbraw/zinc/68/07/00/1121680700.db2.gz KREHDLBMDQQDSJ-HNNXBMFYSA-N 1 2 285.391 3.920 20 0 CHADLO CC(C)c1noc(C[N@@H+]2CCCC[C@@H]2c2ccccc2)n1 ZINC000273847345 1121680706 /nfs/dbraw/zinc/68/07/06/1121680706.db2.gz KREHDLBMDQQDSJ-OAHLLOKOSA-N 1 2 285.391 3.920 20 0 CHADLO CC(C)c1noc(C[N@H+]2CCCC[C@@H]2c2ccccc2)n1 ZINC000273847345 1121680707 /nfs/dbraw/zinc/68/07/07/1121680707.db2.gz KREHDLBMDQQDSJ-OAHLLOKOSA-N 1 2 285.391 3.920 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)n(C(C)C)n1 ZINC001176744330 1121686278 /nfs/dbraw/zinc/68/62/78/1121686278.db2.gz UBDJXCPULBSRGF-UHFFFAOYSA-N 1 2 285.395 3.511 20 0 CHADLO C[N@H+](CCCOc1ccc(Cl)cc1)Cc1cscn1 ZINC000052450886 1121687871 /nfs/dbraw/zinc/68/78/71/1121687871.db2.gz XIHQKYPVJJAUER-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO C[N@@H+](CCCOc1ccc(Cl)cc1)Cc1cscn1 ZINC000052450886 1121687875 /nfs/dbraw/zinc/68/78/75/1121687875.db2.gz XIHQKYPVJJAUER-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO O=C1CCc2c1cccc2Nc1ccc[nH+]c1N1CCCC1 ZINC001176750794 1121688087 /nfs/dbraw/zinc/68/80/87/1121688087.db2.gz MUPUANMJYFJMIB-UHFFFAOYSA-N 1 2 293.370 3.554 20 0 CHADLO Cc1ccc(N)c(Nc2ccc[nH+]c2N2CCCC2)c1C ZINC001176751134 1121688116 /nfs/dbraw/zinc/68/81/16/1121688116.db2.gz WKZGQTWXSHWKLH-UHFFFAOYSA-N 1 2 282.391 3.624 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@@H](C)c2ccc(C3CC3)cc2)n1 ZINC000419360199 1121692664 /nfs/dbraw/zinc/69/26/64/1121692664.db2.gz RYJRUXOHNHRWEO-ZDUSSCGKSA-N 1 2 299.418 3.996 20 0 CHADLO Cc1cccnc1[C@H](C)[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC001176896167 1121701857 /nfs/dbraw/zinc/70/18/57/1121701857.db2.gz DQJVYNGHXGJAFJ-LBPRGKRZSA-N 1 2 289.448 3.995 20 0 CHADLO Cc1cc(N[C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)ccc1[NH+](C)C ZINC000182851202 1129004973 /nfs/dbraw/zinc/00/49/73/1129004973.db2.gz FTDNFPOFGRZSAI-OIISXLGYSA-N 1 2 288.435 3.677 20 0 CHADLO Cc1cc([NH2+][C@@H]2[C@H]3CCCO[C@@H]3C2(C)C)ccc1N(C)C ZINC000182851202 1129004978 /nfs/dbraw/zinc/00/49/78/1129004978.db2.gz FTDNFPOFGRZSAI-OIISXLGYSA-N 1 2 288.435 3.677 20 0 CHADLO COc1cc(CNc2ccc([NH+](C)C)cc2C)ccc1F ZINC001177363444 1121727460 /nfs/dbraw/zinc/72/74/60/1121727460.db2.gz UHVYAGCXNUIPNM-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NC(C)(C)COC)c1 ZINC001166050119 1121739063 /nfs/dbraw/zinc/73/90/63/1121739063.db2.gz NZDCAXFVRNBWGI-UHFFFAOYSA-N 1 2 264.413 3.678 20 0 CHADLO CC[C@@H]1CCC[N@@H+]1Cn1nc(-c2ccccc2)oc1=S ZINC000727823298 1121749921 /nfs/dbraw/zinc/74/99/21/1121749921.db2.gz HKFZYSITPHWFHD-CYBMUJFWSA-N 1 2 289.404 3.704 20 0 CHADLO CC[C@@H]1CCC[N@H+]1Cn1nc(-c2ccccc2)oc1=S ZINC000727823298 1121749928 /nfs/dbraw/zinc/74/99/28/1121749928.db2.gz HKFZYSITPHWFHD-CYBMUJFWSA-N 1 2 289.404 3.704 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+]1CCSC1CCCCC1 ZINC000619720087 1129007469 /nfs/dbraw/zinc/00/74/69/1129007469.db2.gz ZSODAGPWLUMBQH-LBPRGKRZSA-N 1 2 263.397 3.782 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+]1CCSC1CCCCC1 ZINC000619720087 1129007474 /nfs/dbraw/zinc/00/74/74/1129007474.db2.gz ZSODAGPWLUMBQH-LBPRGKRZSA-N 1 2 263.397 3.782 20 0 CHADLO CC[C@H](C)Oc1cc(C)ccc1C[NH2+][C@@H](C)c1ncc[nH]1 ZINC000286167737 1121765402 /nfs/dbraw/zinc/76/54/02/1121765402.db2.gz QFRUGRNNFFIWCX-KBPBESRZSA-N 1 2 287.407 3.746 20 0 CHADLO CCC[C@H]([NH2+]Cc1ncccc1F)c1cc(C)ccn1 ZINC000631598531 1129011597 /nfs/dbraw/zinc/01/15/97/1129011597.db2.gz CYYLXDWSNJFXPM-AWEZNQCLSA-N 1 2 273.355 3.555 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1ncc[nH]1)c1ccc(F)cc1F ZINC000286328898 1121767087 /nfs/dbraw/zinc/76/70/87/1121767087.db2.gz XWZAQLJXDXVNFJ-IINYFYTJSA-N 1 2 279.334 3.880 20 0 CHADLO COC(C)(C)[C@H]1CCCN1c1[nH+]c(C)cc(Cl)c1C ZINC001166795756 1121781465 /nfs/dbraw/zinc/78/14/65/1121781465.db2.gz JYOVSJQEEWKNSX-CYBMUJFWSA-N 1 2 282.815 3.746 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(-c2ccco2)cc1F ZINC001178565735 1121798793 /nfs/dbraw/zinc/79/87/93/1121798793.db2.gz BPFVKJUGIBBZPG-UHFFFAOYSA-N 1 2 285.322 3.914 20 0 CHADLO COc1ccc(F)c(C[N@H+](C)Cc2cc(F)cc(F)c2)c1 ZINC000513258858 1121798686 /nfs/dbraw/zinc/79/86/86/1121798686.db2.gz ZYZBQDNWGQFQEN-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(F)c(C[N@@H+](C)Cc2cc(F)cc(F)c2)c1 ZINC000513258858 1121798691 /nfs/dbraw/zinc/79/86/91/1121798691.db2.gz ZYZBQDNWGQFQEN-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO CC(C)(C)C1CCC(CNc2cc(CO)cc[nH+]2)CC1 ZINC001178991469 1121839931 /nfs/dbraw/zinc/83/99/31/1121839931.db2.gz CQASJYXAXHYDNR-UHFFFAOYSA-N 1 2 276.424 3.838 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1cccc(Cl)c1 ZINC000731029600 1121844635 /nfs/dbraw/zinc/84/46/35/1121844635.db2.gz YNWITFHSOIPBHJ-UHFFFAOYSA-N 1 2 280.780 3.643 20 0 CHADLO Fc1ccc(-c2csc(/C=C\c3[nH]cc[nH+]3)n2)cc1 ZINC000731155141 1121850945 /nfs/dbraw/zinc/85/09/45/1121850945.db2.gz AMJHONUBDYSCIH-WAYWQWQTSA-N 1 2 271.320 3.843 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(N3CCCC3)nc[nH+]2)c(C)c1 ZINC000434090121 1121855719 /nfs/dbraw/zinc/85/57/19/1121855719.db2.gz DUFZGVUHWDENTB-HNNXBMFYSA-N 1 2 296.418 3.867 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(N3CCCC3)[nH+]cn2)c(C)c1 ZINC000434090121 1121855727 /nfs/dbraw/zinc/85/57/27/1121855727.db2.gz DUFZGVUHWDENTB-HNNXBMFYSA-N 1 2 296.418 3.867 20 0 CHADLO C[C@H](CNc1ccc(N2CCCC2)c[nH+]1)C(C)(C)C ZINC001206377308 1121870050 /nfs/dbraw/zinc/87/00/50/1121870050.db2.gz UIXBDKGIQBGPGB-CYBMUJFWSA-N 1 2 261.413 3.776 20 0 CHADLO CCC(CC)Cc1cc(C[NH+]2CCOCC2)ccc1OC ZINC001249930588 1121873265 /nfs/dbraw/zinc/87/32/65/1121873265.db2.gz OWMAYVXRDRRNDC-UHFFFAOYSA-N 1 2 291.435 3.506 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@H+](Cc1ccoc1)C2 ZINC001204244336 1121879927 /nfs/dbraw/zinc/87/99/27/1121879927.db2.gz ZIAZZQGRSSAUBW-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@@H+](Cc1ccoc1)C2 ZINC001204244336 1121879941 /nfs/dbraw/zinc/87/99/41/1121879941.db2.gz ZIAZZQGRSSAUBW-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO CCCn1c[nH+]cc1CNc1cc(OC)ccc1C1CC1 ZINC001179425971 1121880149 /nfs/dbraw/zinc/88/01/49/1121880149.db2.gz RJMRNVXLIKDFBK-UHFFFAOYSA-N 1 2 285.391 3.791 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1n[nH]c2ccccc21 ZINC001179540905 1121889266 /nfs/dbraw/zinc/88/92/66/1121889266.db2.gz AQRUMKKLUPXQIN-GFCCVEGCSA-N 1 2 283.350 3.861 20 0 CHADLO Cc1cc(C)c2cc(NCc3cccnc3)ccc2[nH+]1 ZINC001179588850 1121890922 /nfs/dbraw/zinc/89/09/22/1121890922.db2.gz PSNKKJKCNKTKGP-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO Cc1[nH+]c[nH]c1CC(=O)Nc1ccccc1-c1ccccc1 ZINC000345636330 1121900160 /nfs/dbraw/zinc/90/01/60/1121900160.db2.gz HGIUWHOYCYYUAN-UHFFFAOYSA-N 1 2 291.354 3.566 20 0 CHADLO CC(C)(F)C[NH2+][C@@H](c1ccc(F)cc1)c1ccccn1 ZINC000631660363 1129018429 /nfs/dbraw/zinc/01/84/29/1129018429.db2.gz VMSSUKWJGIMQKO-HNNXBMFYSA-N 1 2 276.330 3.648 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@](C)(F)C2)ccc1Br ZINC000501009987 1121937690 /nfs/dbraw/zinc/93/76/90/1121937690.db2.gz HCQQWADQNNBJMW-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@](C)(F)C2)ccc1Br ZINC000501009987 1121937692 /nfs/dbraw/zinc/93/76/92/1121937692.db2.gz HCQQWADQNNBJMW-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO C[C@@H]1COC[C@@H](c2ccccc2)[N@@H+]1Cc1ccc(F)cc1 ZINC000501027023 1121942638 /nfs/dbraw/zinc/94/26/38/1121942638.db2.gz UPFQJZBKFJVHRU-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1COC[C@@H](c2ccccc2)[N@H+]1Cc1ccc(F)cc1 ZINC000501027023 1121942646 /nfs/dbraw/zinc/94/26/46/1121942646.db2.gz UPFQJZBKFJVHRU-KDOFPFPSSA-N 1 2 285.362 3.788 20 0 CHADLO Cc1c(Cl)ccc(C[N@@H+]2CCCOCC2)c1Cl ZINC001180249628 1121969237 /nfs/dbraw/zinc/96/92/37/1121969237.db2.gz UGPHSLVITJRTFV-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO Cc1c(Cl)ccc(C[N@H+]2CCCOCC2)c1Cl ZINC001180249628 1121969241 /nfs/dbraw/zinc/96/92/41/1121969241.db2.gz UGPHSLVITJRTFV-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO CC(C)c1cnc(C[N@H+](C)[C@@H](C)c2ccccc2)o1 ZINC000733304516 1121971614 /nfs/dbraw/zinc/97/16/14/1121971614.db2.gz PGJRECWDPJNCKT-ZDUSSCGKSA-N 1 2 258.365 3.991 20 0 CHADLO CC(C)c1cnc(C[N@@H+](C)[C@@H](C)c2ccccc2)o1 ZINC000733304516 1121971622 /nfs/dbraw/zinc/97/16/22/1121971622.db2.gz PGJRECWDPJNCKT-ZDUSSCGKSA-N 1 2 258.365 3.991 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@@H+]1CC[C@@](C)(F)C1 ZINC000501450633 1121979882 /nfs/dbraw/zinc/97/98/82/1121979882.db2.gz WKHDPOJSJKVWJK-GXFFZTMASA-N 1 2 286.188 3.944 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@H+]1CC[C@@](C)(F)C1 ZINC000501450633 1121979887 /nfs/dbraw/zinc/97/98/87/1121979887.db2.gz WKHDPOJSJKVWJK-GXFFZTMASA-N 1 2 286.188 3.944 20 0 CHADLO CCc1ncc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)cn1 ZINC000414444663 1121989668 /nfs/dbraw/zinc/98/96/68/1121989668.db2.gz QICBSSYDXUAPAX-HNNXBMFYSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1ncc(C[N@H+]2CC(C)(C)[C@@H]2c2cccs2)cn1 ZINC000414444663 1121989674 /nfs/dbraw/zinc/98/96/74/1121989674.db2.gz QICBSSYDXUAPAX-HNNXBMFYSA-N 1 2 287.432 3.684 20 0 CHADLO CN(C)c1ccc(Cc2ccc(C(F)(F)F)cc2)c[nH+]1 ZINC001249995570 1122036760 /nfs/dbraw/zinc/03/67/60/1122036760.db2.gz OWJQBLZXZJJLPK-UHFFFAOYSA-N 1 2 280.293 3.757 20 0 CHADLO Cc1cc(CNC(=O)N[C@H](C)CCC(C)(C)C)cc(C)[nH+]1 ZINC000420908859 1122043542 /nfs/dbraw/zinc/04/35/42/1122043542.db2.gz FSQVITJSHCJABQ-GFCCVEGCSA-N 1 2 291.439 3.712 20 0 CHADLO C[C@H]1C[C@@H](CO)C[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000420935142 1122044389 /nfs/dbraw/zinc/04/43/89/1122044389.db2.gz HMLXPWJDWNLRHS-DTWKUNHWSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@H]1C[C@@H](CO)C[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000420935142 1122044397 /nfs/dbraw/zinc/04/43/97/1122044397.db2.gz HMLXPWJDWNLRHS-DTWKUNHWSA-N 1 2 294.247 3.505 20 0 CHADLO Cc1cncc([C@H](C)[NH2+][C@@H](CF)c2ccc(F)cc2)c1 ZINC000631797896 1129029479 /nfs/dbraw/zinc/02/94/79/1129029479.db2.gz JPFRARCTQLAIRF-LRDDRELGSA-N 1 2 276.330 3.891 20 0 CHADLO C[C@@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1cncs1 ZINC000631794977 1129029874 /nfs/dbraw/zinc/02/98/74/1129029874.db2.gz CCNHQZOZHJNKSD-SKDRFNHKSA-N 1 2 268.332 3.644 20 0 CHADLO C[C@@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1cccnc1 ZINC000631798603 1129029928 /nfs/dbraw/zinc/02/99/28/1129029928.db2.gz WDCQAUYCRLYATM-ABAIWWIYSA-N 1 2 262.303 3.582 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCCC2)c(C)n1 ZINC001203368326 1122082281 /nfs/dbraw/zinc/08/22/81/1122082281.db2.gz YZOGYQCQTLMEGW-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Cc1ccc(Nc2ccnn2Cc2ccc(F)cc2)c(C)[nH+]1 ZINC001203368332 1122082847 /nfs/dbraw/zinc/08/28/47/1122082847.db2.gz ZLLIRUHEOVTOQA-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2COc3ccc(F)cc32)oc1C ZINC000502428967 1122084959 /nfs/dbraw/zinc/08/49/59/1122084959.db2.gz FWTVJYINXHZWOU-HZMBPMFUSA-N 1 2 275.323 3.820 20 0 CHADLO CCC1(CC)C[C@@H]1C(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001181885448 1122091474 /nfs/dbraw/zinc/09/14/74/1122091474.db2.gz ZQJVMVUGPQXRFH-MRXNPFEDSA-N 1 2 297.402 3.696 20 0 CHADLO COc1ccc2cc(Nc3ccc(C)[nH+]c3C)cnc2c1 ZINC001203370653 1122119572 /nfs/dbraw/zinc/11/95/72/1122119572.db2.gz RMFQBASGDYHZLY-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1cc(CNC(=O)c2cccc(C(F)F)c2)cc(C)[nH+]1 ZINC000421576829 1122139815 /nfs/dbraw/zinc/13/98/15/1122139815.db2.gz NPIHKVQFHTZQEP-UHFFFAOYSA-N 1 2 290.313 3.566 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[NH2+][C@H](C)c1csnn1 ZINC000414553641 1122153164 /nfs/dbraw/zinc/15/31/64/1122153164.db2.gz UAQQWCCQPWWWMN-SNVBAGLBSA-N 1 2 281.812 3.659 20 0 CHADLO COc1ccc([C@@H](C)C[N@@H+]2CCCC[C@H]2C(F)F)cc1 ZINC000503084645 1122165521 /nfs/dbraw/zinc/16/55/21/1122165521.db2.gz LRQACJQGMVXVJK-WFASDCNBSA-N 1 2 283.362 3.918 20 0 CHADLO COc1ccc([C@@H](C)C[N@H+]2CCCC[C@H]2C(F)F)cc1 ZINC000503084645 1122165523 /nfs/dbraw/zinc/16/55/23/1122165523.db2.gz LRQACJQGMVXVJK-WFASDCNBSA-N 1 2 283.362 3.918 20 0 CHADLO COCCOc1ccc(F)cc1Nc1ccc(C)[nH+]c1C ZINC001203372759 1122172300 /nfs/dbraw/zinc/17/23/00/1122172300.db2.gz HHMYYUPRPDHYIK-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOC[C@H]2C)cc1)C1CC1 ZINC000272324864 1122197534 /nfs/dbraw/zinc/19/75/34/1122197534.db2.gz ZSDYQUWJJSTJMX-DYVFJYSZSA-N 1 2 274.408 3.512 20 0 CHADLO COCC[C@@H]([NH2+][C@H](C)c1csc(C)n1)c1ccc(C)o1 ZINC000272582526 1122206027 /nfs/dbraw/zinc/20/60/27/1122206027.db2.gz GVYXJHDKQAGOOE-DGCLKSJQSA-N 1 2 294.420 3.781 20 0 CHADLO FC1=CCC[N@H+](Cc2ccc(OCc3cccnc3)cc2)C1 ZINC000272562824 1122206720 /nfs/dbraw/zinc/20/67/20/1122206720.db2.gz ULJTUUBJIUNJCI-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccc(OCc3cccnc3)cc2)C1 ZINC000272562824 1122206724 /nfs/dbraw/zinc/20/67/24/1122206724.db2.gz ULJTUUBJIUNJCI-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO C[N@H+](Cc1cc2cc(Cl)cnc2o1)Cc1ccns1 ZINC001183363770 1122218242 /nfs/dbraw/zinc/21/82/42/1122218242.db2.gz MNQVXDYMCYORQD-UHFFFAOYSA-N 1 2 293.779 3.570 20 0 CHADLO C[N@@H+](Cc1cc2cc(Cl)cnc2o1)Cc1ccns1 ZINC001183363770 1122218245 /nfs/dbraw/zinc/21/82/45/1122218245.db2.gz MNQVXDYMCYORQD-UHFFFAOYSA-N 1 2 293.779 3.570 20 0 CHADLO COc1ccnc(C[NH2+][C@H](C)c2c(F)cccc2Cl)c1 ZINC000273159933 1122221495 /nfs/dbraw/zinc/22/14/95/1122221495.db2.gz NJFBZGOOFSXUCB-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO Clc1ccc2[nH]c(NCc3ccc4c(n3)CCC4)[nH+]c2c1 ZINC001203573191 1122232951 /nfs/dbraw/zinc/23/29/51/1122232951.db2.gz WQPYLEHVFYZQBA-UHFFFAOYSA-N 1 2 298.777 3.712 20 0 CHADLO Clc1ccc2[nH+]c(NCc3ccc4c(n3)CCC4)[nH]c2c1 ZINC001203573191 1122232957 /nfs/dbraw/zinc/23/29/57/1122232957.db2.gz WQPYLEHVFYZQBA-UHFFFAOYSA-N 1 2 298.777 3.712 20 0 CHADLO Cc1cc(C)c(/C=C\C[NH+]2CC(OC(C)C)C2)cc1C ZINC001183629563 1122233214 /nfs/dbraw/zinc/23/32/14/1122233214.db2.gz DNZPGWDSKPMJOE-SREVYHEPSA-N 1 2 273.420 3.734 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1ccc(Cl)s1 ZINC000273480262 1122234886 /nfs/dbraw/zinc/23/48/86/1122234886.db2.gz HVNYTEZGSCMXQW-SECBINFHSA-N 1 2 297.811 3.903 20 0 CHADLO CCc1ccc(C[NH2+]Cc2nc(-c3ccccc3)no2)cc1 ZINC000469199063 1122242661 /nfs/dbraw/zinc/24/26/61/1122242661.db2.gz SEDZXXVHZCCQBF-UHFFFAOYSA-N 1 2 293.370 3.589 20 0 CHADLO CCC(CC)CCCN(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000620200543 1129041327 /nfs/dbraw/zinc/04/13/27/1129041327.db2.gz MGRAJBYQCPMZMD-AWEZNQCLSA-N 1 2 279.428 3.509 20 0 CHADLO Cc1nc(NCC2CCCCC2)c2c([nH+]1)CCCC2 ZINC001184618111 1122289395 /nfs/dbraw/zinc/28/93/95/1122289395.db2.gz ZHZYORSBUQJRES-UHFFFAOYSA-N 1 2 259.397 3.656 20 0 CHADLO CC(C)c1cc(N(C)CC2=CCCOC2)nc(C(C)C)[nH+]1 ZINC000664366108 1122294794 /nfs/dbraw/zinc/29/47/94/1122294794.db2.gz VXVZLBSTDHCIGK-UHFFFAOYSA-N 1 2 289.423 3.506 20 0 CHADLO O=C1CCc2cccc(Nc3ccc[nH+]c3N3CCCC3)c21 ZINC001184963894 1122302762 /nfs/dbraw/zinc/30/27/62/1122302762.db2.gz GBGHEXGMIQBLAV-UHFFFAOYSA-N 1 2 293.370 3.554 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1ccc[nH+]c1N1CCCC1 ZINC001185042809 1122313378 /nfs/dbraw/zinc/31/33/78/1122313378.db2.gz KAKPEGJQVIFORC-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO C[C@]1(CCNc2cc(C(F)(F)F)cc[nH+]2)CC1(F)F ZINC000664400169 1122332906 /nfs/dbraw/zinc/33/29/06/1122332906.db2.gz VNRNOKQNVPBNHJ-JTQLQIEISA-N 1 2 280.240 3.948 20 0 CHADLO CCc1cc(N2[C@H](C)C[C@H]3CCCC[C@@H]32)nc(CC)[nH+]1 ZINC001185421842 1122339974 /nfs/dbraw/zinc/33/99/74/1122339974.db2.gz ZEDHRCACDNOVNK-NFAWXSAZSA-N 1 2 273.424 3.759 20 0 CHADLO CC[C@@H](C)[C@H](C)Nc1nc2ccccc2n2c[nH+]cc12 ZINC001185440223 1122340861 /nfs/dbraw/zinc/34/08/61/1122340861.db2.gz YTLXZIVAWISZIT-NEPJUHHUSA-N 1 2 268.364 3.729 20 0 CHADLO Cc1cc(NCCC2Cc3ccccc3C2)nc(C2CC2)[nH+]1 ZINC001186113585 1122375708 /nfs/dbraw/zinc/37/57/08/1122375708.db2.gz UMQWAYVAFKNZSF-UHFFFAOYSA-N 1 2 293.414 3.879 20 0 CHADLO COC(=O)[C@H]1CCCCC[N@@H+]1Cc1cc(C)cc(Cl)c1 ZINC001205347136 1122378602 /nfs/dbraw/zinc/37/86/02/1122378602.db2.gz KZVQOVILYKVFEG-OAHLLOKOSA-N 1 2 295.810 3.566 20 0 CHADLO COC(=O)[C@H]1CCCCC[N@H+]1Cc1cc(C)cc(Cl)c1 ZINC001205347136 1122378608 /nfs/dbraw/zinc/37/86/08/1122378608.db2.gz KZVQOVILYKVFEG-OAHLLOKOSA-N 1 2 295.810 3.566 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2sccc2Cl)cn1 ZINC001205353561 1122391270 /nfs/dbraw/zinc/39/12/70/1122391270.db2.gz BUJJIXVVTNAFPQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2sccc2Cl)cn1 ZINC001205353561 1122391278 /nfs/dbraw/zinc/39/12/78/1122391278.db2.gz BUJJIXVVTNAFPQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc(NC[C@@H]2COc3ccccc32)nc(C2CCC2)[nH+]1 ZINC001186633674 1122401770 /nfs/dbraw/zinc/40/17/70/1122401770.db2.gz UVLOOGMHMWNHFG-CQSZACIVSA-N 1 2 295.386 3.641 20 0 CHADLO Cc1cc2c(ncnc2Nc2cc(C)c[nH+]c2C)s1 ZINC001203654927 1122422239 /nfs/dbraw/zinc/42/22/39/1122422239.db2.gz SMWOFIIDKFUFHD-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO Cc1cc(Nc2cc(C)c[nH+]c2C)n(CC2CCC2)n1 ZINC001203656985 1122423449 /nfs/dbraw/zinc/42/34/49/1122423449.db2.gz GAXLICHEJVSIFU-UHFFFAOYSA-N 1 2 270.380 3.747 20 0 CHADLO Cc1nc(N2CC([C@H]3CCC[C@H](C)C3)C2)c2c([nH+]1)CCCC2 ZINC001187391844 1122427887 /nfs/dbraw/zinc/42/78/87/1122427887.db2.gz PVUWEBSOSDAWCD-ZFWWWQNUSA-N 1 2 299.462 3.926 20 0 CHADLO CCc1cc(NCC2(CC3CC3)CC2)nc(CC)[nH+]1 ZINC001187478456 1122428811 /nfs/dbraw/zinc/42/88/11/1122428811.db2.gz AFDPJFQLAZPPLV-UHFFFAOYSA-N 1 2 259.397 3.594 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(ccn3C)c2)c1 ZINC001203663930 1122431914 /nfs/dbraw/zinc/43/19/14/1122431914.db2.gz ZSMKUVTXFFFHBF-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO CCc1ccccc1NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC001187614674 1122434896 /nfs/dbraw/zinc/43/48/96/1122434896.db2.gz GDOGDZPYOZADNG-UHFFFAOYSA-N 1 2 280.331 3.541 20 0 CHADLO CSc1ccc(NCc2c[nH+]c3ccc(C)cn23)cc1 ZINC000155982114 1122453842 /nfs/dbraw/zinc/45/38/42/1122453842.db2.gz DUTHZVYONCPHDB-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCn3cccc3[C@H]2C)o1 ZINC000505694275 1122465243 /nfs/dbraw/zinc/46/52/43/1122465243.db2.gz LGIKAHQEKJEGOG-NFAWXSAZSA-N 1 2 270.376 3.781 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCn3cccc3[C@H]2C)o1 ZINC000505694275 1122465246 /nfs/dbraw/zinc/46/52/46/1122465246.db2.gz LGIKAHQEKJEGOG-NFAWXSAZSA-N 1 2 270.376 3.781 20 0 CHADLO CC(C)(C)c1cccc(C(=O)Nc2cc[nH+]c3c2CCC3)c1 ZINC001188969175 1122482963 /nfs/dbraw/zinc/48/29/63/1122482963.db2.gz GDCYOCGUMXWHKZ-UHFFFAOYSA-N 1 2 294.398 3.542 20 0 CHADLO CC(C)C[C@H]([NH2+]Cc1nc(C(F)F)no1)c1ccccc1 ZINC000425464994 1122513576 /nfs/dbraw/zinc/51/35/76/1122513576.db2.gz YNMYPNHEYQEQAL-LBPRGKRZSA-N 1 2 295.333 3.884 20 0 CHADLO CCc1cn(Cc2cc(Br)ccc2Cl)c[nH+]1 ZINC001190103051 1122524763 /nfs/dbraw/zinc/52/47/63/1122524763.db2.gz FIDXZDAJQRJUDC-UHFFFAOYSA-N 1 2 299.599 3.910 20 0 CHADLO COc1ccccc1Cc1cn(C/C(Cl)=C/Cl)c[nH+]1 ZINC001190274159 1122531601 /nfs/dbraw/zinc/53/16/01/1122531601.db2.gz PKSGKOKIXFVTSW-GHXNOFRVSA-N 1 2 297.185 3.802 20 0 CHADLO C[C@H]1CC[C@@H](C[N@H+](C)Cc2c(Cl)cccc2Cl)O1 ZINC001190560604 1122543096 /nfs/dbraw/zinc/54/30/96/1122543096.db2.gz LZMJXLKMKIVHOI-QWRGUYRKSA-N 1 2 288.218 3.993 20 0 CHADLO C[C@H]1CC[C@@H](C[N@@H+](C)Cc2c(Cl)cccc2Cl)O1 ZINC001190560604 1122543097 /nfs/dbraw/zinc/54/30/97/1122543097.db2.gz LZMJXLKMKIVHOI-QWRGUYRKSA-N 1 2 288.218 3.993 20 0 CHADLO C[C@H](c1csnn1)[NH+]1CCC(Cc2ccccc2)CC1 ZINC000436469506 1122552372 /nfs/dbraw/zinc/55/23/72/1122552372.db2.gz CPQBFDYJXWSQMG-CYBMUJFWSA-N 1 2 287.432 3.554 20 0 CHADLO Cc1cc(N2CCC[C@H](C(C)C)C2)nc(C2CC2)[nH+]1 ZINC000436470243 1122553518 /nfs/dbraw/zinc/55/35/18/1122553518.db2.gz PZFZRCMQVZDXCU-AWEZNQCLSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1ncc2c(n1)CC[N@@H+]([C@@H](C)c1cccc(Cl)c1)C2 ZINC000516156667 1122574304 /nfs/dbraw/zinc/57/43/04/1122574304.db2.gz BDZVKBWBVFAYHF-NSHDSACASA-N 1 2 287.794 3.558 20 0 CHADLO Cc1ncc2c(n1)CC[N@H+]([C@@H](C)c1cccc(Cl)c1)C2 ZINC000516156667 1122574306 /nfs/dbraw/zinc/57/43/06/1122574306.db2.gz BDZVKBWBVFAYHF-NSHDSACASA-N 1 2 287.794 3.558 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)Oc1ccccc1C)c1ccccc1 ZINC000775463791 1122604589 /nfs/dbraw/zinc/60/45/89/1122604589.db2.gz ADUOFGGFKDQREQ-KRWDZBQOSA-N 1 2 283.371 3.593 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)Oc1ccccc1C)c1ccccc1 ZINC000775463791 1122604590 /nfs/dbraw/zinc/60/45/90/1122604590.db2.gz ADUOFGGFKDQREQ-KRWDZBQOSA-N 1 2 283.371 3.593 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001151975535 1122616919 /nfs/dbraw/zinc/61/69/19/1122616919.db2.gz BPIKIAUFPHDKTE-GFCCVEGCSA-N 1 2 273.380 3.508 20 0 CHADLO COc1c(F)cc(F)cc1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC001143562742 1122623560 /nfs/dbraw/zinc/62/35/60/1122623560.db2.gz GJGMTLKKJOJWSK-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1c(F)cc(F)cc1C[N@H+]1CC[C@H]1c1ccccc1 ZINC001143562742 1122623561 /nfs/dbraw/zinc/62/35/61/1122623561.db2.gz GJGMTLKKJOJWSK-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](C)[C@@H](C)C2(C)CC2)no1 ZINC000437527340 1122639370 /nfs/dbraw/zinc/63/93/70/1122639370.db2.gz KVESVOUPFBJIKM-AWEZNQCLSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](C)[C@@H](C)C2(C)CC2)no1 ZINC000437527340 1122639372 /nfs/dbraw/zinc/63/93/72/1122639372.db2.gz KVESVOUPFBJIKM-AWEZNQCLSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccc(-c2[nH]c3ccc(N(C)C)cc3[nH+]2)cc1 ZINC001250480688 1122652231 /nfs/dbraw/zinc/65/22/31/1122652231.db2.gz RGCSFPBDEQCUSY-UHFFFAOYSA-N 1 2 251.333 3.604 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ncccn1)c1ccc(C)c(F)c1 ZINC001192910736 1122662273 /nfs/dbraw/zinc/66/22/73/1122662273.db2.gz WORWVFSRGLKZQU-IUODEOHRSA-N 1 2 273.355 3.726 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCC[C@H]3c3ncc[nH]3)cc2c1 ZINC000437973135 1122668979 /nfs/dbraw/zinc/66/89/79/1122668979.db2.gz AXMKGPSWFXJGFJ-AWEZNQCLSA-N 1 2 285.322 3.632 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCC[C@H]3c3ncc[nH]3)cc2c1 ZINC000437973135 1122668984 /nfs/dbraw/zinc/66/89/84/1122668984.db2.gz AXMKGPSWFXJGFJ-AWEZNQCLSA-N 1 2 285.322 3.632 20 0 CHADLO Cc1ccc(NCc2c[nH+]cn2Cc2ccccc2)cc1 ZINC000438307349 1122689768 /nfs/dbraw/zinc/68/97/68/1122689768.db2.gz UJHCSRWEDLGMAU-UHFFFAOYSA-N 1 2 277.371 3.852 20 0 CHADLO CC[C@H]1C[N@H+](Cc2c(Cl)oc3ccccc32)CCO1 ZINC000506322830 1122718190 /nfs/dbraw/zinc/71/81/90/1122718190.db2.gz BDBZYRNKPQTVIC-NSHDSACASA-N 1 2 279.767 3.697 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2c(Cl)oc3ccccc32)CCO1 ZINC000506322830 1122718194 /nfs/dbraw/zinc/71/81/94/1122718194.db2.gz BDBZYRNKPQTVIC-NSHDSACASA-N 1 2 279.767 3.697 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCO[C@@H](c3ccccc3)C2)o1 ZINC000506339476 1122722074 /nfs/dbraw/zinc/72/20/74/1122722074.db2.gz KDXIFTMMTIVDFJ-LSBZLQRGSA-N 1 2 297.398 3.977 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+]2CCO[C@@H](c3ccccc3)C2)o1 ZINC000506339476 1122722076 /nfs/dbraw/zinc/72/20/76/1122722076.db2.gz KDXIFTMMTIVDFJ-LSBZLQRGSA-N 1 2 297.398 3.977 20 0 CHADLO Cc1cccc2[nH]c(C[NH2+]Cc3ccc([C@@H]4C[C@@H]4C)o3)nc21 ZINC000153635265 1129074089 /nfs/dbraw/zinc/07/40/89/1129074089.db2.gz CFEOQKDYHPJMQH-GXTWGEPZSA-N 1 2 295.386 3.878 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2csc(C)c2)s1 ZINC000294906504 1122738170 /nfs/dbraw/zinc/73/81/70/1122738170.db2.gz SHTOGCYPFMKNGL-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2csc(C)c2)s1 ZINC000294906504 1122738176 /nfs/dbraw/zinc/73/81/76/1122738176.db2.gz SHTOGCYPFMKNGL-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CC(C)(C)COC(=O)Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001194387046 1122770521 /nfs/dbraw/zinc/77/05/21/1122770521.db2.gz VKYISUOVFPVXGC-UHFFFAOYSA-N 1 2 287.363 3.526 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1ncc(Cl)n1C ZINC000193426020 1129077252 /nfs/dbraw/zinc/07/72/52/1129077252.db2.gz HVPAAPYEBRFGPU-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1ncc(Cl)n1C ZINC000193426020 1129077256 /nfs/dbraw/zinc/07/72/56/1129077256.db2.gz HVPAAPYEBRFGPU-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1nc2ccc(C[N@H+](C)Cc3nccs3)cc2s1 ZINC000426413142 1122787075 /nfs/dbraw/zinc/78/70/75/1122787075.db2.gz VQERSHQJRJGMPT-UHFFFAOYSA-N 1 2 289.429 3.693 20 0 CHADLO Cc1nc2ccc(C[N@@H+](C)Cc3nccs3)cc2s1 ZINC000426413142 1122787078 /nfs/dbraw/zinc/78/70/78/1122787078.db2.gz VQERSHQJRJGMPT-UHFFFAOYSA-N 1 2 289.429 3.693 20 0 CHADLO CC[C@H](C)COC(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001194800596 1122804096 /nfs/dbraw/zinc/80/40/96/1122804096.db2.gz LMFAPYBFINUZRE-ZDUSSCGKSA-N 1 2 287.363 3.526 20 0 CHADLO CC(C)CCOC(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001194871274 1122814727 /nfs/dbraw/zinc/81/47/27/1122814727.db2.gz BJJWPOBMNMQLNZ-UHFFFAOYSA-N 1 2 287.363 3.526 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nonc1C)c1ccc(Cl)s1 ZINC000925066981 1122828811 /nfs/dbraw/zinc/82/88/11/1122828811.db2.gz KMSVMKDPVPLVRI-VXNVDRBHSA-N 1 2 285.800 3.895 20 0 CHADLO Cc1nc(N2CCC[C@@]3(CCCc4ccccc43)C2)cc[nH+]1 ZINC000440194362 1122830007 /nfs/dbraw/zinc/83/00/07/1122830007.db2.gz YHEDZRQKVVQZSK-IBGZPJMESA-N 1 2 293.414 3.660 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1cccc(Cl)c1)CC2 ZINC001203802963 1122835872 /nfs/dbraw/zinc/83/58/72/1122835872.db2.gz MVZUQTYRELQDGZ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1cccc(Cl)c1)CC2 ZINC001203802963 1122835876 /nfs/dbraw/zinc/83/58/76/1122835876.db2.gz MVZUQTYRELQDGZ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC[C@@H]1C[NH+](Cc2ccc(F)cc2Cl)C[C@@H](CC)O1 ZINC001195299553 1122861130 /nfs/dbraw/zinc/86/11/30/1122861130.db2.gz OXONSKLLRIYRLW-ZIAGYGMSSA-N 1 2 285.790 3.869 20 0 CHADLO CCCc1nc(C)c(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)o1 ZINC000664829641 1122871668 /nfs/dbraw/zinc/87/16/68/1122871668.db2.gz ORLYPKDCZSMHGM-KRWDZBQOSA-N 1 2 299.418 3.914 20 0 CHADLO CCCc1nc(C)c(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)o1 ZINC000664829641 1122871674 /nfs/dbraw/zinc/87/16/74/1122871674.db2.gz ORLYPKDCZSMHGM-KRWDZBQOSA-N 1 2 299.418 3.914 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+]Cc1nccn1C1CC1 ZINC000894583228 1122877051 /nfs/dbraw/zinc/87/70/51/1122877051.db2.gz XVVPUWXEKTWHJM-UHFFFAOYSA-N 1 2 285.366 3.524 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC(C)(C)[C@H]2C(C)C)s1 ZINC000894604133 1122878156 /nfs/dbraw/zinc/87/81/56/1122878156.db2.gz AAWKBPWUKOEDMD-GFCCVEGCSA-N 1 2 267.442 3.528 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC(C)(C)[C@H]2C(C)C)s1 ZINC000894604133 1122878159 /nfs/dbraw/zinc/87/81/59/1122878159.db2.gz AAWKBPWUKOEDMD-GFCCVEGCSA-N 1 2 267.442 3.528 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC=C(Cl)C2)c2ncccc12 ZINC001195686287 1122881448 /nfs/dbraw/zinc/88/14/48/1122881448.db2.gz GILKTNBRHQOWLZ-UHFFFAOYSA-N 1 2 276.742 3.702 20 0 CHADLO Fc1ccc(C[N@H+]2CCC=C(Cl)C2)c2ncccc12 ZINC001195686287 1122881453 /nfs/dbraw/zinc/88/14/53/1122881453.db2.gz GILKTNBRHQOWLZ-UHFFFAOYSA-N 1 2 276.742 3.702 20 0 CHADLO Cc1cc(N[C@H](c2ccccc2)[C@H](C)O)nc(C2CCC2)[nH+]1 ZINC000894644452 1122885059 /nfs/dbraw/zinc/88/50/59/1122885059.db2.gz BYNMYEKRMWJCPS-GUYCJALGSA-N 1 2 297.402 3.587 20 0 CHADLO CCn1cc(C[N@H+](CC)Cc2ccccc2Cl)cn1 ZINC000154169118 1129084523 /nfs/dbraw/zinc/08/45/23/1129084523.db2.gz CJOCCPIFJBTHRM-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CCn1cc(C[N@@H+](CC)Cc2ccccc2Cl)cn1 ZINC000154169118 1129084526 /nfs/dbraw/zinc/08/45/26/1129084526.db2.gz CJOCCPIFJBTHRM-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO FC(F)(F)[C@@H]1CC=C(Nc2cccc3[nH+]ccn32)CC1 ZINC001195833320 1122891941 /nfs/dbraw/zinc/89/19/41/1122891941.db2.gz CIFQEBWZQACRRR-SNVBAGLBSA-N 1 2 281.281 3.993 20 0 CHADLO CC[N@H+](Cc1coc(C)n1)[C@@H](C)c1cccc(OC)c1 ZINC000647960211 1122899778 /nfs/dbraw/zinc/89/97/78/1122899778.db2.gz LIDDOZVUQIDUAY-LBPRGKRZSA-N 1 2 274.364 3.575 20 0 CHADLO CC[N@@H+](Cc1coc(C)n1)[C@@H](C)c1cccc(OC)c1 ZINC000647960211 1122899784 /nfs/dbraw/zinc/89/97/84/1122899784.db2.gz LIDDOZVUQIDUAY-LBPRGKRZSA-N 1 2 274.364 3.575 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000519582882 1122911190 /nfs/dbraw/zinc/91/11/90/1122911190.db2.gz PPTAQAJLONGCAW-ABAIWWIYSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000519582882 1122911193 /nfs/dbraw/zinc/91/11/93/1122911193.db2.gz PPTAQAJLONGCAW-ABAIWWIYSA-N 1 2 283.362 3.773 20 0 CHADLO CC(C)C[N@H+](Cc1cnon1)Cc1ccc(F)c(Cl)c1 ZINC001196467830 1122922906 /nfs/dbraw/zinc/92/29/06/1122922906.db2.gz BFRDXAIECILJPE-UHFFFAOYSA-N 1 2 297.761 3.520 20 0 CHADLO CC(C)C[N@@H+](Cc1cnon1)Cc1ccc(F)c(Cl)c1 ZINC001196467830 1122922910 /nfs/dbraw/zinc/92/29/10/1122922910.db2.gz BFRDXAIECILJPE-UHFFFAOYSA-N 1 2 297.761 3.520 20 0 CHADLO C[N@H+](CC1=CCCOC1)Cc1c(Cl)cccc1Cl ZINC000507133299 1122930540 /nfs/dbraw/zinc/93/05/40/1122930540.db2.gz VZNJKZSYPCGTEY-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO C[N@@H+](CC1=CCCOC1)Cc1c(Cl)cccc1Cl ZINC000507133299 1122930541 /nfs/dbraw/zinc/93/05/41/1122930541.db2.gz VZNJKZSYPCGTEY-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO Cc1c[nH+]c(NC2=CC[C@H](C(F)(F)F)CC2)c(N)c1 ZINC001196766619 1122931784 /nfs/dbraw/zinc/93/17/84/1122931784.db2.gz FNDHGIAHZYZFMF-VIFPVBQESA-N 1 2 271.286 3.630 20 0 CHADLO Cc1nocc1C[N@H+]1CC=C(c2c(F)cccc2F)CC1 ZINC000334185618 1122968917 /nfs/dbraw/zinc/96/89/17/1122968917.db2.gz CBDWGIUDINBFJG-UHFFFAOYSA-N 1 2 290.313 3.551 20 0 CHADLO Cc1nocc1C[N@@H+]1CC=C(c2c(F)cccc2F)CC1 ZINC000334185618 1122968921 /nfs/dbraw/zinc/96/89/21/1122968921.db2.gz CBDWGIUDINBFJG-UHFFFAOYSA-N 1 2 290.313 3.551 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(-c3ccccc3)cc2F)CCO1 ZINC000507624119 1122976772 /nfs/dbraw/zinc/97/67/72/1122976772.db2.gz YNCILRRYSDKJSF-CQSZACIVSA-N 1 2 285.362 3.713 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(-c3ccccc3)cc2F)CCO1 ZINC000507624119 1122976777 /nfs/dbraw/zinc/97/67/77/1122976777.db2.gz YNCILRRYSDKJSF-CQSZACIVSA-N 1 2 285.362 3.713 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccn(-c3ccccc3)n2)cc1 ZINC000237147532 1122992466 /nfs/dbraw/zinc/99/24/66/1122992466.db2.gz SUJKTAACCWOXIR-UHFFFAOYSA-N 1 2 292.386 3.550 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@]1(F)c1ccccc1)c1cn2ccccc2n1 ZINC000519659205 1123011860 /nfs/dbraw/zinc/01/18/60/1123011860.db2.gz WPNNSLWFCOYGQT-OWQGQXMQSA-N 1 2 295.361 3.622 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1cccnc1Cl)C2 ZINC000729479680 1123022698 /nfs/dbraw/zinc/02/26/98/1123022698.db2.gz BGNLOZUJYXCDFI-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1cccnc1Cl)C2 ZINC000729479680 1123022702 /nfs/dbraw/zinc/02/27/02/1123022702.db2.gz BGNLOZUJYXCDFI-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC[C@@H](F)C[N@H+](C)Cc1ccccc1Br ZINC000440715042 1123034338 /nfs/dbraw/zinc/03/43/38/1123034338.db2.gz PSWUGTIVAFIAOK-LLVKDONJSA-N 1 2 274.177 3.629 20 0 CHADLO CC[C@@H](F)C[N@@H+](C)Cc1ccccc1Br ZINC000440715042 1123034343 /nfs/dbraw/zinc/03/43/43/1123034343.db2.gz PSWUGTIVAFIAOK-LLVKDONJSA-N 1 2 274.177 3.629 20 0 CHADLO CC(C)OCC[N@H+](C)Cc1c(Cl)cccc1Cl ZINC000519918588 1123036041 /nfs/dbraw/zinc/03/60/41/1123036041.db2.gz ZONVMMAXFNFEQC-UHFFFAOYSA-N 1 2 276.207 3.850 20 0 CHADLO CC(C)OCC[N@@H+](C)Cc1c(Cl)cccc1Cl ZINC000519918588 1123036044 /nfs/dbraw/zinc/03/60/44/1123036044.db2.gz ZONVMMAXFNFEQC-UHFFFAOYSA-N 1 2 276.207 3.850 20 0 CHADLO CCC[C@@H](C)CCNC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC001199767808 1123040399 /nfs/dbraw/zinc/04/03/99/1123040399.db2.gz MNILPMVBGGZPPF-GFCCVEGCSA-N 1 2 288.395 3.591 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](C)c2c(F)cccc2F)nc1 ZINC000776028847 1123043323 /nfs/dbraw/zinc/04/33/23/1123043323.db2.gz DSBMYDPSAVMQHH-LLVKDONJSA-N 1 2 292.329 3.609 20 0 CHADLO Cc1nn(C)c(Cl)c1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000776031364 1123044902 /nfs/dbraw/zinc/04/49/02/1123044902.db2.gz DSJUXSYRRKYZFJ-SECBINFHSA-N 1 2 299.752 3.511 20 0 CHADLO CC[N@H+](Cc1cnn(C)c1)Cc1cccc(Cl)c1Cl ZINC000155019944 1129095482 /nfs/dbraw/zinc/09/54/82/1129095482.db2.gz QFFVFKCSAAONBC-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1cnn(C)c1)Cc1cccc(Cl)c1Cl ZINC000155019944 1129095485 /nfs/dbraw/zinc/09/54/85/1129095485.db2.gz QFFVFKCSAAONBC-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)c(Br)cc1F ZINC001201286050 1123081389 /nfs/dbraw/zinc/08/13/89/1123081389.db2.gz VJAZJWGIFVZEMJ-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO CCCCCOC(=O)c1ccc(Nc2ccc(N)[nH+]c2)cc1 ZINC001201291658 1123082701 /nfs/dbraw/zinc/08/27/01/1123082701.db2.gz LMZFENLJUSNIRR-UHFFFAOYSA-N 1 2 299.374 3.754 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(N)[nH+]c2)c(C(F)(F)F)c1 ZINC001201291468 1123083151 /nfs/dbraw/zinc/08/31/51/1123083151.db2.gz ILJRYSIGNCNULF-UHFFFAOYSA-N 1 2 295.264 3.629 20 0 CHADLO Nc1ccc(Nc2ccccc2Oc2ccccc2N)c[nH+]1 ZINC001201291975 1123083918 /nfs/dbraw/zinc/08/39/18/1123083918.db2.gz RLZRUSZIMFAANJ-UHFFFAOYSA-N 1 2 292.342 3.782 20 0 CHADLO CC(C)(C)COc1ccc(Nc2ccc(N)[nH+]c2)cc1 ZINC001201294541 1123085618 /nfs/dbraw/zinc/08/56/18/1123085618.db2.gz DKQQPSQBBUFJOF-UHFFFAOYSA-N 1 2 271.364 3.832 20 0 CHADLO Clc1cnc(NCCCn2cc[nH+]c2)c2ccccc12 ZINC001161680970 1123106151 /nfs/dbraw/zinc/10/61/51/1123106151.db2.gz CBHFMEMHDUVCGR-UHFFFAOYSA-N 1 2 286.766 3.587 20 0 CHADLO Cc1cc(C[C@H](C)Nc2ccc([NH2+]C(C)C)cc2)on1 ZINC001201488098 1123107792 /nfs/dbraw/zinc/10/77/92/1123107792.db2.gz OZVDRQCBRHKSAX-LBPRGKRZSA-N 1 2 273.380 3.846 20 0 CHADLO CCOC(=O)c1ncccc1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201489498 1123108316 /nfs/dbraw/zinc/10/83/16/1123108316.db2.gz QRRWDPKDFZFYIJ-UHFFFAOYSA-N 1 2 299.374 3.822 20 0 CHADLO CC(C)C[N@@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001201483181 1123115475 /nfs/dbraw/zinc/11/54/75/1123115475.db2.gz YBGAFPRIQVKQPZ-AWEZNQCLSA-N 1 2 253.336 3.767 20 0 CHADLO CC(C)C[N@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001201483181 1123115476 /nfs/dbraw/zinc/11/54/76/1123115476.db2.gz YBGAFPRIQVKQPZ-AWEZNQCLSA-N 1 2 253.336 3.767 20 0 CHADLO Cc1ccc(NCc2cc(-n3ccnc3)cs2)c(C)[nH+]1 ZINC001201573495 1123126847 /nfs/dbraw/zinc/12/68/47/1123126847.db2.gz YWDWKPPERGVUEY-UHFFFAOYSA-N 1 2 284.388 3.558 20 0 CHADLO F[C@H]1CCC[N@H+](CCCc2ccccc2)CC1(F)F ZINC001201653151 1123137128 /nfs/dbraw/zinc/13/71/28/1123137128.db2.gz WAYJYAXVPCHAGL-AWEZNQCLSA-N 1 2 271.326 3.688 20 0 CHADLO F[C@H]1CCC[N@@H+](CCCc2ccccc2)CC1(F)F ZINC001201653151 1123137130 /nfs/dbraw/zinc/13/71/30/1123137130.db2.gz WAYJYAXVPCHAGL-AWEZNQCLSA-N 1 2 271.326 3.688 20 0 CHADLO CSCCC[N@@H+]1CC[C@H](Cc2ccccc2)C(F)(F)C1 ZINC001201697654 1123137813 /nfs/dbraw/zinc/13/78/13/1123137813.db2.gz LZIFPNXACFCEJL-OAHLLOKOSA-N 1 2 299.430 3.939 20 0 CHADLO CSCCC[N@H+]1CC[C@H](Cc2ccccc2)C(F)(F)C1 ZINC001201697654 1123137815 /nfs/dbraw/zinc/13/78/15/1123137815.db2.gz LZIFPNXACFCEJL-OAHLLOKOSA-N 1 2 299.430 3.939 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc4ccccc4[nH]c3=O)ccc21 ZINC001201758746 1123147202 /nfs/dbraw/zinc/14/72/02/1123147202.db2.gz ITJYXMIBZPWUQV-UHFFFAOYSA-N 1 2 290.326 3.571 20 0 CHADLO CC[N@H+](Cc1cccc(OC)c1)Cc1c(F)cccc1F ZINC000507762138 1123149719 /nfs/dbraw/zinc/14/97/19/1123149719.db2.gz PUSFWAHCEOVMNL-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1cccc(OC)c1)Cc1c(F)cccc1F ZINC000507762138 1123149721 /nfs/dbraw/zinc/14/97/21/1123149721.db2.gz PUSFWAHCEOVMNL-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+]1CCC(=O)[C@@H](C)C1 ZINC000429673972 1123161813 /nfs/dbraw/zinc/16/18/13/1123161813.db2.gz SYNSAIIXWUDQLK-FZMZJTMJSA-N 1 2 265.784 3.702 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+]1CCC(=O)[C@@H](C)C1 ZINC000429673972 1123161814 /nfs/dbraw/zinc/16/18/14/1123161814.db2.gz SYNSAIIXWUDQLK-FZMZJTMJSA-N 1 2 265.784 3.702 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@@H+]1Cc1ncc(-c2cccs2)o1 ZINC000118417305 1123192980 /nfs/dbraw/zinc/19/29/80/1123192980.db2.gz YPICJBYKZFWUPA-MNOVXSKESA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@H+]1Cc1ncc(-c2cccs2)o1 ZINC000118417305 1123192982 /nfs/dbraw/zinc/19/29/82/1123192982.db2.gz YPICJBYKZFWUPA-MNOVXSKESA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCSc2c(F)cccc21)c1ccon1 ZINC000349839206 1123211170 /nfs/dbraw/zinc/21/11/70/1123211170.db2.gz YTMRUHOEHHDQOS-NOZJJQNGSA-N 1 2 278.352 3.701 20 0 CHADLO CC[C@H](C)Oc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1 ZINC000850073797 1123240685 /nfs/dbraw/zinc/24/06/85/1123240685.db2.gz POEXYRDSERYDKH-NSHDSACASA-N 1 2 273.380 3.816 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2c(F)cccc2Cl)cn1 ZINC000427816855 1123251332 /nfs/dbraw/zinc/25/13/32/1123251332.db2.gz JVFUVDAVHGYUBE-QWRGUYRKSA-N 1 2 293.773 3.989 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H]2C[C@H](O)c3ccccc32)s1 ZINC000850376022 1123260001 /nfs/dbraw/zinc/26/00/01/1123260001.db2.gz VTBZSPFSVLOOIN-KGLIPLIRSA-N 1 2 288.416 3.535 20 0 CHADLO Oc1ccc(F)c(C[N@@H+]2CCCc3ccccc3C2)c1F ZINC000508205379 1123269781 /nfs/dbraw/zinc/26/97/81/1123269781.db2.gz DRGBDJHLCNSCGF-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO Oc1ccc(F)c(C[N@H+]2CCCc3ccccc3C2)c1F ZINC000508205379 1123269784 /nfs/dbraw/zinc/26/97/84/1123269784.db2.gz DRGBDJHLCNSCGF-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO Cc1cc(N[C@H](C)CSCCF)ccc1[NH+](C)C ZINC000850455635 1123270421 /nfs/dbraw/zinc/27/04/21/1123270421.db2.gz MWJKQSVTBVGYPW-GFCCVEGCSA-N 1 2 270.417 3.564 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2cc3cnccc3o2)no1 ZINC000850467754 1123271525 /nfs/dbraw/zinc/27/15/25/1123271525.db2.gz SSTYCRFSNITGPM-QWRGUYRKSA-N 1 2 271.320 3.536 20 0 CHADLO C[C@@H](c1csnn1)[N@@H+]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000334624497 1123279825 /nfs/dbraw/zinc/27/98/25/1123279825.db2.gz VEANMOAFZFKSNU-CMPLNLGQSA-N 1 2 293.823 3.742 20 0 CHADLO C[C@@H](c1csnn1)[N@H+]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000334624497 1123279829 /nfs/dbraw/zinc/27/98/29/1123279829.db2.gz VEANMOAFZFKSNU-CMPLNLGQSA-N 1 2 293.823 3.742 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1C1CC1)c1ccccc1F ZINC000895146267 1123288942 /nfs/dbraw/zinc/28/89/42/1123288942.db2.gz DNJFIIMPDVXIMF-HNNXBMFYSA-N 1 2 273.355 3.598 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccc1C(F)(F)F)c1ncccn1 ZINC000930225646 1123289383 /nfs/dbraw/zinc/28/93/83/1123289383.db2.gz IXOMXYOLJQKRHF-QWRGUYRKSA-N 1 2 295.308 3.907 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2cnc(Cl)s2)c(F)c1 ZINC000895151929 1123304046 /nfs/dbraw/zinc/30/40/46/1123304046.db2.gz NYBGXQWVMDABST-UHFFFAOYSA-N 1 2 288.750 3.673 20 0 CHADLO Cc1c(C)c(-c2ccc(-c3c[nH+]cn3C)cc2)ccc1CO ZINC001204982719 1123336369 /nfs/dbraw/zinc/33/63/69/1123336369.db2.gz ADHRTWCLXORCIU-UHFFFAOYSA-N 1 2 292.382 3.863 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC(C)(C)O[C@@H]3CCC[C@H]32)s1 ZINC000442959527 1123350580 /nfs/dbraw/zinc/35/05/80/1123350580.db2.gz ZRUCTOQZTUMNFH-CHWSQXEVSA-N 1 2 294.464 3.798 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC(C)(C)O[C@@H]3CCC[C@H]32)s1 ZINC000442959527 1123350584 /nfs/dbraw/zinc/35/05/84/1123350584.db2.gz ZRUCTOQZTUMNFH-CHWSQXEVSA-N 1 2 294.464 3.798 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cnc(C(C)C)nc2)c1 ZINC000443060039 1123352584 /nfs/dbraw/zinc/35/25/84/1123352584.db2.gz FEZBTFPXKDGAGR-UHFFFAOYSA-N 1 2 287.382 3.680 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cnc(C(C)C)nc2)c1 ZINC000443060039 1123352588 /nfs/dbraw/zinc/35/25/88/1123352588.db2.gz FEZBTFPXKDGAGR-UHFFFAOYSA-N 1 2 287.382 3.680 20 0 CHADLO Cc1nc(NCc2cnc(-c3ccccc3)s2)cc[nH+]1 ZINC000080707865 1129117119 /nfs/dbraw/zinc/11/71/19/1129117119.db2.gz JEDUJHBBSZVTGM-UHFFFAOYSA-N 1 2 282.372 3.521 20 0 CHADLO Cc1cc(C)c(NC(=O)C2CC3(CCC3)C2)c(C)[nH+]1 ZINC000335474994 1123376289 /nfs/dbraw/zinc/37/62/89/1123376289.db2.gz SMCWTKXLNXTWQN-UHFFFAOYSA-N 1 2 258.365 3.526 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@H]2C(C)C)c(C)[nH+]1 ZINC000335586068 1123379929 /nfs/dbraw/zinc/37/99/29/1123379929.db2.gz JHNHNVXWHUELMG-AWEZNQCLSA-N 1 2 275.396 3.659 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)CC=C(Cl)Cl ZINC000851878654 1123385655 /nfs/dbraw/zinc/38/56/55/1123385655.db2.gz PLLNLJZMVOGNGQ-LLVKDONJSA-N 1 2 259.180 3.784 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)CC=C(Cl)Cl ZINC000851878654 1123385668 /nfs/dbraw/zinc/38/56/68/1123385668.db2.gz PLLNLJZMVOGNGQ-LLVKDONJSA-N 1 2 259.180 3.784 20 0 CHADLO ClC(Cl)=CC[N@@H+]1CCC[C@H]1c1cccnc1 ZINC000851871751 1123386128 /nfs/dbraw/zinc/38/61/28/1123386128.db2.gz ULEGPHWOPIDLGX-NSHDSACASA-N 1 2 257.164 3.538 20 0 CHADLO ClC(Cl)=CC[N@H+]1CCC[C@H]1c1cccnc1 ZINC000851871751 1123386132 /nfs/dbraw/zinc/38/61/32/1123386132.db2.gz ULEGPHWOPIDLGX-NSHDSACASA-N 1 2 257.164 3.538 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)n2)[C@@H]1c1cccs1 ZINC000852050732 1123394644 /nfs/dbraw/zinc/39/46/44/1123394644.db2.gz FTZXBKZKDRFTAN-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)n2)[C@@H]1c1cccs1 ZINC000852050732 1123394647 /nfs/dbraw/zinc/39/46/47/1123394647.db2.gz FTZXBKZKDRFTAN-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCC[C@H](c2ccccn2)C1 ZINC000852086975 1123395562 /nfs/dbraw/zinc/39/55/62/1123395562.db2.gz YTWXDLHBTJDHFT-LCFDYFRESA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCC[C@H](c2ccccn2)C1 ZINC000852086975 1123395567 /nfs/dbraw/zinc/39/55/67/1123395567.db2.gz YTWXDLHBTJDHFT-LCFDYFRESA-N 1 2 271.191 3.580 20 0 CHADLO c1nonc1C[N@@H+]1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC000852162331 1123399813 /nfs/dbraw/zinc/39/98/13/1123399813.db2.gz YVZCXDVUXOEVOB-INIZCTEOSA-N 1 2 283.375 3.577 20 0 CHADLO c1nonc1C[N@H+]1CC2(CCCCC2)[C@@H]1c1ccccc1 ZINC000852162331 1123399818 /nfs/dbraw/zinc/39/98/18/1123399818.db2.gz YVZCXDVUXOEVOB-INIZCTEOSA-N 1 2 283.375 3.577 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H](F)C2)ccc1C(F)F ZINC000895190998 1123403030 /nfs/dbraw/zinc/40/30/30/1123403030.db2.gz GKOUJQWLDYVZTH-JTQLQIEISA-N 1 2 261.262 3.697 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H](F)C2)ccc1C(F)F ZINC000895190998 1123403032 /nfs/dbraw/zinc/40/30/32/1123403032.db2.gz GKOUJQWLDYVZTH-JTQLQIEISA-N 1 2 261.262 3.697 20 0 CHADLO Cl/C=C/C[N@@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000852426808 1123405114 /nfs/dbraw/zinc/40/51/14/1123405114.db2.gz OOAVUTHYSXLMQJ-FLUIGGAXSA-N 1 2 251.757 3.949 20 0 CHADLO Cl/C=C/C[N@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000852426808 1123405116 /nfs/dbraw/zinc/40/51/16/1123405116.db2.gz OOAVUTHYSXLMQJ-FLUIGGAXSA-N 1 2 251.757 3.949 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398500132 1123407669 /nfs/dbraw/zinc/40/76/69/1123407669.db2.gz ZFXQJBMFLUTGMY-MNOVXSKESA-N 1 2 261.394 3.512 20 0 CHADLO CC(C)(C)O[C@H]1C[C@@H]([NH2+]CC(F)(F)C(F)F)C12CCC2 ZINC000852586601 1123410357 /nfs/dbraw/zinc/41/03/57/1123410357.db2.gz RQKOHUYJKDHXLO-ZJUUUORDSA-N 1 2 297.336 3.603 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CC2CC1(c1ccccc1)C2 ZINC000852761902 1123414798 /nfs/dbraw/zinc/41/47/98/1123414798.db2.gz VAECRRCTSCSBKX-JYRVWZFOSA-N 1 2 268.187 3.927 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CC2CC1(c1ccccc1)C2 ZINC000852761902 1123414801 /nfs/dbraw/zinc/41/48/01/1123414801.db2.gz VAECRRCTSCSBKX-JYRVWZFOSA-N 1 2 268.187 3.927 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(C2CC2)o1)c1ccc2c(c1)CCCO2 ZINC000852780456 1123415090 /nfs/dbraw/zinc/41/50/90/1123415090.db2.gz JSANPPICMCAFAZ-LBPRGKRZSA-N 1 2 298.386 3.728 20 0 CHADLO CC12CC(C1)C[N@@H+]2Cc1ccc(Cl)nc1C(F)(F)F ZINC000852786999 1123415720 /nfs/dbraw/zinc/41/57/20/1123415720.db2.gz RKDXSNSNXZSYOT-UHFFFAOYSA-N 1 2 290.716 3.738 20 0 CHADLO CC12CC(C1)C[N@H+]2Cc1ccc(Cl)nc1C(F)(F)F ZINC000852786999 1123415723 /nfs/dbraw/zinc/41/57/23/1123415723.db2.gz RKDXSNSNXZSYOT-UHFFFAOYSA-N 1 2 290.716 3.738 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@@H](C)c2nccs2)cnn1C(C)C ZINC000191273546 1123417047 /nfs/dbraw/zinc/41/70/47/1123417047.db2.gz NPRGNHWMXCERMD-QWRGUYRKSA-N 1 2 278.425 3.641 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cn1ncc(Cl)c1C1CC1 ZINC000853488391 1123442934 /nfs/dbraw/zinc/44/29/34/1123442934.db2.gz DVNKOCTYGCTFPB-UHFFFAOYSA-N 1 2 293.773 3.643 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cn1ncc(Cl)c1C1CC1 ZINC000853488391 1123442937 /nfs/dbraw/zinc/44/29/37/1123442937.db2.gz DVNKOCTYGCTFPB-UHFFFAOYSA-N 1 2 293.773 3.643 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC[C@@H]3CCC[C@@H]3C2)n1 ZINC001141757126 1123446837 /nfs/dbraw/zinc/44/68/37/1123446837.db2.gz FGHQOKWYBJUZCN-VHSXEESVSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC[C@@H]3CCC[C@@H]3C2)n1 ZINC001141757126 1123446838 /nfs/dbraw/zinc/44/68/38/1123446838.db2.gz FGHQOKWYBJUZCN-VHSXEESVSA-N 1 2 290.354 3.784 20 0 CHADLO Cc1ccsc1CNC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000335897834 1123460999 /nfs/dbraw/zinc/46/09/99/1123460999.db2.gz SGGRRNRGHMJKAP-UHFFFAOYSA-N 1 2 289.404 3.698 20 0 CHADLO Cc1cccc2[nH]c(C[N@@H+](C)Cc3ccns3)cc21 ZINC000895451696 1123481687 /nfs/dbraw/zinc/48/16/87/1123481687.db2.gz DOBQWESQTRRYKK-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1cccc2[nH]c(C[N@H+](C)Cc3ccns3)cc21 ZINC000895451696 1123481688 /nfs/dbraw/zinc/48/16/88/1123481688.db2.gz DOBQWESQTRRYKK-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO C[C@H]1C[NH+](Cc2nc(C(C)(C)C)co2)C[C@H](C)C1(F)F ZINC000895551667 1123491486 /nfs/dbraw/zinc/49/14/86/1123491486.db2.gz WCLJDMBGHVIOTD-QWRGUYRKSA-N 1 2 286.366 3.695 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1cc(F)cc(F)c1)[C@@H]1CC1(F)F ZINC000895604994 1123496406 /nfs/dbraw/zinc/49/64/06/1123496406.db2.gz MHSBWAFFKSLXRM-CPCISQLKSA-N 1 2 297.242 3.690 20 0 CHADLO CCSCc1ccc[nH+]c1N[C@H](C)[C@@H]1CC1(F)F ZINC000895687671 1123504828 /nfs/dbraw/zinc/50/48/28/1123504828.db2.gz CUBNQODXMNQEAJ-KOLCDFICSA-N 1 2 272.364 3.790 20 0 CHADLO Fc1ccc2c(c1)CCN2Cc1cc(-n2cc[nH+]c2)cs1 ZINC000338966927 1123507271 /nfs/dbraw/zinc/50/72/71/1123507271.db2.gz ZXSOSAMYRGBWFR-UHFFFAOYSA-N 1 2 299.374 3.636 20 0 CHADLO CC[N@H+](C)Cc1c(F)cc(Cl)cc1Br ZINC001141837735 1123509908 /nfs/dbraw/zinc/50/99/08/1123509908.db2.gz OHRQKHFZCXHLAX-UHFFFAOYSA-N 1 2 280.568 3.693 20 0 CHADLO CC[N@@H+](C)Cc1c(F)cc(Cl)cc1Br ZINC001141837735 1123509910 /nfs/dbraw/zinc/50/99/10/1123509910.db2.gz OHRQKHFZCXHLAX-UHFFFAOYSA-N 1 2 280.568 3.693 20 0 CHADLO Cc1cc(C)c2cc(N[C@H]3CCOC[C@@H]3C)ccc2[nH+]1 ZINC000895879714 1123524560 /nfs/dbraw/zinc/52/45/60/1123524560.db2.gz UVTBRAGBUAFUOT-LRDDRELGSA-N 1 2 270.376 3.689 20 0 CHADLO Cc1ccc(/C=C/C(=O)Nc2c(C)cc(C)[nH+]c2C)o1 ZINC000097040108 1123530052 /nfs/dbraw/zinc/53/00/52/1123530052.db2.gz GEGQCOVRXRPJGI-BQYQJAHWSA-N 1 2 270.332 3.560 20 0 CHADLO CCC[C@@H]1CCCC[N@@H+]1Cc1noc(Cc2ccccc2)n1 ZINC000542631034 1129127761 /nfs/dbraw/zinc/12/77/61/1129127761.db2.gz NWNVOAMCWOSCST-MRXNPFEDSA-N 1 2 299.418 3.815 20 0 CHADLO CCC[C@@H]1CCCC[N@H+]1Cc1noc(Cc2ccccc2)n1 ZINC000542631034 1129127764 /nfs/dbraw/zinc/12/77/64/1129127764.db2.gz NWNVOAMCWOSCST-MRXNPFEDSA-N 1 2 299.418 3.815 20 0 CHADLO C[N@H+](Cc1cnc2ccccn12)Cc1ccccc1Cl ZINC000157373543 1129128151 /nfs/dbraw/zinc/12/81/51/1129128151.db2.gz DIUMFABSWOLARE-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@@H+](Cc1cnc2ccccn12)Cc1ccccc1Cl ZINC000157373543 1129128154 /nfs/dbraw/zinc/12/81/54/1129128154.db2.gz DIUMFABSWOLARE-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO CN(Cc1cn2c([nH+]1)CCCC2)Cc1ccc(Cl)s1 ZINC000429525401 1123540558 /nfs/dbraw/zinc/54/05/58/1123540558.db2.gz CWGHJZWVKOAPIW-UHFFFAOYSA-N 1 2 295.839 3.566 20 0 CHADLO CCc1onc(C)c1C[NH2+][C@H]1c2ccccc2CC[C@H]1F ZINC000896029196 1123543554 /nfs/dbraw/zinc/54/35/54/1123543554.db2.gz AYLULQLZEDONHA-WBVHZDCISA-N 1 2 288.366 3.661 20 0 CHADLO Cn1cc(C[N@@H+]2CC(C)(C)[C@H]2c2ccccc2)c(Cl)n1 ZINC000857013193 1123578107 /nfs/dbraw/zinc/57/81/07/1123578107.db2.gz IMHXXPANQOAKEU-CQSZACIVSA-N 1 2 289.810 3.657 20 0 CHADLO Cn1cc(C[N@H+]2CC(C)(C)[C@H]2c2ccccc2)c(Cl)n1 ZINC000857013193 1123578108 /nfs/dbraw/zinc/57/81/08/1123578108.db2.gz IMHXXPANQOAKEU-CQSZACIVSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@H](CC(=O)O[C@@H]1C[C@H](C)CC(C)(C)C1)n1cc[nH+]c1 ZINC000857069859 1123584271 /nfs/dbraw/zinc/58/42/71/1123584271.db2.gz WBOOJRJECOJTCI-BFHYXJOUSA-N 1 2 278.396 3.592 20 0 CHADLO Cc1cc(NC(=O)C2(c3ccc(Cl)cc3)CC2)cc[nH+]1 ZINC000017820934 1123597174 /nfs/dbraw/zinc/59/71/74/1123597174.db2.gz RSZWYIPQGWNCDC-UHFFFAOYSA-N 1 2 286.762 3.714 20 0 CHADLO Clc1ccccc1C[N@@H+]1CCO[C@@H](c2cccs2)C1 ZINC000157799975 1129133277 /nfs/dbraw/zinc/13/32/77/1129133277.db2.gz DFDPNJHNVTVZCO-CQSZACIVSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccccc1C[N@H+]1CCO[C@@H](c2cccs2)C1 ZINC000157799975 1129133280 /nfs/dbraw/zinc/13/32/80/1129133280.db2.gz DFDPNJHNVTVZCO-CQSZACIVSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccc(C[N@@H+]2CCO[C@H](c3cccs3)C2)cc1 ZINC000157838645 1129133987 /nfs/dbraw/zinc/13/39/87/1129133987.db2.gz VXQCNSLZKAPDFK-AWEZNQCLSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccc(C[N@H+]2CCO[C@H](c3cccs3)C2)cc1 ZINC000157838645 1129133988 /nfs/dbraw/zinc/13/39/88/1129133988.db2.gz VXQCNSLZKAPDFK-AWEZNQCLSA-N 1 2 293.819 3.975 20 0 CHADLO CCCCCC[C@](C)(CC)C(=O)N[C@H]1CCn2c[nH+]cc21 ZINC000857983726 1123628052 /nfs/dbraw/zinc/62/80/52/1123628052.db2.gz CPFOWOQMBZLBDF-YOEHRIQHSA-N 1 2 291.439 3.831 20 0 CHADLO Cc1[nH]c2ccccc2c1C1=CC[N@H+](Cc2cocn2)CC1 ZINC000191925649 1123631991 /nfs/dbraw/zinc/63/19/91/1123631991.db2.gz ATKRHXJUPABKSA-UHFFFAOYSA-N 1 2 293.370 3.754 20 0 CHADLO Cc1[nH]c2ccccc2c1C1=CC[N@@H+](Cc2cocn2)CC1 ZINC000191925649 1123631992 /nfs/dbraw/zinc/63/19/92/1123631992.db2.gz ATKRHXJUPABKSA-UHFFFAOYSA-N 1 2 293.370 3.754 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H](C)c2ccns2)s1 ZINC000858316922 1123644257 /nfs/dbraw/zinc/64/42/57/1123644257.db2.gz NZGLAXKLHLBTOZ-VIFPVBQESA-N 1 2 267.423 3.574 20 0 CHADLO Cc1cc(C)c(Cl)c(C[NH+]2C[C@@H](C)O[C@H](C)C2)c1 ZINC001237699581 1129135661 /nfs/dbraw/zinc/13/56/61/1129135661.db2.gz XFJMFPYYGHODOB-CHWSQXEVSA-N 1 2 267.800 3.566 20 0 CHADLO Cc1cnc(C[NH2+][C@@H]2CCSc3ccc(Cl)cc32)o1 ZINC000231640313 1123658235 /nfs/dbraw/zinc/65/82/35/1123658235.db2.gz LGTVTSNPYXSNJS-GFCCVEGCSA-N 1 2 294.807 3.963 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1ccc(SC(F)F)cc1 ZINC000777035438 1123661406 /nfs/dbraw/zinc/66/14/06/1123661406.db2.gz FUVJYHIUUBRYNI-SECBINFHSA-N 1 2 284.331 3.840 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@@H]3CCC[C@@H]3C)cc2[nH+]1 ZINC000346093670 1123663676 /nfs/dbraw/zinc/66/36/76/1123663676.db2.gz NSJLATJSVHPZIF-JQWIXIFHSA-N 1 2 271.364 3.636 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nc2c(s1)CCCC2)c1ccns1 ZINC000858576010 1123663750 /nfs/dbraw/zinc/66/37/50/1123663750.db2.gz HFXJPUPVWNCNCB-UWVGGRQHSA-N 1 2 293.461 3.890 20 0 CHADLO FC1(F)C[C@]12CCCN(c1[nH+]ccc3ccccc31)C2 ZINC000858606740 1123667197 /nfs/dbraw/zinc/66/71/97/1123667197.db2.gz JUVKDFBNSYFMLM-HNNXBMFYSA-N 1 2 274.314 3.860 20 0 CHADLO CCCC(C)(C)CC(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000346244951 1123674885 /nfs/dbraw/zinc/67/48/85/1123674885.db2.gz NCICAKJADNUBLD-CABCVRRESA-N 1 2 291.439 3.509 20 0 CHADLO CC[C@H](C)C[C@@H](C)NC(=O)c1cc(C)ccc1-n1cc[nH+]c1 ZINC000346252538 1123675659 /nfs/dbraw/zinc/67/56/59/1123675659.db2.gz TYCDZPQFYMOTHB-DZGCQCFKSA-N 1 2 299.418 3.735 20 0 CHADLO CC[C@@H](C)C[C@H](C)NC(=O)c1cc(C)ccc1-n1cc[nH+]c1 ZINC000346252540 1123675788 /nfs/dbraw/zinc/67/57/88/1123675788.db2.gz TYCDZPQFYMOTHB-HIFRSBDPSA-N 1 2 299.418 3.735 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)ccn1 ZINC000859139179 1123686014 /nfs/dbraw/zinc/68/60/14/1123686014.db2.gz LCHMSGJGPULTJJ-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H]2c2ccc(F)c(F)c2)ccn1 ZINC000859139179 1123686015 /nfs/dbraw/zinc/68/60/15/1123686015.db2.gz LCHMSGJGPULTJJ-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Cc1cc(C)c(CNC(=O)OCC2CCCCC2)c[nH+]1 ZINC000859182574 1123688816 /nfs/dbraw/zinc/68/88/16/1123688816.db2.gz YFCDWJGWHRPXLD-UHFFFAOYSA-N 1 2 276.380 3.505 20 0 CHADLO Cc1cn2cc(NC(=O)NCCC3=CCCCC3)ccc2[nH+]1 ZINC000346555821 1123695090 /nfs/dbraw/zinc/69/50/90/1123695090.db2.gz WNHIBIVOFWGARU-UHFFFAOYSA-N 1 2 298.390 3.655 20 0 CHADLO Fc1cc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)ccn1 ZINC000859349291 1123695992 /nfs/dbraw/zinc/69/59/92/1123695992.db2.gz WBNKKRDMCWVYHP-MRXNPFEDSA-N 1 2 286.350 3.931 20 0 CHADLO Fc1cc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)ccn1 ZINC000859349291 1123695994 /nfs/dbraw/zinc/69/59/94/1123695994.db2.gz WBNKKRDMCWVYHP-MRXNPFEDSA-N 1 2 286.350 3.931 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(Cl)s2)C[C@H](C)C1(F)F ZINC000859411481 1123696987 /nfs/dbraw/zinc/69/69/87/1123696987.db2.gz KUBLZHFGHAFWQZ-OCAPTIKFSA-N 1 2 280.771 3.520 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(Cl)s2)C[C@H](C)C1(F)F ZINC000859411481 1123696990 /nfs/dbraw/zinc/69/69/90/1123696990.db2.gz KUBLZHFGHAFWQZ-OCAPTIKFSA-N 1 2 280.771 3.520 20 0 CHADLO C[C@H](CCC(F)(F)F)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000346630951 1123702931 /nfs/dbraw/zinc/70/29/31/1123702931.db2.gz GUKAOLFTVRJWQT-LLVKDONJSA-N 1 2 287.329 3.825 20 0 CHADLO CCC(CC)[C@@H](NC(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC000346763866 1123709739 /nfs/dbraw/zinc/70/97/39/1123709739.db2.gz HCMSOLMODFVYQX-GOSISDBHSA-N 1 2 299.418 3.567 20 0 CHADLO Cc1ccccc1[C@@H](CC(F)(F)F)[NH2+]Cc1nccn1C ZINC000444008768 1123720440 /nfs/dbraw/zinc/72/04/40/1123720440.db2.gz KFAXGVFDGZHICJ-CYBMUJFWSA-N 1 2 297.324 3.512 20 0 CHADLO CCC[C@@H](C)CC(=O)N(C)CCCc1[nH+]ccn1CCC ZINC000346949748 1123735802 /nfs/dbraw/zinc/73/58/02/1123735802.db2.gz YVYPQWQPFFLZDW-OAHLLOKOSA-N 1 2 293.455 3.510 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H]2c2cccnc2)cs1 ZINC000346970993 1123738142 /nfs/dbraw/zinc/73/81/42/1123738142.db2.gz BDFPIEZOQXZZNC-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H]2c2cccnc2)cs1 ZINC000346970993 1123738145 /nfs/dbraw/zinc/73/81/45/1123738145.db2.gz BDFPIEZOQXZZNC-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO Clc1ncccc1C[N@H+](Cc1ccccc1)C1CC1 ZINC000195113431 1129142110 /nfs/dbraw/zinc/14/21/10/1129142110.db2.gz ZJTVDYIWBVTSDF-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO Clc1ncccc1C[N@@H+](Cc1ccccc1)C1CC1 ZINC000195113431 1129142112 /nfs/dbraw/zinc/14/21/12/1129142112.db2.gz ZJTVDYIWBVTSDF-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+]Cc1ncc(C)o1 ZINC000281822938 1123746611 /nfs/dbraw/zinc/74/66/11/1123746611.db2.gz WZZHCYXXPZZBKU-NSHDSACASA-N 1 2 262.378 3.556 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc3[nH+]ccn32)c(C)c1 ZINC000082323780 1129143182 /nfs/dbraw/zinc/14/31/82/1129143182.db2.gz CXPNDSHRCDNQAU-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2ccc(Cl)cc21)c1csnn1 ZINC000336281061 1123757091 /nfs/dbraw/zinc/75/70/91/1123757091.db2.gz RFXLQZJFPNEEBX-QPUJVOFHSA-N 1 2 279.796 3.530 20 0 CHADLO C1=CCC2(C[N@@H+](Cc3cocn3)[C@@H]2c2ccccc2)C1 ZINC000648074536 1123776347 /nfs/dbraw/zinc/77/63/47/1123776347.db2.gz DANMBKAREXEZON-MRXNPFEDSA-N 1 2 266.344 3.568 20 0 CHADLO C1=CCC2(C[N@H+](Cc3cocn3)[C@@H]2c2ccccc2)C1 ZINC000648074536 1123776350 /nfs/dbraw/zinc/77/63/50/1123776350.db2.gz DANMBKAREXEZON-MRXNPFEDSA-N 1 2 266.344 3.568 20 0 CHADLO CC[C@@H](CC1CCCCC1)C(=O)NCc1[nH]c(C)c(C)[nH+]1 ZINC000896917467 1123778339 /nfs/dbraw/zinc/77/83/39/1123778339.db2.gz YJPVVQAJHKLQMH-HNNXBMFYSA-N 1 2 291.439 3.639 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)C1CC(F)(F)C1 ZINC000862297470 1123798699 /nfs/dbraw/zinc/79/86/99/1123798699.db2.gz WNGRYYGUEPYFBG-NSHDSACASA-N 1 2 280.362 3.915 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)C1CC(F)(F)C1 ZINC000862297470 1123798701 /nfs/dbraw/zinc/79/87/01/1123798701.db2.gz WNGRYYGUEPYFBG-NSHDSACASA-N 1 2 280.362 3.915 20 0 CHADLO C[C@H]([NH2+]c1ccc2c(c1)CCCN2C)C1CC(F)(F)C1 ZINC000862297470 1123798703 /nfs/dbraw/zinc/79/87/03/1123798703.db2.gz WNGRYYGUEPYFBG-NSHDSACASA-N 1 2 280.362 3.915 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3nc(C(C)(C)C)ncc3C2)c(C)o1 ZINC000862441214 1123809457 /nfs/dbraw/zinc/80/94/57/1123809457.db2.gz SEDUBXIYXIIYRA-UHFFFAOYSA-N 1 2 299.418 3.542 20 0 CHADLO Cc1cc(C[N@H+]2CCc3nc(C(C)(C)C)ncc3C2)c(C)o1 ZINC000862441214 1123809460 /nfs/dbraw/zinc/80/94/60/1123809460.db2.gz SEDUBXIYXIIYRA-UHFFFAOYSA-N 1 2 299.418 3.542 20 0 CHADLO Cc1cc(C)cc(NC(=S)NCc2c[nH+]c(C)cc2C)c1 ZINC000840826660 1123828561 /nfs/dbraw/zinc/82/85/61/1123828561.db2.gz RMKQJZCIURXSNO-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO C[C@@H]1C[N@H+](Cc2noc3c2CCCC3)[C@H]1c1ccccc1 ZINC000248358363 1123870693 /nfs/dbraw/zinc/87/06/93/1123870693.db2.gz QRULRFLZQGFUKZ-FZKQIMNGSA-N 1 2 282.387 3.746 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2noc3c2CCCC3)[C@H]1c1ccccc1 ZINC000248358363 1123870695 /nfs/dbraw/zinc/87/06/95/1123870695.db2.gz QRULRFLZQGFUKZ-FZKQIMNGSA-N 1 2 282.387 3.746 20 0 CHADLO Cc1cc(N2CCC(OCC(C)C)CC2)nc(C(C)C)[nH+]1 ZINC000301067997 1123871972 /nfs/dbraw/zinc/87/19/72/1123871972.db2.gz BOEOTUKGIVMGNI-UHFFFAOYSA-N 1 2 291.439 3.550 20 0 CHADLO CN(Cc1ccccc1C(F)(F)F)c1cccc[nH+]1 ZINC000301077751 1123873016 /nfs/dbraw/zinc/87/30/16/1123873016.db2.gz ONNFQWZLMXTQCF-UHFFFAOYSA-N 1 2 266.266 3.737 20 0 CHADLO FC(F)(F)c1cccc(OCCNc2cccc[nH+]2)c1 ZINC000301095414 1123874215 /nfs/dbraw/zinc/87/42/15/1123874215.db2.gz OPEKQJIJBUUMFB-UHFFFAOYSA-N 1 2 282.265 3.591 20 0 CHADLO FC(F)(F)Oc1ccc(CCNc2cccc[nH+]2)cc1 ZINC000301112953 1123875309 /nfs/dbraw/zinc/87/53/09/1123875309.db2.gz SIWXPAKHQZUDOQ-UHFFFAOYSA-N 1 2 282.265 3.635 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1cccc(F)c1Cl ZINC000339059923 1123881846 /nfs/dbraw/zinc/88/18/46/1123881846.db2.gz CGWLPMBQDYTNBK-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1cccc(F)c1Cl ZINC000339059923 1123881852 /nfs/dbraw/zinc/88/18/52/1123881852.db2.gz CGWLPMBQDYTNBK-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO O[C@H](CNc1cccc[nH+]1)c1ccc(Cl)cc1Cl ZINC000301227970 1123883373 /nfs/dbraw/zinc/88/33/73/1123883373.db2.gz CVMSGDRBKNFPQW-GFCCVEGCSA-N 1 2 283.158 3.534 20 0 CHADLO O[C@@H](CNc1cccc[nH+]1)c1cc(Cl)cc(Cl)c1 ZINC000301301048 1123889484 /nfs/dbraw/zinc/88/94/84/1123889484.db2.gz UXESDUOQBKHFNK-LBPRGKRZSA-N 1 2 283.158 3.534 20 0 CHADLO CSCCCCCCC(=O)Nc1cccc2[nH+]ccn21 ZINC000863889094 1123901138 /nfs/dbraw/zinc/90/11/38/1123901138.db2.gz QKPUSSHQKDYGCI-UHFFFAOYSA-N 1 2 291.420 3.586 20 0 CHADLO COc1ccc(COc2ccccc2-n2cc[nH+]c2)cc1C ZINC000864046732 1123913540 /nfs/dbraw/zinc/91/35/40/1123913540.db2.gz REZWJEJVDJSWTO-UHFFFAOYSA-N 1 2 294.354 3.768 20 0 CHADLO FC1(F)C[C@H]2CC[C@@H](C1)[N@H+]2Cc1scnc1Cl ZINC000864053083 1123914186 /nfs/dbraw/zinc/91/41/86/1123914186.db2.gz RQLIBKFLPAIMMD-OCAPTIKFSA-N 1 2 278.755 3.559 20 0 CHADLO FC1(F)C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1scnc1Cl ZINC000864053083 1123914189 /nfs/dbraw/zinc/91/41/89/1123914189.db2.gz RQLIBKFLPAIMMD-OCAPTIKFSA-N 1 2 278.755 3.559 20 0 CHADLO Clc1cc(Cl)c2c(c1)CCN(c1cccc[nH+]1)C2 ZINC000301585895 1123915619 /nfs/dbraw/zinc/91/56/19/1123915619.db2.gz VLOFJPGIPSCVHF-UHFFFAOYSA-N 1 2 279.170 3.951 20 0 CHADLO Cc1cc(NCC2(CCO)CCCCC2)nc(C(C)C)[nH+]1 ZINC000301594856 1123916534 /nfs/dbraw/zinc/91/65/34/1123916534.db2.gz ZVCQOYNPYXQSHT-UHFFFAOYSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1ccc([C@H](O)CNc2[nH+]c3ccccc3cc2C)cc1 ZINC000301682021 1123923109 /nfs/dbraw/zinc/92/31/09/1123923109.db2.gz GHVVLSXXOXUAOW-GOSISDBHSA-N 1 2 292.382 3.997 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@@H]2/C=C/c2ccccc2)[nH]1 ZINC000428343909 1123925312 /nfs/dbraw/zinc/92/53/12/1123925312.db2.gz FADFXXPIJZPHEK-SIFUEBAJSA-N 1 2 296.418 3.606 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@@H]2/C=C/c2ccccc2)[nH]1 ZINC000428343909 1123925314 /nfs/dbraw/zinc/92/53/14/1123925314.db2.gz FADFXXPIJZPHEK-SIFUEBAJSA-N 1 2 296.418 3.606 20 0 CHADLO Cc1cc(NCCC[C@@H]2CCCC[C@@H]2O)nc(C(C)C)[nH+]1 ZINC000301754631 1123929879 /nfs/dbraw/zinc/92/98/79/1123929879.db2.gz KBMRIBWTYNJCHP-GJZGRUSLSA-N 1 2 291.439 3.652 20 0 CHADLO Cc1cccn2cc(C[S@](=O)C[C@H](C)C(C)(C)C)[nH+]c12 ZINC000864471451 1123930213 /nfs/dbraw/zinc/93/02/13/1123930213.db2.gz AGXYOHZTLRKTTA-RNODOKPDSA-N 1 2 292.448 3.574 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2cccc[nH+]2)C12CCCCC2 ZINC000301753909 1123930177 /nfs/dbraw/zinc/93/01/77/1123930177.db2.gz CEDFYSJRNUEUMS-ZIAGYGMSSA-N 1 2 260.381 3.621 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cncc(Cl)c2)[C@@H]1c1ccccc1 ZINC000621261679 1129154087 /nfs/dbraw/zinc/15/40/87/1129154087.db2.gz ZLASTDDNXCKANQ-WBMJQRKESA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cncc(Cl)c2)[C@@H]1c1ccccc1 ZINC000621261679 1129154090 /nfs/dbraw/zinc/15/40/90/1129154090.db2.gz ZLASTDDNXCKANQ-WBMJQRKESA-N 1 2 272.779 3.928 20 0 CHADLO Fc1ccc2c(c1)CC[C@H]2Nc1cc(NCC2CC2)[nH+]cn1 ZINC000301820953 1123935771 /nfs/dbraw/zinc/93/57/71/1123935771.db2.gz JSBMVKQAVSWZRL-OAHLLOKOSA-N 1 2 298.365 3.537 20 0 CHADLO Fc1ccc2c(c1)CC[C@H]2Nc1cc(NCC2CC2)nc[nH+]1 ZINC000301820953 1123935773 /nfs/dbraw/zinc/93/57/73/1123935773.db2.gz JSBMVKQAVSWZRL-OAHLLOKOSA-N 1 2 298.365 3.537 20 0 CHADLO CC(C)[C@@H](O)C(C)(C)CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000301814977 1123935869 /nfs/dbraw/zinc/93/58/69/1123935869.db2.gz IPEZNNYQKLTOEM-GFCCVEGCSA-N 1 2 290.329 3.555 20 0 CHADLO FC1(F)CC[NH+](Cn2c3ccccc3oc2=S)CC1 ZINC000794694658 1123936833 /nfs/dbraw/zinc/93/68/33/1123936833.db2.gz OYGXSWKAYHQCNA-UHFFFAOYSA-N 1 2 284.331 3.652 20 0 CHADLO CC(C)c1cc(NC[C@@H]2CCCOC2)nc(C(C)C)[nH+]1 ZINC000301869434 1123938839 /nfs/dbraw/zinc/93/88/39/1123938839.db2.gz JKGXILUZFPOSMX-ZDUSSCGKSA-N 1 2 277.412 3.562 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000301983938 1123947883 /nfs/dbraw/zinc/94/78/83/1123947883.db2.gz YMIIPQLEUADETM-AGGWBTHJSA-N 1 2 270.376 3.911 20 0 CHADLO O[C@H](CNc1cccc[nH+]1)c1ccc(-c2ccccc2)cc1 ZINC000302199487 1123961027 /nfs/dbraw/zinc/96/10/27/1123961027.db2.gz QWLUTWKPQJXTTH-GOSISDBHSA-N 1 2 290.366 3.894 20 0 CHADLO COc1cccc2c(NCc3cccs3)cc[nH+]c12 ZINC000302412169 1123967380 /nfs/dbraw/zinc/96/73/80/1123967380.db2.gz HCGHSHWNCKYOLB-UHFFFAOYSA-N 1 2 270.357 3.917 20 0 CHADLO COc1cccc2c(NCc3ccccc3F)cc[nH+]c12 ZINC000302445382 1123968125 /nfs/dbraw/zinc/96/81/25/1123968125.db2.gz NWAJUBAAEJCTMR-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO CC(C)(C)c1cn(Cc2cnc(-c3ccccc3)nc2)c[nH+]1 ZINC000865376156 1123976284 /nfs/dbraw/zinc/97/62/84/1123976284.db2.gz BGELAIIVGGJZAU-UHFFFAOYSA-N 1 2 292.386 3.686 20 0 CHADLO CC(C)(C)c1cn(Cc2ccc(C(F)(F)F)cn2)c[nH+]1 ZINC000865376205 1123976340 /nfs/dbraw/zinc/97/63/40/1123976340.db2.gz DJSBWTYQIOVSQJ-UHFFFAOYSA-N 1 2 283.297 3.643 20 0 CHADLO CC(C)(C)c1cn(Cc2ccccc2OC(F)F)c[nH+]1 ZINC000865374946 1123976377 /nfs/dbraw/zinc/97/63/77/1123976377.db2.gz BKMFRHWIDBCWMX-UHFFFAOYSA-N 1 2 280.318 3.830 20 0 CHADLO Cc1nc(N[C@@H](C)c2cc3ccccc3o2)cc[nH+]1 ZINC000302703644 1123978797 /nfs/dbraw/zinc/97/87/97/1123978797.db2.gz JCULNJKAMARWMK-JTQLQIEISA-N 1 2 253.305 3.704 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C2CC2)cn1)c1ccccc1Cl ZINC000865401319 1123980426 /nfs/dbraw/zinc/98/04/26/1123980426.db2.gz PEDBLHYBCMJURG-LLVKDONJSA-N 1 2 275.783 3.722 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2cc3c(cc[nH]c3=O)o2)o1 ZINC000865417971 1123981881 /nfs/dbraw/zinc/98/18/81/1123981881.db2.gz FLYHAWYGJORMEU-MFKMUULPSA-N 1 2 298.342 3.540 20 0 CHADLO CCC(F)(F)c1ccccc1NCc1c[nH+]cn1C ZINC000865840946 1124020465 /nfs/dbraw/zinc/02/04/65/1124020465.db2.gz QSWUBWGTYKZXDX-UHFFFAOYSA-N 1 2 265.307 3.534 20 0 CHADLO CCCOc1ccc(C[NH2+][C@@H](C)C(C)(F)F)cc1 ZINC000389473600 1124028918 /nfs/dbraw/zinc/02/89/18/1124028918.db2.gz VUMCTPQJXOCCEZ-NSHDSACASA-N 1 2 257.324 3.609 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2cncc(Cl)c2)C1 ZINC000621291624 1129162080 /nfs/dbraw/zinc/16/20/80/1129162080.db2.gz YTFCYGDCAHYCNJ-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2cncc(Cl)c2)C1 ZINC000621291624 1129162082 /nfs/dbraw/zinc/16/20/82/1129162082.db2.gz YTFCYGDCAHYCNJ-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO Cc1ccsc1C[NH2+][C@@H]1c2ccccc2OC[C@@H]1F ZINC000866242993 1124040456 /nfs/dbraw/zinc/04/04/56/1124040456.db2.gz VEOIHTLGXSPVJW-SWLSCSKDSA-N 1 2 277.364 3.618 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@H]3CCCc4ncccc43)ccc21 ZINC000866332359 1124052998 /nfs/dbraw/zinc/05/29/98/1124052998.db2.gz YXKZJUPBTACMIY-SFHVURJKSA-N 1 2 293.414 3.954 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@H]3CCCc4ncccc43)ccc21 ZINC000866332359 1124053002 /nfs/dbraw/zinc/05/30/02/1124053002.db2.gz YXKZJUPBTACMIY-SFHVURJKSA-N 1 2 293.414 3.954 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@@H]1F)c1ccsc1 ZINC000866355263 1124056637 /nfs/dbraw/zinc/05/66/37/1124056637.db2.gz WDDQMFPYAAWROY-VZJVUDMVSA-N 1 2 277.364 3.871 20 0 CHADLO CCOc1cccc(C[N@H+](C)Cc2cc(C)ccc2F)n1 ZINC000444743939 1124058342 /nfs/dbraw/zinc/05/83/42/1124058342.db2.gz KJOQPNRCHJJJSQ-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CCOc1cccc(C[N@@H+](C)Cc2cc(C)ccc2F)n1 ZINC000444743939 1124058345 /nfs/dbraw/zinc/05/83/45/1124058345.db2.gz KJOQPNRCHJJJSQ-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2noc3ccc(F)cc32)o1 ZINC000866512550 1124076074 /nfs/dbraw/zinc/07/60/74/1124076074.db2.gz ABYHSTBSYWLIHV-SNVBAGLBSA-N 1 2 274.295 3.719 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2noc3cc(F)ccc32)o1 ZINC000866611376 1124088318 /nfs/dbraw/zinc/08/83/18/1124088318.db2.gz TWODRXFSMPCUCF-JTQLQIEISA-N 1 2 288.322 3.973 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2noc3cc(F)ccc32)o1 ZINC000866611831 1124088796 /nfs/dbraw/zinc/08/87/96/1124088796.db2.gz XIZFFTNJZBKJJY-JTQLQIEISA-N 1 2 274.295 3.719 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCOC[C@@H]2c2cccn2C)cc1 ZINC000445796708 1124102945 /nfs/dbraw/zinc/10/29/45/1124102945.db2.gz AFVRYDPUJJDMNJ-LJQANCHMSA-N 1 2 298.430 3.551 20 0 CHADLO CCCc1ccc(C[N@H+]2CCOC[C@@H]2c2cccn2C)cc1 ZINC000445796708 1124102947 /nfs/dbraw/zinc/10/29/47/1124102947.db2.gz AFVRYDPUJJDMNJ-LJQANCHMSA-N 1 2 298.430 3.551 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+]1CCc2ncsc2C1 ZINC000445851379 1124104004 /nfs/dbraw/zinc/10/40/04/1124104004.db2.gz DETQFHMBPCWPKF-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+]1CCc2ncsc2C1 ZINC000445851379 1124104007 /nfs/dbraw/zinc/10/40/07/1124104007.db2.gz DETQFHMBPCWPKF-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC[C@]3(CC=CCC3)C2)no1 ZINC000114495595 1124107353 /nfs/dbraw/zinc/10/73/53/1124107353.db2.gz YLCZXPPQAXMQCE-QGZVFWFLSA-N 1 2 289.423 3.689 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC[C@]3(CC=CCC3)C2)no1 ZINC000114495595 1124107354 /nfs/dbraw/zinc/10/73/54/1124107354.db2.gz YLCZXPPQAXMQCE-QGZVFWFLSA-N 1 2 289.423 3.689 20 0 CHADLO CCc1cnc(C[N@H+](Cc2cccc(F)c2)C(C)C)o1 ZINC000525270201 1124120849 /nfs/dbraw/zinc/12/08/49/1124120849.db2.gz AZATYMDWPMXVTK-UHFFFAOYSA-N 1 2 276.355 3.787 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2cccc(F)c2)C(C)C)o1 ZINC000525270201 1124120850 /nfs/dbraw/zinc/12/08/50/1124120850.db2.gz AZATYMDWPMXVTK-UHFFFAOYSA-N 1 2 276.355 3.787 20 0 CHADLO CC[N@H+](CCCc1c(F)cccc1Cl)CC(F)F ZINC000446755396 1124121296 /nfs/dbraw/zinc/12/12/96/1124121296.db2.gz ULKWVTUPFVEPRV-UHFFFAOYSA-N 1 2 279.733 3.999 20 0 CHADLO CC[N@@H+](CCCc1c(F)cccc1Cl)CC(F)F ZINC000446755396 1124121299 /nfs/dbraw/zinc/12/12/99/1124121299.db2.gz ULKWVTUPFVEPRV-UHFFFAOYSA-N 1 2 279.733 3.999 20 0 CHADLO CCCCC[N@H+](Cc1nsc(N(C)C)n1)[C@H](C)CCC ZINC000446832656 1124124694 /nfs/dbraw/zinc/12/46/94/1124124694.db2.gz CQWUUAGRBUJXQZ-CYBMUJFWSA-N 1 2 298.500 3.785 20 0 CHADLO CCCCC[N@@H+](Cc1nsc(N(C)C)n1)[C@H](C)CCC ZINC000446832656 1124124696 /nfs/dbraw/zinc/12/46/96/1124124696.db2.gz CQWUUAGRBUJXQZ-CYBMUJFWSA-N 1 2 298.500 3.785 20 0 CHADLO Clc1cccc([C@H]2CCCC[N@@H+]2Cc2cc[nH]n2)c1 ZINC000446851552 1124125147 /nfs/dbraw/zinc/12/51/47/1124125147.db2.gz JJKBAHSULVVZQG-OAHLLOKOSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1cccc([C@H]2CCCC[N@H+]2Cc2cc[nH]n2)c1 ZINC000446851552 1124125152 /nfs/dbraw/zinc/12/51/52/1124125152.db2.gz JJKBAHSULVVZQG-OAHLLOKOSA-N 1 2 275.783 3.790 20 0 CHADLO C[N@H+](CCOCC1CC1)Cc1c(Cl)cccc1Cl ZINC000525633280 1124135039 /nfs/dbraw/zinc/13/50/39/1124135039.db2.gz ZSPOJJKCHOEJGF-UHFFFAOYSA-N 1 2 288.218 3.852 20 0 CHADLO C[N@@H+](CCOCC1CC1)Cc1c(Cl)cccc1Cl ZINC000525633280 1124135040 /nfs/dbraw/zinc/13/50/40/1124135040.db2.gz ZSPOJJKCHOEJGF-UHFFFAOYSA-N 1 2 288.218 3.852 20 0 CHADLO Cc1ccc(F)cc1NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000635072448 1129171980 /nfs/dbraw/zinc/17/19/80/1129171980.db2.gz TXOFPVJBXFLACZ-OAHLLOKOSA-N 1 2 289.354 3.557 20 0 CHADLO FC(F)[C@@H]([NH2+]Cc1ccc2c[nH]nc2c1)C1CCCCC1 ZINC000621400980 1129172949 /nfs/dbraw/zinc/17/29/49/1129172949.db2.gz YFMUNQXARHJEED-HNNXBMFYSA-N 1 2 293.361 3.867 20 0 CHADLO CC[C@@H](NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1)c1ccccc1 ZINC000635224742 1129176474 /nfs/dbraw/zinc/17/64/74/1129176474.db2.gz CXRQINOTVDKWGO-IAGOWNOFSA-N 1 2 299.418 3.738 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2coc(C3CC3)n2)c(C)o1 ZINC001119248761 1131248271 /nfs/dbraw/zinc/24/82/71/1131248271.db2.gz PJJKULZHULRRLD-JTQLQIEISA-N 1 2 260.337 3.613 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cnc(OC(C)C)cc1C ZINC001238828698 1131250894 /nfs/dbraw/zinc/25/08/94/1131250894.db2.gz KVFSZWGEGJMWKT-UHFFFAOYSA-N 1 2 297.358 3.501 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NCC3(O)CCCCC3)cc2)c1C ZINC000819488015 1131251067 /nfs/dbraw/zinc/25/10/67/1131251067.db2.gz RRJOMPRSFFSOEG-UHFFFAOYSA-N 1 2 299.418 3.596 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccc(C3CC3)nc2)cc1F ZINC001238854480 1131258079 /nfs/dbraw/zinc/25/80/79/1131258079.db2.gz CRCQRJBTXCHLAL-UHFFFAOYSA-N 1 2 288.341 3.966 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2oc(C(C)C)nc2C)s1 ZINC000428472544 1124452615 /nfs/dbraw/zinc/45/26/15/1124452615.db2.gz IZAGZZOYTWJSEZ-UHFFFAOYSA-N 1 2 279.409 3.503 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2oc(C(C)C)nc2C)s1 ZINC000428472544 1124452619 /nfs/dbraw/zinc/45/26/19/1124452619.db2.gz IZAGZZOYTWJSEZ-UHFFFAOYSA-N 1 2 279.409 3.503 20 0 CHADLO Cc1cc([C@@H](C)NC[C@@H]2CCCC[N@@H+]2C2CC2)c(C)o1 ZINC000447604173 1124499465 /nfs/dbraw/zinc/49/94/65/1124499465.db2.gz ZBHWJUKFHQRNQH-CJNGLKHVSA-N 1 2 276.424 3.564 20 0 CHADLO Cc1cc([C@@H](C)NC[C@@H]2CCCC[N@H+]2C2CC2)c(C)o1 ZINC000447604173 1124499471 /nfs/dbraw/zinc/49/94/71/1124499471.db2.gz ZBHWJUKFHQRNQH-CJNGLKHVSA-N 1 2 276.424 3.564 20 0 CHADLO Cc1c(-c2cc(OC(C)C)cnc2F)ccc2[nH+]ccn21 ZINC001238907704 1131268997 /nfs/dbraw/zinc/26/89/97/1131268997.db2.gz SUTHJVOCTOLQBV-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO Cc1cc(NCc2cc(C3CC3)nn2C)[nH+]c2ccccc12 ZINC001120064328 1131271915 /nfs/dbraw/zinc/27/19/15/1131271915.db2.gz FFRPSWGDSZGSLP-UHFFFAOYSA-N 1 2 292.386 3.766 20 0 CHADLO COc1cc(C)[nH+]c(CSC[C@H]2CC[C@H](C3CC3)O2)c1 ZINC001120250662 1131272682 /nfs/dbraw/zinc/27/26/82/1131272682.db2.gz CZIIMXZIJNKXSI-GDBMZVCRSA-N 1 2 293.432 3.589 20 0 CHADLO Cc1cc(OCc2coc(C3CC3)n2)c2ccccc2[nH+]1 ZINC000871745308 1124634219 /nfs/dbraw/zinc/63/42/19/1124634219.db2.gz KIGSITCLZXOELJ-UHFFFAOYSA-N 1 2 280.327 3.988 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2cccc3ccoc32)o1 ZINC000449029047 1124635018 /nfs/dbraw/zinc/63/50/18/1124635018.db2.gz NNSWRHDTKTUWPP-MRXNPFEDSA-N 1 2 297.354 3.908 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2cccc3ccoc32)o1 ZINC000449029047 1124635019 /nfs/dbraw/zinc/63/50/19/1124635019.db2.gz NNSWRHDTKTUWPP-MRXNPFEDSA-N 1 2 297.354 3.908 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CCCC[C@@H]1c1nccs1 ZINC000528366737 1124649328 /nfs/dbraw/zinc/64/93/28/1124649328.db2.gz PLVZMFFEJAZSQR-IGLBNKAOSA-N 1 2 256.802 3.813 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CCCC[C@@H]1c1nccs1 ZINC000528366737 1124649329 /nfs/dbraw/zinc/64/93/29/1124649329.db2.gz PLVZMFFEJAZSQR-IGLBNKAOSA-N 1 2 256.802 3.813 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccnn2C2CCCC2)cs1 ZINC000449123321 1124651679 /nfs/dbraw/zinc/65/16/79/1124651679.db2.gz NVRUZFCZWAMUFX-NSHDSACASA-N 1 2 290.436 3.614 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CCC[C@H]1c1nc2ccccc2n1C ZINC000528422118 1124661532 /nfs/dbraw/zinc/66/15/32/1124661532.db2.gz JIHJKDVXVUYBPC-LMRWQKIVSA-N 1 2 289.810 3.853 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CCC[C@H]1c1nc2ccccc2n1C ZINC000528422118 1124661535 /nfs/dbraw/zinc/66/15/35/1124661535.db2.gz JIHJKDVXVUYBPC-LMRWQKIVSA-N 1 2 289.810 3.853 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+][C@@H](C)c1cccc(O)c1F)CO2 ZINC000872047709 1124665217 /nfs/dbraw/zinc/66/52/17/1124665217.db2.gz CZNOCDQKEBCEKM-FZMZJTMJSA-N 1 2 287.334 3.624 20 0 CHADLO Clc1cccnc1C[NH2+]C1(c2ccccc2)CC1 ZINC000528435806 1124666126 /nfs/dbraw/zinc/66/61/26/1124666126.db2.gz FJJRDGLGJRLKMS-UHFFFAOYSA-N 1 2 258.752 3.514 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449224001 1124674198 /nfs/dbraw/zinc/67/41/98/1124674198.db2.gz GSXQFPJQFWJHEU-AWEZNQCLSA-N 1 2 284.407 3.586 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449224001 1124674204 /nfs/dbraw/zinc/67/42/04/1124674204.db2.gz GSXQFPJQFWJHEU-AWEZNQCLSA-N 1 2 284.407 3.586 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1C/C=C(/C)Cl ZINC000528484157 1124677854 /nfs/dbraw/zinc/67/78/54/1124677854.db2.gz DVBZIQLHZOOBQQ-OTAKNEKHSA-N 1 2 267.804 3.573 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000528561635 1124689120 /nfs/dbraw/zinc/68/91/20/1124689120.db2.gz UOPJMSKKQWJZFP-ASJRZHAZSA-N 1 2 250.773 3.607 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000528561635 1124689124 /nfs/dbraw/zinc/68/91/24/1124689124.db2.gz UOPJMSKKQWJZFP-ASJRZHAZSA-N 1 2 250.773 3.607 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cnc(C3CCC3)s2)C1 ZINC000449350733 1124697131 /nfs/dbraw/zinc/69/71/31/1124697131.db2.gz MWJNNNYJJXOKEC-CQSZACIVSA-N 1 2 268.401 3.735 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cnc(C3CCC3)s2)C1 ZINC000449350733 1124697135 /nfs/dbraw/zinc/69/71/35/1124697135.db2.gz MWJNNNYJJXOKEC-CQSZACIVSA-N 1 2 268.401 3.735 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(C(F)(F)F)c1)C(F)F ZINC000449365146 1124700273 /nfs/dbraw/zinc/70/02/73/1124700273.db2.gz VAJNYJRNDIYDPQ-JTQLQIEISA-N 1 2 267.241 3.839 20 0 CHADLO CC(C)CCOc1ccc(C[NH2+]C(CF)CF)cc1 ZINC000449372519 1124703766 /nfs/dbraw/zinc/70/37/66/1124703766.db2.gz IRWYGHREODMMQL-UHFFFAOYSA-N 1 2 271.351 3.509 20 0 CHADLO Cc1cccc(C[N@@H+]2CC(C)(C)[C@@H]2c2nccn2C)c1C ZINC000449413905 1124722026 /nfs/dbraw/zinc/72/20/26/1124722026.db2.gz TVBUGIAOTVNSEG-INIZCTEOSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1cccc(C[N@H+]2CC(C)(C)[C@@H]2c2nccn2C)c1C ZINC000449413905 1124722030 /nfs/dbraw/zinc/72/20/30/1124722030.db2.gz TVBUGIAOTVNSEG-INIZCTEOSA-N 1 2 283.419 3.620 20 0 CHADLO C[C@]1(C(F)(F)F)CCN(c2[nH+]ccc3c(N)cccc32)C1 ZINC000872729144 1124727067 /nfs/dbraw/zinc/72/70/67/1124727067.db2.gz MGBHUMMQPFFDNM-AWEZNQCLSA-N 1 2 295.308 3.596 20 0 CHADLO Cn1ccnc1[C@H]1[N@H+](Cc2cccc3ccoc32)CC1(C)C ZINC000449412513 1124729897 /nfs/dbraw/zinc/72/98/97/1124729897.db2.gz FWKNENVMDBHXDT-MRXNPFEDSA-N 1 2 295.386 3.749 20 0 CHADLO Cn1ccnc1[C@H]1[N@@H+](Cc2cccc3ccoc32)CC1(C)C ZINC000449412513 1124729904 /nfs/dbraw/zinc/72/99/04/1124729904.db2.gz FWKNENVMDBHXDT-MRXNPFEDSA-N 1 2 295.386 3.749 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@H+](Cc1cn(C(C)C)nn1)C2 ZINC000449426792 1124733280 /nfs/dbraw/zinc/73/32/80/1124733280.db2.gz VODFPVWWGLKEIG-UHFFFAOYSA-N 1 2 298.434 3.504 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@@H+](Cc1cn(C(C)C)nn1)C2 ZINC000449426792 1124733295 /nfs/dbraw/zinc/73/32/95/1124733295.db2.gz VODFPVWWGLKEIG-UHFFFAOYSA-N 1 2 298.434 3.504 20 0 CHADLO C[N@H+](Cc1ccc(N2CCCC2)o1)Cc1ccccc1F ZINC001137067871 1124738933 /nfs/dbraw/zinc/73/89/33/1124738933.db2.gz LYOYZCXMLIQUGI-UHFFFAOYSA-N 1 2 288.366 3.651 20 0 CHADLO C[N@@H+](Cc1ccc(N2CCCC2)o1)Cc1ccccc1F ZINC001137067871 1124738942 /nfs/dbraw/zinc/73/89/42/1124738942.db2.gz LYOYZCXMLIQUGI-UHFFFAOYSA-N 1 2 288.366 3.651 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@H+](Cc1ccccn1)C2 ZINC000528718422 1124769703 /nfs/dbraw/zinc/76/97/03/1124769703.db2.gz HBXQWKMSBDQYGK-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@@H+](Cc1ccccn1)C2 ZINC000528718422 1124769708 /nfs/dbraw/zinc/76/97/08/1124769708.db2.gz HBXQWKMSBDQYGK-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO Cc1cc(F)cc(N2C[C@H](C(F)(F)F)CC[C@H]2C)[nH+]1 ZINC001120920943 1131286707 /nfs/dbraw/zinc/28/67/07/1131286707.db2.gz IXPZXDVLHCJHNM-NXEZZACHSA-N 1 2 276.277 3.696 20 0 CHADLO Cc1cc(F)cc(N2CCC[C@H](CC(F)(F)F)C2)[nH+]1 ZINC001120942296 1131287123 /nfs/dbraw/zinc/28/71/23/1131287123.db2.gz VFVGIFPSJZOXTK-SNVBAGLBSA-N 1 2 276.277 3.698 20 0 CHADLO Cc1cc(F)cc(N2CCC(c3ccco3)CC2)[nH+]1 ZINC001120945350 1131287512 /nfs/dbraw/zinc/28/75/12/1131287512.db2.gz PPUGYHWELPTURG-UHFFFAOYSA-N 1 2 260.312 3.506 20 0 CHADLO CC(C)C[C@H](C)OC(=O)Oc1ccc(-n2cc[nH+]c2)cc1 ZINC000820762643 1131301047 /nfs/dbraw/zinc/30/10/47/1131301047.db2.gz NSUDRTLHZACUQR-ZDUSSCGKSA-N 1 2 288.347 3.822 20 0 CHADLO CCOC(=O)[C@H]1CCC[C@@H]1Nc1cc2ccc(C)cc2c[nH+]1 ZINC001170954068 1131303547 /nfs/dbraw/zinc/30/35/47/1131303547.db2.gz MRYHMVDXASUHOG-HOTGVXAUSA-N 1 2 298.386 3.687 20 0 CHADLO CCCCOc1ccccc1C[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000449569007 1124806086 /nfs/dbraw/zinc/80/60/86/1124806086.db2.gz FLHZLXVSTQWZGA-ZBFHGGJFSA-N 1 2 299.418 3.858 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1Cl)c1ncc[nH]1 ZINC000138888851 1124813277 /nfs/dbraw/zinc/81/32/77/1124813277.db2.gz QFVVNTKLGOSPFW-IUCAKERBSA-N 1 2 267.735 3.614 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccc([C@H]3C[C@@H]3C)o2)o1 ZINC000449610838 1124815498 /nfs/dbraw/zinc/81/54/98/1124815498.db2.gz IAKVHSGEBJPWRU-FZMZJTMJSA-N 1 2 274.364 3.633 20 0 CHADLO CCOc1cccc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)n1 ZINC000449641587 1124830354 /nfs/dbraw/zinc/83/03/54/1124830354.db2.gz VHXLLYCWLOFEKQ-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO CCOc1cccc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)n1 ZINC000449641587 1124830358 /nfs/dbraw/zinc/83/03/58/1124830358.db2.gz VHXLLYCWLOFEKQ-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO c1coc([C@@H]2[N@H+](Cc3cccc4nccn43)CC23CCC3)c1 ZINC000449638999 1124831151 /nfs/dbraw/zinc/83/11/51/1124831151.db2.gz NHXUJRBJVYZOFO-KRWDZBQOSA-N 1 2 293.370 3.655 20 0 CHADLO c1coc([C@@H]2[N@@H+](Cc3cccc4nccn43)CC23CCC3)c1 ZINC000449638999 1124831161 /nfs/dbraw/zinc/83/11/61/1124831161.db2.gz NHXUJRBJVYZOFO-KRWDZBQOSA-N 1 2 293.370 3.655 20 0 CHADLO CCn1c[nH+]cc1COC(=O)[C@@H]1CCC[C@@H]1c1ccccc1 ZINC001123376440 1131313481 /nfs/dbraw/zinc/31/34/81/1131313481.db2.gz CYUUGPKPKZLXON-IAGOWNOFSA-N 1 2 298.386 3.530 20 0 CHADLO COc1ccc(C[NH2+]Cc2cnc(C3CC3)o2)c(Cl)c1 ZINC000449696386 1124842946 /nfs/dbraw/zinc/84/29/46/1124842946.db2.gz IEOSEOJFLATSDN-UHFFFAOYSA-N 1 2 292.766 3.504 20 0 CHADLO Cc1cc(F)ccc1[C@H]1CCC[N@@H+]1Cc1cscn1 ZINC000449729841 1124849003 /nfs/dbraw/zinc/84/90/03/1124849003.db2.gz BTQXZZCPIPQTFR-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cc(F)ccc1[C@H]1CCC[N@H+]1Cc1cscn1 ZINC000449729841 1124849011 /nfs/dbraw/zinc/84/90/11/1124849011.db2.gz BTQXZZCPIPQTFR-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO CC(C)Oc1ncc(Cl)cc1-c1cccc2[nH+]ccn21 ZINC001239319580 1131316295 /nfs/dbraw/zinc/31/62/95/1131316295.db2.gz UULDWQNIUVSXQN-UHFFFAOYSA-N 1 2 287.750 3.837 20 0 CHADLO COCCCNc1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450208756 1124945113 /nfs/dbraw/zinc/94/51/13/1124945113.db2.gz POKNYRGZUYWQDU-UHFFFAOYSA-N 1 2 284.281 3.702 20 0 CHADLO CCCCC[N@H+](Cc1cnns1)Cc1ccc(F)cc1 ZINC000450220529 1124950755 /nfs/dbraw/zinc/95/07/55/1124950755.db2.gz IVKCWKHASYEIMP-UHFFFAOYSA-N 1 2 293.411 3.870 20 0 CHADLO CCCCC[N@@H+](Cc1cnns1)Cc1ccc(F)cc1 ZINC000450220529 1124950761 /nfs/dbraw/zinc/95/07/61/1124950761.db2.gz IVKCWKHASYEIMP-UHFFFAOYSA-N 1 2 293.411 3.870 20 0 CHADLO Nc1ccc(Cl)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001159871171 1131321106 /nfs/dbraw/zinc/32/11/06/1131321106.db2.gz UTYYLBTVKQSQAG-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO Cc1ccc(C2=CC[N@H+](Cc3ccc(Cl)nn3)CC2)cc1 ZINC000876552818 1124958379 /nfs/dbraw/zinc/95/83/79/1124958379.db2.gz GAHGKCWHGLXWDS-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1ccc(C2=CC[N@@H+](Cc3ccc(Cl)nn3)CC2)cc1 ZINC000876552818 1124958382 /nfs/dbraw/zinc/95/83/82/1124958382.db2.gz GAHGKCWHGLXWDS-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nc(Cl)cs1 ZINC000876548224 1124958552 /nfs/dbraw/zinc/95/85/52/1124958552.db2.gz YFSLBVXLZLHRFW-UHFFFAOYSA-N 1 2 272.826 3.880 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nc(Cl)cs1 ZINC000876548224 1124958556 /nfs/dbraw/zinc/95/85/56/1124958556.db2.gz YFSLBVXLZLHRFW-UHFFFAOYSA-N 1 2 272.826 3.880 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(Cl)cs2)cc1 ZINC000876535948 1124959488 /nfs/dbraw/zinc/95/94/88/1124959488.db2.gz MBNKLFBQTKCUGP-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(Cl)cs2)cc1 ZINC000876535948 1124959493 /nfs/dbraw/zinc/95/94/93/1124959493.db2.gz MBNKLFBQTKCUGP-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CCCO[C@@H]1CCC[N@H+](Cc2nc(Cl)cs2)CC1 ZINC000876721083 1124973809 /nfs/dbraw/zinc/97/38/09/1124973809.db2.gz QWIRFBJZRGXRRD-LLVKDONJSA-N 1 2 288.844 3.578 20 0 CHADLO CCCO[C@@H]1CCC[N@@H+](Cc2nc(Cl)cs2)CC1 ZINC000876721083 1124973812 /nfs/dbraw/zinc/97/38/12/1124973812.db2.gz QWIRFBJZRGXRRD-LLVKDONJSA-N 1 2 288.844 3.578 20 0 CHADLO Cc1cc(N[C@@H](C)[C@H]2CC2(F)F)ccc1[NH+](C)C ZINC000876844938 1124985466 /nfs/dbraw/zinc/98/54/66/1124985466.db2.gz LYRLTLSEVAQSRK-CMPLNLGQSA-N 1 2 254.324 3.517 20 0 CHADLO CN(C)c1cc(-c2cccc(C(=O)C(F)(F)F)c2)cc[nH+]1 ZINC001239386481 1131323338 /nfs/dbraw/zinc/32/33/38/1131323338.db2.gz RQAQLPMIFTZGFW-UHFFFAOYSA-N 1 2 294.276 3.560 20 0 CHADLO Cc1ccccc1C1CC[NH+]([C@@H](C)c2csnn2)CC1 ZINC000450386601 1125007526 /nfs/dbraw/zinc/00/75/26/1125007526.db2.gz HTEAYEZUWNHYIT-ZDUSSCGKSA-N 1 2 287.432 3.787 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2[C@@H](C)c2csnn2)s1 ZINC000450409460 1125009869 /nfs/dbraw/zinc/00/98/69/1125009869.db2.gz LMMOWEYZKYKJTO-JQWIXIFHSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2[C@@H](C)c2csnn2)s1 ZINC000450409460 1125009877 /nfs/dbraw/zinc/00/98/77/1125009877.db2.gz LMMOWEYZKYKJTO-JQWIXIFHSA-N 1 2 279.434 3.806 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(Cl)cs1)c1c(C)noc1C ZINC000877120137 1125011404 /nfs/dbraw/zinc/01/14/04/1125011404.db2.gz OFTSKSMDFYFICA-VIFPVBQESA-N 1 2 285.800 3.642 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2C[C@H]2CCCCC2(F)F)o1 ZINC000450420641 1125021225 /nfs/dbraw/zinc/02/12/25/1125021225.db2.gz QNULLQKJDLKABP-ZIAGYGMSSA-N 1 2 299.361 3.787 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2C[C@H]2CCCCC2(F)F)o1 ZINC000450420641 1125021234 /nfs/dbraw/zinc/02/12/34/1125021234.db2.gz QNULLQKJDLKABP-ZIAGYGMSSA-N 1 2 299.361 3.787 20 0 CHADLO C[C@H](CNc1[nH+]cnc2[nH]c3ccccc3c21)C(F)(F)F ZINC000450452807 1125031743 /nfs/dbraw/zinc/03/17/43/1125031743.db2.gz IGPDHURNIPUALP-MRVPVSSYSA-N 1 2 294.280 3.721 20 0 CHADLO C[C@@H](CCO)CCNc1cc[nH+]c2c(Cl)cccc12 ZINC000450562682 1125056776 /nfs/dbraw/zinc/05/67/76/1125056776.db2.gz KIUHGKZWJJTIGF-LLVKDONJSA-N 1 2 278.783 3.709 20 0 CHADLO Clc1cccc2c(NCC3=CCOCC3)cc[nH+]c12 ZINC000450627477 1125070786 /nfs/dbraw/zinc/07/07/86/1125070786.db2.gz UHCVQQSTXLWRQF-UHFFFAOYSA-N 1 2 274.751 3.647 20 0 CHADLO C[C@@H](c1ccccc1)[C@H](O)C[N@H+](CC(F)F)CC1CCC1 ZINC000450638908 1125076375 /nfs/dbraw/zinc/07/63/75/1125076375.db2.gz USUKQLJXJSPIED-XJKSGUPXSA-N 1 2 297.389 3.518 20 0 CHADLO C[C@@H](c1ccccc1)[C@H](O)C[N@@H+](CC(F)F)CC1CCC1 ZINC000450638908 1125076383 /nfs/dbraw/zinc/07/63/83/1125076383.db2.gz USUKQLJXJSPIED-XJKSGUPXSA-N 1 2 297.389 3.518 20 0 CHADLO C[C@@H](CCCCO)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000450651755 1125079207 /nfs/dbraw/zinc/07/92/07/1125079207.db2.gz PRYSIAHXRNNZGM-NSHDSACASA-N 1 2 278.783 3.851 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2csnn2)cc(C)c1F ZINC000450683538 1125085553 /nfs/dbraw/zinc/08/55/53/1125085553.db2.gz SNAFQBVPQMFZSA-WDEREUQCSA-N 1 2 279.384 3.706 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2Cc3ccccc3OC[C@@H]2C)c1 ZINC000450721822 1125090556 /nfs/dbraw/zinc/09/05/56/1125090556.db2.gz YEBKSWBMMLMQEY-AWEZNQCLSA-N 1 2 295.382 3.672 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2Cc3ccccc3OC[C@@H]2C)c1 ZINC000450721822 1125090562 /nfs/dbraw/zinc/09/05/62/1125090562.db2.gz YEBKSWBMMLMQEY-AWEZNQCLSA-N 1 2 295.382 3.672 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1nocc1C ZINC000877893936 1125096096 /nfs/dbraw/zinc/09/60/96/1125096096.db2.gz VWZYDSKXJYIZGA-UHFFFAOYSA-N 1 2 284.359 3.924 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1nocc1C ZINC000877893936 1125096104 /nfs/dbraw/zinc/09/61/04/1125096104.db2.gz VWZYDSKXJYIZGA-UHFFFAOYSA-N 1 2 284.359 3.924 20 0 CHADLO Cc1conc1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000877917991 1125101207 /nfs/dbraw/zinc/10/12/07/1125101207.db2.gz LLDFCRRQDAATMX-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1conc1C[N@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000877917991 1125101209 /nfs/dbraw/zinc/10/12/09/1125101209.db2.gz LLDFCRRQDAATMX-OAHLLOKOSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1conc1C[N@@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000877917990 1125101614 /nfs/dbraw/zinc/10/16/14/1125101614.db2.gz LLDFCRRQDAATMX-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1conc1C[N@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000877917990 1125101616 /nfs/dbraw/zinc/10/16/16/1125101616.db2.gz LLDFCRRQDAATMX-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO CC[C@H]1CCC[N@@H+]1Cc1nc(C)c(Br)s1 ZINC000878046239 1125115326 /nfs/dbraw/zinc/11/53/26/1125115326.db2.gz UJAGSSJZTHMOPI-VIFPVBQESA-N 1 2 289.242 3.588 20 0 CHADLO CC[C@H]1CCC[N@H+]1Cc1nc(C)c(Br)s1 ZINC000878046239 1125115328 /nfs/dbraw/zinc/11/53/28/1125115328.db2.gz UJAGSSJZTHMOPI-VIFPVBQESA-N 1 2 289.242 3.588 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@@H+]1Cc1nocc1C ZINC000878079769 1125117496 /nfs/dbraw/zinc/11/74/96/1125117496.db2.gz MARJQYLDCPWQIE-INIZCTEOSA-N 1 2 286.375 3.719 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@H+]1Cc1nocc1C ZINC000878079769 1125117500 /nfs/dbraw/zinc/11/75/00/1125117500.db2.gz MARJQYLDCPWQIE-INIZCTEOSA-N 1 2 286.375 3.719 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2c2ccccn2)nc1Cl ZINC000878174760 1125127697 /nfs/dbraw/zinc/12/76/97/1125127697.db2.gz HDRIEIDAQVCYLQ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2c2ccccn2)nc1Cl ZINC000878174760 1125127700 /nfs/dbraw/zinc/12/77/00/1125127700.db2.gz HDRIEIDAQVCYLQ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO CC[N@H+](Cc1cc(C(F)(F)F)co1)Cc1ccccn1 ZINC000878185661 1125128806 /nfs/dbraw/zinc/12/88/06/1125128806.db2.gz BYYXYWOHSJZQHN-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO CC[N@@H+](Cc1cc(C(F)(F)F)co1)Cc1ccccn1 ZINC000878185661 1125128809 /nfs/dbraw/zinc/12/88/09/1125128809.db2.gz BYYXYWOHSJZQHN-UHFFFAOYSA-N 1 2 284.281 3.716 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2scnc2Cl)n1 ZINC000878198397 1125130360 /nfs/dbraw/zinc/13/03/60/1125130360.db2.gz NJKUMYJGAZZXFL-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2scnc2Cl)n1 ZINC000878198397 1125130366 /nfs/dbraw/zinc/13/03/66/1125130366.db2.gz NJKUMYJGAZZXFL-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000121505538 1125131471 /nfs/dbraw/zinc/13/14/71/1125131471.db2.gz RYILBLYZENADCP-LRDDRELGSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000121505538 1125131482 /nfs/dbraw/zinc/13/14/82/1125131482.db2.gz RYILBLYZENADCP-LRDDRELGSA-N 1 2 275.323 3.553 20 0 CHADLO COC(=O)[C@@H]([NH3+])c1ccc(-c2cccc3ccccc32)cc1 ZINC001239472006 1131333764 /nfs/dbraw/zinc/33/37/64/1131333764.db2.gz VDBLOWNIYGMCRV-SFHVURJKSA-N 1 2 291.350 3.680 20 0 CHADLO CC[C@](C)([NH2+]Cc1scnc1Cl)c1nccs1 ZINC000878253436 1125135898 /nfs/dbraw/zinc/13/58/98/1125135898.db2.gz CMVLTNOFSUIHTQ-NSHDSACASA-N 1 2 287.841 3.668 20 0 CHADLO C[N@H+](Cc1cc[nH]n1)Cc1ccc(Oc2ccccc2)cc1 ZINC001137129755 1125137501 /nfs/dbraw/zinc/13/75/01/1125137501.db2.gz CCRSVDSXGZJCNY-UHFFFAOYSA-N 1 2 293.370 3.834 20 0 CHADLO C[N@@H+](Cc1cc[nH]n1)Cc1ccc(Oc2ccccc2)cc1 ZINC001137129755 1125137505 /nfs/dbraw/zinc/13/75/05/1125137505.db2.gz CCRSVDSXGZJCNY-UHFFFAOYSA-N 1 2 293.370 3.834 20 0 CHADLO CC1(C)CCc2onc(C[NH2+]C3(c4ccccc4)CC3)c2C1 ZINC000878360240 1125144869 /nfs/dbraw/zinc/14/48/69/1125144869.db2.gz ZUNOIRUMSBRACU-UHFFFAOYSA-N 1 2 296.414 3.968 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1scnc1Cl ZINC000878535418 1125160045 /nfs/dbraw/zinc/16/00/45/1125160045.db2.gz YVVFKCSICFVJBQ-RQJHMYQMSA-N 1 2 284.734 3.569 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1scnc1Cl ZINC000878535418 1125160050 /nfs/dbraw/zinc/16/00/50/1125160050.db2.gz YVVFKCSICFVJBQ-RQJHMYQMSA-N 1 2 284.734 3.569 20 0 CHADLO Clc1ncsc1C[N@@H+]1CCC=C(c2ccco2)C1 ZINC000878598446 1125163690 /nfs/dbraw/zinc/16/36/90/1125163690.db2.gz HPEALWWLIWQDNY-UHFFFAOYSA-N 1 2 280.780 3.679 20 0 CHADLO Clc1ncsc1C[N@H+]1CCC=C(c2ccco2)C1 ZINC000878598446 1125163693 /nfs/dbraw/zinc/16/36/93/1125163693.db2.gz HPEALWWLIWQDNY-UHFFFAOYSA-N 1 2 280.780 3.679 20 0 CHADLO C[N@H+](Cc1coc(-c2ccccc2)n1)Cc1cccc(O)c1 ZINC000530662566 1125172258 /nfs/dbraw/zinc/17/22/58/1125172258.db2.gz WFZCDOZJHGWEPY-UHFFFAOYSA-N 1 2 294.354 3.679 20 0 CHADLO C[N@@H+](Cc1coc(-c2ccccc2)n1)Cc1cccc(O)c1 ZINC000530662566 1125172261 /nfs/dbraw/zinc/17/22/61/1125172261.db2.gz WFZCDOZJHGWEPY-UHFFFAOYSA-N 1 2 294.354 3.679 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC000878979465 1125188371 /nfs/dbraw/zinc/18/83/71/1125188371.db2.gz YCLSBGYMHUKQBR-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC000878979465 1125188373 /nfs/dbraw/zinc/18/83/73/1125188373.db2.gz YCLSBGYMHUKQBR-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO C[C@H]1C[NH+](Cc2cc(F)c(F)cc2F)C[C@H](C)C1(F)F ZINC000628390728 1125198393 /nfs/dbraw/zinc/19/83/93/1125198393.db2.gz NMFWMFWRFNZMAW-IUCAKERBSA-N 1 2 293.279 3.827 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H]2c2ccccn2)cs1 ZINC000531439778 1125219000 /nfs/dbraw/zinc/21/90/00/1125219000.db2.gz KHLWQFVVHVFOSI-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H]2c2ccccn2)cs1 ZINC000531439778 1125219003 /nfs/dbraw/zinc/21/90/03/1125219003.db2.gz KHLWQFVVHVFOSI-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO CCc1cc(C[NH2+][C@@H](C)c2ccc3ccccc3n2)on1 ZINC000531722488 1125228195 /nfs/dbraw/zinc/22/81/95/1125228195.db2.gz LWKYUNODNCBCQD-LBPRGKRZSA-N 1 2 281.359 3.636 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)cn2)c1 ZINC000879749555 1125230352 /nfs/dbraw/zinc/23/03/52/1125230352.db2.gz KCSSWAHOCZWOCM-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2ccc(Cl)cn2)c1 ZINC000879749555 1125230357 /nfs/dbraw/zinc/23/03/57/1125230357.db2.gz KCSSWAHOCZWOCM-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1c(Cl)ccc2c1C[N@H+]([C@@H](C)c1cnccn1)CC2 ZINC000879769957 1125232930 /nfs/dbraw/zinc/23/29/30/1125232930.db2.gz XZBFOLZCSQMOKR-LBPRGKRZSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1c(Cl)ccc2c1C[N@@H+]([C@@H](C)c1cnccn1)CC2 ZINC000879769957 1125232931 /nfs/dbraw/zinc/23/29/31/1125232931.db2.gz XZBFOLZCSQMOKR-LBPRGKRZSA-N 1 2 287.794 3.558 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC[C@@](C)(C(F)(F)F)C2)o1 ZINC000880002500 1125242883 /nfs/dbraw/zinc/24/28/83/1125242883.db2.gz ZEERATXBTOJBQC-GFCCVEGCSA-N 1 2 276.302 3.572 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC[C@@](C)(C(F)(F)F)C2)o1 ZINC000880002500 1125242887 /nfs/dbraw/zinc/24/28/87/1125242887.db2.gz ZEERATXBTOJBQC-GFCCVEGCSA-N 1 2 276.302 3.572 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@H+](C/C(Cl)=C\Cl)C1 ZINC000880002794 1125243318 /nfs/dbraw/zinc/24/33/18/1125243318.db2.gz QOGMBOSOSPAQNG-RXLGXGPVSA-N 1 2 262.102 3.580 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@@H+](C/C(Cl)=C\Cl)C1 ZINC000880002794 1125243320 /nfs/dbraw/zinc/24/33/20/1125243320.db2.gz QOGMBOSOSPAQNG-RXLGXGPVSA-N 1 2 262.102 3.580 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCCC(F)(F)C1 ZINC000532276305 1125246944 /nfs/dbraw/zinc/24/69/44/1125246944.db2.gz WOFLVMFZHAGPAF-CYBMUJFWSA-N 1 2 276.330 3.955 20 0 CHADLO Cc1cccc2c(C[N@H+]3CCC[C@@H]3c3nccs3)[nH]nc21 ZINC001137149498 1125248096 /nfs/dbraw/zinc/24/80/96/1125248096.db2.gz WEVPEEIMTCEAQM-CQSZACIVSA-N 1 2 298.415 3.665 20 0 CHADLO Cc1cccc2c(C[N@@H+]3CCC[C@@H]3c3nccs3)[nH]nc21 ZINC001137149498 1125248092 /nfs/dbraw/zinc/24/80/92/1125248092.db2.gz WEVPEEIMTCEAQM-CQSZACIVSA-N 1 2 298.415 3.665 20 0 CHADLO C[C@@H]1OCC[C@@H]1C[NH2+][C@H](c1cccc(Cl)c1)C(F)F ZINC000880535036 1125277979 /nfs/dbraw/zinc/27/79/79/1125277979.db2.gz XXUDMLBCQWXCGS-UFGOTCBOSA-N 1 2 289.753 3.661 20 0 CHADLO OCCCCCC[NH2+][C@H](c1cccc(Cl)c1)C(F)F ZINC000880536274 1125279326 /nfs/dbraw/zinc/27/93/26/1125279326.db2.gz HERHXVZIJSQCJK-CYBMUJFWSA-N 1 2 291.769 3.789 20 0 CHADLO c1cn(-c2ccc(CSc3ccccn3)cc2)c[nH+]1 ZINC000191486136 1125325376 /nfs/dbraw/zinc/32/53/76/1125325376.db2.gz IPNJSWZIXQRJKA-UHFFFAOYSA-N 1 2 267.357 3.560 20 0 CHADLO Cc1cc(C[N@H+]2CCc3c(cccc3C(C)C)C2)no1 ZINC000191892297 1125328311 /nfs/dbraw/zinc/32/83/11/1125328311.db2.gz YYVUGYCNOAUIEF-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3c(cccc3C(C)C)C2)no1 ZINC000191892297 1125328315 /nfs/dbraw/zinc/32/83/15/1125328315.db2.gz YYVUGYCNOAUIEF-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2ncccn2)o1 ZINC000192050457 1125330566 /nfs/dbraw/zinc/33/05/66/1125330566.db2.gz WODDNWCWXQXIES-UKRRQHHQSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2ncccn2)o1 ZINC000192050457 1125330571 /nfs/dbraw/zinc/33/05/71/1125330571.db2.gz WODDNWCWXQXIES-UKRRQHHQSA-N 1 2 285.391 3.605 20 0 CHADLO COc1ccc(Cl)c(C[NH2+][C@H](C)c2ncc(C)s2)c1 ZINC000192184402 1125332107 /nfs/dbraw/zinc/33/21/07/1125332107.db2.gz XOIZSKOJVUSRBK-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1ncc(C[N@@H+]2CC(C)(C)[C@H]2c2ccco2)s1 ZINC000639926574 1125335632 /nfs/dbraw/zinc/33/56/32/1125335632.db2.gz OFZXMXTZMSQPGZ-CYBMUJFWSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1ncc(C[N@H+]2CC(C)(C)[C@H]2c2ccco2)s1 ZINC000639926574 1125335635 /nfs/dbraw/zinc/33/56/35/1125335635.db2.gz OFZXMXTZMSQPGZ-CYBMUJFWSA-N 1 2 262.378 3.628 20 0 CHADLO Cc1cc(C)c([C@@H](C)[NH2+][C@@H](C)c2csnn2)c(C)c1 ZINC000398254988 1125338022 /nfs/dbraw/zinc/33/80/22/1125338022.db2.gz YTOJLCUSSGHKSC-QWHCGFSZSA-N 1 2 275.421 3.875 20 0 CHADLO CCOc1cccc(Cl)c1C[NH2+][C@H](C)c1ccccn1 ZINC000653612559 1125361692 /nfs/dbraw/zinc/36/16/92/1125361692.db2.gz WIQDBMNJABULAB-GFCCVEGCSA-N 1 2 290.794 3.985 20 0 CHADLO c1ccc(N[C@H]2CC3(CCOCC3)Oc3ccccc32)[nH+]c1 ZINC000882999514 1125367383 /nfs/dbraw/zinc/36/73/83/1125367383.db2.gz OHCJTIZENWJJLN-HNNXBMFYSA-N 1 2 296.370 3.567 20 0 CHADLO C[C@@H]1[N@H+](Cc2cccc(C(F)(F)F)c2)CCOC1(C)C ZINC000532422970 1125379460 /nfs/dbraw/zinc/37/94/60/1125379460.db2.gz ZXODWRNXPVGVML-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO C[C@@H]1[N@@H+](Cc2cccc(C(F)(F)F)c2)CCOC1(C)C ZINC000532422970 1125379461 /nfs/dbraw/zinc/37/94/61/1125379461.db2.gz ZXODWRNXPVGVML-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@H]1CCCC2(CC2)C1 ZINC000666595900 1125385347 /nfs/dbraw/zinc/38/53/47/1125385347.db2.gz JKFZVDMONANAPE-AWEZNQCLSA-N 1 2 295.386 3.781 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(Cl)cc2OC(C)C)on1 ZINC000883258935 1125386775 /nfs/dbraw/zinc/38/67/75/1125386775.db2.gz VPFWUVHHVMNMFJ-UHFFFAOYSA-N 1 2 294.782 3.713 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000626164625 1125389415 /nfs/dbraw/zinc/38/94/15/1125389415.db2.gz MDWMWJUDVHUVSZ-YWVDXFKGSA-N 1 2 275.396 3.515 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000626164625 1125389419 /nfs/dbraw/zinc/38/94/19/1125389419.db2.gz MDWMWJUDVHUVSZ-YWVDXFKGSA-N 1 2 275.396 3.515 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+][C@H]1C[C@H](C)n2ccnc21 ZINC000883267046 1125389509 /nfs/dbraw/zinc/38/95/09/1125389509.db2.gz RIGYDTKSHVNXCF-LRDDRELGSA-N 1 2 297.402 3.565 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccc(C)nc2Cl)c(C)s1 ZINC000883301702 1125392089 /nfs/dbraw/zinc/39/20/89/1125392089.db2.gz HAIVXLCLHCCHTA-VIFPVBQESA-N 1 2 295.839 3.968 20 0 CHADLO CC[C@H]([NH2+]C1(c2ncccn2)CCC1)c1ccsc1 ZINC000883311868 1125393417 /nfs/dbraw/zinc/39/34/17/1125393417.db2.gz NEYIEOWIOQWWDI-ZDUSSCGKSA-N 1 2 273.405 3.658 20 0 CHADLO CC[C@@H]([NH2+]C1(c2ncccn2)CCC1)c1ccsc1 ZINC000883311867 1125393680 /nfs/dbraw/zinc/39/36/80/1125393680.db2.gz NEYIEOWIOQWWDI-CYBMUJFWSA-N 1 2 273.405 3.658 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+]C2(c3ncccn3)CCC2)c1 ZINC000883314245 1125394487 /nfs/dbraw/zinc/39/44/87/1125394487.db2.gz YVJLOOIIAVMJKM-AWEZNQCLSA-N 1 2 297.402 3.605 20 0 CHADLO Clc1ccc2c(c1)CC[C@@H]2[NH2+]C1(c2ncccn2)CCC1 ZINC000883314265 1125394517 /nfs/dbraw/zinc/39/45/17/1125394517.db2.gz ZQGWVYBTQDXILW-HNNXBMFYSA-N 1 2 299.805 3.786 20 0 CHADLO Cc1cc(N[C@H](c2cncc(F)c2)C2CC2)c[nH+]c1C ZINC000883342773 1125398294 /nfs/dbraw/zinc/39/82/94/1125398294.db2.gz LVDUUTALZMNENU-INIZCTEOSA-N 1 2 271.339 3.796 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(O)c2F)c[nH+]c1C ZINC000883342663 1125398439 /nfs/dbraw/zinc/39/84/39/1125398439.db2.gz HJIXJYMFICECLR-NSHDSACASA-N 1 2 260.312 3.716 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@@H]1F)c1ccccn1 ZINC000883376085 1125404233 /nfs/dbraw/zinc/40/42/33/1125404233.db2.gz DYXUBGNILLFGLK-YLQAJVPDSA-N 1 2 270.351 3.758 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@@H]1F)c1cncc(F)c1 ZINC000883377078 1125404744 /nfs/dbraw/zinc/40/47/44/1125404744.db2.gz YWLXXLQRCGSNJH-GOPGUHFVSA-N 1 2 288.341 3.897 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@H]1F)c1cncc(F)c1 ZINC000883377081 1125404758 /nfs/dbraw/zinc/40/47/58/1125404758.db2.gz YWLXXLQRCGSNJH-YMRXKLBXSA-N 1 2 288.341 3.897 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cccc(F)c1N ZINC000883378104 1125404792 /nfs/dbraw/zinc/40/47/92/1125404792.db2.gz ZAKNTRPUQRNXCC-UHFFFAOYSA-N 1 2 291.395 3.668 20 0 CHADLO Cc1occc1C[NH2+][C@H]1c2ccccc2CC[C@H]1F ZINC000883380796 1125406196 /nfs/dbraw/zinc/40/61/96/1125406196.db2.gz ATPNDZHDVMOAAX-CVEARBPZSA-N 1 2 259.324 3.703 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2c3ccccc3CC[C@H]2F)nc1 ZINC000883382312 1125406908 /nfs/dbraw/zinc/40/69/08/1125406908.db2.gz DOMNGODUBDZIMC-SJORKVTESA-N 1 2 270.351 3.505 20 0 CHADLO F[C@H]1CCc2ccccc2[C@H]1[NH2+]Cc1cccc2c1OCO2 ZINC000883383869 1125407840 /nfs/dbraw/zinc/40/78/40/1125407840.db2.gz ICUIWIQEZMMGPH-DOTOQJQBSA-N 1 2 299.345 3.531 20 0 CHADLO Cc1ccc2c(Nc3ccc(Cl)nn3)cccc2[nH+]1 ZINC001212794072 1125411381 /nfs/dbraw/zinc/41/13/81/1125411381.db2.gz HVBXLGNVPMVOJM-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC(C)(C)Oc2ccccc21)c1ncco1 ZINC000925273630 1125416613 /nfs/dbraw/zinc/41/66/13/1125416613.db2.gz GLVIQSPSJQOAIO-WCQYABFASA-N 1 2 272.348 3.628 20 0 CHADLO Cc1ncc(Nc2cccc3[nH+]c(C)ccc23)c(C)n1 ZINC001212795992 1125418602 /nfs/dbraw/zinc/41/86/02/1125418602.db2.gz MLTVTCPRKSTEPB-UHFFFAOYSA-N 1 2 264.332 3.694 20 0 CHADLO F[C@H]1C[C@H](CNc2cc[nH+]c(OCc3ccccc3)c2)C1 ZINC001168237252 1125424073 /nfs/dbraw/zinc/42/40/73/1125424073.db2.gz NIBDEEVGJWCLIV-SHTZXODSSA-N 1 2 286.350 3.821 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ccccc1Br ZINC000042375729 1125441697 /nfs/dbraw/zinc/44/16/97/1125441697.db2.gz SNWUHIAXIANAGY-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ccccc1Br ZINC000042375729 1125441700 /nfs/dbraw/zinc/44/17/00/1125441700.db2.gz SNWUHIAXIANAGY-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO Cc1ccc2c(Nc3cc(F)ccc3C(N)=O)cccc2[nH+]1 ZINC001212797741 1125443492 /nfs/dbraw/zinc/44/34/92/1125443492.db2.gz HNFZUONZNPPUOY-UHFFFAOYSA-N 1 2 295.317 3.525 20 0 CHADLO CCCC[N@H+](Cc1nnc(CC)o1)[C@H](C)c1ccc(C)o1 ZINC000621739140 1129189368 /nfs/dbraw/zinc/18/93/68/1129189368.db2.gz MJCBTSXKOWSTLP-CYBMUJFWSA-N 1 2 291.395 3.897 20 0 CHADLO CCCC[N@@H+](Cc1nnc(CC)o1)[C@H](C)c1ccc(C)o1 ZINC000621739140 1129189369 /nfs/dbraw/zinc/18/93/69/1129189369.db2.gz MJCBTSXKOWSTLP-CYBMUJFWSA-N 1 2 291.395 3.897 20 0 CHADLO CC(C)C[C@@H](C(=O)N(CC1CCC1)C(C)C)n1cc[nH+]c1 ZINC000635861190 1129193010 /nfs/dbraw/zinc/19/30/10/1129193010.db2.gz CNMUCLAWAYAGNU-INIZCTEOSA-N 1 2 291.439 3.507 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000293866920 1129212556 /nfs/dbraw/zinc/21/25/56/1129212556.db2.gz DRKXMDVZXROZLD-BFVZDQMLSA-N 1 2 277.318 3.518 20 0 CHADLO CCO[C@@H]1C[C@@H]([N@H+](C)C/C(Cl)=C/Cl)C12CCC2 ZINC000763704864 1129213511 /nfs/dbraw/zinc/21/35/11/1129213511.db2.gz DDANJWAMTKXYNX-NRCZCXPTSA-N 1 2 278.223 3.585 20 0 CHADLO CCO[C@@H]1C[C@@H]([N@@H+](C)C/C(Cl)=C/Cl)C12CCC2 ZINC000763704864 1129213514 /nfs/dbraw/zinc/21/35/14/1129213514.db2.gz DDANJWAMTKXYNX-NRCZCXPTSA-N 1 2 278.223 3.585 20 0 CHADLO CC(C)Oc1cc(-c2[nH+]ccc3[nH]ccc32)c(F)cn1 ZINC001206604040 1129214699 /nfs/dbraw/zinc/21/46/99/1129214699.db2.gz HWLPHKQQFHKKPP-UHFFFAOYSA-N 1 2 271.295 3.551 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc3ccccc3n2)cs1 ZINC000336904827 1129239832 /nfs/dbraw/zinc/23/98/32/1129239832.db2.gz WLFLGCRATYTWFB-UHFFFAOYSA-N 1 2 283.400 3.544 20 0 CHADLO CC(C)[N@H+]([C@@H](c1nc[nH]n1)C1CCCCC1)C1CCC1 ZINC000638110369 1129243920 /nfs/dbraw/zinc/24/39/20/1129243920.db2.gz KZNIDSYZNJHDLD-OAHLLOKOSA-N 1 2 276.428 3.689 20 0 CHADLO CC(C)[N@@H+]([C@@H](c1nc[nH]n1)C1CCCCC1)C1CCC1 ZINC000638110369 1129243923 /nfs/dbraw/zinc/24/39/23/1129243923.db2.gz KZNIDSYZNJHDLD-OAHLLOKOSA-N 1 2 276.428 3.689 20 0 CHADLO COc1ncc(C[N@H+](C)Cc2cc3ccccc3o2)s1 ZINC000638123704 1129244507 /nfs/dbraw/zinc/24/45/07/1129244507.db2.gz XIJPJGDBOXKQJR-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO COc1ncc(C[N@@H+](C)Cc2cc3ccccc3o2)s1 ZINC000638123704 1129244509 /nfs/dbraw/zinc/24/45/09/1129244509.db2.gz XIJPJGDBOXKQJR-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO C[C@H]([NH2+][C@H](c1nnc[nH]1)C1CCCCC1)c1ccccc1 ZINC000638291970 1129252652 /nfs/dbraw/zinc/25/26/52/1129252652.db2.gz CTNCGRPNDLUAMX-BBRMVZONSA-N 1 2 284.407 3.777 20 0 CHADLO CC1([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CCCCC1 ZINC000638305553 1129253823 /nfs/dbraw/zinc/25/38/23/1129253823.db2.gz BGATUKCXTREFQA-CQSZACIVSA-N 1 2 276.428 3.739 20 0 CHADLO Cc1cn(-c2ccc3[nH]c(C)[nH+]c3c2)c2cc(N)ccc12 ZINC001213076467 1129255593 /nfs/dbraw/zinc/25/55/93/1129255593.db2.gz SQLSHOKGWMRTII-UHFFFAOYSA-N 1 2 276.343 3.706 20 0 CHADLO Cc1cnc(C[NH2+]Cc2cccc(COC(C)(C)C)c2)o1 ZINC000638481457 1129260177 /nfs/dbraw/zinc/26/01/77/1129260177.db2.gz IRPMOONPZVKQBT-UHFFFAOYSA-N 1 2 288.391 3.588 20 0 CHADLO CCCC(C)(C)[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000638525541 1129261321 /nfs/dbraw/zinc/26/13/21/1129261321.db2.gz RMAXLXGZZDFCAR-CYBMUJFWSA-N 1 2 264.417 3.594 20 0 CHADLO COc1cccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001239522225 1131361708 /nfs/dbraw/zinc/36/17/08/1131361708.db2.gz WYDCMASNUUDSSQ-UHFFFAOYSA-N 1 2 250.301 3.752 20 0 CHADLO Cc1cc(C)cc(-c2ccc(Cn3cc[nH+]c3)cn2)c1 ZINC001239522926 1131361951 /nfs/dbraw/zinc/36/19/51/1131361951.db2.gz IPGDTHTXYCELHQ-UHFFFAOYSA-N 1 2 263.344 3.610 20 0 CHADLO CC1(C)CCC[C@@H]1[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000638720694 1129267512 /nfs/dbraw/zinc/26/75/12/1129267512.db2.gz HQYORQKUMDPQPS-KBPBESRZSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3cc(C)cc(C)c3)nn2)c1C ZINC001239523756 1131362729 /nfs/dbraw/zinc/36/27/29/1131362729.db2.gz UYAZJNNZFGAYGK-UHFFFAOYSA-N 1 2 278.359 3.563 20 0 CHADLO O=C(/C=C\c1ccccc1Cl)Nc1ccc2[nH+]ccn2c1 ZINC000733968032 1129289949 /nfs/dbraw/zinc/28/99/49/1129289949.db2.gz OCPMTKFZSBEMDC-YVMONPNESA-N 1 2 297.745 3.640 20 0 CHADLO Cc1ncc([C@H](C)[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)c(C)n1 ZINC000639204874 1129292536 /nfs/dbraw/zinc/29/25/36/1129292536.db2.gz DLGMPWGWCBCWRT-GUYCJALGSA-N 1 2 296.418 3.633 20 0 CHADLO Cc1ncc([C@H](C)[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)c(C)n1 ZINC000639204874 1129292539 /nfs/dbraw/zinc/29/25/39/1129292539.db2.gz DLGMPWGWCBCWRT-GUYCJALGSA-N 1 2 296.418 3.633 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)sn1 ZINC000639235258 1129296373 /nfs/dbraw/zinc/29/63/73/1129296373.db2.gz RCGJTPAYVYTUNO-AWEZNQCLSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)sn1 ZINC000639235258 1129296376 /nfs/dbraw/zinc/29/63/76/1129296376.db2.gz RCGJTPAYVYTUNO-AWEZNQCLSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1cnc(C[NH2+][C@@H]2CCCc3ccc(Cl)cc32)o1 ZINC000639355678 1129305387 /nfs/dbraw/zinc/30/53/87/1129305387.db2.gz AICKEROTPJINJI-CQSZACIVSA-N 1 2 276.767 3.804 20 0 CHADLO c1sc(C2CC2)nc1C[NH+]1CC(c2ccccc2)C1 ZINC000639361762 1129306186 /nfs/dbraw/zinc/30/61/86/1129306186.db2.gz QOERRLIISIBXTQ-UHFFFAOYSA-N 1 2 270.401 3.620 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1nc(C2CCC2)no1 ZINC000639441266 1129315255 /nfs/dbraw/zinc/31/52/55/1129315255.db2.gz ILXNMYXNWOOTOJ-GFCCVEGCSA-N 1 2 271.364 3.530 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1nc(C2CCC2)no1 ZINC000639441266 1129315260 /nfs/dbraw/zinc/31/52/60/1129315260.db2.gz ILXNMYXNWOOTOJ-GFCCVEGCSA-N 1 2 271.364 3.530 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc(NC(=O)C2CC2)cc1 ZINC000639561040 1129324121 /nfs/dbraw/zinc/32/41/21/1129324121.db2.gz GJEHYYJMSXPCOD-NSHDSACASA-N 1 2 296.361 3.731 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1nc(CC2CC2)no1)C1CC1 ZINC000639571442 1129324466 /nfs/dbraw/zinc/32/44/66/1129324466.db2.gz RXSXGEFKUXTZCM-SFHVURJKSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1ccc(F)c(C[NH2+]Cc2ncc(Cl)s2)c1 ZINC000719410385 1129334015 /nfs/dbraw/zinc/33/40/15/1129334015.db2.gz AJNDGIQERFQUPN-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC000639843517 1129335846 /nfs/dbraw/zinc/33/58/46/1129335846.db2.gz RHVVGVQGSZMOPJ-QGZVFWFLSA-N 1 2 297.402 3.601 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC000639843517 1129335851 /nfs/dbraw/zinc/33/58/51/1129335851.db2.gz RHVVGVQGSZMOPJ-QGZVFWFLSA-N 1 2 297.402 3.601 20 0 CHADLO CC1(C)C[N@H+](Cc2cnc3ccccc3n2)[C@@H]1c1ccco1 ZINC000639928855 1129340255 /nfs/dbraw/zinc/34/02/55/1129340255.db2.gz IYMGBMVXADXOBQ-QGZVFWFLSA-N 1 2 293.370 3.806 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnc3ccccc3n2)[C@@H]1c1ccco1 ZINC000639928855 1129340258 /nfs/dbraw/zinc/34/02/58/1129340258.db2.gz IYMGBMVXADXOBQ-QGZVFWFLSA-N 1 2 293.370 3.806 20 0 CHADLO Fc1c(Cl)cccc1C[NH2+]Cc1nc2c(s1)CCC2 ZINC000719535800 1129343746 /nfs/dbraw/zinc/34/37/46/1129343746.db2.gz BYVQFBQGVLMDLX-UHFFFAOYSA-N 1 2 296.798 3.714 20 0 CHADLO OCc1cc[nH+]c(NCc2ccc(OC3CCCC3)cc2)c1 ZINC000641323242 1129378531 /nfs/dbraw/zinc/37/85/31/1129378531.db2.gz DRUUQTXTWBSZQQ-UHFFFAOYSA-N 1 2 298.386 3.507 20 0 CHADLO COc1ccc(-c2cc(C)cn3cc[nH+]c23)cc1C ZINC001240864553 1129379171 /nfs/dbraw/zinc/37/91/71/1129379171.db2.gz ACYMVRVYVAEWLX-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO OCc1cc[nH+]c(N[C@H](CC2CC2)c2ccccc2)c1 ZINC000641399432 1129380321 /nfs/dbraw/zinc/38/03/21/1129380321.db2.gz OQVAILXETCFPNB-MRXNPFEDSA-N 1 2 268.360 3.527 20 0 CHADLO Fc1c[nH+]ccc1N1CCC2(C=Cc3ccccc3O2)CC1 ZINC000641670776 1129386811 /nfs/dbraw/zinc/38/68/11/1129386811.db2.gz GFPPABGBBVQTOA-UHFFFAOYSA-N 1 2 296.345 3.666 20 0 CHADLO COc1ccc([C@H]2CCCN2c2cc[nH+]cc2F)cc1F ZINC000641660157 1129386932 /nfs/dbraw/zinc/38/69/32/1129386932.db2.gz XGINNWDOHKBTSC-CQSZACIVSA-N 1 2 290.313 3.710 20 0 CHADLO Cc1cc(NC(=O)c2cc3ccccc3c(Cl)n2)cc[nH+]1 ZINC000092349407 1129387887 /nfs/dbraw/zinc/38/78/87/1129387887.db2.gz YDEIQKUFPJNZPK-UHFFFAOYSA-N 1 2 297.745 3.844 20 0 CHADLO CSc1ccc(C[NH2+][C@H](C)c2nc(C)cs2)o1 ZINC000641715158 1129388706 /nfs/dbraw/zinc/38/87/06/1129388706.db2.gz IBIKCILHXYJRLB-SECBINFHSA-N 1 2 268.407 3.617 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(SC)o1)c1nccs1 ZINC000641724396 1129388907 /nfs/dbraw/zinc/38/89/07/1129388907.db2.gz BJYMXAMFDIHGOP-SNVBAGLBSA-N 1 2 268.407 3.699 20 0 CHADLO CCc1ccc(C[NH2+][C@H](C)c2nc3ccccc3n2C)o1 ZINC000641723974 1129389062 /nfs/dbraw/zinc/38/90/62/1129389062.db2.gz DNJRFQKMKLGAAD-GFCCVEGCSA-N 1 2 283.375 3.580 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cn1cc(Cl)cn1 ZINC000738241597 1129391760 /nfs/dbraw/zinc/39/17/60/1129391760.db2.gz GHWFHIKCGFTNEQ-HNNXBMFYSA-N 1 2 275.783 3.503 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cn1cc(Cl)cn1 ZINC000738241597 1129391766 /nfs/dbraw/zinc/39/17/66/1129391766.db2.gz GHWFHIKCGFTNEQ-HNNXBMFYSA-N 1 2 275.783 3.503 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(F)F)c1ccc(OCC(F)(F)F)cc1 ZINC000641791267 1129392811 /nfs/dbraw/zinc/39/28/11/1129392811.db2.gz GCFGUNRTLOUVES-IUCAKERBSA-N 1 2 297.267 3.932 20 0 CHADLO CC(C)[C@@H](C[NH2+][C@H](C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000738418227 1129393646 /nfs/dbraw/zinc/39/36/46/1129393646.db2.gz UOMZCRWKZPVPOO-GDBMZVCRSA-N 1 2 291.435 3.746 20 0 CHADLO C[C@@H]([NH2+]CCc1cccc2ccccc21)C(=O)OC(C)(C)C ZINC000738418306 1129393753 /nfs/dbraw/zinc/39/37/53/1129393753.db2.gz WISHOQNYODUEFG-CQSZACIVSA-N 1 2 299.414 3.702 20 0 CHADLO COc1ccc2c(c1)[C@H](Nc1ccc([NH+](C)C)cc1)CC2 ZINC000092835800 1129409685 /nfs/dbraw/zinc/40/96/85/1129409685.db2.gz AAULHWVUISFKIV-GOSISDBHSA-N 1 2 282.387 3.861 20 0 CHADLO C[C@@H]1CC[C@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C[C@H]1C ZINC001125083315 1131372501 /nfs/dbraw/zinc/37/25/01/1131372501.db2.gz CQYRAMHFAOUEDR-KFWWJZLASA-N 1 2 297.402 3.883 20 0 CHADLO COc1ccc(-c2cc(C)cn3cc[nH+]c23)c(F)c1F ZINC001241041871 1129413917 /nfs/dbraw/zinc/41/39/17/1129413917.db2.gz KYOOLOSFWCYSDQ-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO C[C@@H]1CCCC[C@@H]1CNc1ccc(Cl)c(N)[nH+]1 ZINC001155078408 1129418794 /nfs/dbraw/zinc/41/87/94/1129418794.db2.gz RYMMZSVBMAUJPO-NXEZZACHSA-N 1 2 253.777 3.555 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)OCc1ccccc1C)c1ccccc1 ZINC000741151186 1129430326 /nfs/dbraw/zinc/43/03/26/1129430326.db2.gz XNEPTGCDSOFWEK-GOSISDBHSA-N 1 2 297.398 3.731 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)OCc1ccccc1C)c1ccccc1 ZINC000741151186 1129430328 /nfs/dbraw/zinc/43/03/28/1129430328.db2.gz XNEPTGCDSOFWEK-GOSISDBHSA-N 1 2 297.398 3.731 20 0 CHADLO C[C@H](Sc1[nH+]cnc2[nH]ccc21)c1cccs1 ZINC000737424567 1129433081 /nfs/dbraw/zinc/43/30/81/1129433081.db2.gz JBNFZKZYYCPFPJ-QMMMGPOBSA-N 1 2 261.375 3.873 20 0 CHADLO COc1ccc([C@H]([NH2+][C@@H](C)c2cn[nH]c2C)C(C)C)cc1 ZINC000180020486 1129444613 /nfs/dbraw/zinc/44/46/13/1129444613.db2.gz NFNQVFLFBDHECQ-YVEFUNNKSA-N 1 2 287.407 3.775 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](c1ccccc1)C1CC1 ZINC000180032986 1129447164 /nfs/dbraw/zinc/44/71/64/1129447164.db2.gz WTHARVWQLXPFLX-MEDUHNTESA-N 1 2 255.365 3.520 20 0 CHADLO CC(C)=C[C@@H]1[C@@H](C(=O)Nc2cccc3[nH+]ccn32)C1(C)C ZINC000741740121 1129449949 /nfs/dbraw/zinc/44/99/49/1129449949.db2.gz LQABPNUVHIKLAI-DOMZBBRYSA-N 1 2 283.375 3.511 20 0 CHADLO O=C(/C=C\c1ccc(Cl)cc1)Nc1cccc2[nH+]ccn21 ZINC000741969628 1129463812 /nfs/dbraw/zinc/46/38/12/1129463812.db2.gz GECILMZIHRUHTR-TWGQIWQCSA-N 1 2 297.745 3.640 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cc(C)ccc1C ZINC001241191628 1129473244 /nfs/dbraw/zinc/47/32/44/1129473244.db2.gz QHTRPQFSKHMVBV-UHFFFAOYSA-N 1 2 252.317 3.855 20 0 CHADLO c1cn(-c2ccc(CNc3nccc4occc43)cc2)c[nH+]1 ZINC000742396652 1129474791 /nfs/dbraw/zinc/47/47/91/1129474791.db2.gz BIUJXLZOASFDQE-UHFFFAOYSA-N 1 2 290.326 3.626 20 0 CHADLO Cc1cc(NCc2c(C)cccc2F)nc(C2CC2)[nH+]1 ZINC000742422246 1129477423 /nfs/dbraw/zinc/47/74/23/1129477423.db2.gz SEMZXTDMULRODY-UHFFFAOYSA-N 1 2 271.339 3.722 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+]Cc2cccc(O)c2Cl)cn1 ZINC000645155122 1129489497 /nfs/dbraw/zinc/48/94/97/1129489497.db2.gz FADOYGDQACQUDP-NSHDSACASA-N 1 2 293.798 3.674 20 0 CHADLO CCc1sc(-c2nnc(C[N@@H+]3C[C@H](C)[C@H]3C)o2)cc1C ZINC000645216269 1129492604 /nfs/dbraw/zinc/49/26/04/1129492604.db2.gz QZUDFJZBQXVOCW-WDEREUQCSA-N 1 2 291.420 3.509 20 0 CHADLO CCc1sc(-c2nnc(C[N@H+]3C[C@H](C)[C@H]3C)o2)cc1C ZINC000645216269 1129492606 /nfs/dbraw/zinc/49/26/06/1129492606.db2.gz QZUDFJZBQXVOCW-WDEREUQCSA-N 1 2 291.420 3.509 20 0 CHADLO Fc1ccc(C[NH+]2CC3(C2)CCCC3)cc1Br ZINC001137553178 1131377810 /nfs/dbraw/zinc/37/78/10/1131377810.db2.gz BYYLFOXDFNERGV-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO Fc1ccc([C@H]([NH2+][C@@H]2CCC[C@@H]2F)c2ccccc2)nc1 ZINC000645507965 1129499904 /nfs/dbraw/zinc/49/99/04/1129499904.db2.gz LCQKXKWIRCRBTD-ZMSDIMECSA-N 1 2 288.341 3.790 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H]1c2ccccc2CC[C@@H]1C ZINC000181033833 1129531228 /nfs/dbraw/zinc/53/12/28/1129531228.db2.gz UBPPIIIMRIFVOG-NVGCLXPQSA-N 1 2 269.392 3.692 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2cccc(F)c2)C(C)(C)C)o1 ZINC000181073915 1129534001 /nfs/dbraw/zinc/53/40/01/1129534001.db2.gz INUSPLPMWZIAGS-HNNXBMFYSA-N 1 2 276.355 3.999 20 0 CHADLO CC1(C)C[NH+](Cc2ccc(Cl)cc2F)CC(C)(C)O1 ZINC001137661200 1131380732 /nfs/dbraw/zinc/38/07/32/1131380732.db2.gz JKOFQAKWPGXRJP-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO CCC[C@@H]1[C@H](C)CCC[N@@H+]1CC(F)(F)C(F)F ZINC000744415291 1129576331 /nfs/dbraw/zinc/57/63/31/1129576331.db2.gz YZMOVFFNVJIPEZ-NXEZZACHSA-N 1 2 255.299 3.787 20 0 CHADLO CCC[C@@H]1[C@H](C)CCC[N@H+]1CC(F)(F)C(F)F ZINC000744415291 1129576334 /nfs/dbraw/zinc/57/63/34/1129576334.db2.gz YZMOVFFNVJIPEZ-NXEZZACHSA-N 1 2 255.299 3.787 20 0 CHADLO CCCCOC(=O)C[N@@H+]1C[C@@H](C)C[C@H]1c1ccccc1F ZINC000743856964 1129541305 /nfs/dbraw/zinc/54/13/05/1129541305.db2.gz QDLQHAFYRFYTQU-BBRMVZONSA-N 1 2 293.382 3.552 20 0 CHADLO CCCCOC(=O)C[N@H+]1C[C@@H](C)C[C@H]1c1ccccc1F ZINC000743856964 1129541307 /nfs/dbraw/zinc/54/13/07/1129541307.db2.gz QDLQHAFYRFYTQU-BBRMVZONSA-N 1 2 293.382 3.552 20 0 CHADLO CC(C)([NH2+]Cc1nnc(C2CC2)s1)c1ccc(F)cc1 ZINC000828287158 1131381517 /nfs/dbraw/zinc/38/15/17/1131381517.db2.gz REVKXCQXISDYOK-UHFFFAOYSA-N 1 2 291.395 3.580 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+]C1(c2ccccc2Cl)CC1 ZINC000647315518 1129567570 /nfs/dbraw/zinc/56/75/70/1129567570.db2.gz KWWGZUIMSGYPFC-GFCCVEGCSA-N 1 2 287.794 3.778 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccccc2F)cc1F ZINC001239553815 1131382867 /nfs/dbraw/zinc/38/28/67/1131382867.db2.gz DJPGQKYXBXXWOE-UHFFFAOYSA-N 1 2 265.278 3.833 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2nonc2C)o1 ZINC000744427936 1129576726 /nfs/dbraw/zinc/57/67/26/1129576726.db2.gz BABKIYSKUUZRRV-ABAIWWIYSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2nonc2C)o1 ZINC000744427936 1129576728 /nfs/dbraw/zinc/57/67/28/1129576728.db2.gz BABKIYSKUUZRRV-ABAIWWIYSA-N 1 2 289.379 3.507 20 0 CHADLO CSc1cnc(C2=CCC[N@H+](C)C2)c(C(F)(F)F)c1 ZINC001241567277 1129583711 /nfs/dbraw/zinc/58/37/11/1129583711.db2.gz UDPHRXPLMFLSSU-UHFFFAOYSA-N 1 2 288.338 3.541 20 0 CHADLO CSc1cnc(C2=CCC[N@@H+](C)C2)c(C(F)(F)F)c1 ZINC001241567277 1129583716 /nfs/dbraw/zinc/58/37/16/1129583716.db2.gz UDPHRXPLMFLSSU-UHFFFAOYSA-N 1 2 288.338 3.541 20 0 CHADLO CC[N@H+](Cc1coc(C)n1)[C@H](C)c1cccc(OC)c1 ZINC000647960210 1129588866 /nfs/dbraw/zinc/58/88/66/1129588866.db2.gz LIDDOZVUQIDUAY-GFCCVEGCSA-N 1 2 274.364 3.575 20 0 CHADLO CC[N@@H+](Cc1coc(C)n1)[C@H](C)c1cccc(OC)c1 ZINC000647960210 1129588870 /nfs/dbraw/zinc/58/88/70/1129588870.db2.gz LIDDOZVUQIDUAY-GFCCVEGCSA-N 1 2 274.364 3.575 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(-c3cccnc3)cc2)co1 ZINC000647970584 1129589843 /nfs/dbraw/zinc/58/98/43/1129589843.db2.gz YUXOXWHKCAMJJJ-CYBMUJFWSA-N 1 2 293.370 3.896 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2c(Cl)cncc2Cl)C1 ZINC001137604103 1131384074 /nfs/dbraw/zinc/38/40/74/1131384074.db2.gz LLEYVRPXRFIYFV-GFCCVEGCSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2c(Cl)cncc2Cl)C1 ZINC001137604103 1131384077 /nfs/dbraw/zinc/38/40/77/1131384077.db2.gz LLEYVRPXRFIYFV-GFCCVEGCSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1ccc(Cl)c(Cl)c1 ZINC000182065925 1129595742 /nfs/dbraw/zinc/59/57/42/1129595742.db2.gz MBCLYWZZZNLFKF-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](F)C[C@H]2C)ccc1Br ZINC001137613414 1131384642 /nfs/dbraw/zinc/38/46/42/1131384642.db2.gz YMQCTXQFFOKBQU-PWSUYJOCSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](F)C[C@H]2C)ccc1Br ZINC001137613414 1131384647 /nfs/dbraw/zinc/38/46/47/1131384647.db2.gz YMQCTXQFFOKBQU-PWSUYJOCSA-N 1 2 286.188 3.690 20 0 CHADLO O=C(Oc1ccccc1)[C@@H]1CCCC[N@@H+]1Cc1ccccc1 ZINC000746104406 1129625530 /nfs/dbraw/zinc/62/55/30/1129625530.db2.gz OCKQFUNJHUHVFO-SFHVURJKSA-N 1 2 295.382 3.647 20 0 CHADLO O=C(Oc1ccccc1)[C@@H]1CCCC[N@H+]1Cc1ccccc1 ZINC000746104406 1129625531 /nfs/dbraw/zinc/62/55/31/1129625531.db2.gz OCKQFUNJHUHVFO-SFHVURJKSA-N 1 2 295.382 3.647 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2nonc2C2CC2)cc1 ZINC001212969055 1129637417 /nfs/dbraw/zinc/63/74/17/1129637417.db2.gz KBNRUDYEUONCAQ-UHFFFAOYSA-N 1 2 272.352 3.537 20 0 CHADLO C(=C\c1ccccc1)\CC[N@@H+]1CCOC[C@H]1c1ccco1 ZINC000649315598 1129640576 /nfs/dbraw/zinc/64/05/76/1129640576.db2.gz IUMARWCVYSGIJC-ASRSSLEJSA-N 1 2 283.371 3.756 20 0 CHADLO C(=C\c1ccccc1)\CC[N@H+]1CCOC[C@H]1c1ccco1 ZINC000649315598 1129640577 /nfs/dbraw/zinc/64/05/77/1129640577.db2.gz IUMARWCVYSGIJC-ASRSSLEJSA-N 1 2 283.371 3.756 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1nnc(C2CC2)s1 ZINC000649313031 1129640652 /nfs/dbraw/zinc/64/06/52/1129640652.db2.gz BCVUNPZBSZCDQN-OAHLLOKOSA-N 1 2 299.443 3.925 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1nnc(C2CC2)s1 ZINC000649313031 1129640654 /nfs/dbraw/zinc/64/06/54/1129640654.db2.gz BCVUNPZBSZCDQN-OAHLLOKOSA-N 1 2 299.443 3.925 20 0 CHADLO Cc1cccn2cc(C[N@@H+]3CCc4sccc4[C@H]3C)nc12 ZINC000050276717 1129649603 /nfs/dbraw/zinc/64/96/03/1129649603.db2.gz YPPOPDQLOWMOAC-CYBMUJFWSA-N 1 2 297.427 3.824 20 0 CHADLO Cc1cccn2cc(C[N@H+]3CCc4sccc4[C@H]3C)nc12 ZINC000050276717 1129649604 /nfs/dbraw/zinc/64/96/04/1129649604.db2.gz YPPOPDQLOWMOAC-CYBMUJFWSA-N 1 2 297.427 3.824 20 0 CHADLO C[C@@H](c1cc2ccccc2o1)[N@H+](C)Cc1cc2n(n1)CCC2 ZINC000649471952 1129651223 /nfs/dbraw/zinc/65/12/23/1129651223.db2.gz UYKQBMQXBCXAEZ-ZDUSSCGKSA-N 1 2 295.386 3.769 20 0 CHADLO C[C@@H](c1cc2ccccc2o1)[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC000649471952 1129651225 /nfs/dbraw/zinc/65/12/25/1129651225.db2.gz UYKQBMQXBCXAEZ-ZDUSSCGKSA-N 1 2 295.386 3.769 20 0 CHADLO Fc1ccc(C[N@H+](Cc2cc3n(n2)CCCC3)C2CC2)cc1 ZINC000649471760 1129651385 /nfs/dbraw/zinc/65/13/85/1129651385.db2.gz CIYAWAMDJKREAR-UHFFFAOYSA-N 1 2 299.393 3.523 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2cc3n(n2)CCCC3)C2CC2)cc1 ZINC000649471760 1129651388 /nfs/dbraw/zinc/65/13/88/1129651388.db2.gz CIYAWAMDJKREAR-UHFFFAOYSA-N 1 2 299.393 3.523 20 0 CHADLO CC(C)Oc1ccnc(C[N@@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000649546243 1129656921 /nfs/dbraw/zinc/65/69/21/1129656921.db2.gz KFMGFKCEBWGIGO-CQSZACIVSA-N 1 2 282.387 3.946 20 0 CHADLO CC(C)Oc1ccnc(C[N@H+]2Cc3ccccc3[C@H]2C)c1 ZINC000649546243 1129656923 /nfs/dbraw/zinc/65/69/23/1129656923.db2.gz KFMGFKCEBWGIGO-CQSZACIVSA-N 1 2 282.387 3.946 20 0 CHADLO Cc1noc(C)c1-c1c[nH+]c(N2CCCCC2)cc1C ZINC001242106299 1129657971 /nfs/dbraw/zinc/65/79/71/1129657971.db2.gz QMBCESXNVICIPL-UHFFFAOYSA-N 1 2 271.364 3.652 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2C[C@H]2C2CCCC2)c(C)[nH+]1 ZINC000649566537 1129658335 /nfs/dbraw/zinc/65/83/35/1129658335.db2.gz NUUYXDLHDHJQOJ-GJZGRUSLSA-N 1 2 272.392 3.772 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2ccc(Br)s2)C1 ZINC001137641196 1131390889 /nfs/dbraw/zinc/39/08/89/1131390889.db2.gz NYHUSTFUMIYETA-IUCAKERBSA-N 1 2 292.217 3.691 20 0 CHADLO CCn1ccnc1C[NH2+]C1(c2ccccc2Cl)CCC1 ZINC000649643374 1129664849 /nfs/dbraw/zinc/66/48/49/1129664849.db2.gz JJJPAFZOHYWDNI-UHFFFAOYSA-N 1 2 289.810 3.725 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](C)c2cccc(C3CC3)c2)n1 ZINC000649687294 1129670520 /nfs/dbraw/zinc/67/05/20/1129670520.db2.gz XJZAUFLRTKYOFC-MNOVXSKESA-N 1 2 271.364 3.667 20 0 CHADLO C[C@H](CC(=O)N(c1ccccc1)C1CCCC1)n1cc[nH+]c1 ZINC000649709014 1129672664 /nfs/dbraw/zinc/67/26/64/1129672664.db2.gz XJGAECXMLNVGNA-OAHLLOKOSA-N 1 2 297.402 3.810 20 0 CHADLO Cc1ccc(C(C)(C)Nc2ccn3cc[nH+]c3c2)cc1 ZINC001168808768 1129673943 /nfs/dbraw/zinc/67/39/43/1129673943.db2.gz WUNFFYNCHJAEEU-UHFFFAOYSA-N 1 2 265.360 3.990 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cncc(C3CC3)c2)cc1 ZINC001242198441 1129675815 /nfs/dbraw/zinc/67/58/15/1129675815.db2.gz YLMOFZJWWCAAGP-UHFFFAOYSA-N 1 2 266.344 3.988 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccc(-n3cccc3)c[nH+]2)nc1 ZINC001168850118 1129680128 /nfs/dbraw/zinc/68/01/28/1129680128.db2.gz AGVQVQFYOILULL-AWEZNQCLSA-N 1 2 278.359 3.749 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2oc3c(cccc3C)c2C)o1 ZINC000649819877 1129680218 /nfs/dbraw/zinc/68/02/18/1129680218.db2.gz KGEPESRMEGHWOS-UHFFFAOYSA-N 1 2 284.359 3.978 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2oc3c(cccc3C)c2C)o1 ZINC000649819877 1129680221 /nfs/dbraw/zinc/68/02/21/1129680221.db2.gz KGEPESRMEGHWOS-UHFFFAOYSA-N 1 2 284.359 3.978 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2ccc(Br)s2)C1 ZINC001137641196 1131390892 /nfs/dbraw/zinc/39/08/92/1131390892.db2.gz NYHUSTFUMIYETA-IUCAKERBSA-N 1 2 292.217 3.691 20 0 CHADLO CC(C)(C)CC(C)(C)Nc1ccc(N2CCOCC2)c[nH+]1 ZINC001169052402 1129692588 /nfs/dbraw/zinc/69/25/88/1129692588.db2.gz NHCJHENYULAQBE-UHFFFAOYSA-N 1 2 291.439 3.545 20 0 CHADLO CC(C)C[C@@H](Cc1ccccc1)[NH2+][C@@H](C)C(=O)OC(C)C ZINC001169051452 1129692800 /nfs/dbraw/zinc/69/28/00/1129692800.db2.gz VXKQCABJXLCNHF-RDJZCZTQSA-N 1 2 291.435 3.574 20 0 CHADLO Cc1ccc(-c2noc([C@H](C)[NH2+]C/C=C/Cl)n2)cc1F ZINC001169057351 1129693281 /nfs/dbraw/zinc/69/32/81/1129693281.db2.gz YJLAVYQAKYHBGQ-YVGDHZEHSA-N 1 2 295.745 3.587 20 0 CHADLO Cc1cc(OCc2cc3n(n2)CCC3)c2cccc(C)c2[nH+]1 ZINC000650318373 1129703673 /nfs/dbraw/zinc/70/36/73/1129703673.db2.gz RSMJZJOKIOWLEG-UHFFFAOYSA-N 1 2 293.370 3.573 20 0 CHADLO CC(C)Oc1cc(N[C@H]2CCCC(F)(F)C2)cc[nH+]1 ZINC001169213343 1129707990 /nfs/dbraw/zinc/70/79/90/1129707990.db2.gz ILSDNDWOIGKSDG-LBPRGKRZSA-N 1 2 270.323 3.859 20 0 CHADLO Cc1ccc(CCCNc2[nH+]cccc2-n2cccc2)cn1 ZINC001169254324 1129713372 /nfs/dbraw/zinc/71/33/72/1129713372.db2.gz KYVCBUWGLWOWBQ-UHFFFAOYSA-N 1 2 292.386 3.620 20 0 CHADLO CCC[C@@H]1CCC[N@H+](Cn2nc(C)n(C3CC3)c2=S)C1 ZINC000121344197 1129721818 /nfs/dbraw/zinc/72/18/18/1129721818.db2.gz BJMDIHMZKQXLNU-CYBMUJFWSA-N 1 2 294.468 3.527 20 0 CHADLO CCC[C@@H]1CCC[N@@H+](Cn2nc(C)n(C3CC3)c2=S)C1 ZINC000121344197 1129721820 /nfs/dbraw/zinc/72/18/20/1129721820.db2.gz BJMDIHMZKQXLNU-CYBMUJFWSA-N 1 2 294.468 3.527 20 0 CHADLO C[C@H]1C[C@H](Nc2ccn3cc[nH+]c3c2)c2ccccc21 ZINC001169373436 1129721830 /nfs/dbraw/zinc/72/18/30/1129721830.db2.gz HBQYUOOMQGSRAZ-LRDDRELGSA-N 1 2 263.344 3.995 20 0 CHADLO CNc1ccc(N[C@@H]2C[C@@H](C)c3ccccc32)c[nH+]1 ZINC001169378111 1129722656 /nfs/dbraw/zinc/72/26/56/1129722656.db2.gz RUWCAJRJOFMABT-IAQYHMDHSA-N 1 2 253.349 3.784 20 0 CHADLO CC(C)c1ccccc1NC(=O)Cc1cn2c([nH+]1)CCCC2 ZINC000651058329 1129727856 /nfs/dbraw/zinc/72/78/56/1129727856.db2.gz OBPATECCRYAROT-UHFFFAOYSA-N 1 2 297.402 3.524 20 0 CHADLO CCOc1cc(Nc2ccc(Cl)c(O)c2)cc(C)[nH+]1 ZINC001212590662 1129732090 /nfs/dbraw/zinc/73/20/90/1129732090.db2.gz XLUTXMBJFGQABL-UHFFFAOYSA-N 1 2 278.739 3.891 20 0 CHADLO CCCC(C)(C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000651214214 1129733394 /nfs/dbraw/zinc/73/33/94/1129733394.db2.gz ZPURZGBRMOCKSR-UHFFFAOYSA-N 1 2 271.364 3.842 20 0 CHADLO C[C@H](CNc1[nH+]cccc1-n1cccc1)c1ccc(O)cc1 ZINC001169535451 1129733615 /nfs/dbraw/zinc/73/36/15/1129733615.db2.gz OBBNPLFJOZJFJT-CQSZACIVSA-N 1 2 293.370 3.794 20 0 CHADLO CC(C)Oc1cc(NC[C@H](C)c2ccc(O)cc2)cc[nH+]1 ZINC001169540451 1129734452 /nfs/dbraw/zinc/73/44/52/1129734452.db2.gz VTCMSHOBCWVOTF-ZDUSSCGKSA-N 1 2 286.375 3.790 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C)c(SC)c1 ZINC000652044106 1129756096 /nfs/dbraw/zinc/75/60/96/1129756096.db2.gz GTKRCPSDUGZQLE-UHFFFAOYSA-N 1 2 261.394 3.545 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)CCc2ccsc2)c1 ZINC000652067779 1129756875 /nfs/dbraw/zinc/75/68/75/1129756875.db2.gz HCGQYXRRLZAHIM-GFCCVEGCSA-N 1 2 276.405 3.723 20 0 CHADLO COCc1cc[nH+]c(NC2CC(C)(c3ccccc3)C2)c1 ZINC000652068319 1129757636 /nfs/dbraw/zinc/75/76/36/1129757636.db2.gz ZNSXPGDJZYDBMI-UHFFFAOYSA-N 1 2 282.387 3.760 20 0 CHADLO Cn1c[nH+]c2ccc(N[C@@H]3C[C@H]3c3ccccc3)cc21 ZINC001169811536 1129757637 /nfs/dbraw/zinc/75/76/37/1129757637.db2.gz CNJAFUAIBGEFMW-GOEBONIOSA-N 1 2 263.344 3.541 20 0 CHADLO Cc1cc(-c2cc(F)c(C)c(F)c2)c2[nH+]ccn2c1 ZINC001242287632 1129759292 /nfs/dbraw/zinc/75/92/92/1129759292.db2.gz PDGRYSLPVLMVGG-UHFFFAOYSA-N 1 2 258.271 3.896 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](c2ccccc2)C2CC2)c1 ZINC000652331015 1129763230 /nfs/dbraw/zinc/76/32/30/1129763230.db2.gz VRWOHKDPWMEUSD-KRWDZBQOSA-N 1 2 268.360 3.791 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cc(C)ccc2OC)c1 ZINC000652330466 1129763335 /nfs/dbraw/zinc/76/33/35/1129763335.db2.gz HJQBUWKSKILEBG-ZDUSSCGKSA-N 1 2 286.375 3.718 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCc3c(OC)cccc32)c1 ZINC000652330554 1129763558 /nfs/dbraw/zinc/76/35/58/1129763558.db2.gz JCUKPPOQXAXPRJ-MRXNPFEDSA-N 1 2 298.386 3.726 20 0 CHADLO CCC1(C(=O)OCc2cc[nH+]c(N(C)C)c2)CCCCC1 ZINC000767608599 1129801793 /nfs/dbraw/zinc/80/17/93/1129801793.db2.gz DJADJIQKEOGVNW-UHFFFAOYSA-N 1 2 290.407 3.551 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000742066277 1129808361 /nfs/dbraw/zinc/80/83/61/1129808361.db2.gz SEOYBBPOOLPJRK-HZPDHXFCSA-N 1 2 291.439 3.653 20 0 CHADLO CC(C)c1cnc(CSCc2cc[nH+]c(N(C)C)c2)o1 ZINC000767877251 1129819359 /nfs/dbraw/zinc/81/93/59/1129819359.db2.gz UZJLGQUJUWHWSB-UHFFFAOYSA-N 1 2 291.420 3.692 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc(C(C)(C)C)co2)cs1 ZINC000724421186 1129852542 /nfs/dbraw/zinc/85/25/42/1129852542.db2.gz ZPSUGTWJYHPIPO-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cc(C)ccc2F)no1 ZINC000768428973 1129856216 /nfs/dbraw/zinc/85/62/16/1129856216.db2.gz IMJUWSFNBGWZFJ-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cc(C)ccc2F)no1 ZINC000768428973 1129856220 /nfs/dbraw/zinc/85/62/20/1129856220.db2.gz IMJUWSFNBGWZFJ-MRXNPFEDSA-N 1 2 274.339 3.768 20 0 CHADLO CC(C)c1ccc2c(c1)[C@H]([NH2+]Cc1ccon1)CCCO2 ZINC000768512225 1129859163 /nfs/dbraw/zinc/85/91/63/1129859163.db2.gz PBMDSGDUODFHNO-MRXNPFEDSA-N 1 2 286.375 3.802 20 0 CHADLO CCCC[C@H](C)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000800278377 1129863133 /nfs/dbraw/zinc/86/31/33/1129863133.db2.gz SGBPOSQUTKAALS-AWEZNQCLSA-N 1 2 286.375 3.742 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC1CC(C(F)F)C1 ZINC000768663824 1129867581 /nfs/dbraw/zinc/86/75/81/1129867581.db2.gz QWMZPFPOKHZIIK-UHFFFAOYSA-N 1 2 254.324 3.517 20 0 CHADLO Cc1cc(C)c(-c2ccc(C[NH+]3CCOCC3)nc2)cc1C ZINC001239589871 1131403454 /nfs/dbraw/zinc/40/34/54/1131403454.db2.gz UQDAZTXVUMHUFU-UHFFFAOYSA-N 1 2 296.414 3.506 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(Br)cc1)c1ncco1 ZINC000886530276 1129885548 /nfs/dbraw/zinc/88/55/48/1129885548.db2.gz HMGZLAGYIDJTAF-VHSXEESVSA-N 1 2 295.180 3.849 20 0 CHADLO Cc1c(Cl)c(Cl)ccc1-c1cc[nH+]c(N)c1 ZINC001242516163 1129893738 /nfs/dbraw/zinc/89/37/38/1129893738.db2.gz FOSMQOOORYMNGU-UHFFFAOYSA-N 1 2 253.132 3.946 20 0 CHADLO COc1ccc([NH2+][C@H]2CC[C@@H]2C2CCC2)c(OC)c1 ZINC000800977270 1129901731 /nfs/dbraw/zinc/90/17/31/1129901731.db2.gz YEHQXQRHWZIZPZ-KGLIPLIRSA-N 1 2 261.365 3.694 20 0 CHADLO c1cc(N2CCSCC2)ccc1[NH2+][C@H]1CCC[C@H]2C[C@H]21 ZINC000800982822 1129902303 /nfs/dbraw/zinc/90/23/03/1129902303.db2.gz ZZHSYUPDFQGAIT-XKQJLSEDSA-N 1 2 288.460 3.840 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1c[nH]c2ccc(Cl)cc12 ZINC001137714424 1131406191 /nfs/dbraw/zinc/40/61/91/1131406191.db2.gz NCQDLHLKXCPNCL-MRXNPFEDSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1c[nH]c2ccc(Cl)cc12 ZINC001137714424 1131406192 /nfs/dbraw/zinc/40/61/92/1131406192.db2.gz NCQDLHLKXCPNCL-MRXNPFEDSA-N 1 2 290.794 3.765 20 0 CHADLO CCC[C@@H]1CCC[N@H+](Cc2c(Cl)nc(Cl)n2C)C1 ZINC000769503336 1129914043 /nfs/dbraw/zinc/91/40/43/1129914043.db2.gz HBUUUBLBAHZPFZ-SNVBAGLBSA-N 1 2 290.238 3.739 20 0 CHADLO CCC[C@@H]1CCC[N@@H+](Cc2c(Cl)nc(Cl)n2C)C1 ZINC000769503336 1129914045 /nfs/dbraw/zinc/91/40/45/1129914045.db2.gz HBUUUBLBAHZPFZ-SNVBAGLBSA-N 1 2 290.238 3.739 20 0 CHADLO O=C1CCC2(CC1)CC[NH+](Cc1scnc1Cl)CC2 ZINC001137824714 1131419432 /nfs/dbraw/zinc/41/94/32/1131419432.db2.gz CSAAITJGVGERSU-UHFFFAOYSA-N 1 2 298.839 3.522 20 0 CHADLO CC(C)(C)c1ccc(-c2cc(Cn3cc[nH+]c3)on2)cc1 ZINC000901391468 1129919840 /nfs/dbraw/zinc/91/98/40/1129919840.db2.gz AUXWXYMFMWGFAZ-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO Cc1sc(/C=C/c2c[nH+]cn2C(C)C)cc1C=O ZINC000901485931 1129929739 /nfs/dbraw/zinc/92/97/39/1129929739.db2.gz VFKVVTKMFCMSEZ-SNAWJCMRSA-N 1 2 260.362 3.817 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2cccnc2Cl)C1 ZINC000801588595 1129930327 /nfs/dbraw/zinc/93/03/27/1129930327.db2.gz UIEXUYBSOMPSSL-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2cccnc2Cl)C1 ZINC000801588595 1129930330 /nfs/dbraw/zinc/93/03/30/1129930330.db2.gz UIEXUYBSOMPSSL-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO CCCCN(C(=O)c1cccc2[nH+]ccn21)c1ccccc1 ZINC000770054606 1129937094 /nfs/dbraw/zinc/93/70/94/1129937094.db2.gz FIZAJEZWRXUFQD-UHFFFAOYSA-N 1 2 293.370 3.781 20 0 CHADLO CC(C)(C)[N@H+](C/C=C\Cl)CC(=O)Nc1ccc(F)cc1 ZINC000770206865 1129942166 /nfs/dbraw/zinc/94/21/66/1129942166.db2.gz MBBWQGPJLJQBHK-WTKPLQERSA-N 1 2 298.789 3.617 20 0 CHADLO CC(C)(C)[N@@H+](C/C=C\Cl)CC(=O)Nc1ccc(F)cc1 ZINC000770206865 1129942168 /nfs/dbraw/zinc/94/21/68/1129942168.db2.gz MBBWQGPJLJQBHK-WTKPLQERSA-N 1 2 298.789 3.617 20 0 CHADLO COc1ccc([NH2+]C[C@H]2C[C@H]2c2ccccc2)c(OC)c1 ZINC000770401720 1129945948 /nfs/dbraw/zinc/94/59/48/1129945948.db2.gz DQOHPWUNNNOJOF-ZBFHGGJFSA-N 1 2 283.371 3.919 20 0 CHADLO Cn1c[nH+]cc1CNc1cccc(COc2ccccc2)c1 ZINC000770495455 1129948333 /nfs/dbraw/zinc/94/83/33/1129948333.db2.gz PPUIKOLUQIEPQO-UHFFFAOYSA-N 1 2 293.370 3.611 20 0 CHADLO Cc1cc(NCc2nn(C)c3ccccc23)ccc1[NH+](C)C ZINC000770527625 1129950484 /nfs/dbraw/zinc/95/04/84/1129950484.db2.gz NZVBUKUGBJDMQD-UHFFFAOYSA-N 1 2 294.402 3.560 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3n[nH]c4ccccc43)ccc21 ZINC000770541282 1129951337 /nfs/dbraw/zinc/95/13/37/1129951337.db2.gz QXGADYCGHYRIAS-UHFFFAOYSA-N 1 2 292.386 3.557 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3n[nH]c4ccccc43)ccc21 ZINC000770541282 1129951339 /nfs/dbraw/zinc/95/13/39/1129951339.db2.gz QXGADYCGHYRIAS-UHFFFAOYSA-N 1 2 292.386 3.557 20 0 CHADLO COC/C(C)=C\CSCc1ccc(C)[nH+]c1C ZINC000901783335 1129952767 /nfs/dbraw/zinc/95/27/67/1129952767.db2.gz UTJHBEGZZXHHMN-XFFZJAGNSA-N 1 2 251.395 3.524 20 0 CHADLO C[N@H+](CCc1ccccc1F)Cc1ncc(Cl)s1 ZINC000770637244 1129953109 /nfs/dbraw/zinc/95/31/09/1129953109.db2.gz YWCVNTKOGRFWCK-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO C[N@@H+](CCc1ccccc1F)Cc1ncc(Cl)s1 ZINC000770637244 1129953110 /nfs/dbraw/zinc/95/31/10/1129953110.db2.gz YWCVNTKOGRFWCK-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO Cc1c(C[N@@H+]2CCC=C(F)C2)sc2nc(C)nc(C)c12 ZINC000770665867 1129954271 /nfs/dbraw/zinc/95/42/71/1129954271.db2.gz HRUHHBMROSCEEW-UHFFFAOYSA-N 1 2 291.395 3.676 20 0 CHADLO Cc1c(C[N@H+]2CCC=C(F)C2)sc2nc(C)nc(C)c12 ZINC000770665867 1129954273 /nfs/dbraw/zinc/95/42/73/1129954273.db2.gz HRUHHBMROSCEEW-UHFFFAOYSA-N 1 2 291.395 3.676 20 0 CHADLO CC(C)CC1(C(=O)OCc2cccc3[nH+]ccn32)CCC1 ZINC000770910141 1129962583 /nfs/dbraw/zinc/96/25/83/1129962583.db2.gz DXXIKVQDWOPSMB-UHFFFAOYSA-N 1 2 286.375 3.594 20 0 CHADLO CC1(C)CCC(C(=O)OCc2cccc3[nH+]ccn32)CC1 ZINC000770925810 1129963532 /nfs/dbraw/zinc/96/35/32/1129963532.db2.gz PJJFNECAGKVHHR-UHFFFAOYSA-N 1 2 286.375 3.594 20 0 CHADLO O=C(OCc1cccc2[nH+]ccn21)C1CCCCCCC1 ZINC000770924582 1129963626 /nfs/dbraw/zinc/96/36/26/1129963626.db2.gz IJNOORFAVGRSDP-UHFFFAOYSA-N 1 2 286.375 3.738 20 0 CHADLO COC/C(C)=C/CSCCc1[nH+]cc(C)cc1C ZINC000901882907 1129964067 /nfs/dbraw/zinc/96/40/67/1129964067.db2.gz LPUPQWSOXGNVJA-LFYBBSHMSA-N 1 2 265.422 3.567 20 0 CHADLO Cc1[nH]c(CNc2nc3c(cccc3C)s2)[nH+]c1C ZINC000902040772 1129974181 /nfs/dbraw/zinc/97/41/81/1129974181.db2.gz CCJAKQISRPXCMG-UHFFFAOYSA-N 1 2 272.377 3.557 20 0 CHADLO CCC[C@H]([NH2+]Cc1cn(CC)cn1)c1ccc(F)cc1F ZINC000902313045 1129999088 /nfs/dbraw/zinc/99/90/88/1129999088.db2.gz OGRHFQMMLOPFGA-INIZCTEOSA-N 1 2 293.361 3.812 20 0 CHADLO FC1(F)[C@@H](COc2cc[nH+]cc2)[C@@H]1c1ccccc1 ZINC000902355548 1130002921 /nfs/dbraw/zinc/00/29/21/1130002921.db2.gz KBILTZQIHJDBOE-KBPBESRZSA-N 1 2 261.271 3.509 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1ccnc(Cl)c1F ZINC000823386748 1131425037 /nfs/dbraw/zinc/42/50/37/1131425037.db2.gz LOKJLFGWVHRUQR-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1ccnc(Cl)c1F ZINC000823386748 1131425041 /nfs/dbraw/zinc/42/50/41/1131425041.db2.gz LOKJLFGWVHRUQR-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(=O)c1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001239630755 1130024169 /nfs/dbraw/zinc/02/41/69/1130024169.db2.gz OTPVIDVRZDIRDW-UHFFFAOYSA-N 1 2 262.312 3.742 20 0 CHADLO CC(=O)c1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1 ZINC001239632564 1130024837 /nfs/dbraw/zinc/02/48/37/1130024837.db2.gz KOLVWMZNDKVMPK-UHFFFAOYSA-N 1 2 276.339 3.801 20 0 CHADLO Cn1cc[nH+]c1CCCOC(=O)C[C@@H]1CCCCC1(C)C ZINC000802784547 1130039614 /nfs/dbraw/zinc/03/96/14/1130039614.db2.gz DKWQNPNXJYSBFQ-AWEZNQCLSA-N 1 2 292.423 3.502 20 0 CHADLO COc1cccc(OC)c1-c1ccccc1Cn1cc[nH+]c1 ZINC001239674754 1130046876 /nfs/dbraw/zinc/04/68/76/1130046876.db2.gz MFNLJVJCXYHSNB-UHFFFAOYSA-N 1 2 294.354 3.616 20 0 CHADLO Cc1nc(COc2cc[nH+]cc2)sc1C(C)(C)C ZINC000902733033 1130047065 /nfs/dbraw/zinc/04/70/65/1130047065.db2.gz HHRYZELILWBVIX-UHFFFAOYSA-N 1 2 262.378 3.723 20 0 CHADLO CCc1c2ccccc2oc1[C@@H](C)[NH2+][C@H](C)c1cn(C)nn1 ZINC000902783240 1130057199 /nfs/dbraw/zinc/05/71/99/1130057199.db2.gz BLUKALCTGJSXGF-VXGBXAGGSA-N 1 2 298.390 3.536 20 0 CHADLO Fc1ccc(F)c(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001239712550 1130066900 /nfs/dbraw/zinc/06/69/00/1130066900.db2.gz GPGHKNWYYLXLHA-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO CCc1ccccc1-c1cc(C[NH+]2CCOCC2)ccc1F ZINC001239745576 1130086438 /nfs/dbraw/zinc/08/64/38/1130086438.db2.gz SAFOONZHCANKNC-UHFFFAOYSA-N 1 2 299.389 3.887 20 0 CHADLO COc1ccc(C)cc1-c1ccc2[nH+]ccn2c1C ZINC001239770426 1130101705 /nfs/dbraw/zinc/10/17/05/1130101705.db2.gz UKCZMYNORXYDFY-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCn1ncc(N[C@H](C)c2cccc(-n3cc[nH+]c3)c2)c1C ZINC000903089443 1130110214 /nfs/dbraw/zinc/11/02/14/1130110214.db2.gz WZZCASDONBYCPV-CYBMUJFWSA-N 1 2 295.390 3.570 20 0 CHADLO CC[N@@H+](Cc1ccc2ccccc2c1)Cn1ccsc1=O ZINC000746611441 1130118403 /nfs/dbraw/zinc/11/84/03/1130118403.db2.gz LCYLFXVKWBJOLQ-UHFFFAOYSA-N 1 2 298.411 3.543 20 0 CHADLO CC[N@H+](Cc1ccc2ccccc2c1)Cn1ccsc1=O ZINC000746611441 1130118405 /nfs/dbraw/zinc/11/84/05/1130118405.db2.gz LCYLFXVKWBJOLQ-UHFFFAOYSA-N 1 2 298.411 3.543 20 0 CHADLO COc1ccc2cc(-c3nc4c(ccn4C)c[nH+]3)ccc2c1 ZINC001239841060 1130131997 /nfs/dbraw/zinc/13/19/97/1130131997.db2.gz ZFVYTSZVBKTESA-UHFFFAOYSA-N 1 2 289.338 3.797 20 0 CHADLO C/C(=C\C(C)(C)C)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000772696081 1130132884 /nfs/dbraw/zinc/13/28/84/1130132884.db2.gz VOHWJNUNMBJEQJ-DHZHZOJOSA-N 1 2 271.364 3.574 20 0 CHADLO CCCCOC(=O)C[N@H+](CC)Cc1ccc(Cl)cc1 ZINC000746653280 1130134000 /nfs/dbraw/zinc/13/40/00/1130134000.db2.gz SLEUTLCSWNXXPD-UHFFFAOYSA-N 1 2 283.799 3.505 20 0 CHADLO CCCCOC(=O)C[N@@H+](CC)Cc1ccc(Cl)cc1 ZINC000746653280 1130134003 /nfs/dbraw/zinc/13/40/03/1130134003.db2.gz SLEUTLCSWNXXPD-UHFFFAOYSA-N 1 2 283.799 3.505 20 0 CHADLO CCN(CC)C(=O)c1ccc(-c2c(C)cc[nH+]c2C)cc1 ZINC001239890628 1130147133 /nfs/dbraw/zinc/14/71/33/1130147133.db2.gz PXSXIDSWAOTUEN-UHFFFAOYSA-N 1 2 282.387 3.847 20 0 CHADLO COCc1cccc(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001239935608 1130158233 /nfs/dbraw/zinc/15/82/33/1130158233.db2.gz HDTTWBIJQOHJNY-UHFFFAOYSA-N 1 2 278.355 3.745 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](c2ccccn2)C2CC2)c(C)s1 ZINC000903340995 1130162544 /nfs/dbraw/zinc/16/25/44/1130162544.db2.gz UNMMZEJXFIFRNQ-HWPZZCPQSA-N 1 2 287.432 3.957 20 0 CHADLO Cc1ccc(F)c(-c2cc(N)c(C(F)(F)F)c[nH+]2)c1 ZINC001239965095 1130174953 /nfs/dbraw/zinc/17/49/53/1130174953.db2.gz ZJYNZWKIGMNWMY-UHFFFAOYSA-N 1 2 270.229 3.797 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cccc2cnccc21 ZINC001240009402 1130191798 /nfs/dbraw/zinc/19/17/98/1130191798.db2.gz PZZAVMTYVJYHRX-UHFFFAOYSA-N 1 2 275.311 3.787 20 0 CHADLO Cc1cc(NCc2ccc(-c3cc[nH]n3)o2)ccc1[NH+](C)C ZINC000788524156 1130192607 /nfs/dbraw/zinc/19/26/07/1130192607.db2.gz PCJXDEWOABNJGC-UHFFFAOYSA-N 1 2 296.374 3.656 20 0 CHADLO COc1ccc(-c2cn3cc[nH+]c3cc2OC)cc1Cl ZINC001240031290 1130199739 /nfs/dbraw/zinc/19/97/39/1130199739.db2.gz GAWXVUDMHJXXMA-UHFFFAOYSA-N 1 2 288.734 3.672 20 0 CHADLO Cc1cc(-c2cccc(C(=O)NC(C)C)c2)cc(C)[nH+]1 ZINC001240080943 1130208929 /nfs/dbraw/zinc/20/89/29/1130208929.db2.gz ZBWSXETWVZSTNI-UHFFFAOYSA-N 1 2 268.360 3.504 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc3n[nH]cc3c2)cc1 ZINC001240212409 1130231587 /nfs/dbraw/zinc/23/15/87/1130231587.db2.gz GXXRKZBVUYBSDP-UHFFFAOYSA-N 1 2 274.327 3.630 20 0 CHADLO c1cn2cc(-c3cncc(Oc4ccccc4)n3)ccc2[nH+]1 ZINC001240213938 1130233644 /nfs/dbraw/zinc/23/36/44/1130233644.db2.gz IHKBIIZOCKQIIP-UHFFFAOYSA-N 1 2 288.310 3.584 20 0 CHADLO c1cn2cc(-c3ccc(N4CCCCC4)cc3)ccc2[nH+]1 ZINC001240218314 1130235385 /nfs/dbraw/zinc/23/53/85/1130235385.db2.gz ARAYPYMONAUIFO-UHFFFAOYSA-N 1 2 277.371 3.992 20 0 CHADLO Cc1cccc(-c2ccc(-c3ccc4[nH+]ccn4c3)nn2)c1 ZINC001240216959 1130235433 /nfs/dbraw/zinc/23/54/33/1130235433.db2.gz URDKEBYQJIOXLN-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO CCc1nc2sccc2c(-c2ccc3[nH+]ccn3c2)n1 ZINC001240217378 1130235493 /nfs/dbraw/zinc/23/54/93/1130235493.db2.gz OHFLOTBYUJQVDE-UHFFFAOYSA-N 1 2 280.356 3.568 20 0 CHADLO COc1cc2cnc(-c3ccc4[nH+]ccn4c3)cc2cc1F ZINC001240217310 1130236224 /nfs/dbraw/zinc/23/62/24/1130236224.db2.gz MGODJSQYJUFISJ-UHFFFAOYSA-N 1 2 293.301 3.697 20 0 CHADLO COc1ccnc2ccc(-c3ccc4[nH+]ccn4c3)cc21 ZINC001240219180 1130236763 /nfs/dbraw/zinc/23/67/63/1130236763.db2.gz DIYAVWJRWMCNQQ-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CCOc1cc(-c2ccc3[nH+]ccn3c2)ccc1F ZINC001240218933 1130236808 /nfs/dbraw/zinc/23/68/08/1130236808.db2.gz QAPLBRFAWKKAEF-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO c1cn2cc(-c3ccc(OCC4CCC4)nc3)ccc2[nH+]1 ZINC001240218336 1130237160 /nfs/dbraw/zinc/23/71/60/1130237160.db2.gz KWRQUVUOZGBLOD-UHFFFAOYSA-N 1 2 279.343 3.575 20 0 CHADLO c1cnn(-c2cccc(-c3ccc(-c4[nH]cc[nH+]4)cc3)c2)c1 ZINC001240248567 1130246823 /nfs/dbraw/zinc/24/68/23/1130246823.db2.gz MIZVTCWNCCVDHL-UHFFFAOYSA-N 1 2 286.338 3.929 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc3cnn(C)c3c2)cc1 ZINC001240311191 1130261988 /nfs/dbraw/zinc/26/19/88/1130261988.db2.gz PWMHZTNYODDJPS-UHFFFAOYSA-N 1 2 288.354 3.641 20 0 CHADLO NC(Cc1ccccc1Cl)=[NH+]OCCCCCF ZINC000789588618 1130262142 /nfs/dbraw/zinc/26/21/42/1130262142.db2.gz NOXHHCGMIGHFIG-UHFFFAOYSA-N 1 2 272.751 3.521 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc(C(C)C)s2)nc1 ZINC000789590520 1130262420 /nfs/dbraw/zinc/26/24/20/1130262420.db2.gz TUIKCJUWHQXICI-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc(C(C)C)s2)nc1 ZINC000789590520 1130262422 /nfs/dbraw/zinc/26/24/22/1130262422.db2.gz TUIKCJUWHQXICI-UHFFFAOYSA-N 1 2 275.421 3.602 20 0 CHADLO NC(=[NH+]OCCCCCF)c1cccc2ccccc21 ZINC000789592555 1130263816 /nfs/dbraw/zinc/26/38/16/1130263816.db2.gz WPZWJWJOGZNYHR-UHFFFAOYSA-N 1 2 274.339 3.826 20 0 CHADLO CN(C)c1cc(CSCCCCCF)cc[nH+]1 ZINC000789695851 1130271170 /nfs/dbraw/zinc/27/11/70/1130271170.db2.gz HQSYBSLMCYDAAY-UHFFFAOYSA-N 1 2 256.390 3.521 20 0 CHADLO c1[nH]nc2c1CC[N@@H+](Cc1ccc(-c3ccccc3)cc1)C2 ZINC000676792828 1130271496 /nfs/dbraw/zinc/27/14/96/1130271496.db2.gz SQPJYKSPPNLPRX-UHFFFAOYSA-N 1 2 289.382 3.635 20 0 CHADLO c1[nH]nc2c1CC[N@H+](Cc1ccc(-c3ccccc3)cc1)C2 ZINC000676792828 1130271500 /nfs/dbraw/zinc/27/15/00/1130271500.db2.gz SQPJYKSPPNLPRX-UHFFFAOYSA-N 1 2 289.382 3.635 20 0 CHADLO c1n[nH]c2c1CC[N@@H+](Cc1ccc(-c3ccccc3)cc1)C2 ZINC000676792828 1130271504 /nfs/dbraw/zinc/27/15/04/1130271504.db2.gz SQPJYKSPPNLPRX-UHFFFAOYSA-N 1 2 289.382 3.635 20 0 CHADLO c1n[nH]c2c1CC[N@H+](Cc1ccc(-c3ccccc3)cc1)C2 ZINC000676792828 1130271506 /nfs/dbraw/zinc/27/15/06/1130271506.db2.gz SQPJYKSPPNLPRX-UHFFFAOYSA-N 1 2 289.382 3.635 20 0 CHADLO C[C@H](c1ccc2ccccc2c1)[N@@H+]1CCc2cn[nH]c2C1 ZINC000676792691 1130271638 /nfs/dbraw/zinc/27/16/38/1130271638.db2.gz KLYVQWAVJGNYSN-CYBMUJFWSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@H](c1ccc2ccccc2c1)[N@H+]1CCc2cn[nH]c2C1 ZINC000676792691 1130271643 /nfs/dbraw/zinc/27/16/43/1130271643.db2.gz KLYVQWAVJGNYSN-CYBMUJFWSA-N 1 2 277.371 3.682 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc2ncsc2c1 ZINC001240344596 1130272410 /nfs/dbraw/zinc/27/24/10/1130272410.db2.gz ABBKIJPKQGIVOH-UHFFFAOYSA-N 1 2 281.340 3.620 20 0 CHADLO Cc1cn2c([nH+]1)[C@H](CNc1nc3cc(C)ccc3o1)CCC2 ZINC000676878750 1130274557 /nfs/dbraw/zinc/27/45/57/1130274557.db2.gz FSCWLPCXXZAQII-ZDUSSCGKSA-N 1 2 296.374 3.631 20 0 CHADLO Clc1c(CNc2cccc[nH+]2)cnn1Cc1ccccc1 ZINC000789876677 1130279192 /nfs/dbraw/zinc/27/91/92/1130279192.db2.gz HSWTWRASHBVXGY-UHFFFAOYSA-N 1 2 298.777 3.592 20 0 CHADLO Cc1c(CN2Cc3cccc(Cl)c3C2)[nH+]c2ccccn12 ZINC001137274057 1130281385 /nfs/dbraw/zinc/28/13/85/1130281385.db2.gz FCOFKLLXKJIEBO-UHFFFAOYSA-N 1 2 297.789 3.812 20 0 CHADLO c1cc2cc(-c3cc[nH+]c(N4CCCCC4)c3)cnc2[nH]1 ZINC001240392632 1130288792 /nfs/dbraw/zinc/28/87/92/1130288792.db2.gz MEKHAAXTDZPJFM-UHFFFAOYSA-N 1 2 278.359 3.567 20 0 CHADLO CC(C)(O)c1cccc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001240407926 1130295254 /nfs/dbraw/zinc/29/52/54/1130295254.db2.gz SGZJZORBEMYGGO-UHFFFAOYSA-N 1 2 292.382 3.826 20 0 CHADLO CC(C)CCn1cc(-c2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001240418742 1130300161 /nfs/dbraw/zinc/30/01/61/1130300161.db2.gz QXYNIYFSEWBFND-UHFFFAOYSA-N 1 2 280.375 3.782 20 0 CHADLO CCCC1CCC([N@H+](C)Cn2ncn(CC)c2=S)CC1 ZINC000790482181 1130315232 /nfs/dbraw/zinc/31/52/32/1130315232.db2.gz ZMEDOEGGKBMGHV-UHFFFAOYSA-N 1 2 296.484 3.682 20 0 CHADLO CCCC1CCC([N@@H+](C)Cn2ncn(CC)c2=S)CC1 ZINC000790482181 1130315233 /nfs/dbraw/zinc/31/52/33/1130315233.db2.gz ZMEDOEGGKBMGHV-UHFFFAOYSA-N 1 2 296.484 3.682 20 0 CHADLO C[C@@H](CC1CC1)[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790501832 1130316317 /nfs/dbraw/zinc/31/63/17/1130316317.db2.gz ZOCLNTFQURFVJR-SOUVJXGZSA-N 1 2 288.435 3.901 20 0 CHADLO Cc1ccc2nc(CNc3ccc([NH+](C)C)cc3C)cn2c1 ZINC000790518425 1130317463 /nfs/dbraw/zinc/31/74/63/1130317463.db2.gz GUUJMJICOKJAMS-UHFFFAOYSA-N 1 2 294.402 3.629 20 0 CHADLO C[C@@H]1CC[C@@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)C1 ZINC000790504410 1130317740 /nfs/dbraw/zinc/31/77/40/1130317740.db2.gz FRMJJXITTKHQOJ-PNBKFKSVSA-N 1 2 288.435 3.901 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[NH+]1CC2(C1)CC(F)(F)C2 ZINC000677733179 1130326432 /nfs/dbraw/zinc/32/64/32/1130326432.db2.gz MNIOJXOBZJPAAI-VIFPVBQESA-N 1 2 273.273 3.757 20 0 CHADLO CCc1cc(OC)ccc1-c1ccn2cc[nH+]c2c1 ZINC001240508848 1130334500 /nfs/dbraw/zinc/33/45/00/1130334500.db2.gz MJIISOSMBFGMFO-UHFFFAOYSA-N 1 2 252.317 3.572 20 0 CHADLO CC(C)Oc1ccc(-c2ccn3cc[nH+]c3c2)cc1F ZINC001240508868 1130334555 /nfs/dbraw/zinc/33/45/55/1130334555.db2.gz NJJODQVKVFFQHA-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO Fc1cc2ncccc2c(F)c1-c1ccn2cc[nH+]c2c1 ZINC001240508914 1130334685 /nfs/dbraw/zinc/33/46/85/1130334685.db2.gz PDGVLKLITPPIIV-UHFFFAOYSA-N 1 2 281.265 3.828 20 0 CHADLO c1cn2ccc(-c3ccc4nscc4c3)cc2[nH+]1 ZINC001240510158 1130335289 /nfs/dbraw/zinc/33/52/89/1130335289.db2.gz DLYOXHMXPIOCIQ-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO CCOc1ccc2cc(-c3ccn4cc[nH+]c4c3)cnc2c1 ZINC001240511308 1130335873 /nfs/dbraw/zinc/33/58/73/1130335873.db2.gz DFEGLZOTCBVJBT-UHFFFAOYSA-N 1 2 289.338 3.948 20 0 CHADLO CC(C)Oc1cc(F)ccc1-c1ccn2cc[nH+]c2c1 ZINC001240511933 1130335956 /nfs/dbraw/zinc/33/59/56/1130335956.db2.gz MGXSUPLYFLJACU-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO CCOC(=O)/C=C/c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240510310 1130335980 /nfs/dbraw/zinc/33/59/80/1130335980.db2.gz HMFHLMRQZQLGID-VMPITWQZSA-N 1 2 292.338 3.578 20 0 CHADLO CSc1ccc(-c2ccn3cc[nH+]c3c2)c(F)c1 ZINC001240511605 1130336141 /nfs/dbraw/zinc/33/61/41/1130336141.db2.gz JDOXAJUZBSFHFZ-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)[C@@H](C)c1nc(C)no1 ZINC000677887294 1130337774 /nfs/dbraw/zinc/33/77/74/1130337774.db2.gz GBFKOUSYCCSJHA-JTQLQIEISA-N 1 2 279.771 3.615 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)[C@@H](C)c1nc(C)no1 ZINC000677887294 1130337780 /nfs/dbraw/zinc/33/77/80/1130337780.db2.gz GBFKOUSYCCSJHA-JTQLQIEISA-N 1 2 279.771 3.615 20 0 CHADLO Cc1ccc2c(c1)nccc2-c1ccn2cc[nH+]c2c1 ZINC001240518252 1130340320 /nfs/dbraw/zinc/34/03/20/1130340320.db2.gz MPJRGKAYOSTRBR-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCNC(=O)Nc1ccc(-c2cc(C)[nH+]c(C)c2)cc1 ZINC001240578874 1130370718 /nfs/dbraw/zinc/37/07/18/1130370718.db2.gz XQXLKYGULBZGCI-UHFFFAOYSA-N 1 2 269.348 3.507 20 0 CHADLO COC(=[NH2+])c1cccc(-c2ccc(N3CCCCC3)nc2)c1 ZINC001240585733 1130373344 /nfs/dbraw/zinc/37/33/44/1130373344.db2.gz QSBLEUFKJOKQPD-UHFFFAOYSA-N 1 2 295.386 3.711 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCOC[C@@H]2c2ccco2)cc1C ZINC000678264960 1130381944 /nfs/dbraw/zinc/38/19/44/1130381944.db2.gz QLHIIZXGLQAIST-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCOC[C@@H]2c2ccco2)cc1C ZINC000678264960 1130381951 /nfs/dbraw/zinc/38/19/51/1130381951.db2.gz QLHIIZXGLQAIST-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1cc(O)ccc1-c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001240644367 1130397273 /nfs/dbraw/zinc/39/72/73/1130397273.db2.gz MKBBIIXCRVFPLA-UHFFFAOYSA-N 1 2 250.301 3.758 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2cccc(F)c2F)[nH]1 ZINC001137332134 1130398147 /nfs/dbraw/zinc/39/81/47/1130398147.db2.gz HXNMKWAPXTZAKN-UHFFFAOYSA-N 1 2 264.319 3.542 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2cccc(F)c2F)[nH]1 ZINC001137332134 1130398161 /nfs/dbraw/zinc/39/81/61/1130398161.db2.gz HXNMKWAPXTZAKN-UHFFFAOYSA-N 1 2 264.319 3.542 20 0 CHADLO COc1cc(-c2cn3cc[nH+]c3cc2C)cc(F)c1F ZINC001240662589 1130408195 /nfs/dbraw/zinc/40/81/95/1130408195.db2.gz KMPAPOHNFCFHIR-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1csc(C2CC2)n1 ZINC000678361601 1130408443 /nfs/dbraw/zinc/40/84/43/1130408443.db2.gz HYVGJBZDSWVBAT-LLVKDONJSA-N 1 2 273.405 3.575 20 0 CHADLO COc1ccc(C2([NH2+]Cc3csc(Cl)n3)CC2)cc1 ZINC000828688871 1130425176 /nfs/dbraw/zinc/42/51/76/1130425176.db2.gz YAAOAYASPQVBRE-UHFFFAOYSA-N 1 2 294.807 3.584 20 0 CHADLO CCCCC[C@](C)(CC)C(=O)OCc1cc[nH+]c(N)c1 ZINC000792161817 1130434234 /nfs/dbraw/zinc/43/42/34/1130434234.db2.gz YBWXLBWTRXGKSU-INIZCTEOSA-N 1 2 278.396 3.704 20 0 CHADLO COc1c(F)ccc(F)c1-c1cc(C)cn2cc[nH+]c12 ZINC001240725162 1130440361 /nfs/dbraw/zinc/44/03/61/1130440361.db2.gz CQBILKPOVRCILH-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO C[C@H]([NH2+]Cc1ncccn1)c1csc2ccccc21 ZINC000792290288 1130442688 /nfs/dbraw/zinc/44/26/88/1130442688.db2.gz YTAICGMEUISUHP-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO CC[C@H](Nc1cc[nH+]cc1F)c1ccc(Cl)cc1 ZINC000678788913 1130455403 /nfs/dbraw/zinc/45/54/03/1130455403.db2.gz QQHOJYLTRDOISJ-ZDUSSCGKSA-N 1 2 264.731 3.859 20 0 CHADLO CCc1noc(C[NH2+][C@@H](c2ccccc2C)C(C)(C)C)n1 ZINC000678785547 1130455692 /nfs/dbraw/zinc/45/56/92/1130455692.db2.gz JPRARJIYRSAGQP-INIZCTEOSA-N 1 2 287.407 3.817 20 0 CHADLO c1cn(CCCN2Cc3ccccc3-c3ccccc32)c[nH+]1 ZINC000678886061 1130468496 /nfs/dbraw/zinc/46/84/96/1130468496.db2.gz MDBJEJCJSHWQAD-UHFFFAOYSA-N 1 2 289.382 3.960 20 0 CHADLO C[C@@H](CC1CCC1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000679003936 1130483012 /nfs/dbraw/zinc/48/30/12/1130483012.db2.gz BYKDPNHQTVNEGF-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO COc1ccc2nc(/C=C\c3[nH]cc[nH+]3)ccc2c1Cl ZINC000792627923 1130483325 /nfs/dbraw/zinc/48/33/25/1130483325.db2.gz KIAUYZPNFHNXCO-CLTKARDFSA-N 1 2 285.734 3.790 20 0 CHADLO COc1ccc2c(c1)C[C@H](Nc1cc3cc[nH]c3c[nH+]1)CC2 ZINC001170405162 1130491704 /nfs/dbraw/zinc/49/17/04/1130491704.db2.gz VOFXTKFELIHQQW-OAHLLOKOSA-N 1 2 293.370 3.541 20 0 CHADLO COc1ccc(-c2cc3[nH]c[nH+]c3cc2OC)cc1C ZINC001240864556 1130497011 /nfs/dbraw/zinc/49/70/11/1130497011.db2.gz AHMHXFVCVQJPCL-UHFFFAOYSA-N 1 2 268.316 3.556 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cccc2cccnc21 ZINC001240894271 1130506207 /nfs/dbraw/zinc/50/62/07/1130506207.db2.gz FAXSLDSASSQXOW-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CN(C)c1ccc(-c2cccc(-c3c[nH+]cn3C)c2)cc1 ZINC001240915500 1130515047 /nfs/dbraw/zinc/51/50/47/1130515047.db2.gz UXLQNLKIXRZFLL-UHFFFAOYSA-N 1 2 277.371 3.820 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)[nH]1 ZINC001137370391 1130518849 /nfs/dbraw/zinc/51/88/49/1130518849.db2.gz BOZYABIUYPGEJK-CABCVRRESA-N 1 2 288.435 3.646 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)[nH]1 ZINC001137370391 1130518854 /nfs/dbraw/zinc/51/88/54/1130518854.db2.gz BOZYABIUYPGEJK-CABCVRRESA-N 1 2 288.435 3.646 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(C(C)(C)C)[nH]2)no1 ZINC001137370386 1130519159 /nfs/dbraw/zinc/51/91/59/1130519159.db2.gz BLVGSFYNCKRQRP-OAHLLOKOSA-N 1 2 287.407 3.946 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(C(C)(C)C)[nH]2)no1 ZINC001137370386 1130519163 /nfs/dbraw/zinc/51/91/63/1130519163.db2.gz BLVGSFYNCKRQRP-OAHLLOKOSA-N 1 2 287.407 3.946 20 0 CHADLO Cc1c(-c2cccc(C(=O)OC(C)C)c2)ccc2[nH+]ccn21 ZINC001240948507 1130529372 /nfs/dbraw/zinc/52/93/72/1130529372.db2.gz PJFGAOYBFTWBKQ-UHFFFAOYSA-N 1 2 294.354 3.875 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CC[C@@H](C)[C@H](C)C3)cc2[nH+]1 ZINC001137393364 1130562309 /nfs/dbraw/zinc/56/23/09/1130562309.db2.gz ZPCKRKPTYDHUAQ-NQBHXWOUSA-N 1 2 285.391 3.882 20 0 CHADLO C[C@H]1C[N@H+](Cn2nc(Cl)c3ccccc32)CCC1(F)F ZINC000811779994 1130643884 /nfs/dbraw/zinc/64/38/84/1130643884.db2.gz KTFGBERLJGUAMJ-JTQLQIEISA-N 1 2 299.752 3.624 20 0 CHADLO C[C@H]1C[N@@H+](Cn2nc(Cl)c3ccccc32)CCC1(F)F ZINC000811779994 1130643886 /nfs/dbraw/zinc/64/38/86/1130643886.db2.gz KTFGBERLJGUAMJ-JTQLQIEISA-N 1 2 299.752 3.624 20 0 CHADLO c1nc2cccc(OC3C[NH+](Cc4ccccc4)C3)c2s1 ZINC001234478448 1130649112 /nfs/dbraw/zinc/64/91/12/1130649112.db2.gz SFLULAXKUJQLIV-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H]2COCc3ccccc32)cc1 ZINC001234587448 1130658397 /nfs/dbraw/zinc/65/83/97/1130658397.db2.gz ACEFWQMQWGEMBH-QGZVFWFLSA-N 1 2 297.354 3.699 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2nnc(C(C)(C)C)o2)c1 ZINC000759645153 1130667147 /nfs/dbraw/zinc/66/71/47/1130667147.db2.gz RDJVLMJCVSDVFV-UHFFFAOYSA-N 1 2 287.407 3.616 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2nnc(C(C)(C)C)o2)c1 ZINC000759645153 1130667148 /nfs/dbraw/zinc/66/71/48/1130667148.db2.gz RDJVLMJCVSDVFV-UHFFFAOYSA-N 1 2 287.407 3.616 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@H]1CCCOC1 ZINC000780737678 1130688955 /nfs/dbraw/zinc/68/89/55/1130688955.db2.gz AOBZBSFEJGMSGZ-LSDHHAIUSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@@H]1CCCOC1 ZINC000780737678 1130688957 /nfs/dbraw/zinc/68/89/57/1130688957.db2.gz AOBZBSFEJGMSGZ-LSDHHAIUSA-N 1 2 274.408 3.514 20 0 CHADLO Cc1cn2c(cccc2-c2cnc(Cl)cc2C)[nH+]1 ZINC001244916423 1130707301 /nfs/dbraw/zinc/70/73/01/1130707301.db2.gz QVCSTQFPAJQWJD-UHFFFAOYSA-N 1 2 257.724 3.667 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)C2CC(F)(F)C2)c(F)c1 ZINC001235191907 1130722113 /nfs/dbraw/zinc/72/21/13/1130722113.db2.gz DAZASVOFLYMSQC-UHFFFAOYSA-N 1 2 261.262 3.503 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)C2CC(F)(F)C2)c(F)c1 ZINC001235191907 1130722117 /nfs/dbraw/zinc/72/21/17/1130722117.db2.gz DAZASVOFLYMSQC-UHFFFAOYSA-N 1 2 261.262 3.503 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cc2c(cccc2Br)[nH]1 ZINC001235212143 1130724470 /nfs/dbraw/zinc/72/44/70/1130724470.db2.gz JAZBHADLRPPSPE-SECBINFHSA-N 1 2 279.181 3.525 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cc2c(cccc2Br)[nH]1 ZINC001235212143 1130724472 /nfs/dbraw/zinc/72/44/72/1130724472.db2.gz JAZBHADLRPPSPE-SECBINFHSA-N 1 2 279.181 3.525 20 0 CHADLO CCc1cccc(C[N@H+](C)Cc2ccccc2Cl)n1 ZINC001235256581 1130730165 /nfs/dbraw/zinc/73/01/65/1130730165.db2.gz FPBADWWCBYEQFG-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1cccc(C[N@@H+](C)Cc2ccccc2Cl)n1 ZINC001235256581 1130730171 /nfs/dbraw/zinc/73/01/71/1130730171.db2.gz FPBADWWCBYEQFG-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO COCCCCCO[NH+]=C(N)c1cccc2ccccc21 ZINC000747833992 1130731195 /nfs/dbraw/zinc/73/11/95/1130731195.db2.gz IYIGASDVXRJJIL-UHFFFAOYSA-N 1 2 286.375 3.503 20 0 CHADLO Fc1cc(C[N@@H+]2CCC3(CCC3)C2)c(F)c(F)c1F ZINC001235354619 1130735455 /nfs/dbraw/zinc/73/54/55/1130735455.db2.gz LOGXYDIERYVLAA-UHFFFAOYSA-N 1 2 273.273 3.619 20 0 CHADLO Fc1cc(C[N@H+]2CCC3(CCC3)C2)c(F)c(F)c1F ZINC001235354619 1130735460 /nfs/dbraw/zinc/73/54/60/1130735460.db2.gz LOGXYDIERYVLAA-UHFFFAOYSA-N 1 2 273.273 3.619 20 0 CHADLO Cc1cnc(F)c(C[N@@H+]2CCSc3ccccc3C2)c1 ZINC001235345456 1130736350 /nfs/dbraw/zinc/73/63/50/1130736350.db2.gz KJQAAHIUSZDKNE-UHFFFAOYSA-N 1 2 288.391 3.637 20 0 CHADLO Cc1cnc(F)c(C[N@H+]2CCSc3ccccc3C2)c1 ZINC001235345456 1130736354 /nfs/dbraw/zinc/73/63/54/1130736354.db2.gz KJQAAHIUSZDKNE-UHFFFAOYSA-N 1 2 288.391 3.637 20 0 CHADLO Cc1cc(-c2c(F)ccc(F)c2C)cn2cc[nH+]c12 ZINC001244983706 1130751239 /nfs/dbraw/zinc/75/12/39/1130751239.db2.gz ZVJMFKDXZBRFAI-UHFFFAOYSA-N 1 2 258.271 3.896 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCc3occc3C2)c1 ZINC001235539107 1130753840 /nfs/dbraw/zinc/75/38/40/1130753840.db2.gz WYNVGEWQVQRPBV-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCc3occc3C2)c1 ZINC001235539107 1130753847 /nfs/dbraw/zinc/75/38/47/1130753847.db2.gz WYNVGEWQVQRPBV-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO CCc1ccc(N(C(=O)CCCn2cc[nH+]c2)C(C)C)cc1 ZINC000813259633 1130754518 /nfs/dbraw/zinc/75/45/18/1130754518.db2.gz PYWNXZDOVZXAHS-UHFFFAOYSA-N 1 2 299.418 3.667 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1nc3cc(F)ccc3[nH]1)CC2 ZINC001235681484 1130767829 /nfs/dbraw/zinc/76/78/29/1130767829.db2.gz BOSVRHJRJUXRGP-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1nc3cc(F)ccc3[nH]1)CC2 ZINC001235681484 1130767833 /nfs/dbraw/zinc/76/78/33/1130767833.db2.gz BOSVRHJRJUXRGP-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO COc1nccc(C[N@H+](C)Cc2ccc(F)cc2)c1Cl ZINC001235829944 1130785983 /nfs/dbraw/zinc/78/59/83/1130785983.db2.gz JDVGMMMFLVZLNZ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1nccc(C[N@@H+](C)Cc2ccc(F)cc2)c1Cl ZINC001235829944 1130785991 /nfs/dbraw/zinc/78/59/91/1130785991.db2.gz JDVGMMMFLVZLNZ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1cccc(C)c1C[NH2+][C@H](c1nnc[nH]1)C1CCCCC1 ZINC000813859532 1130789699 /nfs/dbraw/zinc/78/96/99/1130789699.db2.gz WBWOKNWEXIHWCI-KRWDZBQOSA-N 1 2 298.434 3.833 20 0 CHADLO Cc1c(-c2ccnn2Cc2ccccc2)ccc2[nH+]ccn21 ZINC001236054723 1130841463 /nfs/dbraw/zinc/84/14/63/1130841463.db2.gz TWJHSCIAUUIBNV-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO CCC[C@@H](CC1CCCC1)C(=O)OCCCn1cc[nH+]c1 ZINC000783669468 1130846066 /nfs/dbraw/zinc/84/60/66/1130846066.db2.gz INWYXPFMFMYYEG-INIZCTEOSA-N 1 2 292.423 3.813 20 0 CHADLO COCOc1ccc(F)cc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001236073066 1130848125 /nfs/dbraw/zinc/84/81/25/1130848125.db2.gz BLQRJTGPNMNZGL-UHFFFAOYSA-N 1 2 298.317 3.661 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1ccc3c(c1)NCC3)C2 ZINC001236072588 1130849122 /nfs/dbraw/zinc/84/91/22/1130849122.db2.gz KUMWFTSDSUXAGG-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1ccc3c(c1)NCC3)C2 ZINC001236072588 1130849131 /nfs/dbraw/zinc/84/91/31/1130849131.db2.gz KUMWFTSDSUXAGG-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO Nc1ccc2c(c1)CC[N@@H+](Cc1cc(Cl)ccc1F)C2 ZINC001236105583 1130863960 /nfs/dbraw/zinc/86/39/60/1130863960.db2.gz QAIZIHAMLMTLFG-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc2c(c1)CC[N@H+](Cc1cc(Cl)ccc1F)C2 ZINC001236105583 1130863966 /nfs/dbraw/zinc/86/39/66/1130863966.db2.gz QAIZIHAMLMTLFG-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(F)c(C(=O)N2CCCC2)c1 ZINC001236170524 1130878604 /nfs/dbraw/zinc/87/86/04/1130878604.db2.gz UVYXEIJBRQJZHK-UHFFFAOYSA-N 1 2 298.361 3.741 20 0 CHADLO COc1cc(C)cc(F)c1-c1cc(C)c2[nH+]ccn2c1 ZINC001236234229 1130900213 /nfs/dbraw/zinc/90/02/13/1130900213.db2.gz XFDYLEMVRONQJP-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO COc1cc[nH+]cc1C1=CC[C@H](C(F)(F)F)CC1 ZINC001236248816 1130905115 /nfs/dbraw/zinc/90/51/15/1130905115.db2.gz MADIKIMUUSIULI-JTQLQIEISA-N 1 2 257.255 3.836 20 0 CHADLO Cc1cccc([C@@H](C)OC(=O)[C@@H](c2ccccc2)[NH+](C)C)c1 ZINC000784588447 1130911876 /nfs/dbraw/zinc/91/18/76/1130911876.db2.gz KKTPTYXVHNAICG-CRAIPNDOSA-N 1 2 297.398 3.902 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1c(F)ccc(C)c1O ZINC001236414385 1130932751 /nfs/dbraw/zinc/93/27/51/1130932751.db2.gz JTGLBBQJILYYNF-UHFFFAOYSA-N 1 2 298.317 3.701 20 0 CHADLO Nc1ccc(Nc2cccnc2OC2CCCCC2)c[nH+]1 ZINC001159282401 1130955018 /nfs/dbraw/zinc/95/50/18/1130955018.db2.gz WTBNWINWYKWHIU-UHFFFAOYSA-N 1 2 284.363 3.514 20 0 CHADLO CCOc1ccc(Nc2ccc(N)[nH+]c2)c(C(F)(F)F)c1 ZINC001159282606 1130955067 /nfs/dbraw/zinc/95/50/67/1130955067.db2.gz ZHDZCVJBSUSDAS-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO Cc1ccc(-c2ccccc2Cn2cc[nH+]c2)c2c[nH]nc21 ZINC001236493593 1130956539 /nfs/dbraw/zinc/95/65/39/1130956539.db2.gz QHQZETQQXSHCNZ-UHFFFAOYSA-N 1 2 288.354 3.783 20 0 CHADLO CCCC[N@H+](Cc1ccccc1)Cc1nccnc1Cl ZINC001236609726 1130983846 /nfs/dbraw/zinc/98/38/46/1130983846.db2.gz CVIAPJHLRSSJPC-UHFFFAOYSA-N 1 2 289.810 3.932 20 0 CHADLO CCCC[N@@H+](Cc1ccccc1)Cc1nccnc1Cl ZINC001236609726 1130983848 /nfs/dbraw/zinc/98/38/48/1130983848.db2.gz CVIAPJHLRSSJPC-UHFFFAOYSA-N 1 2 289.810 3.932 20 0 CHADLO C[N@H+](Cc1cccc2ccccc21)Cc1nccnc1Cl ZINC001236611088 1130984243 /nfs/dbraw/zinc/98/42/43/1130984243.db2.gz KULPDEBDCWDMQV-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@@H+](Cc1cccc2ccccc21)Cc1nccnc1Cl ZINC001236611088 1130984246 /nfs/dbraw/zinc/98/42/46/1130984246.db2.gz KULPDEBDCWDMQV-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCCC[C@](C)(F)C2)n1 ZINC001236641949 1130986942 /nfs/dbraw/zinc/98/69/42/1130986942.db2.gz ZRUFQQGUXFZDGG-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCCC[C@](C)(F)C2)n1 ZINC001236641949 1130986948 /nfs/dbraw/zinc/98/69/48/1130986948.db2.gz ZRUFQQGUXFZDGG-AWEZNQCLSA-N 1 2 270.779 3.758 20 0 CHADLO CC1=C(Br)C[N@H+]([C@@H](C)c2ccncc2)CC1 ZINC000797528381 1130990433 /nfs/dbraw/zinc/99/04/33/1130990433.db2.gz QNVXOVLZFHQAGD-NSHDSACASA-N 1 2 281.197 3.517 20 0 CHADLO CC1=C(Br)C[N@@H+]([C@@H](C)c2ccncc2)CC1 ZINC000797528381 1130990436 /nfs/dbraw/zinc/99/04/36/1130990436.db2.gz QNVXOVLZFHQAGD-NSHDSACASA-N 1 2 281.197 3.517 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)Cc1cccc2sccc21 ZINC001236687881 1130994141 /nfs/dbraw/zinc/99/41/41/1130994141.db2.gz UIUVVTOXNOCOIU-UHFFFAOYSA-N 1 2 291.416 3.675 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)Cc1cccc2sccc21 ZINC001236687881 1130994146 /nfs/dbraw/zinc/99/41/46/1130994146.db2.gz UIUVVTOXNOCOIU-UHFFFAOYSA-N 1 2 291.416 3.675 20 0 CHADLO Cc1cccc(C[N@@H+]2CCO[C@@H](C(C)C)C2)c1Cl ZINC001236858440 1131022600 /nfs/dbraw/zinc/02/26/00/1131022600.db2.gz MZKACPPAJKROSS-CQSZACIVSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1cccc(C[N@H+]2CCO[C@@H](C(C)C)C2)c1Cl ZINC001236858440 1131022606 /nfs/dbraw/zinc/02/26/06/1131022606.db2.gz MZKACPPAJKROSS-CQSZACIVSA-N 1 2 267.800 3.505 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1nc2ccccc2cc1Cl ZINC001236870908 1131027566 /nfs/dbraw/zinc/02/75/66/1131027566.db2.gz OIYXBQZKGIUGPV-ZYHUDNBSSA-N 1 2 278.758 3.821 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1nc2ccccc2cc1Cl ZINC001236870908 1131027572 /nfs/dbraw/zinc/02/75/72/1131027572.db2.gz OIYXBQZKGIUGPV-ZYHUDNBSSA-N 1 2 278.758 3.821 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cc2c(F)cc(F)cc2nc1Cl ZINC001236873021 1131027654 /nfs/dbraw/zinc/02/76/54/1131027654.db2.gz NJUQSXPQTGLFJY-QMMMGPOBSA-N 1 2 282.721 3.761 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cc2c(F)cc(F)cc2nc1Cl ZINC001236873021 1131027657 /nfs/dbraw/zinc/02/76/57/1131027657.db2.gz NJUQSXPQTGLFJY-QMMMGPOBSA-N 1 2 282.721 3.761 20 0 CHADLO O=C(/C=C\c1ccc(Cl)cc1)Nc1ccn2cc[nH+]c2c1 ZINC000798263072 1131031812 /nfs/dbraw/zinc/03/18/12/1131031812.db2.gz SAPUWIAUFWBJIG-UTCJRWHESA-N 1 2 297.745 3.640 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NCOc2ccccc2)c1 ZINC001170809565 1131049817 /nfs/dbraw/zinc/04/98/17/1131049817.db2.gz OVKKMAMPYVBTCH-UHFFFAOYSA-N 1 2 279.343 3.536 20 0 CHADLO COC1C[NH+](Cc2ccc3sc4ccccc4c3c2)C1 ZINC001237196287 1131070074 /nfs/dbraw/zinc/07/00/74/1131070074.db2.gz SBISMJLCZSAYIX-UHFFFAOYSA-N 1 2 283.396 3.885 20 0 CHADLO CC[N@H+](Cc1cc(O)cc(F)c1)Cc1cccc(F)c1F ZINC001237282236 1131077430 /nfs/dbraw/zinc/07/74/30/1131077430.db2.gz AWJCFIIHJKOZQU-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1cc(O)cc(F)c1)Cc1cccc(F)c1F ZINC001237282236 1131077437 /nfs/dbraw/zinc/07/74/37/1131077437.db2.gz AWJCFIIHJKOZQU-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@@H+]1CCC(F)(F)[C@H](F)C1 ZINC001237318886 1131080664 /nfs/dbraw/zinc/08/06/64/1131080664.db2.gz WGYIMKUSILATJY-CYBMUJFWSA-N 1 2 275.289 3.622 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@H+]1CCC(F)(F)[C@H](F)C1 ZINC001237318886 1131080669 /nfs/dbraw/zinc/08/06/69/1131080669.db2.gz WGYIMKUSILATJY-CYBMUJFWSA-N 1 2 275.289 3.622 20 0 CHADLO Cc1cc(F)cc(C)c1C[N@@H+]1CCC(F)(F)[C@@H](F)C1 ZINC001237318888 1131081247 /nfs/dbraw/zinc/08/12/47/1131081247.db2.gz WGYIMKUSILATJY-ZDUSSCGKSA-N 1 2 275.289 3.622 20 0 CHADLO CC[N@H+](Cc1cnn(C)c1Cl)Cc1cccc(Cl)c1 ZINC000816487514 1131099990 /nfs/dbraw/zinc/09/99/90/1131099990.db2.gz POFDMROWJUVCLG-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[N@@H+](Cc1cnn(C)c1Cl)Cc1cccc(Cl)c1 ZINC000816487514 1131099997 /nfs/dbraw/zinc/09/99/97/1131099997.db2.gz POFDMROWJUVCLG-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1cc(Cl)nc(C(F)(F)F)c1 ZINC001237491452 1131103768 /nfs/dbraw/zinc/10/37/68/1131103768.db2.gz OMIAHYNTFLZDBN-QMMMGPOBSA-N 1 2 278.705 3.738 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1cc(Cl)nc(C(F)(F)F)c1 ZINC001237491452 1131103771 /nfs/dbraw/zinc/10/37/71/1131103771.db2.gz OMIAHYNTFLZDBN-QMMMGPOBSA-N 1 2 278.705 3.738 20 0 CHADLO Cc1nocc1C[N@@H+]1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000816512050 1131103898 /nfs/dbraw/zinc/10/38/98/1131103898.db2.gz WHXLMNHSIOCXOM-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1nocc1C[N@H+]1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000816512050 1131103902 /nfs/dbraw/zinc/10/39/02/1131103902.db2.gz WHXLMNHSIOCXOM-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO COc1c(C)cccc1C[N@H+](C)Cc1ccc(F)cc1F ZINC001237507090 1131106070 /nfs/dbraw/zinc/10/60/70/1131106070.db2.gz JVTXAQFQPKDGJM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1c(C)cccc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC001237507090 1131106072 /nfs/dbraw/zinc/10/60/72/1131106072.db2.gz JVTXAQFQPKDGJM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2C[C@H]3CC[C@@H]2C3)cc(Cl)n1 ZINC001237495649 1131106580 /nfs/dbraw/zinc/10/65/80/1131106580.db2.gz BEIQCKXJKMYZKK-WCBMZHEXSA-N 1 2 290.716 3.738 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2C[C@H]3CC[C@@H]2C3)cc(Cl)n1 ZINC001237495649 1131106585 /nfs/dbraw/zinc/10/65/85/1131106585.db2.gz BEIQCKXJKMYZKK-WCBMZHEXSA-N 1 2 290.716 3.738 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2cc(Cl)nc(Cl)c2O)CC1 ZINC001237517939 1131109459 /nfs/dbraw/zinc/10/94/59/1131109459.db2.gz GGPMNMORRJUJSZ-SECBINFHSA-N 1 2 289.206 3.716 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2cc(Cl)nc(Cl)c2O)CC1 ZINC001237517939 1131109461 /nfs/dbraw/zinc/10/94/61/1131109461.db2.gz GGPMNMORRJUJSZ-SECBINFHSA-N 1 2 289.206 3.716 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(C(F)(F)F)ccc2F)[C@H]1C ZINC000816646598 1131117438 /nfs/dbraw/zinc/11/74/38/1131117438.db2.gz GHYWCKWYHHUICO-BDAKNGLRSA-N 1 2 261.262 3.685 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(C(F)(F)F)ccc2F)[C@H]1C ZINC000816646598 1131117440 /nfs/dbraw/zinc/11/74/40/1131117440.db2.gz GHYWCKWYHHUICO-BDAKNGLRSA-N 1 2 261.262 3.685 20 0 CHADLO Nc1cn2ccc(-c3c(Cl)cccc3Cl)cc2[nH+]1 ZINC001245674580 1131124844 /nfs/dbraw/zinc/12/48/44/1131124844.db2.gz FCXYRKQZNMZKJC-UHFFFAOYSA-N 1 2 278.142 3.890 20 0 CHADLO COC[C@@H]1CC[N@@H+]1Cc1cc(C)c(Cl)cc1Cl ZINC001237698813 1131128881 /nfs/dbraw/zinc/12/88/81/1131128881.db2.gz RCSIXICOYAUYFJ-NSHDSACASA-N 1 2 274.191 3.523 20 0 CHADLO COC[C@@H]1CC[N@H+]1Cc1cc(C)c(Cl)cc1Cl ZINC001237698813 1131128884 /nfs/dbraw/zinc/12/88/84/1131128884.db2.gz RCSIXICOYAUYFJ-NSHDSACASA-N 1 2 274.191 3.523 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2CCCC(=O)CC2)c1 ZINC001237699585 1131129929 /nfs/dbraw/zinc/12/99/29/1131129929.db2.gz XNTQFXPLFLGJHK-UHFFFAOYSA-N 1 2 265.784 3.512 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2CCCC(=O)CC2)c1 ZINC001237699585 1131129932 /nfs/dbraw/zinc/12/99/32/1131129932.db2.gz XNTQFXPLFLGJHK-UHFFFAOYSA-N 1 2 265.784 3.512 20 0 CHADLO CCc1cccnc1[C@@H](C)Nc1ccc(C)[nH+]c1C ZINC001116515212 1131151982 /nfs/dbraw/zinc/15/19/82/1131151982.db2.gz HCSVZCMCTRVXOC-CYBMUJFWSA-N 1 2 255.365 3.829 20 0 CHADLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC001135886467 1131155426 /nfs/dbraw/zinc/15/54/26/1131155426.db2.gz ATTYFHFMEIJLAC-MRVPVSSYSA-N 1 2 298.173 3.534 20 0 CHADLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC001135886467 1131155429 /nfs/dbraw/zinc/15/54/29/1131155429.db2.gz ATTYFHFMEIJLAC-MRVPVSSYSA-N 1 2 298.173 3.534 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ncoc2C(C)C)c(C)o1 ZINC001116578529 1131155437 /nfs/dbraw/zinc/15/54/37/1131155437.db2.gz ZZYNYEWHFOXEGE-NSHDSACASA-N 1 2 262.353 3.859 20 0 CHADLO COCC[N@H+](C)Cc1csc(-c2cccc(Cl)c2)n1 ZINC001237983461 1131157807 /nfs/dbraw/zinc/15/78/07/1131157807.db2.gz ITPWTEJWXDZVGQ-UHFFFAOYSA-N 1 2 296.823 3.542 20 0 CHADLO COCC[N@@H+](C)Cc1csc(-c2cccc(Cl)c2)n1 ZINC001237983461 1131157811 /nfs/dbraw/zinc/15/78/11/1131157811.db2.gz ITPWTEJWXDZVGQ-UHFFFAOYSA-N 1 2 296.823 3.542 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(F)c(O)c(Cl)c2)cn1 ZINC001237991462 1131158743 /nfs/dbraw/zinc/15/87/43/1131158743.db2.gz CEYNGVKUPBQOMY-UHFFFAOYSA-N 1 2 294.757 3.520 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(F)c(O)c(Cl)c2)cn1 ZINC001237991462 1131158745 /nfs/dbraw/zinc/15/87/45/1131158745.db2.gz CEYNGVKUPBQOMY-UHFFFAOYSA-N 1 2 294.757 3.520 20 0 CHADLO CC[N@H+](Cc1cc(F)c(O)c(Cl)c1)Cc1ccccn1 ZINC001237994232 1131159586 /nfs/dbraw/zinc/15/95/86/1131159586.db2.gz DELZNVODJJTYIU-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO CC[N@@H+](Cc1cc(F)c(O)c(Cl)c1)Cc1ccccn1 ZINC001237994232 1131159588 /nfs/dbraw/zinc/15/95/88/1131159588.db2.gz DELZNVODJJTYIU-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO CCc1cnc(C[NH2+]Cc2cscc2Cl)s1 ZINC000390407300 1131161586 /nfs/dbraw/zinc/16/15/86/1131161586.db2.gz BYXNUFKJEPIFRI-UHFFFAOYSA-N 1 2 272.826 3.710 20 0 CHADLO Cc1cc(CNC(=O)C2CCCCCCC2)cc(C)[nH+]1 ZINC000817458369 1131162103 /nfs/dbraw/zinc/16/21/03/1131162103.db2.gz FJQKUDJNCBHMHL-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO C[N@H+](Cc1cc(Cl)c(O)cc1F)C1CCCCC1 ZINC001238142491 1131173960 /nfs/dbraw/zinc/17/39/60/1131173960.db2.gz DUAARKNYUOHQQO-UHFFFAOYSA-N 1 2 271.763 3.949 20 0 CHADLO C[N@@H+](Cc1cc(Cl)c(O)cc1F)C1CCCCC1 ZINC001238142491 1131173963 /nfs/dbraw/zinc/17/39/63/1131173963.db2.gz DUAARKNYUOHQQO-UHFFFAOYSA-N 1 2 271.763 3.949 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CC[C@@H]3CCC[C@@H]3C2)cc1Cl ZINC001238145072 1131174830 /nfs/dbraw/zinc/17/48/30/1131174830.db2.gz BWAOMUWNYHEKRV-WDEREUQCSA-N 1 2 283.774 3.807 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CC[C@@H]3CCC[C@@H]3C2)cc1Cl ZINC001238145072 1131174833 /nfs/dbraw/zinc/17/48/33/1131174833.db2.gz BWAOMUWNYHEKRV-WDEREUQCSA-N 1 2 283.774 3.807 20 0 CHADLO CCc1cccc(NC(=S)NCc2cc(C)[nH+]c(C)c2)c1 ZINC000817562410 1131175514 /nfs/dbraw/zinc/17/55/14/1131175514.db2.gz KXAAUFKMRODPBF-UHFFFAOYSA-N 1 2 299.443 3.747 20 0 CHADLO Cc1ncncc1C[N@@H+]1CCC[C@H]1c1ccc(Cl)s1 ZINC001238170789 1131176761 /nfs/dbraw/zinc/17/67/61/1131176761.db2.gz QRWRUEUMZABEBV-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1ncncc1C[N@H+]1CCC[C@H]1c1ccc(Cl)s1 ZINC001238170789 1131176765 /nfs/dbraw/zinc/17/67/65/1131176765.db2.gz QRWRUEUMZABEBV-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO CC(C)c1cc(C[N@@H+]2CCCC23COC3)ccc1Cl ZINC001238223578 1131184855 /nfs/dbraw/zinc/18/48/55/1131184855.db2.gz ADESKQMEJHHFGN-UHFFFAOYSA-N 1 2 279.811 3.828 20 0 CHADLO CC(C)c1cc(C[N@H+]2CCCC23COC3)ccc1Cl ZINC001238223578 1131184859 /nfs/dbraw/zinc/18/48/59/1131184859.db2.gz ADESKQMEJHHFGN-UHFFFAOYSA-N 1 2 279.811 3.828 20 0 CHADLO Fc1ccc(C[NH+]2CCCC2)c(F)c1C(F)(F)F ZINC001238245762 1131186337 /nfs/dbraw/zinc/18/63/37/1131186337.db2.gz DZDUNQJINGJXHS-UHFFFAOYSA-N 1 2 265.225 3.579 20 0 CHADLO CCC[N@H+](CC)Cc1c(Br)ccc(O)c1F ZINC001238307679 1131190181 /nfs/dbraw/zinc/19/01/81/1131190181.db2.gz XDUCOTYGYAFOGX-UHFFFAOYSA-N 1 2 290.176 3.526 20 0 CHADLO CCC[N@@H+](CC)Cc1c(Br)ccc(O)c1F ZINC001238307679 1131190183 /nfs/dbraw/zinc/19/01/83/1131190183.db2.gz XDUCOTYGYAFOGX-UHFFFAOYSA-N 1 2 290.176 3.526 20 0 CHADLO Cc1ccc(N[C@@H](C)c2ccc(C(=O)N(C)C)cc2)c(C)[nH+]1 ZINC001117715908 1131194284 /nfs/dbraw/zinc/19/42/84/1131194284.db2.gz ZXIOANUQIBLJAO-ZDUSSCGKSA-N 1 2 297.402 3.573 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCC[C@](C)(F)C2)c1F ZINC001238424120 1131197772 /nfs/dbraw/zinc/19/77/72/1131197772.db2.gz QQALNDKVSNAVPB-INIZCTEOSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCC[C@](C)(F)C2)c1F ZINC001238424120 1131197775 /nfs/dbraw/zinc/19/77/75/1131197775.db2.gz QQALNDKVSNAVPB-INIZCTEOSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2C[C@@H](F)C[C@H]2C)c1F ZINC001238423331 1131197858 /nfs/dbraw/zinc/19/78/58/1131197858.db2.gz ITLDIAHLWMFWGI-YPMHNXCESA-N 1 2 269.335 3.545 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCCC[C@H](F)C2)c1F ZINC001238424637 1131199179 /nfs/dbraw/zinc/19/91/79/1131199179.db2.gz RKBRPKKFCZFYSG-AWEZNQCLSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCCC[C@H](F)C2)c1F ZINC001238424637 1131199180 /nfs/dbraw/zinc/19/91/80/1131199180.db2.gz RKBRPKKFCZFYSG-AWEZNQCLSA-N 1 2 283.362 3.937 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3ccncc3C2)cc1C1CC1 ZINC001238510390 1131210828 /nfs/dbraw/zinc/21/08/28/1131210828.db2.gz CVYQMDLGLFDQTP-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3ccncc3C2)cc1C1CC1 ZINC001238510390 1131210833 /nfs/dbraw/zinc/21/08/33/1131210833.db2.gz CVYQMDLGLFDQTP-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H]3C[C@@H](C2)O3)ccc1Oc1ccccc1 ZINC001238522053 1131213469 /nfs/dbraw/zinc/21/34/69/1131213469.db2.gz DCODDKLDPZIMGU-HDICACEKSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H]3C[C@@H](C2)O3)ccc1Oc1ccccc1 ZINC001238522053 1131213473 /nfs/dbraw/zinc/21/34/73/1131213473.db2.gz DCODDKLDPZIMGU-HDICACEKSA-N 1 2 295.382 3.760 20 0 CHADLO Cc1cc(Br)c(Cl)cc1C[NH+]1CCC1 ZINC001238573207 1131218544 /nfs/dbraw/zinc/21/85/44/1131218544.db2.gz UXKCAJANNWDYMJ-UHFFFAOYSA-N 1 2 274.589 3.617 20 0 CHADLO COc1cc(C)cc(F)c1C[N@@H+]1CCc2sccc2C1 ZINC001238588341 1131220105 /nfs/dbraw/zinc/22/01/05/1131220105.db2.gz KKKKDVZWARKQJY-UHFFFAOYSA-N 1 2 291.391 3.763 20 0 CHADLO COc1cc(C)cc(F)c1C[N@H+]1CCc2sccc2C1 ZINC001238588341 1131220109 /nfs/dbraw/zinc/22/01/09/1131220109.db2.gz KKKKDVZWARKQJY-UHFFFAOYSA-N 1 2 291.391 3.763 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCC(=O)[C@@H](C)CC1 ZINC001238671981 1131228937 /nfs/dbraw/zinc/22/89/37/1131228937.db2.gz OKSPUXIZEICSJB-NSHDSACASA-N 1 2 297.851 3.863 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCC(=O)[C@@H](C)CC1 ZINC001238671981 1131228940 /nfs/dbraw/zinc/22/89/40/1131228940.db2.gz OKSPUXIZEICSJB-NSHDSACASA-N 1 2 297.851 3.863 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@]3(C)C=CCC3)cc2)[nH+]c1C ZINC000829388137 1131418551 /nfs/dbraw/zinc/41/85/51/1131418551.db2.gz NLIQFANOEVVIER-GOSISDBHSA-N 1 2 295.386 3.988 20 0 CHADLO CC[N@H+](Cc1ccc(C)cc1)Cc1cc(F)ncc1F ZINC000823404865 1131426498 /nfs/dbraw/zinc/42/64/98/1131426498.db2.gz WXAGOSXNNJWBCP-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccc(C)cc1)Cc1cc(F)ncc1F ZINC000823404865 1131426501 /nfs/dbraw/zinc/42/65/01/1131426501.db2.gz WXAGOSXNNJWBCP-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC1(C)CC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137881246 1131428882 /nfs/dbraw/zinc/42/88/82/1131428882.db2.gz JMRDMMWVMFIFFV-UHFFFAOYSA-N 1 2 259.727 3.850 20 0 CHADLO CC1(C)CC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137881246 1131428884 /nfs/dbraw/zinc/42/88/84/1131428884.db2.gz JMRDMMWVMFIFFV-UHFFFAOYSA-N 1 2 259.727 3.850 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138003573 1131449316 /nfs/dbraw/zinc/44/93/16/1131449316.db2.gz BXIFFNZVFGOIDI-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2c(F)ccc(F)c2Cl)C1 ZINC001138003573 1131449318 /nfs/dbraw/zinc/44/93/18/1131449318.db2.gz BXIFFNZVFGOIDI-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO CCOc1cccc(C[N@@H+]2Cc3ccc(F)cc3C2)c1 ZINC001138100331 1131464407 /nfs/dbraw/zinc/46/44/07/1131464407.db2.gz OMYGYDOCTJAUGL-UHFFFAOYSA-N 1 2 271.335 3.740 20 0 CHADLO CCOc1cccc(C[N@H+]2Cc3ccc(F)cc3C2)c1 ZINC001138100331 1131464409 /nfs/dbraw/zinc/46/44/09/1131464409.db2.gz OMYGYDOCTJAUGL-UHFFFAOYSA-N 1 2 271.335 3.740 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCc3cc(O)ccc3C2)c1F ZINC001138115297 1131467901 /nfs/dbraw/zinc/46/79/01/1131467901.db2.gz GYDLLDAKBAXISB-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCc3cc(O)ccc3C2)c1F ZINC001138115297 1131467902 /nfs/dbraw/zinc/46/79/02/1131467902.db2.gz GYDLLDAKBAXISB-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO COCc1csc(C[N@@H+]2CCC[C@@H]2c2cc(C)on2)c1 ZINC000824575090 1131474276 /nfs/dbraw/zinc/47/42/76/1131474276.db2.gz AGCHOSGQRVWFLX-OAHLLOKOSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1csc(C[N@H+]2CCC[C@@H]2c2cc(C)on2)c1 ZINC000824575090 1131474280 /nfs/dbraw/zinc/47/42/80/1131474280.db2.gz AGCHOSGQRVWFLX-OAHLLOKOSA-N 1 2 292.404 3.528 20 0 CHADLO CC[N@H+](C)Cc1c(Cl)nccc1NC(=O)OC(C)(C)C ZINC001136893853 1131475700 /nfs/dbraw/zinc/47/57/00/1131475700.db2.gz HFIWYTVRPPXTPG-UHFFFAOYSA-N 1 2 299.802 3.534 20 0 CHADLO CC[N@@H+](C)Cc1c(Cl)nccc1NC(=O)OC(C)(C)C ZINC001136893853 1131475701 /nfs/dbraw/zinc/47/57/01/1131475701.db2.gz HFIWYTVRPPXTPG-UHFFFAOYSA-N 1 2 299.802 3.534 20 0 CHADLO CCC(CC)[C@H](NC(=O)CCc1[nH]cc[nH+]1)c1ccccc1 ZINC001136903935 1131476582 /nfs/dbraw/zinc/47/65/82/1131476582.db2.gz PBOWRCSBEAYZRX-SFHVURJKSA-N 1 2 299.418 3.636 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(F)c(F)cc(F)c2F)[C@@H](C)C1 ZINC001138235754 1131480692 /nfs/dbraw/zinc/48/06/92/1131480692.db2.gz HVABXBAHUOBOLM-IUCAKERBSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(F)c(F)cc(F)c2F)[C@@H](C)C1 ZINC001138235754 1131480693 /nfs/dbraw/zinc/48/06/93/1131480693.db2.gz HVABXBAHUOBOLM-IUCAKERBSA-N 1 2 275.289 3.863 20 0 CHADLO Cc1cc(NC(=O)CCCCc2ccccc2)cc[nH+]1 ZINC000118280212 1131481677 /nfs/dbraw/zinc/48/16/77/1131481677.db2.gz KKLOLHUXWDJFDN-UHFFFAOYSA-N 1 2 268.360 3.742 20 0 CHADLO CC[N@H+](Cc1nc2ccccc2s1)Cc1cccnc1 ZINC001136954922 1131487007 /nfs/dbraw/zinc/48/70/07/1131487007.db2.gz JEUUJRAGBAINIR-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CC[N@@H+](Cc1nc2ccccc2s1)Cc1cccnc1 ZINC001136954922 1131487009 /nfs/dbraw/zinc/48/70/09/1131487009.db2.gz JEUUJRAGBAINIR-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CC(C)c1ccccc1C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000824828398 1131487944 /nfs/dbraw/zinc/48/79/44/1131487944.db2.gz AVIGGROHDABEDN-UHFFFAOYSA-N 1 2 297.402 3.553 20 0 CHADLO CCSc1cccc(C[NH2+]Cc2coc(CC)n2)c1 ZINC000825055910 1131496152 /nfs/dbraw/zinc/49/61/52/1131496152.db2.gz CDCDITUEXMBERM-UHFFFAOYSA-N 1 2 276.405 3.639 20 0 CHADLO CC(C)[N@H+](C)Cc1c(Br)ccc(F)c1F ZINC001143329540 1131500901 /nfs/dbraw/zinc/50/09/01/1131500901.db2.gz RDVXHTKBVZMLMQ-UHFFFAOYSA-N 1 2 278.140 3.568 20 0 CHADLO CC(C)[N@@H+](C)Cc1c(Br)ccc(F)c1F ZINC001143329540 1131500903 /nfs/dbraw/zinc/50/09/03/1131500903.db2.gz RDVXHTKBVZMLMQ-UHFFFAOYSA-N 1 2 278.140 3.568 20 0 CHADLO COc1c(F)cc(C[N@H+](C)Cc2ccccc2F)cc1F ZINC001138424047 1131503512 /nfs/dbraw/zinc/50/35/12/1131503512.db2.gz KSZKMBMPSGWXSK-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1c(F)cc(C[N@@H+](C)Cc2ccccc2F)cc1F ZINC001138424047 1131503513 /nfs/dbraw/zinc/50/35/13/1131503513.db2.gz KSZKMBMPSGWXSK-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO Cc1cccc2[nH]c(C[N@@H+]3CCC[C@H]3c3ncc[nH]3)cc21 ZINC000825513165 1131514316 /nfs/dbraw/zinc/51/43/16/1131514316.db2.gz CXSRQUGSHBBGEA-INIZCTEOSA-N 1 2 280.375 3.537 20 0 CHADLO Cc1cccc2[nH]c(C[N@H+]3CCC[C@H]3c3ncc[nH]3)cc21 ZINC000825513165 1131514319 /nfs/dbraw/zinc/51/43/19/1131514319.db2.gz CXSRQUGSHBBGEA-INIZCTEOSA-N 1 2 280.375 3.537 20 0 CHADLO COc1ccc(C[NH+]2CC3(CCC3)C2)cc1C(F)(F)F ZINC001143636590 1131520442 /nfs/dbraw/zinc/52/04/42/1131520442.db2.gz KTXYUEJAILVZIY-UHFFFAOYSA-N 1 2 285.309 3.700 20 0 CHADLO COC(=O)c1cccc([C@@H](C)Nc2cc3cc[nH]c3c[nH+]2)c1 ZINC001171097469 1131525306 /nfs/dbraw/zinc/52/53/06/1131525306.db2.gz CBOHHXJECBGMGP-LLVKDONJSA-N 1 2 295.342 3.523 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@@H+]1CCc2ccc(F)cc2C1 ZINC001143767895 1131530429 /nfs/dbraw/zinc/53/04/29/1131530429.db2.gz QUVITZKRIHVLIS-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@H+]1CCc2ccc(F)cc2C1 ZINC001143767895 1131530431 /nfs/dbraw/zinc/53/04/31/1131530431.db2.gz QUVITZKRIHVLIS-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO CCCn1ncc(C[N@H+](C)Cc2cccc(F)c2F)c1C ZINC001138642320 1131532293 /nfs/dbraw/zinc/53/22/93/1131532293.db2.gz VEIMSPKLOARGRE-UHFFFAOYSA-N 1 2 293.361 3.512 20 0 CHADLO CCCn1ncc(C[N@@H+](C)Cc2cccc(F)c2F)c1C ZINC001138642320 1131532297 /nfs/dbraw/zinc/53/22/97/1131532297.db2.gz VEIMSPKLOARGRE-UHFFFAOYSA-N 1 2 293.361 3.512 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1ccc(C(C)(C)C)cc1)C2 ZINC001204400849 1131536166 /nfs/dbraw/zinc/53/61/66/1131536166.db2.gz SYPSTLVZJXJNGE-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1ccc(C(C)(C)C)cc1)C2 ZINC001204400849 1131536170 /nfs/dbraw/zinc/53/61/70/1131536170.db2.gz SYPSTLVZJXJNGE-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO CCOc1c(Cl)ccc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c1F ZINC001143837587 1131537877 /nfs/dbraw/zinc/53/78/77/1131537877.db2.gz VDZZAHONVDYJDL-MFKMUULPSA-N 1 2 283.774 3.862 20 0 CHADLO CCOc1c(Cl)ccc(C[N@H+]2CCC[C@@H]3C[C@@H]32)c1F ZINC001143837587 1131537881 /nfs/dbraw/zinc/53/78/81/1131537881.db2.gz VDZZAHONVDYJDL-MFKMUULPSA-N 1 2 283.774 3.862 20 0 CHADLO FC[C@H]1[C@@H]2C[N@H+](Cc3c(F)ccc(Cl)c3Cl)C[C@H]12 ZINC001143866522 1131546799 /nfs/dbraw/zinc/54/67/99/1131546799.db2.gz FOSOWFUNMWAZSH-PSVAKVPMSA-N 1 2 292.156 3.780 20 0 CHADLO FC[C@H]1[C@@H]2C[N@@H+](Cc3c(F)ccc(Cl)c3Cl)C[C@H]12 ZINC001143866522 1131546801 /nfs/dbraw/zinc/54/68/01/1131546801.db2.gz FOSOWFUNMWAZSH-PSVAKVPMSA-N 1 2 292.156 3.780 20 0 CHADLO C[C@H]1C[C@H]1CNc1ccc(Nc2ccccc2)c[nH+]1 ZINC001206726950 1131549373 /nfs/dbraw/zinc/54/93/73/1131549373.db2.gz DQKXKWAMKOWRGA-STQMWFEESA-N 1 2 253.349 3.893 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1)Cc1cccnc1F ZINC001138788407 1131549525 /nfs/dbraw/zinc/54/95/25/1131549525.db2.gz CFQRLHVQEJQPGW-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1)Cc1cccnc1F ZINC001138788407 1131549528 /nfs/dbraw/zinc/54/95/28/1131549528.db2.gz CFQRLHVQEJQPGW-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2ccccc2F)nn1C ZINC001138829527 1131553471 /nfs/dbraw/zinc/55/34/71/1131553471.db2.gz XZDLLGFGSCKYNU-QGZVFWFLSA-N 1 2 287.382 3.595 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2ccccc2F)nn1C ZINC001138829527 1131553475 /nfs/dbraw/zinc/55/34/75/1131553475.db2.gz XZDLLGFGSCKYNU-QGZVFWFLSA-N 1 2 287.382 3.595 20 0 CHADLO CCCCC[C@@H](NC(=O)C[C@H](C)n1cc[nH+]c1)C(C)(C)C ZINC000844396753 1131557168 /nfs/dbraw/zinc/55/71/68/1131557168.db2.gz QCFUVUKFOWTQNY-LSDHHAIUSA-N 1 2 293.455 3.945 20 0 CHADLO C[N@H+](Cc1cnc2ccccc2n1)Cc1ccccc1Cl ZINC001138866824 1131557272 /nfs/dbraw/zinc/55/72/72/1131557272.db2.gz WJPUGMDJSUHUHU-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@@H+](Cc1cnc2ccccc2n1)Cc1ccccc1Cl ZINC001138866824 1131557276 /nfs/dbraw/zinc/55/72/76/1131557276.db2.gz WJPUGMDJSUHUHU-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccc(C(F)(F)F)c1C ZINC001143930388 1131557736 /nfs/dbraw/zinc/55/77/36/1131557736.db2.gz PBLHWYLGKBDJFG-HNNXBMFYSA-N 1 2 299.336 3.957 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cccc(C(F)(F)F)c1C ZINC001143930388 1131557737 /nfs/dbraw/zinc/55/77/37/1131557737.db2.gz PBLHWYLGKBDJFG-HNNXBMFYSA-N 1 2 299.336 3.957 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](C(F)(F)F)C2)cs1 ZINC001138886817 1131558368 /nfs/dbraw/zinc/55/83/68/1131558368.db2.gz GIJIGIJQSUXJBG-VIFPVBQESA-N 1 2 278.343 3.651 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](C(F)(F)F)C2)cs1 ZINC001138886817 1131558370 /nfs/dbraw/zinc/55/83/70/1131558370.db2.gz GIJIGIJQSUXJBG-VIFPVBQESA-N 1 2 278.343 3.651 20 0 CHADLO C[N@H+](CCc1cccnc1)Cc1c(Cl)ccc(F)c1F ZINC001143958785 1131559820 /nfs/dbraw/zinc/55/98/20/1131559820.db2.gz NMIDEUPQJNHQGD-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1cccnc1)Cc1c(Cl)ccc(F)c1F ZINC001143958785 1131559824 /nfs/dbraw/zinc/55/98/24/1131559824.db2.gz NMIDEUPQJNHQGD-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cc(Cl)cc(C(F)(F)F)c1 ZINC001143985030 1131561984 /nfs/dbraw/zinc/56/19/84/1131561984.db2.gz UJITWUYTPRTEPA-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cc(Cl)cc(C(F)(F)F)c1 ZINC001143985030 1131561987 /nfs/dbraw/zinc/56/19/87/1131561987.db2.gz UJITWUYTPRTEPA-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO CC(C)Oc1ccc(Br)cc1C[N@@H+]1CC[C@@H]1C ZINC001138992152 1131570311 /nfs/dbraw/zinc/57/03/11/1131570311.db2.gz NFWHHESLIQIOGO-NSHDSACASA-N 1 2 298.224 3.831 20 0 CHADLO CC(C)Oc1ccc(Br)cc1C[N@H+]1CC[C@@H]1C ZINC001138992152 1131570313 /nfs/dbraw/zinc/57/03/13/1131570313.db2.gz NFWHHESLIQIOGO-NSHDSACASA-N 1 2 298.224 3.831 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(-c3cccs3)s2)CCO1 ZINC001139016797 1131571898 /nfs/dbraw/zinc/57/18/98/1131571898.db2.gz CGEWIGWBMXCONZ-NSHDSACASA-N 1 2 279.430 3.697 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(-c3cccs3)s2)CCO1 ZINC001139016797 1131571902 /nfs/dbraw/zinc/57/19/02/1131571902.db2.gz CGEWIGWBMXCONZ-NSHDSACASA-N 1 2 279.430 3.697 20 0 CHADLO Cc1cc(Cl)c(OC(=O)C[C@@H](C)n2cc[nH+]c2)cc1F ZINC000844533662 1131575595 /nfs/dbraw/zinc/57/55/95/1131575595.db2.gz DNSQYNJDWBLZET-SNVBAGLBSA-N 1 2 296.729 3.541 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(F)cc(Cl)cc2F)[C@H](C)C1 ZINC001139051826 1131575675 /nfs/dbraw/zinc/57/56/75/1131575675.db2.gz AMFVHCVATSAIHB-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(F)cc(Cl)cc2F)[C@H](C)C1 ZINC001139051826 1131575679 /nfs/dbraw/zinc/57/56/79/1131575679.db2.gz AMFVHCVATSAIHB-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1ccc(Oc2cccc(C[NH+]3CCOCC3)c2)cc1 ZINC001144086204 1131579276 /nfs/dbraw/zinc/57/92/76/1131579276.db2.gz NXQMDWICBFAIHS-UHFFFAOYSA-N 1 2 283.371 3.620 20 0 CHADLO Cc1cc(CNC(=O)/C=C\C2CCCCCC2)cc(C)[nH+]1 ZINC000844586390 1131580210 /nfs/dbraw/zinc/58/02/10/1131580210.db2.gz QFNQYPBSQNEDFS-KTKRTIGZSA-N 1 2 286.419 3.841 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1c(C)n[nH]c1C ZINC001139101005 1131582690 /nfs/dbraw/zinc/58/26/90/1131582690.db2.gz IQVHSRKJLNRRKT-RDJZCZTQSA-N 1 2 293.455 3.790 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1c(C)n[nH]c1C ZINC001139101005 1131582692 /nfs/dbraw/zinc/58/26/92/1131582692.db2.gz IQVHSRKJLNRRKT-RDJZCZTQSA-N 1 2 293.455 3.790 20 0 CHADLO CCO[C@H]1CCC[N@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144129356 1131590482 /nfs/dbraw/zinc/59/04/82/1131590482.db2.gz FFJIVCZGLOHXBN-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@H]1CCC[N@@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144129356 1131590485 /nfs/dbraw/zinc/59/04/85/1131590485.db2.gz FFJIVCZGLOHXBN-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Fc1cc(Br)cc(C[NH+]2CC3(CCC3)C2)c1 ZINC001139172485 1131591528 /nfs/dbraw/zinc/59/15/28/1131591528.db2.gz ORCOCFITOVLMDW-UHFFFAOYSA-N 1 2 284.172 3.574 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2cnc(Cl)c(C)c2)c1 ZINC000844709610 1131593408 /nfs/dbraw/zinc/59/34/08/1131593408.db2.gz RWKHXXXMURPAHE-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO Cc1cc(Br)cc(C[N@H+]2C[C@H](F)C[C@H]2C)c1 ZINC001144213047 1131598183 /nfs/dbraw/zinc/59/81/83/1131598183.db2.gz RNYXGNBXOPBAAA-ZWNOBZJWSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1cc(Br)cc(C[N@@H+]2C[C@H](F)C[C@H]2C)c1 ZINC001144213047 1131598185 /nfs/dbraw/zinc/59/81/85/1131598185.db2.gz RNYXGNBXOPBAAA-ZWNOBZJWSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1cc(Br)cc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)c1 ZINC001144212780 1131598471 /nfs/dbraw/zinc/59/84/71/1131598471.db2.gz IUTWYWLCTCTBRO-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1cc(Br)cc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)c1 ZINC001144212780 1131598476 /nfs/dbraw/zinc/59/84/76/1131598476.db2.gz IUTWYWLCTCTBRO-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO CC1CC[NH+](Cc2cc(Cl)cnc2Cl)CC1 ZINC001139353956 1131604374 /nfs/dbraw/zinc/60/43/74/1131604374.db2.gz HYUXDNZMZUBPEY-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO C[N@H+](Cc1ccc(=O)[nH]c1)Cc1c(Cl)cccc1Cl ZINC001139468572 1131609567 /nfs/dbraw/zinc/60/95/67/1131609567.db2.gz IZDHGRJRUSZJTP-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1ccc(=O)[nH]c1)Cc1c(Cl)cccc1Cl ZINC001139468572 1131609568 /nfs/dbraw/zinc/60/95/68/1131609568.db2.gz IZDHGRJRUSZJTP-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO CC1CC([N@H+](C)Cc2c(Cl)ccnc2Cl)C1 ZINC001139474867 1131610483 /nfs/dbraw/zinc/61/04/83/1131610483.db2.gz KLUKXYMBRWZKLW-UHFFFAOYSA-N 1 2 259.180 3.619 20 0 CHADLO CC1CC([N@@H+](C)Cc2c(Cl)ccnc2Cl)C1 ZINC001139474867 1131610485 /nfs/dbraw/zinc/61/04/85/1131610485.db2.gz KLUKXYMBRWZKLW-UHFFFAOYSA-N 1 2 259.180 3.619 20 0 CHADLO Clc1ccnc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)c1 ZINC001139565394 1131616301 /nfs/dbraw/zinc/61/63/01/1131616301.db2.gz XKSGCNBYFDNFGD-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccnc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)c1 ZINC001139565394 1131616303 /nfs/dbraw/zinc/61/63/03/1131616303.db2.gz XKSGCNBYFDNFGD-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1cn2c(cccc2F)n1 ZINC001139569675 1131618035 /nfs/dbraw/zinc/61/80/35/1131618035.db2.gz GDVRRTKLSUNCER-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1cn2c(cccc2F)n1 ZINC001139569675 1131618037 /nfs/dbraw/zinc/61/80/37/1131618037.db2.gz GDVRRTKLSUNCER-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO CCOc1ccc(C)cc1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC001139606436 1131620907 /nfs/dbraw/zinc/62/09/07/1131620907.db2.gz RSUZZEBDQJQFBG-INIZCTEOSA-N 1 2 297.402 3.521 20 0 CHADLO CCOc1ccc(C)cc1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC001139606436 1131620910 /nfs/dbraw/zinc/62/09/10/1131620910.db2.gz RSUZZEBDQJQFBG-INIZCTEOSA-N 1 2 297.402 3.521 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(O)cc2C(F)(F)F)C[C@H]1F ZINC001144381427 1131623426 /nfs/dbraw/zinc/62/34/26/1131623426.db2.gz OTZAHJPHYGLGFZ-NOZJJQNGSA-N 1 2 291.288 3.591 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(O)cc2C(F)(F)F)C[C@H]1F ZINC001144381427 1131623428 /nfs/dbraw/zinc/62/34/28/1131623428.db2.gz OTZAHJPHYGLGFZ-NOZJJQNGSA-N 1 2 291.288 3.591 20 0 CHADLO C[C@H](CC(=O)O[C@H](C)c1cccc(Cl)c1)n1cc[nH+]c1 ZINC000845282005 1131624124 /nfs/dbraw/zinc/62/41/24/1131624124.db2.gz PZZXQIZERQYDGQ-VXGBXAGGSA-N 1 2 292.766 3.792 20 0 CHADLO Cc1cc(C)c(COC(=O)C[C@@H](C)n2cc[nH+]c2)c(C)c1 ZINC000845281994 1131624146 /nfs/dbraw/zinc/62/41/46/1131624146.db2.gz PVLCGUDANACODJ-OAHLLOKOSA-N 1 2 286.375 3.503 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc3oc4ccccc4c3c2)CCC1=O ZINC001139750867 1131634361 /nfs/dbraw/zinc/63/43/61/1131634361.db2.gz AENYQFUOWMRHDR-ZDUSSCGKSA-N 1 2 293.366 3.997 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc3oc4ccccc4c3c2)CCC1=O ZINC001139750867 1131634362 /nfs/dbraw/zinc/63/43/62/1131634362.db2.gz AENYQFUOWMRHDR-ZDUSSCGKSA-N 1 2 293.366 3.997 20 0 CHADLO CCC[C@H](OC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000845458264 1131636132 /nfs/dbraw/zinc/63/61/32/1131636132.db2.gz DNGCPPUCORTATO-ZBFHGGJFSA-N 1 2 286.375 3.919 20 0 CHADLO COc1cc(Cl)cc(C[NH+]2CC3(C2)CC(F)(F)C3)c1 ZINC001144479501 1131642163 /nfs/dbraw/zinc/64/21/63/1131642163.db2.gz XKEMKOMBIIAOTG-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCOCC12CCC2 ZINC001139887160 1131646215 /nfs/dbraw/zinc/64/62/15/1131646215.db2.gz MWFAWBGPBVERDT-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCOCC12CCC2 ZINC001139887160 1131646217 /nfs/dbraw/zinc/64/62/17/1131646217.db2.gz MWFAWBGPBVERDT-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO CC[C@@H]1CCC[N@@H+]1Cc1sc(Cl)nc1Cl ZINC001139956365 1131652561 /nfs/dbraw/zinc/65/25/61/1131652561.db2.gz ALWBRDDRZSDAGF-SSDOTTSWSA-N 1 2 265.209 3.824 20 0 CHADLO CC[C@@H]1CCC[N@H+]1Cc1sc(Cl)nc1Cl ZINC001139956365 1131652564 /nfs/dbraw/zinc/65/25/64/1131652564.db2.gz ALWBRDDRZSDAGF-SSDOTTSWSA-N 1 2 265.209 3.824 20 0 CHADLO CC[C@H](COC(=O)[C@@H](c1ccccc1)[NH+](C)C)CC(F)F ZINC000845756706 1131656382 /nfs/dbraw/zinc/65/63/82/1131656382.db2.gz QXVNHZNHBSGZCI-SWLSCSKDSA-N 1 2 299.361 3.514 20 0 CHADLO CC[C@@H](Nc1c[nH+]ccc1OC)c1ccccc1C ZINC001171200189 1131662164 /nfs/dbraw/zinc/66/21/64/1131662164.db2.gz SNXPHVNDVIAAQQ-CQSZACIVSA-N 1 2 256.349 3.962 20 0 CHADLO Fc1ccc(-c2ncc(C[N@H+]3CCC[C@@H](F)C3)s2)cc1 ZINC001140095376 1131664181 /nfs/dbraw/zinc/66/41/81/1131664181.db2.gz RPQFZILBSKOOOI-CYBMUJFWSA-N 1 2 294.370 3.883 20 0 CHADLO Fc1ccc(-c2ncc(C[N@@H+]3CCC[C@@H](F)C3)s2)cc1 ZINC001140095376 1131664184 /nfs/dbraw/zinc/66/41/84/1131664184.db2.gz RPQFZILBSKOOOI-CYBMUJFWSA-N 1 2 294.370 3.883 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc(OCC(C)C)c(C)c1 ZINC001203008723 1131669268 /nfs/dbraw/zinc/66/92/68/1131669268.db2.gz OFAHWPZCOHTMOS-UHFFFAOYSA-N 1 2 287.363 3.572 20 0 CHADLO CC(C)(C)CCCCCC(=O)NCCOc1cc[nH+]cc1 ZINC001144685243 1131683933 /nfs/dbraw/zinc/68/39/33/1131683933.db2.gz AMOHZDJVCNFFFF-UHFFFAOYSA-N 1 2 292.423 3.573 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2cccc3cc[nH]c32)C1 ZINC001144711427 1131686936 /nfs/dbraw/zinc/68/69/36/1131686936.db2.gz ZRGVACJQOLHEPP-UHFFFAOYSA-N 1 2 264.319 3.789 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2cccc3cc[nH]c32)C1 ZINC001144711427 1131686938 /nfs/dbraw/zinc/68/69/38/1131686938.db2.gz ZRGVACJQOLHEPP-UHFFFAOYSA-N 1 2 264.319 3.789 20 0 CHADLO Fc1cc(Cl)c(C[N@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)cc1F ZINC001144729534 1131692858 /nfs/dbraw/zinc/69/28/58/1131692858.db2.gz PCEYVJRVRDUZCW-QXEWZRGKSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1cc(Cl)c(C[N@@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)cc1F ZINC001144729534 1131692860 /nfs/dbraw/zinc/69/28/60/1131692860.db2.gz PCEYVJRVRDUZCW-QXEWZRGKSA-N 1 2 275.701 3.551 20 0 CHADLO Cc1ccc2[nH]nc(C[N@@H+](C)Cc3ccc(F)cc3)c2c1 ZINC001140328163 1131698912 /nfs/dbraw/zinc/69/89/12/1131698912.db2.gz WLHARGOVQKQSNM-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO Cc1ccc2[nH]nc(C[N@H+](C)Cc3ccc(F)cc3)c2c1 ZINC001140328163 1131698914 /nfs/dbraw/zinc/69/89/14/1131698914.db2.gz WLHARGOVQKQSNM-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(F)cc2C)c1 ZINC000846212335 1131704593 /nfs/dbraw/zinc/70/45/93/1131704593.db2.gz KUWMWFUSRQVYLI-GFCCVEGCSA-N 1 2 274.339 3.849 20 0 CHADLO CCc1nc(C[NH2+][C@@H](CC)c2cccc(F)c2)co1 ZINC000834897403 1131721735 /nfs/dbraw/zinc/72/17/35/1131721735.db2.gz GDZGLVHNEXKMSQ-AWEZNQCLSA-N 1 2 262.328 3.617 20 0 CHADLO CCCOc1ccc([C@@H](C)[NH2+]Cc2coc(CC)n2)cc1 ZINC000834899822 1131724180 /nfs/dbraw/zinc/72/41/80/1131724180.db2.gz LEAHIBMHGNRCNC-CYBMUJFWSA-N 1 2 288.391 3.877 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2csc(Cl)c2)co1 ZINC000834900592 1131724395 /nfs/dbraw/zinc/72/43/95/1131724395.db2.gz VUHCVMFAZNKKEO-MRVPVSSYSA-N 1 2 270.785 3.803 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1cnsn1)c1ccc(F)cc1 ZINC000846820014 1131746034 /nfs/dbraw/zinc/74/60/34/1131746034.db2.gz IQLAQLVIKAVUTK-CYBMUJFWSA-N 1 2 279.384 3.554 20 0 CHADLO Fc1cc(C[NH+]2CC(Cc3ccccc3)C2)cnc1Cl ZINC000846836365 1131748164 /nfs/dbraw/zinc/74/81/64/1131748164.db2.gz DJOPTDKZUYJEEI-UHFFFAOYSA-N 1 2 290.769 3.549 20 0 CHADLO CCCCC[C@H]([NH2+]Cc1cnsn1)c1ccccc1 ZINC000846843757 1131748892 /nfs/dbraw/zinc/74/88/92/1131748892.db2.gz GUYIETUCPITYPY-HNNXBMFYSA-N 1 2 275.421 3.949 20 0 CHADLO COc1ccc(C(C)C)cc1C[N@@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000846842886 1131749017 /nfs/dbraw/zinc/74/90/17/1131749017.db2.gz XNGVGIHWAAJNAH-INIZCTEOSA-N 1 2 299.418 3.879 20 0 CHADLO COc1ccc(C(C)C)cc1C[N@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000846842886 1131749022 /nfs/dbraw/zinc/74/90/22/1131749022.db2.gz XNGVGIHWAAJNAH-INIZCTEOSA-N 1 2 299.418 3.879 20 0 CHADLO CC(C)(C)C[C@@H]([NH2+]Cc1cnsn1)c1ccc(F)cc1 ZINC000846844751 1131749607 /nfs/dbraw/zinc/74/96/07/1131749607.db2.gz IPIBTIVCASURGH-CQSZACIVSA-N 1 2 293.411 3.944 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)cc(F)cc2F)CCC1(F)F ZINC001140530145 1131781238 /nfs/dbraw/zinc/78/12/38/1131781238.db2.gz RDAKSTRXNARWAP-SECBINFHSA-N 1 2 293.279 3.971 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)cc(F)cc2F)CCC1(F)F ZINC001140530145 1131781244 /nfs/dbraw/zinc/78/12/44/1131781244.db2.gz RDAKSTRXNARWAP-SECBINFHSA-N 1 2 293.279 3.971 20 0 CHADLO Cc1c(F)cc(C[NH+]2CC3(CCC3)C2)cc1Br ZINC001140551819 1131789882 /nfs/dbraw/zinc/78/98/82/1131789882.db2.gz LDRKYDGPLYOGKZ-UHFFFAOYSA-N 1 2 298.199 3.883 20 0 CHADLO CC1C[NH+](Cc2cc(Cl)ccc2C(F)(F)F)C1 ZINC001140565409 1131797851 /nfs/dbraw/zinc/79/78/51/1131797851.db2.gz QAQQNVAODSOLFL-UHFFFAOYSA-N 1 2 263.690 3.811 20 0 CHADLO Cc1cc(N[C@@H]2COCc3ccccc32)ccc1[NH+](C)C ZINC001171293628 1131804910 /nfs/dbraw/zinc/80/49/10/1131804910.db2.gz FFUHTKVERGXUCW-QGZVFWFLSA-N 1 2 282.387 3.744 20 0 CHADLO COc1cc2c(cc1O[C@@H](C)CCC(C)C)C=[NH+]CC2 ZINC001228090967 1131806034 /nfs/dbraw/zinc/80/60/34/1131806034.db2.gz PDOSTJJEIUOZTF-ZDUSSCGKSA-N 1 2 275.392 3.874 20 0 CHADLO COC(=O)Cc1ccc(Nc2cccc(C(C)C)[nH+]2)cc1 ZINC001212616571 1131806610 /nfs/dbraw/zinc/80/66/10/1131806610.db2.gz KXTZLLKMJIEHRN-UHFFFAOYSA-N 1 2 284.359 3.664 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(Cl)sc2Cl)CC[C@@]1(C)O ZINC001140584669 1131810771 /nfs/dbraw/zinc/81/07/71/1131810771.db2.gz ARDKJFJIKLHGRW-QPUJVOFHSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(Cl)sc2Cl)CC[C@@]1(C)O ZINC001140584669 1131810781 /nfs/dbraw/zinc/81/07/81/1131810781.db2.gz ARDKJFJIKLHGRW-QPUJVOFHSA-N 1 2 294.247 3.648 20 0 CHADLO Oc1cc(C[NH+]2Cc3ccccc3C2)ccc1OC(F)F ZINC001140624986 1131833639 /nfs/dbraw/zinc/83/36/39/1131833639.db2.gz QMCPNHUOOGWPLP-UHFFFAOYSA-N 1 2 291.297 3.509 20 0 CHADLO CCC(CC)CCCN(C)C(=O)C(C)(C)n1c[nH+]c(C)c1 ZINC001149125912 1131845119 /nfs/dbraw/zinc/84/51/19/1131845119.db2.gz IWQXYMLFBOLQIP-UHFFFAOYSA-N 1 2 293.455 3.601 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3ccc(F)cc3C2)cc(C)c1O ZINC001140658819 1131853988 /nfs/dbraw/zinc/85/39/88/1131853988.db2.gz YJQXDERVXQNWGV-UHFFFAOYSA-N 1 2 271.335 3.664 20 0 CHADLO Cc1cc(C[N@H+]2Cc3ccc(F)cc3C2)cc(C)c1O ZINC001140658819 1131853996 /nfs/dbraw/zinc/85/39/96/1131853996.db2.gz YJQXDERVXQNWGV-UHFFFAOYSA-N 1 2 271.335 3.664 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](C)c3ccc(C)nc3)cc2[nH+]1 ZINC001228327688 1131859142 /nfs/dbraw/zinc/85/91/42/1131859142.db2.gz TYFIYLPCIOFAQY-NSHDSACASA-N 1 2 267.332 3.715 20 0 CHADLO Cc1[nH]c2ccc(OC(C(F)(F)F)C(F)(F)F)cc2[nH+]1 ZINC001228326185 1131860412 /nfs/dbraw/zinc/86/04/12/1131860412.db2.gz GOLGPEOCTLOROI-UHFFFAOYSA-N 1 2 298.186 3.743 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(C)sc2C)c(C)o1 ZINC000348104584 1131880212 /nfs/dbraw/zinc/88/02/12/1131880212.db2.gz BMFHZXJLEIFMIW-VIFPVBQESA-N 1 2 264.394 3.821 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(Br)cc2)no1 ZINC000282736021 1131885460 /nfs/dbraw/zinc/88/54/60/1131885460.db2.gz RFTSQTFMDFGCJK-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1cc(F)c(Cl)cc1F ZINC001140704459 1131886432 /nfs/dbraw/zinc/88/64/32/1131886432.db2.gz RDVANALPLSOLQT-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1cc(F)c(Cl)cc1F ZINC001140704459 1131886444 /nfs/dbraw/zinc/88/64/44/1131886444.db2.gz RDVANALPLSOLQT-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@@H+](Cc1cc[nH]c1)CC2 ZINC001140707379 1131887174 /nfs/dbraw/zinc/88/71/74/1131887174.db2.gz GWUOZAKFHGOCAN-UHFFFAOYSA-N 1 2 280.293 3.592 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@H+](Cc1cc[nH]c1)CC2 ZINC001140707379 1131887182 /nfs/dbraw/zinc/88/71/82/1131887182.db2.gz GWUOZAKFHGOCAN-UHFFFAOYSA-N 1 2 280.293 3.592 20 0 CHADLO Brc1ccc([C@H]2C[C@@H]2Nc2cccc[nH+]2)s1 ZINC000348109590 1131889954 /nfs/dbraw/zinc/88/99/54/1131889954.db2.gz WVRPSUKSSYXISK-IUCAKERBSA-N 1 2 295.205 3.874 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2c(Cl)ncc3ccccc32)C1 ZINC001140721898 1131895243 /nfs/dbraw/zinc/89/52/43/1131895243.db2.gz DEXGAVORQLURIO-GFCCVEGCSA-N 1 2 278.758 3.822 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2c(Cl)ncc3ccccc32)C1 ZINC001140721898 1131895252 /nfs/dbraw/zinc/89/52/52/1131895252.db2.gz DEXGAVORQLURIO-GFCCVEGCSA-N 1 2 278.758 3.822 20 0 CHADLO Cc1cc(Br)c(F)cc1C[NH+]1CC(C)(C)C1 ZINC001140793133 1131919597 /nfs/dbraw/zinc/91/95/97/1131919597.db2.gz DYZSNXVGWRBABM-UHFFFAOYSA-N 1 2 286.188 3.738 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cc(O)cc(Cl)c2)C1 ZINC001140787727 1131920409 /nfs/dbraw/zinc/92/04/09/1131920409.db2.gz RJAXMENBDZSZBC-CQSZACIVSA-N 1 2 271.763 3.760 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cc(O)cc(Cl)c2)C1 ZINC001140787727 1131920415 /nfs/dbraw/zinc/92/04/15/1131920415.db2.gz RJAXMENBDZSZBC-CQSZACIVSA-N 1 2 271.763 3.760 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccncc3C2)c(Cl)c1 ZINC001140798603 1131924460 /nfs/dbraw/zinc/92/44/60/1131924460.db2.gz OZTFHGGEASENGJ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccncc3C2)c(Cl)c1 ZINC001140798603 1131924462 /nfs/dbraw/zinc/92/44/62/1131924462.db2.gz OZTFHGGEASENGJ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCCc1ccc(NC(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000836226619 1131953173 /nfs/dbraw/zinc/95/31/73/1131953173.db2.gz RVVRYPQMNGGEHN-UHFFFAOYSA-N 1 2 297.402 3.973 20 0 CHADLO Oc1ccc(C[N@H+](Cc2ccccc2)C2CC2)c(F)c1F ZINC001140893416 1131958277 /nfs/dbraw/zinc/95/82/77/1131958277.db2.gz PQSHXSLYWRLMHO-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Oc1ccc(C[N@@H+](Cc2ccccc2)C2CC2)c(F)c1F ZINC001140893416 1131958286 /nfs/dbraw/zinc/95/82/86/1131958286.db2.gz PQSHXSLYWRLMHO-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO FC(F)(F)c1ccncc1C[N@H+](Cc1ccco1)C1CC1 ZINC001140919729 1131963616 /nfs/dbraw/zinc/96/36/16/1131963616.db2.gz AVHPWBGYLPTYQO-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1ccncc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001140919729 1131963621 /nfs/dbraw/zinc/96/36/21/1131963621.db2.gz AVHPWBGYLPTYQO-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO Cc1cc(C[N@@H+](C(C)C)[C@@H](C)c2ccccc2)n[nH]1 ZINC001203082886 1131975593 /nfs/dbraw/zinc/97/55/93/1131975593.db2.gz BFUDOKRJSPUJCF-AWEZNQCLSA-N 1 2 257.381 3.690 20 0 CHADLO Cc1cc(C[N@H+](C(C)C)[C@@H](C)c2ccccc2)n[nH]1 ZINC001203082886 1131975597 /nfs/dbraw/zinc/97/55/97/1131975597.db2.gz BFUDOKRJSPUJCF-AWEZNQCLSA-N 1 2 257.381 3.690 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC1Cc2ccccc2C1 ZINC000036934977 1131984431 /nfs/dbraw/zinc/98/44/31/1131984431.db2.gz OVGDRPNFBVUIQY-UHFFFAOYSA-N 1 2 266.388 3.640 20 0 CHADLO CCCCc1[nH]c(CN2Cc3cccc(Cl)c3C2)c[nH+]1 ZINC001141071423 1132002228 /nfs/dbraw/zinc/00/22/28/1132002228.db2.gz OHOBKBOBOVLVFL-UHFFFAOYSA-N 1 2 289.810 3.922 20 0 CHADLO CCCCc1[nH]cc(CN2Cc3cccc(Cl)c3C2)[nH+]1 ZINC001141071423 1132002231 /nfs/dbraw/zinc/00/22/31/1132002231.db2.gz OHOBKBOBOVLVFL-UHFFFAOYSA-N 1 2 289.810 3.922 20 0 CHADLO CC(C)OC1C[NH+](Cc2cccc(C(C)(C)C)c2)C1 ZINC001141105731 1132019156 /nfs/dbraw/zinc/01/91/56/1132019156.db2.gz BJMBLLYZHMKLQH-UHFFFAOYSA-N 1 2 261.409 3.593 20 0 CHADLO CC(=O)CC(C)(C)Nc1cc2ccc(C)cc2c[nH+]1 ZINC001171495913 1132039236 /nfs/dbraw/zinc/03/92/36/1132039236.db2.gz QLKBGYYVIHTFEN-UHFFFAOYSA-N 1 2 256.349 3.713 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2nccs2)c(F)c1 ZINC001141196965 1132041681 /nfs/dbraw/zinc/04/16/81/1132041681.db2.gz ZNXSKYVGLXJRDB-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2nccs2)c(F)c1 ZINC001141196965 1132041687 /nfs/dbraw/zinc/04/16/87/1132041687.db2.gz ZNXSKYVGLXJRDB-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cc(NCCOC2CCCCC2)[nH+]c2cc[nH]c21 ZINC001171479450 1132043982 /nfs/dbraw/zinc/04/39/82/1132043982.db2.gz LGEMQCUHAQSBMQ-UHFFFAOYSA-N 1 2 273.380 3.633 20 0 CHADLO COc1cccc(C[N@H+](Cc2ccco2)C2CC2)c1F ZINC001141222910 1132046728 /nfs/dbraw/zinc/04/67/28/1132046728.db2.gz ZMXJHBLSBCLFRN-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO COc1cccc(C[N@@H+](Cc2ccco2)C2CC2)c1F ZINC001141222910 1132046732 /nfs/dbraw/zinc/04/67/32/1132046732.db2.gz ZMXJHBLSBCLFRN-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ncccc3C2)ccc1Cl ZINC001141238450 1132057356 /nfs/dbraw/zinc/05/73/56/1132057356.db2.gz XAWCMDHZWORJFD-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ncccc3C2)ccc1Cl ZINC001141238450 1132057361 /nfs/dbraw/zinc/05/73/61/1132057361.db2.gz XAWCMDHZWORJFD-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[C@H]([NH2+][C@@H](Cc1ccccc1)c1ncco1)c1ccncc1 ZINC001171567552 1132058644 /nfs/dbraw/zinc/05/86/44/1132058644.db2.gz LZPCEVHPEQRBGP-YOEHRIQHSA-N 1 2 293.370 3.704 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc2cccnc2[nH]1 ZINC001248711512 1132062793 /nfs/dbraw/zinc/06/27/93/1132062793.db2.gz UGRKMJLBBUWACU-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1ccc(CC[C@H](C)[NH+]2CC(F)(C3CC3)C2)cc1 ZINC001171671467 1132074552 /nfs/dbraw/zinc/07/45/52/1132074552.db2.gz PTOZYEMMPZTMEV-AWEZNQCLSA-N 1 2 261.384 3.750 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC001141367119 1132102853 /nfs/dbraw/zinc/10/28/53/1132102853.db2.gz NWKSLXHZOHNDLG-CVEARBPZSA-N 1 2 293.455 3.801 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)cs1 ZINC001248804713 1132121976 /nfs/dbraw/zinc/12/19/76/1132121976.db2.gz RTJXCMHJYNKYRL-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(F)c(F)cc3C2)cs1 ZINC001248804713 1132121978 /nfs/dbraw/zinc/12/19/78/1132121978.db2.gz RTJXCMHJYNKYRL-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO c1cn(-c2ccc(O[C@H]3CCCc4cccnc43)cc2)c[nH+]1 ZINC001229950151 1132135707 /nfs/dbraw/zinc/13/57/07/1132135707.db2.gz IDWVZWHGBVHQKO-KRWDZBQOSA-N 1 2 291.354 3.724 20 0 CHADLO CO[C@@H](COc1ccc(-n2cc[nH+]c2)cc1)c1ccccc1 ZINC001229950611 1132136103 /nfs/dbraw/zinc/13/61/03/1132136103.db2.gz NBHOHYCQNCUDQK-SFHVURJKSA-N 1 2 294.354 3.639 20 0 CHADLO c1cn(-c2ccc(O[C@H]3CC4CCC3CC4)cc2)c[nH+]1 ZINC001229954341 1132136986 /nfs/dbraw/zinc/13/69/86/1132136986.db2.gz NVXATFRKBSKADS-KVULBXGLSA-N 1 2 268.360 3.830 20 0 CHADLO OCCc1cccc(Nc2cccc(C3CCC3)[nH+]2)c1 ZINC001203111148 1132141707 /nfs/dbraw/zinc/14/17/07/1132141707.db2.gz FTLDJTPLURTXAH-UHFFFAOYSA-N 1 2 268.360 3.628 20 0 CHADLO C[C@@H]1COCCN1c1ccc([NH2+]C2CC3(CCC3)C2)cc1 ZINC000838881429 1132155120 /nfs/dbraw/zinc/15/51/20/1132155120.db2.gz YJDIFDPKPZTDAF-CQSZACIVSA-N 1 2 286.419 3.656 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001172335654 1132174194 /nfs/dbraw/zinc/17/41/94/1132174194.db2.gz FQAWOFRZERPXJP-UPJWGTAASA-N 1 2 263.372 3.557 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001172335654 1132174198 /nfs/dbraw/zinc/17/41/98/1132174198.db2.gz FQAWOFRZERPXJP-UPJWGTAASA-N 1 2 263.372 3.557 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1c[nH]c2cc(Cl)ccc12 ZINC001141586061 1132191747 /nfs/dbraw/zinc/19/17/47/1132191747.db2.gz PGWZPNCYJYOCKS-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1c[nH]c2cc(Cl)ccc12 ZINC001141586061 1132191748 /nfs/dbraw/zinc/19/17/48/1132191748.db2.gz PGWZPNCYJYOCKS-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[C@H]1C[C@H](Oc2cc3c(cc2O)C=[NH+]CC3)C[C@@H](C)C1 ZINC001230920902 1132228299 /nfs/dbraw/zinc/22/82/99/1132228299.db2.gz XIJGQCQCZCCZPL-JYAVWHMHSA-N 1 2 273.376 3.571 20 0 CHADLO CC(C)CC[C@H](C)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920308 1132228324 /nfs/dbraw/zinc/22/83/24/1132228324.db2.gz LJBDNBKYSNWJIG-LBPRGKRZSA-N 1 2 261.365 3.571 20 0 CHADLO C[C@H](Oc1cc2c(cc1O)C=[NH+]CC2)c1ccccc1 ZINC001230913500 1132228490 /nfs/dbraw/zinc/22/84/90/1132228490.db2.gz AFBWTBBUPDSLNN-LBPRGKRZSA-N 1 2 267.328 3.507 20 0 CHADLO CC[N@H+](Cc1occc1C)Cc1cccnc1Cl ZINC000839662390 1132228676 /nfs/dbraw/zinc/22/86/76/1132228676.db2.gz XUBQIMFLCXDESD-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1occc1C)Cc1cccnc1Cl ZINC000839662390 1132228681 /nfs/dbraw/zinc/22/86/81/1132228681.db2.gz XUBQIMFLCXDESD-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@H+](Cn1ncn(C(C)(C)C)c1=S)C1CC(C)(C)C1 ZINC000840183813 1132249813 /nfs/dbraw/zinc/24/98/13/1132249813.db2.gz JOYDPNDZRXXBOO-UHFFFAOYSA-N 1 2 296.484 3.637 20 0 CHADLO CC[N@@H+](Cn1ncn(C(C)(C)C)c1=S)C1CC(C)(C)C1 ZINC000840183813 1132249818 /nfs/dbraw/zinc/24/98/18/1132249818.db2.gz JOYDPNDZRXXBOO-UHFFFAOYSA-N 1 2 296.484 3.637 20 0 CHADLO C[C@H]1CC[C@H](Oc2ccc(-c3c[nH+]cn3C)cc2)C1 ZINC001231078423 1132253604 /nfs/dbraw/zinc/25/36/04/1132253604.db2.gz BMYDGDPPPWLEBD-WFASDCNBSA-N 1 2 256.349 3.655 20 0 CHADLO Cc1ccc2c(c1)C[C@@H]([NH2+]CC(F)(F)C(F)(F)F)CC2 ZINC001172825955 1132322457 /nfs/dbraw/zinc/32/24/57/1132322457.db2.gz LXQVULOOCSVWIR-LBPRGKRZSA-N 1 2 293.279 3.640 20 0 CHADLO C[C@@]1(O)CC[N@H+](Cc2cc(Cl)cc(Cl)c2Cl)C1 ZINC001231597450 1132324092 /nfs/dbraw/zinc/32/40/92/1132324092.db2.gz BWRIHPKNBUFKLW-GFCCVEGCSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@@]1(O)CC[N@@H+](Cc2cc(Cl)cc(Cl)c2Cl)C1 ZINC001231597450 1132324101 /nfs/dbraw/zinc/32/41/01/1132324101.db2.gz BWRIHPKNBUFKLW-GFCCVEGCSA-N 1 2 294.609 3.604 20 0 CHADLO CCOC(=O)C[N@H+](CC)Cc1c(C)sc2ccccc21 ZINC001231648641 1132335720 /nfs/dbraw/zinc/33/57/20/1132335720.db2.gz GVBDBYUGJYXOPR-UHFFFAOYSA-N 1 2 291.416 3.595 20 0 CHADLO CCOC(=O)C[N@@H+](CC)Cc1c(C)sc2ccccc21 ZINC001231648641 1132335727 /nfs/dbraw/zinc/33/57/27/1132335727.db2.gz GVBDBYUGJYXOPR-UHFFFAOYSA-N 1 2 291.416 3.595 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@@H+]([C@@H](C)Cc1c(F)cccc1Cl)C2 ZINC001172856741 1132341455 /nfs/dbraw/zinc/34/14/55/1132341455.db2.gz LDWZAAHUAPAPAZ-MKBNYLNASA-N 1 2 297.801 3.663 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@H+]([C@@H](C)Cc1c(F)cccc1Cl)C2 ZINC001172856741 1132341463 /nfs/dbraw/zinc/34/14/63/1132341463.db2.gz LDWZAAHUAPAPAZ-MKBNYLNASA-N 1 2 297.801 3.663 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCCCC12COC2 ZINC001172859313 1132343542 /nfs/dbraw/zinc/34/35/42/1132343542.db2.gz ZWOSYSFZRRLMIN-LBPRGKRZSA-N 1 2 297.801 3.665 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCCCC12COC2 ZINC001172859313 1132343547 /nfs/dbraw/zinc/34/35/47/1132343547.db2.gz ZWOSYSFZRRLMIN-LBPRGKRZSA-N 1 2 297.801 3.665 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCOC[C@@H]1C1CC1 ZINC001172861282 1132345385 /nfs/dbraw/zinc/34/53/85/1132345385.db2.gz JIDWPFFREXWXKR-BDJLRTHQSA-N 1 2 297.801 3.521 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCOC[C@@H]1C1CC1 ZINC001172861282 1132345390 /nfs/dbraw/zinc/34/53/90/1132345390.db2.gz JIDWPFFREXWXKR-BDJLRTHQSA-N 1 2 297.801 3.521 20 0 CHADLO O=C1C[N@@H+](Cc2ccccc2-c2ccccc2)CCC[C@H]1F ZINC001142331009 1132370003 /nfs/dbraw/zinc/37/00/03/1132370003.db2.gz DQTBAKMWVZYSHS-GOSISDBHSA-N 1 2 297.373 3.857 20 0 CHADLO O=C1C[N@H+](Cc2ccccc2-c2ccccc2)CCC[C@H]1F ZINC001142331009 1132370010 /nfs/dbraw/zinc/37/00/10/1132370010.db2.gz DQTBAKMWVZYSHS-GOSISDBHSA-N 1 2 297.373 3.857 20 0 CHADLO COC(=O)[C@@H](C)[N@H+](C)Cc1sc2scc(C)c2c1C ZINC001231832727 1132376722 /nfs/dbraw/zinc/37/67/22/1132376722.db2.gz RKJGBBNIYASGRM-SNVBAGLBSA-N 1 2 297.445 3.573 20 0 CHADLO COC(=O)[C@@H](C)[N@@H+](C)Cc1sc2scc(C)c2c1C ZINC001231832727 1132376730 /nfs/dbraw/zinc/37/67/30/1132376730.db2.gz RKJGBBNIYASGRM-SNVBAGLBSA-N 1 2 297.445 3.573 20 0 CHADLO Cc1cc(N)ccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212697712 1132377216 /nfs/dbraw/zinc/37/72/16/1132377216.db2.gz PFQBGZCWLSIFGK-UHFFFAOYSA-N 1 2 278.359 3.566 20 0 CHADLO COc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1C ZINC001212697669 1132377931 /nfs/dbraw/zinc/37/79/31/1132377931.db2.gz MPYYDZVTYTUUAP-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO c1cn(Cc2ccc(Nc3cccc4c3COC4)cc2)c[nH+]1 ZINC001212698895 1132378383 /nfs/dbraw/zinc/37/83/83/1132378383.db2.gz CGEROYNTVDYBES-UHFFFAOYSA-N 1 2 291.354 3.705 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1ccc(C(F)(F)F)c(F)c1F ZINC001231856716 1132381203 /nfs/dbraw/zinc/38/12/03/1132381203.db2.gz QFPCAXWFPIXNNL-SSDOTTSWSA-N 1 2 265.225 3.578 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1ccc(C(F)(F)F)c(F)c1F ZINC001231856716 1132381208 /nfs/dbraw/zinc/38/12/08/1132381208.db2.gz QFPCAXWFPIXNNL-SSDOTTSWSA-N 1 2 265.225 3.578 20 0 CHADLO CCCC1CC[NH+](Cc2cc(Cl)cnc2F)CC1 ZINC001231992861 1132407278 /nfs/dbraw/zinc/40/72/78/1132407278.db2.gz NHUXPELDEJLHFI-UHFFFAOYSA-N 1 2 270.779 3.886 20 0 CHADLO COc1cccc2[nH]cc(C[N@@H+]3Cc4ccc(F)cc4C3)c21 ZINC001232036368 1132412305 /nfs/dbraw/zinc/41/23/05/1132412305.db2.gz GEBTVDFIVZKSNQ-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1cccc2[nH]cc(C[N@H+]3Cc4ccc(F)cc4C3)c21 ZINC001232036368 1132412307 /nfs/dbraw/zinc/41/23/07/1132412307.db2.gz GEBTVDFIVZKSNQ-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c(Cl)c1 ZINC001232103042 1132424078 /nfs/dbraw/zinc/42/40/78/1132424078.db2.gz CJQHRIGSINIWST-OQPBUACISA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@@H]3C[C@@H]32)c(Cl)c1 ZINC001232103042 1132424082 /nfs/dbraw/zinc/42/40/82/1132424082.db2.gz CJQHRIGSINIWST-OQPBUACISA-N 1 2 257.711 3.603 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1csc(Cl)c1)C2 ZINC001142759999 1132442427 /nfs/dbraw/zinc/44/24/27/1132442427.db2.gz DROLKEYHUSXUMS-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1csc(Cl)c1)C2 ZINC001142759999 1132442431 /nfs/dbraw/zinc/44/24/31/1132442431.db2.gz DROLKEYHUSXUMS-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc2c1CC[N@H+](Cc1csc(Cl)c1)C2 ZINC001142775477 1132446171 /nfs/dbraw/zinc/44/61/71/1132446171.db2.gz DSHWRTIRMCAGCS-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc2c1CC[N@@H+](Cc1csc(Cl)c1)C2 ZINC001142775477 1132446175 /nfs/dbraw/zinc/44/61/75/1132446175.db2.gz DSHWRTIRMCAGCS-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO c1ccc(-c2cccc(C[N@@H+]3Cc4ccncc4C3)c2)nc1 ZINC001142844637 1132459746 /nfs/dbraw/zinc/45/97/46/1132459746.db2.gz YUNVPBSCEGKIEL-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(-c2cccc(C[N@H+]3Cc4ccncc4C3)c2)nc1 ZINC001142844637 1132459751 /nfs/dbraw/zinc/45/97/51/1132459751.db2.gz YUNVPBSCEGKIEL-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO Cc1ccc(OC(C)C)c(C[N@@H+]2CCn3cccc3C2)c1 ZINC001232397085 1132465863 /nfs/dbraw/zinc/46/58/63/1132465863.db2.gz JRVRQLODUVRBGX-UHFFFAOYSA-N 1 2 284.403 3.600 20 0 CHADLO Cc1ccc(OC(C)C)c(C[N@H+]2CCn3cccc3C2)c1 ZINC001232397085 1132465867 /nfs/dbraw/zinc/46/58/67/1132465867.db2.gz JRVRQLODUVRBGX-UHFFFAOYSA-N 1 2 284.403 3.600 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cnc(C)nc2)c1OC(C)C ZINC001232394743 1132466530 /nfs/dbraw/zinc/46/65/30/1132466530.db2.gz KQKPWVXIPGIREU-UHFFFAOYSA-N 1 2 299.418 3.513 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cnc(C)nc2)c1OC(C)C ZINC001232394743 1132466535 /nfs/dbraw/zinc/46/65/35/1132466535.db2.gz KQKPWVXIPGIREU-UHFFFAOYSA-N 1 2 299.418 3.513 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1[nH]nc2c1CCC2 ZINC001232430516 1132469548 /nfs/dbraw/zinc/46/95/48/1132469548.db2.gz ZOUPDMSHYXEZEI-LLVKDONJSA-N 1 2 289.810 3.745 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1[nH]nc2c1CCC2 ZINC001232430516 1132469551 /nfs/dbraw/zinc/46/95/51/1132469551.db2.gz ZOUPDMSHYXEZEI-LLVKDONJSA-N 1 2 289.810 3.745 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCc3c(F)cccc3C2)cc1F ZINC001232423022 1132470286 /nfs/dbraw/zinc/47/02/86/1132470286.db2.gz HMICBZVSPKHWFL-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCc3c(F)cccc3C2)cc1F ZINC001232423022 1132470289 /nfs/dbraw/zinc/47/02/89/1132470289.db2.gz HMICBZVSPKHWFL-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1ccnc3[nH]ccc31)C2 ZINC001142882080 1132470359 /nfs/dbraw/zinc/47/03/59/1132470359.db2.gz CQPPNFFSFPSYDI-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1ccnc3[nH]ccc31)C2 ZINC001142882080 1132470362 /nfs/dbraw/zinc/47/03/62/1132470362.db2.gz CQPPNFFSFPSYDI-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Cc1ccc(C2([NH2+][C@@H]3CCCc4[nH]ncc43)CCC2)cc1 ZINC000842927052 1132477941 /nfs/dbraw/zinc/47/79/41/1132477941.db2.gz ABXLSRIZBOUPJN-MRXNPFEDSA-N 1 2 281.403 3.765 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1CCCC[C@@H](F)C1 ZINC001232496802 1132479426 /nfs/dbraw/zinc/47/94/26/1132479426.db2.gz ZGTAQQZIABWEFF-CYBMUJFWSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1CCCC[C@@H](F)C1 ZINC001232496802 1132479429 /nfs/dbraw/zinc/47/94/29/1132479429.db2.gz ZGTAQQZIABWEFF-CYBMUJFWSA-N 1 2 271.763 3.673 20 0 CHADLO C[N@H+](CCc1ccccc1)Cc1c(Cl)ccnc1F ZINC001232611878 1132492937 /nfs/dbraw/zinc/49/29/37/1132492937.db2.gz DSSCPBJYXYDBTB-UHFFFAOYSA-N 1 2 278.758 3.549 20 0 CHADLO C[N@@H+](CCc1ccccc1)Cc1c(Cl)ccnc1F ZINC001232611878 1132492942 /nfs/dbraw/zinc/49/29/42/1132492942.db2.gz DSSCPBJYXYDBTB-UHFFFAOYSA-N 1 2 278.758 3.549 20 0 CHADLO Cc1ccc(CC[N@@H+](C)Cc2c(Cl)ccnc2F)cc1 ZINC001232617087 1132495642 /nfs/dbraw/zinc/49/56/42/1132495642.db2.gz BKMYCICAIAHGNP-UHFFFAOYSA-N 1 2 292.785 3.857 20 0 CHADLO Cc1ccc(CC[N@H+](C)Cc2c(Cl)ccnc2F)cc1 ZINC001232617087 1132495644 /nfs/dbraw/zinc/49/56/44/1132495644.db2.gz BKMYCICAIAHGNP-UHFFFAOYSA-N 1 2 292.785 3.857 20 0 CHADLO CNc1ccccc1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC001232674008 1132506631 /nfs/dbraw/zinc/50/66/31/1132506631.db2.gz QNAHRPSNTZDAKL-UHFFFAOYSA-N 1 2 272.779 3.898 20 0 CHADLO CNc1ccccc1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC001232674008 1132506636 /nfs/dbraw/zinc/50/66/36/1132506636.db2.gz QNAHRPSNTZDAKL-UHFFFAOYSA-N 1 2 272.779 3.898 20 0 CHADLO Cc1oc(Br)nc1C[N@@H+]1CCC[C@@H]2CCC[C@@H]21 ZINC001249389915 1132513836 /nfs/dbraw/zinc/51/38/36/1132513836.db2.gz SZLUFYMFCVOHMQ-JQWIXIFHSA-N 1 2 299.212 3.510 20 0 CHADLO Cc1oc(Br)nc1C[N@H+]1CCC[C@@H]2CCC[C@@H]21 ZINC001249389915 1132513842 /nfs/dbraw/zinc/51/38/42/1132513842.db2.gz SZLUFYMFCVOHMQ-JQWIXIFHSA-N 1 2 299.212 3.510 20 0 CHADLO CSc1ccc(Nc2cccn3cc[nH+]c23)cc1 ZINC001173811774 1132516859 /nfs/dbraw/zinc/51/68/59/1132516859.db2.gz MMGGYLBMMOLOLD-UHFFFAOYSA-N 1 2 255.346 3.800 20 0 CHADLO Cc1cnc(CSCCc2[nH+]cc(C)cc2C)s1 ZINC000843303382 1132517378 /nfs/dbraw/zinc/51/73/78/1132517378.db2.gz OTYKEFBZBCHLRT-UHFFFAOYSA-N 1 2 278.446 3.939 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1cc(C)c(F)cc1F ZINC001232782832 1132518617 /nfs/dbraw/zinc/51/86/17/1132518617.db2.gz RHEZRRWPRZRYCM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1cc(C)c(F)cc1F ZINC001232782832 1132518625 /nfs/dbraw/zinc/51/86/25/1132518625.db2.gz RHEZRRWPRZRYCM-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CCCn1cnc(C[N@@H+]2CCC[C@@H]2c2cccc(F)c2)c1 ZINC001232799183 1132525076 /nfs/dbraw/zinc/52/50/76/1132525076.db2.gz ZGKYSKIWLQBEST-QGZVFWFLSA-N 1 2 287.382 3.769 20 0 CHADLO CCCn1cnc(C[N@H+]2CCC[C@@H]2c2cccc(F)c2)c1 ZINC001232799183 1132525084 /nfs/dbraw/zinc/52/50/84/1132525084.db2.gz ZGKYSKIWLQBEST-QGZVFWFLSA-N 1 2 287.382 3.769 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2ccccc21 ZINC001173797348 1132531027 /nfs/dbraw/zinc/53/10/27/1132531027.db2.gz WMIMTMFIYYVHFW-UHFFFAOYSA-N 1 2 250.301 3.987 20 0 CHADLO Fc1ccc(Nc2[nH+]cccc2N2CCCC2)cc1 ZINC001173799135 1132532664 /nfs/dbraw/zinc/53/26/64/1132532664.db2.gz LEFKWYIPLSRAAK-UHFFFAOYSA-N 1 2 257.312 3.565 20 0 CHADLO COc1ccc(Nc2cc(OC)c(C(F)(F)F)c[nH+]2)cc1 ZINC001173804356 1132538318 /nfs/dbraw/zinc/53/83/18/1132538318.db2.gz GASKOTDGACFFMH-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO Cc1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001173808172 1132541943 /nfs/dbraw/zinc/54/19/43/1132541943.db2.gz PEROKAXAXLERTE-UHFFFAOYSA-N 1 2 263.344 3.983 20 0 CHADLO Fc1ccccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001173847134 1132550313 /nfs/dbraw/zinc/55/03/13/1132550313.db2.gz NSTVKOQBZWUXIK-UHFFFAOYSA-N 1 2 267.307 3.814 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CCCC[C@H]3C)cc2[nH+]1 ZINC001150106311 1132551280 /nfs/dbraw/zinc/55/12/80/1132551280.db2.gz KKTDLURRCQLVAK-ZWNOBZJWSA-N 1 2 271.364 3.636 20 0 CHADLO CCOc1ccc(Nc2cccn3cc(C)[nH+]c23)cc1 ZINC001173852306 1132555533 /nfs/dbraw/zinc/55/55/33/1132555533.db2.gz DJBRDZVAGGVRKF-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO CCOC(=O)c1ccsc1C[N@@H+]1CCCC[C@@](C)(F)C1 ZINC001233018507 1132561829 /nfs/dbraw/zinc/56/18/29/1132561829.db2.gz XFZZJQXDGSPQPF-OAHLLOKOSA-N 1 2 299.411 3.639 20 0 CHADLO CCOC(=O)c1ccsc1C[N@H+]1CCCC[C@@](C)(F)C1 ZINC001233018507 1132561833 /nfs/dbraw/zinc/56/18/33/1132561833.db2.gz XFZZJQXDGSPQPF-OAHLLOKOSA-N 1 2 299.411 3.639 20 0 CHADLO COc1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1F ZINC001173862493 1132564463 /nfs/dbraw/zinc/56/44/63/1132564463.db2.gz VPGMKKBOMYDAJC-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(F)c(F)c2)c[nH+]1 ZINC001173866499 1132568380 /nfs/dbraw/zinc/56/83/80/1132568380.db2.gz KRXSXDKJXLZQMA-UHFFFAOYSA-N 1 2 263.291 3.560 20 0 CHADLO CCN(C)c1ccc(NC=Cc2ccccc2)c[nH+]1 ZINC001173871740 1132575679 /nfs/dbraw/zinc/57/56/79/1132575679.db2.gz SOMFINZJJSPLRB-VAWYXSNFSA-N 1 2 253.349 3.621 20 0 CHADLO C(=Cc1ccccc1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001173872664 1132576126 /nfs/dbraw/zinc/57/61/26/1132576126.db2.gz UBNDCEURBJZYNN-ZHACJKMWSA-N 1 2 261.328 3.955 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233211551 1132580149 /nfs/dbraw/zinc/58/01/49/1132580149.db2.gz YXZLGCLDPACKEC-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233211551 1132580153 /nfs/dbraw/zinc/58/01/53/1132580153.db2.gz YXZLGCLDPACKEC-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO Fc1c(Cl)ccc(C[N@@H+]2CCCC23CC3)c1F ZINC001233208242 1132580770 /nfs/dbraw/zinc/58/07/70/1132580770.db2.gz YVKAZEDDHJSKQJ-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Fc1c(Cl)ccc(C[N@H+]2CCCC23CC3)c1F ZINC001233208242 1132580779 /nfs/dbraw/zinc/58/07/79/1132580779.db2.gz YVKAZEDDHJSKQJ-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO COC(=O)c1c(F)ccc(Nc2ccc(C)[nH+]c2C)c1C ZINC001203376044 1132581463 /nfs/dbraw/zinc/58/14/63/1132581463.db2.gz HQRXUUUXIBUDAJ-UHFFFAOYSA-N 1 2 288.322 3.676 20 0 CHADLO CC1(C)C[NH+](Cc2csc(-c3ccc(F)cc3)n2)C1 ZINC001233240758 1132587419 /nfs/dbraw/zinc/58/74/19/1132587419.db2.gz PYKVQMURYSXILX-UHFFFAOYSA-N 1 2 276.380 3.791 20 0 CHADLO CCOc1cc(Nc2ccc(C(C)=O)cc2)cc(C)[nH+]1 ZINC001173895042 1132599461 /nfs/dbraw/zinc/59/94/61/1132599461.db2.gz MHPRDZDRYAPCBM-UHFFFAOYSA-N 1 2 270.332 3.735 20 0 CHADLO Fc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC001173926974 1132600059 /nfs/dbraw/zinc/60/00/59/1132600059.db2.gz XJQYZZQJZIVAAK-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO COc1cc(F)c(C[N@@H+]2CC[C@H]2c2ccccc2)cc1F ZINC001233344015 1132606707 /nfs/dbraw/zinc/60/67/07/1132606707.db2.gz KGEPFGVUDXVKOZ-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cc(F)c(C[N@H+]2CC[C@H]2c2ccccc2)cc1F ZINC001233344015 1132606709 /nfs/dbraw/zinc/60/67/09/1132606709.db2.gz KGEPFGVUDXVKOZ-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO Fc1cc(F)cc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001173914826 1132610537 /nfs/dbraw/zinc/61/05/37/1132610537.db2.gz WOHPBKZXXPLABU-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO Clc1ccn2cc(C[N@@H+]3CCc4ccccc4C3)nc2c1 ZINC001233388350 1132614361 /nfs/dbraw/zinc/61/43/61/1132614361.db2.gz VXTREVLGAWXFFP-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccn2cc(C[N@H+]3CCc4ccccc4C3)nc2c1 ZINC001233388350 1132614363 /nfs/dbraw/zinc/61/43/63/1132614363.db2.gz VXTREVLGAWXFFP-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO CC(C)(C)c1cccc(NC(=O)c2cccc3[nH+]ccn32)c1 ZINC001154524062 1132616807 /nfs/dbraw/zinc/61/68/07/1132616807.db2.gz QQKLGYLMVQUZOR-UHFFFAOYSA-N 1 2 293.370 3.884 20 0 CHADLO Fc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c(F)c1 ZINC001173926189 1132617885 /nfs/dbraw/zinc/61/78/85/1132617885.db2.gz ITCPKDFFORMDNB-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO FC1(F)CCC[N@H+](Cc2cn3cccc(Cl)c3n2)CC1 ZINC001233420603 1132620400 /nfs/dbraw/zinc/62/04/00/1132620400.db2.gz SBRGKMQEEAZOTH-UHFFFAOYSA-N 1 2 299.752 3.609 20 0 CHADLO FC1(F)CCC[N@@H+](Cc2cn3cccc(Cl)c3n2)CC1 ZINC001233420603 1132620403 /nfs/dbraw/zinc/62/04/03/1132620403.db2.gz SBRGKMQEEAZOTH-UHFFFAOYSA-N 1 2 299.752 3.609 20 0 CHADLO c1ccc2cc(NCCc3ccc4c(c3)OCO4)[nH+]cc2c1 ZINC001154738057 1132623709 /nfs/dbraw/zinc/62/37/09/1132623709.db2.gz PDGHMIOOLFOTFB-UHFFFAOYSA-N 1 2 292.338 3.618 20 0 CHADLO CCOC(=O)c1c(C)cc(N[C@@H]2CCCC[C@H]2F)[nH+]c1C ZINC001155062558 1132638248 /nfs/dbraw/zinc/63/82/48/1132638248.db2.gz LMJHKTGYSVFHQG-CHWSQXEVSA-N 1 2 294.370 3.568 20 0 CHADLO CCc1ccccc1Nc1ccccc1C[NH+]1CCOCC1 ZINC001173969733 1132639181 /nfs/dbraw/zinc/63/91/81/1132639181.db2.gz QPKKILLTHQKGNS-UHFFFAOYSA-N 1 2 296.414 3.825 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1NC[C@H]1CCCC[C@@H]1C ZINC001155075870 1132640236 /nfs/dbraw/zinc/64/02/36/1132640236.db2.gz AOAWITIWOCQEOY-UONOGXRCSA-N 1 2 292.423 3.920 20 0 CHADLO CSCc1ccc(NC[C@@H]2CCC(F)(F)C2)[nH+]c1 ZINC001155175069 1132644861 /nfs/dbraw/zinc/64/48/61/1132644861.db2.gz ZUPLMHPLSZBBLA-SNVBAGLBSA-N 1 2 272.364 3.792 20 0 CHADLO C/C=C(/C=C\C(=O)N1CCC[C@@H]1c1[nH]c(C)c(C)[nH+]1)CC ZINC001155173522 1132645375 /nfs/dbraw/zinc/64/53/75/1132645375.db2.gz FXOIFUIIUBOASU-JDKQWQTASA-N 1 2 287.407 3.603 20 0 CHADLO C/C=C(/C=C/C(=O)N1CCC[C@@H]1c1[nH]c(C)c(C)[nH+]1)CC ZINC001155173524 1132645395 /nfs/dbraw/zinc/64/53/95/1132645395.db2.gz FXOIFUIIUBOASU-OJLBGTQHSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(CO)c2Cl)[nH+]1 ZINC001212759702 1132650584 /nfs/dbraw/zinc/65/05/84/1132650584.db2.gz VFNYEEFRAQOXCY-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(F)c1F ZINC001173945200 1132653259 /nfs/dbraw/zinc/65/32/59/1132653259.db2.gz MSEPUBICDBFGSM-UHFFFAOYSA-N 1 2 250.248 3.502 20 0 CHADLO Cc1ccc(CNc2[nH+]c(C)cc(Cl)c2C)cn1 ZINC001155315187 1132653366 /nfs/dbraw/zinc/65/33/66/1132653366.db2.gz SNNIZVQIFKBZQL-UHFFFAOYSA-N 1 2 261.756 3.667 20 0 CHADLO c1cc2cc(OC3C[NH+](Cc4ccccc4)C3)ccc2o1 ZINC001233670836 1132661048 /nfs/dbraw/zinc/66/10/48/1132661048.db2.gz LPTBARRCNSUXMW-UHFFFAOYSA-N 1 2 279.339 3.696 20 0 CHADLO COC1C[C@H]2CC[C@@H](C1)N2c1cc(C)c2ccccc2[nH+]1 ZINC001155803709 1132666039 /nfs/dbraw/zinc/66/60/39/1132666039.db2.gz JJMQZMMAYFMKOO-YIONKMFJSA-N 1 2 282.387 3.689 20 0 CHADLO Cc1cn2c(cccc2Nc2cnc3ccccc3c2)[nH+]1 ZINC001174000572 1132671413 /nfs/dbraw/zinc/67/14/13/1132671413.db2.gz YLPADXGIXXBEOP-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO COc1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1F ZINC001173971941 1132681962 /nfs/dbraw/zinc/68/19/62/1132681962.db2.gz BWNXQPHLLCVOOK-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO CC(=O)Nc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001173978323 1132686311 /nfs/dbraw/zinc/68/63/11/1132686311.db2.gz PGBDJGCPINDFMT-UHFFFAOYSA-N 1 2 267.332 3.661 20 0 CHADLO CCN(CC)C(=O)c1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001174062562 1132689791 /nfs/dbraw/zinc/68/97/91/1132689791.db2.gz DBAUAUQOKXZJRC-UHFFFAOYSA-N 1 2 297.402 3.924 20 0 CHADLO CCN(CC)C(=O)c1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001174063735 1132690645 /nfs/dbraw/zinc/69/06/45/1132690645.db2.gz DZOLIOGWAYTXBL-UHFFFAOYSA-N 1 2 297.402 3.924 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c(N)cc2C)c(C)[nH+]1 ZINC001203376947 1132690868 /nfs/dbraw/zinc/69/08/68/1132690868.db2.gz XJUUAMZQFHRWDE-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO O=C1CC[N@@H+](Cc2ccccc2Cl)[C@@H]2CCCC[C@@H]12 ZINC001203377261 1132691919 /nfs/dbraw/zinc/69/19/19/1132691919.db2.gz NARVIMJQQUHXPE-UKRRQHHQSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2ccccc2Cl)[C@@H]2CCCC[C@@H]12 ZINC001203377261 1132691922 /nfs/dbraw/zinc/69/19/22/1132691922.db2.gz NARVIMJQQUHXPE-UKRRQHHQSA-N 1 2 277.795 3.674 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(OC)c2OC)cc1 ZINC001174018317 1132692444 /nfs/dbraw/zinc/69/24/44/1132692444.db2.gz UIXZNOBMTBXHBO-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(OC)c2OC)cc1 ZINC001174018317 1132692448 /nfs/dbraw/zinc/69/24/48/1132692448.db2.gz UIXZNOBMTBXHBO-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO COC(C)(C)CNc1cc(-c2ccccc2)cc[nH+]1 ZINC001156439711 1132695957 /nfs/dbraw/zinc/69/59/57/1132695957.db2.gz QBPUOYDRDCTCDH-UHFFFAOYSA-N 1 2 256.349 3.586 20 0 CHADLO CCOc1ccc[nH+]c1NC/C=C/c1ccccc1 ZINC001156467716 1132698818 /nfs/dbraw/zinc/69/88/18/1132698818.db2.gz HEZPTZXZAKODAF-UXBLZVDNSA-N 1 2 254.333 3.606 20 0 CHADLO COc1ccc2cc(Nc3c[nH+]ccc3OC)ccc2c1 ZINC001174030775 1132700725 /nfs/dbraw/zinc/70/07/25/1132700725.db2.gz WSMWVTRDNOWYAT-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO Nc1cccc2c(Cl)nn(-c3cc[nH+]c4ccccc43)c21 ZINC001174038913 1132702809 /nfs/dbraw/zinc/70/28/09/1132702809.db2.gz GFKCWELLJUOCGI-UHFFFAOYSA-N 1 2 294.745 3.809 20 0 CHADLO C/C(=C\C(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1C ZINC001156799878 1132709877 /nfs/dbraw/zinc/70/98/77/1132709877.db2.gz HCNBLDRTUIVVTD-SDNWHVSQSA-N 1 2 291.354 3.685 20 0 CHADLO COCc1cccc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001174093042 1132710197 /nfs/dbraw/zinc/71/01/97/1132710197.db2.gz YSNYUZLINGFOBE-UHFFFAOYSA-N 1 2 283.375 3.572 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(COC)c1 ZINC001174093400 1132710367 /nfs/dbraw/zinc/71/03/67/1132710367.db2.gz BVQWDHWFLWUUBG-UHFFFAOYSA-N 1 2 256.349 3.842 20 0 CHADLO Fc1cc(Nc2cccc3[nH+]ccn32)cc(C(F)(F)F)n1 ZINC001213005001 1132714421 /nfs/dbraw/zinc/71/44/21/1132714421.db2.gz LGAKHLPNJXJPFQ-UHFFFAOYSA-N 1 2 296.227 3.631 20 0 CHADLO Cc1cc(NC2(C3CCOCC3)CC2)[nH+]c2ccccc12 ZINC001156917869 1132715008 /nfs/dbraw/zinc/71/50/08/1132715008.db2.gz MJCMEUPEDHVXCI-UHFFFAOYSA-N 1 2 282.387 3.914 20 0 CHADLO c1cn2ccc(Nc3cncc4ccccc43)cc2[nH+]1 ZINC001174101019 1132718088 /nfs/dbraw/zinc/71/80/88/1132718088.db2.gz TYJIOLCDVYVQAH-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO COCc1ccccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001174104436 1132720416 /nfs/dbraw/zinc/72/04/16/1132720416.db2.gz MXZWGVCBRAZJDA-UHFFFAOYSA-N 1 2 283.375 3.572 20 0 CHADLO COCc1ccccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001174103806 1132720719 /nfs/dbraw/zinc/72/07/19/1132720719.db2.gz RIXBTJBURLQHTP-UHFFFAOYSA-N 1 2 293.370 3.821 20 0 CHADLO Cc1c[nH+]c(Nc2cc(F)nc(C(F)(F)F)c2)c(C)c1 ZINC001213007217 1132724749 /nfs/dbraw/zinc/72/47/49/1132724749.db2.gz YMZAODFASKCMRL-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO Cc1cc(C)c(Nc2ccc(C(=O)NC(C)(C)C)cc2)c[nH+]1 ZINC001174124808 1132736933 /nfs/dbraw/zinc/73/69/33/1132736933.db2.gz BCPJYFJTUIVNCC-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO CC1(C)C[C@@H](CNc2[nH+]cccc2OCc2ccccc2)O1 ZINC001157659802 1132738412 /nfs/dbraw/zinc/73/84/12/1132738412.db2.gz GGNYDHMVEQLLGA-HNNXBMFYSA-N 1 2 298.386 3.640 20 0 CHADLO Cc1nc(N[C@H]2CCNc3ccccc32)cc(C(C)C)[nH+]1 ZINC001157830516 1132745021 /nfs/dbraw/zinc/74/50/21/1132745021.db2.gz ACKIGXABRWRZOB-HNNXBMFYSA-N 1 2 282.391 3.877 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(C(=O)NC(C)C)cc2)c1 ZINC001174148721 1132749138 /nfs/dbraw/zinc/74/91/38/1132749138.db2.gz GUNLHZSMTBHHJQ-UHFFFAOYSA-N 1 2 297.402 3.916 20 0 CHADLO CCCCNC(=O)c1cccc(Nc2c[nH+]c(C)cc2C)c1 ZINC001174188662 1132755077 /nfs/dbraw/zinc/75/50/77/1132755077.db2.gz BIDIXBHRRDUNCN-UHFFFAOYSA-N 1 2 297.402 3.972 20 0 CHADLO CCc1cccc(Nc2cccc(C(=O)NCC(C)C)c2)[nH+]1 ZINC001174193544 1132755414 /nfs/dbraw/zinc/75/54/14/1132755414.db2.gz SMACWTCAGZYDPN-UHFFFAOYSA-N 1 2 297.402 3.773 20 0 CHADLO CCSc1cc[nH+]c(NCc2cc(C(C)C)no2)c1 ZINC001157995488 1132759674 /nfs/dbraw/zinc/75/96/74/1132759674.db2.gz JOYCDLYSQYNBOY-UHFFFAOYSA-N 1 2 277.393 3.917 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(C)[nH+]c(C(C)C)n2)nc1 ZINC001158020522 1132761718 /nfs/dbraw/zinc/76/17/18/1132761718.db2.gz ISULFJVOOYUSDS-ZDUSSCGKSA-N 1 2 270.380 3.785 20 0 CHADLO COC(=O)c1ccc2cc[nH+]c(NCCC3CCC3)c2c1 ZINC001158051197 1132763746 /nfs/dbraw/zinc/76/37/46/1132763746.db2.gz CQSJDIMLHFYFNI-UHFFFAOYSA-N 1 2 284.359 3.624 20 0 CHADLO Clc1cc(Nc2ccc3c(c2)OCC3)cn2cc[nH+]c12 ZINC001206667035 1132768982 /nfs/dbraw/zinc/76/89/82/1132768982.db2.gz KQIDSIXQXWKSCR-UHFFFAOYSA-N 1 2 285.734 3.666 20 0 CHADLO Cc1ccnc(CCNc2cc(C)c3ccccc3[nH+]2)c1 ZINC001158432832 1132775086 /nfs/dbraw/zinc/77/50/86/1132775086.db2.gz HDKKDJSSVADQCS-UHFFFAOYSA-N 1 2 277.371 3.901 20 0 CHADLO OCc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1Cl ZINC001212763137 1132781623 /nfs/dbraw/zinc/78/16/23/1132781623.db2.gz FTHJBEJUXYMXIM-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO c1[nH]nc2ccc(Nc3ccc(N4CCCCC4)[nH+]c3)cc12 ZINC001174273732 1132782725 /nfs/dbraw/zinc/78/27/25/1132782725.db2.gz QHADTWWCFNTQGX-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO CSCc1ccc(NC[C@H](C)c2ccc(O)cc2)[nH+]c1 ZINC001158606834 1132785118 /nfs/dbraw/zinc/78/51/18/1132785118.db2.gz GSQWXROHBOTKTM-LBPRGKRZSA-N 1 2 288.416 3.866 20 0 CHADLO Cc1nc(NC[C@@H](C)c2ccc(O)cc2)cc(C(C)C)[nH+]1 ZINC001158610945 1132786161 /nfs/dbraw/zinc/78/61/61/1132786161.db2.gz QWHFEDRBGWKNHS-GFCCVEGCSA-N 1 2 285.391 3.830 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(C(=O)N(C)CC)cc1 ZINC001174320600 1132792269 /nfs/dbraw/zinc/79/22/69/1132792269.db2.gz OWNWHVLUUCUFOH-UHFFFAOYSA-N 1 2 297.402 3.788 20 0 CHADLO CSCc1cc[nH+]c(NCCC(=O)c2ccccc2)c1 ZINC001159023367 1132803007 /nfs/dbraw/zinc/80/30/07/1132803007.db2.gz OQKBKLIIBPOYDX-UHFFFAOYSA-N 1 2 286.400 3.630 20 0 CHADLO c1ccc(-c2cc[nH+]c(N3C4CCC3CC4)c2)cc1 ZINC001159077797 1132804189 /nfs/dbraw/zinc/80/41/89/1132804189.db2.gz KXHXBGWIKXEXMA-UHFFFAOYSA-N 1 2 250.345 3.880 20 0 CHADLO CCCc1cc(N=C2CCC[N@H+](Cc3ccccc3)C2)on1 ZINC001174393375 1132809768 /nfs/dbraw/zinc/80/97/68/1132809768.db2.gz VBZOTGJLGWMNKQ-UHFFFAOYSA-N 1 2 297.402 3.829 20 0 CHADLO CCCc1cc(N=C2CCC[N@@H+](Cc3ccccc3)C2)on1 ZINC001174393375 1132809775 /nfs/dbraw/zinc/80/97/75/1132809775.db2.gz VBZOTGJLGWMNKQ-UHFFFAOYSA-N 1 2 297.402 3.829 20 0 CHADLO FC(F)(F)c1ccc2c(Nc3cc[nH]n3)cc[nH+]c2c1 ZINC001159145156 1132811148 /nfs/dbraw/zinc/81/11/48/1132811148.db2.gz WZAHUAVLIQUYLB-UHFFFAOYSA-N 1 2 278.237 3.720 20 0 CHADLO c1cc2cc(Nc3ccc(Cn4cc[nH+]c4)cc3)cnc2[nH]1 ZINC001174398509 1132813719 /nfs/dbraw/zinc/81/37/19/1132813719.db2.gz WFJGCLSYZZPLRG-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CC(C)c1cccc(Nc2cccc3c2CCN3)[nH+]1 ZINC001159200874 1132815604 /nfs/dbraw/zinc/81/56/04/1132815604.db2.gz STBNUWIFKWZYGS-UHFFFAOYSA-N 1 2 253.349 3.917 20 0 CHADLO CNc1ccc(Nc2cc(C)c(Cl)cc2F)c[nH+]1 ZINC001159254154 1132819663 /nfs/dbraw/zinc/81/96/63/1132819663.db2.gz SJGKFVPPKYBKQA-UHFFFAOYSA-N 1 2 265.719 3.968 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3ncsc3c2)[nH+]1 ZINC001174363157 1132820176 /nfs/dbraw/zinc/82/01/76/1132820176.db2.gz IRHDHRBSSGGWAO-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO Cc1c(Cl)ccc(Nc2ccc(N)[nH+]c2)c1F ZINC001159281798 1132823332 /nfs/dbraw/zinc/82/33/32/1132823332.db2.gz BGGJPBOKLVJWHA-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO CCOc1c(F)cc(Nc2ccc(N)[nH+]c2)cc1Cl ZINC001159282356 1132823462 /nfs/dbraw/zinc/82/34/62/1132823462.db2.gz URMNZBJATMJFLM-UHFFFAOYSA-N 1 2 281.718 3.599 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ncccc3F)ccc21 ZINC001174381018 1132828557 /nfs/dbraw/zinc/82/85/57/1132828557.db2.gz PVYWJGMHRJECEW-UHFFFAOYSA-N 1 2 270.311 3.895 20 0 CHADLO CCc1cnc(Nc2ccc3c(c2)[nH+]cn3C(C)C)cn1 ZINC001174382088 1132829582 /nfs/dbraw/zinc/82/95/82/1132829582.db2.gz XLBHWIHIDBJGFJ-UHFFFAOYSA-N 1 2 281.363 3.713 20 0 CHADLO CSc1nccc(Nc2ccc3c(c2)[nH+]cn3C(C)C)n1 ZINC001174380848 1132829619 /nfs/dbraw/zinc/82/96/19/1132829619.db2.gz MVNGKSURLPMZBT-UHFFFAOYSA-N 1 2 299.403 3.873 20 0 CHADLO Cc1ncc(Nc2ccc3c(c2)[nH+]cn3C(C)C)c(C)n1 ZINC001174381660 1132829662 /nfs/dbraw/zinc/82/96/62/1132829662.db2.gz MWXMUOJOZJVELU-UHFFFAOYSA-N 1 2 281.363 3.768 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cnsc3)ccc21 ZINC001174382229 1132829970 /nfs/dbraw/zinc/82/99/70/1132829970.db2.gz FMUMTZWLFIYNRD-UHFFFAOYSA-N 1 2 258.350 3.817 20 0 CHADLO COc1ccc2c(c1)C[C@@H](Nc1[nH+]ccc(F)c1C)CC2 ZINC001159361262 1132832498 /nfs/dbraw/zinc/83/24/98/1132832498.db2.gz UJHJSFLWLRFJSD-AWEZNQCLSA-N 1 2 286.350 3.507 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c[nH]c2ncc(F)cc12 ZINC001159417389 1132839667 /nfs/dbraw/zinc/83/96/67/1132839667.db2.gz YRRPCSFLTUXESL-UHFFFAOYSA-N 1 2 270.311 3.711 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(Cl)cccc1CO ZINC001159416865 1132840457 /nfs/dbraw/zinc/84/04/57/1132840457.db2.gz KONCCZNNEKZPGK-UHFFFAOYSA-N 1 2 276.767 3.842 20 0 CHADLO CC(C)CCn1cc(Nc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC001174413197 1132852623 /nfs/dbraw/zinc/85/26/23/1132852623.db2.gz FNMCELWPDFLSRZ-UHFFFAOYSA-N 1 2 299.422 3.668 20 0 CHADLO Cc1noc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc12 ZINC001174413537 1132852775 /nfs/dbraw/zinc/85/27/75/1132852775.db2.gz NRYLCROBOZQNSC-UHFFFAOYSA-N 1 2 264.288 3.708 20 0 CHADLO O=c1ccc2c(Nc3cc[nH+]c4[nH]ccc43)cccc2[nH]1 ZINC001174413509 1132853121 /nfs/dbraw/zinc/85/31/21/1132853121.db2.gz MZMDWSLMVILBNI-UHFFFAOYSA-N 1 2 276.299 3.512 20 0 CHADLO Cc1cc(N)c(Cl)cc1Nc1cc[nH+]c2[nH]ccc21 ZINC001174414647 1132854317 /nfs/dbraw/zinc/85/43/17/1132854317.db2.gz ZUAJAICZYKTPRD-UHFFFAOYSA-N 1 2 272.739 3.802 20 0 CHADLO Fc1ccc(Nc2cc[nH+]c3[nH]ccc32)c2ccncc12 ZINC001174415061 1132854524 /nfs/dbraw/zinc/85/45/24/1132854524.db2.gz FXECUPSVPDKJET-UHFFFAOYSA-N 1 2 278.290 3.945 20 0 CHADLO CC(=O)c1cc(Nc2cc[nH+]c3[nH]ccc32)cc(C(C)=O)c1 ZINC001174415453 1132855806 /nfs/dbraw/zinc/85/58/06/1132855806.db2.gz NCHHZPQQCQFXFE-UHFFFAOYSA-N 1 2 293.326 3.663 20 0 CHADLO COC(=O)[C@@H](C)c1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1 ZINC001174415459 1132855902 /nfs/dbraw/zinc/85/59/02/1132855902.db2.gz NJEORRMQCBPJET-NSHDSACASA-N 1 2 295.342 3.535 20 0 CHADLO c1cn2ccc(Nc3cccc(N4CCCC4)c3)cc2[nH+]1 ZINC001174488527 1132860586 /nfs/dbraw/zinc/86/05/86/1132860586.db2.gz OAMSCXXHWCEADD-UHFFFAOYSA-N 1 2 278.359 3.678 20 0 CHADLO Cc1n[nH]cc1Nc1ccc(Oc2cc[nH+]c(C)c2)cc1 ZINC001174474887 1132880150 /nfs/dbraw/zinc/88/01/50/1132880150.db2.gz FZGLLNVYCNJJHO-UHFFFAOYSA-N 1 2 280.331 3.957 20 0 CHADLO Nc1cc2ccn(-c3ccc([NH+]4CCCC4)cc3)c2cc1F ZINC001174527339 1132888375 /nfs/dbraw/zinc/88/83/75/1132888375.db2.gz FUBLYINOERYUSS-UHFFFAOYSA-N 1 2 295.361 3.952 20 0 CHADLO Oc1ccc(Nc2ccc([NH+]3CCCC3)cc2)cc1 ZINC001174527203 1132889330 /nfs/dbraw/zinc/88/93/30/1132889330.db2.gz VBMPQMDXSPXZPP-UHFFFAOYSA-N 1 2 254.333 3.736 20 0 CHADLO c1ccc(OCNc2[nH+]ccc3ccccc32)cc1 ZINC001159699994 1132893556 /nfs/dbraw/zinc/89/35/56/1132893556.db2.gz OKERNTGAZMQAQM-UHFFFAOYSA-N 1 2 250.301 3.683 20 0 CHADLO Cc1cccc2c(C)cc(N[C@@H]3CCOC[C@@H]3C)[nH+]c12 ZINC001159709437 1132897420 /nfs/dbraw/zinc/89/74/20/1132897420.db2.gz SCLMLZDGUUTZBZ-DZGCQCFKSA-N 1 2 270.376 3.689 20 0 CHADLO C[C@H]1COCC[C@@H]1Nc1cc(-c2ccccc2)cc[nH+]1 ZINC001159711401 1132897834 /nfs/dbraw/zinc/89/78/34/1132897834.db2.gz QAXPBEXAHFIHIE-BBRMVZONSA-N 1 2 268.360 3.586 20 0 CHADLO CN(C)c1cccc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174523854 1132912901 /nfs/dbraw/zinc/91/29/01/1132912901.db2.gz VSVLQJWNHAYFJQ-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1O ZINC001174524500 1132913364 /nfs/dbraw/zinc/91/33/64/1132913364.db2.gz MAOAOCAQSOGWFF-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO C[C@@H](O)c1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC001174524038 1132913500 /nfs/dbraw/zinc/91/35/00/1132913500.db2.gz ATTLXKUMLZYUJM-CQSZACIVSA-N 1 2 297.402 3.869 20 0 CHADLO Cc1cc(O)ccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001174559746 1132923849 /nfs/dbraw/zinc/92/38/49/1132923849.db2.gz LDXIGUXTSTTYTO-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO COc1cccc(Nc2cc(C3CC3)c[nH+]c2C)c1N ZINC001159913833 1132924155 /nfs/dbraw/zinc/92/41/55/1132924155.db2.gz RNGWEFZJCBYPHL-UHFFFAOYSA-N 1 2 269.348 3.602 20 0 CHADLO CCSc1cc[nH+]c(NCc2cccc3ncoc32)c1 ZINC001160261491 1132952537 /nfs/dbraw/zinc/95/25/37/1132952537.db2.gz WMOWEOWGHRGWNL-UHFFFAOYSA-N 1 2 285.372 3.947 20 0 CHADLO Cc1cc(Nc2cccc3c2CCN3)ccc1[NH+](C)C ZINC001160277383 1132956039 /nfs/dbraw/zinc/95/60/39/1132956039.db2.gz BZBPWVZDJDDRFQ-UHFFFAOYSA-N 1 2 267.376 3.773 20 0 CHADLO C[C@](CO)(Nc1cc2ccccc2c[nH+]1)c1ccccc1 ZINC001160435265 1132969857 /nfs/dbraw/zinc/96/98/57/1132969857.db2.gz KDUKZELEIUQIRR-GOSISDBHSA-N 1 2 278.355 3.554 20 0 CHADLO Cc1nc(N[C@@H](CC(C)C)c2ccccn2)c2c([nH+]1)CCC2 ZINC001160485849 1132971947 /nfs/dbraw/zinc/97/19/47/1132971947.db2.gz IFAHNERPPRDVBH-KRWDZBQOSA-N 1 2 296.418 3.868 20 0 CHADLO CCSc1cc[nH+]c(NCc2noc3ccccc23)c1 ZINC001160585904 1132975207 /nfs/dbraw/zinc/97/52/07/1132975207.db2.gz ARVNMFCVRYVDCX-UHFFFAOYSA-N 1 2 285.372 3.947 20 0 CHADLO CC(C)CC1(C)CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001160652406 1132977445 /nfs/dbraw/zinc/97/74/45/1132977445.db2.gz UGNFHWCVFPBNJV-UHFFFAOYSA-N 1 2 299.418 3.851 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H](C)c1cnc2c(C)cccn12 ZINC001160687016 1132978906 /nfs/dbraw/zinc/97/89/06/1132978906.db2.gz XWGQSFZBDRORSK-ZDUSSCGKSA-N 1 2 296.374 3.528 20 0 CHADLO FC(F)(F)C1(Nc2cc3ccccc3c[nH+]2)CC1 ZINC001160822068 1132981820 /nfs/dbraw/zinc/98/18/20/1132981820.db2.gz WSUKUTDFIFQKEY-UHFFFAOYSA-N 1 2 252.239 3.742 20 0 CHADLO COc1cc(C)c(CNc2[nH+]c(C)nc3[nH]ccc32)cc1C ZINC001160777286 1132981826 /nfs/dbraw/zinc/98/18/26/1132981826.db2.gz QDSBKORMJCSMNX-UHFFFAOYSA-N 1 2 296.374 3.504 20 0 CHADLO CCOc1cc(CNc2cc3ccccc3c[nH+]2)ccc1O ZINC001160785424 1132982126 /nfs/dbraw/zinc/98/21/26/1132982126.db2.gz QXFYCZMJOGANIS-UHFFFAOYSA-N 1 2 294.354 3.951 20 0 CHADLO c1ccc(-c2cc[nH+]c(NCCO[C@H]3CCCCO3)c2)cc1 ZINC001160918931 1132987995 /nfs/dbraw/zinc/98/79/95/1132987995.db2.gz BDAKBXOTJFFECO-SFHVURJKSA-N 1 2 298.386 3.704 20 0 CHADLO Nc1cc(Cl)nc(Cl)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213028118 1132989240 /nfs/dbraw/zinc/98/92/40/1132989240.db2.gz IETMTPPBEZMNNR-UHFFFAOYSA-N 1 2 294.145 3.591 20 0 CHADLO Cc1ccc(-n2nccc2Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213029023 1132992425 /nfs/dbraw/zinc/99/24/25/1132992425.db2.gz DXVUABMARHMPDP-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO FC(F)(F)Oc1cncc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213030613 1132994474 /nfs/dbraw/zinc/99/44/74/1132994474.db2.gz IXCLQZQMAWIAQN-UHFFFAOYSA-N 1 2 294.236 3.600 20 0 CHADLO c1cn(Cc2cccc(Nc3cccc4[nH]cnc43)c2)c[nH+]1 ZINC001213031470 1132995910 /nfs/dbraw/zinc/99/59/10/1132995910.db2.gz BITZVQUPSQFSQW-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO Cc1cc(C)c(N)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213031510 1132996912 /nfs/dbraw/zinc/99/69/12/1132996912.db2.gz DBPISQIONZKOQF-UHFFFAOYSA-N 1 2 252.321 3.506 20 0 CHADLO Cc1nc2cc(Nc3cccc4[nH+]c[nH]c43)ccc2o1 ZINC001213031527 1132996983 /nfs/dbraw/zinc/99/69/83/1132996983.db2.gz DOAFECKXFSJXGX-UHFFFAOYSA-N 1 2 264.288 3.756 20 0 CHADLO Fc1ccc(Nc2cccc3[nH+]c[nH]c32)c(F)c1F ZINC001213031940 1132997801 /nfs/dbraw/zinc/99/78/01/1132997801.db2.gz RKXSYCNYHWDENO-UHFFFAOYSA-N 1 2 263.222 3.724 20 0 CHADLO COc1c(F)cc(Nc2cccc3[nH+]c[nH]c32)cc1F ZINC001213032703 1133001503 /nfs/dbraw/zinc/00/15/03/1133001503.db2.gz TWDDVOJTEGTJPT-UHFFFAOYSA-N 1 2 275.258 3.593 20 0 CHADLO Cc1cc(NC[C@@H]2CCOC(C)(C)C2)nc(C(C)(C)C)[nH+]1 ZINC001161430442 1133001622 /nfs/dbraw/zinc/00/16/22/1133001622.db2.gz VVICWMPXPZPLMR-CYBMUJFWSA-N 1 2 291.439 3.700 20 0 CHADLO Cc1cc(Cl)c(C)c(NC[C@H]2CCOC(C)(C)C2)[nH+]1 ZINC001161429713 1133002059 /nfs/dbraw/zinc/00/20/59/1133002059.db2.gz XYQCVEBPOQIPPP-LBPRGKRZSA-N 1 2 282.815 3.969 20 0 CHADLO c1cc2cc(NCc3c[nH+]cn3CC3CCC3)ncc2s1 ZINC001161533326 1133005433 /nfs/dbraw/zinc/00/54/33/1133005433.db2.gz MMETUBPOFSFTFI-UHFFFAOYSA-N 1 2 298.415 3.905 20 0 CHADLO CCC(=O)c1ccc(Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213033814 1133008107 /nfs/dbraw/zinc/00/81/07/1133008107.db2.gz YUAXYVPFUGFLEV-UHFFFAOYSA-N 1 2 265.316 3.899 20 0 CHADLO Fc1cnc2cccc(Nc3cccc4[nH+]c[nH]c43)c2c1 ZINC001213033741 1133009794 /nfs/dbraw/zinc/00/97/94/1133009794.db2.gz VBFCPKNHBBCCNM-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO Cc1cc(NCc2ccccc2C)cc(OC(F)F)[nH+]1 ZINC001161623685 1133011004 /nfs/dbraw/zinc/01/10/04/1133011004.db2.gz IKXSMRQCVQDBHI-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO CC(C)(C)CNc1[nH]c2ccc(Br)cc2[nH+]1 ZINC001161639261 1133012607 /nfs/dbraw/zinc/01/26/07/1133012607.db2.gz NWNAUBUDMKYLCW-UHFFFAOYSA-N 1 2 282.185 3.783 20 0 CHADLO CC(C)(C)CNc1[nH]c2cc(Br)ccc2[nH+]1 ZINC001161639261 1133012615 /nfs/dbraw/zinc/01/26/15/1133012615.db2.gz NWNAUBUDMKYLCW-UHFFFAOYSA-N 1 2 282.185 3.783 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NCCC(C)(C)C)c1 ZINC001161676074 1133014661 /nfs/dbraw/zinc/01/46/61/1133014661.db2.gz MXDPGKWLYQENPF-UHFFFAOYSA-N 1 2 257.381 3.935 20 0 CHADLO Cc1nc(N[C@H]2CCO[C@@H](C)C2)cc(C2CCCCC2)[nH+]1 ZINC001161692956 1133017280 /nfs/dbraw/zinc/01/72/80/1133017280.db2.gz OPERTTYMNYYNHQ-WFASDCNBSA-N 1 2 289.423 3.812 20 0 CHADLO CC(C)Cn1cnc(CNc2cc3ccccc3c[nH+]2)c1 ZINC001161792797 1133029141 /nfs/dbraw/zinc/02/91/41/1133029141.db2.gz CVAGCZJPGPZIRH-UHFFFAOYSA-N 1 2 280.375 3.699 20 0 CHADLO Cc1cc(N[C@@H](c2cncc(F)c2)C2CC2)nc(C2CC2)[nH+]1 ZINC001161819606 1133031322 /nfs/dbraw/zinc/03/13/22/1133031322.db2.gz WHVYNJKKFWHUDP-MRXNPFEDSA-N 1 2 298.365 3.760 20 0 CHADLO CC(C)COc1cc(NCc2nc3ccccc3o2)cc[nH+]1 ZINC001161972376 1133044565 /nfs/dbraw/zinc/04/45/65/1133044565.db2.gz ZFMLSTRAVWVABO-UHFFFAOYSA-N 1 2 297.358 3.870 20 0 CHADLO Cc1nccnc1CNc1[nH+]ccc2cc(Cl)ccc21 ZINC001162000241 1133047476 /nfs/dbraw/zinc/04/74/76/1133047476.db2.gz CXCCXSHMOLQOEO-UHFFFAOYSA-N 1 2 284.750 3.599 20 0 CHADLO Cc1nc2[nH]ccc2c(NC[C@@H]2CCC(C)(C)C2)[nH+]1 ZINC001162123011 1133052336 /nfs/dbraw/zinc/05/23/36/1133052336.db2.gz KBYOKHIWDSTJRI-LLVKDONJSA-N 1 2 258.369 3.505 20 0 CHADLO CCc1ccc(CNc2nc(C)[nH+]c3c2CCCC3)s1 ZINC001162139970 1133053752 /nfs/dbraw/zinc/05/37/52/1133053752.db2.gz IKMGGLPIHMQWJF-UHFFFAOYSA-N 1 2 287.432 3.900 20 0 CHADLO Cc1cccc2sc(NC[C@H](C)Cn3cc[nH+]c3)nc21 ZINC001162184983 1133057675 /nfs/dbraw/zinc/05/76/75/1133057675.db2.gz SGMIGVHIJDSTQJ-NSHDSACASA-N 1 2 286.404 3.549 20 0 CHADLO Cc1c[nH+]cc(NCc2cnccc2C(F)(F)F)c1C ZINC001162290828 1133067203 /nfs/dbraw/zinc/06/72/03/1133067203.db2.gz DKEGKDZJRULAJL-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO Cc1cccc2c(C)cc(N[C@@H]3C[C@]34CCCOC4)[nH+]c12 ZINC001162367998 1133072344 /nfs/dbraw/zinc/07/23/44/1133072344.db2.gz NCJYWUUOMSRENH-QAPCUYQASA-N 1 2 282.387 3.833 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N[C@H]2C[C@]23CCCOC3)c1 ZINC001162369962 1133073244 /nfs/dbraw/zinc/07/32/44/1133073244.db2.gz GSGVVQWACUWWSN-HOCLYGCPSA-N 1 2 288.778 3.869 20 0 CHADLO CC(C)([NH2+]c1ccc2c(c1)C1(CN2)CCOCC1)C1CC1 ZINC001162517744 1133085653 /nfs/dbraw/zinc/08/56/53/1133085653.db2.gz GTQVZNFIPYHTCJ-UHFFFAOYSA-N 1 2 286.419 3.761 20 0 CHADLO FC1(F)CC[C@@H](CNc2[nH+]cccc2-n2cccc2)C1 ZINC001162646516 1133098716 /nfs/dbraw/zinc/09/87/16/1133098716.db2.gz GKYRLUCZDVRJOX-GFCCVEGCSA-N 1 2 277.318 3.720 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cc(Oc2cccc(F)c2)ncn1 ZINC001162662187 1133101429 /nfs/dbraw/zinc/10/14/29/1133101429.db2.gz QAHYXLLBCXGZLR-UHFFFAOYSA-N 1 2 288.326 3.843 20 0 CHADLO CSc1nc(N[C@H]2CCc3sccc32)cc(C)[nH+]1 ZINC001162760149 1133108519 /nfs/dbraw/zinc/10/85/19/1133108519.db2.gz HTHCWPDRKVTJNQ-JTQLQIEISA-N 1 2 277.418 3.668 20 0 CHADLO CSc1cc[nH+]c(NCc2cncc3ccccc32)c1 ZINC001162749848 1133109078 /nfs/dbraw/zinc/10/90/78/1133109078.db2.gz SZSLLECIBZWASO-UHFFFAOYSA-N 1 2 281.384 3.964 20 0 CHADLO Cn1c[nH+]c2ccc(NCc3cn(C)c4ccccc34)cc21 ZINC001162830582 1133115068 /nfs/dbraw/zinc/11/50/68/1133115068.db2.gz ACKWASIQNOWYQI-UHFFFAOYSA-N 1 2 290.370 3.677 20 0 CHADLO CCc1cc2c(nc(C)nc2Nc2ccc(NC)[nH+]c2)s1 ZINC001162832651 1133116250 /nfs/dbraw/zinc/11/62/50/1133116250.db2.gz FSACMPHOJYYDMX-UHFFFAOYSA-N 1 2 299.403 3.742 20 0 CHADLO Cc1c[nH+]cc(NCc2cn(C)c3ccccc23)c1C ZINC001162831779 1133116819 /nfs/dbraw/zinc/11/68/19/1133116819.db2.gz RMNVSURWCCWNMF-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO CC[C@@H](CO)Nc1cc(SCc2ccccc2)cc[nH+]1 ZINC001163045560 1133127899 /nfs/dbraw/zinc/12/78/99/1133127899.db2.gz UGGFPKTXRQYIKI-AWEZNQCLSA-N 1 2 288.416 3.557 20 0 CHADLO COc1c[nH+]c(N[C@H](c2cccnc2)C(C)C)c(C)c1 ZINC001163059782 1133128227 /nfs/dbraw/zinc/12/82/27/1133128227.db2.gz SNTIXULWRCVOCI-HNNXBMFYSA-N 1 2 271.364 3.603 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@H](c1cccnc1)C(C)C ZINC001163060946 1133128669 /nfs/dbraw/zinc/12/86/69/1133128669.db2.gz UNIMTTOINQVBGP-AWEZNQCLSA-N 1 2 259.328 3.733 20 0 CHADLO Fc1ccc2ccnc(NCc3ccc4[nH+]ccn4c3)c2c1 ZINC001163138885 1133131224 /nfs/dbraw/zinc/13/12/24/1133131224.db2.gz CJGDIGAUGCKWIY-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO c1cn2cc(CNc3cc4ccsc4cn3)ccc2[nH+]1 ZINC001163139041 1133131855 /nfs/dbraw/zinc/13/18/55/1133131855.db2.gz GGVYIPKYTZDRSS-UHFFFAOYSA-N 1 2 280.356 3.556 20 0 CHADLO CSCc1cc[nH+]c(N[C@H]2CCCc3ccncc32)c1 ZINC001163173970 1133133487 /nfs/dbraw/zinc/13/34/87/1133133487.db2.gz UDCHXSRDVFHMRM-HNNXBMFYSA-N 1 2 285.416 3.829 20 0 CHADLO Cc1ccc(F)c(CN(C)c2nc(C)[nH+]c3c2CCCC3)c1 ZINC001163178685 1133134408 /nfs/dbraw/zinc/13/44/08/1133134408.db2.gz DGIRUGHSNPOSTK-UHFFFAOYSA-N 1 2 299.393 3.748 20 0 CHADLO Nc1ccc(C2(Nc3cc4ccccc4c[nH+]3)CC2)cc1 ZINC001163198277 1133135909 /nfs/dbraw/zinc/13/59/09/1133135909.db2.gz KYESRZUOZYYJIZ-UHFFFAOYSA-N 1 2 275.355 3.918 20 0 CHADLO CN(C)c1cc(N[C@H]2CCC[C@H](c3ccccc3)C2)[nH+]cn1 ZINC001163328588 1133141843 /nfs/dbraw/zinc/14/18/43/1133141843.db2.gz WEXIQZPLQACCKB-HOTGVXAUSA-N 1 2 296.418 3.681 20 0 CHADLO CN(C)c1cc(N[C@H]2CCC[C@H](c3ccccc3)C2)nc[nH+]1 ZINC001163328588 1133141846 /nfs/dbraw/zinc/14/18/46/1133141846.db2.gz WEXIQZPLQACCKB-HOTGVXAUSA-N 1 2 296.418 3.681 20 0 CHADLO CCC1(c2ccccc2)CN(c2nc(C)[nH+]c(C)c2C)C1 ZINC001163464893 1133151231 /nfs/dbraw/zinc/15/12/31/1133151231.db2.gz BGULVXWWOWXVIT-UHFFFAOYSA-N 1 2 281.403 3.570 20 0 CHADLO CC[C@@H](C)CCNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC001163690259 1133165424 /nfs/dbraw/zinc/16/54/24/1133165424.db2.gz MQXYFZNQIGKPDM-CYBMUJFWSA-N 1 2 295.390 3.664 20 0 CHADLO CC(C)c1cc(NC[C@@H](CO)CC2CC2)nc(C(C)C)[nH+]1 ZINC001163728831 1133169053 /nfs/dbraw/zinc/16/90/53/1133169053.db2.gz OIEVNGVWPKCICE-AWEZNQCLSA-N 1 2 291.439 3.544 20 0 CHADLO CN(c1ccc(C(C)(C)C)c[nH+]1)C1CC(F)(F)C1 ZINC001163767464 1133172882 /nfs/dbraw/zinc/17/28/82/1133172882.db2.gz IHOXWBABANKXIT-UHFFFAOYSA-N 1 2 254.324 3.613 20 0 CHADLO CCc1cc(NCC[C@@H]2CC2(Cl)Cl)nc(CC)[nH+]1 ZINC001163779339 1133174843 /nfs/dbraw/zinc/17/48/43/1133174843.db2.gz CESIMPGFDUJPBD-SECBINFHSA-N 1 2 288.222 3.597 20 0 CHADLO O=C1CCN(c2[nH+]ccc3ccccc32)[C@H]2CCCC[C@@H]12 ZINC001163792347 1133175551 /nfs/dbraw/zinc/17/55/51/1133175551.db2.gz VERLTXRYHDJONZ-CVEARBPZSA-N 1 2 280.371 3.573 20 0 CHADLO Cc1ccc2cc(NC[C@@H]3Cc4ccccc4O3)[nH+]cc2c1 ZINC001163858268 1133179992 /nfs/dbraw/zinc/17/99/92/1133179992.db2.gz CJORCSDPCBNOGG-KRWDZBQOSA-N 1 2 290.366 3.959 20 0 CHADLO CSCCCNc1cc[nH+]c2[nH]cc(C(F)(F)F)c21 ZINC001163875595 1133182247 /nfs/dbraw/zinc/18/22/47/1133182247.db2.gz MIWALTHOEVAODZ-UHFFFAOYSA-N 1 2 289.326 3.747 20 0 CHADLO Cc1ccccc1OCCCNc1ccc[nH+]c1C ZINC001163975386 1133190140 /nfs/dbraw/zinc/19/01/40/1133190140.db2.gz TVWQMJZUIRZJAF-UHFFFAOYSA-N 1 2 256.349 3.579 20 0 CHADLO CC1(Nc2c(Br)c[nH+]c3ccccc32)CCC1 ZINC001164032182 1133193979 /nfs/dbraw/zinc/19/39/79/1133193979.db2.gz GYLCZIQVYYDMSV-UHFFFAOYSA-N 1 2 291.192 3.774 20 0 CHADLO CC1([NH2+]c2cc3c4c(c2)CCCN4CCC3)CCC1 ZINC001164032810 1133194165 /nfs/dbraw/zinc/19/41/65/1133194165.db2.gz WPCJAMIKIAOHDL-UHFFFAOYSA-N 1 2 256.393 3.740 20 0 CHADLO CC1(Nc2cc3c4c(c2)CCC[NH+]4CCC3)CCC1 ZINC001164032810 1133194166 /nfs/dbraw/zinc/19/41/66/1133194166.db2.gz WPCJAMIKIAOHDL-UHFFFAOYSA-N 1 2 256.393 3.740 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CC[C@@H](CF)C(F)(F)C1 ZINC001164093857 1133196746 /nfs/dbraw/zinc/19/67/46/1133196746.db2.gz GSDLOYBZEIQVFA-LBPRGKRZSA-N 1 2 294.320 3.974 20 0 CHADLO CNc1ccc(CNc2[nH+]cccc2-n2cccc2)cc1 ZINC001164150986 1133201558 /nfs/dbraw/zinc/20/15/58/1133201558.db2.gz BOTDADDAWXCGPO-UHFFFAOYSA-N 1 2 278.359 3.526 20 0 CHADLO CNc1ccc(CNc2cc[nH+]c(OCC(C)C)c2)cc1 ZINC001164155460 1133201949 /nfs/dbraw/zinc/20/19/49/1133201949.db2.gz GOSVGWPRRCEAJE-UHFFFAOYSA-N 1 2 285.391 3.770 20 0 CHADLO Cc1cc(N[C@H]2CCCC3(CC3)C2)nc(C2CC2)[nH+]1 ZINC001164234830 1133210057 /nfs/dbraw/zinc/21/00/57/1133210057.db2.gz XGETZYVBDGRXDQ-ZDUSSCGKSA-N 1 2 257.381 3.797 20 0 CHADLO FC[C@]1(C(F)(F)F)CCN(c2[nH+]ccc3ccccc32)C1 ZINC001164265159 1133211237 /nfs/dbraw/zinc/21/12/37/1133211237.db2.gz KZQSBGRZTJIKOE-CQSZACIVSA-N 1 2 298.283 3.963 20 0 CHADLO CC(C)c1cc(N[C@H]2COC[C@@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC001164333108 1133214028 /nfs/dbraw/zinc/21/40/28/1133214028.db2.gz SJDNOLBXXODSBV-HIFRSBDPSA-N 1 2 289.423 3.560 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCC23CCCC3)[nH+]1 ZINC001165277908 1133235144 /nfs/dbraw/zinc/23/51/44/1133235144.db2.gz TVEYXONWDFTRIC-UHFFFAOYSA-N 1 2 250.773 3.875 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001204396959 1133236868 /nfs/dbraw/zinc/23/68/68/1133236868.db2.gz MPHOZLWSEPBOTB-GJZGRUSLSA-N 1 2 267.363 3.866 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2C[C@@H](F)C[C@H]2CF)cc1 ZINC001204396959 1133236871 /nfs/dbraw/zinc/23/68/71/1133236871.db2.gz MPHOZLWSEPBOTB-GJZGRUSLSA-N 1 2 267.363 3.866 20 0 CHADLO CSc1cc(NC/C=C/c2ccccc2)nc(C)[nH+]1 ZINC001166066151 1133258947 /nfs/dbraw/zinc/25/89/47/1133258947.db2.gz ZZZOQANSJITFHP-RMKNXTFCSA-N 1 2 271.389 3.632 20 0 CHADLO CSc1nc(N(C)CCCc2ccccc2)cc(C)[nH+]1 ZINC001166555417 1133270802 /nfs/dbraw/zinc/27/08/02/1133270802.db2.gz YPVNTVARXAQDII-UHFFFAOYSA-N 1 2 287.432 3.576 20 0 CHADLO CC(C)(C)c1ccc(N2CC[C@H](c3ccncc3)C2)[nH+]c1 ZINC001166567843 1133271358 /nfs/dbraw/zinc/27/13/58/1133271358.db2.gz GAKAUPOFNNNUPW-HNNXBMFYSA-N 1 2 281.403 3.768 20 0 CHADLO CSc1cc[nH+]c(N(C)CCc2cccs2)c1 ZINC001166679889 1133281282 /nfs/dbraw/zinc/28/12/82/1133281282.db2.gz UKHOYINEJOKHKO-UHFFFAOYSA-N 1 2 264.419 3.544 20 0 CHADLO Cc1nc([C@@H]2CCCCN2c2ccc(C(C)C)c[nH+]2)no1 ZINC001166715070 1133283582 /nfs/dbraw/zinc/28/35/82/1133283582.db2.gz MCGGCEKNAHEDHN-AWEZNQCLSA-N 1 2 286.379 3.628 20 0 CHADLO CC(C)Oc1cc(NCCc2ccsc2)cc[nH+]1 ZINC001166802413 1133288357 /nfs/dbraw/zinc/28/83/57/1133288357.db2.gz AFNGUIDWELSUQQ-UHFFFAOYSA-N 1 2 262.378 3.585 20 0 CHADLO COC(C)(C)[C@H]1CCCN1c1[nH+]ccc2ccccc21 ZINC001166792274 1133288441 /nfs/dbraw/zinc/28/84/41/1133288441.db2.gz NQBLUPVDGPYIAK-OAHLLOKOSA-N 1 2 270.376 3.629 20 0 CHADLO COc1ccc(C)[nH+]c1N(C)Cc1csc(C(C)C)n1 ZINC001167004131 1133297797 /nfs/dbraw/zinc/29/77/97/1133297797.db2.gz XTNQDXHBMGAVBW-UHFFFAOYSA-N 1 2 291.420 3.615 20 0 CHADLO Cc1cc(N2CCC[C@H]2c2nccs2)nc(C(C)C)[nH+]1 ZINC001167040629 1133301734 /nfs/dbraw/zinc/30/17/34/1133301734.db2.gz ADYGLCFJVCPYQM-LBPRGKRZSA-N 1 2 288.420 3.706 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@@H+]1Cc1ccco1 ZINC001203283392 1133310117 /nfs/dbraw/zinc/31/01/17/1133310117.db2.gz GMUBILMNNCAAEF-AWEZNQCLSA-N 1 2 263.287 3.895 20 0 CHADLO Fc1cccc(F)c1[C@@H]1CCC[N@H+]1Cc1ccco1 ZINC001203283392 1133310120 /nfs/dbraw/zinc/31/01/20/1133310120.db2.gz GMUBILMNNCAAEF-AWEZNQCLSA-N 1 2 263.287 3.895 20 0 CHADLO Fc1c(F)c(F)c(C[NH+]2C[C@H]3CCC[C@@H]3C2)c(F)c1F ZINC001203361033 1133316983 /nfs/dbraw/zinc/31/69/83/1133316983.db2.gz JXKMBNSWRWTNCQ-HTQZYQBOSA-N 1 2 291.263 3.614 20 0 CHADLO Cc1ccc(Nc2ncc(Cl)nc2Cl)c(C)[nH+]1 ZINC001203366840 1133318183 /nfs/dbraw/zinc/31/81/83/1133318183.db2.gz FTHYPCXABSLPHU-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1ccc(Nc2c(F)c(F)cc(F)c2F)c(C)[nH+]1 ZINC001203374268 1133319879 /nfs/dbraw/zinc/31/98/79/1133319879.db2.gz WWFKTDPJIWXBPU-UHFFFAOYSA-N 1 2 270.229 3.998 20 0 CHADLO CC1(C)C[NH+](Cc2c(F)cccc2Cl)CC(C)(C)O1 ZINC001203410860 1133323557 /nfs/dbraw/zinc/32/35/57/1133323557.db2.gz OWQCRYZBYCZMPT-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO Cc1cc(C[NH2+][C@H](CF)c2ccc(F)cc2)cc(C)n1 ZINC001203429033 1133327766 /nfs/dbraw/zinc/32/77/66/1133327766.db2.gz VEIREJYZBYJMOE-MRXNPFEDSA-N 1 2 276.330 3.638 20 0 CHADLO COc1ccccc1C[N@@H+]1CCc2cc(F)c(F)cc2C1 ZINC001203439594 1133328160 /nfs/dbraw/zinc/32/81/60/1133328160.db2.gz MUUMFWGCDBCUFO-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccccc1C[N@H+]1CCc2cc(F)c(F)cc2C1 ZINC001203439594 1133328166 /nfs/dbraw/zinc/32/81/66/1133328166.db2.gz MUUMFWGCDBCUFO-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CNc1ccc(Nc2cc(-c3ccccc3)no2)c[nH+]1 ZINC001203446578 1133328574 /nfs/dbraw/zinc/32/85/74/1133328574.db2.gz FAIIPYIWOVTHNO-UHFFFAOYSA-N 1 2 266.304 3.522 20 0 CHADLO CNc1ccc(Nc2cc(OC)cc(C(F)(F)F)c2)c[nH+]1 ZINC001203456527 1133329141 /nfs/dbraw/zinc/32/91/41/1133329141.db2.gz IFWDXWCEATXQJQ-UHFFFAOYSA-N 1 2 297.280 3.894 20 0 CHADLO CNc1ccc(Nc2cc(C)nc3sccc32)c[nH+]1 ZINC001203455836 1133329328 /nfs/dbraw/zinc/32/93/28/1133329328.db2.gz WKFBBFLKTHKDDG-UHFFFAOYSA-N 1 2 270.361 3.785 20 0 CHADLO CNc1ccc(Nc2cc(C3CCCC3)no2)c[nH+]1 ZINC001203448089 1133329410 /nfs/dbraw/zinc/32/94/10/1133329410.db2.gz URXFTJVJQLYKIM-UHFFFAOYSA-N 1 2 258.325 3.513 20 0 CHADLO CNc1ccc(Nc2ccc(C)c3ncccc23)c[nH+]1 ZINC001203456323 1133330042 /nfs/dbraw/zinc/33/00/42/1133330042.db2.gz CGBCQZLFVGJWFB-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO CNc1ccc(Nc2ccc(F)cc2OC(C)C)c[nH+]1 ZINC001203461865 1133330565 /nfs/dbraw/zinc/33/05/65/1133330565.db2.gz BQHWRPPMGFWUHJ-UHFFFAOYSA-N 1 2 275.327 3.793 20 0 CHADLO CNc1ccc(Nc2ccc(F)c(F)c2Cl)c[nH+]1 ZINC001203460928 1133330724 /nfs/dbraw/zinc/33/07/24/1133330724.db2.gz ZEIVMNUTLJUKOL-UHFFFAOYSA-N 1 2 269.682 3.799 20 0 CHADLO CNc1ccc(Nc2cccc3ccc(O)cc32)c[nH+]1 ZINC001203458897 1133331516 /nfs/dbraw/zinc/33/15/16/1133331516.db2.gz TYFBXDIBGICMSU-UHFFFAOYSA-N 1 2 265.316 3.726 20 0 CHADLO COc1cc[nH+]c(Nc2cccnc2-c2ccccc2)c1 ZINC001203647401 1133347352 /nfs/dbraw/zinc/34/73/52/1133347352.db2.gz HTJUGNSNWUTENP-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(-c3ccccc3)cnn2)c1 ZINC001203661695 1133348834 /nfs/dbraw/zinc/34/88/34/1133348834.db2.gz YZDQWBSXXCDEDQ-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO COC(=O)c1c(F)ccc(Nc2cc(C)c[nH+]c2C)c1F ZINC001203663284 1133350555 /nfs/dbraw/zinc/35/05/55/1133350555.db2.gz GZLGOYSYFJMIOY-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(F)c(F)c(F)c2F)c1 ZINC001203663331 1133350710 /nfs/dbraw/zinc/35/07/10/1133350710.db2.gz NOGGCHFJQAIUKY-UHFFFAOYSA-N 1 2 270.229 3.998 20 0 CHADLO COc1cc(F)c(F)cc1Nc1cc(C)c[nH+]c1C ZINC001203663541 1133350856 /nfs/dbraw/zinc/35/08/56/1133350856.db2.gz OYSIGCCZEGYCLN-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203666688 1133351816 /nfs/dbraw/zinc/35/18/16/1133351816.db2.gz BDCULJSLKMTNMQ-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO CC(=O)Oc1ccc(F)c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203667580 1133352290 /nfs/dbraw/zinc/35/22/90/1133352290.db2.gz PFGNZRLNTQFYEK-UHFFFAOYSA-N 1 2 274.295 3.506 20 0 CHADLO CCCOc1ccc(Nc2cc(OC)cc[nH+]2)c(F)c1F ZINC001203690315 1133354007 /nfs/dbraw/zinc/35/40/07/1133354007.db2.gz IZQOFYJHQBLOEP-UHFFFAOYSA-N 1 2 294.301 3.901 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccccc2C(F)(F)F)CCC1=O ZINC001203687431 1133354076 /nfs/dbraw/zinc/35/40/76/1133354076.db2.gz OXSISSICCYFGBO-NSHDSACASA-N 1 2 285.309 3.506 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccccc2C(F)(F)F)CCC1=O ZINC001203687431 1133354080 /nfs/dbraw/zinc/35/40/80/1133354080.db2.gz OXSISSICCYFGBO-NSHDSACASA-N 1 2 285.309 3.506 20 0 CHADLO c1cc([NH+]2CCCCC2)ccc1Nc1nonc1C1CC1 ZINC001203718396 1133358779 /nfs/dbraw/zinc/35/87/79/1133358779.db2.gz FXPRGLPJJQVLEB-UHFFFAOYSA-N 1 2 284.363 3.681 20 0 CHADLO Cc1c[nH]c(=O)cc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203718250 1133359147 /nfs/dbraw/zinc/35/91/47/1133359147.db2.gz XWQKOLCTAVIYGO-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO CCOC1C[NH+](Cc2cccc(Oc3ccccc3)c2)C1 ZINC001203811594 1133372276 /nfs/dbraw/zinc/37/22/76/1133372276.db2.gz VHEREGBHELYUFV-UHFFFAOYSA-N 1 2 283.371 3.700 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+](Cc2cc(Cl)cc(Cl)c2)C1 ZINC001203810824 1133373232 /nfs/dbraw/zinc/37/32/32/1133373232.db2.gz KFCJDXKABHZNCY-GFCCVEGCSA-N 1 2 286.202 3.794 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+](Cc2cc(Cl)cc(Cl)c2)C1 ZINC001203810824 1133373238 /nfs/dbraw/zinc/37/32/38/1133373238.db2.gz KFCJDXKABHZNCY-GFCCVEGCSA-N 1 2 286.202 3.794 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(Oc3ccccc3)c2)CCC1=O ZINC001203811207 1133373338 /nfs/dbraw/zinc/37/33/38/1133373338.db2.gz NUPQUQTVMFQQET-HNNXBMFYSA-N 1 2 295.382 3.890 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(Oc3ccccc3)c2)CCC1=O ZINC001203811207 1133373344 /nfs/dbraw/zinc/37/33/44/1133373344.db2.gz NUPQUQTVMFQQET-HNNXBMFYSA-N 1 2 295.382 3.890 20 0 CHADLO COc1cccc(F)c1C[NH2+]Cc1ccccc1Cl ZINC001203856430 1133377580 /nfs/dbraw/zinc/37/75/80/1133377580.db2.gz JSTGABSVNBDZPC-UHFFFAOYSA-N 1 2 279.742 3.778 20 0 CHADLO FC1(F)CSC2(C[NH+](Cc3ccc(Cl)cc3)C2)C1 ZINC001203923946 1133386767 /nfs/dbraw/zinc/38/67/67/1133386767.db2.gz VBCYLZRKDICJNR-UHFFFAOYSA-N 1 2 289.778 3.667 20 0 CHADLO c1ccc(Oc2ccc(C[N@@H+]3CCOCC34CC4)cc2)cc1 ZINC001203944881 1133387729 /nfs/dbraw/zinc/38/77/29/1133387729.db2.gz VMIYEXOBYMTNKC-UHFFFAOYSA-N 1 2 295.382 3.844 20 0 CHADLO c1ccc(Oc2ccc(C[N@H+]3CCOCC34CC4)cc2)cc1 ZINC001203944881 1133387731 /nfs/dbraw/zinc/38/77/31/1133387731.db2.gz VMIYEXOBYMTNKC-UHFFFAOYSA-N 1 2 295.382 3.844 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Oc3ccccc3)cc2)CCC1=O ZINC001203946885 1133388287 /nfs/dbraw/zinc/38/82/87/1133388287.db2.gz ZIXBHZNYRWMPHN-HNNXBMFYSA-N 1 2 295.382 3.890 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Oc3ccccc3)cc2)CCC1=O ZINC001203946885 1133388294 /nfs/dbraw/zinc/38/82/94/1133388294.db2.gz ZIXBHZNYRWMPHN-HNNXBMFYSA-N 1 2 295.382 3.890 20 0 CHADLO FC1(C2CC2)C[NH+](Cc2cccc3ccccc32)C1 ZINC001203980545 1133393850 /nfs/dbraw/zinc/39/38/50/1133393850.db2.gz YXBOOVSDFWNYFL-UHFFFAOYSA-N 1 2 255.336 3.774 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2c[nH]c3ccccc23)C1 ZINC001204041090 1133402819 /nfs/dbraw/zinc/40/28/19/1133402819.db2.gz FMHKYOWPGYPAGS-UHFFFAOYSA-N 1 2 264.319 3.789 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2c[nH]c3ccccc23)C1 ZINC001204041090 1133402822 /nfs/dbraw/zinc/40/28/22/1133402822.db2.gz FMHKYOWPGYPAGS-UHFFFAOYSA-N 1 2 264.319 3.789 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2ccnc3ccccc23)C1 ZINC001204084941 1133406789 /nfs/dbraw/zinc/40/67/89/1133406789.db2.gz ACMRRIOGJWDVIH-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2ccnc3ccccc23)C1 ZINC001204084941 1133406791 /nfs/dbraw/zinc/40/67/91/1133406791.db2.gz ACMRRIOGJWDVIH-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO Fc1cccc(C[N@H+](Cc2ccco2)C2CC2)c1F ZINC001204201685 1133418240 /nfs/dbraw/zinc/41/82/40/1133418240.db2.gz AVZIVTCDCIMPPE-UHFFFAOYSA-N 1 2 263.287 3.722 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2ccco2)C2CC2)c1F ZINC001204201685 1133418244 /nfs/dbraw/zinc/41/82/44/1133418244.db2.gz AVZIVTCDCIMPPE-UHFFFAOYSA-N 1 2 263.287 3.722 20 0 CHADLO C[C@@H]1C(=O)CC[N@H+](Cc2cc(F)cc(F)c2)C12CCCC2 ZINC001204233561 1133422673 /nfs/dbraw/zinc/42/26/73/1133422673.db2.gz RKDWVAVGUUYVKW-GFCCVEGCSA-N 1 2 293.357 3.689 20 0 CHADLO C[C@@H]1C(=O)CC[N@@H+](Cc2cc(F)cc(F)c2)C12CCCC2 ZINC001204233561 1133422679 /nfs/dbraw/zinc/42/26/79/1133422679.db2.gz RKDWVAVGUUYVKW-GFCCVEGCSA-N 1 2 293.357 3.689 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001204291608 1133430140 /nfs/dbraw/zinc/43/01/40/1133430140.db2.gz NTFVEHNPIDXEFM-LLVKDONJSA-N 1 2 278.346 3.953 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001204291608 1133430142 /nfs/dbraw/zinc/43/01/42/1133430142.db2.gz NTFVEHNPIDXEFM-LLVKDONJSA-N 1 2 278.346 3.953 20 0 CHADLO CCCOc1ccc(C[N@H+](C)CC(=O)c2ccccc2)cc1 ZINC001204308198 1133433636 /nfs/dbraw/zinc/43/36/36/1133433636.db2.gz RJTFEIAOEULGBM-UHFFFAOYSA-N 1 2 297.398 3.790 20 0 CHADLO CCCOc1ccc(C[N@@H+](C)CC(=O)c2ccccc2)cc1 ZINC001204308198 1133433638 /nfs/dbraw/zinc/43/36/38/1133433638.db2.gz RJTFEIAOEULGBM-UHFFFAOYSA-N 1 2 297.398 3.790 20 0 CHADLO Cn1cc(C[N@@H+]2CCCCC(F)(F)C2)c2ccccc21 ZINC001204315993 1133435748 /nfs/dbraw/zinc/43/57/48/1133435748.db2.gz XMGLSULXNDFMHK-UHFFFAOYSA-N 1 2 278.346 3.800 20 0 CHADLO Cn1cc(C[N@H+]2CCCCC(F)(F)C2)c2ccccc21 ZINC001204315993 1133435750 /nfs/dbraw/zinc/43/57/50/1133435750.db2.gz XMGLSULXNDFMHK-UHFFFAOYSA-N 1 2 278.346 3.800 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cn(C)c2ccccc12 ZINC001204319799 1133436306 /nfs/dbraw/zinc/43/63/06/1133436306.db2.gz BBWZHTMVGANNLN-CQSZACIVSA-N 1 2 279.387 3.557 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cn(C)c2ccccc12 ZINC001204319799 1133436310 /nfs/dbraw/zinc/43/63/10/1133436310.db2.gz BBWZHTMVGANNLN-CQSZACIVSA-N 1 2 279.387 3.557 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCCC(F)(F)C2)cc1C ZINC001204353890 1133438541 /nfs/dbraw/zinc/43/85/41/1133438541.db2.gz RINMHHHBFLMQHL-UHFFFAOYSA-N 1 2 253.336 3.925 20 0 CHADLO Cc1ccc(C[N@H+]2CCCCC(F)(F)C2)cc1C ZINC001204353890 1133438545 /nfs/dbraw/zinc/43/85/45/1133438545.db2.gz RINMHHHBFLMQHL-UHFFFAOYSA-N 1 2 253.336 3.925 20 0 CHADLO CC1(C)C[NH+](Cc2ccc(F)cc2Cl)CC(C)(C)O1 ZINC001204439447 1133447369 /nfs/dbraw/zinc/44/73/69/1133447369.db2.gz SQVDACFTSBQBRV-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO F[C@@H]1C[N@H+](Cc2ccc3ccccc3n2)CCCC1(F)F ZINC001204499646 1133453481 /nfs/dbraw/zinc/45/34/81/1133453481.db2.gz KCNCMMOECZFDGI-OAHLLOKOSA-N 1 2 294.320 3.804 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2ccc3ccccc3n2)CCCC1(F)F ZINC001204499646 1133453485 /nfs/dbraw/zinc/45/34/85/1133453485.db2.gz KCNCMMOECZFDGI-OAHLLOKOSA-N 1 2 294.320 3.804 20 0 CHADLO Cc1occc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC001204632471 1133470211 /nfs/dbraw/zinc/47/02/11/1133470211.db2.gz JUQXWHMNTCFKPX-JTQLQIEISA-N 1 2 277.314 3.986 20 0 CHADLO Cc1occc1C[N@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC001204632471 1133470214 /nfs/dbraw/zinc/47/02/14/1133470214.db2.gz JUQXWHMNTCFKPX-JTQLQIEISA-N 1 2 277.314 3.986 20 0 CHADLO COc1c(Cl)cc(-c2ccc[nH+]c2N)cc1Cl ZINC001204823062 1133489470 /nfs/dbraw/zinc/48/94/70/1133489470.db2.gz GPZBFJOZQJIJTE-UHFFFAOYSA-N 1 2 269.131 3.646 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3cccc(F)c3[C@@H]2C)o1 ZINC001204817971 1133489628 /nfs/dbraw/zinc/48/96/28/1133489628.db2.gz ZFYNUDLSGGLBRA-NSHDSACASA-N 1 2 275.323 3.547 20 0 CHADLO COc1ccc(C[N@H+]2CCc3cccc(F)c3[C@@H]2C)o1 ZINC001204817971 1133489635 /nfs/dbraw/zinc/48/96/35/1133489635.db2.gz ZFYNUDLSGGLBRA-NSHDSACASA-N 1 2 275.323 3.547 20 0 CHADLO Clc1ccc2nccc(Nc3ccn4cc[nH+]c4c3)c2c1 ZINC001204951224 1133505429 /nfs/dbraw/zinc/50/54/29/1133505429.db2.gz PDYGVBIUQAUKKB-UHFFFAOYSA-N 1 2 294.745 3.701 20 0 CHADLO Cc1cc(Nc2ccn3cc[nH+]c3c2)nc2ccccc12 ZINC001204951763 1133507117 /nfs/dbraw/zinc/50/71/17/1133507117.db2.gz ZTVUTNSVCWQUOV-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO O=C1CCCc2ccc(Nc3ccn4cc[nH+]c4c3)cc21 ZINC001204977754 1133511161 /nfs/dbraw/zinc/51/11/61/1133511161.db2.gz KGNNZIJWUAMPDT-UHFFFAOYSA-N 1 2 277.327 3.597 20 0 CHADLO CC(C)OC(=O)c1ccccc1Nc1ccn2cc[nH+]c2c1 ZINC001204977057 1133512013 /nfs/dbraw/zinc/51/20/13/1133512013.db2.gz BBARBNIOGFHBHG-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO COc1cc2cccnc2c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204982673 1133512020 /nfs/dbraw/zinc/51/20/20/1133512020.db2.gz DKPXYVPNFISPKF-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO CC[N@H+](Cc1sccc1Cl)Cc1cccnc1 ZINC001205339233 1133564152 /nfs/dbraw/zinc/56/41/52/1133564152.db2.gz CGFVZIFOZKMKTJ-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1sccc1Cl)Cc1cccnc1 ZINC001205339233 1133564158 /nfs/dbraw/zinc/56/41/58/1133564158.db2.gz CGFVZIFOZKMKTJ-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc(F)c3n[nH]cc32)cc1 ZINC001205357652 1133568776 /nfs/dbraw/zinc/56/87/76/1133568776.db2.gz ZYGKIWIZKLOGRU-UHFFFAOYSA-N 1 2 292.317 3.770 20 0 CHADLO CC(C)C[C@H](C)CNc1[nH+]cccc1OC(C)C ZINC001205430936 1133576470 /nfs/dbraw/zinc/57/64/70/1133576470.db2.gz DDKPNIUOTURUTG-ZDUSSCGKSA-N 1 2 250.386 3.963 20 0 CHADLO COCOc1cc(C)ccc1Nc1cccc2[nH+]c(C)cn21 ZINC001205442108 1133578328 /nfs/dbraw/zinc/57/83/28/1133578328.db2.gz VXSQTGPNBHZQBE-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO CCn1cc(C[N@@H+]2CCC[C@@H]2c2cc(F)cc(F)c2)cn1 ZINC001205563372 1133588474 /nfs/dbraw/zinc/58/84/74/1133588474.db2.gz ODOGCLZABCSTSW-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cc(C[N@H+]2CCC[C@@H]2c2cc(F)cc(F)c2)cn1 ZINC001205563372 1133588477 /nfs/dbraw/zinc/58/84/77/1133588477.db2.gz ODOGCLZABCSTSW-MRXNPFEDSA-N 1 2 291.345 3.518 20 0 CHADLO Sc1cccc(-c2ccc(CC[NH+]3CCOCC3)cc2)c1 ZINC001205654310 1133600256 /nfs/dbraw/zinc/60/02/56/1133600256.db2.gz HIYZTHCSJBDNET-UHFFFAOYSA-N 1 2 299.439 3.517 20 0 CHADLO Fc1ccc(-c2ccn3cc[nH+]c3c2)c(Cl)c1F ZINC001205675259 1133600717 /nfs/dbraw/zinc/60/07/17/1133600717.db2.gz HCBALQNSLOWLEN-UHFFFAOYSA-N 1 2 264.662 3.933 20 0 CHADLO Oc1ccc2cc(-c3ccc4[nH+]ccn4c3)ccc2c1 ZINC001205763110 1133610380 /nfs/dbraw/zinc/61/03/80/1133610380.db2.gz DGMVILHSMDBRPE-UHFFFAOYSA-N 1 2 260.296 3.860 20 0 CHADLO CCc1cc(-c2ccn3cc(N)[nH+]c3c2)ccc1Cl ZINC001205808753 1133617224 /nfs/dbraw/zinc/61/72/24/1133617224.db2.gz YTSAXLVTRWBDIQ-UHFFFAOYSA-N 1 2 271.751 3.799 20 0 CHADLO CC(C)Cn1cc(Nc2ccc[nH+]c2N2CCCCC2)cn1 ZINC001205837577 1133620778 /nfs/dbraw/zinc/62/07/78/1133620778.db2.gz POTPVFNXJRRDMF-UHFFFAOYSA-N 1 2 299.422 3.668 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2cc(Cl)ccc2F)C1 ZINC001205999393 1133639787 /nfs/dbraw/zinc/63/97/87/1133639787.db2.gz SEWGUSSNEXHKDR-CABZTGNLSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2cc(Cl)ccc2F)C1 ZINC001205999393 1133639791 /nfs/dbraw/zinc/63/97/91/1133639791.db2.gz SEWGUSSNEXHKDR-CABZTGNLSA-N 1 2 259.727 3.659 20 0 CHADLO CC[C@@H](C)[C@@H](C[N@@H+]1CCn2c(cc3ccccc32)C1)OC ZINC001206032117 1133645056 /nfs/dbraw/zinc/64/50/56/1133645056.db2.gz NGMGYMSFQADVMA-RDTXWAMCSA-N 1 2 286.419 3.518 20 0 CHADLO CC[C@@H](C)[C@@H](C[N@H+]1CCn2c(cc3ccccc32)C1)OC ZINC001206032117 1133645058 /nfs/dbraw/zinc/64/50/58/1133645058.db2.gz NGMGYMSFQADVMA-RDTXWAMCSA-N 1 2 286.419 3.518 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc(O)cc2F)c1 ZINC001206072840 1133653067 /nfs/dbraw/zinc/65/30/67/1133653067.db2.gz IDLMQNBYZKKKCG-UHFFFAOYSA-N 1 2 268.291 3.599 20 0 CHADLO CC(C)O[C@@H]1C[C@H]([NH2+]CC(F)(F)c2ccccc2)C1(C)C ZINC001206128737 1133661351 /nfs/dbraw/zinc/66/13/51/1133661351.db2.gz ZIGJYDNGYOVMMK-LSDHHAIUSA-N 1 2 297.389 3.960 20 0 CHADLO CCC1C[NH+](Cc2ccc(Cl)c(Br)c2)C1 ZINC001206158896 1133665253 /nfs/dbraw/zinc/66/52/53/1133665253.db2.gz CXXMADWVAQSKAX-UHFFFAOYSA-N 1 2 288.616 3.944 20 0 CHADLO CC(C)Oc1cc(-c2ccn3cc(N)[nH+]c3c2)ccc1F ZINC001206178962 1133667526 /nfs/dbraw/zinc/66/75/26/1133667526.db2.gz KLNWXVWGBUGQRE-UHFFFAOYSA-N 1 2 285.322 3.510 20 0 CHADLO CCC1(C)C[NH+](Cc2cccc(Br)c2OC)C1 ZINC001206195457 1133669843 /nfs/dbraw/zinc/66/98/43/1133669843.db2.gz VGNRIUBQDIELPC-UHFFFAOYSA-N 1 2 298.224 3.690 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCCC2)c(O)c1 ZINC001206254952 1133676848 /nfs/dbraw/zinc/67/68/48/1133676848.db2.gz LNWMTRQPWZQMBX-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO COc1cc(C)ccc1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC001206741894 1133738631 /nfs/dbraw/zinc/73/86/31/1133738631.db2.gz UGFBZAUYBFCVFP-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO COc1cc(C)ccc1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC001206741894 1133738635 /nfs/dbraw/zinc/73/86/35/1133738635.db2.gz UGFBZAUYBFCVFP-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO c1cn2cccc(-c3cccc(OCC4CC4)c3)c2[nH+]1 ZINC001206763787 1133744353 /nfs/dbraw/zinc/74/43/53/1133744353.db2.gz MZJBCPBEGNUAAW-UHFFFAOYSA-N 1 2 264.328 3.790 20 0 CHADLO CC(=O)c1cc(Nc2ccc3c(c2)[nH+]cn3C)cs1 ZINC001214785260 1133754061 /nfs/dbraw/zinc/75/40/61/1133754061.db2.gz PFSLANBREMPKNF-UHFFFAOYSA-N 1 2 271.345 3.581 20 0 CHADLO CCCCC1CC[NH+](Cc2c(F)cncc2F)CC1 ZINC001206854822 1133756463 /nfs/dbraw/zinc/75/64/63/1133756463.db2.gz IWEVBLZFSFPQQC-UHFFFAOYSA-N 1 2 268.351 3.762 20 0 CHADLO Fc1cncc(F)c1C[NH+]1CCC(c2cccs2)CC1 ZINC001206861054 1133757222 /nfs/dbraw/zinc/75/72/22/1133757222.db2.gz QAUUXDXHCUWQKQ-UHFFFAOYSA-N 1 2 294.370 3.801 20 0 CHADLO CC[N@H+](Cc1cc(C)ccn1)Cc1cccc(F)c1F ZINC001206853952 1133757535 /nfs/dbraw/zinc/75/75/35/1133757535.db2.gz JWYZYMANADTKTQ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1cc(C)ccn1)Cc1cccc(F)c1F ZINC001206853952 1133757538 /nfs/dbraw/zinc/75/75/38/1133757538.db2.gz JWYZYMANADTKTQ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO c1[nH+]c(CN2CCC(c3ccccc3)CC2)n2ccccc12 ZINC001207049716 1133762559 /nfs/dbraw/zinc/76/25/59/1133762559.db2.gz BAOGAXJYUULLIS-UHFFFAOYSA-N 1 2 291.398 3.714 20 0 CHADLO C[N@H+](Cc1cccc(=O)[nH]1)Cc1ccc(Cl)cc1Cl ZINC001207065847 1133764127 /nfs/dbraw/zinc/76/41/27/1133764127.db2.gz XBEAEUAOLAFGQX-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1cccc(=O)[nH]1)Cc1ccc(Cl)cc1Cl ZINC001207065847 1133764129 /nfs/dbraw/zinc/76/41/29/1133764129.db2.gz XBEAEUAOLAFGQX-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCc3occc3C2)c1 ZINC001207131948 1133770305 /nfs/dbraw/zinc/77/03/05/1133770305.db2.gz PHGLYFWTEPXTMW-UHFFFAOYSA-N 1 2 263.287 3.776 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCc3occc3C2)c1 ZINC001207131948 1133770309 /nfs/dbraw/zinc/77/03/09/1133770309.db2.gz PHGLYFWTEPXTMW-UHFFFAOYSA-N 1 2 263.287 3.776 20 0 CHADLO O=C1CC[N@@H+](Cc2cccc(C(F)F)c2)[C@@H]2CCCC[C@H]12 ZINC001207127144 1133770552 /nfs/dbraw/zinc/77/05/52/1133770552.db2.gz YKMNFCIJMNHZIG-LSDHHAIUSA-N 1 2 293.357 3.958 20 0 CHADLO O=C1CC[N@H+](Cc2cccc(C(F)F)c2)[C@@H]2CCCC[C@H]12 ZINC001207127144 1133770557 /nfs/dbraw/zinc/77/05/57/1133770557.db2.gz YKMNFCIJMNHZIG-LSDHHAIUSA-N 1 2 293.357 3.958 20 0 CHADLO COc1ccccc1[C@@H](C)[N@H+](C)Cc1ccnn1C(C)C ZINC001207174175 1133774073 /nfs/dbraw/zinc/77/40/73/1133774073.db2.gz ISILXWUGOMVSGI-CQSZACIVSA-N 1 2 287.407 3.666 20 0 CHADLO COc1ccccc1[C@@H](C)[N@@H+](C)Cc1ccnn1C(C)C ZINC001207174175 1133774078 /nfs/dbraw/zinc/77/40/78/1133774078.db2.gz ISILXWUGOMVSGI-CQSZACIVSA-N 1 2 287.407 3.666 20 0 CHADLO F[C@@H]1CCC[N@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342309 1133793447 /nfs/dbraw/zinc/79/34/47/1133793447.db2.gz GKFABMDWABPWJL-LLVKDONJSA-N 1 2 285.300 3.881 20 0 CHADLO F[C@@H]1CCC[N@@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342309 1133793450 /nfs/dbraw/zinc/79/34/50/1133793450.db2.gz GKFABMDWABPWJL-LLVKDONJSA-N 1 2 285.300 3.881 20 0 CHADLO COC[C@@H](C)CNc1[nH+]c2ccccc2n1C1CCCC1 ZINC001207873308 1133857750 /nfs/dbraw/zinc/85/77/50/1133857750.db2.gz QNXAICFVPQJXEF-ZDUSSCGKSA-N 1 2 287.407 3.846 20 0 CHADLO Cc1nc(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)oc1C ZINC000090072786 1133969496 /nfs/dbraw/zinc/96/94/96/1133969496.db2.gz LBPXZPXXBVYIAX-UHFFFAOYSA-N 1 2 288.395 3.940 20 0 CHADLO CC1(C)C[N@H+](CCc2ccccc2C(F)(F)F)CCC1=O ZINC001209283875 1134000851 /nfs/dbraw/zinc/00/08/51/1134000851.db2.gz OWMVVTREFLNEGP-UHFFFAOYSA-N 1 2 299.336 3.549 20 0 CHADLO CC1(C)C[N@@H+](CCc2ccccc2C(F)(F)F)CCC1=O ZINC001209283875 1134000856 /nfs/dbraw/zinc/00/08/56/1134000856.db2.gz OWMVVTREFLNEGP-UHFFFAOYSA-N 1 2 299.336 3.549 20 0 CHADLO C[C@@H]1C[C@H]1C[N@H+]1Cc2nc(-c3ccccc3)sc2C1 ZINC001209425333 1134014985 /nfs/dbraw/zinc/01/49/85/1134014985.db2.gz FBYRUUZJIHEWJH-YPMHNXCESA-N 1 2 270.401 3.782 20 0 CHADLO C[C@@H]1C[C@H]1C[N@@H+]1Cc2nc(-c3ccccc3)sc2C1 ZINC001209425333 1134014991 /nfs/dbraw/zinc/01/49/91/1134014991.db2.gz FBYRUUZJIHEWJH-YPMHNXCESA-N 1 2 270.401 3.782 20 0 CHADLO Cc1cccc2c(C[N@@H+]3CCC(F)(F)[C@H](F)C3)c[nH]c21 ZINC001209482662 1134026212 /nfs/dbraw/zinc/02/62/12/1134026212.db2.gz PGAIXXVAQSKALV-CYBMUJFWSA-N 1 2 282.309 3.655 20 0 CHADLO Cc1cccc2c(C[N@H+]3CCC(F)(F)[C@H](F)C3)c[nH]c21 ZINC001209482662 1134026217 /nfs/dbraw/zinc/02/62/17/1134026217.db2.gz PGAIXXVAQSKALV-CYBMUJFWSA-N 1 2 282.309 3.655 20 0 CHADLO CSc1ccccc1[C@H]1CCC[N@H+]1Cc1cn[nH]c1C ZINC001209559485 1134036323 /nfs/dbraw/zinc/03/63/23/1134036323.db2.gz XKXIQBRADAOSGQ-OAHLLOKOSA-N 1 2 287.432 3.777 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1c[nH]c3cc(F)ccc13)C2 ZINC001209694048 1134050618 /nfs/dbraw/zinc/05/06/18/1134050618.db2.gz IIXFCSUWYDMMIX-UHFFFAOYSA-N 1 2 281.334 3.526 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1c[nH]c3cc(F)ccc13)C2 ZINC001209694048 1134050624 /nfs/dbraw/zinc/05/06/24/1134050624.db2.gz IIXFCSUWYDMMIX-UHFFFAOYSA-N 1 2 281.334 3.526 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)OCCN3)c1 ZINC001209728609 1134055751 /nfs/dbraw/zinc/05/57/51/1134055751.db2.gz TYDSSFFNLCJFKZ-UHFFFAOYSA-N 1 2 269.348 3.582 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)NCCO3)c1 ZINC001209796093 1134066389 /nfs/dbraw/zinc/06/63/89/1134066389.db2.gz CWMFLMYYSSDDFD-UHFFFAOYSA-N 1 2 269.348 3.582 20 0 CHADLO CCN(C)c1ccc(Nc2cccc([C@@H](C)OC)c2)c[nH+]1 ZINC001209951192 1134107985 /nfs/dbraw/zinc/10/79/85/1134107985.db2.gz UGSSYWGRWBZTAR-CYBMUJFWSA-N 1 2 285.391 3.989 20 0 CHADLO CO[C@H](C)c1cccc(-n2c(C)[nH+]c3ccc(N)cc32)c1 ZINC001209952510 1134108979 /nfs/dbraw/zinc/10/89/79/1134108979.db2.gz WAKKVIBIWOARBW-LLVKDONJSA-N 1 2 281.359 3.624 20 0 CHADLO Cc1cc(Oc2ccc(Nc3ccnnc3)cc2)cc[nH+]1 ZINC001209959731 1134110674 /nfs/dbraw/zinc/11/06/74/1134110674.db2.gz FGQVBCFXSFFCPX-UHFFFAOYSA-N 1 2 278.315 3.716 20 0 CHADLO CSc1cc(Nc2ccccc2N(C)C)cc[nH+]1 ZINC001209991354 1134118195 /nfs/dbraw/zinc/11/81/95/1134118195.db2.gz KIFORJCCCFKSSO-UHFFFAOYSA-N 1 2 259.378 3.613 20 0 CHADLO Oc1cc(F)ccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001210019057 1134124155 /nfs/dbraw/zinc/12/41/55/1134124155.db2.gz ZQFIDOAROXFNDR-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO CC(C)Oc1ccccc1Nc1cccc2[nH+]ccn21 ZINC001210020218 1134124324 /nfs/dbraw/zinc/12/43/24/1134124324.db2.gz BVIXCYVIQCPCCN-UHFFFAOYSA-N 1 2 267.332 3.865 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)NC(=O)CC3)ccc1[NH+](C)C ZINC001210044231 1134133052 /nfs/dbraw/zinc/13/30/52/1134133052.db2.gz VVUXFTZZFDPYPT-UHFFFAOYSA-N 1 2 295.386 3.689 20 0 CHADLO Cc1cc(Nc2cccc3c2CCC(=O)N3)ccc1[NH+](C)C ZINC001210044947 1134133396 /nfs/dbraw/zinc/13/33/96/1134133396.db2.gz PWFWYQVEMITKMD-UHFFFAOYSA-N 1 2 295.386 3.689 20 0 CHADLO COc1ccc(C(C)=O)cc1Nc1[nH+]c(C)ccc1C ZINC001210075427 1134141267 /nfs/dbraw/zinc/14/12/67/1134141267.db2.gz HBRAAIDDQWKHLE-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO CCN(C)c1ccc(Nc2cnccc2C(F)(F)F)c[nH+]1 ZINC001210094697 1134145110 /nfs/dbraw/zinc/14/51/10/1134145110.db2.gz YANRSNQJKYQZKE-UHFFFAOYSA-N 1 2 296.296 3.695 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc2c[nH]nc2cc1C ZINC001210102233 1134147207 /nfs/dbraw/zinc/14/72/07/1134147207.db2.gz NKAUUROVSXUBMC-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(F)c(C)c(F)c2)[nH+]1 ZINC001210105872 1134148750 /nfs/dbraw/zinc/14/87/50/1134148750.db2.gz JTYJRVQPFKVPRQ-UHFFFAOYSA-N 1 2 273.286 3.973 20 0 CHADLO CCOc1cc(Nc2cccc(C)c2O)cc(C)[nH+]1 ZINC001210188380 1134162365 /nfs/dbraw/zinc/16/23/65/1134162365.db2.gz VMWAUNVBQORRFO-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc3cc[nH+]cc32)n1 ZINC001210222696 1134170366 /nfs/dbraw/zinc/17/03/66/1134170366.db2.gz UMLZWKUNANDAIJ-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO c1cc(Nc2cccc3cc[nH+]cc32)n(CC2CCC2)n1 ZINC001210225005 1134172782 /nfs/dbraw/zinc/17/27/82/1134172782.db2.gz AGIKMOWQKUCVPV-UHFFFAOYSA-N 1 2 278.359 3.975 20 0 CHADLO Fc1ccc(F)c(Nc2cccc3cc[nH+]cc32)n1 ZINC001210226442 1134172924 /nfs/dbraw/zinc/17/29/24/1134172924.db2.gz WJRPTONVJQIGSB-UHFFFAOYSA-N 1 2 257.243 3.652 20 0 CHADLO CCc1ncsc1Nc1cccc2cc[nH+]cc21 ZINC001210230835 1134173757 /nfs/dbraw/zinc/17/37/57/1134173757.db2.gz GPYNETYFMZMUDK-UHFFFAOYSA-N 1 2 255.346 3.997 20 0 CHADLO c1ncn(-c2ccccc2Nc2cccc3cc[nH+]cc32)n1 ZINC001210232922 1134174931 /nfs/dbraw/zinc/17/49/31/1134174931.db2.gz YIVFSQGMWFISOA-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(Br)c2F)cc1N ZINC001210290365 1134186195 /nfs/dbraw/zinc/18/61/95/1134186195.db2.gz KJVOJRIKJFXVKV-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1[nH+]cc(Nc2c(F)cccc2Br)cc1N ZINC001210288293 1134186642 /nfs/dbraw/zinc/18/66/42/1134186642.db2.gz PSAYMAAHVYKQEX-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(-c3ccccc3)n2)cc1N ZINC001210287689 1134185754 /nfs/dbraw/zinc/18/57/54/1134185754.db2.gz ZTJLOEDLRPBXMN-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3c(Cl)ccnc3c2)cc1N ZINC001210290663 1134186521 /nfs/dbraw/zinc/18/65/21/1134186521.db2.gz CWBLSKVANRPBPX-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(Cl)c2F)cc1N ZINC001210288994 1134187119 /nfs/dbraw/zinc/18/71/19/1134187119.db2.gz ZYWSUQQANFPLAD-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2-c2ccco2)cc1N ZINC001210292088 1134187497 /nfs/dbraw/zinc/18/74/97/1134187497.db2.gz ZXQWKXKDZBPDOL-UHFFFAOYSA-N 1 2 265.316 3.976 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)c(N)c2)cc2scnc21 ZINC001210291546 1134187606 /nfs/dbraw/zinc/18/76/06/1134187606.db2.gz NSDYRZJFTZOGAR-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO Cc1[nH+]cc(Nc2cccc3c(Cl)ccnc23)cc1N ZINC001210291670 1134187837 /nfs/dbraw/zinc/18/78/37/1134187837.db2.gz PUHDUWQSHNUTFJ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnc(OC)c(C)c2)cc1 ZINC001210317923 1134191640 /nfs/dbraw/zinc/19/16/40/1134191640.db2.gz LVKJWGBKFASVJP-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnc(OC)c(C)c2)cc1 ZINC001210317923 1134191643 /nfs/dbraw/zinc/19/16/43/1134191643.db2.gz LVKJWGBKFASVJP-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CCc1cccc(Nc2ccc(OC(F)F)cc2)[nH+]1 ZINC001210326799 1134193884 /nfs/dbraw/zinc/19/38/84/1134193884.db2.gz XAVRWYJMLMGWPD-UHFFFAOYSA-N 1 2 264.275 3.989 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(OC(F)F)cc2)c[nH+]1 ZINC001210326099 1134193951 /nfs/dbraw/zinc/19/39/51/1134193951.db2.gz UIIRGYYMXCTTFA-UHFFFAOYSA-N 1 2 293.317 3.883 20 0 CHADLO FC(F)(F)n1cc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001210362888 1134198933 /nfs/dbraw/zinc/19/89/33/1134198933.db2.gz NHDIEUGSURZXKK-UHFFFAOYSA-N 1 2 278.237 3.651 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2ocnc2c1 ZINC001210571315 1134252321 /nfs/dbraw/zinc/25/23/21/1134252321.db2.gz APFOGWZLVVCOMA-UHFFFAOYSA-N 1 2 253.305 3.837 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cnc2sccc2c1 ZINC001210688836 1134274200 /nfs/dbraw/zinc/27/42/00/1134274200.db2.gz XBLZPULKXNJREQ-UHFFFAOYSA-N 1 2 280.356 3.927 20 0 CHADLO COc1cc(Nc2cnc3sccc3c2)cc(C)[nH+]1 ZINC001210692078 1134275581 /nfs/dbraw/zinc/27/55/81/1134275581.db2.gz GAOUUEAKXGBMKM-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO Cc1cn2c(cccc2Nc2ccccc2-n2cccn2)[nH+]1 ZINC001210730967 1134284256 /nfs/dbraw/zinc/28/42/56/1134284256.db2.gz MUCBNBPXYBZKMW-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO COc1cc(Nc2c(Cl)ccnc2Cl)cc(C)[nH+]1 ZINC001210773617 1134293518 /nfs/dbraw/zinc/29/35/18/1134293518.db2.gz AZNNJHPXNOJVNK-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc(Nc2cc(O)ccc2Cl)cc(C)[nH+]1 ZINC001210780429 1134295010 /nfs/dbraw/zinc/29/50/10/1134295010.db2.gz JYESFDBIKMSPBU-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO COc1cc(Nc2cnc3cc(F)ccc3c2)cc(C)[nH+]1 ZINC001210779282 1134295065 /nfs/dbraw/zinc/29/50/65/1134295065.db2.gz JMEGRMHLMPPPGM-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO COc1cc(Nc2ccc3c(c2)C(=O)CCC3)cc(C)[nH+]1 ZINC001210780610 1134295073 /nfs/dbraw/zinc/29/50/73/1134295073.db2.gz GEJBECIZDDMDAL-UHFFFAOYSA-N 1 2 282.343 3.661 20 0 CHADLO COc1cc(Nc2ccsc2Br)cc(C)[nH+]1 ZINC001210780384 1134295456 /nfs/dbraw/zinc/29/54/56/1134295456.db2.gz QVEZBFIOUHNACA-UHFFFAOYSA-N 1 2 299.193 3.966 20 0 CHADLO COc1cc(Nc2cc(Cl)c(F)cc2N)cc(C)[nH+]1 ZINC001210780622 1134295544 /nfs/dbraw/zinc/29/55/44/1134295544.db2.gz WJBGYCKKWYOQTC-UHFFFAOYSA-N 1 2 281.718 3.517 20 0 CHADLO COc1cc(Nc2c(N)cccc2C(F)(F)F)cc(C)[nH+]1 ZINC001210780136 1134295789 /nfs/dbraw/zinc/29/57/89/1134295789.db2.gz CRQIVEUGQXTCNC-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO COc1cc(Nc2ccc3ccccc3n2)[nH+]cc1C ZINC001210813592 1134301763 /nfs/dbraw/zinc/30/17/63/1134301763.db2.gz NEGGNULZWQZHAJ-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Cc1ccc(Nc2ccccc2OC2CC2)c(C)[nH+]1 ZINC001210834671 1134307144 /nfs/dbraw/zinc/30/71/44/1134307144.db2.gz FKILQSKHNTUBEB-UHFFFAOYSA-N 1 2 254.333 3.983 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N3CCOCC3)c(C)c2)c[nH+]1 ZINC001210972265 1134341576 /nfs/dbraw/zinc/34/15/76/1134341576.db2.gz HWASQNRTKROQOC-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO Cc1ccc(Nc2cccc(C3=NC(C)(C)CO3)c2)[nH+]c1 ZINC001211013433 1134348798 /nfs/dbraw/zinc/34/87/98/1134348798.db2.gz XQUMPMFWXYLFSI-UHFFFAOYSA-N 1 2 281.359 3.689 20 0 CHADLO CCOC(=O)c1cccc(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001211204060 1134389554 /nfs/dbraw/zinc/38/95/54/1134389554.db2.gz LYWRQMPIMYRDIA-UHFFFAOYSA-N 1 2 295.342 3.563 20 0 CHADLO COc1cc(Nc2ccc(Cl)nc2Cl)[nH+]cc1C ZINC001211275109 1134401423 /nfs/dbraw/zinc/40/14/23/1134401423.db2.gz YJENAZKPRPKVEU-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cccc(CO)c1F ZINC001211435340 1134416194 /nfs/dbraw/zinc/41/61/94/1134416194.db2.gz MYTXFLDRGYZBQL-UHFFFAOYSA-N 1 2 274.339 3.888 20 0 CHADLO Oc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001213087885 1134424414 /nfs/dbraw/zinc/42/44/14/1134424414.db2.gz FWSASHAOEWXQNY-UHFFFAOYSA-N 1 2 251.289 3.526 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ncnc4sccc43)cc2)[nH]1 ZINC001213085292 1134424846 /nfs/dbraw/zinc/42/48/46/1134424846.db2.gz BKZHZXHWDGCWEE-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4nccnc4c3)cc2)[nH]1 ZINC001213087980 1134424865 /nfs/dbraw/zinc/42/48/65/1134424865.db2.gz JQBZXOVARVJBFY-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO C[C@H](O)c1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001213089026 1134425298 /nfs/dbraw/zinc/42/52/98/1134425298.db2.gz PUSOVYACMJVUGZ-LBPRGKRZSA-N 1 2 279.343 3.874 20 0 CHADLO Cn1ncc2cccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)c21 ZINC001213088962 1134425474 /nfs/dbraw/zinc/42/54/74/1134425474.db2.gz MQIHOWTXXKPMHU-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO COc1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1F ZINC001213089006 1134425491 /nfs/dbraw/zinc/42/54/91/1134425491.db2.gz OPHPCODQURZYQV-UHFFFAOYSA-N 1 2 283.306 3.968 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4nnsc4c3)cc2)[nH]1 ZINC001213090840 1134425847 /nfs/dbraw/zinc/42/58/47/1134425847.db2.gz ITZFNFIHIMBARV-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO CC(=O)c1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1O ZINC001213089767 1134425871 /nfs/dbraw/zinc/42/58/71/1134425871.db2.gz CYQHIIKTGWZAEI-UHFFFAOYSA-N 1 2 293.326 3.729 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4cnoc43)cc2)[nH]1 ZINC001213090694 1134426156 /nfs/dbraw/zinc/42/61/56/1134426156.db2.gz BMZIIAWDSYDOMR-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(F)c(Cl)c2F)c1 ZINC001213198408 1134435466 /nfs/dbraw/zinc/43/54/66/1134435466.db2.gz CVZUAMFEKBHRLV-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cnc2nccnc2c1 ZINC001213217516 1134436095 /nfs/dbraw/zinc/43/60/95/1134436095.db2.gz GZINXFNJJQJKIZ-UHFFFAOYSA-N 1 2 293.374 3.670 20 0 CHADLO Cc1cc(C)c(Nc2c(F)c(F)nc(F)c2Cl)c[nH+]1 ZINC001213505468 1134457961 /nfs/dbraw/zinc/45/79/61/1134457961.db2.gz GYZDWXCGDHBAGD-UHFFFAOYSA-N 1 2 287.672 3.908 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnc(Cl)c2F)c1C ZINC001213525439 1134460311 /nfs/dbraw/zinc/46/03/11/1134460311.db2.gz WZLYQXHFHYAOHL-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1cc(C[C@H](C)Nc2cc(C3CC3)c[nH+]c2C)on1 ZINC001213488678 1134453091 /nfs/dbraw/zinc/45/30/91/1134453091.db2.gz BKYUZRTYKWQABD-JTQLQIEISA-N 1 2 271.364 3.607 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(Cl)ncc1O ZINC001213494589 1134453806 /nfs/dbraw/zinc/45/38/06/1134453806.db2.gz CEMNWXDZYDCARN-UHFFFAOYSA-N 1 2 275.739 3.765 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1cnn2C ZINC001213499133 1134454891 /nfs/dbraw/zinc/45/48/91/1134454891.db2.gz DHPVWFGYPVKCLJ-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO COc1ccc(N)c(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213499427 1134455081 /nfs/dbraw/zinc/45/50/81/1134455081.db2.gz JSVDIPPUMYRTHN-UHFFFAOYSA-N 1 2 269.348 3.602 20 0 CHADLO CC(=O)Nc1ccccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213499137 1134455186 /nfs/dbraw/zinc/45/51/86/1134455186.db2.gz DMQFISARFWXQND-UHFFFAOYSA-N 1 2 281.359 3.969 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccccc1CO ZINC001213497496 1134455263 /nfs/dbraw/zinc/45/52/63/1134455263.db2.gz RMYWYDSHQASGJZ-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)COC2=O ZINC001213499517 1134455619 /nfs/dbraw/zinc/45/56/19/1134455619.db2.gz MRPMGRYHSBCGEV-UHFFFAOYSA-N 1 2 280.327 3.681 20 0 CHADLO Cc1cc(C)c(Nc2ccc(Br)cn2)c[nH+]1 ZINC001213503771 1134458041 /nfs/dbraw/zinc/45/80/41/1134458041.db2.gz XHSJOGHIJCZBSB-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)OC(C)(C)O3)c[nH+]1 ZINC001213513342 1134458044 /nfs/dbraw/zinc/45/80/44/1134458044.db2.gz DKSRLWPDJAYDRS-UHFFFAOYSA-N 1 2 270.332 3.949 20 0 CHADLO Cc1cc(C)c(Nc2cnc3c(C(C)(C)C)cnn3c2)c[nH+]1 ZINC001213510902 1134458192 /nfs/dbraw/zinc/45/81/92/1134458192.db2.gz LJXAJQFWYUUXJZ-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO COCCOc1c(F)cccc1Nc1c[nH+]c(C)cc1C ZINC001213521031 1134458598 /nfs/dbraw/zinc/45/85/98/1134458598.db2.gz PDIMJJUXLVVLMA-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO Cc1cc(C)c(Nc2cccc3c2CC(C)(C)C(=O)N3)c[nH+]1 ZINC001213520979 1134458928 /nfs/dbraw/zinc/45/89/28/1134458928.db2.gz NLUKBRZCIUXGGO-UHFFFAOYSA-N 1 2 295.386 3.963 20 0 CHADLO Cc1cc(C)c(Nc2cccc3c2CN(C2CC2)C3=O)c[nH+]1 ZINC001213520798 1134459078 /nfs/dbraw/zinc/45/90/78/1134459078.db2.gz INYDXDUHBRMATQ-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO Cc1cc(C)c(Nc2cc(OC(F)(F)F)ccc2N)c[nH+]1 ZINC001213518312 1134459328 /nfs/dbraw/zinc/45/93/28/1134459328.db2.gz HHQKYCUQYIRHBY-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO Cc1c2ccc(Nc3c[nH+]c(C)cc3C)cc2nn1C ZINC001213515978 1134459551 /nfs/dbraw/zinc/45/95/51/1134459551.db2.gz WLXSKYLDPHLXRE-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO Cc1nc(Cl)c(Nc2c[nH+]cc(C)c2C)c(Cl)n1 ZINC001213525393 1134460031 /nfs/dbraw/zinc/46/00/31/1134460031.db2.gz UFJDWMMISBPYGI-UHFFFAOYSA-N 1 2 283.162 3.847 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(Cl)nc2Cl)c1C ZINC001213525267 1134460114 /nfs/dbraw/zinc/46/01/14/1134460114.db2.gz PVBBLWOLKICMDC-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1c[nH+]cc(Nc2cncc(OC(F)(F)F)c2)c1C ZINC001213528669 1134460365 /nfs/dbraw/zinc/46/03/65/1134460365.db2.gz KUASQXCTVIDMSU-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(Br)nc2)c1C ZINC001213523139 1134460369 /nfs/dbraw/zinc/46/03/69/1134460369.db2.gz LSQXUAIUKWIQFU-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(F)c(Br)c2)c1C ZINC001213523602 1134460581 /nfs/dbraw/zinc/46/05/81/1134460581.db2.gz WDADBIWGJFCABY-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1cnc(Br)cc1Nc1c[nH+]cc(C)c1C ZINC001213525167 1134460643 /nfs/dbraw/zinc/46/06/43/1134460643.db2.gz OXLWSPXHLQKTCT-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COC(=O)[C@@H](C)c1ccc(Nc2c[nH+]cc(C)c2C)cc1 ZINC001213533925 1134461629 /nfs/dbraw/zinc/46/16/29/1134461629.db2.gz CJLCZYUQTBRKMC-ZDUSSCGKSA-N 1 2 284.359 3.719 20 0 CHADLO CCOC(=O)c1cccc(Nc2c[nH+]cc(C)c2C)c1 ZINC001213532768 1134461791 /nfs/dbraw/zinc/46/17/91/1134461791.db2.gz UAVWCPVWOUODBA-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3oc(=O)ccc3c2)c1C ZINC001213536466 1134462701 /nfs/dbraw/zinc/46/27/01/1134462701.db2.gz LBXJPIARKVECMA-UHFFFAOYSA-N 1 2 266.300 3.548 20 0 CHADLO Cc1cc(=O)c2ccc(Nc3c[nH+]cc(C)c3C)cc2o1 ZINC001213534230 1134462777 /nfs/dbraw/zinc/46/27/77/1134462777.db2.gz SHKARKAMWSFPCU-UHFFFAOYSA-N 1 2 280.327 3.857 20 0 CHADLO C=CC(=O)Nc1cccc(Nc2c[nH+]cc(C)c2C)c1 ZINC001213536410 1134463090 /nfs/dbraw/zinc/46/30/90/1134463090.db2.gz HZDIUTLFOHEQDR-UHFFFAOYSA-N 1 2 267.332 3.567 20 0 CHADLO Cc1ccc(C(=O)NC2CC2)cc1Nc1c[nH+]cc(C)c1C ZINC001213536932 1134463265 /nfs/dbraw/zinc/46/32/65/1134463265.db2.gz SNEZSYXTSXVNQI-UHFFFAOYSA-N 1 2 295.386 3.643 20 0 CHADLO Cc1cccc(Nc2ccccc2N2CCCC2)[nH+]1 ZINC001213599423 1134467393 /nfs/dbraw/zinc/46/73/93/1134467393.db2.gz FVJPEMCJDIYNEG-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO Cc1cc2cc(Nc3[nH+]cccc3N(C)C)ccc2[nH]1 ZINC001213789595 1134484645 /nfs/dbraw/zinc/48/46/45/1134484645.db2.gz JWVQXWGHHJFGMQ-UHFFFAOYSA-N 1 2 266.348 3.681 20 0 CHADLO COc1cccc(Nc2cccc3[nH+]ccn32)c1C1CC1 ZINC001213797270 1134485131 /nfs/dbraw/zinc/48/51/31/1134485131.db2.gz VCAILKKGHWRXIF-UHFFFAOYSA-N 1 2 279.343 3.964 20 0 CHADLO COc1cccc(Nc2[nH+]cccc2N(C)C)c1C1CC1 ZINC001213803112 1134485949 /nfs/dbraw/zinc/48/59/49/1134485949.db2.gz RMINSKCYRBAPFX-UHFFFAOYSA-N 1 2 283.375 3.777 20 0 CHADLO Cc1ccc(Nc2cc(F)c(O)c(Cl)c2)[nH+]c1 ZINC001214477413 1134542591 /nfs/dbraw/zinc/54/25/91/1134542591.db2.gz MHNCTBGSZWHFKL-UHFFFAOYSA-N 1 2 252.676 3.632 20 0 CHADLO COc1c(C)ccc(F)c1Nc1ccc2c(c1)[nH+]cn2C ZINC001214534724 1134548256 /nfs/dbraw/zinc/54/82/56/1134548256.db2.gz PUHOFDLJYJRLGE-UHFFFAOYSA-N 1 2 285.322 3.773 20 0 CHADLO COc1ccc(C)c(F)c1Nc1ccc2c(c1)[nH+]cn2C ZINC001214631095 1134559722 /nfs/dbraw/zinc/55/97/22/1134559722.db2.gz PDNKYKFFRBLLQC-UHFFFAOYSA-N 1 2 285.322 3.773 20 0 CHADLO COCOc1cc(C)c(Nc2[nH+]cccc2C)c(C)c1 ZINC001215158295 1134601786 /nfs/dbraw/zinc/60/17/86/1134601786.db2.gz BXWPPZJWHKUZAX-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)ccc(OC(C)C)c1F ZINC001215173361 1134605055 /nfs/dbraw/zinc/60/50/55/1134605055.db2.gz ZVXUCVUGCDPRNF-UHFFFAOYSA-N 1 2 294.301 3.899 20 0 CHADLO COc1cc(CO)ccc1Nc1ccc2c(C)cc[nH+]c2c1 ZINC001215212085 1134607016 /nfs/dbraw/zinc/60/70/16/1134607016.db2.gz BUBIHXUETXNWEE-UHFFFAOYSA-N 1 2 294.354 3.788 20 0 CHADLO Fc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c2c[nH]nc21 ZINC001215375013 1134620061 /nfs/dbraw/zinc/62/00/61/1134620061.db2.gz IUPCGVCPWUFYJA-UHFFFAOYSA-N 1 2 293.305 3.631 20 0 CHADLO CSc1ccc(Nc2[nH+]cc(O)cc2C)c(C)c1 ZINC001215473066 1134630945 /nfs/dbraw/zinc/63/09/45/1134630945.db2.gz AWBKUXPJBRPWTI-UHFFFAOYSA-N 1 2 260.362 3.870 20 0 CHADLO CCCOc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(F)c1 ZINC001215486181 1134631840 /nfs/dbraw/zinc/63/18/40/1134631840.db2.gz AIPFJPSAOSQZGW-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(Cl)c(C)c2F)c1 ZINC001215524831 1134637804 /nfs/dbraw/zinc/63/78/04/1134637804.db2.gz WECVPZLNMPOHIK-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO Fc1cc(Nc2ccc3c[nH+]ccc3c2)cc2[nH]ncc21 ZINC001215554921 1134641122 /nfs/dbraw/zinc/64/11/22/1134641122.db2.gz LMSAOIFWHJYTDT-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO COCc1ccc(Cl)c(Nc2[nH+]cc(C)cc2N)c1 ZINC001215596074 1134650221 /nfs/dbraw/zinc/65/02/21/1134650221.db2.gz ZBKYUEFTJLJPLW-UHFFFAOYSA-N 1 2 277.755 3.516 20 0 CHADLO Cc1cc(C)c(Nc2cc(C(F)(F)F)ccc2CO)c[nH+]1 ZINC001215682520 1134659975 /nfs/dbraw/zinc/65/99/75/1134659975.db2.gz GBDJSSPPFIOGTF-UHFFFAOYSA-N 1 2 296.292 3.953 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(OC)c3n[nH]cc32)cc1 ZINC001215754269 1134673899 /nfs/dbraw/zinc/67/38/99/1134673899.db2.gz QWZMLVSAVQOOBX-UHFFFAOYSA-N 1 2 296.374 3.771 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(OC)c3n[nH]cc32)cc1 ZINC001215754269 1134673902 /nfs/dbraw/zinc/67/39/02/1134673902.db2.gz QWZMLVSAVQOOBX-UHFFFAOYSA-N 1 2 296.374 3.771 20 0 CHADLO CC(C)Oc1cc(Nc2ccc[nH+]c2N2CCCC2)ccn1 ZINC001215766126 1134679264 /nfs/dbraw/zinc/67/92/64/1134679264.db2.gz CUFHMEZKVCPVNI-UHFFFAOYSA-N 1 2 298.390 3.608 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1c(C)cc(F)cc1C ZINC001215963235 1134734001 /nfs/dbraw/zinc/73/40/01/1134734001.db2.gz HSCCBYODGSSLJS-UHFFFAOYSA-N 1 2 269.323 3.672 20 0 CHADLO COCc1cc(OC)ccc1Nc1cccc2[nH+]c(C)cn21 ZINC001215974832 1134738618 /nfs/dbraw/zinc/73/86/18/1134738618.db2.gz OIJJOBRPUUNATH-UHFFFAOYSA-N 1 2 297.358 3.541 20 0 CHADLO CCOc1cc(Nc2cc(F)c(F)c(F)c2N)cc(C)[nH+]1 ZINC001216011202 1134749615 /nfs/dbraw/zinc/74/96/15/1134749615.db2.gz YMIRXBOEXSBKLA-UHFFFAOYSA-N 1 2 297.280 3.532 20 0 CHADLO Cc1ccc(Nc2ccc(Cl)c3c[nH]nc32)c(C)[nH+]1 ZINC001216054152 1134761827 /nfs/dbraw/zinc/76/18/27/1134761827.db2.gz IALKMKLBCOOZCU-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO COc1cc(Nc2ccc(Cl)c3c[nH]nc32)cc(C)[nH+]1 ZINC001216055220 1134761900 /nfs/dbraw/zinc/76/19/00/1134761900.db2.gz FSCNDUXNQXKFFH-UHFFFAOYSA-N 1 2 288.738 3.672 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(N(C)C)c(Cl)c1 ZINC001216060080 1134764131 /nfs/dbraw/zinc/76/41/31/1134764131.db2.gz SMHWYQGISUUBGD-UHFFFAOYSA-N 1 2 277.755 3.559 20 0 CHADLO CN(C)c1ccc(Nc2cccn3cc[nH+]c23)cc1Cl ZINC001216059837 1134764328 /nfs/dbraw/zinc/76/43/28/1134764328.db2.gz OJRZMKJTKRCBEH-UHFFFAOYSA-N 1 2 286.766 3.797 20 0 CHADLO Cc1cc(Nc2[nH+]cc(O)cc2C)cc(Cl)c1O ZINC001216083439 1134771481 /nfs/dbraw/zinc/77/14/81/1134771481.db2.gz HZYNCUJSLFNHCP-UHFFFAOYSA-N 1 2 264.712 3.507 20 0 CHADLO CCc1cc(Nc2c(C)cc[nH+]c2CC)ccc1CO ZINC001216105328 1134779291 /nfs/dbraw/zinc/77/92/91/1134779291.db2.gz JPGXHFVKLDKMEQ-UHFFFAOYSA-N 1 2 270.376 3.751 20 0 CHADLO Cc1cc(Nc2cccc(-n3cc[nH+]c3)c2)cc(C)c1CO ZINC001216120708 1134783923 /nfs/dbraw/zinc/78/39/23/1134783923.db2.gz YCZOEAGNKCHXPT-UHFFFAOYSA-N 1 2 293.370 3.725 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cnc(Cl)cc1C ZINC001216278340 1134833946 /nfs/dbraw/zinc/83/39/46/1134833946.db2.gz OCIILFMFARDWPW-UHFFFAOYSA-N 1 2 263.728 3.581 20 0 CHADLO COc1cnc(F)c(Nc2c(C)cc[nH+]c2C(C)C)c1 ZINC001216408168 1134886129 /nfs/dbraw/zinc/88/61/29/1134886129.db2.gz HJLPUIWLSRQCHJ-UHFFFAOYSA-N 1 2 275.327 3.800 20 0 CHADLO CCOc1cc(Nc2ccc3c(cnn3CC)c2)cc(C)[nH+]1 ZINC001216589740 1134926948 /nfs/dbraw/zinc/92/69/48/1134926948.db2.gz YXIHDIOSCKCLPV-UHFFFAOYSA-N 1 2 296.374 3.902 20 0 CHADLO COc1c(Nc2[nH+]cc(C)cc2N)cccc1C(F)(F)F ZINC001216633603 1134935921 /nfs/dbraw/zinc/93/59/21/1134935921.db2.gz MRSOYQABDNPUQF-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CCCCCC[C@H](C)NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC001217319636 1134971860 /nfs/dbraw/zinc/97/18/60/1134971860.db2.gz YIKUCOLOPXMNMQ-ZDUSSCGKSA-N 1 2 288.395 3.815 20 0 CHADLO CCCCCc1cc(O)cc(O[C@@H]2CC[NH2+]CC2(F)F)c1 ZINC001218006487 1135041919 /nfs/dbraw/zinc/04/19/19/1135041919.db2.gz LIPDCMZMXLDYJJ-OAHLLOKOSA-N 1 2 299.361 3.501 20 0 CHADLO O=Nc1ccc2ccccc2c1O[C@@H]1CC[NH2+]CC1(F)F ZINC001218007432 1135042404 /nfs/dbraw/zinc/04/24/04/1135042404.db2.gz QCFTUZJZWQRRSK-CYBMUJFWSA-N 1 2 292.285 3.614 20 0 CHADLO C[C@@H](Oc1cc(N)cc[nH+]1)c1cccc(C(F)(F)F)c1 ZINC001218195569 1135067301 /nfs/dbraw/zinc/06/73/01/1135067301.db2.gz FUBPTQGVQAYFLI-SECBINFHSA-N 1 2 282.265 3.823 20 0 CHADLO CC[C@H](C)c1ccc(O[C@@H]2C[NH2+]CC(F)(F)C2)cc1 ZINC001218274127 1135077854 /nfs/dbraw/zinc/07/78/54/1135077854.db2.gz NVLRZPYKWOWDKD-FZMZJTMJSA-N 1 2 269.335 3.576 20 0 CHADLO CC[C@@H](C)c1ccc(O[C@@H]2C[NH2+]CC(F)(F)C2)cc1 ZINC001218274128 1135077936 /nfs/dbraw/zinc/07/79/36/1135077936.db2.gz NVLRZPYKWOWDKD-RISCZKNCSA-N 1 2 269.335 3.576 20 0 CHADLO CC[C@H](C)c1ccc(O[C@H]2C[NH2+]CC(F)(F)C2)cc1 ZINC001218274129 1135078222 /nfs/dbraw/zinc/07/82/22/1135078222.db2.gz NVLRZPYKWOWDKD-SMDDNHRTSA-N 1 2 269.335 3.576 20 0 CHADLO CCCC[C@H](Oc1cc(N)cc[nH+]1)c1ccccc1 ZINC001218294462 1135080310 /nfs/dbraw/zinc/08/03/10/1135080310.db2.gz MTJHKSVELHRICD-HNNXBMFYSA-N 1 2 256.349 3.974 20 0 CHADLO Cc1ccc(C)c2c1CCC[C@H]2Oc1cc(N)cc[nH+]1 ZINC001218306710 1135083261 /nfs/dbraw/zinc/08/32/61/1135083261.db2.gz WHGOWVSFGWVURR-OAHLLOKOSA-N 1 2 268.360 3.737 20 0 CHADLO COc1ccc2cc([C@H](C)Oc3cc(N)cc[nH+]3)ccc2c1 ZINC001218316891 1135087178 /nfs/dbraw/zinc/08/71/78/1135087178.db2.gz HQACHKZVODRUDG-LBPRGKRZSA-N 1 2 294.354 3.966 20 0 CHADLO Nc1[nH+]cccc1OC1c2ccccc2Oc2ccccc21 ZINC001218320758 1135088653 /nfs/dbraw/zinc/08/86/53/1135088653.db2.gz YGVSZNKCYDJFBF-UHFFFAOYSA-N 1 2 290.322 3.938 20 0 CHADLO Nc1cc[nH+]c(O[C@@H]2CCc3cc(C(F)(F)F)ccc32)c1 ZINC001218460414 1135109107 /nfs/dbraw/zinc/10/91/07/1135109107.db2.gz VEFOGJPOHKFZGW-CYBMUJFWSA-N 1 2 294.276 3.749 20 0 CHADLO C[NH+](C)c1ccc(NCc2nc(-c3ccccc3)c[nH]2)cc1 ZINC000194377686 1135162329 /nfs/dbraw/zinc/16/23/29/1135162329.db2.gz YXKWFRWSJNRGQH-UHFFFAOYSA-N 1 2 292.386 3.755 20 0 CHADLO N#Cc1ccc(Nc2ccc([NH+]3CCCCC3)cc2)c(N)c1 ZINC000316139941 1135164846 /nfs/dbraw/zinc/16/48/46/1135164846.db2.gz QZFDDPYFFDWQHF-UHFFFAOYSA-N 1 2 292.386 3.874 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(OCC)nc2C)c1 ZINC001222110323 1135193857 /nfs/dbraw/zinc/19/38/57/1135193857.db2.gz ILUXUIIECZKPRH-UHFFFAOYSA-N 1 2 284.359 3.818 20 0 CHADLO C[C@H](OCCn1cc[nH+]c1)c1cccc2ccccc21 ZINC001222135157 1135198525 /nfs/dbraw/zinc/19/85/25/1135198525.db2.gz WSXGERARNHXHLZ-AWEZNQCLSA-N 1 2 266.344 3.814 20 0 CHADLO CCCCC1CCC(OCCn2cc[nH+]c2)CC1 ZINC001222139042 1135198921 /nfs/dbraw/zinc/19/89/21/1135198921.db2.gz MQZURZWBIJVXSM-UHFFFAOYSA-N 1 2 250.386 3.649 20 0 CHADLO C[C@@H](OCc1c[nH+]cn1C)c1ccc(C(F)(F)F)cc1 ZINC001222594971 1135253735 /nfs/dbraw/zinc/25/37/35/1135253735.db2.gz KKEBOSKJLLXOCH-SNVBAGLBSA-N 1 2 284.281 3.717 20 0 CHADLO CC(C)(C)[NH+]1CC(O[C@](F)(Cl)c2ccccc2)C1 ZINC001222958312 1135288320 /nfs/dbraw/zinc/28/83/20/1135288320.db2.gz RJXVPXHDVSHXGO-AWEZNQCLSA-N 1 2 271.763 3.505 20 0 CHADLO Cc1cc(CO[C@@H](C)c2ncccc2F)cc(C)[nH+]1 ZINC001223423319 1135343701 /nfs/dbraw/zinc/34/37/01/1135343701.db2.gz BIWUVKAVSZGNKD-LBPRGKRZSA-N 1 2 260.312 3.510 20 0 CHADLO Cc1cc(COC[C@H](C)Oc2ccccc2)cc(C)[nH+]1 ZINC001223425810 1135344347 /nfs/dbraw/zinc/34/43/47/1135344347.db2.gz VNUKSOBQBRLUFB-HNNXBMFYSA-N 1 2 271.360 3.683 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H]1CCC(=O)c2ccccc21 ZINC001224963208 1135496836 /nfs/dbraw/zinc/49/68/36/1135496836.db2.gz JDLGCHUPYLENKN-MRXNPFEDSA-N 1 2 289.375 3.905 20 0 CHADLO c1ccc(COC2CCC(Oc3cc[nH+]cc3)CC2)cc1 ZINC001225290565 1135536277 /nfs/dbraw/zinc/53/62/77/1135536277.db2.gz AYWNSARQHYGFCW-UHFFFAOYSA-N 1 2 283.371 3.988 20 0 CHADLO Clc1ccnc2c1CCC[C@@H]2Oc1cc[nH+]cc1 ZINC001225296193 1135536861 /nfs/dbraw/zinc/53/68/61/1135536861.db2.gz QHFZLBRAEUXPHZ-ZDUSSCGKSA-N 1 2 260.724 3.586 20 0 CHADLO Brc1cccc2c1CC[C@H]2Oc1cc[nH+]cc1 ZINC001225294173 1135537001 /nfs/dbraw/zinc/53/70/01/1135537001.db2.gz GQHPNJDVLZKMQD-CQSZACIVSA-N 1 2 290.160 3.910 20 0 CHADLO CC(=O)c1ccc2ccccc2c1OC1C[NH+](C(C)(C)C)C1 ZINC001226032544 1135655251 /nfs/dbraw/zinc/65/52/51/1135655251.db2.gz GTRNMHOKQBNHIB-UHFFFAOYSA-N 1 2 297.398 3.904 20 0 CHADLO COC(=O)c1ccc([C@H](C)Oc2c(C)cc[nH+]c2C)cc1 ZINC001227260708 1135811283 /nfs/dbraw/zinc/81/12/83/1135811283.db2.gz UEJWOADLASODNG-ZDUSSCGKSA-N 1 2 285.343 3.625 20 0 CHADLO Cc1ccc(OC[C@H](C)Oc2c(C)cc[nH+]c2C)cc1 ZINC001227265073 1135812188 /nfs/dbraw/zinc/81/21/88/1135812188.db2.gz RRUCWWFEHYRNJX-AWEZNQCLSA-N 1 2 271.360 3.853 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H]1CCC(=O)c2ccccc21 ZINC001227263758 1135812413 /nfs/dbraw/zinc/81/24/13/1135812413.db2.gz HYRXHTVZRZXPFP-MRXNPFEDSA-N 1 2 267.328 3.795 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H]1CCc2cc(F)ccc21 ZINC001227263819 1135812609 /nfs/dbraw/zinc/81/26/09/1135812609.db2.gz ITKSJFGLERFMJM-HNNXBMFYSA-N 1 2 257.308 3.904 20 0 CHADLO Cc1nc(NCC2(C(C)C)CC2)c(Br)c(C)[nH+]1 ZINC000323132528 1135852211 /nfs/dbraw/zinc/85/22/11/1135852211.db2.gz YQBXQXMDRGZAAL-UHFFFAOYSA-N 1 2 298.228 3.704 20 0 CHADLO Cc1nc(C)c(CNc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC000096482731 230380458 /nfs/dbraw/zinc/38/04/58/230380458.db2.gz LUYHDHJAIYCXTA-UHFFFAOYSA-N 1 2 284.388 3.558 20 0 CHADLO CCn1ccnc1C[NH+]1CCC(=Cc2ccccc2F)CC1 ZINC000354995964 529568489 /nfs/dbraw/zinc/56/84/89/529568489.db2.gz VVSORMINLYFVNF-UHFFFAOYSA-N 1 2 299.393 3.722 20 0 CHADLO CCn1cc[nH+]c1CN1CCC(=Cc2ccccc2F)CC1 ZINC000354995964 529568493 /nfs/dbraw/zinc/56/84/93/529568493.db2.gz VVSORMINLYFVNF-UHFFFAOYSA-N 1 2 299.393 3.722 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1ccn(-c2ccccc2)n1 ZINC000352554661 529730391 /nfs/dbraw/zinc/73/03/91/529730391.db2.gz UJGAFXRXCTVXTB-UHFFFAOYSA-N 1 2 293.370 3.717 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1sc(C)nc1C ZINC000352554253 529730559 /nfs/dbraw/zinc/73/05/59/529730559.db2.gz QNWUQVHNIOLLKT-UHFFFAOYSA-N 1 2 262.378 3.605 20 0 CHADLO Cc1c(F)ccc(Cl)c1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000573973664 334982017 /nfs/dbraw/zinc/98/20/17/334982017.db2.gz WAJXZWXPABRWSO-SECBINFHSA-N 1 2 295.745 3.574 20 0 CHADLO Cc1cccn2cc(CN(C#N)c3cccc(Cl)c3)[nH+]c12 ZINC000574132271 334994660 /nfs/dbraw/zinc/99/46/60/334994660.db2.gz IMJXEVZXQAAYKJ-UHFFFAOYSA-N 1 2 296.761 3.784 20 0 CHADLO Clc1ccc([C@@H]2CCC[C@@H]2Nc2c[nH]c[nH+]2)cc1 ZINC000574246639 335003717 /nfs/dbraw/zinc/00/37/17/335003717.db2.gz MAEWDYIDYOTYOV-STQMWFEESA-N 1 2 261.756 3.811 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000448351712 261124052 /nfs/dbraw/zinc/12/40/52/261124052.db2.gz BFRRNLAODDZZHN-CYBMUJFWSA-N 1 2 282.453 3.513 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000448351712 261124054 /nfs/dbraw/zinc/12/40/54/261124054.db2.gz BFRRNLAODDZZHN-CYBMUJFWSA-N 1 2 282.453 3.513 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2noc3ccccc23)o1 ZINC000574532318 335027826 /nfs/dbraw/zinc/02/78/26/335027826.db2.gz YEXVFKNSETWMIC-BXUZGUMPSA-N 1 2 282.343 3.834 20 0 CHADLO CC(C)(C)Oc1ccc([NH2+]CC2CCOCC2)cc1 ZINC000175999140 261633596 /nfs/dbraw/zinc/63/35/96/261633596.db2.gz ZPEJBQQKCUSSKG-UHFFFAOYSA-N 1 2 263.381 3.702 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)ccc2Cl)[C@@H]1[C@H]1CCCO1 ZINC000574677694 335038757 /nfs/dbraw/zinc/03/87/57/335038757.db2.gz CLGJTQIKLGGCDQ-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)ccc2Cl)[C@@H]1[C@H]1CCCO1 ZINC000574677694 335038759 /nfs/dbraw/zinc/03/87/59/335038759.db2.gz CLGJTQIKLGGCDQ-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CSc1ccc(C[NH2+]Cc2nnc(C(C)C)s2)cc1 ZINC000344641876 532957438 /nfs/dbraw/zinc/95/74/38/532957438.db2.gz PJWCHIJRPYDFCS-UHFFFAOYSA-N 1 2 293.461 3.673 20 0 CHADLO COc1ccccc1[C@@H](CC(C)C)Nc1cc[nH+]c(C)n1 ZINC000353448109 532968736 /nfs/dbraw/zinc/96/87/36/532968736.db2.gz JMJWNWBQBUHQFE-OAHLLOKOSA-N 1 2 285.391 3.993 20 0 CHADLO CSc1ccc(N[C@@H]2[C@H]3CCO[C@H]3C23CCCC3)[nH+]c1 ZINC000347259292 532984806 /nfs/dbraw/zinc/98/48/06/532984806.db2.gz OVUXTGANHCPCKD-BPLDGKMQSA-N 1 2 290.432 3.563 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3cccc(F)c3C2)cc1F ZINC000347976190 533569806 /nfs/dbraw/zinc/56/98/06/533569806.db2.gz YAHLZQVSGPOXQJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3cccc(F)c3C2)cc1F ZINC000347976190 533569808 /nfs/dbraw/zinc/56/98/08/533569808.db2.gz YAHLZQVSGPOXQJ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1ccc([C@H](C)N(C)c2cc(N3CCCC3)[nH+]cn2)cc1 ZINC000341734854 130005202 /nfs/dbraw/zinc/00/52/02/130005202.db2.gz UPNVBEOYSJISRO-HNNXBMFYSA-N 1 2 296.418 3.583 20 0 CHADLO Cc1ccc([C@H](C)N(C)c2cc(N3CCCC3)nc[nH+]2)cc1 ZINC000341734854 130005204 /nfs/dbraw/zinc/00/52/04/130005204.db2.gz UPNVBEOYSJISRO-HNNXBMFYSA-N 1 2 296.418 3.583 20 0 CHADLO Fc1cc(F)c(C[NH+]2CCC(C(F)F)CC2)cc1F ZINC000353667660 130011473 /nfs/dbraw/zinc/01/14/73/130011473.db2.gz CZJUMVWZPUEIHO-UHFFFAOYSA-N 1 2 279.252 3.581 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2ccncc2Cl)CC1 ZINC000341987712 130210455 /nfs/dbraw/zinc/21/04/55/130210455.db2.gz HRDBWYMDFSKMOT-UHFFFAOYSA-N 1 2 292.732 3.899 20 0 CHADLO Cc1ccnc(NCc2cn3c(cccc3C)[nH+]2)c1Cl ZINC000342224975 130397544 /nfs/dbraw/zinc/39/75/44/130397544.db2.gz OOIYQMJSJKNFMY-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CCC[C@@H]1CCCC[C@@H]1CNC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000575127554 335069857 /nfs/dbraw/zinc/06/98/57/335069857.db2.gz LGZXRVBESXCKFG-BZUAXINKSA-N 1 2 291.439 3.557 20 0 CHADLO Cc1cc(NC(=O)Cc2ccc3ccccc3c2)cc[nH+]1 ZINC000010162963 170399403 /nfs/dbraw/zinc/39/94/03/170399403.db2.gz DMYATBQVHLKLCR-UHFFFAOYSA-N 1 2 276.339 3.724 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C(C)(C)C)cc2)cc[nH+]1 ZINC000010163041 170399800 /nfs/dbraw/zinc/39/98/00/170399800.db2.gz PTVZLYYPCSISTC-UHFFFAOYSA-N 1 2 268.360 3.940 20 0 CHADLO Cc1[nH]ncc1C[NH2+]Cc1cc(-c2ccccc2)cs1 ZINC000038030866 174022993 /nfs/dbraw/zinc/02/29/93/174022993.db2.gz NKAMQXOIIMKUOP-UHFFFAOYSA-N 1 2 283.400 3.736 20 0 CHADLO Cc1csc(C[NH2+][C@@H](C)c2c(F)cccc2F)n1 ZINC000038090756 174103799 /nfs/dbraw/zinc/10/37/99/174103799.db2.gz APDBDICIMHSNLF-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO C[N@H+](Cc1sccc1Br)Cc1ccccn1 ZINC000043680987 175090897 /nfs/dbraw/zinc/09/08/97/175090897.db2.gz XFVYELMGPYCNIN-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO C[N@@H+](Cc1sccc1Br)Cc1ccccn1 ZINC000043680987 175090899 /nfs/dbraw/zinc/09/08/99/175090899.db2.gz XFVYELMGPYCNIN-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO CC[C@H](CC(=O)Nc1c[nH+]ccc1OC)c1ccccc1 ZINC000078414370 177339362 /nfs/dbraw/zinc/33/93/62/177339362.db2.gz UBPXAIYVBSFWDR-CYBMUJFWSA-N 1 2 284.359 3.613 20 0 CHADLO CCCCC[N@@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000078774893 177385664 /nfs/dbraw/zinc/38/56/64/177385664.db2.gz ACJITNKMKKNBTJ-OAHLLOKOSA-N 1 2 269.335 3.528 20 0 CHADLO CCCCC[N@H+]1CCO[C@@H](c2ccc(F)c(F)c2)C1 ZINC000078774893 177385666 /nfs/dbraw/zinc/38/56/66/177385666.db2.gz ACJITNKMKKNBTJ-OAHLLOKOSA-N 1 2 269.335 3.528 20 0 CHADLO CN(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CCCCCC1 ZINC000344276706 225013767 /nfs/dbraw/zinc/01/37/67/225013767.db2.gz DRXXQCPBJZQHHY-UHFFFAOYSA-N 1 2 297.402 3.667 20 0 CHADLO O=C(NCCC1CCCCC1)c1cccc(-n2cc[nH+]c2)c1 ZINC000344197324 224995947 /nfs/dbraw/zinc/99/59/47/224995947.db2.gz GJVAEYSJILFGPB-UHFFFAOYSA-N 1 2 297.402 3.573 20 0 CHADLO Cc1cc[nH+]c(N[C@H]2CCO[C@@]3(CCSC3)C2)c1Cl ZINC000334601639 225078934 /nfs/dbraw/zinc/07/89/34/225078934.db2.gz KLGFWCFEPCDOGK-FZMZJTMJSA-N 1 2 298.839 3.510 20 0 CHADLO Cn1cc[nH+]c1[C@@H](NC(=O)CCCCC(C)(C)C)C1CC1 ZINC000344692199 225127673 /nfs/dbraw/zinc/12/76/73/225127673.db2.gz IKPSOZFMGZISDQ-HNNXBMFYSA-N 1 2 291.439 3.594 20 0 CHADLO Cc1ccc(F)c2c1CCCN2Cc1[nH+]ccn1C(C)C ZINC000344979095 225237688 /nfs/dbraw/zinc/23/76/88/225237688.db2.gz WEMZQYYJKFPAHQ-UHFFFAOYSA-N 1 2 287.382 3.864 20 0 CHADLO C[C@@H](c1csnn1)[N@@H+]1CC[C@H](C(F)(F)F)C(C)(C)C1 ZINC000334620324 225158876 /nfs/dbraw/zinc/15/88/76/225158876.db2.gz OCYCCQLNROCNEP-WPRPVWTQSA-N 1 2 293.358 3.510 20 0 CHADLO C[C@@H](c1csnn1)[N@H+]1CC[C@H](C(F)(F)F)C(C)(C)C1 ZINC000334620324 225158880 /nfs/dbraw/zinc/15/88/80/225158880.db2.gz OCYCCQLNROCNEP-WPRPVWTQSA-N 1 2 293.358 3.510 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2cc(Cl)ccc2Cl)c2nccn21 ZINC000334745494 225270699 /nfs/dbraw/zinc/27/06/99/225270699.db2.gz HGZLHPULIKVJTR-NOZJJQNGSA-N 1 2 296.201 3.986 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H](C(F)(F)F)[C@H]2C)s1 ZINC000334692100 225275503 /nfs/dbraw/zinc/27/55/03/225275503.db2.gz LMVLIBSSWNGTDI-ZJUUUORDSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H](C(F)(F)F)[C@H]2C)s1 ZINC000334692100 225275507 /nfs/dbraw/zinc/27/55/07/225275507.db2.gz LMVLIBSSWNGTDI-ZJUUUORDSA-N 1 2 278.343 3.614 20 0 CHADLO CCc1noc(C)c1NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334705975 225281844 /nfs/dbraw/zinc/28/18/44/225281844.db2.gz OZTSVRDGXRGHKK-UHFFFAOYSA-N 1 2 288.351 3.510 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](C)[C@@H](C)C2)c(C)[nH+]1 ZINC000334718245 225286841 /nfs/dbraw/zinc/28/68/41/225286841.db2.gz SAJXBSOYECSLPA-JQWIXIFHSA-N 1 2 275.396 3.517 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)c2cccc(C3CCC3)c2)c2[nH+]ccn21 ZINC000334767331 225313312 /nfs/dbraw/zinc/31/33/12/225313312.db2.gz GJBCDMVZHOLUOM-BLLLJJGKSA-N 1 2 295.386 3.586 20 0 CHADLO Cc1[nH+]cccc1Cc1nc(-c2coc3ccccc23)no1 ZINC000347125855 226035268 /nfs/dbraw/zinc/03/52/68/226035268.db2.gz CCGVAHMFKYHHJB-UHFFFAOYSA-N 1 2 291.310 3.777 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ncc(-c3ccccc3)s2)C1 ZINC000347378835 226140568 /nfs/dbraw/zinc/14/05/68/226140568.db2.gz MOMLXQNPBCRBKC-OAHLLOKOSA-N 1 2 276.380 3.744 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ncc(-c3ccccc3)s2)C1 ZINC000347378835 226140572 /nfs/dbraw/zinc/14/05/72/226140572.db2.gz MOMLXQNPBCRBKC-OAHLLOKOSA-N 1 2 276.380 3.744 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@@H+]1CC[C@@](C)(F)C1 ZINC000347384447 226142355 /nfs/dbraw/zinc/14/23/55/226142355.db2.gz OZWLBOJJAIPHJX-CQSZACIVSA-N 1 2 280.368 3.645 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@H+]1CC[C@@](C)(F)C1 ZINC000347384447 226142361 /nfs/dbraw/zinc/14/23/61/226142361.db2.gz OZWLBOJJAIPHJX-CQSZACIVSA-N 1 2 280.368 3.645 20 0 CHADLO Clc1cccc2c1CN(Cc1cn3ccccc3[nH+]1)C2 ZINC000347449769 226159415 /nfs/dbraw/zinc/15/94/15/226159415.db2.gz KGQWADCUQKEZEA-UHFFFAOYSA-N 1 2 283.762 3.504 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCCCC[C@H]2c2ccco2)n1 ZINC000347672616 226218297 /nfs/dbraw/zinc/21/82/97/226218297.db2.gz CVGSOZQLVQKYNR-ZDUSSCGKSA-N 1 2 295.333 3.989 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCCCC[C@H]2c2ccco2)n1 ZINC000347672616 226218300 /nfs/dbraw/zinc/21/83/00/226218300.db2.gz CVGSOZQLVQKYNR-ZDUSSCGKSA-N 1 2 295.333 3.989 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(SC(C)C)cc2)n1 ZINC000348112403 226416928 /nfs/dbraw/zinc/41/69/28/226416928.db2.gz QOUHYDZLIPIWKR-NSHDSACASA-N 1 2 291.420 3.729 20 0 CHADLO CO[C@@H](c1ccccc1Cl)[C@@H](C)Nc1cc(C)[nH+]cn1 ZINC000349904474 226886421 /nfs/dbraw/zinc/88/64/21/226886421.db2.gz PTAZBQURAYOJTI-IAQYHMDHSA-N 1 2 291.782 3.627 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)[C@H]1CC12CCCC2 ZINC000354133215 226915524 /nfs/dbraw/zinc/91/55/24/226915524.db2.gz BNDYBLMEIZFQIV-CQSZACIVSA-N 1 2 281.359 3.596 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cnc(C2CCCC2)s1 ZINC000354518696 227020666 /nfs/dbraw/zinc/02/06/66/227020666.db2.gz BBNVKPDVQIKTSM-GFCCVEGCSA-N 1 2 268.401 3.735 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cnc(C2CCCC2)s1 ZINC000354518696 227020673 /nfs/dbraw/zinc/02/06/73/227020673.db2.gz BBNVKPDVQIKTSM-GFCCVEGCSA-N 1 2 268.401 3.735 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1cnc(-c2cccs2)s1 ZINC000354521170 227021542 /nfs/dbraw/zinc/02/15/42/227021542.db2.gz MFLWVPPCVOKOPO-JTQLQIEISA-N 1 2 282.409 3.806 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1cnc(-c2cccs2)s1 ZINC000354521170 227021548 /nfs/dbraw/zinc/02/15/48/227021548.db2.gz MFLWVPPCVOKOPO-JTQLQIEISA-N 1 2 282.409 3.806 20 0 CHADLO CO[C@H]1C[C@@H](Nc2[nH+]ccc3ccc(F)cc32)C1(C)C ZINC000354536556 227024704 /nfs/dbraw/zinc/02/47/04/227024704.db2.gz JJDWDJDXZQXJFO-KGLIPLIRSA-N 1 2 274.339 3.599 20 0 CHADLO OC[C@@H](CNc1[nH+]ccc2ccc(F)cc21)c1ccccc1 ZINC000354548591 227028640 /nfs/dbraw/zinc/02/86/40/227028640.db2.gz VNWFVQHIXDYIOU-OAHLLOKOSA-N 1 2 296.345 3.562 20 0 CHADLO Oc1ccc(F)c(CNc2ccc(C3CCC3)c[nH+]2)c1F ZINC000354562058 227032965 /nfs/dbraw/zinc/03/29/65/227032965.db2.gz OQDLECZXYFYEHC-UHFFFAOYSA-N 1 2 290.313 3.945 20 0 CHADLO C[C@@]1(CNc2[nH+]ccc3ccc(F)cc32)CCCC[C@@H]1O ZINC000354560497 227033370 /nfs/dbraw/zinc/03/33/70/227033370.db2.gz MWCZJVNTRSQKMG-RDJZCZTQSA-N 1 2 288.366 3.727 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CS[C@H]1CCO[C@@H]1C ZINC000191567835 227039840 /nfs/dbraw/zinc/03/98/40/227039840.db2.gz YFSCBURDYAIBLA-CJNGLKHVSA-N 1 2 288.416 3.591 20 0 CHADLO O=c1[nH]c2ccccc2cc1C[N@H+]1CCCC[C@@H]1C(F)F ZINC000336513451 227080599 /nfs/dbraw/zinc/08/05/99/227080599.db2.gz RBLSEVDJFJUPTD-CQSZACIVSA-N 1 2 292.329 3.560 20 0 CHADLO O=c1[nH]c2ccccc2cc1C[N@@H+]1CCCC[C@@H]1C(F)F ZINC000336513451 227080607 /nfs/dbraw/zinc/08/06/07/227080607.db2.gz RBLSEVDJFJUPTD-CQSZACIVSA-N 1 2 292.329 3.560 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+](C)Cc1ccoc1 ZINC000350836791 227117213 /nfs/dbraw/zinc/11/72/13/227117213.db2.gz OQBLIZWDYWYEKO-LLVKDONJSA-N 1 2 256.305 3.614 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+](C)Cc1ccoc1 ZINC000350836791 227117218 /nfs/dbraw/zinc/11/72/18/227117218.db2.gz OQBLIZWDYWYEKO-LLVKDONJSA-N 1 2 256.305 3.614 20 0 CHADLO CC(C)c1nc(N[C@@H](C)Cc2cccs2)cc[nH+]1 ZINC000171835057 335081831 /nfs/dbraw/zinc/08/18/31/335081831.db2.gz VVYVJVMGEGQUGN-NSHDSACASA-N 1 2 261.394 3.705 20 0 CHADLO CC(C)c1nc(N[C@H](C)Cc2cccs2)cc[nH+]1 ZINC000171835061 335081861 /nfs/dbraw/zinc/08/18/61/335081861.db2.gz VVYVJVMGEGQUGN-LLVKDONJSA-N 1 2 261.394 3.705 20 0 CHADLO CSc1ccc(N[C@@H](C)Cc2ccc(O)cc2)[nH+]c1 ZINC000352683501 227159864 /nfs/dbraw/zinc/15/98/64/227159864.db2.gz TWBPOIBEWQOUTC-NSHDSACASA-N 1 2 274.389 3.552 20 0 CHADLO Cc1cc(Nc2ccc(Cc3ccncc3)cc2)nc(C)[nH+]1 ZINC000352746489 227203811 /nfs/dbraw/zinc/20/38/11/227203811.db2.gz RQTOUNJYCLXQQG-UHFFFAOYSA-N 1 2 290.370 3.823 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+]Cc1nc(C(C)C)no1 ZINC000355030483 227326815 /nfs/dbraw/zinc/32/68/15/227326815.db2.gz DFSMUPMELKRLQS-ZDUSSCGKSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1ccccc1O[C@@H](C)CNc1cc[nH+]c(C2CC2)n1 ZINC000301469410 227550413 /nfs/dbraw/zinc/55/04/13/227550413.db2.gz RWVXFNVPHVDPQK-ZDUSSCGKSA-N 1 2 283.375 3.542 20 0 CHADLO Cc1cc(NC[C@H](C)Cn2cccn2)c2cccc(F)c2[nH+]1 ZINC000301981066 227748317 /nfs/dbraw/zinc/74/83/17/227748317.db2.gz INSUJVWYXYIEIK-LBPRGKRZSA-N 1 2 298.365 3.627 20 0 CHADLO Cc1ccc(CNc2nc(C)[nH+]c3c2CCCC3)s1 ZINC000302039562 227769117 /nfs/dbraw/zinc/76/91/17/227769117.db2.gz HFFBSIISLPOIFQ-UHFFFAOYSA-N 1 2 273.405 3.646 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1nc2ccccc2n1C1CC1 ZINC000355506509 227861461 /nfs/dbraw/zinc/86/14/61/227861461.db2.gz FHAKBYADEMWYQN-UHFFFAOYSA-N 1 2 281.359 3.562 20 0 CHADLO C[C@H]([NH2+][C@@H]1CSc2ccccc21)c1cscn1 ZINC000335916423 228012492 /nfs/dbraw/zinc/01/24/92/228012492.db2.gz XYTGGNBRHNJPOK-JOYOIKCWSA-N 1 2 262.403 3.641 20 0 CHADLO C[C@H]1C[C@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C[C@@H](C)C1 ZINC000355854442 228022610 /nfs/dbraw/zinc/02/26/10/228022610.db2.gz XKBGKFKLCMMTCE-FICVDOATSA-N 1 2 297.402 3.883 20 0 CHADLO FC(F)(F)c1cscc1C[N@@H+]1CCO[C@@H]2CCC[C@H]21 ZINC000356056548 228103381 /nfs/dbraw/zinc/10/33/81/228103381.db2.gz MOXRYRFRGUVUEY-VXGBXAGGSA-N 1 2 291.338 3.520 20 0 CHADLO FC(F)(F)c1cscc1C[N@H+]1CCO[C@@H]2CCC[C@H]21 ZINC000356056548 228103384 /nfs/dbraw/zinc/10/33/84/228103384.db2.gz MOXRYRFRGUVUEY-VXGBXAGGSA-N 1 2 291.338 3.520 20 0 CHADLO Oc1ccc(F)c(C[N@@H+]2CCC=C(c3ccco3)C2)c1F ZINC000353458624 228105711 /nfs/dbraw/zinc/10/57/11/228105711.db2.gz DCIMPWQKABCWBH-UHFFFAOYSA-N 1 2 291.297 3.553 20 0 CHADLO Oc1ccc(F)c(C[N@H+]2CCC=C(c3ccco3)C2)c1F ZINC000353458624 228105713 /nfs/dbraw/zinc/10/57/13/228105713.db2.gz DCIMPWQKABCWBH-UHFFFAOYSA-N 1 2 291.297 3.553 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1ccc(F)c(C(F)(F)F)c1 ZINC000353471318 228107392 /nfs/dbraw/zinc/10/73/92/228107392.db2.gz NIROKDIMIPLQIS-VIFPVBQESA-N 1 2 293.329 3.782 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1ccc(F)c(C(F)(F)F)c1 ZINC000353471318 228107394 /nfs/dbraw/zinc/10/73/94/228107394.db2.gz NIROKDIMIPLQIS-VIFPVBQESA-N 1 2 293.329 3.782 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCc2c(F)cccc2C1 ZINC000353549618 228118524 /nfs/dbraw/zinc/11/85/24/228118524.db2.gz KUFGKDBPSFOFAC-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCc2c(F)cccc2C1 ZINC000353549618 228118526 /nfs/dbraw/zinc/11/85/26/228118526.db2.gz KUFGKDBPSFOFAC-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Cc1nocc1C[N@H+](CCC(F)(F)F)Cc1ccccc1 ZINC000353211871 228070109 /nfs/dbraw/zinc/07/01/09/228070109.db2.gz QRJMIKAPFPXWHA-UHFFFAOYSA-N 1 2 298.308 3.938 20 0 CHADLO Cc1nocc1C[N@@H+](CCC(F)(F)F)Cc1ccccc1 ZINC000353211871 228070110 /nfs/dbraw/zinc/07/01/10/228070110.db2.gz QRJMIKAPFPXWHA-UHFFFAOYSA-N 1 2 298.308 3.938 20 0 CHADLO Cc1sccc1C[N@@H+]1CCOc2cccc(F)c2C1 ZINC000353349512 228089277 /nfs/dbraw/zinc/08/92/77/228089277.db2.gz GWNWWQAORAMHDH-UHFFFAOYSA-N 1 2 277.364 3.590 20 0 CHADLO Cc1sccc1C[N@H+]1CCOc2cccc(F)c2C1 ZINC000353349512 228089278 /nfs/dbraw/zinc/08/92/78/228089278.db2.gz GWNWWQAORAMHDH-UHFFFAOYSA-N 1 2 277.364 3.590 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N3CCCC[C@@H]3C(C)C)cc2n1C ZINC000356117126 228127617 /nfs/dbraw/zinc/12/76/17/228127617.db2.gz LUYUBYGAFCBRJJ-MRXNPFEDSA-N 1 2 299.418 3.532 20 0 CHADLO Cc1ccc(Cc2noc(-c3ccc(C)c(C)c3)n2)c[nH+]1 ZINC000356128517 228133247 /nfs/dbraw/zinc/13/32/47/228133247.db2.gz PUUBYPGODHXOFX-UHFFFAOYSA-N 1 2 279.343 3.648 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000353666115 228141596 /nfs/dbraw/zinc/14/15/96/228141596.db2.gz NENGRTUBHIBJSR-CQSZACIVSA-N 1 2 278.359 3.749 20 0 CHADLO C[C@H]1CCC[C@H](C(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000332209953 228152190 /nfs/dbraw/zinc/15/21/90/228152190.db2.gz XVDZMEGHAAFHFI-BBRMVZONSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1ccc(CCCNc2ccc(C)c(F)c2)c[nH+]1 ZINC000353796389 228154043 /nfs/dbraw/zinc/15/40/43/228154043.db2.gz ZGKMIBNDJMTTDK-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3cc4[nH]ccc4s3)cc2[nH+]1 ZINC000353872900 228161170 /nfs/dbraw/zinc/16/11/70/228161170.db2.gz AWLDUVLYIHOLNQ-UHFFFAOYSA-N 1 2 296.355 3.666 20 0 CHADLO Cc1[nH]c2cc(NC(=O)c3cc4[nH]ccc4s3)ccc2[nH+]1 ZINC000353872900 228161172 /nfs/dbraw/zinc/16/11/72/228161172.db2.gz AWLDUVLYIHOLNQ-UHFFFAOYSA-N 1 2 296.355 3.666 20 0 CHADLO Cc1ccnc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)n1 ZINC000352260122 228209470 /nfs/dbraw/zinc/20/94/70/228209470.db2.gz SLEULAGDBCKDHW-UHFFFAOYSA-N 1 2 293.773 3.602 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CCCCC3(F)F)cc2[nH+]1 ZINC000332649764 228164517 /nfs/dbraw/zinc/16/45/17/228164517.db2.gz UHUSPOSQBSXZSA-LLVKDONJSA-N 1 2 293.317 3.635 20 0 CHADLO Oc1cccc(C[N@@H+]2CCC[C@@H]2c2cccnc2)c1Cl ZINC000335942747 228168684 /nfs/dbraw/zinc/16/86/84/228168684.db2.gz AHUIWBSLYALEAF-CQSZACIVSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cccc(C[N@H+]2CCC[C@@H]2c2cccnc2)c1Cl ZINC000335942747 228168685 /nfs/dbraw/zinc/16/86/85/228168685.db2.gz AHUIWBSLYALEAF-CQSZACIVSA-N 1 2 288.778 3.778 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1[C@H](C(N)=O)c1ccccc1 ZINC000335989612 228175478 /nfs/dbraw/zinc/17/54/78/228175478.db2.gz IATQIIGDTCLDAJ-WBMJQRKESA-N 1 2 266.344 3.840 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1[C@H](C(N)=O)c1ccccc1 ZINC000335989612 228175479 /nfs/dbraw/zinc/17/54/79/228175479.db2.gz IATQIIGDTCLDAJ-WBMJQRKESA-N 1 2 266.344 3.840 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@@H]1SCCc2ccccc21 ZINC000336085102 228186209 /nfs/dbraw/zinc/18/62/09/228186209.db2.gz UIQQSDBCRYGANC-MRXNPFEDSA-N 1 2 298.411 3.668 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CC23CCCCC3)c(C)[nH+]1 ZINC000333191148 228192635 /nfs/dbraw/zinc/19/26/35/228192635.db2.gz OGALEVWBEBRHAH-CQSZACIVSA-N 1 2 287.407 3.851 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@H]3CCC[C@H]32)c(C)[nH+]1 ZINC000336145002 228198743 /nfs/dbraw/zinc/19/87/43/228198743.db2.gz KXOYOJSSQGSTJO-HUUCEWRRSA-N 1 2 287.407 3.803 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@@H]3CCC[C@H]32)c(C)[nH+]1 ZINC000336145003 228198778 /nfs/dbraw/zinc/19/87/78/228198778.db2.gz KXOYOJSSQGSTJO-LSDHHAIUSA-N 1 2 287.407 3.803 20 0 CHADLO NC(=O)[C@@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000336211387 228214560 /nfs/dbraw/zinc/21/45/60/228214560.db2.gz SAIJXWGSUSBFBC-SSDOTTSWSA-N 1 2 293.219 3.802 20 0 CHADLO NC(=O)[C@@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000336211387 228214561 /nfs/dbraw/zinc/21/45/61/228214561.db2.gz SAIJXWGSUSBFBC-SSDOTTSWSA-N 1 2 293.219 3.802 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cnc(C3CCC3)s2)s1 ZINC000352303465 228217085 /nfs/dbraw/zinc/21/70/85/228217085.db2.gz SAUGMMMLULCIBB-UHFFFAOYSA-N 1 2 293.461 3.808 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cnc(C3CCC3)s2)s1 ZINC000352303465 228217086 /nfs/dbraw/zinc/21/70/86/228217086.db2.gz SAUGMMMLULCIBB-UHFFFAOYSA-N 1 2 293.461 3.808 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3ccccc3C[C@@H]2C(N)=O)cs1 ZINC000336221099 228217288 /nfs/dbraw/zinc/21/72/88/228217288.db2.gz CYTBWTSUSGTLHC-OAHLLOKOSA-N 1 2 286.400 3.519 20 0 CHADLO Cc1cc(C[N@H+]2Cc3ccccc3C[C@@H]2C(N)=O)cs1 ZINC000336221099 228217289 /nfs/dbraw/zinc/21/72/89/228217289.db2.gz CYTBWTSUSGTLHC-OAHLLOKOSA-N 1 2 286.400 3.519 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]Cc2c(Cl)cccc2Cl)c2nccn21 ZINC000336227813 228217952 /nfs/dbraw/zinc/21/79/52/228217952.db2.gz BICITEYWOLQVMA-RNCFNFMXSA-N 1 2 296.201 3.986 20 0 CHADLO O=C(CC1CCCCC1)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000519946663 260026594 /nfs/dbraw/zinc/02/65/94/260026594.db2.gz JHFVHCCCDHRDMF-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO Cc1ccc(CNc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC000036939843 260054027 /nfs/dbraw/zinc/05/40/27/260054027.db2.gz NZOFRXWXZUUVOX-UHFFFAOYSA-N 1 2 263.344 3.793 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H]1c2ccccc2CC[C@@H]1C ZINC000179850072 260077417 /nfs/dbraw/zinc/07/74/17/260077417.db2.gz PEKKPEXEVRXSIO-SJCJKPOMSA-N 1 2 295.386 3.835 20 0 CHADLO CCCCS(=O)(=O)Nc1ccc([NH2+][C@H](C)C(C)C)cc1 ZINC000179964258 260083837 /nfs/dbraw/zinc/08/38/37/260083837.db2.gz SOGDJIHCYKFHSE-CYBMUJFWSA-N 1 2 298.452 3.685 20 0 CHADLO Cc1ccc(F)cc1C[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000180268547 260096623 /nfs/dbraw/zinc/09/66/23/260096623.db2.gz NPLBWKGUMPHDAI-LLVKDONJSA-N 1 2 291.370 3.665 20 0 CHADLO FC1(CNc2cccc([NH+]3CCCCCC3)c2)CC1 ZINC000526042945 260114552 /nfs/dbraw/zinc/11/45/52/260114552.db2.gz AUWTXWKVVXRPGJ-UHFFFAOYSA-N 1 2 262.372 3.981 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1ccc(CC(C)C)cc1 ZINC000037879968 260119069 /nfs/dbraw/zinc/11/90/69/260119069.db2.gz BQSOMMMBGYQPNY-CYBMUJFWSA-N 1 2 271.408 3.767 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(-c2ccccc2)c(C)c1)C(=O)OC ZINC000447793816 260134768 /nfs/dbraw/zinc/13/47/68/260134768.db2.gz FWHJGKPCQPLCSA-SFHVURJKSA-N 1 2 297.398 3.703 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@H](CC)c2nccs2)n1 ZINC000069994356 260151490 /nfs/dbraw/zinc/15/14/90/260151490.db2.gz YMJRWOFICBHEPM-CQSZACIVSA-N 1 2 292.452 3.942 20 0 CHADLO COC(=O)[C@@H]([NH2+]C[C@H](C)c1cccc(C)c1)c1ccccc1 ZINC000525462054 260154561 /nfs/dbraw/zinc/15/45/61/260154561.db2.gz WWHFNQSHCRUFJW-YJBOKZPZSA-N 1 2 297.398 3.602 20 0 CHADLO Fc1cccc(CNc2cc(C(F)(F)F)cc[nH+]2)c1 ZINC000078591931 260168266 /nfs/dbraw/zinc/16/82/66/260168266.db2.gz VOIDQOLRZOLNAN-UHFFFAOYSA-N 1 2 270.229 3.852 20 0 CHADLO Cc1cc(C[NH2+]Cc2cc(Cl)sc2Cl)on1 ZINC000312599245 260171953 /nfs/dbraw/zinc/17/19/53/260171953.db2.gz JLLLDTMMUFRBDN-UHFFFAOYSA-N 1 2 277.176 3.641 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccccc1)c1ccccn1)c1nccs1 ZINC000080266430 260179287 /nfs/dbraw/zinc/17/92/87/260179287.db2.gz ZOQYONSGRUTAEU-CJNGLKHVSA-N 1 2 295.411 3.978 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccn(-c2ccccc2)n1)c1nccs1 ZINC000080277705 260179550 /nfs/dbraw/zinc/17/95/50/260179550.db2.gz BWARKZJHRSFUQF-OAHLLOKOSA-N 1 2 298.415 3.570 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)[C@H]2C[C@@H](C)CC(C)(C)C2)[nH+]1 ZINC000517576155 260195422 /nfs/dbraw/zinc/19/54/22/260195422.db2.gz RQVFFDJLHLODMM-YPMHNXCESA-N 1 2 291.439 3.532 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccn(C(C)C)n2)c(C)o1 ZINC000085161390 260201880 /nfs/dbraw/zinc/20/18/80/260201880.db2.gz WKGMGVCPYYGVQL-LBPRGKRZSA-N 1 2 261.369 3.525 20 0 CHADLO C[C@H](Cc1nc(C2CCCCCC2)no1)n1cc[nH+]c1 ZINC000516870457 260207457 /nfs/dbraw/zinc/20/74/57/260207457.db2.gz CMASVOXGXANROV-GFCCVEGCSA-N 1 2 274.368 3.508 20 0 CHADLO Cc1cccn2cc(CSC3(CO)CCCCC3)[nH+]c12 ZINC000313003173 260231899 /nfs/dbraw/zinc/23/18/99/260231899.db2.gz OPZQPJDWFXRUFH-UHFFFAOYSA-N 1 2 290.432 3.571 20 0 CHADLO Fc1cccc(CCNc2cc(C(F)(F)F)cc[nH+]2)c1 ZINC000090802934 260262496 /nfs/dbraw/zinc/26/24/96/260262496.db2.gz HTZOZPYFVFHMAU-UHFFFAOYSA-N 1 2 284.256 3.894 20 0 CHADLO c1cn(-c2ccc(CNc3ccc4c(c3)COC4)cc2)c[nH+]1 ZINC000092854786 260272535 /nfs/dbraw/zinc/27/25/35/260272535.db2.gz AKOFEUDWWHZHQR-UHFFFAOYSA-N 1 2 291.354 3.515 20 0 CHADLO Clc1cccc(C2([NH2+]Cc3cscn3)CC2)c1 ZINC000077467894 260297343 /nfs/dbraw/zinc/29/73/43/260297343.db2.gz CKAWVHXBMUACTQ-UHFFFAOYSA-N 1 2 264.781 3.575 20 0 CHADLO c1ccc(CCCCCNc2cc[nH+]c(C3CC3)n2)cc1 ZINC000518362584 260383928 /nfs/dbraw/zinc/38/39/28/260383928.db2.gz NYJCEKFYEWBKJP-UHFFFAOYSA-N 1 2 281.403 3.601 20 0 CHADLO Cc1cc(NCc2ccccc2Oc2ccccc2)nc[nH+]1 ZINC000518369093 260385791 /nfs/dbraw/zinc/38/57/91/260385791.db2.gz AATTXTFUORVBGI-UHFFFAOYSA-N 1 2 291.354 3.611 20 0 CHADLO CCOc1ccccc1C[N@@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000152282914 261062565 /nfs/dbraw/zinc/06/25/65/261062565.db2.gz ONVLHQSZNYRDQD-HNNXBMFYSA-N 1 2 285.391 3.536 20 0 CHADLO CCOc1ccccc1C[N@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000152282914 261062567 /nfs/dbraw/zinc/06/25/67/261062567.db2.gz ONVLHQSZNYRDQD-HNNXBMFYSA-N 1 2 285.391 3.536 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](c1ccccc1)C1CC1 ZINC000153164418 261074352 /nfs/dbraw/zinc/07/43/52/261074352.db2.gz CIBVAWSUAGCHGI-MRXNPFEDSA-N 1 2 266.344 3.522 20 0 CHADLO Cc1cc(C(=O)C[N@@H+](C)Cc2cccc(Cl)c2)c(C)[nH]1 ZINC000052396088 261090389 /nfs/dbraw/zinc/09/03/89/261090389.db2.gz VEWWNSSHGVFLEG-UHFFFAOYSA-N 1 2 290.794 3.600 20 0 CHADLO Cc1cc(C(=O)C[N@H+](C)Cc2cccc(Cl)c2)c(C)[nH]1 ZINC000052396088 261090391 /nfs/dbraw/zinc/09/03/91/261090391.db2.gz VEWWNSSHGVFLEG-UHFFFAOYSA-N 1 2 290.794 3.600 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2ncc(C(C)(C)C)s2)[C@@H]1C ZINC000448337742 261118044 /nfs/dbraw/zinc/11/80/44/261118044.db2.gz TWYRXUIOZMLMPI-GHMZBOCLSA-N 1 2 284.494 3.766 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2ncc(C(C)(C)C)s2)[C@@H]1C ZINC000448337742 261118045 /nfs/dbraw/zinc/11/80/45/261118045.db2.gz TWYRXUIOZMLMPI-GHMZBOCLSA-N 1 2 284.494 3.766 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccc(C)o1 ZINC000156471307 261124009 /nfs/dbraw/zinc/12/40/09/261124009.db2.gz KRUMJZGIJAAINU-RISCZKNCSA-N 1 2 295.329 3.905 20 0 CHADLO Fc1ccc(C[N@@H+]2CCO[C@H](c3cccs3)C2)cc1F ZINC000157374122 261144927 /nfs/dbraw/zinc/14/49/27/261144927.db2.gz ITDUHJZKLSTWSB-AWEZNQCLSA-N 1 2 295.354 3.600 20 0 CHADLO Fc1ccc(C[N@H+]2CCO[C@H](c3cccs3)C2)cc1F ZINC000157374122 261144928 /nfs/dbraw/zinc/14/49/28/261144928.db2.gz ITDUHJZKLSTWSB-AWEZNQCLSA-N 1 2 295.354 3.600 20 0 CHADLO Cc1nc(N(C)[C@@H](C)Cc2ccc(Cl)cc2)cc[nH+]1 ZINC000361046321 261151951 /nfs/dbraw/zinc/15/19/51/261151951.db2.gz WOMKCICOPYPILO-NSHDSACASA-N 1 2 275.783 3.506 20 0 CHADLO COc1c(C)c[nH+]c(COc2cc(F)ccc2F)c1C ZINC000172403208 261154550 /nfs/dbraw/zinc/15/45/50/261154550.db2.gz CMFLZJFCVWWHSS-UHFFFAOYSA-N 1 2 279.286 3.564 20 0 CHADLO Cc1noc(C)c1CSCc1cn2cccc(C)c2[nH+]1 ZINC000158169425 261159768 /nfs/dbraw/zinc/15/97/68/261159768.db2.gz STCSAMMQDNJCGC-UHFFFAOYSA-N 1 2 287.388 3.681 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc2ccccc2o1)c1nccs1 ZINC000173496502 261164581 /nfs/dbraw/zinc/16/45/81/261164581.db2.gz KHGBGFZTZWGKJE-OAHLLOKOSA-N 1 2 287.388 3.699 20 0 CHADLO Cc1cc(C(=O)Nc2ccc(C)[nH+]c2C)c2ccccc2n1 ZINC000176204112 261270526 /nfs/dbraw/zinc/27/05/26/261270526.db2.gz JEGOLZITIMRHMT-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO Cc1cccc([C@H](C)[S@@](=O)Cc2cn3ccccc3[nH+]2)c1 ZINC000176517885 261293382 /nfs/dbraw/zinc/29/33/82/261293382.db2.gz JVCRXEBCLJOPKP-QKKBWIMNSA-N 1 2 298.411 3.653 20 0 CHADLO Cc1cc(C[NH2+]C2(c3ccc(Cl)cc3)CCC2)no1 ZINC000104707712 261298576 /nfs/dbraw/zinc/29/85/76/261298576.db2.gz LRIUJRRUQGZHNV-UHFFFAOYSA-N 1 2 276.767 3.805 20 0 CHADLO CCc1noc(C)c1C[N@H+](C)[C@@H](C)c1ccccc1F ZINC000162937403 261300121 /nfs/dbraw/zinc/30/01/21/261300121.db2.gz UOHXVWWZGUGCHL-NSHDSACASA-N 1 2 276.355 3.878 20 0 CHADLO CCc1noc(C)c1C[N@@H+](C)[C@@H](C)c1ccccc1F ZINC000162937403 261300123 /nfs/dbraw/zinc/30/01/23/261300123.db2.gz UOHXVWWZGUGCHL-NSHDSACASA-N 1 2 276.355 3.878 20 0 CHADLO CCCC(CCC)[S@](=O)Cc1cn2ccccc2[nH+]1 ZINC000176640252 261302265 /nfs/dbraw/zinc/30/22/65/261302265.db2.gz AQWWKVMMSQARHX-LJQANCHMSA-N 1 2 278.421 3.552 20 0 CHADLO C[C@@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1cccc(O)c1 ZINC000176904351 261317844 /nfs/dbraw/zinc/31/78/44/261317844.db2.gz SMYPBVYPPGNPFO-SECBINFHSA-N 1 2 282.265 3.979 20 0 CHADLO Cc1cccnc1C[NH2+][C@H](c1ccccc1)c1ccccn1 ZINC000103712518 261332745 /nfs/dbraw/zinc/33/27/45/261332745.db2.gz CJUXFFKNFNKZSZ-LJQANCHMSA-N 1 2 289.382 3.664 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccsc1Cl)CC2 ZINC000361554610 261332859 /nfs/dbraw/zinc/33/28/59/261332859.db2.gz UNLDZNIZAKIQHY-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccsc1Cl)CC2 ZINC000361554610 261332861 /nfs/dbraw/zinc/33/28/61/261332861.db2.gz UNLDZNIZAKIQHY-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Cc1cc(NCCOCc2ccccc2)nc(C(C)C)[nH+]1 ZINC000301475950 261334806 /nfs/dbraw/zinc/33/48/06/261334806.db2.gz OAPDLXUPAYEOFN-UHFFFAOYSA-N 1 2 285.391 3.537 20 0 CHADLO CCS[C@H](C)c1noc(C[NH+]2CCC(C)(CC)CC2)n1 ZINC000361564605 261338525 /nfs/dbraw/zinc/33/85/25/261338525.db2.gz JXGLPOCQLCJMTI-GFCCVEGCSA-N 1 2 297.468 3.896 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1CCc1ccccc1)c1ccco1 ZINC000164287778 261346295 /nfs/dbraw/zinc/34/62/95/261346295.db2.gz DGOPIZMZQWKBFY-HNNXBMFYSA-N 1 2 295.386 3.570 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+][C@H](C)c2ccc(C)o2)o1 ZINC000165311253 261368481 /nfs/dbraw/zinc/36/84/81/261368481.db2.gz VMYUZAJDFTUOTD-OCCSQVGLSA-N 1 2 277.364 3.782 20 0 CHADLO O=C(c1ccccc1)C1CC[NH+](C/C(Cl)=C/Cl)CC1 ZINC000173187080 261525525 /nfs/dbraw/zinc/52/55/25/261525525.db2.gz HWNBFWPDEPWQPR-UVTDQMKNSA-N 1 2 298.213 3.900 20 0 CHADLO Cc1nc(N2CC[C@H](C)[C@@H]2c2cccc(F)c2)cc[nH+]1 ZINC000175161661 261596376 /nfs/dbraw/zinc/59/63/76/261596376.db2.gz POULYUDMYAOMIF-MEDUHNTESA-N 1 2 271.339 3.512 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(OC)c(NC(C)=O)c1)C(C)C ZINC000182103189 261923536 /nfs/dbraw/zinc/92/35/36/261923536.db2.gz PWPQXFKBJJFQRH-CYBMUJFWSA-N 1 2 264.369 3.500 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCC[C@H](c3ccnn3C)C2)cc1 ZINC000363953227 262052326 /nfs/dbraw/zinc/05/23/26/262052326.db2.gz KVVDROJSVAWWTQ-DZGCQCFKSA-N 1 2 285.391 3.567 20 0 CHADLO Cc1nnsc1C[NH2+]Cc1csc(Cl)c1Cl ZINC000309932838 262053904 /nfs/dbraw/zinc/05/39/04/262053904.db2.gz YSIBUDJNBXOPPV-UHFFFAOYSA-N 1 2 294.232 3.505 20 0 CHADLO FC(F)c1ccc(CSCCn2cc[nH+]c2)cc1 ZINC000185646583 262063606 /nfs/dbraw/zinc/06/36/06/262063606.db2.gz UFXRNQMJAGXVBW-UHFFFAOYSA-N 1 2 268.332 3.754 20 0 CHADLO COc1ccc([NH2+][C@@H](C)CCC2CC2)c(OC)c1OC ZINC000186465747 262094552 /nfs/dbraw/zinc/09/45/52/262094552.db2.gz DVDPONSBFZSTEH-NSHDSACASA-N 1 2 279.380 3.703 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(Br)s2)c1 ZINC000119593434 262168544 /nfs/dbraw/zinc/16/85/44/262168544.db2.gz DFUZUIKRCZSEHQ-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO CC[C@H](C)[C@H]([NH2+]Cc1ccsc1)C(=O)OC(C)(C)C ZINC000497606566 262180318 /nfs/dbraw/zinc/18/03/18/262180318.db2.gz FYHJCIXMZKGPEM-AAEUAGOBSA-N 1 2 283.437 3.594 20 0 CHADLO Fc1cc2[nH+]cn(C[C@H]3CCC(F)(F)C3)c2cc1F ZINC000311135808 262196312 /nfs/dbraw/zinc/19/63/12/262196312.db2.gz AMASKPDSBNJPRG-QMMMGPOBSA-N 1 2 272.245 3.750 20 0 CHADLO CCn1cc(CNc2ccc([N@@H+]3C[C@H]4CC[C@@H]3C4)cc2)cn1 ZINC000192218112 262199869 /nfs/dbraw/zinc/19/98/69/262199869.db2.gz MOAAZESRGLTFKB-KBXCAEBGSA-N 1 2 296.418 3.504 20 0 CHADLO CCn1cc(CNc2ccc([N@H+]3C[C@H]4CC[C@@H]3C4)cc2)cn1 ZINC000192218112 262199871 /nfs/dbraw/zinc/19/98/71/262199871.db2.gz MOAAZESRGLTFKB-KBXCAEBGSA-N 1 2 296.418 3.504 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)[C@@H](C)c1nc(C(C)C)no1 ZINC000488036521 262274518 /nfs/dbraw/zinc/27/45/18/262274518.db2.gz RPGMPWITSVIUGW-LBPRGKRZSA-N 1 2 291.370 3.834 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)[C@@H](C)c1nc(C(C)C)no1 ZINC000488036521 262274519 /nfs/dbraw/zinc/27/45/19/262274519.db2.gz RPGMPWITSVIUGW-LBPRGKRZSA-N 1 2 291.370 3.834 20 0 CHADLO CC(=O)c1ccc2c(c1)CN(c1cc(C)[nH+]c(C(C)C)n1)C2 ZINC000413498445 262766671 /nfs/dbraw/zinc/76/66/71/262766671.db2.gz BBOZAWJTRVDIPA-UHFFFAOYSA-N 1 2 295.386 3.631 20 0 CHADLO CC[N@H+](Cc1ccnn1C1CCCC1)Cc1occc1C ZINC000414550298 262990485 /nfs/dbraw/zinc/99/04/85/262990485.db2.gz NMYJQCREIQDVDO-UHFFFAOYSA-N 1 2 287.407 3.922 20 0 CHADLO CC[N@@H+](Cc1ccnn1C1CCCC1)Cc1occc1C ZINC000414550298 262990486 /nfs/dbraw/zinc/99/04/86/262990486.db2.gz NMYJQCREIQDVDO-UHFFFAOYSA-N 1 2 287.407 3.922 20 0 CHADLO Cc1cc(N[C@@H]2CCO[C@@H](C)C2)c2cccc(F)c2[nH+]1 ZINC000271350204 262995957 /nfs/dbraw/zinc/99/59/57/262995957.db2.gz HGTXTDPMCCBPQF-NWDGAFQWSA-N 1 2 274.339 3.662 20 0 CHADLO CC(C)C[C@@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000437573708 263019453 /nfs/dbraw/zinc/01/94/53/263019453.db2.gz CUMZRPYHMUWXQQ-AWEZNQCLSA-N 1 2 291.439 3.985 20 0 CHADLO CC(C)C[C@@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000437573708 263019454 /nfs/dbraw/zinc/01/94/54/263019454.db2.gz CUMZRPYHMUWXQQ-AWEZNQCLSA-N 1 2 291.439 3.985 20 0 CHADLO Cn1cnc2cc(CNc3ccc4c(c3)CCC[NH2+]4)ccc21 ZINC000414847341 263063966 /nfs/dbraw/zinc/06/39/66/263063966.db2.gz JAXUNIRZDCXITC-UHFFFAOYSA-N 1 2 292.386 3.544 20 0 CHADLO COCc1cccc(CNc2ccc3c(c2)CCC[NH2+]3)c1 ZINC000414855669 263072014 /nfs/dbraw/zinc/07/20/14/263072014.db2.gz XDLVOPMMXSJEQP-UHFFFAOYSA-N 1 2 282.387 3.803 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cc(F)c(Cl)cc2F)no1 ZINC000285146124 263090617 /nfs/dbraw/zinc/09/06/17/263090617.db2.gz LZOLIFXTJUHTMO-MRVPVSSYSA-N 1 2 286.709 3.765 20 0 CHADLO C[C@@H](CCCCNC(=O)OC(C)(C)C)Nc1cccc[nH+]1 ZINC000290853086 263118918 /nfs/dbraw/zinc/11/89/18/263118918.db2.gz ZQMSKQIWXUHBMH-ZDUSSCGKSA-N 1 2 293.411 3.577 20 0 CHADLO Cc1cccc(NCc2ccc(C(C)C)[nH+]c2C)c1C(N)=O ZINC000296111046 263186139 /nfs/dbraw/zinc/18/61/39/263186139.db2.gz UUCXLGDALLDGHN-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO CCOc1cc(N[C@@H](C)c2[nH+]ccn2CC)ccc1C ZINC000453189547 263201267 /nfs/dbraw/zinc/20/12/67/263201267.db2.gz SVXJUVWKAXIIAH-ZDUSSCGKSA-N 1 2 273.380 3.783 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)c1ccccc1OC)C(F)F ZINC000453246262 263208176 /nfs/dbraw/zinc/20/81/76/263208176.db2.gz MYNANXPRKDDSOP-NWDGAFQWSA-N 1 2 257.324 3.780 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccc2ccccc21)C(C)(F)F ZINC000453293965 263222005 /nfs/dbraw/zinc/22/20/05/263222005.db2.gz PJQAAIJEMQVBOF-WDEREUQCSA-N 1 2 264.319 3.929 20 0 CHADLO Fc1ccc(C[NH2+][C@H](c2ncc[nH]2)c2ccc(F)cc2)cc1 ZINC000187672282 263266125 /nfs/dbraw/zinc/26/61/25/263266125.db2.gz DCIAYJCMXXLBKF-INIZCTEOSA-N 1 2 299.324 3.567 20 0 CHADLO CCc1cnc(C[NH2+][C@@H]2COc3cccc(Cl)c32)s1 ZINC000342426693 263432236 /nfs/dbraw/zinc/43/22/36/263432236.db2.gz FCCOXSCBELRFJG-LLVKDONJSA-N 1 2 294.807 3.582 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@H+]2Cc2nc(C3CC3)cs2)c1 ZINC000342758039 263491431 /nfs/dbraw/zinc/49/14/31/263491431.db2.gz XISIAEKMGDSBJH-AWEZNQCLSA-N 1 2 273.405 3.686 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@@H+]2Cc2nc(C3CC3)cs2)c1 ZINC000342758039 263491434 /nfs/dbraw/zinc/49/14/34/263491434.db2.gz XISIAEKMGDSBJH-AWEZNQCLSA-N 1 2 273.405 3.686 20 0 CHADLO CCOc1cc(N[C@H](c2[nH+]ccn2C)C2CC2)ccc1C ZINC000342814407 263523860 /nfs/dbraw/zinc/52/38/60/263523860.db2.gz WVQAJMLLVURBOO-INIZCTEOSA-N 1 2 285.391 3.690 20 0 CHADLO CC[C@@H](NC(=O)c1ccc(Cl)c(Cl)c1)c1[nH]cc[nH+]1 ZINC000140452453 263677706 /nfs/dbraw/zinc/67/77/06/263677706.db2.gz NGXLFPGJOGVTCO-LLVKDONJSA-N 1 2 298.173 3.598 20 0 CHADLO CC[C@@H]1CN(Cc2c[nH+]cn2C(C)C)c2ccccc2O1 ZINC000425334738 264011761 /nfs/dbraw/zinc/01/17/61/264011761.db2.gz HCZILPOXRGWMES-OAHLLOKOSA-N 1 2 285.391 3.642 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CC2(CCC2)c2ccccc21 ZINC000425345216 264016920 /nfs/dbraw/zinc/01/69/20/264016920.db2.gz VEMJJEIPZLTVQW-UHFFFAOYSA-N 1 2 281.403 3.906 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000456364939 264032006 /nfs/dbraw/zinc/03/20/06/264032006.db2.gz VKYSAIGNHKCCHO-ZIAGYGMSSA-N 1 2 279.428 3.687 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)sc1C)c1c(C)noc1C ZINC000330811701 264045010 /nfs/dbraw/zinc/04/50/10/264045010.db2.gz BAGZGPIWNNGYCR-GFCCVEGCSA-N 1 2 279.409 3.606 20 0 CHADLO C[C@H]1CO[C@@H](c2cccc(Cl)c2)C[N@@H+]1Cc1ccco1 ZINC000188751799 264243898 /nfs/dbraw/zinc/24/38/98/264243898.db2.gz NIOCVWXGPBNQAL-BLLLJJGKSA-N 1 2 291.778 3.895 20 0 CHADLO C[C@H]1CO[C@@H](c2cccc(Cl)c2)C[N@H+]1Cc1ccco1 ZINC000188751799 264243902 /nfs/dbraw/zinc/24/39/02/264243902.db2.gz NIOCVWXGPBNQAL-BLLLJJGKSA-N 1 2 291.778 3.895 20 0 CHADLO Cc1nc(N2CCC[C@@](C)(c3ccccc3)CC2)cc[nH+]1 ZINC000343268768 264285456 /nfs/dbraw/zinc/28/54/56/264285456.db2.gz GKXIGFLKYYPZBO-GOSISDBHSA-N 1 2 281.403 3.733 20 0 CHADLO FC(F)c1noc(C[NH2+][C@@H](c2ccccc2)C2CCC2)n1 ZINC000425411406 264293939 /nfs/dbraw/zinc/29/39/39/264293939.db2.gz CVVATKJQSABFNJ-ZDUSSCGKSA-N 1 2 293.317 3.638 20 0 CHADLO Cc1ccc2cc(CNc3ccc(N(C)C)c[nH+]3)ccc2n1 ZINC000189387199 264299210 /nfs/dbraw/zinc/29/92/10/264299210.db2.gz AOQWLVCADJJTOF-UHFFFAOYSA-N 1 2 292.386 3.616 20 0 CHADLO CC(C)C(C)(C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000525885402 265033180 /nfs/dbraw/zinc/03/31/80/265033180.db2.gz AKNHKQUFLBKYNK-UHFFFAOYSA-N 1 2 271.364 3.697 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1ccc(Cl)cc1)C(=O)OCC ZINC000096867247 265391966 /nfs/dbraw/zinc/39/19/66/265391966.db2.gz BEZNCBBBQYTQOK-SMDDNHRTSA-N 1 2 283.799 3.722 20 0 CHADLO Cc1c[nH+]c(C)nc1N1C[C@@H](c2ccc(F)cc2)C[C@H]1C ZINC000519700812 265554162 /nfs/dbraw/zinc/55/41/62/265554162.db2.gz GUMWGOLCPMUCRC-DOMZBBRYSA-N 1 2 285.366 3.615 20 0 CHADLO Fc1ccc(NCc2cn3ccccc3[nH+]2)cc1C1CC1 ZINC000352628406 265966716 /nfs/dbraw/zinc/96/67/16/265966716.db2.gz MTPATECKQJJWHU-UHFFFAOYSA-N 1 2 281.334 3.963 20 0 CHADLO Cc1noc([C@H]2CCC[N@@H+]2Cc2cc(C)cc(Cl)c2)n1 ZINC000353504666 266039161 /nfs/dbraw/zinc/03/91/61/266039161.db2.gz JQTQBXJWQXEJCP-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@H]2CCC[N@H+]2Cc2cc(C)cc(Cl)c2)n1 ZINC000353504666 266039164 /nfs/dbraw/zinc/03/91/64/266039164.db2.gz JQTQBXJWQXEJCP-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO CC[C@H]1CCC[C@H](NC(=O)c2ccc3[nH+]c(C)n(C)c3c2)C1 ZINC000356168824 266061064 /nfs/dbraw/zinc/06/10/64/266061064.db2.gz MBRAREBLSZRZQL-ZFWWWQNUSA-N 1 2 299.418 3.580 20 0 CHADLO Cc1ccc(Cc2noc(C[C@H](C)C(C)(C)C)n2)c[nH+]1 ZINC000356180738 266064112 /nfs/dbraw/zinc/06/41/12/266064112.db2.gz ZDTHPIAWAOSJDQ-NSHDSACASA-N 1 2 273.380 3.589 20 0 CHADLO CC(C)[N@H+](Cc1cccnc1)Cc1c(F)cccc1F ZINC000356235932 266078941 /nfs/dbraw/zinc/07/89/41/266078941.db2.gz TVIQHFXNUOKIPN-UHFFFAOYSA-N 1 2 276.330 3.770 20 0 CHADLO CC(C)[N@@H+](Cc1cccnc1)Cc1c(F)cccc1F ZINC000356235932 266078945 /nfs/dbraw/zinc/07/89/45/266078945.db2.gz TVIQHFXNUOKIPN-UHFFFAOYSA-N 1 2 276.330 3.770 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)s1 ZINC000356415052 266109921 /nfs/dbraw/zinc/10/99/21/266109921.db2.gz OOWDGDAXQISCSC-NSHDSACASA-N 1 2 279.434 3.530 20 0 CHADLO CSc1ccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)s1 ZINC000356415052 266109924 /nfs/dbraw/zinc/10/99/24/266109924.db2.gz OOWDGDAXQISCSC-NSHDSACASA-N 1 2 279.434 3.530 20 0 CHADLO CSc1ccc(CN2CCC[C@H]2c2[nH]cc[nH+]2)s1 ZINC000356415052 266109929 /nfs/dbraw/zinc/10/99/29/266109929.db2.gz OOWDGDAXQISCSC-NSHDSACASA-N 1 2 279.434 3.530 20 0 CHADLO COc1ccc(C[NH+]2CC3(CCC3(F)F)C2)c(Cl)c1 ZINC000356518007 266129689 /nfs/dbraw/zinc/12/96/89/266129689.db2.gz GMAIPIOUKOFONU-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO Fc1ccc(C[NH+]2CC3(CCC3(F)F)C2)cc1Cl ZINC000356526333 266130564 /nfs/dbraw/zinc/13/05/64/266130564.db2.gz NBUNDMDWKVXDGM-UHFFFAOYSA-N 1 2 275.701 3.710 20 0 CHADLO CCC(CC)c1noc(-c2cccc(-n3cc[nH+]c3)c2)n1 ZINC000356524205 266130668 /nfs/dbraw/zinc/13/06/68/266130668.db2.gz KKRMQMWUIYFOGO-UHFFFAOYSA-N 1 2 282.347 3.826 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C)s1)c1ccc(Cl)s1 ZINC000356789696 266174736 /nfs/dbraw/zinc/17/47/36/266174736.db2.gz RPNXFWGQJZZLBP-QMMMGPOBSA-N 1 2 287.841 3.802 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+][C@H](C)c1nc(C)cs1 ZINC000356906522 266210257 /nfs/dbraw/zinc/21/02/57/266210257.db2.gz WAUDBNVYYPMVOU-NWDGAFQWSA-N 1 2 275.421 3.821 20 0 CHADLO C[C@H]1CN(Cc2ccc(N3CCCC3)[nH+]c2)c2ccccc21 ZINC000356972049 266221090 /nfs/dbraw/zinc/22/10/90/266221090.db2.gz FBWRBNKAOQGMIQ-HNNXBMFYSA-N 1 2 293.414 3.806 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Oc3ccccc3)o2)[C@@H](C)CO1 ZINC000356996164 266227106 /nfs/dbraw/zinc/22/71/06/266227106.db2.gz QAUPMUNCGSSKCQ-KBPBESRZSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Oc3ccccc3)o2)[C@@H](C)CO1 ZINC000356996164 266227108 /nfs/dbraw/zinc/22/71/08/266227108.db2.gz QAUPMUNCGSSKCQ-KBPBESRZSA-N 1 2 287.359 3.681 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H]3CC34CCCCC4)ccc2[nH+]1 ZINC000357092995 266246194 /nfs/dbraw/zinc/24/61/94/266246194.db2.gz DFRKUULIPOVPGG-CQSZACIVSA-N 1 2 283.375 3.552 20 0 CHADLO CSc1cc(CNc2cc(C(F)(F)F)cc[nH+]2)ccn1 ZINC000357196587 266264375 /nfs/dbraw/zinc/26/43/75/266264375.db2.gz COCDHYNGYMNFBF-UHFFFAOYSA-N 1 2 299.321 3.829 20 0 CHADLO CC(C)c1cc(N[C@]2(C)CCCOC2)nc(C(C)C)[nH+]1 ZINC000357212027 266266259 /nfs/dbraw/zinc/26/62/59/266266259.db2.gz XNBBSGOYBUOJFG-MRXNPFEDSA-N 1 2 277.412 3.704 20 0 CHADLO CC[N@H+](Cc1ncc(C)s1)Cc1cccc(F)c1 ZINC000357307001 266273555 /nfs/dbraw/zinc/27/35/55/266273555.db2.gz MFXLJRINSHQLJA-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1ncc(C)s1)Cc1cccc(F)c1 ZINC000357307001 266273557 /nfs/dbraw/zinc/27/35/57/266273557.db2.gz MFXLJRINSHQLJA-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1ncc(-c2ccccc2F)o1 ZINC000357610984 266335688 /nfs/dbraw/zinc/33/56/88/266335688.db2.gz UKLCBVMNVWTCEF-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1ncc(-c2ccccc2F)o1 ZINC000357610984 266335691 /nfs/dbraw/zinc/33/56/91/266335691.db2.gz UKLCBVMNVWTCEF-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(CC(C)C)no2)c1C ZINC000357966556 266390820 /nfs/dbraw/zinc/39/08/20/266390820.db2.gz VBDDWPSPXKPBSF-UHFFFAOYSA-N 1 2 287.407 3.517 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(CC(C)C)no2)c1C ZINC000357966556 266390823 /nfs/dbraw/zinc/39/08/23/266390823.db2.gz VBDDWPSPXKPBSF-UHFFFAOYSA-N 1 2 287.407 3.517 20 0 CHADLO Cc1[nH+]c2ccccn2c1CSc1nccn1C(C)C ZINC000358002245 266394570 /nfs/dbraw/zinc/39/45/70/266394570.db2.gz JYMXJEAPJKMVKP-UHFFFAOYSA-N 1 2 286.404 3.712 20 0 CHADLO COC1(CNc2ccc(SC)c[nH+]2)CCCCC1 ZINC000358397450 266458957 /nfs/dbraw/zinc/45/89/57/266458957.db2.gz XSOMWQSYZBPSOH-UHFFFAOYSA-N 1 2 266.410 3.565 20 0 CHADLO Cc1cccc2nc(C[NH2+]Cc3ccsc3Cl)cn21 ZINC000359713048 266628218 /nfs/dbraw/zinc/62/82/18/266628218.db2.gz BTWONUMQKMWBGL-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc3ncsc3c2)oc1C ZINC000362576354 267009531 /nfs/dbraw/zinc/00/95/31/267009531.db2.gz NBJZUFSHOAJQAG-JTQLQIEISA-N 1 2 287.388 3.752 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000362594887 267015804 /nfs/dbraw/zinc/01/58/04/267015804.db2.gz XQSNPRFUJKWTNC-VIFPVBQESA-N 1 2 278.343 3.926 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000362594887 267015807 /nfs/dbraw/zinc/01/58/07/267015807.db2.gz XQSNPRFUJKWTNC-VIFPVBQESA-N 1 2 278.343 3.926 20 0 CHADLO Cc1ccc(NC(=O)Cc2cccc(C)c2Cl)c(C)[nH+]1 ZINC000362651264 267029716 /nfs/dbraw/zinc/02/97/16/267029716.db2.gz XOJYIEGFKKHXCR-UHFFFAOYSA-N 1 2 288.778 3.841 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCC(c3ccsc3)CC2)[nH+]1 ZINC000362699385 267036868 /nfs/dbraw/zinc/03/68/68/267036868.db2.gz UVXCDWHWYUJVCM-UHFFFAOYSA-N 1 2 298.415 3.712 20 0 CHADLO CCC1(C(=O)Nc2ccc3c(c2)[nH+]c2n3CCCCC2)CC1 ZINC000362883688 267057256 /nfs/dbraw/zinc/05/72/56/267057256.db2.gz SWMMQZAEHIXMPP-UHFFFAOYSA-N 1 2 297.402 3.891 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2ccsc2Cl)[nH]1 ZINC000362922099 267060968 /nfs/dbraw/zinc/06/09/68/267060968.db2.gz BPIASKXUCFFDDI-BDAKNGLRSA-N 1 2 298.843 3.884 20 0 CHADLO CCN(c1cc[nH+]c(C(C)C)n1)[C@@H](C)c1cccnc1 ZINC000115520578 267098831 /nfs/dbraw/zinc/09/88/31/267098831.db2.gz DYZGWFLRWIVWOR-ZDUSSCGKSA-N 1 2 270.380 3.583 20 0 CHADLO CCCOc1ccc(C[NH2+][C@@H]2CCCc3[nH]ncc32)c(C)c1 ZINC000367614738 267104596 /nfs/dbraw/zinc/10/45/96/267104596.db2.gz IBXICFYYPRFUCJ-QGZVFWFLSA-N 1 2 299.418 3.674 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2c3ccc(F)cc3O[C@@H]2C)cs1 ZINC000368584717 267188880 /nfs/dbraw/zinc/18/88/80/267188880.db2.gz NWFJWKXQTIBJSH-QQXLSSBLSA-N 1 2 292.379 3.763 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000368751940 267202179 /nfs/dbraw/zinc/20/21/79/267202179.db2.gz WCQOJAZGECKIFL-CQSZACIVSA-N 1 2 276.742 3.821 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000368751940 267202180 /nfs/dbraw/zinc/20/21/80/267202180.db2.gz WCQOJAZGECKIFL-CQSZACIVSA-N 1 2 276.742 3.821 20 0 CHADLO CS[C@H]1CCC[C@H](Nc2cc[nH+]c(C(C)C)n2)C1 ZINC000117327938 267212274 /nfs/dbraw/zinc/21/22/74/267212274.db2.gz NXGSDWJJXDITFJ-RYUDHWBXSA-N 1 2 265.426 3.686 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CSc3ccccc32)c(C)[nH+]1 ZINC000118202965 267244020 /nfs/dbraw/zinc/24/40/20/267244020.db2.gz PSWYKPITVKGOIG-AWEZNQCLSA-N 1 2 298.411 3.835 20 0 CHADLO CCCc1nc(C[NH+]2CCC(OC(C)(C)C)CC2)cs1 ZINC000118964815 267281390 /nfs/dbraw/zinc/28/13/90/267281390.db2.gz DVUGTEBLHXCJCT-UHFFFAOYSA-N 1 2 296.480 3.875 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(F)c2Cl)c(C)[nH+]1 ZINC000119085280 267295844 /nfs/dbraw/zinc/29/58/44/267295844.db2.gz BPOWFSFTFZNCFJ-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO Cc1ccc(NC(=O)c2cc(F)c(F)cc2Cl)c(C)[nH+]1 ZINC000119168584 267305021 /nfs/dbraw/zinc/30/50/21/267305021.db2.gz QQBZXCBDMDPCNU-UHFFFAOYSA-N 1 2 296.704 3.882 20 0 CHADLO CCOc1cccc([C@@H](C)NC(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000119403400 267332379 /nfs/dbraw/zinc/33/23/79/267332379.db2.gz HVBLIJHSWAUULT-CYBMUJFWSA-N 1 2 299.374 3.671 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2ncc(C3CC3)o2)n1 ZINC000371184093 267360616 /nfs/dbraw/zinc/36/06/16/267360616.db2.gz MCYIFSUHXVNIQD-OAHLLOKOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2ncc(C3CC3)o2)n1 ZINC000371184093 267360618 /nfs/dbraw/zinc/36/06/18/267360618.db2.gz MCYIFSUHXVNIQD-OAHLLOKOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1ccc(C[C@@H](C)N(C)C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000120149653 267387212 /nfs/dbraw/zinc/38/72/12/267387212.db2.gz PHXVRQVZVNZVNV-OAHLLOKOSA-N 1 2 297.402 3.793 20 0 CHADLO CCC(CC)(CC)CNC(=O)Nc1cc[nH+]cc1C ZINC000121892386 267755048 /nfs/dbraw/zinc/75/50/48/267755048.db2.gz JBCGVTSEACHIAD-UHFFFAOYSA-N 1 2 263.385 3.728 20 0 CHADLO C[C@H]([NH2+]Cc1ncoc1-c1ccccc1)c1nccs1 ZINC000374477866 267794351 /nfs/dbraw/zinc/79/43/51/267794351.db2.gz AJWQLWBQGPAYEK-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO CCCCCC[C@@H](CC)C(=O)N1CCn2cc(C)[nH+]c2C1 ZINC000374649993 267806151 /nfs/dbraw/zinc/80/61/51/267806151.db2.gz WDRMLRIRVSFQRM-OAHLLOKOSA-N 1 2 291.439 3.530 20 0 CHADLO CC(C)(C)C[C@H]([NH2+][C@H]1CCC12CCOCC2)C(F)(F)F ZINC000376635999 268003536 /nfs/dbraw/zinc/00/35/36/268003536.db2.gz CLKYUEMLCIFKIT-RYUDHWBXSA-N 1 2 293.373 3.902 20 0 CHADLO CO[C@H]1CCCN(c2cc(C)[nH+]c3c(F)cccc23)CC1 ZINC000376868769 268026573 /nfs/dbraw/zinc/02/65/73/268026573.db2.gz GZZIJQLCKXOMEX-ZDUSSCGKSA-N 1 2 288.366 3.688 20 0 CHADLO Cc1cccc2[nH+]c(CNc3ccc(F)c(F)c3)cn21 ZINC000123444595 268053333 /nfs/dbraw/zinc/05/33/33/268053333.db2.gz NCVOLIMAVMNEDV-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO Cc1[nH]c(CNc2ccc(C(F)(F)F)cc2)[nH+]c1C ZINC000570740697 327615567 /nfs/dbraw/zinc/61/55/67/327615567.db2.gz PCVADHULOISAPZ-UHFFFAOYSA-N 1 2 269.270 3.657 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2ccc(Cl)cc2)C2CC2)o1 ZINC000173184647 327623580 /nfs/dbraw/zinc/62/35/80/327623580.db2.gz RPFVFQRKIPRSRZ-HNNXBMFYSA-N 1 2 276.767 3.877 20 0 CHADLO Cc1ccc(C[S@](=O)c2cccc(Cl)c2)c(C)[nH+]1 ZINC000187067818 327708949 /nfs/dbraw/zinc/70/89/49/327708949.db2.gz SJKGJJLAYHHBNC-SFHVURJKSA-N 1 2 279.792 3.660 20 0 CHADLO Cc1cccc(N(C)C(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000580212006 327735156 /nfs/dbraw/zinc/73/51/56/327735156.db2.gz SRRSIPWAMPNWLZ-UHFFFAOYSA-N 1 2 269.348 3.675 20 0 CHADLO COc1ccc([NH2+][C@H](C)CCC2CC2)cc1NC(C)=O ZINC000186459997 327853439 /nfs/dbraw/zinc/85/34/39/327853439.db2.gz KAJDJHAMYMJHEZ-LLVKDONJSA-N 1 2 276.380 3.644 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2cc(F)cc(F)c2)s1 ZINC000274266439 327980082 /nfs/dbraw/zinc/98/00/82/327980082.db2.gz VWKYCEWKCYZMEJ-YUMQZZPRSA-N 1 2 283.347 3.537 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H](C)Cc2ccsc2)c(C)[nH+]1 ZINC000531523053 328013549 /nfs/dbraw/zinc/01/35/49/328013549.db2.gz CMKWCFLGLPSLGG-NSHDSACASA-N 1 2 289.404 3.513 20 0 CHADLO CCC(CC)CC(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000531582814 328016625 /nfs/dbraw/zinc/01/66/25/328016625.db2.gz BEYRHFKKTHJDIC-UHFFFAOYSA-N 1 2 273.380 3.647 20 0 CHADLO Cc1ccc(NC(=O)NCc2ccc([C@H]3C[C@@H]3C)o2)c(C)[nH+]1 ZINC000531776849 328034154 /nfs/dbraw/zinc/03/41/54/328034154.db2.gz WVWQSIJOYCFSLA-HZMBPMFUSA-N 1 2 299.374 3.737 20 0 CHADLO C[C@]1(c2ccccc2)CCN(c2[nH]c3ccccc3[nH+]2)C1 ZINC000534236997 328041231 /nfs/dbraw/zinc/04/12/31/328041231.db2.gz GAFCTCATQRMOSY-SFHVURJKSA-N 1 2 277.371 3.731 20 0 CHADLO C[N@H+](Cc1cnc(C2CC2)s1)Cc1ccc(F)cc1F ZINC000534333638 328046787 /nfs/dbraw/zinc/04/67/87/328046787.db2.gz KCEBYKPFYZTWIA-UHFFFAOYSA-N 1 2 294.370 3.931 20 0 CHADLO C[N@@H+](Cc1cnc(C2CC2)s1)Cc1ccc(F)cc1F ZINC000534333638 328046788 /nfs/dbraw/zinc/04/67/88/328046788.db2.gz KCEBYKPFYZTWIA-UHFFFAOYSA-N 1 2 294.370 3.931 20 0 CHADLO CC[N@H+](Cc1cc(C(C)=O)no1)[C@@H](C)c1ccc(F)cc1 ZINC000563353645 328057403 /nfs/dbraw/zinc/05/74/03/328057403.db2.gz FAHGSAPYQWLHIM-NSHDSACASA-N 1 2 290.338 3.599 20 0 CHADLO CC[N@@H+](Cc1cc(C(C)=O)no1)[C@@H](C)c1ccc(F)cc1 ZINC000563353645 328057405 /nfs/dbraw/zinc/05/74/05/328057405.db2.gz FAHGSAPYQWLHIM-NSHDSACASA-N 1 2 290.338 3.599 20 0 CHADLO CC[C@@H]1CC[C@@H]1Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000571087209 328084254 /nfs/dbraw/zinc/08/42/54/328084254.db2.gz OAXBBOUHXVHCSF-DOMZBBRYSA-N 1 2 255.365 3.688 20 0 CHADLO CCc1nc(C)c(CNc2cc(C(F)(F)F)cc[nH+]2)o1 ZINC000292425575 328123208 /nfs/dbraw/zinc/12/32/08/328123208.db2.gz WXMWDBBRAKGOSC-UHFFFAOYSA-N 1 2 285.269 3.571 20 0 CHADLO COC1CCC(Nc2[nH+]ccc(C)c2Br)CC1 ZINC000413424484 328145136 /nfs/dbraw/zinc/14/51/36/328145136.db2.gz WXHVAAYAFBJMSA-UHFFFAOYSA-N 1 2 299.212 3.522 20 0 CHADLO C[C@@H]1C[C@H](CNc2[nH+]ccc3ccc(F)cc32)[C@@H](C)O1 ZINC000413541292 328149153 /nfs/dbraw/zinc/14/91/53/328149153.db2.gz VTNVDAWDNKCHDI-NQBHXWOUSA-N 1 2 274.339 3.599 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2nccs2)oc1C ZINC000414220051 328153265 /nfs/dbraw/zinc/15/32/65/328153265.db2.gz ITVRMGKGMOAELK-UWVGGRQHSA-N 1 2 250.367 3.765 20 0 CHADLO Cc1occc1C[N@@H+]1CCO[C@@H](c2ccc(F)c(C)c2)C1 ZINC000414393579 328162346 /nfs/dbraw/zinc/16/23/46/328162346.db2.gz GRDRWJGDADYNPV-QGZVFWFLSA-N 1 2 289.350 3.609 20 0 CHADLO Cc1occc1C[N@H+]1CCO[C@@H](c2ccc(F)c(C)c2)C1 ZINC000414393579 328162347 /nfs/dbraw/zinc/16/23/47/328162347.db2.gz GRDRWJGDADYNPV-QGZVFWFLSA-N 1 2 289.350 3.609 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+][C@H](C)c1cscn1)C(C)C ZINC000271138511 328195350 /nfs/dbraw/zinc/19/53/50/328195350.db2.gz NSHIMVVISRBDHK-OCCSQVGLSA-N 1 2 275.421 3.894 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N1CC=C(C(C)(C)C)CC1 ZINC000271150190 328195205 /nfs/dbraw/zinc/19/52/05/328195205.db2.gz SPIAANUXHKDFNH-UHFFFAOYSA-N 1 2 273.380 3.600 20 0 CHADLO Cc1nc(N[C@@H](C)c2c(F)cccc2Cl)cc[nH+]1 ZINC000175054656 329162310 /nfs/dbraw/zinc/16/23/10/329162310.db2.gz WAYWNUICOGIMCY-QMMMGPOBSA-N 1 2 265.719 3.751 20 0 CHADLO CC[C@H](C)[C@H](C)[NH2+][C@H](C(=O)OC)c1cccc(Cl)c1 ZINC000506252584 332767225 /nfs/dbraw/zinc/76/72/25/332767225.db2.gz DPPOASUTBXOZJC-MJVIPROJSA-N 1 2 283.799 3.578 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000279902885 328233641 /nfs/dbraw/zinc/23/36/41/328233641.db2.gz VFNVTPPKCQDXRS-IUODEOHRSA-N 1 2 288.391 3.636 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000279902885 328233643 /nfs/dbraw/zinc/23/36/43/328233643.db2.gz VFNVTPPKCQDXRS-IUODEOHRSA-N 1 2 288.391 3.636 20 0 CHADLO Cc1ccc(CSCCOC(C)(C)C)c(C)[nH+]1 ZINC000184820323 329262920 /nfs/dbraw/zinc/26/29/20/329262920.db2.gz ZLGPSVOHKKJAHB-UHFFFAOYSA-N 1 2 253.411 3.747 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1nc(-c2ccccc2)cs1 ZINC000292936021 328273932 /nfs/dbraw/zinc/27/39/32/328273932.db2.gz CWVPEEWVVPMZSD-CYBMUJFWSA-N 1 2 276.380 3.744 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1nc(-c2ccccc2)cs1 ZINC000292936021 328273933 /nfs/dbraw/zinc/27/39/33/328273933.db2.gz CWVPEEWVVPMZSD-CYBMUJFWSA-N 1 2 276.380 3.744 20 0 CHADLO COc1ccccc1[C@@H](C)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000366701265 328282655 /nfs/dbraw/zinc/28/26/55/328282655.db2.gz YONDLPXIXAHWHG-RDTXWAMCSA-N 1 2 296.414 3.533 20 0 CHADLO COc1ccccc1[C@@H](C)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000366701265 328282657 /nfs/dbraw/zinc/28/26/57/328282657.db2.gz YONDLPXIXAHWHG-RDTXWAMCSA-N 1 2 296.414 3.533 20 0 CHADLO COc1ccccc1[C@H](C)N[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000366701262 328282809 /nfs/dbraw/zinc/28/28/09/328282809.db2.gz YONDLPXIXAHWHG-KBXCAEBGSA-N 1 2 296.414 3.533 20 0 CHADLO COc1ccccc1[C@H](C)N[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000366701262 328282811 /nfs/dbraw/zinc/28/28/11/328282811.db2.gz YONDLPXIXAHWHG-KBXCAEBGSA-N 1 2 296.414 3.533 20 0 CHADLO Cc1ccccc1C[NH2+][C@@H](c1ncc[nH]1)c1ccc(F)cc1 ZINC000187697207 328301078 /nfs/dbraw/zinc/30/10/78/328301078.db2.gz VNHZFJKJNYLCKT-QGZVFWFLSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1cc(SCc2[nH+]ccn2C)nc2ccccc12 ZINC000048872578 328323600 /nfs/dbraw/zinc/32/36/00/328323600.db2.gz QVMPVXFTYAGIHE-UHFFFAOYSA-N 1 2 269.373 3.569 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2nc(C)c3ccccc3n2)o1 ZINC000531962189 328338328 /nfs/dbraw/zinc/33/83/28/328338328.db2.gz USFASZBKVZZRKR-CYBMUJFWSA-N 1 2 295.386 3.944 20 0 CHADLO CCOC(=O)[C@H](CC)[NH2+][C@@H](c1ccc(F)cc1)C1CCC1 ZINC000303926877 328369205 /nfs/dbraw/zinc/36/92/05/328369205.db2.gz PWPQHHCXASBRBD-JKSUJKDBSA-N 1 2 293.382 3.598 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2cc(C)oc2C)cs1 ZINC000049949224 328379707 /nfs/dbraw/zinc/37/97/07/328379707.db2.gz NCPQEVXABLDQQG-JTQLQIEISA-N 1 2 264.394 3.766 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)c2cc(C)cc(C)c2)c1 ZINC000535288996 328438192 /nfs/dbraw/zinc/43/81/92/328438192.db2.gz PPXBNKJZPKAXRO-UHFFFAOYSA-N 1 2 270.376 3.652 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)CC(C)C)c1ccc(Cl)cc1 ZINC000428086604 328490730 /nfs/dbraw/zinc/49/07/30/328490730.db2.gz ORUDTCQXZONLKO-FZMZJTMJSA-N 1 2 283.799 3.578 20 0 CHADLO CC[C@H]1CO[C@@H](C)CN1c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000535593125 328595537 /nfs/dbraw/zinc/59/55/37/328595537.db2.gz OXQKBRQOBRBVSL-KBPBESRZSA-N 1 2 291.439 3.727 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CC2(CCC2)[C@@H]2COC[C@H]21 ZINC000583932182 326800506 /nfs/dbraw/zinc/80/05/06/326800506.db2.gz YNPXRYZWPCTUIG-IAGOWNOFSA-N 1 2 294.398 3.549 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000530532638 326853481 /nfs/dbraw/zinc/85/34/81/326853481.db2.gz FLIWUQMICSBMMB-GUYCJALGSA-N 1 2 282.387 3.547 20 0 CHADLO Cc1ccc(C(=O)N2CCC2(C)C)cc1Oc1cc[nH+]cc1 ZINC000530848739 326893085 /nfs/dbraw/zinc/89/30/85/326893085.db2.gz GGIAJNZAJAVBMU-UHFFFAOYSA-N 1 2 296.370 3.807 20 0 CHADLO CCC[N@@H+]1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 ZINC000557377297 327003411 /nfs/dbraw/zinc/00/34/11/327003411.db2.gz SGOVJIQJZMDYKQ-CYBMUJFWSA-N 1 2 292.181 3.916 20 0 CHADLO CCC[N@H+]1CCO[C@@H](c2cc(F)c(Cl)cc2Cl)C1 ZINC000557377297 327003414 /nfs/dbraw/zinc/00/34/14/327003414.db2.gz SGOVJIQJZMDYKQ-CYBMUJFWSA-N 1 2 292.181 3.916 20 0 CHADLO Cc1ccc([C@H](C)c2noc(Cc3ccc[nH+]c3C)n2)cc1 ZINC000558327990 327087452 /nfs/dbraw/zinc/08/74/52/327087452.db2.gz NSPAGFGMEOULIH-ZDUSSCGKSA-N 1 2 293.370 3.824 20 0 CHADLO CC(C)c1nc(N2CC[C@H](Cc3ccc(F)cc3)C2)cc[nH+]1 ZINC000558367970 327088995 /nfs/dbraw/zinc/08/89/95/327088995.db2.gz RYFLRTLTGDBMMZ-OAHLLOKOSA-N 1 2 299.393 3.808 20 0 CHADLO CC[C@H](CC(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1 ZINC000506873738 332781485 /nfs/dbraw/zinc/78/14/85/332781485.db2.gz HOIXWQAIBOUFGE-CQSZACIVSA-N 1 2 293.370 3.857 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(OC(C)C)cc1 ZINC000091495534 327102596 /nfs/dbraw/zinc/10/25/96/327102596.db2.gz DFKANWHPMOWWLF-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(OC(F)F)cc2)o1 ZINC000223651571 327129595 /nfs/dbraw/zinc/12/95/95/327129595.db2.gz FEZVIUCYULSASC-SNVBAGLBSA-N 1 2 296.317 3.689 20 0 CHADLO CC(C)c1nc(N2CCC[C@@H]2CF)cc(C(C)(C)C)[nH+]1 ZINC000558984143 327136993 /nfs/dbraw/zinc/13/69/93/327136993.db2.gz OFECKJGZCKKPKR-GFCCVEGCSA-N 1 2 279.403 3.836 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(-c2ccncc2)c1)C(F)F ZINC000449364021 327172511 /nfs/dbraw/zinc/17/25/11/327172511.db2.gz QWWSJJHIGOCFJZ-OAHLLOKOSA-N 1 2 276.330 3.882 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000091729355 327176848 /nfs/dbraw/zinc/17/68/48/327176848.db2.gz MUAQAVWHMHVYMQ-UWVGGRQHSA-N 1 2 280.368 3.703 20 0 CHADLO Fc1ccccc1OCC[N@@H+](CC(F)F)CC1CCC1 ZINC000536420966 327236767 /nfs/dbraw/zinc/23/67/67/327236767.db2.gz XBXUYDIHQSFHDG-UHFFFAOYSA-N 1 2 287.325 3.572 20 0 CHADLO Fc1ccccc1OCC[N@H+](CC(F)F)CC1CCC1 ZINC000536420966 327236768 /nfs/dbraw/zinc/23/67/68/327236768.db2.gz XBXUYDIHQSFHDG-UHFFFAOYSA-N 1 2 287.325 3.572 20 0 CHADLO CC(C)CCc1noc(C[N@H+](C)[C@@H](C)c2ccccc2)n1 ZINC000064508751 327237719 /nfs/dbraw/zinc/23/77/19/327237719.db2.gz RUQSBMJEKHYZFT-AWEZNQCLSA-N 1 2 287.407 3.851 20 0 CHADLO CC(C)CCc1noc(C[N@@H+](C)[C@@H](C)c2ccccc2)n1 ZINC000064508751 327237721 /nfs/dbraw/zinc/23/77/21/327237721.db2.gz RUQSBMJEKHYZFT-AWEZNQCLSA-N 1 2 287.407 3.851 20 0 CHADLO Cc1ccccc1[C@@H]1CCN(c2[nH+]c3ccccc3n2C)C1 ZINC000536501805 327241943 /nfs/dbraw/zinc/24/19/43/327241943.db2.gz IVBUQATWDHKORV-OAHLLOKOSA-N 1 2 291.398 3.876 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+]CC(C)(F)F)cc1OCC ZINC000559886934 327268300 /nfs/dbraw/zinc/26/83/00/327268300.db2.gz UNJFGSIRIODSRT-LLVKDONJSA-N 1 2 287.350 3.790 20 0 CHADLO Cc1ccc2[nH+]c(/C=C\c3nc4ccccc4o3)cn2c1 ZINC000559940656 327272819 /nfs/dbraw/zinc/27/28/19/327272819.db2.gz QVTLBROWUVWYJJ-CLFYSBASSA-N 1 2 275.311 3.954 20 0 CHADLO Cc1cc(NC(=O)N2CCCC[C@H]2CC(C)C)cc[nH+]1 ZINC000119936205 327296034 /nfs/dbraw/zinc/29/60/34/327296034.db2.gz HDDYWJOIUVZWQF-HNNXBMFYSA-N 1 2 275.396 3.823 20 0 CHADLO CC(C)(CC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1)C1CC1 ZINC000560351771 327301987 /nfs/dbraw/zinc/30/19/87/327301987.db2.gz LPKBHEAUFHDEBO-UHFFFAOYSA-N 1 2 297.402 3.519 20 0 CHADLO CC[C@@H]([NH2+]C[C@H]1C[C@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC000560403749 327304896 /nfs/dbraw/zinc/30/48/96/327304896.db2.gz DUQLEAGRZBZDIE-OWCLPIDISA-N 1 2 289.419 3.500 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC000560548786 327323159 /nfs/dbraw/zinc/32/31/59/327323159.db2.gz BFQRRJVLMQWDCC-OAHLLOKOSA-N 1 2 296.418 3.948 20 0 CHADLO CC(C)[C@H]1CC[C@H]1Nc1cccc(-n2cc[nH+]c2)c1 ZINC000560549696 327323474 /nfs/dbraw/zinc/32/34/74/327323474.db2.gz RXDZAUBYTHZSLM-HZPDHXFCSA-N 1 2 255.365 3.719 20 0 CHADLO CCN(C(=O)Nc1cc[nH+]c(C)c1)[C@H](C)c1cccc(O)c1 ZINC000119372820 327331773 /nfs/dbraw/zinc/33/17/73/327331773.db2.gz URQLVXXDQOLZAB-CYBMUJFWSA-N 1 2 299.374 3.711 20 0 CHADLO Cc1ccc(NC(=O)C2(c3ccccc3)CCC2)c(C)[nH+]1 ZINC000119373743 327331886 /nfs/dbraw/zinc/33/18/86/327331886.db2.gz KABYJYBEBRIJNL-UHFFFAOYSA-N 1 2 280.371 3.759 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1nc2c(s1)CCCC2 ZINC000560897191 327350593 /nfs/dbraw/zinc/35/05/93/327350593.db2.gz BJAQEXFXQBMFGC-VHSXEESVSA-N 1 2 293.461 3.890 20 0 CHADLO C[C@@H](Cn1cccn1)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000561121491 327367607 /nfs/dbraw/zinc/36/76/07/327367607.db2.gz NHKJDSDMVCTRLE-NSHDSACASA-N 1 2 286.766 3.585 20 0 CHADLO CCCC[C@H](C)N(CCCC)C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000583450254 327373416 /nfs/dbraw/zinc/37/34/16/327373416.db2.gz JURDMKPJBWIPBK-GJZGRUSLSA-N 1 2 293.455 3.796 20 0 CHADLO CCCC[C@H](C)N(CCCC)C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000583450254 327373418 /nfs/dbraw/zinc/37/34/18/327373418.db2.gz JURDMKPJBWIPBK-GJZGRUSLSA-N 1 2 293.455 3.796 20 0 CHADLO Cc1cc(NC[C@H]2CCOC[C@H]2C)c2cccc(F)c2[nH+]1 ZINC000561397148 327392242 /nfs/dbraw/zinc/39/22/42/327392242.db2.gz MRCGSVIFZQHYOF-DGCLKSJQSA-N 1 2 288.366 3.767 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(OC(F)F)c1)c1csnn1 ZINC000398327719 327394517 /nfs/dbraw/zinc/39/45/17/327394517.db2.gz ZKOSKWSOJYFQHY-RKDXNWHRSA-N 1 2 299.346 3.551 20 0 CHADLO CC1(CC(=O)Nc2ccc3c(c2)[nH+]c2n3CCCCC2)CC1 ZINC000428311215 327395650 /nfs/dbraw/zinc/39/56/50/327395650.db2.gz BFCLCYVIXRMNHH-UHFFFAOYSA-N 1 2 297.402 3.891 20 0 CHADLO CN(C)c1ccc(NCc2cc3cc(F)ccc3o2)[nH+]c1 ZINC000150912753 327398230 /nfs/dbraw/zinc/39/82/30/327398230.db2.gz VAOCITJZTXVZQD-UHFFFAOYSA-N 1 2 285.322 3.645 20 0 CHADLO Cc1ccc(C[C@H]2CCCN(c3cccc[nH+]3)C2)cc1 ZINC000561494208 327399443 /nfs/dbraw/zinc/39/94/43/327399443.db2.gz XHHVCLFEHVJFEE-QGZVFWFLSA-N 1 2 266.388 3.849 20 0 CHADLO Cc1ccc(NC(=O)N(C)[C@@H](C)c2ccco2)c(C)[nH+]1 ZINC000152332166 327559684 /nfs/dbraw/zinc/55/96/84/327559684.db2.gz DGSVABHYBXMACO-LBPRGKRZSA-N 1 2 273.336 3.516 20 0 CHADLO CC(C)=CCC[C@@H](C)CC(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000570400157 327589014 /nfs/dbraw/zinc/58/90/14/327589014.db2.gz RLCJRGSBZBPAIN-GDBMZVCRSA-N 1 2 291.439 3.996 20 0 CHADLO Cc1cc(NC[C@H](c2ccccc2)C(F)(F)F)nc[nH+]1 ZINC000302493299 328832135 /nfs/dbraw/zinc/83/21/35/328832135.db2.gz TTZIUOAJHGOSST-GFCCVEGCSA-N 1 2 281.281 3.543 20 0 CHADLO Fc1cccc(-c2ccc(CNc3c[nH]c[nH+]3)s2)c1 ZINC000564537588 328835197 /nfs/dbraw/zinc/83/51/97/328835197.db2.gz ZDSCIVVYBPGIGE-UHFFFAOYSA-N 1 2 273.336 3.889 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(C(F)(F)F)c1)C(C)(F)F ZINC000389436439 328844749 /nfs/dbraw/zinc/84/47/49/328844749.db2.gz DASNGJMMKCDVTN-QMMMGPOBSA-N 1 2 267.241 3.839 20 0 CHADLO COc1ccc2c(c1)CCC[C@H]2[NH2+][C@H](C)C(C)(F)F ZINC000389452446 328846567 /nfs/dbraw/zinc/84/65/67/328846567.db2.gz UVRFDLMCEMMBSB-QMTHXVAHSA-N 1 2 269.335 3.706 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@H](C)C(C)(F)F)cc1 ZINC000389469089 328849629 /nfs/dbraw/zinc/84/96/29/328849629.db2.gz OAXQNYGSKNWVHV-GHMZBOCLSA-N 1 2 257.324 3.780 20 0 CHADLO Fc1ccc(-n2nccc2C[NH+]2Cc3ccccc3C2)cc1 ZINC000353662427 328855820 /nfs/dbraw/zinc/85/58/20/328855820.db2.gz YZGJCXNDZIBTSP-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@H]1CC12CCCCC2 ZINC000357317942 328869152 /nfs/dbraw/zinc/86/91/52/328869152.db2.gz CVXZFAHZHRKLHX-MRXNPFEDSA-N 1 2 295.386 3.781 20 0 CHADLO Cc1ccoc1CNc1cc[nH+]c(OCC(F)(F)F)c1 ZINC000357704079 328874561 /nfs/dbraw/zinc/87/45/61/328874561.db2.gz TVDBYGWBOXTTGL-UHFFFAOYSA-N 1 2 286.253 3.536 20 0 CHADLO C[C@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1ccc2[nH+]ccn2c1 ZINC000360706408 328910335 /nfs/dbraw/zinc/91/03/35/328910335.db2.gz XWPXHSUEFMBBQP-DZGCQCFKSA-N 1 2 299.418 3.621 20 0 CHADLO Cc1cccc(-n2ccnc2SCc2c[nH+]cn2C)c1C ZINC000360733966 328911325 /nfs/dbraw/zinc/91/13/25/328911325.db2.gz DIYLHFOLYNNFBC-UHFFFAOYSA-N 1 2 298.415 3.515 20 0 CHADLO Cc1nnc(C[NH2+][C@H]2C[C@H](C)Sc3sccc32)s1 ZINC000391828179 328981127 /nfs/dbraw/zinc/98/11/27/328981127.db2.gz YQLSDOMPGZQPOF-XVKPBYJWSA-N 1 2 297.474 3.623 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCCC[C@@H]1c1cc2ccccc2[nH]1 ZINC000378147385 329041561 /nfs/dbraw/zinc/04/15/61/329041561.db2.gz MQWXKLQDGRUXNC-GOSISDBHSA-N 1 2 294.402 3.927 20 0 CHADLO CCc1cc(OCc2cccnc2)c2ccccc2[nH+]1 ZINC000193696731 329060968 /nfs/dbraw/zinc/06/09/68/329060968.db2.gz ISVYRGNOHHUZIV-UHFFFAOYSA-N 1 2 264.328 3.771 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1cn2ccsc2n1 ZINC000170954450 329072659 /nfs/dbraw/zinc/07/26/59/329072659.db2.gz IHRBJHWKTIGOEP-GFCCVEGCSA-N 1 2 283.400 3.515 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1cn2ccsc2n1 ZINC000170954450 329072660 /nfs/dbraw/zinc/07/26/60/329072660.db2.gz IHRBJHWKTIGOEP-GFCCVEGCSA-N 1 2 283.400 3.515 20 0 CHADLO FC(F)Oc1ccccc1C[NH+]1Cc2ccccc2C1 ZINC000171573989 329077781 /nfs/dbraw/zinc/07/77/81/329077781.db2.gz VSNDYVPVCVERSG-UHFFFAOYSA-N 1 2 275.298 3.804 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nccs1)c1ccccc1)c1ccncc1 ZINC000171922086 329082309 /nfs/dbraw/zinc/08/23/09/329082309.db2.gz IHYMPGZIIVYOSW-CJNGLKHVSA-N 1 2 295.411 3.978 20 0 CHADLO CC(C)C(=O)Nc1cccc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)c1 ZINC000393332309 329085670 /nfs/dbraw/zinc/08/56/70/329085670.db2.gz STMOREZPXHPJAW-IUODEOHRSA-N 1 2 298.390 3.596 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2ccccc2)nc(C)[nH+]1 ZINC000172711250 329137964 /nfs/dbraw/zinc/13/79/64/329137964.db2.gz ACZJBEHJVIXILQ-INIZCTEOSA-N 1 2 267.376 3.689 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C(C)C)n1)c1ccncc1 ZINC000172764138 329138587 /nfs/dbraw/zinc/13/85/87/329138587.db2.gz GRIQNKZBKCTIBY-CYBMUJFWSA-N 1 2 256.353 3.558 20 0 CHADLO O=C(C1CCCCCCC1)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000172884346 329139812 /nfs/dbraw/zinc/13/98/12/329139812.db2.gz PHVVVYXTLCPBOE-HNNXBMFYSA-N 1 2 289.423 3.824 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccccn1)c1nnc(-c2ccccc2)o1 ZINC000172985425 329141666 /nfs/dbraw/zinc/14/16/66/329141666.db2.gz YZBFLAXBDSMKBM-STQMWFEESA-N 1 2 294.358 3.543 20 0 CHADLO O=C(CCC1CCC1)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000175663610 329167679 /nfs/dbraw/zinc/16/76/79/329167679.db2.gz UEPAKDWQFLWWAQ-UHFFFAOYSA-N 1 2 287.338 3.530 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccccc2C)s1 ZINC000175504113 329169161 /nfs/dbraw/zinc/16/91/61/329169161.db2.gz CVFZZQZYYAQTGD-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccccc2C)s1 ZINC000175504113 329169162 /nfs/dbraw/zinc/16/91/62/329169162.db2.gz CVFZZQZYYAQTGD-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO Cc1scc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c1C ZINC000179768508 329212162 /nfs/dbraw/zinc/21/21/62/329212162.db2.gz YMHJDQOUFXDUPM-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO Cc1cccc(CCCSCCn2cc[nH+]c2)c1 ZINC000179836461 329212726 /nfs/dbraw/zinc/21/27/26/329212726.db2.gz KRZHZMMXFYDKQH-UHFFFAOYSA-N 1 2 260.406 3.558 20 0 CHADLO Cc1ccc(NC(=O)C2C[C@@H](C)C[C@H](C)C2)c(C)[nH+]1 ZINC000180420335 329216402 /nfs/dbraw/zinc/21/64/02/329216402.db2.gz YGYKWFHYUREKSD-QWRGUYRKSA-N 1 2 260.381 3.709 20 0 CHADLO c1csc(C[NH2+]Cc2ccc(Oc3ccccc3)o2)n1 ZINC000180803056 329226710 /nfs/dbraw/zinc/22/67/10/329226710.db2.gz BDLKXWVKSRABJQ-UHFFFAOYSA-N 1 2 286.356 3.818 20 0 CHADLO Cc1cccc2[nH+]c(CSCCOC(C)(C)C)cn21 ZINC000181483583 329233586 /nfs/dbraw/zinc/23/35/86/329233586.db2.gz CXFJVRHUPSOFCA-UHFFFAOYSA-N 1 2 278.421 3.691 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(-c2ccccc2F)o1)c1cccnc1 ZINC000181641460 329235123 /nfs/dbraw/zinc/23/51/23/329235123.db2.gz WHBKYXQGOOFIKL-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CC[C@@](C)([NH2+]Cc1c(F)cccc1F)c1nccs1 ZINC000182622942 329243511 /nfs/dbraw/zinc/24/35/11/329243511.db2.gz SFDNAGAOECLLPI-CQSZACIVSA-N 1 2 282.359 3.836 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@@H]2c2cccn2C)s1 ZINC000182957745 329246057 /nfs/dbraw/zinc/24/60/57/329246057.db2.gz SNIBIKLUJXGFBL-CQSZACIVSA-N 1 2 289.448 3.942 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@@H]2c2cccn2C)s1 ZINC000182957745 329246058 /nfs/dbraw/zinc/24/60/58/329246058.db2.gz SNIBIKLUJXGFBL-CQSZACIVSA-N 1 2 289.448 3.942 20 0 CHADLO Clc1cnc(C[NH2+]Cc2ccc(Cl)cc2)s1 ZINC000225296331 329248373 /nfs/dbraw/zinc/24/83/73/329248373.db2.gz JJDAIEZHCWLOPP-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ncc(Cl)s2)o1 ZINC000225300690 329250758 /nfs/dbraw/zinc/25/07/58/329250758.db2.gz UHTDHRPHKJEQHG-QMMMGPOBSA-N 1 2 256.758 3.549 20 0 CHADLO Cc1ccc(CNc2ccc3scnc3c2)c(C)[nH+]1 ZINC000184429815 329257896 /nfs/dbraw/zinc/25/78/96/329257896.db2.gz IVJKDOPFQIKYCN-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO CCCC[C@H]([NH2+]Cc1cc2ccccc2s1)C(=O)OC ZINC000582919470 329289083 /nfs/dbraw/zinc/28/90/83/329289083.db2.gz AZWBGLJAOZUVCV-AWEZNQCLSA-N 1 2 291.416 3.723 20 0 CHADLO CCCC[C@H](C)N(C)c1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000582997120 329300032 /nfs/dbraw/zinc/30/00/32/329300032.db2.gz DWMRBFNDAJHJFQ-AWEZNQCLSA-N 1 2 284.407 3.862 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@H](C)O[C@@H](C2CC2)C1 ZINC000583081055 329311501 /nfs/dbraw/zinc/31/15/01/329311501.db2.gz CGNRNFOEFRTNER-SUMWQHHRSA-N 1 2 282.387 3.547 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@@H](C2CC2)O[C@@H](C)C1 ZINC000583081053 329311522 /nfs/dbraw/zinc/31/15/22/329311522.db2.gz CGNRNFOEFRTNER-GUYCJALGSA-N 1 2 282.387 3.547 20 0 CHADLO CC[C@H](CC1CCCCC1)C(=O)NC(C)(C)c1[nH]cc[nH+]1 ZINC000583149168 329322809 /nfs/dbraw/zinc/32/28/09/329322809.db2.gz DGUPKGQAYKWHLD-CQSZACIVSA-N 1 2 291.439 3.758 20 0 CHADLO Fc1cccc(F)c1CNc1[nH+]cccc1OC(F)F ZINC000185914556 329332512 /nfs/dbraw/zinc/33/25/12/329332512.db2.gz YGNDVJSCBQNVLR-UHFFFAOYSA-N 1 2 286.228 3.573 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2cn(C)c3ccccc23)cc[nH+]1 ZINC000186025703 329336283 /nfs/dbraw/zinc/33/62/83/329336283.db2.gz GANVPHIUTFETNH-ZDUSSCGKSA-N 1 2 293.370 3.624 20 0 CHADLO C[C@H]([NH2+][C@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccco1 ZINC000186655921 329347120 /nfs/dbraw/zinc/34/71/20/329347120.db2.gz MXVYZKXUIVHVCN-SJCJKPOMSA-N 1 2 299.349 3.592 20 0 CHADLO COc1ccc(-c2ccncc2)cc1CNc1cccc[nH+]1 ZINC000187596349 329359478 /nfs/dbraw/zinc/35/94/78/329359478.db2.gz UMMPDNPIKGACEG-UHFFFAOYSA-N 1 2 291.354 3.764 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cc(F)cc(Cl)c1 ZINC000229995313 329359756 /nfs/dbraw/zinc/35/97/56/329359756.db2.gz HRFXMFLQFBYREZ-UHFFFAOYSA-N 1 2 267.735 3.869 20 0 CHADLO Fc1ccc(C[NH2+][C@@H](c2nccs2)c2ccccc2)cn1 ZINC000189018167 329375141 /nfs/dbraw/zinc/37/51/41/329375141.db2.gz KWMCISZTFQFNLG-OAHLLOKOSA-N 1 2 299.374 3.556 20 0 CHADLO Cc1nc(N(CCC(F)(F)F)Cc2ccccc2)cc[nH+]1 ZINC000189658337 329385875 /nfs/dbraw/zinc/38/58/75/329385875.db2.gz GIXDFIFKKQNVRD-UHFFFAOYSA-N 1 2 295.308 3.744 20 0 CHADLO Fc1ccc([C@H]2C[N@H+](Cc3ccsc3)CCO2)c(F)c1 ZINC000190136234 329392435 /nfs/dbraw/zinc/39/24/35/329392435.db2.gz KISHFZSWENAVMU-OAHLLOKOSA-N 1 2 295.354 3.600 20 0 CHADLO Fc1ccc([C@H]2C[N@@H+](Cc3ccsc3)CCO2)c(F)c1 ZINC000190136234 329392437 /nfs/dbraw/zinc/39/24/37/329392437.db2.gz KISHFZSWENAVMU-OAHLLOKOSA-N 1 2 295.354 3.600 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(Cl)c1F)c1ccn(C)n1 ZINC000459803979 329401297 /nfs/dbraw/zinc/40/12/97/329401297.db2.gz ZSCUBXWQSLAYKF-VHSXEESVSA-N 1 2 281.762 3.624 20 0 CHADLO CC(C)N(C)c1ccc(CNc2ccc3c(c2)COC3)c[nH+]1 ZINC000191540002 329417207 /nfs/dbraw/zinc/41/72/07/329417207.db2.gz VHCXIWVSKJZRIH-UHFFFAOYSA-N 1 2 297.402 3.569 20 0 CHADLO CC(C)n1cncc1C[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000317771776 329543519 /nfs/dbraw/zinc/54/35/19/329543519.db2.gz WEOXPJYCKSLGMR-SFHVURJKSA-N 1 2 295.386 3.936 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(F)cc2Cl)c1 ZINC000119566573 329548241 /nfs/dbraw/zinc/54/82/41/329548241.db2.gz PNYZIHRJJAGDIF-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CC(C)CCC1CC[NH+](Cc2nccn2C(F)F)CC1 ZINC000124839066 329574494 /nfs/dbraw/zinc/57/44/94/329574494.db2.gz GTABZYJPMOEZQH-UHFFFAOYSA-N 1 2 285.382 3.926 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCC(=O)NC1(C)CCCCC1 ZINC000132757844 329648789 /nfs/dbraw/zinc/64/87/89/329648789.db2.gz VUDAEHZKUXXKMR-UHFFFAOYSA-N 1 2 299.418 3.574 20 0 CHADLO Cc1cc[nH+]c(NCc2cccs2)c1Br ZINC000235939857 329684136 /nfs/dbraw/zinc/68/41/36/329684136.db2.gz OLOBQOWASLSPPQ-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO CSc1ccc(N[C@H](c2[nH]cc[nH+]2)c2cccnc2)cc1 ZINC000195090753 329690612 /nfs/dbraw/zinc/69/06/12/329690612.db2.gz KXKAJQYDGCNZBQ-HNNXBMFYSA-N 1 2 296.399 3.728 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1ccc(C(F)(F)F)n1 ZINC000418930734 329699455 /nfs/dbraw/zinc/69/94/55/329699455.db2.gz GCBKIWUWHVAISL-UHFFFAOYSA-N 1 2 283.297 3.777 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(C2CC2)cc1)c1csnn1 ZINC000419343306 329718807 /nfs/dbraw/zinc/71/88/07/329718807.db2.gz QQMUVYFXTSOTKI-WDEREUQCSA-N 1 2 273.405 3.827 20 0 CHADLO Cc1ccc(CSCc2nnc(C3CC3)s2)c(C)[nH+]1 ZINC000419464021 329732172 /nfs/dbraw/zinc/73/21/72/329732172.db2.gz OIQDRCWCRKYUMT-UHFFFAOYSA-N 1 2 291.445 3.861 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@H]1CCOC1 ZINC000419493839 329737227 /nfs/dbraw/zinc/73/72/27/329737227.db2.gz OHEJPEUVQJNVKG-ZDUSSCGKSA-N 1 2 251.395 3.536 20 0 CHADLO c1ccc([C@H]2C[C@H]3CC[C@@H](C2)N3c2cccc[nH+]2)cc1 ZINC000509484452 332871375 /nfs/dbraw/zinc/87/13/75/332871375.db2.gz CUGKDIYWVPIWRN-BJWYYQGGSA-N 1 2 264.372 3.997 20 0 CHADLO CCc1sc(-c2nc(Cc3ccc[nH+]c3C)no2)cc1C ZINC000420510772 329772896 /nfs/dbraw/zinc/77/28/96/329772896.db2.gz LCTRATRSOYZIAP-UHFFFAOYSA-N 1 2 299.399 3.963 20 0 CHADLO c1ccc2[nH+]c(NC[C@@H]3CCCCS3)ccc2c1 ZINC000158334126 329806661 /nfs/dbraw/zinc/80/66/61/329806661.db2.gz SHYMKNLSEQRPBV-ZDUSSCGKSA-N 1 2 258.390 3.932 20 0 CHADLO Cc1c(Cl)c(C[NH2+]Cc2ccc(C(C)C)cc2)nn1C ZINC000421326083 329812519 /nfs/dbraw/zinc/81/25/19/329812519.db2.gz NGWLYHIDRYLFCK-UHFFFAOYSA-N 1 2 291.826 3.795 20 0 CHADLO CC(C)n1cc(C(C)(C)[NH2+]Cc2ccc(Cl)s2)nn1 ZINC000421400191 329821480 /nfs/dbraw/zinc/82/14/80/329821480.db2.gz JRPIKUOYOYNAFI-UHFFFAOYSA-N 1 2 298.843 3.599 20 0 CHADLO Cc1cc(CNC(=O)C[C@H](C)c2ccccc2C)cc(C)[nH+]1 ZINC000421580469 329843592 /nfs/dbraw/zinc/84/35/92/329843592.db2.gz WNTUOSMQLIURHH-AWEZNQCLSA-N 1 2 296.414 3.817 20 0 CHADLO Cc1cc(CNC(=O)[C@H]2CCCCC2(C)C)cc(C)[nH+]1 ZINC000421580953 329843609 /nfs/dbraw/zinc/84/36/09/329843609.db2.gz XHXYOIXUQUNZNU-OAHLLOKOSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(CNC(=O)CC/C=C/c2ccccc2)cc(C)[nH+]1 ZINC000421573359 329848146 /nfs/dbraw/zinc/84/81/46/329848146.db2.gz AHYKRIQQNBEBES-UXBLZVDNSA-N 1 2 294.398 3.808 20 0 CHADLO CC(C)c1ccc([C@@H](NC(=O)Cn2cc[nH+]c2)C(C)C)cc1 ZINC000073172352 329860310 /nfs/dbraw/zinc/86/03/10/329860310.db2.gz PZQMIROYNCWVOH-SFHVURJKSA-N 1 2 299.418 3.520 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2ccc(Cl)cc2)n1 ZINC000074037643 329863342 /nfs/dbraw/zinc/86/33/42/329863342.db2.gz SEKSUGLHNDWBSK-ZJUUUORDSA-N 1 2 279.771 3.697 20 0 CHADLO C[C@H]([NH2+]C1(C(F)F)CC1)c1cc(Br)cs1 ZINC000308978990 332884357 /nfs/dbraw/zinc/88/43/57/332884357.db2.gz HLGGMFHDSNGWKF-LURJTMIESA-N 1 2 296.180 3.959 20 0 CHADLO Cc1c(N[C@H](C)c2cn3ccccc3[nH+]2)cnn1CC(C)C ZINC000571938505 329932142 /nfs/dbraw/zinc/93/21/42/329932142.db2.gz GCNDHPTUXJWQJP-CYBMUJFWSA-N 1 2 297.406 3.668 20 0 CHADLO CSc1ccc(NC[C@H](C)c2ccc(F)cc2)[nH+]c1 ZINC000527111068 329963206 /nfs/dbraw/zinc/96/32/06/329963206.db2.gz DSPXZHXVYNMXNE-NSHDSACASA-N 1 2 276.380 3.580 20 0 CHADLO C[C@H](CC(=O)N1CCC[C@H](C(C)(C)C)CC1)n1cc[nH+]c1 ZINC000572368052 330011663 /nfs/dbraw/zinc/01/16/63/330011663.db2.gz RQNRJTMCHHDCFG-CABCVRRESA-N 1 2 291.439 3.509 20 0 CHADLO Cn1cccc1CNc1[nH+]cccc1-c1ccccc1 ZINC000162382135 330012271 /nfs/dbraw/zinc/01/22/71/330012271.db2.gz DIFUQFYQOKCNDF-UHFFFAOYSA-N 1 2 263.344 3.699 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432743001 330014656 /nfs/dbraw/zinc/01/46/56/330014656.db2.gz RRLFBNGWGBAWPU-NXEZZACHSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432743001 330014658 /nfs/dbraw/zinc/01/46/58/330014658.db2.gz RRLFBNGWGBAWPU-NXEZZACHSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@H]1CCc2ccccc2[N@@H+]1CC1CCC(=O)CC1 ZINC000572444030 330085514 /nfs/dbraw/zinc/08/55/14/330085514.db2.gz CGEFHAKHJFTPQY-INIZCTEOSA-N 1 2 271.404 3.977 20 0 CHADLO CC[C@H]1CCc2ccccc2[N@H+]1CC1CCC(=O)CC1 ZINC000572444030 330085515 /nfs/dbraw/zinc/08/55/15/330085515.db2.gz CGEFHAKHJFTPQY-INIZCTEOSA-N 1 2 271.404 3.977 20 0 CHADLO COc1cc(Cl)ccc1[C@H](C)[NH2+][C@@H](C)c1ccon1 ZINC000421842985 330094171 /nfs/dbraw/zinc/09/41/71/330094171.db2.gz PIARXATVIYKAJL-UWVGGRQHSA-N 1 2 280.755 3.748 20 0 CHADLO Cc1c[nH+]ccc1CCSCc1ccc2c(c1)OCO2 ZINC000421845514 330094742 /nfs/dbraw/zinc/09/47/42/330094742.db2.gz XKBATWJNPFXGEF-UHFFFAOYSA-N 1 2 287.384 3.595 20 0 CHADLO Cc1ccc(C[S@](=O)c2ccc3c(c2)CCCC3)c(C)[nH+]1 ZINC000422097965 330124527 /nfs/dbraw/zinc/12/45/27/330124527.db2.gz LXPZOHJBDONZBH-NRFANRHFSA-N 1 2 299.439 3.885 20 0 CHADLO CC(C)(C)OC(=O)CCC[NH2+][C@H](c1ccccc1)C(F)F ZINC000433041693 330129973 /nfs/dbraw/zinc/12/99/73/330129973.db2.gz DDQNRMLPGJSUGM-CQSZACIVSA-N 1 2 299.361 3.704 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)[C@H]1CCc2ccc(Cl)cc21 ZINC000580477798 330146093 /nfs/dbraw/zinc/14/60/93/330146093.db2.gz DPHOHRRPPYAGSN-AWEZNQCLSA-N 1 2 295.810 3.601 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)[C@H]1CCc2ccc(Cl)cc21 ZINC000580477798 330146094 /nfs/dbraw/zinc/14/60/94/330146094.db2.gz DPHOHRRPPYAGSN-AWEZNQCLSA-N 1 2 295.810 3.601 20 0 CHADLO Cc1[nH]c2ccccc2c1C1=CC[N@H+](Cc2ccon2)CC1 ZINC000080916355 330153257 /nfs/dbraw/zinc/15/32/57/330153257.db2.gz UBLPLGZQCUZNNF-UHFFFAOYSA-N 1 2 293.370 3.754 20 0 CHADLO Cc1[nH]c2ccccc2c1C1=CC[N@@H+](Cc2ccon2)CC1 ZINC000080916355 330153259 /nfs/dbraw/zinc/15/32/59/330153259.db2.gz UBLPLGZQCUZNNF-UHFFFAOYSA-N 1 2 293.370 3.754 20 0 CHADLO C[C@@H]1c2nnc(C3CCCC3)n2CC[N@H+]1CC1(C)CCC1 ZINC000433183743 330174701 /nfs/dbraw/zinc/17/47/01/330174701.db2.gz VNSXZJONJRDTOP-CYBMUJFWSA-N 1 2 288.439 3.503 20 0 CHADLO C[C@@H]1c2nnc(C3CCCC3)n2CC[N@@H+]1CC1(C)CCC1 ZINC000433183743 330174702 /nfs/dbraw/zinc/17/47/02/330174702.db2.gz VNSXZJONJRDTOP-CYBMUJFWSA-N 1 2 288.439 3.503 20 0 CHADLO C[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1cc2ccccc2o1 ZINC000393335898 330228237 /nfs/dbraw/zinc/22/82/37/330228237.db2.gz DOFFVIJDEBOYDQ-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO C[C@H]1Cc2ccc(Br)cc2C[N@@H+]1CC1(F)CC1 ZINC000527220807 330237815 /nfs/dbraw/zinc/23/78/15/330237815.db2.gz RVROJAUWOVHBTG-JTQLQIEISA-N 1 2 298.199 3.698 20 0 CHADLO C[C@H]1Cc2ccc(Br)cc2C[N@H+]1CC1(F)CC1 ZINC000527220807 330237817 /nfs/dbraw/zinc/23/78/17/330237817.db2.gz RVROJAUWOVHBTG-JTQLQIEISA-N 1 2 298.199 3.698 20 0 CHADLO Cc1ccc(C(=O)Cn2c[nH+]c3cc(C)c(C)cc32)cc1 ZINC000036324860 330254125 /nfs/dbraw/zinc/25/41/25/330254125.db2.gz JLECHMSKBNPNJQ-UHFFFAOYSA-N 1 2 278.355 3.844 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+]Cc1ncccc1F ZINC000527530957 330258448 /nfs/dbraw/zinc/25/84/48/330258448.db2.gz IMOZPTVQAGXKID-UHFFFAOYSA-N 1 2 278.758 3.726 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2c(F)cccc2F)ccn1 ZINC000527534411 330262979 /nfs/dbraw/zinc/26/29/79/330262979.db2.gz PUSCGTRLDVFHFK-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2c(F)cccc2F)ccn1 ZINC000527534411 330262980 /nfs/dbraw/zinc/26/29/80/330262980.db2.gz PUSCGTRLDVFHFK-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+]1CCc2nc(C(C)C)ncc2C1 ZINC000527617738 330265861 /nfs/dbraw/zinc/26/58/61/330265861.db2.gz FMVPEAZKIMJCBJ-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO Cc1cc(F)ccc1C[N@H+]1CCc2nc(C(C)C)ncc2C1 ZINC000527617738 330265862 /nfs/dbraw/zinc/26/58/62/330265862.db2.gz FMVPEAZKIMJCBJ-UHFFFAOYSA-N 1 2 299.393 3.606 20 0 CHADLO COc1c(C)cc(Cl)cc1NCc1[nH]c(C)c(C)[nH+]1 ZINC000527781546 330283074 /nfs/dbraw/zinc/28/30/74/330283074.db2.gz LUVYTOCJFPIGTM-UHFFFAOYSA-N 1 2 279.771 3.609 20 0 CHADLO COc1ccc[nH+]c1NC[C@H](OC)c1ccc(Cl)cc1 ZINC000527905651 330289910 /nfs/dbraw/zinc/28/99/10/330289910.db2.gz IIYXNNXXIMPTKK-AWEZNQCLSA-N 1 2 292.766 3.543 20 0 CHADLO CC1(C)CCC[C@@H]1CC[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000527920744 330295594 /nfs/dbraw/zinc/29/55/94/330295594.db2.gz XVSDVSIRTONNPE-CVEARBPZSA-N 1 2 298.434 3.700 20 0 CHADLO CC[C@@H]1CN(c2[nH+]ccc3ccccc32)C[C@@H](CC)O1 ZINC000528038794 330303262 /nfs/dbraw/zinc/30/32/62/330303262.db2.gz XUZPOFGNKWQMOD-HUUCEWRRSA-N 1 2 270.376 3.629 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ncccc1F)c1ccncc1Cl ZINC000528039278 330303297 /nfs/dbraw/zinc/30/32/97/330303297.db2.gz ZEGJEJAKYKYXAK-UWVGGRQHSA-N 1 2 279.746 3.681 20 0 CHADLO COc1cccc2c(N3CCC(F)(F)C[C@@H]3C)cc[nH+]c12 ZINC000528210379 330313321 /nfs/dbraw/zinc/31/33/21/330313321.db2.gz ZWYJUJXYIOUOOQ-NSHDSACASA-N 1 2 292.329 3.867 20 0 CHADLO CCCOc1cccc2c(NCC34CC(C3)CO4)cc[nH+]c12 ZINC000528185460 330314214 /nfs/dbraw/zinc/31/42/14/330314214.db2.gz XNFISQIRKHWBFB-UHFFFAOYSA-N 1 2 298.386 3.615 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2nccs2)c(F)c1 ZINC000424174986 330315243 /nfs/dbraw/zinc/31/52/43/330315243.db2.gz PSDWUTBPSWAFMR-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO Cc1ccccc1OCC[N@@H+](C)Cc1ncccc1Cl ZINC000528342467 330319546 /nfs/dbraw/zinc/31/95/46/330319546.db2.gz YXYCYXBYYBACHP-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO Cc1ccccc1OCC[N@H+](C)Cc1ncccc1Cl ZINC000528342467 330319547 /nfs/dbraw/zinc/31/95/47/330319547.db2.gz YXYCYXBYYBACHP-UHFFFAOYSA-N 1 2 290.794 3.554 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncccc2Cl)cc1 ZINC000528345221 330321119 /nfs/dbraw/zinc/32/11/19/330321119.db2.gz QBMBKDQPXBELCG-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncccc2Cl)cc1 ZINC000528345221 330321120 /nfs/dbraw/zinc/32/11/20/330321120.db2.gz QBMBKDQPXBELCG-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H]2C[C@@]2(F)c2ccccc2)s1 ZINC000424189415 330322307 /nfs/dbraw/zinc/32/23/07/330322307.db2.gz DMUIDPMBCZQASJ-GDBMZVCRSA-N 1 2 290.407 3.993 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(-c3ccccc3)cc2)no1 ZINC000044412120 330328030 /nfs/dbraw/zinc/32/80/30/330328030.db2.gz OCYJYELRKGVOHV-UHFFFAOYSA-N 1 2 278.355 3.940 20 0 CHADLO CC(C)CC(=O)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000044567491 330330519 /nfs/dbraw/zinc/33/05/19/330330519.db2.gz ZMWSFDOCILLJPT-UHFFFAOYSA-N 1 2 297.402 3.871 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2nccs2)c(Cl)c1 ZINC000424261314 330332531 /nfs/dbraw/zinc/33/25/31/330332531.db2.gz DVUFRYPAJUIKNO-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CCSCC[C@@H](C)N(C)c1cc[nH+]c2ccncc21 ZINC000433420815 330356700 /nfs/dbraw/zinc/35/67/00/330356700.db2.gz ABQTXCRIAGSUKJ-GFCCVEGCSA-N 1 2 275.421 3.598 20 0 CHADLO Cc1cc(NC(=O)NCC2(Cc3ccccc3)CC2)cc[nH+]1 ZINC000119451249 330374358 /nfs/dbraw/zinc/37/43/58/330374358.db2.gz RJONNEKBHWXAAK-UHFFFAOYSA-N 1 2 295.386 3.534 20 0 CHADLO CC[C@H](Cc1ccccc1)N(C)C(=O)Nc1cc[nH+]c(C)c1 ZINC000119494534 330375874 /nfs/dbraw/zinc/37/58/74/330375874.db2.gz POACSNIRHAJSAZ-QGZVFWFLSA-N 1 2 297.402 3.875 20 0 CHADLO Clc1cnccc1C[N@@H+]1CCOC2(C1)CCCCCC2 ZINC000119639629 330378046 /nfs/dbraw/zinc/37/80/46/330378046.db2.gz XAGQWZKGNYVSRM-UHFFFAOYSA-N 1 2 294.826 3.660 20 0 CHADLO Clc1cnccc1C[N@H+]1CCOC2(C1)CCCCCC2 ZINC000119639629 330378047 /nfs/dbraw/zinc/37/80/47/330378047.db2.gz XAGQWZKGNYVSRM-UHFFFAOYSA-N 1 2 294.826 3.660 20 0 CHADLO Cc1cc(NC(=O)N2CCC[C@@H]2C2CCCCC2)cc[nH+]1 ZINC000119747158 330379512 /nfs/dbraw/zinc/37/95/12/330379512.db2.gz JTPOIMKABSHGQX-MRXNPFEDSA-N 1 2 287.407 3.967 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H]1CCCC[C@H]1C(C)C ZINC000120591056 330390575 /nfs/dbraw/zinc/39/05/75/330390575.db2.gz GHBIJUPJGKSASB-DZGCQCFKSA-N 1 2 275.396 3.726 20 0 CHADLO c1cn(CCSCCOc2cccc3ccccc32)c[nH+]1 ZINC000090200817 330391180 /nfs/dbraw/zinc/39/11/80/330391180.db2.gz YNCMKEGOKXCITF-UHFFFAOYSA-N 1 2 298.411 3.849 20 0 CHADLO c1csc(-c2cc(CSCCn3cc[nH+]c3)no2)c1 ZINC000090199793 330391191 /nfs/dbraw/zinc/39/11/91/330391191.db2.gz SVQYWNOSVITFND-UHFFFAOYSA-N 1 2 291.401 3.533 20 0 CHADLO C[C@@H](CCc1ccccc1)SCCn1cc[nH+]c1 ZINC000090200598 330391234 /nfs/dbraw/zinc/39/12/34/330391234.db2.gz JYBRCZOCASPVEN-AWEZNQCLSA-N 1 2 260.406 3.638 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H]1CCC[C@@H]1c1ccccc1 ZINC000122023527 330409226 /nfs/dbraw/zinc/40/92/26/330409226.db2.gz IJDBDGJHYLRQLQ-WBVHZDCISA-N 1 2 295.386 3.848 20 0 CHADLO CC(C)[C@@H]([NH2+]C/C=C/c1ccccc1)C(=O)OC(C)(C)C ZINC000537346098 330433571 /nfs/dbraw/zinc/43/35/71/330433571.db2.gz YQPPNSAVYWANOK-ONOODXEBSA-N 1 2 289.419 3.656 20 0 CHADLO CC[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)C1CC1 ZINC000537428247 330436669 /nfs/dbraw/zinc/43/66/69/330436669.db2.gz WWTHMPFETREKCZ-HNNXBMFYSA-N 1 2 259.397 3.672 20 0 CHADLO COc1cc(C)[nH+]c(COc2ccc(OCC3CC3)cc2)c1 ZINC000537652449 330451820 /nfs/dbraw/zinc/45/18/20/330451820.db2.gz PUXLXLXUAGCBQZ-UHFFFAOYSA-N 1 2 299.370 3.766 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000424748114 330461581 /nfs/dbraw/zinc/46/15/81/330461581.db2.gz AUXQQJVHYLGXHZ-CJNGLKHVSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1ccc([S@@](=O)Cc2cn3cccc(C)c3[nH+]2)c(C)c1 ZINC000083977405 330483788 /nfs/dbraw/zinc/48/37/88/330483788.db2.gz RPXMXKQBUVPPDT-OAQYLSRUSA-N 1 2 298.411 3.567 20 0 CHADLO CCc1cc(N(C)[C@H]2CC[C@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000428681909 330529537 /nfs/dbraw/zinc/52/95/37/330529537.db2.gz PHPINYDHZWWKJY-BBRMVZONSA-N 1 2 296.418 3.726 20 0 CHADLO Cc1nc(C(C)C)oc1C[NH2+][C@@H](C)c1c(F)cncc1F ZINC000428960034 330535502 /nfs/dbraw/zinc/53/55/02/330535502.db2.gz ZNVKOHIBRHBZGA-JTQLQIEISA-N 1 2 295.333 3.630 20 0 CHADLO Fc1cc(-c2ccccc2)ccc1C[NH2+]Cc1csnn1 ZINC000430214557 330564278 /nfs/dbraw/zinc/56/42/78/330564278.db2.gz ASOMIQIJPPEFOD-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)CC2CC(C)(C)C2)c1 ZINC000431344510 330583739 /nfs/dbraw/zinc/58/37/39/330583739.db2.gz MWCAZPJZYPKTLL-UHFFFAOYSA-N 1 2 297.402 3.852 20 0 CHADLO Cc1ccc(CN(C)c2[nH+]c3ccc(F)cc3n2C)s1 ZINC000431425765 330584413 /nfs/dbraw/zinc/58/44/13/330584413.db2.gz GWXAHKWPFSUGAL-UHFFFAOYSA-N 1 2 289.379 3.719 20 0 CHADLO Clc1cccc(-c2cnc(COc3cc[nH+]cc3)o2)c1 ZINC000431517122 330586171 /nfs/dbraw/zinc/58/61/71/330586171.db2.gz HMTXDKINAWDFBT-UHFFFAOYSA-N 1 2 286.718 3.969 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@H](C)C[C@H]2c2ccco2)[nH+]1 ZINC000433767048 330630856 /nfs/dbraw/zinc/63/08/56/330630856.db2.gz STFXSKNZKPJVIZ-FZMZJTMJSA-N 1 2 296.374 3.837 20 0 CHADLO CCS[C@H]1CCCC[C@H]1Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000433792009 330631393 /nfs/dbraw/zinc/63/13/93/330631393.db2.gz KXQSENGTJTZBAG-OLZOCXBDSA-N 1 2 290.436 3.743 20 0 CHADLO CCC[C@H]1CCC[C@H]1C(=O)Nc1ccc(C)[nH+]c1C ZINC000450150831 330641488 /nfs/dbraw/zinc/64/14/88/330641488.db2.gz WRRMGULXHZNXMJ-UONOGXRCSA-N 1 2 260.381 3.853 20 0 CHADLO CCSCc1cc[nH+]c(NCC2(C)CCC2)c1 ZINC000434779872 330645090 /nfs/dbraw/zinc/64/50/90/330645090.db2.gz XQCKMXZNFDHOPS-UHFFFAOYSA-N 1 2 250.411 3.937 20 0 CHADLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)CCC(C)(C)C ZINC000435996040 330667354 /nfs/dbraw/zinc/66/73/54/330667354.db2.gz OPRLZIALUHPXIW-AWEZNQCLSA-N 1 2 291.439 3.783 20 0 CHADLO CCc1ccc(NC(=O)[C@@H](C)[NH+]2Cc3ccccc3C2)cc1 ZINC000155540180 330686027 /nfs/dbraw/zinc/68/60/27/330686027.db2.gz OVKAIXMOJSQVTM-CQSZACIVSA-N 1 2 294.398 3.592 20 0 CHADLO COc1ccccc1NCc1c[nH+]cn1Cc1ccccc1 ZINC000438311774 330721420 /nfs/dbraw/zinc/72/14/20/330721420.db2.gz HNAIMGAEUKWSNZ-UHFFFAOYSA-N 1 2 293.370 3.552 20 0 CHADLO CCCSCc1cn2cc(Br)ccc2[nH+]1 ZINC000439550720 330740257 /nfs/dbraw/zinc/74/02/57/330740257.db2.gz QIEMUJSJIRDTKO-UHFFFAOYSA-N 1 2 285.210 3.740 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]Cc2ccc(C(C)C)cc2)no1 ZINC000441209799 330763043 /nfs/dbraw/zinc/76/30/43/330763043.db2.gz ZQBLXBFFXMWXFL-UHFFFAOYSA-N 1 2 287.407 3.780 20 0 CHADLO CC1(C)C[NH+](Cc2ccc(Cl)s2)CC(C)(C)O1 ZINC000442880605 330790314 /nfs/dbraw/zinc/79/03/14/330790314.db2.gz YWGCTRYEKQSBGM-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO CC(C)[C@H]1CCCN(c2cc[nH+]c3ccncc32)CC1 ZINC000444070269 330809770 /nfs/dbraw/zinc/80/97/70/330809770.db2.gz AQTFUCTVEJOFJY-AWEZNQCLSA-N 1 2 269.392 3.892 20 0 CHADLO Cc1cc2[nH+]cn(CC(=O)CCC(C)C)c2cc1C ZINC000446065434 330843621 /nfs/dbraw/zinc/84/36/21/330843621.db2.gz XVYWEUHPVSFXDI-UHFFFAOYSA-N 1 2 258.365 3.658 20 0 CHADLO Cc1ccc(NC(=O)[C@@]2(C)CCc3ccccc3C2)c(C)[nH+]1 ZINC000446436423 330848937 /nfs/dbraw/zinc/84/89/37/330848937.db2.gz QVLQRAGSOCSNLI-IBGZPJMESA-N 1 2 294.398 3.832 20 0 CHADLO CCn1cc[nH+]c1CN1C[C@@H](C(C)C)c2ccccc21 ZINC000447096704 330861355 /nfs/dbraw/zinc/86/13/55/330861355.db2.gz IXHGHLAARQEABQ-HNNXBMFYSA-N 1 2 269.392 3.663 20 0 CHADLO CCc1cc(OC[C@H]2CCO[C@@H](C)C2)c2ccccc2[nH+]1 ZINC000447323401 330864750 /nfs/dbraw/zinc/86/47/50/330864750.db2.gz GBAJRGCYFWPJLG-KBPBESRZSA-N 1 2 285.387 3.991 20 0 CHADLO Fc1ccc2cc[nH+]c(NC[C@@H]3CCSC3)c2c1 ZINC000447808704 330877438 /nfs/dbraw/zinc/87/74/38/330877438.db2.gz WFUFYFZQJNPUOQ-JTQLQIEISA-N 1 2 262.353 3.539 20 0 CHADLO CC(C)C[C@@H]1C[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448839769 330885527 /nfs/dbraw/zinc/88/55/27/330885527.db2.gz FFNBKMWTFTWJHU-UKRRQHHQSA-N 1 2 283.375 3.697 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccnn2C2CCCC2)n1 ZINC000449116372 330900044 /nfs/dbraw/zinc/90/00/44/330900044.db2.gz LADNPXRTNVHRFM-GFCCVEGCSA-N 1 2 290.436 3.614 20 0 CHADLO Cc1cccc(C[NH2+]Cc2ccn(C3CCCC3)n2)c1F ZINC000449197713 330905586 /nfs/dbraw/zinc/90/55/86/330905586.db2.gz XEGAEYZOIZPAPZ-UHFFFAOYSA-N 1 2 287.382 3.736 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc3c(c2)OCCCCO3)c1 ZINC000449207072 330906121 /nfs/dbraw/zinc/90/61/21/330906121.db2.gz MHFVRAKRUTUSJX-UHFFFAOYSA-N 1 2 284.359 3.554 20 0 CHADLO COc1cc(C)ccc1CNc1ccc(N2CCCC2)[nH+]c1 ZINC000449216071 330906769 /nfs/dbraw/zinc/90/67/69/330906769.db2.gz ZXMYSQFEQYKEBT-UHFFFAOYSA-N 1 2 297.402 3.611 20 0 CHADLO CCCOc1ccc(C[NH2+][C@H](CC)C(F)F)cc1OC ZINC000449360184 330918528 /nfs/dbraw/zinc/91/85/28/330918528.db2.gz IZQYIJVVSFQLMU-GFCCVEGCSA-N 1 2 287.350 3.617 20 0 CHADLO CC[C@@H]([NH2+]C/C(C)=C/c1ccccc1COC)C(F)F ZINC000449358913 330918539 /nfs/dbraw/zinc/91/85/39/330918539.db2.gz GTDJERHKHNZVAC-SAAWKEMMSA-N 1 2 283.362 3.870 20 0 CHADLO FC(F)(F)c1cc(Cl)cc(C[NH+]2CC3(CC3)C2)c1 ZINC000449370244 330919444 /nfs/dbraw/zinc/91/94/44/330919444.db2.gz ISFNDAGQJBWIPF-UHFFFAOYSA-N 1 2 275.701 3.955 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(C2CCCC2)cc1 ZINC000449382699 330921195 /nfs/dbraw/zinc/92/11/95/330921195.db2.gz XYRCPGICGNDWDQ-UHFFFAOYSA-N 1 2 253.336 3.741 20 0 CHADLO Cc1nc(C[NH+]2CC(c3ccc(Cl)cc3)C2)cs1 ZINC000449554108 330936229 /nfs/dbraw/zinc/93/62/29/330936229.db2.gz AISSSNCBFCKMNB-UHFFFAOYSA-N 1 2 278.808 3.704 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000449837991 330951646 /nfs/dbraw/zinc/95/16/46/330951646.db2.gz YREAXOQOAJRTTJ-CABCVRRESA-N 1 2 291.439 3.578 20 0 CHADLO Fc1cc2[nH+]ccc(N[C@H]3CCCOC3)c2cc1Cl ZINC000450314515 330972207 /nfs/dbraw/zinc/97/22/07/330972207.db2.gz BQPSCGNTPWKHOI-VIFPVBQESA-N 1 2 280.730 3.618 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H](C)CC(C)(C)OC ZINC000450352174 330974254 /nfs/dbraw/zinc/97/42/54/330974254.db2.gz BCZGYHSHDBDAGO-LBPRGKRZSA-N 1 2 288.391 3.859 20 0 CHADLO COc1cccc2c1cc[nH+]c2N1[C@@H](C)CC[C@@H]1C ZINC000450379077 330975376 /nfs/dbraw/zinc/97/53/76/330975376.db2.gz NVNAHTOVRRJHEC-RYUDHWBXSA-N 1 2 256.349 3.621 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccccc1Cl ZINC000450505162 330984260 /nfs/dbraw/zinc/98/42/60/330984260.db2.gz BRSVGHBBTLGUKV-VIFPVBQESA-N 1 2 293.823 3.920 20 0 CHADLO CO[C@@H](C)[C@@H](C)Nc1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450531866 330985193 /nfs/dbraw/zinc/98/51/93/330985193.db2.gz LLSFVVJCXXSDGB-ZJUUUORDSA-N 1 2 298.308 3.511 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccc(F)cc1F ZINC000450659733 330991726 /nfs/dbraw/zinc/99/17/26/330991726.db2.gz IQOZPWARRJCJTJ-MRVPVSSYSA-N 1 2 295.358 3.545 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nnc(C3CC3)s2)cc1F ZINC000450680607 330993175 /nfs/dbraw/zinc/99/31/75/330993175.db2.gz LBOULBNDTALOBK-JTQLQIEISA-N 1 2 291.395 3.714 20 0 CHADLO C[C@@H]1C[C@H](Nc2nc3ccccc3s2)c2[nH+]ccn21 ZINC000450835986 331001813 /nfs/dbraw/zinc/00/18/13/331001813.db2.gz YHFGZOKTEMIAAU-KOLCDFICSA-N 1 2 270.361 3.611 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2nnc(C(C)C)s2)c1 ZINC000450855393 331003032 /nfs/dbraw/zinc/00/30/32/331003032.db2.gz FXXNGXQXPURCIQ-UHFFFAOYSA-N 1 2 289.448 3.910 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2nnc(C(C)C)s2)c1 ZINC000450855393 331003033 /nfs/dbraw/zinc/00/30/33/331003033.db2.gz FXXNGXQXPURCIQ-UHFFFAOYSA-N 1 2 289.448 3.910 20 0 CHADLO CO[C@@H]([C@@H](C)Nc1[nH+]ccc2ccc(F)cc21)C1CC1 ZINC000450864350 331003554 /nfs/dbraw/zinc/00/35/54/331003554.db2.gz VEYMMXXLSHPSAG-BMIGLBTASA-N 1 2 274.339 3.599 20 0 CHADLO Cc1cc(N2CC3(CCC3)[C@H]2c2ccco2)nc(C2CC2)[nH+]1 ZINC000450866691 331003740 /nfs/dbraw/zinc/00/37/40/331003740.db2.gz XPFPSXRNVLYCKW-MRXNPFEDSA-N 1 2 295.386 3.987 20 0 CHADLO Cc1cc2[nH+]cn([C@H]3C[C@H](C)CCC3=O)c2cc1C ZINC000450916355 331007135 /nfs/dbraw/zinc/00/71/35/331007135.db2.gz UZXMBNTUPGKMNB-BMIGLBTASA-N 1 2 256.349 3.583 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ncc(-c3cccc(F)c3)o2)C1 ZINC000451111251 331015887 /nfs/dbraw/zinc/01/58/87/331015887.db2.gz FFGUYAXOYVLJQL-INIZCTEOSA-N 1 2 292.329 3.805 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ncc(-c3cccc(F)c3)o2)C1 ZINC000451111251 331015888 /nfs/dbraw/zinc/01/58/88/331015888.db2.gz FFGUYAXOYVLJQL-INIZCTEOSA-N 1 2 292.329 3.805 20 0 CHADLO CC(C)c1ccccc1NC(=O)C[N@@H+]1CCC[C@@](C)(F)C1 ZINC000451113626 331016034 /nfs/dbraw/zinc/01/60/34/331016034.db2.gz BVGWUFHELFGGHE-QGZVFWFLSA-N 1 2 292.398 3.573 20 0 CHADLO CC(C)c1ccccc1NC(=O)C[N@H+]1CCC[C@@](C)(F)C1 ZINC000451113626 331016035 /nfs/dbraw/zinc/01/60/35/331016035.db2.gz BVGWUFHELFGGHE-QGZVFWFLSA-N 1 2 292.398 3.573 20 0 CHADLO CC(C)(C)c1csc(C[N@@H+]2CCC[C@](C)(F)C2)n1 ZINC000451117595 331016155 /nfs/dbraw/zinc/01/61/55/331016155.db2.gz VICCVRJKHFAZCQ-AWEZNQCLSA-N 1 2 270.417 3.765 20 0 CHADLO CC(C)(C)c1csc(C[N@H+]2CCC[C@](C)(F)C2)n1 ZINC000451117595 331016156 /nfs/dbraw/zinc/01/61/56/331016156.db2.gz VICCVRJKHFAZCQ-AWEZNQCLSA-N 1 2 270.417 3.765 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@@](C)(F)C2)cs1 ZINC000451124845 331016662 /nfs/dbraw/zinc/01/66/62/331016662.db2.gz YJEKEABMTKCUQK-CQSZACIVSA-N 1 2 270.417 3.810 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@@](C)(F)C2)cs1 ZINC000451124845 331016663 /nfs/dbraw/zinc/01/66/63/331016663.db2.gz YJEKEABMTKCUQK-CQSZACIVSA-N 1 2 270.417 3.810 20 0 CHADLO CCCc1ncc(C[NH2+][C@H](C)c2ccccc2F)o1 ZINC000451240034 331021521 /nfs/dbraw/zinc/02/15/21/331021521.db2.gz GQWXTEWOWOKUPE-LLVKDONJSA-N 1 2 262.328 3.617 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@H+](Cc1noc(C3CC3)n1)C2 ZINC000451261101 331022255 /nfs/dbraw/zinc/02/22/55/331022255.db2.gz LGYDPDFLDRBRAO-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO Cc1cccc2c1CC(C)(C)[N@@H+](Cc1noc(C3CC3)n1)C2 ZINC000451261101 331022256 /nfs/dbraw/zinc/02/22/56/331022256.db2.gz LGYDPDFLDRBRAO-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2F)nc1 ZINC000451966125 331048778 /nfs/dbraw/zinc/04/87/78/331048778.db2.gz DQUHGNKEGHJXMA-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2F)nc1 ZINC000451966125 331048779 /nfs/dbraw/zinc/04/87/79/331048779.db2.gz DQUHGNKEGHJXMA-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO C[C@@H](CCC(=O)Nc1c[nH+]c2n1CCCC2)c1ccccc1 ZINC000452333835 331062590 /nfs/dbraw/zinc/06/25/90/331062590.db2.gz KSPXBIUCFVAEMO-AWEZNQCLSA-N 1 2 297.402 3.742 20 0 CHADLO O=C(Nc1c[nH+]c2n1CCCC2)c1ccc2sccc2c1 ZINC000452334396 331062672 /nfs/dbraw/zinc/06/26/72/331062672.db2.gz MODSHNKUOSFTFQ-UHFFFAOYSA-N 1 2 297.383 3.686 20 0 CHADLO Cc1cc(Cl)c(NC(=O)C[NH+]2CCC(C)CC2)cc1C ZINC000452886244 331080044 /nfs/dbraw/zinc/08/00/44/331080044.db2.gz RJDFVTSESJQQHE-UHFFFAOYSA-N 1 2 294.826 3.627 20 0 CHADLO CC(C)CC(CC(C)C)c1nc(Cc2c[nH+]cn2C)no1 ZINC000453153628 331094745 /nfs/dbraw/zinc/09/47/45/331094745.db2.gz QIMSXOVPAOOOSX-UHFFFAOYSA-N 1 2 290.411 3.570 20 0 CHADLO Cc1c(N[C@H]2C[C@@H](C)n3cc[nH+]c32)cnn1CCC(C)C ZINC000453175917 331097411 /nfs/dbraw/zinc/09/74/11/331097411.db2.gz DBKXVLMOANBOKY-OCCSQVGLSA-N 1 2 287.411 3.552 20 0 CHADLO CCc1ccc(N[C@H](C)c2[nH+]ccn2CC)cc1C ZINC000453186994 331098839 /nfs/dbraw/zinc/09/88/39/331098839.db2.gz UZZHQKPKHKRIEA-CYBMUJFWSA-N 1 2 257.381 3.947 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1cccc([C@@H](C)OC)c1 ZINC000453189471 331099223 /nfs/dbraw/zinc/09/92/23/331099223.db2.gz RVHLQBUUYPZNOK-CHWSQXEVSA-N 1 2 273.380 3.784 20 0 CHADLO CCc1nc(C)c([C@H](C)[NH2+][C@H](CC)C(F)F)s1 ZINC000453250730 331107694 /nfs/dbraw/zinc/10/76/94/331107694.db2.gz SNHYNIVGANKGGT-IONNQARKSA-N 1 2 262.369 3.708 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(OC)c(F)c1)C(F)F ZINC000453252207 331107882 /nfs/dbraw/zinc/10/78/82/331107882.db2.gz VCGXIYHPQGDHEZ-LDYMZIIASA-N 1 2 261.287 3.529 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1cnn(Cc2ccccc2)c1 ZINC000453273982 331109812 /nfs/dbraw/zinc/10/98/12/331109812.db2.gz ALHJQNXHXWUXBG-STQMWFEESA-N 1 2 293.361 3.626 20 0 CHADLO Cc1nn(C)c2sc([C@@H](C)[NH2+][C@H](C)C(C)(F)F)cc12 ZINC000453291562 331111955 /nfs/dbraw/zinc/11/19/55/331111955.db2.gz NOFLIWKFTBTBFF-RKDXNWHRSA-N 1 2 287.379 3.638 20 0 CHADLO CC[C@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(C(=O)OC)cc1 ZINC000453297525 331112728 /nfs/dbraw/zinc/11/27/28/331112728.db2.gz RWVNLANVXKENAA-MFKMUULPSA-N 1 2 285.334 3.558 20 0 CHADLO CC(C)(Cc1[nH]c2ccccc2[nH+]1)c1nc(C2(C)CC2)no1 ZINC000453300415 331113078 /nfs/dbraw/zinc/11/30/78/331113078.db2.gz MMZYPZLZKJCGJF-UHFFFAOYSA-N 1 2 296.374 3.518 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2nn(C)cc2Cl)c1C ZINC000453317103 331115717 /nfs/dbraw/zinc/11/57/17/331115717.db2.gz IRSJSOJVQNREHE-LBPRGKRZSA-N 1 2 277.799 3.541 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1cnn(C2CCCC2)c1 ZINC000453356209 331121658 /nfs/dbraw/zinc/12/16/58/331121658.db2.gz PAMBJBWEIGXLHP-XEZPLFJOSA-N 1 2 299.422 3.551 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H](C)c2ccc(Cl)cn2)o1 ZINC000453380195 331125573 /nfs/dbraw/zinc/12/55/73/331125573.db2.gz KYRVJQWXHQOSTR-JTQLQIEISA-N 1 2 279.771 3.526 20 0 CHADLO CCC1C[NH+](Cc2csc(COc3ccccc3)n2)C1 ZINC000453601831 331135933 /nfs/dbraw/zinc/13/59/33/331135933.db2.gz HXONMUZEKSBOOO-UHFFFAOYSA-N 1 2 288.416 3.564 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nnc(-c3ccccc3)o2)c(C)o1 ZINC000195087969 331137845 /nfs/dbraw/zinc/13/78/45/331137845.db2.gz TWMZURMHSOYVHL-LBPRGKRZSA-N 1 2 297.358 3.797 20 0 CHADLO CC[C@H](C)Oc1cccc(NC(=O)Nc2cc[nH+]cc2C)c1 ZINC000455040398 331159435 /nfs/dbraw/zinc/15/94/35/331159435.db2.gz WHFUHIJPOCHXQM-ZDUSSCGKSA-N 1 2 299.374 3.633 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCC(C)(C)C(C)(C)C ZINC000455437729 331169948 /nfs/dbraw/zinc/16/99/48/331169948.db2.gz KOYMHLGOJUVIAK-UHFFFAOYSA-N 1 2 277.412 3.892 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC2=CCCCC2)c(C)[nH+]1 ZINC000455466509 331170417 /nfs/dbraw/zinc/17/04/17/331170417.db2.gz AGMQKMXITNFGGV-UHFFFAOYSA-N 1 2 273.380 3.629 20 0 CHADLO CC[C@H]1CCC[C@@H]1NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455484655 331170762 /nfs/dbraw/zinc/17/07/62/331170762.db2.gz JUSOPFLNWCUSMN-KBPBESRZSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCc3cccc(C)c32)c(C)[nH+]1 ZINC000455539665 331172626 /nfs/dbraw/zinc/17/26/26/331172626.db2.gz XZHZKBBBZUAGRR-UHFFFAOYSA-N 1 2 295.386 3.910 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1ccc2sccc2c1 ZINC000456337490 331190299 /nfs/dbraw/zinc/19/02/99/331190299.db2.gz AXJWVEOEXSMXCI-NSHDSACASA-N 1 2 299.399 3.609 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C1=C(C)CC(C)(C)CC1 ZINC000456344217 331190343 /nfs/dbraw/zinc/19/03/43/331190343.db2.gz SQDDMHWYUDPYEQ-ZDUSSCGKSA-N 1 2 289.423 3.607 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)[C@H]1CC[C@H](C(C)C)CC1 ZINC000456351728 331190547 /nfs/dbraw/zinc/19/05/47/331190547.db2.gz LBFKJXBMDSXORF-RBSFLKMASA-N 1 2 291.439 3.543 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1ccc(C(C)(C)C)cc1 ZINC000456354406 331190620 /nfs/dbraw/zinc/19/06/20/331190620.db2.gz PHEABDYXGRMZCW-ZDUSSCGKSA-N 1 2 299.418 3.692 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21 ZINC000456357531 331190722 /nfs/dbraw/zinc/19/07/22/331190722.db2.gz BYPFBCHKZUYVDA-CFVMTHIKSA-N 1 2 289.423 3.612 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)CC[C@H](C)c1ccccc1 ZINC000456369739 331191407 /nfs/dbraw/zinc/19/14/07/331191407.db2.gz YBTQBKIOISFBEQ-GJZGRUSLSA-N 1 2 299.418 3.664 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)[C@H](c1ccccc1)C(C)C ZINC000456372620 331191457 /nfs/dbraw/zinc/19/14/57/331191457.db2.gz ZJZHGQUASUMLMG-ZBFHGGJFSA-N 1 2 299.418 3.520 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H]2CC[C@H](C(C)C)CC2)c[nH+]1 ZINC000456833994 331207019 /nfs/dbraw/zinc/20/70/19/331207019.db2.gz HIRUMNBQUFVCCT-WKILWMFISA-N 1 2 288.435 3.777 20 0 CHADLO CCC[C@@H](C(=O)NCc1c[nH+]c(C)cc1C)c1ccccc1 ZINC000456838696 331207054 /nfs/dbraw/zinc/20/70/54/331207054.db2.gz CMNBCIJEUPPHPY-GOSISDBHSA-N 1 2 296.414 3.899 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2(CC(C)C)CCC2)c[nH+]1 ZINC000456843476 331207309 /nfs/dbraw/zinc/20/73/09/331207309.db2.gz QQWCJCGUAFYILR-UHFFFAOYSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@@H](C)C2CCCCC2)c[nH+]1 ZINC000456843214 331207354 /nfs/dbraw/zinc/20/73/54/331207354.db2.gz HBAJOBBWUGPDCT-CQSZACIVSA-N 1 2 288.435 3.921 20 0 CHADLO Cc1cc(C)c(CNC(=O)CC(C)(C)c2ccccc2)c[nH+]1 ZINC000456860425 331207720 /nfs/dbraw/zinc/20/77/20/331207720.db2.gz NTHROEQRYXJGEP-UHFFFAOYSA-N 1 2 296.414 3.683 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2sccc2C(C)C)c[nH+]1 ZINC000456870010 331208044 /nfs/dbraw/zinc/20/80/44/331208044.db2.gz ZHCOYUZDZHQRLK-UHFFFAOYSA-N 1 2 288.416 3.813 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H](C)C1CCC1 ZINC000457777955 331234081 /nfs/dbraw/zinc/23/40/81/331234081.db2.gz GOBMRUSBHMJZSM-GFCCVEGCSA-N 1 2 283.375 3.760 20 0 CHADLO CCCCCN(C(=O)N[C@H](C)c1[nH+]ccn1CC)C(C)C ZINC000459095358 331270220 /nfs/dbraw/zinc/27/02/20/331270220.db2.gz DEMZTQUTLCGURA-CQSZACIVSA-N 1 2 294.443 3.574 20 0 CHADLO CCOc1cc(C[N@H+](CC(F)F)CC2CCC2)ccc1O ZINC000459349405 331277136 /nfs/dbraw/zinc/27/71/36/331277136.db2.gz HSWQQPTXROMIOP-UHFFFAOYSA-N 1 2 299.361 3.658 20 0 CHADLO CCOc1cc(C[N@@H+](CC(F)F)CC2CCC2)ccc1O ZINC000459349405 331277137 /nfs/dbraw/zinc/27/71/37/331277137.db2.gz HSWQQPTXROMIOP-UHFFFAOYSA-N 1 2 299.361 3.658 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc(C2CC2)cc1 ZINC000459343073 331277219 /nfs/dbraw/zinc/27/72/19/331277219.db2.gz LHEJTLKARNVHMY-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc(C2CC2)cc1 ZINC000459343073 331277220 /nfs/dbraw/zinc/27/72/20/331277220.db2.gz LHEJTLKARNVHMY-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)Cc2ccncc2)c(Cl)c1 ZINC000459488404 331284526 /nfs/dbraw/zinc/28/45/26/331284526.db2.gz URLYRPTXORUPRT-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)Cc2ccncc2)c(Cl)c1 ZINC000459488404 331284527 /nfs/dbraw/zinc/28/45/27/331284527.db2.gz URLYRPTXORUPRT-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1Cc2sccc2CC1(C)C ZINC000459572400 331288576 /nfs/dbraw/zinc/28/85/76/331288576.db2.gz AJTQFKJLTJQBAJ-UHFFFAOYSA-N 1 2 289.448 3.862 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1Cc2sccc2CC1(C)C ZINC000459572400 331288577 /nfs/dbraw/zinc/28/85/77/331288577.db2.gz AJTQFKJLTJQBAJ-UHFFFAOYSA-N 1 2 289.448 3.862 20 0 CHADLO Cc1cccc(C[NH+]2CC(C)(CC(F)(F)F)C2)c1F ZINC000459637745 331291561 /nfs/dbraw/zinc/29/15/61/331291561.db2.gz LWSWBYOHWSCJDL-UHFFFAOYSA-N 1 2 275.289 3.908 20 0 CHADLO CCc1ccc(C[NH+]2CC(C)(CC(F)(F)F)C2)o1 ZINC000459638835 331291670 /nfs/dbraw/zinc/29/16/70/331291670.db2.gz NQSLQVLMPPYOHT-UHFFFAOYSA-N 1 2 261.287 3.616 20 0 CHADLO CCC1(C)C[NH+](Cc2csc(C(C)(C)C)n2)C1 ZINC000459639439 331291730 /nfs/dbraw/zinc/29/17/30/331291730.db2.gz VTTCJHDUPZXLQP-UHFFFAOYSA-N 1 2 252.427 3.673 20 0 CHADLO Fc1cccc2c1C[N@H+](CCCOc1ccccc1)C2 ZINC000459743157 331297635 /nfs/dbraw/zinc/29/76/35/331297635.db2.gz WQGPGBWFWDAVSO-UHFFFAOYSA-N 1 2 271.335 3.610 20 0 CHADLO Fc1cccc2c1C[N@@H+](CCCOc1ccccc1)C2 ZINC000459743157 331297636 /nfs/dbraw/zinc/29/76/36/331297636.db2.gz WQGPGBWFWDAVSO-UHFFFAOYSA-N 1 2 271.335 3.610 20 0 CHADLO CC(C)(C)OC(=O)CCC[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459756797 331298795 /nfs/dbraw/zinc/29/87/95/331298795.db2.gz HDEHMCWUTNYNLD-UHFFFAOYSA-N 1 2 295.810 3.778 20 0 CHADLO CC(C)(C)OC(=O)CCC[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459756797 331298796 /nfs/dbraw/zinc/29/87/96/331298796.db2.gz HDEHMCWUTNYNLD-UHFFFAOYSA-N 1 2 295.810 3.778 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459770849 331299852 /nfs/dbraw/zinc/29/98/52/331299852.db2.gz SQEFUMHSTCEGKI-LLVKDONJSA-N 1 2 258.752 3.812 20 0 CHADLO C[C@H](c1ccccn1)[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459770849 331299853 /nfs/dbraw/zinc/29/98/53/331299853.db2.gz SQEFUMHSTCEGKI-LLVKDONJSA-N 1 2 258.752 3.812 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCCCC2(F)F)c(C)[nH+]1 ZINC000459927169 331303501 /nfs/dbraw/zinc/30/35/01/331303501.db2.gz HGAXSBPNFQMWRP-LBPRGKRZSA-N 1 2 282.334 3.771 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1cncs1 ZINC000165742512 331306365 /nfs/dbraw/zinc/30/63/65/331306365.db2.gz KXIJUVUWCXDMGZ-LBPRGKRZSA-N 1 2 288.420 3.702 20 0 CHADLO O=C(CCC1CCCC1)CSc1[nH+]cc2ccccn21 ZINC000461420190 331313240 /nfs/dbraw/zinc/31/32/40/331313240.db2.gz HOLPIUZDXKQYMS-UHFFFAOYSA-N 1 2 288.416 3.966 20 0 CHADLO Fc1ccccc1C1C[NH+](Cc2c(F)cccc2F)C1 ZINC000462828216 331331629 /nfs/dbraw/zinc/33/16/29/331331629.db2.gz VEGJONQNBYRQHA-UHFFFAOYSA-N 1 2 277.289 3.703 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC3CCCC3)cc2)c1C ZINC000463027316 331337505 /nfs/dbraw/zinc/33/75/05/331337505.db2.gz GMFHRIRYKGEQCC-UHFFFAOYSA-N 1 2 255.365 3.844 20 0 CHADLO C[C@H](CNc1ccc2ccccc2[nH+]1)Oc1cccc(F)c1 ZINC000463077378 331339673 /nfs/dbraw/zinc/33/96/73/331339673.db2.gz IJOYUDNHKGQVPZ-CYBMUJFWSA-N 1 2 296.345 3.675 20 0 CHADLO CC[C@@H](C)CSCCc1[nH+]c2ccccc2n1C ZINC000464792124 331368606 /nfs/dbraw/zinc/36/86/06/331368606.db2.gz IFUGTMMMMOVJGG-GFCCVEGCSA-N 1 2 262.422 3.895 20 0 CHADLO CC[N@H+](CCCNC(=O)CC(C)(C)CC)c1ccccc1 ZINC000465056056 331377074 /nfs/dbraw/zinc/37/70/74/331377074.db2.gz OZAZBPNJORAICP-UHFFFAOYSA-N 1 2 290.451 3.846 20 0 CHADLO CC[N@@H+](CCCNC(=O)CC(C)(C)CC)c1ccccc1 ZINC000465056056 331377075 /nfs/dbraw/zinc/37/70/75/331377075.db2.gz OZAZBPNJORAICP-UHFFFAOYSA-N 1 2 290.451 3.846 20 0 CHADLO CCc1cc(N2C[C@H](C)C[C@H]2CC)nc(-c2ccncc2)[nH+]1 ZINC000466654519 331401867 /nfs/dbraw/zinc/40/18/67/331401867.db2.gz BELRAYZLNQULLT-CZUORRHYSA-N 1 2 296.418 3.726 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H]1CCC[C@H]([C@H]2CCOC2)C1)C(F)(F)F ZINC000467356897 331415984 /nfs/dbraw/zinc/41/59/84/331415984.db2.gz YPBZNVLGOUAOLB-FQUUOJAGSA-N 1 2 293.373 3.758 20 0 CHADLO Cc1cccc(CNc2[nH+]cccc2OC(F)F)c1C ZINC000469465450 331452262 /nfs/dbraw/zinc/45/22/62/331452262.db2.gz VROVEIPODSUECL-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCC[C@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC000470329364 331479669 /nfs/dbraw/zinc/47/96/69/331479669.db2.gz XIVYYJBLRPEIDG-CWRNSKLLSA-N 1 2 289.419 3.643 20 0 CHADLO CC[C@@H]1CN(c2cc[nH+]c(C)n2)CC[C@@H]1c1ccccc1 ZINC000470870771 331493321 /nfs/dbraw/zinc/49/33/21/331493321.db2.gz CQMMKAFQECYDIE-WBVHZDCISA-N 1 2 281.403 3.805 20 0 CHADLO Cc1cc(N(C)C(C2CC2)C2CC2)nc(C(C)C)[nH+]1 ZINC000471051030 331499715 /nfs/dbraw/zinc/49/97/15/331499715.db2.gz VLDDWHPNIRPBEC-UHFFFAOYSA-N 1 2 259.397 3.533 20 0 CHADLO C[C@@H]1CSc2ccccc2N1Cc1c[nH+]c2ccccn12 ZINC000472577187 331538609 /nfs/dbraw/zinc/53/86/09/331538609.db2.gz ALLFAPSVBXZXHX-CYBMUJFWSA-N 1 2 295.411 3.835 20 0 CHADLO Cc1cc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)nn1C ZINC000473440727 331551218 /nfs/dbraw/zinc/55/12/18/331551218.db2.gz KOVLDZRMRSGOFP-UHFFFAOYSA-N 1 2 295.789 3.546 20 0 CHADLO C[C@H]1[C@H](Cc2ccccc2)CCN1c1cccc[nH+]1 ZINC000474172092 331574293 /nfs/dbraw/zinc/57/42/93/331574293.db2.gz HQYWJQOHJWQSSI-HOCLYGCPSA-N 1 2 252.361 3.539 20 0 CHADLO COC(=O)[C@@H]([NH2+]CC1CCCCCC1)c1cccs1 ZINC000475146931 331616171 /nfs/dbraw/zinc/61/61/71/331616171.db2.gz QTVZWDYTUCPZQC-AWEZNQCLSA-N 1 2 281.421 3.522 20 0 CHADLO CCCOc1cccc2c(N3CCSCC3)cc[nH+]c12 ZINC000478352582 331704521 /nfs/dbraw/zinc/70/45/21/331704521.db2.gz LBABPKYMGTTYFH-UHFFFAOYSA-N 1 2 288.416 3.577 20 0 CHADLO CCC[C@@H](C(=O)NCc1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000479807056 331746238 /nfs/dbraw/zinc/74/62/38/331746238.db2.gz SCLQKJGVQQHXFG-QGZVFWFLSA-N 1 2 299.418 3.561 20 0 CHADLO Fc1cccc2ncnc(Sc3[nH+]cc4ccccn43)c12 ZINC000480452801 331773162 /nfs/dbraw/zinc/77/31/62/331773162.db2.gz ZQUBSQALXMPLSK-UHFFFAOYSA-N 1 2 296.330 3.568 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(-c2ccncc2)n1)C(C)(C)C ZINC000480457810 331773371 /nfs/dbraw/zinc/77/33/71/331773371.db2.gz DZJQIFLAQNDUHB-CQSZACIVSA-N 1 2 284.407 3.506 20 0 CHADLO Fc1cccc([C@H]2CC[C@H](Nc3cccc[nH+]3)C2)c1 ZINC000480790112 331788582 /nfs/dbraw/zinc/78/85/82/331788582.db2.gz WQEWBKFRFVHJJV-ZFWWWQNUSA-N 1 2 256.324 3.969 20 0 CHADLO CC[C@@H](C[NH2+][C@H](C(=O)OC)c1ccccc1)c1ccccc1 ZINC000499073266 331819837 /nfs/dbraw/zinc/81/98/37/331819837.db2.gz ZCAHHDUQPRTBSO-YJBOKZPZSA-N 1 2 297.398 3.684 20 0 CHADLO CCCCC1CCC(NC(=O)c2ccc3[nH+]ccn3c2)CC1 ZINC000483420422 331873986 /nfs/dbraw/zinc/87/39/86/331873986.db2.gz YFYZGAFUTSACIC-UHFFFAOYSA-N 1 2 299.418 3.813 20 0 CHADLO CC[C@H]([NH2+][C@@H](c1ccccc1)C1CC1)C(=O)OC(C)(C)C ZINC000484081827 331892308 /nfs/dbraw/zinc/89/23/08/331892308.db2.gz KNVRREFYDHJPPB-HOTGVXAUSA-N 1 2 289.419 3.848 20 0 CHADLO COc1cccc2c(N3CC(C)=C[C@@H](C)C3)cc[nH+]c12 ZINC000485417792 331913736 /nfs/dbraw/zinc/91/37/36/331913736.db2.gz FLIJKCMMQGRBFD-GFCCVEGCSA-N 1 2 268.360 3.646 20 0 CHADLO COc1cccc2c(N3CC(C)=C[C@H](C)C3)cc[nH+]c12 ZINC000485417793 331913743 /nfs/dbraw/zinc/91/37/43/331913743.db2.gz FLIJKCMMQGRBFD-LBPRGKRZSA-N 1 2 268.360 3.646 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1(C(F)F)CCCCC1 ZINC000485427172 331914068 /nfs/dbraw/zinc/91/40/68/331914068.db2.gz BIXVOEUIEPXQNT-UHFFFAOYSA-N 1 2 297.349 3.788 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCCC1CCCCC1 ZINC000485454831 331914598 /nfs/dbraw/zinc/91/45/98/331914598.db2.gz XJOXKMPDWGYURC-UHFFFAOYSA-N 1 2 275.396 3.790 20 0 CHADLO CCC[C@H](C(=O)Nc1ccc2[nH+]c(C)cn2c1)C(C)C ZINC000485848653 331925001 /nfs/dbraw/zinc/92/50/01/331925001.db2.gz TYCAATZSPCGGMQ-AWEZNQCLSA-N 1 2 273.380 3.654 20 0 CHADLO Cc1nc(N2C[C@H](c3ccccc3)[C@@H]3CCCC[C@@H]32)cc[nH+]1 ZINC000486029213 331929403 /nfs/dbraw/zinc/92/94/03/331929403.db2.gz OEDZGGMLZKJLNT-KSZLIROESA-N 1 2 293.414 3.948 20 0 CHADLO C[C@@H](Nc1ccccc1OCCn1cc[nH+]c1)[C@H]1CC1(C)C ZINC000487280092 331962761 /nfs/dbraw/zinc/96/27/61/331962761.db2.gz QPSQCGXVUJOMPJ-HUUCEWRRSA-N 1 2 299.418 3.809 20 0 CHADLO Cc1[nH+]cccc1N[C@@H]1CS[C@H](C(C)(C)C)C1 ZINC000488436194 332008231 /nfs/dbraw/zinc/00/82/31/332008231.db2.gz XMDMAGFFCLDQJM-AAEUAGOBSA-N 1 2 250.411 3.722 20 0 CHADLO Cc1ccc(N[C@H]2CS[C@H](C(C)(C)C)C2)c[nH+]1 ZINC000488456785 332011200 /nfs/dbraw/zinc/01/12/00/332011200.db2.gz GWWJSNIHGMEKNB-OLZOCXBDSA-N 1 2 250.411 3.722 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3sc(Cl)cc32)nc[nH+]1 ZINC000488733095 332023259 /nfs/dbraw/zinc/02/32/59/332023259.db2.gz LHUHRZNWTOQWJP-SNVBAGLBSA-N 1 2 279.796 3.989 20 0 CHADLO Cc1cc(N2Cc3ccccc3O[C@H](C)C2)nc(C(C)C)[nH+]1 ZINC000488741501 332023727 /nfs/dbraw/zinc/02/37/27/332023727.db2.gz CBTKUVUZJQPBMC-CQSZACIVSA-N 1 2 297.402 3.696 20 0 CHADLO CCC(CC)(CNc1[nH+]ccc2ccc(F)cc21)OC ZINC000488762560 332024574 /nfs/dbraw/zinc/02/45/74/332024574.db2.gz DIEDMNNMZUNTRC-UHFFFAOYSA-N 1 2 276.355 3.991 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+]1CCOc2ccc(F)cc2C1 ZINC000489190457 332042981 /nfs/dbraw/zinc/04/29/81/332042981.db2.gz UBONIPCAVKUEBA-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+]1CCOc2ccc(F)cc2C1 ZINC000489190457 332042983 /nfs/dbraw/zinc/04/29/83/332042983.db2.gz UBONIPCAVKUEBA-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO Fc1cncc(CNc2[nH+]cccc2-c2ccccc2)c1 ZINC000489763025 332067263 /nfs/dbraw/zinc/06/72/63/332067263.db2.gz NXSQLWVNFQARGG-UHFFFAOYSA-N 1 2 279.318 3.895 20 0 CHADLO C[C@@H](CCC(F)(F)F)[NH2+][C@H](C1CC1)C(F)(F)F ZINC000489940183 332073369 /nfs/dbraw/zinc/07/33/69/332073369.db2.gz GTNJMVFATPZDIA-POYBYMJQSA-N 1 2 263.225 3.648 20 0 CHADLO O=C(/C=C/c1ccsc1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000491366338 332197981 /nfs/dbraw/zinc/19/79/81/332197981.db2.gz LPNINUSCGPPBTN-LZCJLJQNSA-N 1 2 295.367 3.790 20 0 CHADLO C[C@@H]1CCN(c2[nH+]ccc3ccc(F)cc32)CC1(F)F ZINC000491599755 332242318 /nfs/dbraw/zinc/24/23/18/332242318.db2.gz VASKUAJIKWRCDB-SNVBAGLBSA-N 1 2 280.293 3.855 20 0 CHADLO CC(C)Cc1cccc([C@@H](C)C(=O)N[C@H](C)c2[nH]cc[nH+]2)c1 ZINC000575369142 335098457 /nfs/dbraw/zinc/09/84/57/335098457.db2.gz CVJFLYKCOYPQRR-ZIAGYGMSSA-N 1 2 299.418 3.589 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1c(C)cccc1Cl ZINC000492441797 332397498 /nfs/dbraw/zinc/39/74/98/332397498.db2.gz ASUBIAZWQMSWSH-FPLPWBNLSA-N 1 2 289.766 3.517 20 0 CHADLO CCN(CC)c1ccc(Nc2cc(C(C)C)ncn2)c[nH+]1 ZINC000493079494 332425547 /nfs/dbraw/zinc/42/55/47/332425547.db2.gz MDPYPYOUYAEXMV-UHFFFAOYSA-N 1 2 285.395 3.585 20 0 CHADLO CN(Cc1cccc2[nH]ccc21)c1cc[nH+]c2ccncc21 ZINC000493440445 332440379 /nfs/dbraw/zinc/44/03/79/332440379.db2.gz ATTYPZMTIIPRQS-UHFFFAOYSA-N 1 2 288.354 3.748 20 0 CHADLO Cc1ccccc1[C@@H](NC(=O)/C=C\c1[nH]cc[nH+]1)C(C)(C)C ZINC000493789524 332454141 /nfs/dbraw/zinc/45/41/41/332454141.db2.gz NXIQSSMSGHBWFG-DOOKAGJSSA-N 1 2 297.402 3.635 20 0 CHADLO CCCc1csc(C[NH2+]C(C)(C)c2ncc(C)s2)n1 ZINC000494176145 332465497 /nfs/dbraw/zinc/46/54/97/332465497.db2.gz NOKOVRSGXMHSNN-UHFFFAOYSA-N 1 2 295.477 3.885 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc(N3CCCCC3)c[nH+]2)[C@@H]1C ZINC000495079405 332504432 /nfs/dbraw/zinc/50/44/32/332504432.db2.gz OETFXIFWXZFAMK-NFAWXSAZSA-N 1 2 259.397 3.528 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2c(c1)CCCC2 ZINC000404575870 332572799 /nfs/dbraw/zinc/57/27/99/332572799.db2.gz KZVRDORRGZLKCY-UHFFFAOYSA-N 1 2 269.392 3.955 20 0 CHADLO CCCN(c1cc(C)[nH+]cn1)[C@H](C)c1ccccc1OC ZINC000498975351 332576532 /nfs/dbraw/zinc/57/65/32/332576532.db2.gz CXCVOQAMBPVLBH-CQSZACIVSA-N 1 2 285.391 3.771 20 0 CHADLO CCCN(c1cc(C)[nH+]cn1)[C@@H](C)c1ccccc1OC ZINC000498975345 332576538 /nfs/dbraw/zinc/57/65/38/332576538.db2.gz CXCVOQAMBPVLBH-AWEZNQCLSA-N 1 2 285.391 3.771 20 0 CHADLO COC(=O)C1([NH2+]CCSC2CCCCC2)CCCCC1 ZINC000500102597 332599359 /nfs/dbraw/zinc/59/93/59/332599359.db2.gz FCXSJNITKCOREC-UHFFFAOYSA-N 1 2 299.480 3.518 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(-c3ccccc3)o2)nn1C ZINC000502684233 332668357 /nfs/dbraw/zinc/66/83/57/332668357.db2.gz AQRWMKYWUQDXQU-CQSZACIVSA-N 1 2 295.386 3.839 20 0 CHADLO CC[C@@H]1C[C@@H](CNc2c[nH+]ccc2OC(C)(C)C)CCO1 ZINC000503582266 332693253 /nfs/dbraw/zinc/69/32/53/332693253.db2.gz XTBIPJUMBULDDI-UONOGXRCSA-N 1 2 292.423 3.876 20 0 CHADLO COc1ccc2c(c1)C(C)(C)C[C@H]2[NH2+]C1(C(F)F)CC1 ZINC000503941630 332700222 /nfs/dbraw/zinc/70/02/22/332700222.db2.gz KVDQTTRNVWPFGU-CYBMUJFWSA-N 1 2 281.346 3.805 20 0 CHADLO C[NH+](C)[C@@H](c1nc(C(C)(C)C)no1)c1cccc(Cl)c1 ZINC000504253069 332706996 /nfs/dbraw/zinc/70/69/96/332706996.db2.gz LJSAVQLYUKSRBF-GFCCVEGCSA-N 1 2 293.798 3.672 20 0 CHADLO CCOC1CC(COc2cc(CC)[nH+]c3ccccc32)C1 ZINC000505576380 332744987 /nfs/dbraw/zinc/74/49/87/332744987.db2.gz IHLMMGDXCIJEBY-UHFFFAOYSA-N 1 2 285.387 3.991 20 0 CHADLO Cc1csc2ncnc(NCCCc3cccc(C)[nH+]3)c12 ZINC000505763113 332751457 /nfs/dbraw/zinc/75/14/57/332751457.db2.gz SMAUBODUTRGSTI-UHFFFAOYSA-N 1 2 298.415 3.748 20 0 CHADLO Cc1sccc1C[N@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000513281556 333012463 /nfs/dbraw/zinc/01/24/63/333012463.db2.gz RIUPTNFRTFNWIE-UHFFFAOYSA-N 1 2 277.437 3.709 20 0 CHADLO Cc1sccc1C[N@@H+](C)Cc1cc(C(C)(C)C)n[nH]1 ZINC000513281556 333012464 /nfs/dbraw/zinc/01/24/64/333012464.db2.gz RIUPTNFRTFNWIE-UHFFFAOYSA-N 1 2 277.437 3.709 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCOC[C@H]2CC(F)F)cc1 ZINC000513374696 333016330 /nfs/dbraw/zinc/01/63/30/333016330.db2.gz BXDOQHXJAHONBL-OAHLLOKOSA-N 1 2 297.389 3.840 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCOC[C@H]2CC(F)F)cc1 ZINC000513374696 333016332 /nfs/dbraw/zinc/01/63/32/333016332.db2.gz BXDOQHXJAHONBL-OAHLLOKOSA-N 1 2 297.389 3.840 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H](CC(C)C)c1ccccc1C)C1CC1 ZINC000513451585 333020563 /nfs/dbraw/zinc/02/05/63/333020563.db2.gz IPCHHFRXNKSPHE-SJORKVTESA-N 1 2 289.419 3.623 20 0 CHADLO CC(C)c1ccc(OC2CC[NH+](Cc3cnc[nH]3)CC2)cc1 ZINC000575803954 335117093 /nfs/dbraw/zinc/11/70/93/335117093.db2.gz FUVCEHFFLYSAQY-UHFFFAOYSA-N 1 2 299.418 3.577 20 0 CHADLO CCC[C@@H]1CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000176748584 333046694 /nfs/dbraw/zinc/04/66/94/333046694.db2.gz CCVBGTRFSQLGMI-OAHLLOKOSA-N 1 2 297.402 3.525 20 0 CHADLO CCc1ccccc1C[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000172681654 335118711 /nfs/dbraw/zinc/11/87/11/335118711.db2.gz NRLALVHGMBVNPU-LBPRGKRZSA-N 1 2 287.407 3.780 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)c(F)c1 ZINC000177649430 333061345 /nfs/dbraw/zinc/06/13/45/333061345.db2.gz WZSONISBGSMNTG-JTQLQIEISA-N 1 2 279.252 3.739 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)c(F)c1 ZINC000177649430 333061346 /nfs/dbraw/zinc/06/13/46/333061346.db2.gz WZSONISBGSMNTG-JTQLQIEISA-N 1 2 279.252 3.739 20 0 CHADLO C[C@H](Nc1cnn([C@@H](C)C2CC2)c1)c1cn2ccccc2[nH+]1 ZINC000575819628 335118947 /nfs/dbraw/zinc/11/89/47/335118947.db2.gz BBEPACBESOHLEG-STQMWFEESA-N 1 2 295.390 3.675 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(C3CC3)cc2)o1 ZINC000516635330 333065842 /nfs/dbraw/zinc/06/58/42/333065842.db2.gz IWLHDVNHIRTOMS-RYUDHWBXSA-N 1 2 285.391 3.921 20 0 CHADLO CC1(C)CC[C@@H]1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000311858152 333073475 /nfs/dbraw/zinc/07/34/75/333073475.db2.gz QFYVDQBVXSSUMW-AWEZNQCLSA-N 1 2 259.397 3.672 20 0 CHADLO CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)c1cc(C)ccc1C ZINC000517033774 333090414 /nfs/dbraw/zinc/09/04/14/333090414.db2.gz NNAPGLPUFFHPBW-OAHLLOKOSA-N 1 2 285.391 3.504 20 0 CHADLO c1nc(C2CC2)sc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000517091689 333092574 /nfs/dbraw/zinc/09/25/74/333092574.db2.gz HHGVXVVLGOYKBQ-UHFFFAOYSA-N 1 2 285.416 3.991 20 0 CHADLO Cc1cc[nH+]cc1CCCN1CCc2cccc(F)c21 ZINC000517202851 333094378 /nfs/dbraw/zinc/09/43/78/333094378.db2.gz AZQPNAWVTZFTRL-UHFFFAOYSA-N 1 2 270.351 3.524 20 0 CHADLO Cc1[nH]c(CNc2ccc(C)c(OC(C)C)c2)[nH+]c1C ZINC000520839522 333094995 /nfs/dbraw/zinc/09/49/95/333094995.db2.gz HOBPOYIEJCJLEP-UHFFFAOYSA-N 1 2 273.380 3.734 20 0 CHADLO CC(C)[C@H](CCO)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000517344361 333097530 /nfs/dbraw/zinc/09/75/30/333097530.db2.gz ARQGMBOEUFHRRB-ZDUSSCGKSA-N 1 2 278.783 3.707 20 0 CHADLO COc1cc[nH+]cc1COc1cccc2ccccc21 ZINC000336843405 335120893 /nfs/dbraw/zinc/12/08/93/335120893.db2.gz WYGGACHXUROBDV-UHFFFAOYSA-N 1 2 265.312 3.822 20 0 CHADLO CCN(Cc1ccc(C)cc1)c1cc[nH+]c(C(C)C)n1 ZINC000517657792 333105294 /nfs/dbraw/zinc/10/52/94/333105294.db2.gz RNWDUFJEHYKSKA-UHFFFAOYSA-N 1 2 269.392 3.935 20 0 CHADLO Clc1ccc(C[N@@H+]2CCO[C@@H](C3CCCCC3)C2)nc1 ZINC000520893585 333107430 /nfs/dbraw/zinc/10/74/30/333107430.db2.gz YEYDUSNHIQNGDW-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccc(C[N@H+]2CCO[C@@H](C3CCCCC3)C2)nc1 ZINC000520893585 333107431 /nfs/dbraw/zinc/10/74/31/333107431.db2.gz YEYDUSNHIQNGDW-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cc(C)cc(C)c2)c1 ZINC000214069118 333116472 /nfs/dbraw/zinc/11/64/72/333116472.db2.gz OWFJEHQJNALSEY-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO CCC1(C(=O)NCc2cn3cccc(C)c3[nH+]2)CCCCC1 ZINC000184161504 333125302 /nfs/dbraw/zinc/12/53/02/333125302.db2.gz MFVIHLSZDLEYNE-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO CCC1(CC)C[N@@H+]([C@@H](C)c2ccc(F)cc2)CC[S@@]1=O ZINC000185229512 333137321 /nfs/dbraw/zinc/13/73/21/333137321.db2.gz NBEZVIZRQIPPOQ-RBZFPXEDSA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@@H](C)c2ccc(F)cc2)CC[S@@]1=O ZINC000185229512 333137322 /nfs/dbraw/zinc/13/73/22/333137322.db2.gz NBEZVIZRQIPPOQ-RBZFPXEDSA-N 1 2 297.439 3.510 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cc(F)cc(Cl)c2)c1 ZINC000219839866 333157990 /nfs/dbraw/zinc/15/79/90/333157990.db2.gz RJPYSKHMBFMILT-UHFFFAOYSA-N 1 2 280.730 3.803 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccc3nc(C)ccc3c2)o1 ZINC000220868367 333169946 /nfs/dbraw/zinc/16/99/46/333169946.db2.gz BEXIMVWMCJNDBX-ZDUSSCGKSA-N 1 2 281.359 3.690 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1cncc(F)c1 ZINC000222226672 333177591 /nfs/dbraw/zinc/17/75/91/333177591.db2.gz QDMCHIDKJHYDQO-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO CCN(C(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1Cl ZINC000521632958 333180544 /nfs/dbraw/zinc/18/05/44/333180544.db2.gz ZZHASSKHAAKVFT-LBPRGKRZSA-N 1 2 291.782 3.541 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(Cl)c1Cl)c1nncn1C ZINC000223136855 333204884 /nfs/dbraw/zinc/20/48/84/333204884.db2.gz VVEAAIZHFBXOJY-IUCAKERBSA-N 1 2 299.205 3.534 20 0 CHADLO CCN(C)c1ccc(/C=C/c2nc3ccccc3o2)c[nH+]1 ZINC000191222708 333217152 /nfs/dbraw/zinc/21/71/52/333217152.db2.gz JOSPDBCZHOXUAR-PKNBQFBNSA-N 1 2 279.343 3.849 20 0 CHADLO Cc1cc(N2CCCC2)ccc1[NH2+]C[C@@H]1CCSC1 ZINC000224329341 333219219 /nfs/dbraw/zinc/21/92/19/333219219.db2.gz CXNDMJUPEYTYGC-AWEZNQCLSA-N 1 2 276.449 3.760 20 0 CHADLO CC(C)c1ccc(CNc2cc[nH+]c(C(C)C)n2)cc1 ZINC000521935115 333223951 /nfs/dbraw/zinc/22/39/51/333223951.db2.gz GKPJVVNVEIQYMO-UHFFFAOYSA-N 1 2 269.392 3.757 20 0 CHADLO C[C@H](c1cc(Cl)ccc1Cl)[N@H+](C)Cc1cnn(C)c1 ZINC000195118022 333245781 /nfs/dbraw/zinc/24/57/81/333245781.db2.gz BVEGKRLMJKYDNC-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H](c1cc(Cl)ccc1Cl)[N@@H+](C)Cc1cnn(C)c1 ZINC000195118022 333245783 /nfs/dbraw/zinc/24/57/83/333245783.db2.gz BVEGKRLMJKYDNC-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nccn2C(F)F)c(C)c1 ZINC000193299048 333247365 /nfs/dbraw/zinc/24/73/65/333247365.db2.gz DSGCQAJBAFPLRB-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nccn2C(F)F)c(C)c1 ZINC000193299048 333247366 /nfs/dbraw/zinc/24/73/66/333247366.db2.gz DSGCQAJBAFPLRB-UHFFFAOYSA-N 1 2 279.334 3.527 20 0 CHADLO Cc1ccc(CC(=O)Nc2c(C)cc(C)[nH+]c2C)s1 ZINC000194147339 333266392 /nfs/dbraw/zinc/26/63/92/333266392.db2.gz VHPCNTWTIUCMJH-UHFFFAOYSA-N 1 2 274.389 3.558 20 0 CHADLO COc1cccc([C@H](C)C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000194863883 333278437 /nfs/dbraw/zinc/27/84/37/333278437.db2.gz IOFZKIZYZRLLKQ-ZDUSSCGKSA-N 1 2 298.386 3.758 20 0 CHADLO COc1c(C)c[nH+]c(COc2cccc(C)c2F)c1C ZINC000227297264 333279839 /nfs/dbraw/zinc/27/98/39/333279839.db2.gz QWVMUPMMVCFHQK-UHFFFAOYSA-N 1 2 275.323 3.734 20 0 CHADLO c1ccc2[nH+]c(NCC[C@H]3CCCCO3)ccc2c1 ZINC000227316236 333280353 /nfs/dbraw/zinc/28/03/53/333280353.db2.gz MGNNHBWNOBEOJX-CQSZACIVSA-N 1 2 256.349 3.606 20 0 CHADLO Cc1c2ccccc2n(C)c1CNc1ccc(C)[nH+]c1 ZINC000227531567 333284969 /nfs/dbraw/zinc/28/49/69/333284969.db2.gz ROFWQOUMQBSGCE-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO CC(C)OCC[N@@H+]1CCc2c(Cl)c(Cl)ccc2C1 ZINC000522423968 333287041 /nfs/dbraw/zinc/28/70/41/333287041.db2.gz JVABCGXTPFXQNL-UHFFFAOYSA-N 1 2 288.218 3.777 20 0 CHADLO CC(C)OCC[N@H+]1CCc2c(Cl)c(Cl)ccc2C1 ZINC000522423968 333287043 /nfs/dbraw/zinc/28/70/43/333287043.db2.gz JVABCGXTPFXQNL-UHFFFAOYSA-N 1 2 288.218 3.777 20 0 CHADLO COc1ccccc1C[NH2+]CC(F)(F)c1ccccc1 ZINC000227898100 333290245 /nfs/dbraw/zinc/29/02/45/333290245.db2.gz WYVOCDDUFGREHT-UHFFFAOYSA-N 1 2 277.314 3.577 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC2Cc3ccccc3C2)c1 ZINC000231038811 333323898 /nfs/dbraw/zinc/32/38/98/333323898.db2.gz MYINXSZZSWLGHH-UHFFFAOYSA-N 1 2 278.277 3.680 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1nc(C)c(C)s1 ZINC000231672573 333327394 /nfs/dbraw/zinc/32/73/94/333327394.db2.gz NFUTWWOASTVTEY-UHFFFAOYSA-N 1 2 296.823 3.712 20 0 CHADLO CCOC(=O)[C@@H]([NH2+][C@@H](C)C1CCCCC1)c1ccccc1 ZINC000231667017 333327519 /nfs/dbraw/zinc/32/75/19/333327519.db2.gz WZOOLYBEYLMVSA-YOEHRIQHSA-N 1 2 289.419 3.849 20 0 CHADLO CCCC[C@H]([NH2+][C@H](C)c1ccc(Cl)cc1)C(=O)OC ZINC000231729670 333328639 /nfs/dbraw/zinc/32/86/39/333328639.db2.gz FLJGXUGYBJZPST-RISCZKNCSA-N 1 2 283.799 3.722 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000316428924 333393144 /nfs/dbraw/zinc/39/31/44/333393144.db2.gz BDGFDXBEFSJBNC-AWEZNQCLSA-N 1 2 293.370 3.621 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OC1CC1)c1ncccc1F ZINC000528825470 333460510 /nfs/dbraw/zinc/46/05/10/333460510.db2.gz RSDWMOBGUVJQKA-LBPRGKRZSA-N 1 2 286.350 3.613 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H]2CCc3cc(F)c(Cl)cc32)n1 ZINC000529556749 333497691 /nfs/dbraw/zinc/49/76/91/333497691.db2.gz PHKDOYBYIQUDKE-UHLUBPPHSA-N 1 2 295.745 3.509 20 0 CHADLO CCc1cc(C[NH2+][C@@H](c2ccccc2)c2ccccn2)on1 ZINC000538712680 333613497 /nfs/dbraw/zinc/61/34/97/333613497.db2.gz SJYNRIATTBMTRE-SFHVURJKSA-N 1 2 293.370 3.511 20 0 CHADLO COc1cc(C)[nH+]c(COc2cccc(OC(C)C)c2)c1 ZINC000538757129 333625474 /nfs/dbraw/zinc/62/54/74/333625474.db2.gz UJJNMUJKCJFUAD-UHFFFAOYSA-N 1 2 287.359 3.765 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+]Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000174455717 335156182 /nfs/dbraw/zinc/15/61/82/335156182.db2.gz MTBXBSXZRYFXLO-HIFRSBDPSA-N 1 2 287.407 3.545 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccn(C)n1 ZINC000542669809 333835586 /nfs/dbraw/zinc/83/55/86/333835586.db2.gz AXQSJPBQNRNHAZ-ZWNOBZJWSA-N 1 2 279.334 3.500 20 0 CHADLO CC(C)C[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1cccs1 ZINC000543485730 333877274 /nfs/dbraw/zinc/87/72/74/333877274.db2.gz ATVHKSPKGNMUAH-GFCCVEGCSA-N 1 2 291.420 3.886 20 0 CHADLO Cc1ccc(Cc2noc(Cc3ccc(C)c(C)c3)n2)c[nH+]1 ZINC000544188536 333920729 /nfs/dbraw/zinc/92/07/29/333920729.db2.gz CNQGDTUJLWZLJB-UHFFFAOYSA-N 1 2 293.370 3.571 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](C)Cc1ccc(Cl)cc1 ZINC000132361023 333978180 /nfs/dbraw/zinc/97/81/80/333978180.db2.gz CYKXYBUGKHOWMY-NSHDSACASA-N 1 2 288.778 3.861 20 0 CHADLO CCOc1ccccc1NCc1cn2cc(C)ccc2[nH+]1 ZINC000132875132 334008609 /nfs/dbraw/zinc/00/86/09/334008609.db2.gz NBKSSAKZCZKDRE-UHFFFAOYSA-N 1 2 281.359 3.654 20 0 CHADLO COC[C@H](C)Nc1ccc(-c2cn3cccc(C)c3[nH+]2)cc1 ZINC000545954628 334009013 /nfs/dbraw/zinc/00/90/13/334009013.db2.gz XENYEOASBVPVOY-AWEZNQCLSA-N 1 2 295.386 3.757 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@@H]3CCC[C@@H]3C)ccc2n1C ZINC000546007689 334011731 /nfs/dbraw/zinc/01/17/31/334011731.db2.gz ZLUAQLXIVPBQRY-AAEUAGOBSA-N 1 2 285.391 3.647 20 0 CHADLO CC(C)OC[C@H]1C[N@@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000546031427 334013738 /nfs/dbraw/zinc/01/37/38/334013738.db2.gz IBAKTURNRHJUGU-ZIAGYGMSSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@H]1C[N@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000546031427 334013739 /nfs/dbraw/zinc/01/37/39/334013739.db2.gz IBAKTURNRHJUGU-ZIAGYGMSSA-N 1 2 297.826 3.527 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2C(F)F)cc(C)c1O ZINC000564303076 334033785 /nfs/dbraw/zinc/03/37/85/334033785.db2.gz BTHDRVKYLYSYGJ-ZDUSSCGKSA-N 1 2 269.335 3.629 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2C(F)F)cc(C)c1O ZINC000564303076 334033786 /nfs/dbraw/zinc/03/37/86/334033786.db2.gz BTHDRVKYLYSYGJ-ZDUSSCGKSA-N 1 2 269.335 3.629 20 0 CHADLO COc1ccc[nH+]c1N[C@@H]1CCC[C@H](c2ncccc2C)C1 ZINC000546691265 334045957 /nfs/dbraw/zinc/04/59/57/334045957.db2.gz ZLTJQNGOTVUJRK-LSDHHAIUSA-N 1 2 297.402 3.932 20 0 CHADLO CO[C@H](C)c1cccc(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000133721338 334051412 /nfs/dbraw/zinc/05/14/12/334051412.db2.gz BDFVHHJGUQVOOP-CQSZACIVSA-N 1 2 295.386 3.962 20 0 CHADLO CC[C@H](CC(F)(F)F)[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000546886675 334053899 /nfs/dbraw/zinc/05/38/99/334053899.db2.gz BHLUDKRLAFGSAH-DTWKUNHWSA-N 1 2 293.333 3.749 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CC34CCCCC4)n2)c[nH+]1 ZINC000547048440 334063904 /nfs/dbraw/zinc/06/39/04/334063904.db2.gz ADGKVHALNOZSLP-AWEZNQCLSA-N 1 2 283.375 3.802 20 0 CHADLO CCC(C)(C)c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000547101438 334066605 /nfs/dbraw/zinc/06/66/05/334066605.db2.gz PMHOPJUHCGDHLT-UHFFFAOYSA-N 1 2 282.347 3.610 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cc(-c3ccco3)on1)CC2 ZINC000133884658 334068057 /nfs/dbraw/zinc/06/80/57/334068057.db2.gz HPLDAIKBZSAYSN-UHFFFAOYSA-N 1 2 298.317 3.632 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cc(-c3ccco3)on1)CC2 ZINC000133884658 334068058 /nfs/dbraw/zinc/06/80/58/334068058.db2.gz HPLDAIKBZSAYSN-UHFFFAOYSA-N 1 2 298.317 3.632 20 0 CHADLO CC(C)(C)[C@H]([NH2+]CCCCCn1cccn1)C(F)(F)F ZINC000547336481 334080723 /nfs/dbraw/zinc/08/07/23/334080723.db2.gz ZZQXLCYAYQTDAV-LBPRGKRZSA-N 1 2 291.361 3.620 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2ccc(F)cc21)c1cccc(F)c1F ZINC000547356970 334083090 /nfs/dbraw/zinc/08/30/90/334083090.db2.gz RDOWWUSXNHIQSS-LKFCYVNXSA-N 1 2 293.288 3.888 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@@H](c3c(F)cccc3F)C2)o1 ZINC000547399188 334085741 /nfs/dbraw/zinc/08/57/41/334085741.db2.gz JGIJBDGUAQNBIA-LLVKDONJSA-N 1 2 292.329 3.505 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@@H](c3c(F)cccc3F)C2)o1 ZINC000547399188 334085743 /nfs/dbraw/zinc/08/57/43/334085743.db2.gz JGIJBDGUAQNBIA-LLVKDONJSA-N 1 2 292.329 3.505 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[NH+]2[C@@H](C)C[C@@H]2C)c(Cl)c1 ZINC000547768920 334116290 /nfs/dbraw/zinc/11/62/90/334116290.db2.gz SYTIBJAHQKHZET-AVGNSLFASA-N 1 2 294.826 3.767 20 0 CHADLO CN(C)c1[nH+]cccc1NC(=O)CC/C=C/c1ccccc1 ZINC000078066599 334122935 /nfs/dbraw/zinc/12/29/35/334122935.db2.gz ASCQOEIXZTYLBX-IZZDOVSWSA-N 1 2 295.386 3.580 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1Cc1nc2ccccc2[nH]1 ZINC000547830034 334124533 /nfs/dbraw/zinc/12/45/33/334124533.db2.gz ZYNBAKGASCERHC-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1Cc1nc2ccccc2[nH]1 ZINC000547830034 334124534 /nfs/dbraw/zinc/12/45/34/334124534.db2.gz ZYNBAKGASCERHC-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO CCCC[C@H]([NH2+][C@@H]1C[C@H](c2ccccc2)[C@H]1C)C(=O)OC ZINC000548176457 334145020 /nfs/dbraw/zinc/14/50/20/334145020.db2.gz XAZPDXJAMYZJJZ-BSWAZPDLSA-N 1 2 289.419 3.500 20 0 CHADLO CC1(C(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)CCCCC1 ZINC000548701973 334166572 /nfs/dbraw/zinc/16/65/72/334166572.db2.gz FVXWJJCFFRTQDG-UHFFFAOYSA-N 1 2 297.402 3.663 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2nccs2)c(C)o1 ZINC000080265071 334168978 /nfs/dbraw/zinc/16/89/78/334168978.db2.gz SVSGCSFNSBPSAU-ZJUUUORDSA-N 1 2 250.367 3.765 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@@](F)(c3ccccc3C)C2)o1 ZINC000548796697 334174680 /nfs/dbraw/zinc/17/46/80/334174680.db2.gz YHCAAMPUDNZPET-KRWDZBQOSA-N 1 2 288.366 3.616 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@@](F)(c3ccccc3C)C2)o1 ZINC000548796697 334174682 /nfs/dbraw/zinc/17/46/82/334174682.db2.gz YHCAAMPUDNZPET-KRWDZBQOSA-N 1 2 288.366 3.616 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccccc2Cl)cc1O ZINC000080963037 334175595 /nfs/dbraw/zinc/17/55/95/334175595.db2.gz FPAGKPYPLXHHNE-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccccc2Cl)cc1O ZINC000080963037 334175596 /nfs/dbraw/zinc/17/55/96/334175596.db2.gz FPAGKPYPLXHHNE-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO C[N@H+](Cc1ncnn1C(C)(C)C)[C@@H]1CCCc2ccccc21 ZINC000548913327 334180050 /nfs/dbraw/zinc/18/00/50/334180050.db2.gz ARNSLZUWSJDYTK-MRXNPFEDSA-N 1 2 298.434 3.543 20 0 CHADLO C[N@@H+](Cc1ncnn1C(C)(C)C)[C@@H]1CCCc2ccccc21 ZINC000548913327 334180051 /nfs/dbraw/zinc/18/00/51/334180051.db2.gz ARNSLZUWSJDYTK-MRXNPFEDSA-N 1 2 298.434 3.543 20 0 CHADLO COC(=O)c1cccc(OCc2ccc(C(C)C)[nH+]c2C)c1 ZINC000549299163 334189698 /nfs/dbraw/zinc/18/96/98/334189698.db2.gz CGRKNKOBUFELNS-UHFFFAOYSA-N 1 2 299.370 3.879 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(-c3ccoc3)no2)[C@@H]1c1ccccc1 ZINC000081574028 334197575 /nfs/dbraw/zinc/19/75/75/334197575.db2.gz YQMOMHZKXUENJY-WBMJQRKESA-N 1 2 295.342 3.523 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(-c3ccoc3)no2)[C@@H]1c1ccccc1 ZINC000081574028 334197576 /nfs/dbraw/zinc/19/75/76/334197576.db2.gz YQMOMHZKXUENJY-WBMJQRKESA-N 1 2 295.342 3.523 20 0 CHADLO Fc1ccccc1[C@H]1CCN(c2[nH]c3ccccc3[nH+]2)C1 ZINC000549577329 334198745 /nfs/dbraw/zinc/19/87/45/334198745.db2.gz IPKOZVXWUGACLQ-LBPRGKRZSA-N 1 2 281.334 3.696 20 0 CHADLO Cc1c2cccc(Cl)c2sc1C[NH2+]Cc1ccn[nH]1 ZINC000549754972 334203786 /nfs/dbraw/zinc/20/37/86/334203786.db2.gz WTCZFIDIGIJICK-UHFFFAOYSA-N 1 2 291.807 3.876 20 0 CHADLO Cc1ccc(-c2ncc(C[NH2+]CC(C)(F)F)s2)cc1 ZINC000550258908 334217863 /nfs/dbraw/zinc/21/78/63/334217863.db2.gz IVBCSVAOOBUQHQ-UHFFFAOYSA-N 1 2 282.359 3.863 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CCC[C@H]2CCC[C@H]21 ZINC000246059645 334228991 /nfs/dbraw/zinc/22/89/91/334228991.db2.gz ARFPNHFKCJEZIS-UKRRQHHQSA-N 1 2 289.423 3.882 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CCC[C@H]2CCC[C@H]21 ZINC000246059645 334228992 /nfs/dbraw/zinc/22/89/92/334228992.db2.gz ARFPNHFKCJEZIS-UKRRQHHQSA-N 1 2 289.423 3.882 20 0 CHADLO CCc1cccc(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000551571931 334254673 /nfs/dbraw/zinc/25/46/73/334254673.db2.gz MSLXCQMSCVWXGJ-UHFFFAOYSA-N 1 2 265.360 3.817 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@](F)(c3cc(F)cc(F)c3)C2)o1 ZINC000551826375 334263639 /nfs/dbraw/zinc/26/36/39/334263639.db2.gz KRWKLNYCKJHZIC-INIZCTEOSA-N 1 2 295.304 3.937 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@](F)(c3cc(F)cc(F)c3)C2)o1 ZINC000551826375 334263640 /nfs/dbraw/zinc/26/36/40/334263640.db2.gz KRWKLNYCKJHZIC-INIZCTEOSA-N 1 2 295.304 3.937 20 0 CHADLO CC1=CC[C@H](Nc2ccccc2OCCn2cc[nH+]c2)CC1 ZINC000552076683 334286491 /nfs/dbraw/zinc/28/64/91/334286491.db2.gz WZTTUCPRBXXNSC-INIZCTEOSA-N 1 2 297.402 3.873 20 0 CHADLO COc1cccc(SCc2cn3cccc(C)c3[nH+]2)c1 ZINC000247889140 334291288 /nfs/dbraw/zinc/29/12/88/334291288.db2.gz FDESWXZIGLSATP-UHFFFAOYSA-N 1 2 284.384 3.944 20 0 CHADLO Cc1oncc1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000552138380 334294022 /nfs/dbraw/zinc/29/40/22/334294022.db2.gz NNLGKZFOLGYCGX-AWEZNQCLSA-N 1 2 262.740 3.583 20 0 CHADLO Cc1oncc1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000552138380 334294023 /nfs/dbraw/zinc/29/40/23/334294023.db2.gz NNLGKZFOLGYCGX-AWEZNQCLSA-N 1 2 262.740 3.583 20 0 CHADLO CCC[C@@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1 ZINC000552192845 334300970 /nfs/dbraw/zinc/30/09/70/334300970.db2.gz HTZIWZWWHBJYGS-MRXNPFEDSA-N 1 2 293.370 3.857 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CC[C@](C)(F)C2)c1 ZINC000552203286 334302531 /nfs/dbraw/zinc/30/25/31/334302531.db2.gz GSRRHDSLEANAJW-AWEZNQCLSA-N 1 2 273.298 3.530 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CC[C@](C)(F)C2)c1 ZINC000552203286 334302532 /nfs/dbraw/zinc/30/25/32/334302532.db2.gz GSRRHDSLEANAJW-AWEZNQCLSA-N 1 2 273.298 3.530 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)cc1Cl ZINC000552424220 334326126 /nfs/dbraw/zinc/32/61/26/334326126.db2.gz QBOKBTUPTQFIPL-CVEARBPZSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)cc1Cl ZINC000552424220 334326128 /nfs/dbraw/zinc/32/61/28/334326128.db2.gz QBOKBTUPTQFIPL-CVEARBPZSA-N 1 2 295.785 3.623 20 0 CHADLO C[C@H](C(=O)Nc1ccsc1Cl)[N@H+]1[C@H](C)CC[C@@H]1C ZINC000249768097 334340203 /nfs/dbraw/zinc/34/02/03/334340203.db2.gz IWSVTVFTZPPNEG-KXUCPTDWSA-N 1 2 286.828 3.601 20 0 CHADLO C[C@H](C(=O)Nc1ccsc1Cl)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000249768097 334340206 /nfs/dbraw/zinc/34/02/06/334340206.db2.gz IWSVTVFTZPPNEG-KXUCPTDWSA-N 1 2 286.828 3.601 20 0 CHADLO CCC[C@@H](C)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000089868649 334352499 /nfs/dbraw/zinc/35/24/99/334352499.db2.gz VBYZTKMJBLQGNQ-LLVKDONJSA-N 1 2 285.391 3.825 20 0 CHADLO Cc1cc[nH+]c(NCCc2ccc3c(c2)CCO3)c1Cl ZINC000553103195 334361802 /nfs/dbraw/zinc/36/18/02/334361802.db2.gz DAKJAPNCFVIGLS-UHFFFAOYSA-N 1 2 288.778 3.633 20 0 CHADLO CCCCC[C@@H]1CCCN1c1cc(N2CCCC2)[nH+]cn1 ZINC000553147520 334364868 /nfs/dbraw/zinc/36/48/68/334364868.db2.gz CWHZRCBMGPXGEC-OAHLLOKOSA-N 1 2 288.439 3.626 20 0 CHADLO CCCCC[C@@H]1CCCN1c1cc(N2CCCC2)nc[nH+]1 ZINC000553147520 334364869 /nfs/dbraw/zinc/36/48/69/334364869.db2.gz CWHZRCBMGPXGEC-OAHLLOKOSA-N 1 2 288.439 3.626 20 0 CHADLO CO[C@@H]1CC[C@H](Nc2cc[nH+]c3c(Cl)cccc23)C1 ZINC000553245752 334371394 /nfs/dbraw/zinc/37/13/94/334371394.db2.gz ILOQGYVJELNUKX-WDEREUQCSA-N 1 2 276.767 3.868 20 0 CHADLO CO[C@@H]1CC[C@@H](Nc2cc[nH+]c3c(Cl)cccc23)C1 ZINC000553245749 334371437 /nfs/dbraw/zinc/37/14/37/334371437.db2.gz ILOQGYVJELNUKX-GHMZBOCLSA-N 1 2 276.767 3.868 20 0 CHADLO COc1cc[nH+]cc1CSc1ccc(C)cc1C ZINC000553378125 334381339 /nfs/dbraw/zinc/38/13/39/334381339.db2.gz FRCPZRDJNUMCRS-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO Clc1cccc2c(N3CCO[C@@H]4CCC[C@@H]43)cc[nH+]c12 ZINC000553489310 334388023 /nfs/dbraw/zinc/38/80/23/334388023.db2.gz YKELABHCVRKOHO-LSDHHAIUSA-N 1 2 288.778 3.646 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cn1)c1c(F)cccc1F ZINC000553777514 334398455 /nfs/dbraw/zinc/39/84/55/334398455.db2.gz YRNCNLVYJOPOIO-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO CC(=Cc1cccc(C(F)(F)F)c1)CNc1c[nH]c[nH+]1 ZINC000555104357 334468714 /nfs/dbraw/zinc/46/87/14/334468714.db2.gz IURKJEBYHBKPSB-YHYXMXQVSA-N 1 2 281.281 3.944 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCCO[C@H](C(C)C)C1 ZINC000555262006 334473333 /nfs/dbraw/zinc/47/33/33/334473333.db2.gz FIGYCHQQQIMEGX-KRWDZBQOSA-N 1 2 284.403 3.795 20 0 CHADLO CC(C)[C@H]([NH2+]C1CCC2(CCCO2)CC1)C(F)(F)F ZINC000555332923 334474705 /nfs/dbraw/zinc/47/47/05/334474705.db2.gz PFTCATHPDDQORQ-CPCZMJQVSA-N 1 2 279.346 3.655 20 0 CHADLO CC1=C[C@H](C)C[C@H](C[NH2+][C@@H](C2CC2)C(F)(F)F)C1 ZINC000567002906 334672702 /nfs/dbraw/zinc/67/27/02/334672702.db2.gz LXOHPXXXSZNHLB-GAFUQQFSSA-N 1 2 261.331 3.909 20 0 CHADLO FC(F)(F)CCCCOc1cccc(-n2cc[nH+]c2)c1 ZINC000154391528 334693823 /nfs/dbraw/zinc/69/38/23/334693823.db2.gz IAFFRLFXXXZITA-UHFFFAOYSA-N 1 2 284.281 3.984 20 0 CHADLO CC(C)c1nc(N2CCOC3(C2)CCCCCC3)cc[nH+]1 ZINC000154554500 334697986 /nfs/dbraw/zinc/69/79/86/334697986.db2.gz AMPIJVSPBCRJGY-UHFFFAOYSA-N 1 2 289.423 3.530 20 0 CHADLO CC(C)CC[C@@H]1CCC[C@H]1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000567996263 334782871 /nfs/dbraw/zinc/78/28/71/334782871.db2.gz GEBHDVJQENFINP-HRCADAONSA-N 1 2 291.439 3.555 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2C[C@H](C)n3ccnc32)c(Cl)c1 ZINC000568033595 334786507 /nfs/dbraw/zinc/78/65/07/334786507.db2.gz SFDBIDQERYZEFK-FZMZJTMJSA-N 1 2 275.783 3.641 20 0 CHADLO c1[nH]cc(N[C@@H]2CCCC[C@@H](c3ccccc3)C2)[nH+]1 ZINC000568456933 334825551 /nfs/dbraw/zinc/82/55/51/334825551.db2.gz PNKCREWTVGTOGY-HUUCEWRRSA-N 1 2 255.365 3.938 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C2CC2)C2CCC2)c(C)[nH+]1 ZINC000568724253 334837969 /nfs/dbraw/zinc/83/79/69/334837969.db2.gz LGFLJACSFXFTCD-INIZCTEOSA-N 1 2 287.407 3.707 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000293866930 334892549 /nfs/dbraw/zinc/89/25/49/334892549.db2.gz DRKXMDVZXROZLD-ISTVAULSSA-N 1 2 277.318 3.518 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000293866934 334892723 /nfs/dbraw/zinc/89/27/23/334892723.db2.gz DRKXMDVZXROZLD-PKFCDNJMSA-N 1 2 277.318 3.518 20 0 CHADLO Cc1c[nH+]c(CCSCc2ccn(C(C)C)n2)c(C)c1 ZINC000573091040 334907432 /nfs/dbraw/zinc/90/74/32/334907432.db2.gz UOSAEQSVMZSZFS-UHFFFAOYSA-N 1 2 289.448 3.952 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(Cl)ccc2F)[C@@H]1[C@@H]1CCCO1 ZINC000576387795 335197110 /nfs/dbraw/zinc/19/71/10/335197110.db2.gz UIQWAZHXKQVFRP-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(Cl)ccc2F)[C@@H]1[C@@H]1CCCO1 ZINC000576387795 335197111 /nfs/dbraw/zinc/19/71/11/335197111.db2.gz UIQWAZHXKQVFRP-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO O=C(Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1)[C@@H]1C[C@H]1C1CC1 ZINC000175919847 335217943 /nfs/dbraw/zinc/21/79/43/335217943.db2.gz GYSDIDYMHZETEQ-UONOGXRCSA-N 1 2 295.386 3.815 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H](C)c2ccccc2)n1 ZINC000577057838 335292418 /nfs/dbraw/zinc/29/24/18/335292418.db2.gz NODNJVOBWDBRAO-CQSZACIVSA-N 1 2 293.370 3.710 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(CC(C)C)no1)c1ccccc1 ZINC000192823437 335307431 /nfs/dbraw/zinc/30/74/31/335307431.db2.gz IUIBRNSTDYYPBW-OAHLLOKOSA-N 1 2 287.407 3.899 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2nc3ccccc3[nH]2)C1 ZINC000577233530 335328019 /nfs/dbraw/zinc/32/80/19/335328019.db2.gz WLOOGMMBPYCQJS-SFHVURJKSA-N 1 2 295.361 3.634 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2nc3ccccc3[nH]2)C1 ZINC000577233530 335328020 /nfs/dbraw/zinc/32/80/20/335328020.db2.gz WLOOGMMBPYCQJS-SFHVURJKSA-N 1 2 295.361 3.634 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc3ccccc3n2)s1 ZINC000177961875 335406999 /nfs/dbraw/zinc/40/69/99/335406999.db2.gz ORJODRAADGNHAX-LBPRGKRZSA-N 1 2 283.400 3.851 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1nc(C)c(C)s1 ZINC000178182145 335421095 /nfs/dbraw/zinc/42/10/95/335421095.db2.gz YEGIJJIOJKIPLK-JTQLQIEISA-N 1 2 294.395 3.758 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cc(F)c(F)cc2F)c(C)[nH+]1 ZINC000178481877 335434096 /nfs/dbraw/zinc/43/40/96/335434096.db2.gz HEHMNIMCELRGDJ-UHFFFAOYSA-N 1 2 294.276 3.676 20 0 CHADLO CCc1cc(OCc2nc(C(C)C)no2)c2ccccc2[nH+]1 ZINC000179976226 335537565 /nfs/dbraw/zinc/53/75/65/335537565.db2.gz WEDMUGOZMXJUDX-UHFFFAOYSA-N 1 2 297.358 3.883 20 0 CHADLO Cc1sccc1Cc1nc(CCc2[nH+]cccc2C)no1 ZINC000578313126 335602235 /nfs/dbraw/zinc/60/22/35/335602235.db2.gz YZXZLXNXUNNCPD-UHFFFAOYSA-N 1 2 299.399 3.519 20 0 CHADLO CC[C@@H](c1ccccc1)N(CC)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000578871508 335683076 /nfs/dbraw/zinc/68/30/76/335683076.db2.gz LCIILUWBOYSZSA-RDJZCZTQSA-N 1 2 299.418 3.834 20 0 CHADLO CCOc1ncccc1C[NH2+][C@H](C)c1cccc(F)c1F ZINC000183220404 335880086 /nfs/dbraw/zinc/88/00/86/335880086.db2.gz YZUIQDNIPXKFPH-LLVKDONJSA-N 1 2 292.329 3.609 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2ccncc2Cl)s1 ZINC000183928760 335913149 /nfs/dbraw/zinc/91/31/49/335913149.db2.gz ROPQWYBFEMZPCG-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO CC(C)[C@H]1CC[C@H]1Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000581317769 336047461 /nfs/dbraw/zinc/04/74/61/336047461.db2.gz DGNWPVRHVXDOBN-UKRRQHHQSA-N 1 2 273.355 3.858 20 0 CHADLO CCCC[N@H+](Cc1cnccn1)Cc1ccccc1Cl ZINC000581414817 336072910 /nfs/dbraw/zinc/07/29/10/336072910.db2.gz IZOBNXXQLFCFIS-UHFFFAOYSA-N 1 2 289.810 3.932 20 0 CHADLO CCCC[N@@H+](Cc1cnccn1)Cc1ccccc1Cl ZINC000581414817 336072911 /nfs/dbraw/zinc/07/29/11/336072911.db2.gz IZOBNXXQLFCFIS-UHFFFAOYSA-N 1 2 289.810 3.932 20 0 CHADLO CC[C@H](CNc1cc[nH+]c2c(Cl)cccc12)OC ZINC000581481582 336086541 /nfs/dbraw/zinc/08/65/41/336086541.db2.gz ROSIHLWJVJHWJW-SNVBAGLBSA-N 1 2 264.756 3.725 20 0 CHADLO COc1cccc2c1cc[nH+]c2NC[C@H]1CCCSC1 ZINC000384082778 336121781 /nfs/dbraw/zinc/12/17/81/336121781.db2.gz AIAVAIVDPKIKDP-GFCCVEGCSA-N 1 2 288.416 3.799 20 0 CHADLO Oc1cc(F)cc(C[N@@H+]2CC[C@@](F)(c3ccccc3)C2)c1 ZINC000581679666 336127879 /nfs/dbraw/zinc/12/78/79/336127879.db2.gz IWZFRZVWBIKQAX-KRWDZBQOSA-N 1 2 289.325 3.602 20 0 CHADLO Oc1cc(F)cc(C[N@H+]2CC[C@@](F)(c3ccccc3)C2)c1 ZINC000581679666 336127881 /nfs/dbraw/zinc/12/78/81/336127881.db2.gz IWZFRZVWBIKQAX-KRWDZBQOSA-N 1 2 289.325 3.602 20 0 CHADLO CC(C)c1ccccc1N(C)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000581729674 336139203 /nfs/dbraw/zinc/13/92/03/336139203.db2.gz HCPPLDNURNMITQ-AWEZNQCLSA-N 1 2 285.391 3.621 20 0 CHADLO CC(C)[C@@H](C)CNc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000386338945 336171125 /nfs/dbraw/zinc/17/11/25/336171125.db2.gz YRMUVQMNQBAMQJ-ZDUSSCGKSA-N 1 2 295.390 3.520 20 0 CHADLO CCOC(=O)[C@@H](C)[N@H+](C)Cc1ccc(SC(C)C)cc1 ZINC000358028864 521011408 /nfs/dbraw/zinc/01/14/08/521011408.db2.gz DXYOTAGUSKCAKC-CYBMUJFWSA-N 1 2 295.448 3.571 20 0 CHADLO CCOC(=O)[C@@H](C)[N@@H+](C)Cc1ccc(SC(C)C)cc1 ZINC000358028864 521011422 /nfs/dbraw/zinc/01/14/22/521011422.db2.gz DXYOTAGUSKCAKC-CYBMUJFWSA-N 1 2 295.448 3.571 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(Cl)cn2)s1 ZINC000274958532 522038883 /nfs/dbraw/zinc/03/88/83/522038883.db2.gz WFFKDVGSEFWVQN-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO C[C@H](CCC(C)(C)C)NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000353342277 533808574 /nfs/dbraw/zinc/80/85/74/533808574.db2.gz BBSWBPKPWMRCRL-GFCCVEGCSA-N 1 2 288.395 3.671 20 0 CHADLO COC[C@@H]([NH2+]Cc1cc(Cl)ccc1F)c1ccco1 ZINC000119445292 522360448 /nfs/dbraw/zinc/36/04/48/522360448.db2.gz MVARVEOAQKKOLU-CYBMUJFWSA-N 1 2 283.730 3.549 20 0 CHADLO C[C@@H]1CCC[C@H]1CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000347387578 533886483 /nfs/dbraw/zinc/88/64/83/533886483.db2.gz GIJPGUUHZAGYIL-KGLIPLIRSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cc(N2CC[C@H](c3ccccc3)C2)nc(C(C)C)[nH+]1 ZINC000301091504 533910540 /nfs/dbraw/zinc/91/05/40/533910540.db2.gz HVOYEXZTMKTYKN-INIZCTEOSA-N 1 2 281.403 3.902 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1cc2cccc(F)c2o1 ZINC000353232152 533942586 /nfs/dbraw/zinc/94/25/86/533942586.db2.gz KQNUQTUMZQCWMK-VIFPVBQESA-N 1 2 276.336 3.879 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nccn1C)C1CC1)c1cccc(F)c1F ZINC000353820064 533978946 /nfs/dbraw/zinc/97/89/46/533978946.db2.gz QWUIBYFFOXUNNW-ZUZCIYMTSA-N 1 2 291.345 3.500 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCCC[C@@H]1c1ccc(F)cc1F ZINC000356121815 533991423 /nfs/dbraw/zinc/99/14/23/533991423.db2.gz KOXFEXJGWNZTER-MRXNPFEDSA-N 1 2 291.345 3.724 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCCC[C@@H]1c1ccc(F)cc1F ZINC000356121815 533991429 /nfs/dbraw/zinc/99/14/29/533991429.db2.gz KOXFEXJGWNZTER-MRXNPFEDSA-N 1 2 291.345 3.724 20 0 CHADLO C[C@H](Nc1[nH+]ccc2ccc(F)cc21)c1ccccn1 ZINC000354576067 534032446 /nfs/dbraw/zinc/03/24/46/534032446.db2.gz YJRYOYQTHVPYMZ-NSHDSACASA-N 1 2 267.307 3.942 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000112964227 534056021 /nfs/dbraw/zinc/05/60/21/534056021.db2.gz LUXKDHPYKQXWKO-JTQLQIEISA-N 1 2 289.329 3.830 20 0 CHADLO CCCC(C)(C)Cc1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000554792030 517551263 /nfs/dbraw/zinc/55/12/63/517551263.db2.gz MXXNRTFAJLRKME-UHFFFAOYSA-N 1 2 298.390 3.677 20 0 CHADLO CC(C)([NH2+]Cc1cccc(O)c1Cl)c1nccs1 ZINC000293507606 519324956 /nfs/dbraw/zinc/32/49/56/519324956.db2.gz HURBDLZUVRNNBU-UHFFFAOYSA-N 1 2 282.796 3.527 20 0 CHADLO CC(C)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000278356534 519741780 /nfs/dbraw/zinc/74/17/80/519741780.db2.gz CPPSKAFAEGUBOS-UHFFFAOYSA-N 1 2 255.365 3.707 20 0 CHADLO CC(=O)[C@@H](C)SCc1ccc(C(C)C)[nH+]c1C ZINC000292744379 519760718 /nfs/dbraw/zinc/76/07/18/519760718.db2.gz UZWXJTDQQDQDPT-GFCCVEGCSA-N 1 2 251.395 3.724 20 0 CHADLO CC(C)SCC[NH2+][C@@H](c1ccccc1)C(F)F ZINC000292881424 519787736 /nfs/dbraw/zinc/78/77/36/519787736.db2.gz XXGGXMVPAZMBDW-LBPRGKRZSA-N 1 2 259.365 3.724 20 0 CHADLO CC(C)[C@@H](Nc1cc[nH+]c(C2CC2)n1)c1ccc(F)cc1 ZINC000336899293 519796412 /nfs/dbraw/zinc/79/64/12/519796412.db2.gz ASQQTOMMOSVUAZ-MRXNPFEDSA-N 1 2 285.366 3.724 20 0 CHADLO CC(C)[C@@H]1CCCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000265247961 519801928 /nfs/dbraw/zinc/80/19/28/519801928.db2.gz ADWMYUMTUHREKX-KRWDZBQOSA-N 1 2 297.402 3.523 20 0 CHADLO CC(C)[C@H](C)N(C(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CC1 ZINC000299198879 519811519 /nfs/dbraw/zinc/81/15/19/519811519.db2.gz SBDLORCWQKTNJK-AWEZNQCLSA-N 1 2 297.402 3.521 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@H+](C)Cc2ccon2)cc1 ZINC000265872064 519839878 /nfs/dbraw/zinc/83/98/78/519839878.db2.gz VXYIEKCBVZZJHU-ZDUSSCGKSA-N 1 2 258.365 3.991 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@@H+](C)Cc2ccon2)cc1 ZINC000265872064 519839879 /nfs/dbraw/zinc/83/98/79/519839879.db2.gz VXYIEKCBVZZJHU-ZDUSSCGKSA-N 1 2 258.365 3.991 20 0 CHADLO CC(C)c1nnc(C[N@H+]([C@H](C)c2ccccc2)C2CC2)[nH]1 ZINC000292542801 519861997 /nfs/dbraw/zinc/86/19/97/519861997.db2.gz QBTJJLLRKVUZDQ-CYBMUJFWSA-N 1 2 284.407 3.654 20 0 CHADLO CC(C)c1nnc(C[N@@H+]([C@H](C)c2ccccc2)C2CC2)[nH]1 ZINC000292542801 519862000 /nfs/dbraw/zinc/86/20/00/519862000.db2.gz QBTJJLLRKVUZDQ-CYBMUJFWSA-N 1 2 284.407 3.654 20 0 CHADLO CC(C)c1nnc(C[N@H+]([C@H](C)c2ccccc2)C2CC2)o1 ZINC000263938236 519885912 /nfs/dbraw/zinc/88/59/12/519885912.db2.gz DJYJWYMHHVLERY-CYBMUJFWSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)c1nnc(C[N@@H+]([C@H](C)c2ccccc2)C2CC2)o1 ZINC000263938236 519885914 /nfs/dbraw/zinc/88/59/14/519885914.db2.gz DJYJWYMHHVLERY-CYBMUJFWSA-N 1 2 285.391 3.919 20 0 CHADLO CCCn1ncnc1C[N@H+](C(C)C)[C@H](C)c1ccccc1 ZINC000292290959 519891910 /nfs/dbraw/zinc/89/19/10/519891910.db2.gz UFUBFPHNRZNOLW-OAHLLOKOSA-N 1 2 286.423 3.660 20 0 CHADLO CCCn1ncnc1C[N@@H+](C(C)C)[C@H](C)c1ccccc1 ZINC000292290959 519891913 /nfs/dbraw/zinc/89/19/13/519891913.db2.gz UFUBFPHNRZNOLW-OAHLLOKOSA-N 1 2 286.423 3.660 20 0 CHADLO CCCn1ncnc1C[N@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000279556475 519892290 /nfs/dbraw/zinc/89/22/90/519892290.db2.gz RSJRIDPMVQXSEH-LBPRGKRZSA-N 1 2 292.814 3.535 20 0 CHADLO CCCn1ncnc1C[N@@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000279556475 519892291 /nfs/dbraw/zinc/89/22/91/519892291.db2.gz RSJRIDPMVQXSEH-LBPRGKRZSA-N 1 2 292.814 3.535 20 0 CHADLO CC(C)c1noc(C[N@H+]([C@H](C)c2ccccc2)C2CC2)n1 ZINC000299388901 519902655 /nfs/dbraw/zinc/90/26/55/519902655.db2.gz QPWGEWFKAQOLIS-CYBMUJFWSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)c1noc(C[N@@H+]([C@H](C)c2ccccc2)C2CC2)n1 ZINC000299388901 519902660 /nfs/dbraw/zinc/90/26/60/519902660.db2.gz QPWGEWFKAQOLIS-CYBMUJFWSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000337173105 520096221 /nfs/dbraw/zinc/09/62/21/520096221.db2.gz GBPFPZYTSSCJEV-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000337173105 520096231 /nfs/dbraw/zinc/09/62/31/520096231.db2.gz GBPFPZYTSSCJEV-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000337244194 520097798 /nfs/dbraw/zinc/09/77/98/520097798.db2.gz NWRAJRIMHKDGFT-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000337244194 520097806 /nfs/dbraw/zinc/09/78/06/520097806.db2.gz NWRAJRIMHKDGFT-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO Fc1ccc(COc2cc[nH+]cc2)c(Br)c1 ZINC000356096894 534471251 /nfs/dbraw/zinc/47/12/51/534471251.db2.gz OIHDOOAPYPGXJG-UHFFFAOYSA-N 1 2 282.112 3.562 20 0 CHADLO CCO[C@@H](C)c1nccn1Cc1ccc(C(C)C)[nH+]c1C ZINC000338555636 520274381 /nfs/dbraw/zinc/27/43/81/520274381.db2.gz BSANWIPMENSYKP-AWEZNQCLSA-N 1 2 287.407 3.856 20 0 CHADLO CC1(C)C[N@H+](Cc2ccccc2F)[C@H]1c1cccnc1 ZINC000292833807 520482269 /nfs/dbraw/zinc/48/22/69/520482269.db2.gz RONDHRBGTYXZDY-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccccc2F)[C@H]1c1cccnc1 ZINC000292833807 520482282 /nfs/dbraw/zinc/48/22/82/520482282.db2.gz RONDHRBGTYXZDY-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO CCCC[N@@H+](Cc1ccccc1F)Cc1ncc(C)cn1 ZINC000340678920 520546495 /nfs/dbraw/zinc/54/64/95/520546495.db2.gz ZKYBREFLUYXRJM-UHFFFAOYSA-N 1 2 287.382 3.726 20 0 CHADLO CCCC[N@H+](Cc1ccccc1F)Cc1ncc(C)cn1 ZINC000340678920 520546507 /nfs/dbraw/zinc/54/65/07/520546507.db2.gz ZKYBREFLUYXRJM-UHFFFAOYSA-N 1 2 287.382 3.726 20 0 CHADLO CCC[C@@H](C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1)C(C)C ZINC000127690254 520579531 /nfs/dbraw/zinc/57/95/31/520579531.db2.gz GDZVPKUBJUGIQA-ZIAGYGMSSA-N 1 2 277.412 3.536 20 0 CHADLO CCC[C@@H]([NH2+][C@H]1CCn2ccnc21)c1ccc(F)cc1F ZINC000297646002 520795290 /nfs/dbraw/zinc/79/52/90/520795290.db2.gz JYWRZTUXPAGGEB-CABCVRRESA-N 1 2 291.345 3.737 20 0 CHADLO c1csc(C2([NH2+]Cc3nc4ccccc4[nH]3)CCCC2)n1 ZINC000344348333 534518114 /nfs/dbraw/zinc/51/81/14/534518114.db2.gz HYFIJQYJCYHAKY-UHFFFAOYSA-N 1 2 298.415 3.579 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@H]2CC=CCC2)[nH+]1 ZINC000336109476 534519197 /nfs/dbraw/zinc/51/91/97/534519197.db2.gz HDJQTNIKJOMUNT-ZDUSSCGKSA-N 1 2 281.359 3.680 20 0 CHADLO CC1=CCC[N@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000280926447 520861133 /nfs/dbraw/zinc/86/11/33/520861133.db2.gz UOIDGZNGNDSBOY-UHFFFAOYSA-N 1 2 257.164 3.540 20 0 CHADLO CC1=CCC[N@@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000280926447 520861140 /nfs/dbraw/zinc/86/11/40/520861140.db2.gz UOIDGZNGNDSBOY-UHFFFAOYSA-N 1 2 257.164 3.540 20 0 CHADLO CCCCOc1ccc(C[NH2+]Cc2cocn2)cc1Cl ZINC000277020577 520881677 /nfs/dbraw/zinc/88/16/77/520881677.db2.gz MQTCDTIIAXWUFO-UHFFFAOYSA-N 1 2 294.782 3.797 20 0 CHADLO CCOc1ccc(Cl)cc1[C@H](C)[NH2+]Cc1cc(C)no1 ZINC000125550689 521018245 /nfs/dbraw/zinc/01/82/45/521018245.db2.gz VDHYVDWHUPBPTA-NSHDSACASA-N 1 2 294.782 3.886 20 0 CHADLO CCN(CCc1cccs1)C(=O)Nc1cc[nH+]c(C)c1 ZINC000271092914 521168579 /nfs/dbraw/zinc/16/85/79/521168579.db2.gz VPFPKLAADRVTHO-UHFFFAOYSA-N 1 2 289.404 3.548 20 0 CHADLO CCCCc1noc(C[NH2+]C(C)(C)c2ccccc2C)n1 ZINC000293017493 521336106 /nfs/dbraw/zinc/33/61/06/521336106.db2.gz OONHXRWEJRDSMW-UHFFFAOYSA-N 1 2 287.407 3.746 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2cccnc2Cl)o1 ZINC000293218384 521500279 /nfs/dbraw/zinc/50/02/79/521500279.db2.gz AITVYVCOFIBAEG-SNVBAGLBSA-N 1 2 293.798 3.835 20 0 CHADLO CCC[N@H+](Cc1cocn1)[C@@H](C)c1ccccc1OC ZINC000278170300 521692723 /nfs/dbraw/zinc/69/27/23/521692723.db2.gz OZZXDMGWURGUGF-ZDUSSCGKSA-N 1 2 274.364 3.656 20 0 CHADLO CCC[N@@H+](Cc1cocn1)[C@@H](C)c1ccccc1OC ZINC000278170300 521692727 /nfs/dbraw/zinc/69/27/27/521692727.db2.gz OZZXDMGWURGUGF-ZDUSSCGKSA-N 1 2 274.364 3.656 20 0 CHADLO CC[C@@H](C)CN(C)C(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000337849232 521968698 /nfs/dbraw/zinc/96/86/98/521968698.db2.gz XMJWTIAMTBSAPC-CQSZACIVSA-N 1 2 298.386 3.992 20 0 CHADLO COCC[C@H]([NH2+]Cc1cccc(O)c1Cl)c1ccco1 ZINC000293235564 521975188 /nfs/dbraw/zinc/97/51/88/521975188.db2.gz KZJWCFIDGNCISU-LBPRGKRZSA-N 1 2 295.766 3.506 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C(C)(C)c1ccccc1F ZINC000265076808 522078059 /nfs/dbraw/zinc/07/80/59/522078059.db2.gz YTIMXLAZYKOVCA-UHFFFAOYSA-N 1 2 286.350 3.699 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@H](C)c1ccccc1 ZINC000265002073 522080611 /nfs/dbraw/zinc/08/06/11/522080611.db2.gz DWYSYXSOWDRZAO-ZDUSSCGKSA-N 1 2 268.360 3.776 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@H+](C)Cc2nc(C)cs2)s1 ZINC000088601585 522229417 /nfs/dbraw/zinc/22/94/17/522229417.db2.gz LRTFNOJXHOOSFO-NSHDSACASA-N 1 2 295.477 3.972 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@@H+](C)Cc2nc(C)cs2)s1 ZINC000088601585 522229425 /nfs/dbraw/zinc/22/94/25/522229425.db2.gz LRTFNOJXHOOSFO-NSHDSACASA-N 1 2 295.477 3.972 20 0 CHADLO CC[C@H](CSC)[N@@H+](C)Cc1ncc(C(F)(F)F)s1 ZINC000290659898 522273289 /nfs/dbraw/zinc/27/32/89/522273289.db2.gz ZQJOHCLQDPEHGL-MRVPVSSYSA-N 1 2 298.399 3.735 20 0 CHADLO CN(C)c1ccc(NCc2ncc(C(C)(C)C)s2)c[nH+]1 ZINC000279020549 522236533 /nfs/dbraw/zinc/23/65/33/522236533.db2.gz AHUDFUGXDSTMLE-UHFFFAOYSA-N 1 2 290.436 3.514 20 0 CHADLO CC[C@H](CSC)[N@H+](C)Cc1ncc(C(F)(F)F)s1 ZINC000290659898 522273283 /nfs/dbraw/zinc/27/32/83/522273283.db2.gz ZQJOHCLQDPEHGL-MRVPVSSYSA-N 1 2 298.399 3.735 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(F)cc2F)cs1 ZINC000124053405 522352983 /nfs/dbraw/zinc/35/29/83/522352983.db2.gz VVJJWROLKSQFFS-SECBINFHSA-N 1 2 282.359 3.835 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2C[C@@H](C)Oc3ccccc32)cs1 ZINC000295099660 522353433 /nfs/dbraw/zinc/35/34/33/522353433.db2.gz SNSNQEVCQKCAPO-BXUZGUMPSA-N 1 2 288.416 3.707 20 0 CHADLO CCc1nc2cc(CNc3cccc[nH+]3)ccc2n1C1CC1 ZINC000338489207 522485583 /nfs/dbraw/zinc/48/55/83/522485583.db2.gz LCMPHCNZLQZLSN-UHFFFAOYSA-N 1 2 292.386 3.941 20 0 CHADLO CC[C@H]([NH2+]Cc1ccco1)c1nc(C(F)(F)F)cs1 ZINC000072926744 522659503 /nfs/dbraw/zinc/65/95/03/522659503.db2.gz VDJDPOGXWGJQNX-VIFPVBQESA-N 1 2 290.310 3.996 20 0 CHADLO CCc1nn(C)cc1N[C@H]1CCC[C@@H](c2[nH+]cccc2C)C1 ZINC000281641304 522701531 /nfs/dbraw/zinc/70/15/31/522701531.db2.gz PALZZNYXQTZKGG-CABCVRRESA-N 1 2 298.434 3.824 20 0 CHADLO CCc1nnc(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccc(C)o2)o1 ZINC000076120494 522733754 /nfs/dbraw/zinc/73/37/54/522733754.db2.gz FGLPYRLFCNWVAP-WCQYABFASA-N 1 2 289.379 3.507 20 0 CHADLO CCc1nnc(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccc(C)o2)o1 ZINC000076120494 522733762 /nfs/dbraw/zinc/73/37/62/522733762.db2.gz FGLPYRLFCNWVAP-WCQYABFASA-N 1 2 289.379 3.507 20 0 CHADLO CCc1noc(C)c1C[NH2+]Cc1c(F)cccc1Cl ZINC000292830291 522841818 /nfs/dbraw/zinc/84/18/18/522841818.db2.gz AMDFGBHILPRDSK-UHFFFAOYSA-N 1 2 282.746 3.628 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(OC)ccc1F)c1nccs1 ZINC000339274463 522872521 /nfs/dbraw/zinc/87/25/21/522872521.db2.gz MZSVJXHTDAZSQP-CYBMUJFWSA-N 1 2 280.368 3.532 20 0 CHADLO COCCCCCSCc1cn2cccc(C)c2[nH+]1 ZINC000084495771 523137188 /nfs/dbraw/zinc/13/71/88/523137188.db2.gz NEEFZFNYNYLIIH-UHFFFAOYSA-N 1 2 278.421 3.693 20 0 CHADLO CCn1nc(C[N@H+](C)Cc2occc2C)c2ccccc21 ZINC000292811585 523675560 /nfs/dbraw/zinc/67/55/60/523675560.db2.gz OWRLVEIGHONNKX-UHFFFAOYSA-N 1 2 283.375 3.590 20 0 CHADLO CCn1nc(C[N@@H+](C)Cc2occc2C)c2ccccc21 ZINC000292811585 523675565 /nfs/dbraw/zinc/67/55/65/523675565.db2.gz OWRLVEIGHONNKX-UHFFFAOYSA-N 1 2 283.375 3.590 20 0 CHADLO CCc1cc(N[C@@H](C)c2cc(C)sc2C)nc[nH+]1 ZINC000049509425 523823532 /nfs/dbraw/zinc/82/35/32/523823532.db2.gz SJPRNVKLZYKUPW-JTQLQIEISA-N 1 2 261.394 3.890 20 0 CHADLO CCc1ccc(-c2noc([C@H](C)[NH2+]Cc3ccco3)n2)cc1 ZINC000276753912 523864795 /nfs/dbraw/zinc/86/47/95/523864795.db2.gz LLHLVUFCBXVPBC-LBPRGKRZSA-N 1 2 297.358 3.743 20 0 CHADLO CCc1ccc([C@@H]([NH2+]Cc2cn[nH]c2C)C(C)C)cc1 ZINC000036901657 524032693 /nfs/dbraw/zinc/03/26/93/524032693.db2.gz DOPXBSRIGBAPAL-KRWDZBQOSA-N 1 2 271.408 3.767 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2nccn2C)o1 ZINC000076145080 524056233 /nfs/dbraw/zinc/05/62/33/524056233.db2.gz SCKPJSRLKBWUCZ-DZGCQCFKSA-N 1 2 287.407 3.549 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2nccn2C)o1 ZINC000076145080 524056237 /nfs/dbraw/zinc/05/62/37/524056237.db2.gz SCKPJSRLKBWUCZ-DZGCQCFKSA-N 1 2 287.407 3.549 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2nnc(C)o2)o1 ZINC000076145024 524056731 /nfs/dbraw/zinc/05/67/31/524056731.db2.gz WCVKKTVMFIEQKN-BXUZGUMPSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2nnc(C)o2)o1 ZINC000076145024 524056733 /nfs/dbraw/zinc/05/67/33/524056733.db2.gz WCVKKTVMFIEQKN-BXUZGUMPSA-N 1 2 289.379 3.507 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000279374668 524114294 /nfs/dbraw/zinc/11/42/94/524114294.db2.gz JJVZQOUTKUJIBS-MRVPVSSYSA-N 1 2 264.316 3.536 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000279374668 524114302 /nfs/dbraw/zinc/11/43/02/524114302.db2.gz JJVZQOUTKUJIBS-MRVPVSSYSA-N 1 2 264.316 3.536 20 0 CHADLO COc1ccc(Cl)cc1[C@H](C)[NH2+]Cc1nccs1 ZINC000128217267 524253793 /nfs/dbraw/zinc/25/37/93/524253793.db2.gz AMWCBNCNBGUYGM-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO Cc1ccc(CCC(=O)Nc2ccc(C)[nH+]c2C)s1 ZINC000291352415 524301710 /nfs/dbraw/zinc/30/17/10/524301710.db2.gz SKUDUFYUYAXBJM-UHFFFAOYSA-N 1 2 274.389 3.640 20 0 CHADLO COc1ccc(F)cc1C[NH2+]C(C)(C)c1nc(C)cs1 ZINC000265442686 524313574 /nfs/dbraw/zinc/31/35/74/524313574.db2.gz QKYQFHMAXXNEGC-UHFFFAOYSA-N 1 2 294.395 3.624 20 0 CHADLO COc1ccc(F)cc1C[NH2+][C@@H](C)c1cccnc1Cl ZINC000275398954 524315635 /nfs/dbraw/zinc/31/56/35/524315635.db2.gz ZLLMLYQZEYDIBL-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO C[C@@H](C1CC1)[N@H+](Cc1noc(C2CC2)n1)Cc1ccccc1 ZINC000053608333 524325884 /nfs/dbraw/zinc/32/58/84/524325884.db2.gz RGMRTCRVSGQEQZ-ZDUSSCGKSA-N 1 2 297.402 3.748 20 0 CHADLO C[C@@H](C1CC1)[N@@H+](Cc1noc(C2CC2)n1)Cc1ccccc1 ZINC000053608333 524325890 /nfs/dbraw/zinc/32/58/90/524325890.db2.gz RGMRTCRVSGQEQZ-ZDUSSCGKSA-N 1 2 297.402 3.748 20 0 CHADLO Cc1cccc(C[N@@H+]2CC[C@@](F)(c3ccccc3F)C2)n1 ZINC000338589614 524402582 /nfs/dbraw/zinc/40/25/82/524402582.db2.gz TZHYOWPNMMFWED-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1cccc(C[N@H+]2CC[C@@](F)(c3ccccc3F)C2)n1 ZINC000338589614 524402588 /nfs/dbraw/zinc/40/25/88/524402588.db2.gz TZHYOWPNMMFWED-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1cccc(C[N@@H+]2CC[C@](F)(c3ccccc3F)C2)n1 ZINC000338589615 524406022 /nfs/dbraw/zinc/40/60/22/524406022.db2.gz TZHYOWPNMMFWED-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1cccc(C[N@H+]2CC[C@](F)(c3ccccc3F)C2)n1 ZINC000338589615 524406031 /nfs/dbraw/zinc/40/60/31/524406031.db2.gz TZHYOWPNMMFWED-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cn(C(C)C)nn2)C2CC2)cc1C ZINC000290027193 524421625 /nfs/dbraw/zinc/42/16/25/524421625.db2.gz NDGGUOLJIXGLLQ-UHFFFAOYSA-N 1 2 298.434 3.640 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cn(C(C)C)nn2)C2CC2)cc1C ZINC000290027193 524421639 /nfs/dbraw/zinc/42/16/39/524421639.db2.gz NDGGUOLJIXGLLQ-UHFFFAOYSA-N 1 2 298.434 3.640 20 0 CHADLO Cc1cccc(C[NH2+]Cc2ncc(C(F)(F)F)s2)c1 ZINC000278639370 524435074 /nfs/dbraw/zinc/43/50/74/524435074.db2.gz LFTSWQLRGNGART-UHFFFAOYSA-N 1 2 286.322 3.760 20 0 CHADLO Cc1ccc(C[C@@H](C)Nc2cc[nH+]c(C3CC3)n2)s1 ZINC000150864984 524662958 /nfs/dbraw/zinc/66/29/58/524662958.db2.gz FVXJWYLGBCQHBV-SNVBAGLBSA-N 1 2 273.405 3.767 20 0 CHADLO COc1ccccc1[C@@H]([NH2+]Cc1ccco1)c1ccco1 ZINC000151136216 524742202 /nfs/dbraw/zinc/74/22/02/524742202.db2.gz PEISCMZHEYSLQH-QGZVFWFLSA-N 1 2 283.327 3.760 20 0 CHADLO C[C@H]1CSC(SCc2ccc(-n3cc[nH+]c3)cc2)=N1 ZINC000276550503 524750295 /nfs/dbraw/zinc/75/02/95/524750295.db2.gz IYAGHLLUVTWOGU-NSHDSACASA-N 1 2 289.429 3.597 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2nc(-c3ccccc3)cs2)on1 ZINC000125682203 524766656 /nfs/dbraw/zinc/76/66/56/524766656.db2.gz ROTPXBJRJRVMBW-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@H]1C[C@@H](Nc2cn(C)nc2C2CCCCC2)c2[nH+]ccn21 ZINC000297318930 524815107 /nfs/dbraw/zinc/81/51/07/524815107.db2.gz NEFABIRROQGSGP-GXTWGEPZSA-N 1 2 299.422 3.782 20 0 CHADLO COc1ccncc1C[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000340399855 524859381 /nfs/dbraw/zinc/85/93/81/524859381.db2.gz HPQHWQACUJJAEX-SFHVURJKSA-N 1 2 294.354 3.562 20 0 CHADLO Cc1cc(Cl)ccc1N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000294053514 524901066 /nfs/dbraw/zinc/90/10/66/524901066.db2.gz JPHKIXFXFFESAI-ZWNOBZJWSA-N 1 2 261.756 3.963 20 0 CHADLO Cc1cccc2nc(N3CCC(n4cc[nH+]c4)CC3)sc21 ZINC000280689589 524989869 /nfs/dbraw/zinc/98/98/69/524989869.db2.gz JFYQGVCGXCJSNA-UHFFFAOYSA-N 1 2 298.415 3.643 20 0 CHADLO C[C@H]1[N@H+](Cc2cscc2C(F)(F)F)CCOC1(C)C ZINC000356123115 525006438 /nfs/dbraw/zinc/00/64/38/525006438.db2.gz MWTANKCDHUYDPE-SECBINFHSA-N 1 2 293.354 3.766 20 0 CHADLO C[C@H]1[N@@H+](Cc2cscc2C(F)(F)F)CCOC1(C)C ZINC000356123115 525006449 /nfs/dbraw/zinc/00/64/49/525006449.db2.gz MWTANKCDHUYDPE-SECBINFHSA-N 1 2 293.354 3.766 20 0 CHADLO Cc1ccccc1CSc1[nH+]cnc2[nH]ccc21 ZINC000339237440 525187595 /nfs/dbraw/zinc/18/75/95/525187595.db2.gz QIDZBAXLMCNUFE-UHFFFAOYSA-N 1 2 255.346 3.559 20 0 CHADLO Cc1cc(NC2CCN(c3cccc[nH+]3)CC2)ccc1F ZINC000061117204 525265409 /nfs/dbraw/zinc/26/54/09/525265409.db2.gz CJCLWNFOQMDEHV-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO Cc1cc(NCc2cc(Cl)sc2Cl)nc[nH+]1 ZINC000309726698 525276869 /nfs/dbraw/zinc/27/68/69/525276869.db2.gz YEXJOKHTLQNNAE-UHFFFAOYSA-N 1 2 274.176 3.765 20 0 CHADLO Cc1ccc(N[C@@H]2CCn3cc[nH+]c32)cc1C(F)(F)F ZINC000294192949 525315403 /nfs/dbraw/zinc/31/54/03/525315403.db2.gz SNOXXMSKGOXLAO-GFCCVEGCSA-N 1 2 281.281 3.767 20 0 CHADLO CSC1(CNc2cc(C(F)(F)F)cc[nH+]2)CCC1 ZINC000227988362 525340797 /nfs/dbraw/zinc/34/07/97/525340797.db2.gz UWPLOIVIMRRDMO-UHFFFAOYSA-N 1 2 276.327 3.798 20 0 CHADLO Cc1ccccc1[C@@H](C)CC(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000276612768 525344900 /nfs/dbraw/zinc/34/49/00/525344900.db2.gz MXCNPUUTTQGQBN-WMLDXEAASA-N 1 2 299.418 3.725 20 0 CHADLO CSCCCCCNc1cc[nH+]c2ccncc12 ZINC000274744098 525428934 /nfs/dbraw/zinc/42/89/34/525428934.db2.gz FXKZMWCTSAZHAX-UHFFFAOYSA-N 1 2 261.394 3.575 20 0 CHADLO C[C@H]([NH2+]Cc1cc[nH]n1)c1cc(Cl)ccc1Cl ZINC000041014181 525433478 /nfs/dbraw/zinc/43/34/78/525433478.db2.gz COVNSCOTOXBLSV-QMMMGPOBSA-N 1 2 270.163 3.567 20 0 CHADLO CSCC[C@@H](C)N(C)c1[nH+]ccc2ccc(F)cc21 ZINC000340258217 525526915 /nfs/dbraw/zinc/52/69/15/525526915.db2.gz LGCFRXMBSAVKNK-LLVKDONJSA-N 1 2 278.396 3.952 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(C2CCCCC2)n1)c1cn[nH]c1 ZINC000275131625 525556924 /nfs/dbraw/zinc/55/69/24/525556924.db2.gz BYWGLTYZRMHMSJ-LLVKDONJSA-N 1 2 290.436 3.765 20 0 CHADLO C[C@@H]([NH2+]Cc1nccs1)c1ccc(F)cc1Cl ZINC000041012755 525563378 /nfs/dbraw/zinc/56/33/78/525563378.db2.gz XMTXDROJEZQOTB-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@@H]([NH2+]Cc1noc2c1CCCC2)c1ccccc1F ZINC000365160751 525567454 /nfs/dbraw/zinc/56/74/54/525567454.db2.gz RPKVZVQGNRHYPK-LLVKDONJSA-N 1 2 274.339 3.543 20 0 CHADLO C[C@H]([NH2+][C@H]1CSc2ccccc21)c1nccs1 ZINC000156278602 525635585 /nfs/dbraw/zinc/63/55/85/525635585.db2.gz CLMHYZGGCCPRHR-ONGXEEELSA-N 1 2 262.403 3.641 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H]2CCc3ccc(Cl)cc32)s1 ZINC000336004698 534871711 /nfs/dbraw/zinc/87/17/11/534871711.db2.gz PACOAXRPRLQEFB-AMIZOPFISA-N 1 2 293.823 3.838 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccsc1 ZINC000050341429 525656173 /nfs/dbraw/zinc/65/61/73/525656173.db2.gz LHLNWJKPBZMGDL-LBPRGKRZSA-N 1 2 273.405 3.916 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)cc1F)c1nccs1 ZINC000070984360 525659634 /nfs/dbraw/zinc/65/96/34/525659634.db2.gz OSOZGLADBQFIAM-RKDXNWHRSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@@H+]2Cc2cnns2)o1 ZINC000290131785 525798521 /nfs/dbraw/zinc/79/85/21/525798521.db2.gz VBYYHUWZSVEXJG-ZDUSSCGKSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@H+]2Cc2cnns2)o1 ZINC000290131785 525798528 /nfs/dbraw/zinc/79/85/28/525798528.db2.gz VBYYHUWZSVEXJG-ZDUSSCGKSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C[C@@H]1C=CCC1 ZINC000280188848 525831681 /nfs/dbraw/zinc/83/16/81/525831681.db2.gz MBLRUJVHYCCTGP-CYBMUJFWSA-N 1 2 281.359 3.680 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C1CCCC1 ZINC000280001469 525832533 /nfs/dbraw/zinc/83/25/33/525832533.db2.gz LFVPWJCLDIPDME-UHFFFAOYSA-N 1 2 269.348 3.514 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CC(C)(C)C ZINC000279908791 525832621 /nfs/dbraw/zinc/83/26/21/525832621.db2.gz FZLNXWLQBIKLKA-UHFFFAOYSA-N 1 2 271.364 3.760 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ncc(C(F)(F)F)cn2)cc1 ZINC000296466387 525930354 /nfs/dbraw/zinc/93/03/54/525930354.db2.gz NOTQQGDUIQWIIW-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](C)c2nc(C(C)C)no2)cc1 ZINC000079323982 525933944 /nfs/dbraw/zinc/93/39/44/525933944.db2.gz IUCSVDQRKFDVGU-STQMWFEESA-N 1 2 273.380 3.913 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](C)c2nc(C3CC3)no2)cc1 ZINC000079324049 525935323 /nfs/dbraw/zinc/93/53/23/525935323.db2.gz VVTZINDJYJGPJL-RYUDHWBXSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@H](C)C[C@@H]2c2cccc(F)c2)nc1 ZINC000340845094 526025713 /nfs/dbraw/zinc/02/57/13/526025713.db2.gz XJVALQCHHGVVQU-MLGOLLRUSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@H](C)C[C@@H]2c2cccc(F)c2)nc1 ZINC000340845094 526025721 /nfs/dbraw/zinc/02/57/21/526025721.db2.gz XJVALQCHHGVVQU-MLGOLLRUSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)nc1 ZINC000340696508 526027407 /nfs/dbraw/zinc/02/74/07/526027407.db2.gz MSQHVIQZUDJCGF-MLGOLLRUSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)nc1 ZINC000340696508 526027421 /nfs/dbraw/zinc/02/74/21/526027421.db2.gz MSQHVIQZUDJCGF-MLGOLLRUSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccccc2OCC2CC2)s1 ZINC000266151999 526041202 /nfs/dbraw/zinc/04/12/02/526041202.db2.gz KVDKWFPJSBKUPT-UHFFFAOYSA-N 1 2 288.416 3.530 20 0 CHADLO COc1cccc(CNc2c[nH+]ccc2C)c1OC(F)F ZINC000071413672 526044389 /nfs/dbraw/zinc/04/43/89/526044389.db2.gz HWDOHRFNLDEIOK-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](Cc1cc[nH]n1)C1CC1 ZINC000129891137 526111235 /nfs/dbraw/zinc/11/12/35/526111235.db2.gz LVINPONVUDBCEC-LLVKDONJSA-N 1 2 275.783 3.789 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](Cc1cc[nH]n1)C1CC1 ZINC000129891137 526111245 /nfs/dbraw/zinc/11/12/45/526111245.db2.gz LVINPONVUDBCEC-LLVKDONJSA-N 1 2 275.783 3.789 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1ccc(Cl)nc1Cl ZINC000278782420 526120079 /nfs/dbraw/zinc/12/00/79/526120079.db2.gz ZNBYEMIKRSTGTE-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1ccc(Cl)nc1Cl ZINC000278782420 526120084 /nfs/dbraw/zinc/12/00/84/526120084.db2.gz ZNBYEMIKRSTGTE-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1cccc(F)c1F ZINC000127879944 526132205 /nfs/dbraw/zinc/13/22/05/526132205.db2.gz GAFYKYLKXLBDGQ-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1cccc(F)c1F ZINC000127879944 526132215 /nfs/dbraw/zinc/13/22/15/526132215.db2.gz GAFYKYLKXLBDGQ-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1ccn(C(C)C)n1 ZINC000280546293 526157899 /nfs/dbraw/zinc/15/78/99/526157899.db2.gz UAJQSAFYYBAHRA-UHFFFAOYSA-N 1 2 289.448 3.818 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1ccn(C(C)C)n1 ZINC000280546293 526157910 /nfs/dbraw/zinc/15/79/10/526157910.db2.gz UAJQSAFYYBAHRA-UHFFFAOYSA-N 1 2 289.448 3.818 20 0 CHADLO CSc1ccccc1CN(C)Cc1[nH+]ccn1C(C)C ZINC000280283073 526159241 /nfs/dbraw/zinc/15/92/41/526159241.db2.gz DRIMERZKULAUNI-UHFFFAOYSA-N 1 2 289.448 3.818 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1nccn1C(C)C ZINC000280283073 526159249 /nfs/dbraw/zinc/15/92/49/526159249.db2.gz DRIMERZKULAUNI-UHFFFAOYSA-N 1 2 289.448 3.818 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1nccn1C(C)C ZINC000280283073 526159258 /nfs/dbraw/zinc/15/92/58/526159258.db2.gz DRIMERZKULAUNI-UHFFFAOYSA-N 1 2 289.448 3.818 20 0 CHADLO CSc1ccccc1[C@@H](C)Nc1cc(C)[nH+]c(C2CC2)n1 ZINC000336915614 526181054 /nfs/dbraw/zinc/18/10/54/526181054.db2.gz BGSWXQRCIZANDA-GFCCVEGCSA-N 1 2 299.443 3.979 20 0 CHADLO C[C@H](C1CC1)[N@H+](Cc1noc(C2CC2)n1)Cc1ccccc1 ZINC000053608336 526207208 /nfs/dbraw/zinc/20/72/08/526207208.db2.gz RGMRTCRVSGQEQZ-CYBMUJFWSA-N 1 2 297.402 3.748 20 0 CHADLO C[C@H](C1CC1)[N@@H+](Cc1noc(C2CC2)n1)Cc1ccccc1 ZINC000053608336 526207214 /nfs/dbraw/zinc/20/72/14/526207214.db2.gz RGMRTCRVSGQEQZ-CYBMUJFWSA-N 1 2 297.402 3.748 20 0 CHADLO Cc1c[nH+]ccc1CCN[C@H](c1ccccc1)C(F)(F)F ZINC000273353543 526227642 /nfs/dbraw/zinc/22/76/42/526227642.db2.gz QXPONMRYRYFNKF-OAHLLOKOSA-N 1 2 294.320 3.826 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](C)CSc1ccccc1 ZINC000110767974 526240973 /nfs/dbraw/zinc/24/09/73/526240973.db2.gz MZPQFIBJSZEFBW-ZDUSSCGKSA-N 1 2 286.400 3.757 20 0 CHADLO Cc1coc(C)c1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000339925928 526287456 /nfs/dbraw/zinc/28/74/56/526287456.db2.gz HPKFRNOLUFASTM-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2ccc(Cl)c(F)c2)n1 ZINC000127808566 526341381 /nfs/dbraw/zinc/34/13/81/526341381.db2.gz YPZOQPKWVUUKHR-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2ccc(Cl)c(F)c2)n1 ZINC000127808566 526341384 /nfs/dbraw/zinc/34/13/84/526341384.db2.gz YPZOQPKWVUUKHR-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO O=C(CC1CC1)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000299651131 526346520 /nfs/dbraw/zinc/34/65/20/526346520.db2.gz ZYORZNFAYGVPLT-UHFFFAOYSA-N 1 2 269.348 3.569 20 0 CHADLO O=C(CC1CC1)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000112182976 526346612 /nfs/dbraw/zinc/34/66/12/526346612.db2.gz IYPMHSSMRMAIQH-UHFFFAOYSA-N 1 2 268.316 3.613 20 0 CHADLO Oc1cccc(C[NH2+]Cc2ccc3ccccc3n2)c1Cl ZINC000339202811 526360781 /nfs/dbraw/zinc/36/07/81/526360781.db2.gz SBQACYDSPMWXRL-UHFFFAOYSA-N 1 2 298.773 3.884 20 0 CHADLO Cc1csc(C[NH2+][C@@H](C)c2ccccc2OC(F)F)n1 ZINC000038090657 526361128 /nfs/dbraw/zinc/36/11/28/526361128.db2.gz RCZPWKUOKDKUOB-JTQLQIEISA-N 1 2 298.358 3.904 20 0 CHADLO Cc1csc(C[NH2+][C@H](C)c2cccc(F)c2F)n1 ZINC000121909683 526361618 /nfs/dbraw/zinc/36/16/18/526361618.db2.gz JWHHDVGYTJTSEU-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO Fc1cccc(C[N@H+](Cc2ncc(C3CC3)o2)C2CC2)c1 ZINC000340657891 526384873 /nfs/dbraw/zinc/38/48/73/526384873.db2.gz JJCGKTZPTOBMTI-UHFFFAOYSA-N 1 2 286.350 3.856 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2ncc(C3CC3)o2)C2CC2)c1 ZINC000340657891 526384881 /nfs/dbraw/zinc/38/48/81/526384881.db2.gz JJCGKTZPTOBMTI-UHFFFAOYSA-N 1 2 286.350 3.856 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@H+]2Cc2cc(-c3ccco3)on2)c1 ZINC000264232548 526409626 /nfs/dbraw/zinc/40/96/26/526409626.db2.gz RYZAZSQVCBCQQP-CQSZACIVSA-N 1 2 283.331 3.600 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@@H+]2Cc2cc(-c3ccco3)on2)c1 ZINC000264232548 526409629 /nfs/dbraw/zinc/40/96/29/526409629.db2.gz RYZAZSQVCBCQQP-CQSZACIVSA-N 1 2 283.331 3.600 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)Cc1csc2ccccc12 ZINC000128503443 526547263 /nfs/dbraw/zinc/54/72/63/526547263.db2.gz MOKRTSUGQSWWTB-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)Cc1csc2ccccc12 ZINC000128503443 526547267 /nfs/dbraw/zinc/54/72/67/526547267.db2.gz MOKRTSUGQSWWTB-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO CC(C)(C)[C@@H]1CCCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344401534 526654295 /nfs/dbraw/zinc/65/42/95/526654295.db2.gz OSHUVVVLYAVBQZ-INIZCTEOSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccc(F)c2)C(C)C)oc1C ZINC000299139520 526848876 /nfs/dbraw/zinc/84/88/76/526848876.db2.gz RJLKNENVIQRKRY-UHFFFAOYSA-N 1 2 276.355 3.841 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccc(F)c2)C(C)C)oc1C ZINC000299139520 526848880 /nfs/dbraw/zinc/84/88/80/526848880.db2.gz RJLKNENVIQRKRY-UHFFFAOYSA-N 1 2 276.355 3.841 20 0 CHADLO Cc1nc(C[N@@H+]2CCSC[C@@H]2c2ccccc2)cs1 ZINC000076731395 526868726 /nfs/dbraw/zinc/86/87/26/526868726.db2.gz PCNKGVFMXPASBA-OAHLLOKOSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1nc(C[N@H+]2CCSC[C@@H]2c2ccccc2)cs1 ZINC000076731395 526868732 /nfs/dbraw/zinc/86/87/32/526868732.db2.gz PCNKGVFMXPASBA-OAHLLOKOSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1sccc1C[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000339288404 526917898 /nfs/dbraw/zinc/91/78/98/526917898.db2.gz BLZWXCXTEUJUIO-VIFPVBQESA-N 1 2 279.409 3.588 20 0 CHADLO CC(C)(C)n1cc(C[NH2+]CC(F)(F)c2ccccc2)cn1 ZINC000353224350 526922878 /nfs/dbraw/zinc/92/28/78/526922878.db2.gz UWUFYUDSPQATNH-UHFFFAOYSA-N 1 2 293.361 3.520 20 0 CHADLO Cc1nc(N2CCC(c3ccc(C)[nH+]c3)CC2)sc1C ZINC000340769057 526964943 /nfs/dbraw/zinc/96/49/43/526964943.db2.gz BWPRADBHFKGZAM-UHFFFAOYSA-N 1 2 287.432 3.847 20 0 CHADLO Clc1ccc2c(c1)[C@H]([NH2+]Cc1csnn1)CCS2 ZINC000037073481 526967785 /nfs/dbraw/zinc/96/77/85/526967785.db2.gz DGRNMWQRLVZBLX-LLVKDONJSA-N 1 2 297.836 3.518 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](c2ccccc2)C2CCC2)no1 ZINC000289365567 527067553 /nfs/dbraw/zinc/06/75/53/527067553.db2.gz NOELUSHFMZVKHL-XHDPSFHLSA-N 1 2 271.364 3.570 20 0 CHADLO Cc1[nH+]c2ccccc2n1C1CCN(C(=O)CC(C)C)CC1 ZINC000279865749 527164093 /nfs/dbraw/zinc/16/40/93/527164093.db2.gz VNXQWQKGWWHBMW-UHFFFAOYSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1ncc(C[NH2+][C@@H](COCC(C)C)c2ccco2)s1 ZINC000236630165 527275289 /nfs/dbraw/zinc/27/52/89/527275289.db2.gz JUJJKQBSGUKQGV-AWEZNQCLSA-N 1 2 294.420 3.548 20 0 CHADLO Cc1nc(NC[C@H](c2ccccc2)C(F)(F)F)cc[nH+]1 ZINC000275025411 527351663 /nfs/dbraw/zinc/35/16/63/527351663.db2.gz UVIPDODBFMZLEG-GFCCVEGCSA-N 1 2 281.281 3.543 20 0 CHADLO Cn1cc[nH+]c1[C@@H]1N(c2nc3ccccc3s2)CC1(C)C ZINC000296252207 527460435 /nfs/dbraw/zinc/46/04/35/527460435.db2.gz IYRDXGBHVKATJE-ZDUSSCGKSA-N 1 2 298.415 3.617 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000066565592 527498580 /nfs/dbraw/zinc/49/85/80/527498580.db2.gz KWJTZMQIVBAKHO-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000066565592 527498587 /nfs/dbraw/zinc/49/85/87/527498587.db2.gz KWJTZMQIVBAKHO-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC000066564522 527499646 /nfs/dbraw/zinc/49/96/46/527499646.db2.gz LROOHNRRKQSMPB-UHFFFAOYSA-N 1 2 289.429 3.693 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000066564522 527499653 /nfs/dbraw/zinc/49/96/53/527499653.db2.gz LROOHNRRKQSMPB-UHFFFAOYSA-N 1 2 289.429 3.693 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1ncc(C(C)(C)C)s1 ZINC000279815750 527500445 /nfs/dbraw/zinc/50/04/45/527500445.db2.gz LNRRSXZMKSHGOF-UHFFFAOYSA-N 1 2 295.477 3.838 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1ncc(C(C)(C)C)s1 ZINC000279815750 527500450 /nfs/dbraw/zinc/50/04/50/527500450.db2.gz LNRRSXZMKSHGOF-UHFFFAOYSA-N 1 2 295.477 3.838 20 0 CHADLO C/C(=C\c1nc(Cc2ccc(C)[nH+]c2)no1)c1ccccc1 ZINC000356141419 527537752 /nfs/dbraw/zinc/53/77/52/527537752.db2.gz VTANVQFFJGQOEG-JLHYYAGUSA-N 1 2 291.354 3.924 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+]([C@H](C)c1ccco1)C1CC1 ZINC000127793081 527665503 /nfs/dbraw/zinc/66/55/03/527665503.db2.gz DVOUNBJKZOOQJP-LLVKDONJSA-N 1 2 293.798 3.701 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+]([C@H](C)c1ccco1)C1CC1 ZINC000127793081 527665506 /nfs/dbraw/zinc/66/55/06/527665506.db2.gz DVOUNBJKZOOQJP-LLVKDONJSA-N 1 2 293.798 3.701 20 0 CHADLO FC(F)(F)c1ccc(Sc2[nH+]cnc3[nH]ccc32)nc1 ZINC000339238236 527684237 /nfs/dbraw/zinc/68/42/37/527684237.db2.gz YKJFUSSGFNXSEY-UHFFFAOYSA-N 1 2 296.277 3.523 20 0 CHADLO FC(F)(F)c1cccc(C[N@@H+]2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000278782470 527684925 /nfs/dbraw/zinc/68/49/25/527684925.db2.gz ZNYNLMUIVUZSNF-CQSZACIVSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1cccc(C[N@H+]2CCC[C@@H]2c2cc[nH]n2)c1 ZINC000278782470 527684927 /nfs/dbraw/zinc/68/49/27/527684927.db2.gz ZNYNLMUIVUZSNF-CQSZACIVSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCC2CC(F)(F)C2)c1 ZINC000291946919 527688270 /nfs/dbraw/zinc/68/82/70/527688270.db2.gz UCNLBXYFLURLIQ-UHFFFAOYSA-N 1 2 266.213 3.558 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc(C(F)(F)F)cc2)CC1 ZINC000337274867 527692731 /nfs/dbraw/zinc/69/27/31/527692731.db2.gz DHYJBDBCKJCWCI-UHFFFAOYSA-N 1 2 265.225 3.593 20 0 CHADLO CC(C)CO[C@H]1CCN(c2[nH+]ccc3ccc(F)cc32)C1 ZINC000354883096 528141579 /nfs/dbraw/zinc/14/15/79/528141579.db2.gz IQJTXMXOUNGPKT-HNNXBMFYSA-N 1 2 288.366 3.625 20 0 CHADLO CC(C)C[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1cccs1 ZINC000347602219 528359403 /nfs/dbraw/zinc/35/94/03/528359403.db2.gz CHUJBJZXSFYVTJ-GFCCVEGCSA-N 1 2 291.420 3.886 20 0 CHADLO CC(C)c1nc(N[C@@H]2C[C@H]2c2c(F)cccc2F)cc[nH+]1 ZINC000301323578 528367465 /nfs/dbraw/zinc/36/74/65/528367465.db2.gz WEALJZUXVIJGCF-ZWNOBZJWSA-N 1 2 289.329 3.846 20 0 CHADLO CCC[C@H]1CCCCN1c1cc(C)[nH+]c(C2CC2)n1 ZINC000347874650 528368121 /nfs/dbraw/zinc/36/81/21/528368121.db2.gz VXFVCGVPFYLELY-AWEZNQCLSA-N 1 2 259.397 3.821 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc(Cl)c(F)c2)s1 ZINC000344643459 528440647 /nfs/dbraw/zinc/44/06/47/528440647.db2.gz VNZOAPUWPPTURA-UHFFFAOYSA-N 1 2 299.802 3.744 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)CCn2cc[nH+]c2)cc1 ZINC000344973980 528606538 /nfs/dbraw/zinc/60/65/38/528606538.db2.gz FZXKAEVKOOTTJQ-UHFFFAOYSA-N 1 2 285.391 3.600 20 0 CHADLO CC(C)n1nccc1C[NH2+]C1(C(F)F)CCCCC1 ZINC000352210347 528677634 /nfs/dbraw/zinc/67/76/34/528677634.db2.gz GDROMPVDUXGEPM-UHFFFAOYSA-N 1 2 271.355 3.522 20 0 CHADLO CCCc1nc(C[N@@H+]2CCCc3occc3C2)cs1 ZINC000344240917 528746027 /nfs/dbraw/zinc/74/60/27/528746027.db2.gz VMKKAQCQGNPDKJ-UHFFFAOYSA-N 1 2 276.405 3.637 20 0 CHADLO CCCc1nc(C[N@H+]2CCCc3occc3C2)cs1 ZINC000344240917 528746030 /nfs/dbraw/zinc/74/60/30/528746030.db2.gz VMKKAQCQGNPDKJ-UHFFFAOYSA-N 1 2 276.405 3.637 20 0 CHADLO CCCC[C@@H](CC)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000344384355 528766974 /nfs/dbraw/zinc/76/69/74/528766974.db2.gz HDECRKDUKZXXNR-KGLIPLIRSA-N 1 2 277.412 3.680 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000348002072 528772528 /nfs/dbraw/zinc/77/25/28/528772528.db2.gz SRHLBDXPDARBFJ-KGLIPLIRSA-N 1 2 277.412 3.680 20 0 CHADLO CCC(CC)Sc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000301898258 528808661 /nfs/dbraw/zinc/80/86/61/528808661.db2.gz RXLGKRRDVIYKAR-UHFFFAOYSA-N 1 2 278.425 3.862 20 0 CHADLO CC[N@H+](Cc1nccs1)[C@H](C)c1ccc(F)cc1 ZINC000352284975 528888804 /nfs/dbraw/zinc/88/88/04/528888804.db2.gz CMBGEMPLMGCROD-LLVKDONJSA-N 1 2 264.369 3.865 20 0 CHADLO CC[N@@H+](Cc1nccs1)[C@H](C)c1ccc(F)cc1 ZINC000352284975 528888809 /nfs/dbraw/zinc/88/88/09/528888809.db2.gz CMBGEMPLMGCROD-LLVKDONJSA-N 1 2 264.369 3.865 20 0 CHADLO CC1(C)CCC([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000330270478 528907498 /nfs/dbraw/zinc/90/74/98/528907498.db2.gz UGNRXAQHYPJALB-OAHLLOKOSA-N 1 2 290.455 3.985 20 0 CHADLO CC[N@@H+](Cc1occc1C)Cc1c(F)cccc1F ZINC000353145722 528918249 /nfs/dbraw/zinc/91/82/49/528918249.db2.gz LOURQCHXIDVGCS-UHFFFAOYSA-N 1 2 265.303 3.888 20 0 CHADLO CC[N@H+](Cc1occc1C)Cc1c(F)cccc1F ZINC000353145722 528918246 /nfs/dbraw/zinc/91/82/46/528918246.db2.gz LOURQCHXIDVGCS-UHFFFAOYSA-N 1 2 265.303 3.888 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1ccncc1Cl ZINC000350725602 528959351 /nfs/dbraw/zinc/95/93/51/528959351.db2.gz ZDWGWKYXVOXABA-UHFFFAOYSA-N 1 2 264.756 3.739 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1ccncc1Cl ZINC000350725602 528959358 /nfs/dbraw/zinc/95/93/58/528959358.db2.gz ZDWGWKYXVOXABA-UHFFFAOYSA-N 1 2 264.756 3.739 20 0 CHADLO CC(C)[N@H+](Cc1ccn(C(F)F)n1)Cc1ccccc1F ZINC000347674602 528962929 /nfs/dbraw/zinc/96/29/29/528962929.db2.gz FSUZZVOXTKZRGF-UHFFFAOYSA-N 1 2 297.324 3.828 20 0 CHADLO CC(C)[N@@H+](Cc1ccn(C(F)F)n1)Cc1ccccc1F ZINC000347674602 528962938 /nfs/dbraw/zinc/96/29/38/528962938.db2.gz FSUZZVOXTKZRGF-UHFFFAOYSA-N 1 2 297.324 3.828 20 0 CHADLO CCOCc1ccc(NC(=O)Nc2c(C)cc[nH+]c2C)cc1 ZINC000351942047 529051235 /nfs/dbraw/zinc/05/12/35/529051235.db2.gz AASGMJXQNVSEKG-UHFFFAOYSA-N 1 2 299.374 3.879 20 0 CHADLO CCN(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CCCCC1 ZINC000344250784 529089855 /nfs/dbraw/zinc/08/98/55/529089855.db2.gz LOPUWWNUOFRLMC-UHFFFAOYSA-N 1 2 297.402 3.667 20 0 CHADLO CCc1cccc(Cl)c1C[N@H+](C)Cc1ccccn1 ZINC000354984282 529171530 /nfs/dbraw/zinc/17/15/30/529171530.db2.gz VYVSGKFOHTUVNQ-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1cccc(Cl)c1C[N@@H+](C)Cc1ccccn1 ZINC000354984282 529171532 /nfs/dbraw/zinc/17/15/32/529171532.db2.gz VYVSGKFOHTUVNQ-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+]Cc1nc(C)cs1 ZINC000353774161 529172455 /nfs/dbraw/zinc/17/24/55/529172455.db2.gz YNOSCLMDVRNZNY-UHFFFAOYSA-N 1 2 280.824 3.957 20 0 CHADLO CC[C@H]1CCCCN1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000336147314 529199456 /nfs/dbraw/zinc/19/94/56/529199456.db2.gz RVEOVKKDOXTRIL-AWEZNQCLSA-N 1 2 275.396 3.803 20 0 CHADLO CCS[C@H](C)c1noc(C[N@@H+]2CC[C@@H](C)C(C)(C)C2)n1 ZINC000354004077 529223955 /nfs/dbraw/zinc/22/39/55/529223955.db2.gz WWJYAUYWYOEVBO-VXGBXAGGSA-N 1 2 297.468 3.752 20 0 CHADLO CCS[C@H](C)c1noc(C[N@H+]2CC[C@@H](C)C(C)(C)C2)n1 ZINC000354004077 529223956 /nfs/dbraw/zinc/22/39/56/529223956.db2.gz WWJYAUYWYOEVBO-VXGBXAGGSA-N 1 2 297.468 3.752 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+](C)Cc1ccon1 ZINC000347452346 529255774 /nfs/dbraw/zinc/25/57/74/529255774.db2.gz ZGILNSJDTOHTPT-AWEZNQCLSA-N 1 2 266.291 3.536 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+](C)Cc1ccon1 ZINC000347452346 529255775 /nfs/dbraw/zinc/25/57/75/529255775.db2.gz ZGILNSJDTOHTPT-AWEZNQCLSA-N 1 2 266.291 3.536 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@H+](C)Cc1nccs1 ZINC000347568330 529258882 /nfs/dbraw/zinc/25/88/82/529258882.db2.gz VCDYPUQUSQJTAI-ZDUSSCGKSA-N 1 2 276.405 3.735 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@@H+](C)Cc1nccs1 ZINC000347568330 529258884 /nfs/dbraw/zinc/25/88/84/529258884.db2.gz VCDYPUQUSQJTAI-ZDUSSCGKSA-N 1 2 276.405 3.735 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](CC)c2ccc(F)cc2F)o1 ZINC000341854936 535857885 /nfs/dbraw/zinc/85/78/85/535857885.db2.gz IUFDEBJPWAEBKQ-NOZJJQNGSA-N 1 2 295.333 3.712 20 0 CHADLO COc1cc[nH+]cc1CSCCCCOC(C)(C)C ZINC000341993226 537120042 /nfs/dbraw/zinc/12/00/42/537120042.db2.gz HANYEWKDVJWOIH-UHFFFAOYSA-N 1 2 283.437 3.919 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnc(N2CCCC2)nc1 ZINC001211928871 1125464592 /nfs/dbraw/zinc/46/45/92/1125464592.db2.gz RNPWGNNDAIGREE-UHFFFAOYSA-N 1 2 297.406 3.647 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1cnc(C2CC2)nc1 ZINC000459304784 1125468809 /nfs/dbraw/zinc/46/88/09/1125468809.db2.gz YVLXQWSEGVOSPA-UHFFFAOYSA-N 1 2 293.823 3.701 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1cnc(C2CC2)nc1 ZINC000459304784 1125468813 /nfs/dbraw/zinc/46/88/13/1125468813.db2.gz YVLXQWSEGVOSPA-UHFFFAOYSA-N 1 2 293.823 3.701 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1c(F)cccc1OC(F)(F)F ZINC000430196376 1117844622 /nfs/dbraw/zinc/84/46/22/1117844622.db2.gz QZMUCRDLOUXUAQ-SECBINFHSA-N 1 2 277.261 3.709 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1c(F)cccc1OC(F)(F)F ZINC000430196376 1117844626 /nfs/dbraw/zinc/84/46/26/1117844626.db2.gz QZMUCRDLOUXUAQ-SECBINFHSA-N 1 2 277.261 3.709 20 0 CHADLO Cc1ccc2c(Nc3c(F)cccc3C(N)=O)cccc2[nH+]1 ZINC001212798186 1125485109 /nfs/dbraw/zinc/48/51/09/1125485109.db2.gz AJPJYGCTFGEYIS-UHFFFAOYSA-N 1 2 295.317 3.525 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2cccnc2Cl)C1 ZINC000112259602 1125485202 /nfs/dbraw/zinc/48/52/02/1125485202.db2.gz NHXPIIJAFGRYEX-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2cccnc2Cl)C1 ZINC000112259602 1125485207 /nfs/dbraw/zinc/48/52/07/1125485207.db2.gz NHXPIIJAFGRYEX-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C)cn1)c1cccc(Cl)c1Cl ZINC000683900233 1118434114 /nfs/dbraw/zinc/43/41/14/1118434114.db2.gz RRTOUGOCMXLWNL-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO CC1(C)CC([NH3+])CC(C)(C)N1c1cc(F)ccc1S ZINC001167626405 1119235229 /nfs/dbraw/zinc/23/52/29/1119235229.db2.gz KDYHWGOETKEPAE-UHFFFAOYSA-N 1 2 282.428 3.599 20 0 CHADLO COc1ccc(Cl)cc1[C@@H](C)[NH2+]Cc1csc(C)n1 ZINC000126619471 1119354042 /nfs/dbraw/zinc/35/40/42/1119354042.db2.gz HPCURGISFIWYRT-SECBINFHSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1cc(NC[C@@H]2CCCCS2)nc(C(C)C)[nH+]1 ZINC000158328366 1119443375 /nfs/dbraw/zinc/44/33/75/1119443375.db2.gz LGEQIOXFJBGVHN-LBPRGKRZSA-N 1 2 265.426 3.606 20 0 CHADLO Cl/C=C/C[NH2+][C@@H](c1nccs1)c1ccccc1 ZINC000131689579 1125496191 /nfs/dbraw/zinc/49/61/91/1125496191.db2.gz XDVKXMYJHZWXPM-RPTYSRGTSA-N 1 2 264.781 3.575 20 0 CHADLO Cc1ccc2c(Nc3cccc(N)c3O)cccc2[nH+]1 ZINC001212798929 1125496516 /nfs/dbraw/zinc/49/65/16/1125496516.db2.gz ZYYFWYSJXWJMNA-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)[N@H+](C)Cc1cncnc1 ZINC000131211058 1119629276 /nfs/dbraw/zinc/62/92/76/1119629276.db2.gz SGALZRPQCZNRPR-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)[N@@H+](C)Cc1cncnc1 ZINC000131211058 1119629277 /nfs/dbraw/zinc/62/92/77/1119629277.db2.gz SGALZRPQCZNRPR-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO COc1nccc(Nc2cc[nH+]c(SC)c2)c1Cl ZINC001211947940 1125507599 /nfs/dbraw/zinc/50/75/99/1125507599.db2.gz WVJATXXKVJWIAE-UHFFFAOYSA-N 1 2 281.768 3.604 20 0 CHADLO CCn1nc(C)c([C@H](C)[NH2+][C@H](C)c2ccc(Cl)s2)n1 ZINC000924607771 1120154887 /nfs/dbraw/zinc/15/48/87/1120154887.db2.gz BHLODOGLRDYWOQ-BDAKNGLRSA-N 1 2 298.843 3.733 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](C)c1nc(C)sc1C ZINC000310205368 1120252466 /nfs/dbraw/zinc/25/24/66/1120252466.db2.gz CTWUDAXFEHZWBM-SECBINFHSA-N 1 2 250.367 3.512 20 0 CHADLO Cc1nc2c(s1)[C@@H]([NH2+][C@H]1CCCC1(F)F)CCC2 ZINC000672622844 1120337777 /nfs/dbraw/zinc/33/77/77/1120337777.db2.gz VLKHOEBQFGTWHL-QWRGUYRKSA-N 1 2 272.364 3.606 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1cn[nH]c1C ZINC000678137510 1120341380 /nfs/dbraw/zinc/34/13/80/1120341380.db2.gz OKPBAPXETWYORR-OAHLLOKOSA-N 1 2 277.799 3.955 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cn[nH]c1C ZINC000678137510 1120341386 /nfs/dbraw/zinc/34/13/86/1120341386.db2.gz OKPBAPXETWYORR-OAHLLOKOSA-N 1 2 277.799 3.955 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc(C)cc(C)c2)c(C)o1 ZINC000660419129 1120591646 /nfs/dbraw/zinc/59/16/46/1120591646.db2.gz AILFYAAYJLZFKU-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc(C)cc(C)c2)c(C)o1 ZINC000660419129 1120591641 /nfs/dbraw/zinc/59/16/41/1120591641.db2.gz AILFYAAYJLZFKU-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)cc(O)cc1F ZINC001175099840 1121165285 /nfs/dbraw/zinc/16/52/85/1121165285.db2.gz CCAFQMSPZOUESN-UHFFFAOYSA-N 1 2 264.275 3.680 20 0 CHADLO CCOc1cccc(F)c1Nc1c[nH+]c(C)cc1C ZINC001175222306 1121229203 /nfs/dbraw/zinc/22/92/03/1121229203.db2.gz GBDIVYAPJXDIFZ-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO C[N@H+](Cc1cccc(F)c1)Cc1cccc(F)c1F ZINC000052916700 1121782609 /nfs/dbraw/zinc/78/26/09/1121782609.db2.gz QUACRBPSIPPAPS-UHFFFAOYSA-N 1 2 265.278 3.736 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1)Cc1cccc(F)c1F ZINC000052916700 1121782616 /nfs/dbraw/zinc/78/26/16/1121782616.db2.gz QUACRBPSIPPAPS-UHFFFAOYSA-N 1 2 265.278 3.736 20 0 CHADLO CCOc1cc(Nc2cc(C)cnc2C)cc(C)[nH+]1 ZINC001203657507 1122424554 /nfs/dbraw/zinc/42/45/54/1122424554.db2.gz OLQDIQDUAZRJMQ-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO CCOc1cc(Nc2cc(C)c[nH+]c2C)cc(C)n1 ZINC001203657507 1122424557 /nfs/dbraw/zinc/42/45/57/1122424557.db2.gz OLQDIQDUAZRJMQ-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO Oc1cccc(C[N@H+]2CCc3sccc3C2)c1Cl ZINC000507075882 1122922502 /nfs/dbraw/zinc/92/25/02/1122922502.db2.gz DOHHDPQXHBQHII-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Clc1ccc2sc(C[NH2+]Cc3ccns3)nc2c1 ZINC001199777018 1123040149 /nfs/dbraw/zinc/04/01/49/1123040149.db2.gz JIRFPGDDNFYPLS-UHFFFAOYSA-N 1 2 295.820 3.696 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1cccc(OC(F)F)c1 ZINC000520436936 1123113022 /nfs/dbraw/zinc/11/30/22/1123113022.db2.gz KHUBFVKNAGHAAB-QMMMGPOBSA-N 1 2 265.250 3.594 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CCCC(F)(F)CC2)n1 ZINC000895574121 1123492056 /nfs/dbraw/zinc/49/20/56/1123492056.db2.gz NUWKIQDTOPYIOC-UHFFFAOYSA-N 1 2 272.339 3.593 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CCCC(F)(F)CC2)n1 ZINC000895574121 1123492057 /nfs/dbraw/zinc/49/20/57/1123492057.db2.gz NUWKIQDTOPYIOC-UHFFFAOYSA-N 1 2 272.339 3.593 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)c(F)cc1F ZINC000475282127 1123833754 /nfs/dbraw/zinc/83/37/54/1123833754.db2.gz UOXMZKPRUIVQIL-APPZFPTMSA-N 1 2 297.242 3.877 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1cc2c(cccc2Cl)[nH]1 ZINC001136857708 1131463557 /nfs/dbraw/zinc/46/35/57/1131463557.db2.gz DYHHEYYOGICRNH-ZJUUUORDSA-N 1 2 266.747 3.754 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1cc2c(cccc2Cl)[nH]1 ZINC001136857708 1131463560 /nfs/dbraw/zinc/46/35/60/1131463560.db2.gz DYHHEYYOGICRNH-ZJUUUORDSA-N 1 2 266.747 3.754 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CC[C@@](C)(C(F)(F)F)C2)no1 ZINC000880004133 1125243946 /nfs/dbraw/zinc/24/39/46/1125243946.db2.gz QZECEFWAQXXKMH-CYBMUJFWSA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CC[C@@](C)(C(F)(F)F)C2)no1 ZINC000880004133 1125243950 /nfs/dbraw/zinc/24/39/50/1125243950.db2.gz QZECEFWAQXXKMH-CYBMUJFWSA-N 1 2 290.329 3.746 20 0 CHADLO CSc1ccc(C[NH2+]Cc2ccc(C)nc2Cl)o1 ZINC000883292904 1125392129 /nfs/dbraw/zinc/39/21/29/1125392129.db2.gz CRISOWOJNSIHBN-UHFFFAOYSA-N 1 2 282.796 3.648 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCOC(C)(C)C2)c1 ZINC001143670451 1131523024 /nfs/dbraw/zinc/52/30/24/1131523024.db2.gz NHIVEXONOQSGDX-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCOC(C)(C)C2)c1 ZINC001143670451 1131523028 /nfs/dbraw/zinc/52/30/28/1131523028.db2.gz NHIVEXONOQSGDX-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO COc1ccc(C[N@@H+]2CCC[C@@H]2CF)cc1C(F)(F)F ZINC001143648188 1131520893 /nfs/dbraw/zinc/52/08/93/1131520893.db2.gz SYZHOUOYXJSFFU-LLVKDONJSA-N 1 2 291.288 3.648 20 0 CHADLO COc1ccc(C[N@H+]2CCC[C@@H]2CF)cc1C(F)(F)F ZINC001143648188 1131520895 /nfs/dbraw/zinc/52/08/95/1131520895.db2.gz SYZHOUOYXJSFFU-LLVKDONJSA-N 1 2 291.288 3.648 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC001139883943 1131646445 /nfs/dbraw/zinc/64/64/45/1131646445.db2.gz OCCAABRYGTXIKK-RYUDHWBXSA-N 1 2 287.325 3.623 20 0 CHADLO CS[C@H]1CCC[C@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000846750170 1131729294 /nfs/dbraw/zinc/72/92/94/1131729294.db2.gz NPRMBBXLHBZLIN-ZFWWWQNUSA-N 1 2 264.438 3.839 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCCCC23CC3)c(F)c1 ZINC001140533756 1131782357 /nfs/dbraw/zinc/78/23/57/1131782357.db2.gz DMZZGEKBYMQPAT-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCCCC23CC3)c(F)c1 ZINC001140533756 1131782361 /nfs/dbraw/zinc/78/23/61/1131782361.db2.gz DMZZGEKBYMQPAT-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO COC[C@H]1CC[N@@H+]1Cc1cc(Cl)ccc1C(F)(F)F ZINC001140564642 1131795884 /nfs/dbraw/zinc/79/58/84/1131795884.db2.gz LNDZMGXRBZFPFQ-LLVKDONJSA-N 1 2 293.716 3.580 20 0 CHADLO COC[C@H]1CC[N@H+]1Cc1cc(Cl)ccc1C(F)(F)F ZINC001140564642 1131795891 /nfs/dbraw/zinc/79/58/91/1131795891.db2.gz LNDZMGXRBZFPFQ-LLVKDONJSA-N 1 2 293.716 3.580 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cc(F)c(C(F)(F)F)c(F)c1 ZINC001140878965 1131955677 /nfs/dbraw/zinc/95/56/77/1131955677.db2.gz NNTDTDPWFGWKBT-ZETCQYMHSA-N 1 2 265.225 3.578 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cc(F)c(C(F)(F)F)c(F)c1 ZINC001140878965 1131955682 /nfs/dbraw/zinc/95/56/82/1131955682.db2.gz NNTDTDPWFGWKBT-ZETCQYMHSA-N 1 2 265.225 3.578 20 0 CHADLO Cc1cccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c1Br ZINC001141089517 1132009767 /nfs/dbraw/zinc/00/97/67/1132009767.db2.gz WBXXGWSXHWIHIZ-RWMBFGLXSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1cccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c1Br ZINC001141089517 1132009769 /nfs/dbraw/zinc/00/97/69/1132009769.db2.gz WBXXGWSXHWIHIZ-RWMBFGLXSA-N 1 2 298.199 3.547 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2csc(C(F)(F)F)n2)CC1 ZINC001141871824 1132258618 /nfs/dbraw/zinc/25/86/18/1132258618.db2.gz DTTMOXYOIWGUQA-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2csc(C(F)(F)F)n2)CC1 ZINC001141871824 1132258627 /nfs/dbraw/zinc/25/86/27/1132258627.db2.gz DTTMOXYOIWGUQA-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO OC[C@H]1CCC[N@@H+]1Cc1c(Cl)ccc(Cl)c1Cl ZINC001231480634 1132298967 /nfs/dbraw/zinc/29/89/67/1132298967.db2.gz UPQNYFQMSWZLND-MRVPVSSYSA-N 1 2 294.609 3.604 20 0 CHADLO OC[C@H]1CCC[N@H+]1Cc1c(Cl)ccc(Cl)c1Cl ZINC001231480634 1132298972 /nfs/dbraw/zinc/29/89/72/1132298972.db2.gz UPQNYFQMSWZLND-MRVPVSSYSA-N 1 2 294.609 3.604 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(Cl)cnc2F)c1 ZINC001231991340 1132406511 /nfs/dbraw/zinc/40/65/11/1132406511.db2.gz ADBCXCZWEJWRMM-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(Cl)cnc2F)c1 ZINC001231991340 1132406514 /nfs/dbraw/zinc/40/65/14/1132406514.db2.gz ADBCXCZWEJWRMM-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCCCC1CC[NH+](Cc2csc(NC(C)=O)n2)CC1 ZINC001232283775 1132449362 /nfs/dbraw/zinc/44/93/62/1132449362.db2.gz BUEFYNZZMIPBGA-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO c1cc(Nc2ccc[nH+]c2N2CCCCC2)cs1 ZINC001173820083 1132523947 /nfs/dbraw/zinc/52/39/47/1132523947.db2.gz QBKGSQGFUACTNM-UHFFFAOYSA-N 1 2 259.378 3.877 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875549 1132533462 /nfs/dbraw/zinc/53/34/62/1132533462.db2.gz AICBVWLAPAPALO-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)Oc1ncc(Cl)cc1C[N@@H+]1CCC[C@H]2C[C@H]21 ZINC001233165747 1132575797 /nfs/dbraw/zinc/57/57/97/1132575797.db2.gz NCCJYOXZIVILPO-SMDDNHRTSA-N 1 2 280.799 3.507 20 0 CHADLO CC(C)Oc1ncc(Cl)cc1C[N@H+]1CCC[C@H]2C[C@H]21 ZINC001233165747 1132575801 /nfs/dbraw/zinc/57/58/01/1132575801.db2.gz NCCJYOXZIVILPO-SMDDNHRTSA-N 1 2 280.799 3.507 20 0 CHADLO Cc1cnsc1C[N@H+](C)Cc1ccccc1Cl ZINC001233320554 1132600375 /nfs/dbraw/zinc/60/03/75/1132600375.db2.gz FHSXDMPMQVTYCC-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCC(=O)[C@H](C)CC1 ZINC001233350937 1132607685 /nfs/dbraw/zinc/60/76/85/1132607685.db2.gz ZVKKCUFMFAFQGO-LLVKDONJSA-N 1 2 297.851 3.863 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCC(=O)[C@H](C)CC1 ZINC001233350937 1132607689 /nfs/dbraw/zinc/60/76/89/1132607689.db2.gz ZVKKCUFMFAFQGO-LLVKDONJSA-N 1 2 297.851 3.863 20 0 CHADLO Cc1cc(Cl)c(C)c(NCCCc2ccncc2)[nH+]1 ZINC001156407574 1132692052 /nfs/dbraw/zinc/69/20/52/1132692052.db2.gz OEMZWCMMBRGNRY-UHFFFAOYSA-N 1 2 275.783 3.792 20 0 CHADLO Fc1cc(C(F)(F)F)ccc1C[N@H+]1CCC[C@@H](F)C1 ZINC001204471364 1133450808 /nfs/dbraw/zinc/45/08/08/1133450808.db2.gz GTFGWXVZYFHNAA-LLVKDONJSA-N 1 2 279.252 3.778 20 0 CHADLO Fc1cc(C(F)(F)F)ccc1C[N@@H+]1CCC[C@@H](F)C1 ZINC001204471364 1133450812 /nfs/dbraw/zinc/45/08/12/1133450812.db2.gz GTFGWXVZYFHNAA-LLVKDONJSA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@H]1CC[N@@H+](CCC2CCCCC2)CC1(F)F ZINC001207254778 1133782888 /nfs/dbraw/zinc/78/28/88/1133782888.db2.gz NEQCDTODKPYVHB-CYBMUJFWSA-N 1 2 263.347 3.884 20 0 CHADLO C[C@@H]1CC[N@H+](CC2(c3ccccc3)CC2)CC1(F)F ZINC001207834398 1133850244 /nfs/dbraw/zinc/85/02/44/1133850244.db2.gz UDWANNPBPCJFCG-CYBMUJFWSA-N 1 2 265.347 3.695 20 0 CHADLO C[C@@H]1CC[N@@H+](CC2(c3ccccc3)CC2)CC1(F)F ZINC001207834398 1133850248 /nfs/dbraw/zinc/85/02/48/1133850248.db2.gz UDWANNPBPCJFCG-CYBMUJFWSA-N 1 2 265.347 3.695 20 0 CHADLO CCOc1ncc(Nc2c[nH+]c(C)cc2C)cc1C ZINC001214929295 1134581195 /nfs/dbraw/zinc/58/11/95/1134581195.db2.gz YWMKIOWCMPMPTM-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO CSc1cc(Nc2ccc(O)cc2Cl)cc[nH+]1 ZINC001216428105 1134893864 /nfs/dbraw/zinc/89/38/64/1134893864.db2.gz KCDNDNGRRPAETA-UHFFFAOYSA-N 1 2 266.753 3.906 20 0 CHADLO Nc1cc(CSc2ccccc2Br)cc[nH+]1 ZINC000052756292 1125518425 /nfs/dbraw/zinc/51/84/25/1125518425.db2.gz PMAWQTVMKBWEAD-UHFFFAOYSA-N 1 2 295.205 3.719 20 0 CHADLO Cc1csc(C[N@@H+](C)[C@@H](C)c2ccc(F)c(F)c2)n1 ZINC000118403360 1125523356 /nfs/dbraw/zinc/52/33/56/1125523356.db2.gz IXIKKTPNFIOGGO-JTQLQIEISA-N 1 2 282.359 3.923 20 0 CHADLO Cc1csc(C[N@H+](C)[C@@H](C)c2ccc(F)c(F)c2)n1 ZINC000118403360 1125523360 /nfs/dbraw/zinc/52/33/60/1125523360.db2.gz IXIKKTPNFIOGGO-JTQLQIEISA-N 1 2 282.359 3.923 20 0 CHADLO Clc1cccc([C@H]2CSCC[N@@H+]2Cc2ccc[nH]2)c1 ZINC001204008857 1125529695 /nfs/dbraw/zinc/52/96/95/1125529695.db2.gz KRLYNVFORADGEP-OAHLLOKOSA-N 1 2 292.835 3.958 20 0 CHADLO Clc1cccc([C@H]2CSCC[N@H+]2Cc2ccc[nH]2)c1 ZINC001204008857 1125529698 /nfs/dbraw/zinc/52/96/98/1125529698.db2.gz KRLYNVFORADGEP-OAHLLOKOSA-N 1 2 292.835 3.958 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2cccnc2Cl)o1 ZINC000729677818 1125539788 /nfs/dbraw/zinc/53/97/88/1125539788.db2.gz GRRPDQSLFQTRDM-GWCFXTLKSA-N 1 2 276.767 3.741 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(C)c3ccccc3n2)c1 ZINC000532920808 1125591058 /nfs/dbraw/zinc/59/10/58/1125591058.db2.gz ZTHVBMZVTNKDME-UHFFFAOYSA-N 1 2 291.398 3.879 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(C)c3ccccc3n2)c1 ZINC000532920808 1125591064 /nfs/dbraw/zinc/59/10/64/1125591064.db2.gz ZTHVBMZVTNKDME-UHFFFAOYSA-N 1 2 291.398 3.879 20 0 CHADLO CSc1cc(C)cc(C[N@H+]2CCC(=O)C(C)(C)C2)c1 ZINC001238681263 1131231056 /nfs/dbraw/zinc/23/10/56/1131231056.db2.gz IIGQVSDWOSNLPC-UHFFFAOYSA-N 1 2 277.433 3.518 20 0 CHADLO CSc1cc(C)cc(C[N@@H+]2CCC(=O)C(C)(C)C2)c1 ZINC001238681263 1131231054 /nfs/dbraw/zinc/23/10/54/1131231054.db2.gz IIGQVSDWOSNLPC-UHFFFAOYSA-N 1 2 277.433 3.518 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2F)C[C@@H](c2ccsc2)O1 ZINC000246341171 1125620483 /nfs/dbraw/zinc/62/04/83/1125620483.db2.gz FETHUXPSDSWOBI-WBMJQRKESA-N 1 2 291.391 3.849 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2F)C[C@@H](c2ccsc2)O1 ZINC000246341171 1125620491 /nfs/dbraw/zinc/62/04/91/1125620491.db2.gz FETHUXPSDSWOBI-WBMJQRKESA-N 1 2 291.391 3.849 20 0 CHADLO Cc1coc(C[NH2+][C@H](COCC(C)C)c2ccco2)c1 ZINC000885961181 1125630699 /nfs/dbraw/zinc/63/06/99/1125630699.db2.gz USCFUEKAVDGREC-OAHLLOKOSA-N 1 2 277.364 3.685 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(Cl)s2)[C@H]1c1ccccc1 ZINC000246413025 1125632060 /nfs/dbraw/zinc/63/20/60/1125632060.db2.gz BIGMOXJAPZCDPD-GXFFZTMASA-N 1 2 278.808 3.990 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(Cl)s2)[C@H]1c1ccccc1 ZINC000246413025 1125632065 /nfs/dbraw/zinc/63/20/65/1125632065.db2.gz BIGMOXJAPZCDPD-GXFFZTMASA-N 1 2 278.808 3.990 20 0 CHADLO Cc1coc(C[NH2+][C@H](c2nc(C)cs2)C2CC2)c1 ZINC000885999114 1125634346 /nfs/dbraw/zinc/63/43/46/1125634346.db2.gz FEQJZOCTFIFIQJ-ZDUSSCGKSA-N 1 2 262.378 3.594 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2ccc(Cl)cn2)on1 ZINC000885999986 1125634576 /nfs/dbraw/zinc/63/45/76/1125634576.db2.gz RNZFKPYKYHIVLL-UHFFFAOYSA-N 1 2 293.798 3.916 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@H](C)C[C@H]2c2ccco2)o1 ZINC000247690874 1125659652 /nfs/dbraw/zinc/65/96/52/1125659652.db2.gz VWUMQTSROCMLIY-AAEUAGOBSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccco2)o1 ZINC000247690874 1125659655 /nfs/dbraw/zinc/65/96/55/1125659655.db2.gz VWUMQTSROCMLIY-AAEUAGOBSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[NH2+][C@H](C)c1ncco1 ZINC000886273618 1125665235 /nfs/dbraw/zinc/66/52/35/1125665235.db2.gz CPNWXUOREAVRIU-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2ncco2)cc1Br ZINC000886274429 1125665694 /nfs/dbraw/zinc/66/56/94/1125665694.db2.gz SWWVWMBDHVNQDH-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO CC(=O)Nc1cc(Nc2c(Cl)ccc(F)c2F)cc[nH+]1 ZINC001213945786 1125670754 /nfs/dbraw/zinc/67/07/54/1125670754.db2.gz SEQCQVDMEIJSPA-UHFFFAOYSA-N 1 2 297.692 3.715 20 0 CHADLO CC(C)n1cc(Cl)c(NCc2c[nH+]cn2C(C)C)n1 ZINC000886367314 1125674402 /nfs/dbraw/zinc/67/44/02/1125674402.db2.gz URPDADAMQJVOIL-UHFFFAOYSA-N 1 2 281.791 3.507 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348391070 1125690639 /nfs/dbraw/zinc/69/06/39/1125690639.db2.gz RBPXWZPIMSVFRZ-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348391070 1125690644 /nfs/dbraw/zinc/69/06/44/1125690644.db2.gz RBPXWZPIMSVFRZ-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO CO[C@@H]1CCC[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000886584594 1125694204 /nfs/dbraw/zinc/69/42/04/1125694204.db2.gz RUPUMCDPARILBY-RHSMWYFYSA-N 1 2 285.391 3.632 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1cccc(Cl)c1 ZINC000161776506 1125714168 /nfs/dbraw/zinc/71/41/68/1125714168.db2.gz WJQXJPQQKJIRDV-UHFFFAOYSA-N 1 2 297.745 3.982 20 0 CHADLO C[N@H+](C/C=C\c1ccc(Cl)cc1)Cc1nccs1 ZINC000255850182 1125721664 /nfs/dbraw/zinc/72/16/64/1125721664.db2.gz VHGLGPRFQFFJPT-IHWYPQMZSA-N 1 2 278.808 3.942 20 0 CHADLO C[N@@H+](C/C=C\c1ccc(Cl)cc1)Cc1nccs1 ZINC000255850182 1125721666 /nfs/dbraw/zinc/72/16/66/1125721666.db2.gz VHGLGPRFQFFJPT-IHWYPQMZSA-N 1 2 278.808 3.942 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H]2CCc3c2cccc3F)o1 ZINC000886922090 1125725295 /nfs/dbraw/zinc/72/52/95/1125725295.db2.gz RJVGMBVLMSYIDG-AWEZNQCLSA-N 1 2 274.339 3.714 20 0 CHADLO C[C@H](CC(=O)Nc1ccccc1-n1cc[nH+]c1)C(C)(C)C ZINC000261444937 1125733206 /nfs/dbraw/zinc/73/32/06/1125733206.db2.gz NTUFHBKPBFPPSG-CYBMUJFWSA-N 1 2 285.391 3.883 20 0 CHADLO C[C@@H]1CCSCC[N@@H+]1Cc1nc(Cl)ccc1Cl ZINC000286076235 1125734468 /nfs/dbraw/zinc/73/44/68/1125734468.db2.gz JXQXRTYTMRVFBX-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CCSCC[N@H+]1Cc1nc(Cl)ccc1Cl ZINC000286076235 1125734472 /nfs/dbraw/zinc/73/44/72/1125734472.db2.gz JXQXRTYTMRVFBX-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cnc(C(C)(C)C)s1 ZINC000162172183 1125736829 /nfs/dbraw/zinc/73/68/29/1125736829.db2.gz JPMQZYAXTNPZLC-UHFFFAOYSA-N 1 2 264.394 3.666 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cnc(C(C)(C)C)s1 ZINC000162172183 1125736839 /nfs/dbraw/zinc/73/68/39/1125736839.db2.gz JPMQZYAXTNPZLC-UHFFFAOYSA-N 1 2 264.394 3.666 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2cnccc2Cl)cn1 ZINC001137237970 1125755609 /nfs/dbraw/zinc/75/56/09/1125755609.db2.gz MPNRXAPFXHXGJK-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2cnccc2Cl)cn1 ZINC001137237970 1125755616 /nfs/dbraw/zinc/75/56/16/1125755616.db2.gz MPNRXAPFXHXGJK-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1cc(F)c(F)cc1F ZINC000093338939 1125768029 /nfs/dbraw/zinc/76/80/29/1125768029.db2.gz LFHZIACUWZVTSP-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1cc(F)c(F)cc1F ZINC000093338939 1125768036 /nfs/dbraw/zinc/76/80/36/1125768036.db2.gz LFHZIACUWZVTSP-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2nccs2)cc1C ZINC000093582014 1125771953 /nfs/dbraw/zinc/77/19/53/1125771953.db2.gz WSYCWUUAVCEHJG-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2nccs2)cc1C ZINC000093582014 1125771959 /nfs/dbraw/zinc/77/19/59/1125771959.db2.gz WSYCWUUAVCEHJG-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)c(C)c1 ZINC000237483416 1125781414 /nfs/dbraw/zinc/78/14/14/1125781414.db2.gz MRYONMJESGQEHK-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO FC(F)C(F)(F)C[N@H+](C1CC1)C1CCCCC1 ZINC000736620830 1129377351 /nfs/dbraw/zinc/37/73/51/1129377351.db2.gz IXHRNAXSFWAXSL-UHFFFAOYSA-N 1 2 253.283 3.684 20 0 CHADLO FC(F)C(F)(F)C[N@@H+](C1CC1)C1CCCCC1 ZINC000736620830 1129377352 /nfs/dbraw/zinc/37/73/52/1129377352.db2.gz IXHRNAXSFWAXSL-UHFFFAOYSA-N 1 2 253.283 3.684 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cn[nH]c1C)c1ccc(C)cc1 ZINC000179981957 1129442073 /nfs/dbraw/zinc/44/20/73/1129442073.db2.gz VSWXCOKIMIUIMY-BLLLJJGKSA-N 1 2 257.381 3.828 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc([NH+](C)C)cc2)C12CCC2 ZINC000087709469 1129191002 /nfs/dbraw/zinc/19/10/02/1129191002.db2.gz ARNDIANCXOIFIY-HZPDHXFCSA-N 1 2 274.408 3.512 20 0 CHADLO CCC[C@H]1CCC[N@H+](Cn2ncn(C(C)(C)C)c2=S)C1 ZINC000121341272 1129717982 /nfs/dbraw/zinc/71/79/82/1129717982.db2.gz GCEPNDRZCRMNKF-ZDUSSCGKSA-N 1 2 296.484 3.639 20 0 CHADLO CCC[C@H]1CCC[N@@H+](Cn2ncn(C(C)(C)C)c2=S)C1 ZINC000121341272 1129717984 /nfs/dbraw/zinc/71/79/84/1129717984.db2.gz GCEPNDRZCRMNKF-ZDUSSCGKSA-N 1 2 296.484 3.639 20 0 CHADLO Cc1cc(C)c(NC(=O)C2CCC=CCC2)c(C)[nH+]1 ZINC000636581489 1129205106 /nfs/dbraw/zinc/20/51/06/1129205106.db2.gz CNJVEWIHSJIQSJ-UHFFFAOYSA-N 1 2 258.365 3.692 20 0 CHADLO c1cc(N[C@@H]2CC[C@H]2C2CCC2)[nH+]cc1N1CCCC1 ZINC000337987734 1126706520 /nfs/dbraw/zinc/70/65/20/1126706520.db2.gz GYUSUYKVKMSZFS-JKSUJKDBSA-N 1 2 271.408 3.672 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc(F)ccc1F)c1ccncc1F ZINC000338007437 1126709012 /nfs/dbraw/zinc/70/90/12/1126709012.db2.gz ISFOFHFMFXZYKX-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO CC[C@H]([NH2+]Cc1nc2c(s1)CCC2)c1nc(C)cs1 ZINC000093067333 1126718450 /nfs/dbraw/zinc/71/84/50/1126718450.db2.gz LBDFLCDAVLJILV-JTQLQIEISA-N 1 2 293.461 3.638 20 0 CHADLO C[N@H+](Cc1ncc[nH]1)C(c1ccccc1)c1ccccc1 ZINC000600618270 1126723800 /nfs/dbraw/zinc/72/38/00/1126723800.db2.gz AHCUJZPHFRLAHA-UHFFFAOYSA-N 1 2 277.371 3.631 20 0 CHADLO C[N@@H+](Cc1ncc[nH]1)C(c1ccccc1)c1ccccc1 ZINC000600618270 1126723805 /nfs/dbraw/zinc/72/38/05/1126723805.db2.gz AHCUJZPHFRLAHA-UHFFFAOYSA-N 1 2 277.371 3.631 20 0 CHADLO Cc1ccc2sc(CSCCn3cc[nH+]c3)nc2c1 ZINC000600648209 1126732577 /nfs/dbraw/zinc/73/25/77/1126732577.db2.gz LPPICFILLCHVKB-UHFFFAOYSA-N 1 2 289.429 3.735 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1ccc(F)c(F)c1F ZINC000667976364 1126733903 /nfs/dbraw/zinc/73/39/03/1126733903.db2.gz PKORHVMRVVYIQK-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1ccc(F)c(F)c1F ZINC000667976364 1126733908 /nfs/dbraw/zinc/73/39/08/1126733908.db2.gz PKORHVMRVVYIQK-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO FC(F)C[N@H+]1CCCC[C@@H]1CCc1ccccc1 ZINC000677738838 1130328267 /nfs/dbraw/zinc/32/82/67/1130328267.db2.gz SOQKTDXCUWDRTD-CQSZACIVSA-N 1 2 253.336 3.739 20 0 CHADLO CCC1CCC([NH2+]CC(F)(F)Br)CC1 ZINC000379604606 1126749601 /nfs/dbraw/zinc/74/96/01/1126749601.db2.gz PGVOGUFSUKZYRC-UHFFFAOYSA-N 1 2 270.161 3.533 20 0 CHADLO CC(C)c1cccc2c1CCN(Cc1c[nH+]c3n1CCC3)C2 ZINC000668049445 1126757487 /nfs/dbraw/zinc/75/74/87/1126757487.db2.gz LMHLQQADECJHEO-UHFFFAOYSA-N 1 2 295.430 3.511 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@@H](CC(F)(F)F)C2)c(F)c1F ZINC000668067576 1126764736 /nfs/dbraw/zinc/76/47/36/1126764736.db2.gz QNAJAKRGPFVEAW-QMMMGPOBSA-N 1 2 297.242 3.878 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@@H](CC(F)(F)F)C2)c(F)c1F ZINC000668067576 1126764738 /nfs/dbraw/zinc/76/47/38/1126764738.db2.gz QNAJAKRGPFVEAW-QMMMGPOBSA-N 1 2 297.242 3.878 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679224781 1126781921 /nfs/dbraw/zinc/78/19/21/1126781921.db2.gz OUNXQVZAOQBAFW-WOPDTQHZSA-N 1 2 262.328 3.569 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679224781 1126781925 /nfs/dbraw/zinc/78/19/25/1126781925.db2.gz OUNXQVZAOQBAFW-WOPDTQHZSA-N 1 2 262.328 3.569 20 0 CHADLO Fc1ccc(OC(F)F)c(C[NH+]2CC(CC(F)F)C2)c1 ZINC000668250908 1126789067 /nfs/dbraw/zinc/78/90/67/1126789067.db2.gz HPDKSPPFCQJDCS-UHFFFAOYSA-N 1 2 295.251 3.514 20 0 CHADLO CC1(C)C[N@H+](Cc2csc(-c3ccco3)n2)CCS1 ZINC000177507755 1126801571 /nfs/dbraw/zinc/80/15/71/1126801571.db2.gz DWJCIOLWBXMKTC-UHFFFAOYSA-N 1 2 294.445 3.731 20 0 CHADLO CC1(C)C[N@@H+](Cc2csc(-c3ccco3)n2)CCS1 ZINC000177507755 1126801574 /nfs/dbraw/zinc/80/15/74/1126801574.db2.gz DWJCIOLWBXMKTC-UHFFFAOYSA-N 1 2 294.445 3.731 20 0 CHADLO Cc1csc(C[NH2+][C@H](C)c2ccc3ccccc3n2)n1 ZINC000177779864 1126804989 /nfs/dbraw/zinc/80/49/89/1126804989.db2.gz VJZYMUPORDKVKD-GFCCVEGCSA-N 1 2 283.400 3.851 20 0 CHADLO Cc1noc(C)c1CSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000177798849 1126805404 /nfs/dbraw/zinc/80/54/04/1126805404.db2.gz IXWZEJSZISAMPG-UHFFFAOYSA-N 1 2 299.399 3.911 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(Cl)n1C)c1cc(F)ccc1F ZINC000178448282 1126813747 /nfs/dbraw/zinc/81/37/47/1126813747.db2.gz PUIHRZIBSKQVHH-GFCCVEGCSA-N 1 2 299.752 3.593 20 0 CHADLO CC[C@H]([NH2+]Cc1ccn(C(C)C)n1)c1ccc(F)cc1F ZINC000178510515 1126814998 /nfs/dbraw/zinc/81/49/98/1126814998.db2.gz HCMVQCHDDYMQAY-INIZCTEOSA-N 1 2 293.361 3.983 20 0 CHADLO CC[N@H+](Cc1nccs1)[C@H](C)c1cccc(OC)c1 ZINC000179201159 1126824789 /nfs/dbraw/zinc/82/47/89/1126824789.db2.gz SDJUOVSXFSQFHK-GFCCVEGCSA-N 1 2 276.405 3.735 20 0 CHADLO CC[N@@H+](Cc1nccs1)[C@H](C)c1cccc(OC)c1 ZINC000179201159 1126824793 /nfs/dbraw/zinc/82/47/93/1126824793.db2.gz SDJUOVSXFSQFHK-GFCCVEGCSA-N 1 2 276.405 3.735 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000179427848 1126827863 /nfs/dbraw/zinc/82/78/63/1126827863.db2.gz BYZOZZSGRHLDEY-UHFFFAOYSA-N 1 2 286.322 3.501 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000179427848 1126827868 /nfs/dbraw/zinc/82/78/68/1126827868.db2.gz BYZOZZSGRHLDEY-UHFFFAOYSA-N 1 2 286.322 3.501 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cc(C)ccc1F ZINC000179425433 1126828905 /nfs/dbraw/zinc/82/89/05/1126828905.db2.gz CBAFIJJCGFIZCJ-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cc(C)ccc1F ZINC000179425433 1126828907 /nfs/dbraw/zinc/82/89/07/1126828907.db2.gz CBAFIJJCGFIZCJ-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1C(C)C)c1cc(F)ccc1F ZINC000179786566 1126834093 /nfs/dbraw/zinc/83/40/93/1126834093.db2.gz QJFUDNFPFRIVAX-HNNXBMFYSA-N 1 2 293.361 3.983 20 0 CHADLO Cc1nc(SCCCn2cc[nH+]c2)n(CC(C)C)c1C ZINC000180432366 1126843644 /nfs/dbraw/zinc/84/36/44/1126843644.db2.gz YEQYMXZXPVYVCE-UHFFFAOYSA-N 1 2 292.452 3.535 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2cccc(F)c2)s1 ZINC000180442125 1126844828 /nfs/dbraw/zinc/84/48/28/1126844828.db2.gz CBMGYTBBPREWHC-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2cccc(F)c2)s1 ZINC000180442125 1126844830 /nfs/dbraw/zinc/84/48/30/1126844830.db2.gz CBMGYTBBPREWHC-CQSZACIVSA-N 1 2 276.380 3.928 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC001234406070 1130641757 /nfs/dbraw/zinc/64/17/57/1130641757.db2.gz YSXAXDDHPZRDQB-QMMMGPOBSA-N 1 2 261.699 3.536 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC001234406070 1130641760 /nfs/dbraw/zinc/64/17/60/1130641760.db2.gz YSXAXDDHPZRDQB-QMMMGPOBSA-N 1 2 261.699 3.536 20 0 CHADLO FC(F)(F)[C@H]1CCCC[C@H]1CNc1cccc[nH+]1 ZINC000484804455 1126848206 /nfs/dbraw/zinc/84/82/06/1126848206.db2.gz FBZKKDPVBIQPDM-QWRGUYRKSA-N 1 2 258.287 3.862 20 0 CHADLO Cc1nn(C)cc1[C@H](C)[NH2+][C@@H](C)c1csc(C(C)C)n1 ZINC000181016982 1126851458 /nfs/dbraw/zinc/85/14/58/1126851458.db2.gz MWDQCEQNYOXPLX-JQWIXIFHSA-N 1 2 292.452 3.720 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H]2CCCC[C@H]2F)cc1 ZINC001234588399 1130659801 /nfs/dbraw/zinc/65/98/01/1130659801.db2.gz NOUXBJYOLSQROI-KGLIPLIRSA-N 1 2 265.328 3.708 20 0 CHADLO CC(C)n1cc([C@@H](C)Nc2ccc([NH+](C)C)cc2)cn1 ZINC000182494998 1126872691 /nfs/dbraw/zinc/87/26/91/1126872691.db2.gz DNUKRFDGRFFQGD-CYBMUJFWSA-N 1 2 272.396 3.703 20 0 CHADLO CC[C@H](COC)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000182884196 1126879438 /nfs/dbraw/zinc/87/94/38/1126879438.db2.gz ZLBQQPICZVTUCS-OAHLLOKOSA-N 1 2 299.418 3.723 20 0 CHADLO Cc1csc([C@H](C)CNc2cc(C)[nH+]c(C3CC3)n2)n1 ZINC000182593513 1126874371 /nfs/dbraw/zinc/87/43/71/1126874371.db2.gz KAPPUYLQEWZQAZ-SECBINFHSA-N 1 2 288.420 3.643 20 0 CHADLO Cc1nc([C@@H]([NH2+]C2CCCC2)c2ccc(Cl)cc2)no1 ZINC000182680794 1126875806 /nfs/dbraw/zinc/87/58/06/1126875806.db2.gz XLZBJBWSFLMGOO-AWEZNQCLSA-N 1 2 291.782 3.653 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+]Cc2nnc(C(C)C)o2)c1 ZINC000182923625 1126879699 /nfs/dbraw/zinc/87/96/99/1126879699.db2.gz URDRYCMTQRBDRX-ZDUSSCGKSA-N 1 2 273.380 3.661 20 0 CHADLO C[C@H](Nc1ccccc1OCCn1cc[nH+]c1)C1CCC1 ZINC000182940400 1126880160 /nfs/dbraw/zinc/88/01/60/1126880160.db2.gz ALSYMJCCWKNQSX-AWEZNQCLSA-N 1 2 285.391 3.563 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1cc(F)c(F)cc1F ZINC000183706639 1126890540 /nfs/dbraw/zinc/89/05/40/1126890540.db2.gz QQDBXZLUSWHWME-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1cc(F)c(F)cc1F ZINC000183706639 1126890544 /nfs/dbraw/zinc/89/05/44/1126890544.db2.gz QQDBXZLUSWHWME-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO CC(C)n1ccc(C[C@H](C)Nc2c[nH+]cc3c2CCCC3)n1 ZINC000668439717 1126890645 /nfs/dbraw/zinc/89/06/45/1126890645.db2.gz CYMGFJZUYSPIEK-AWEZNQCLSA-N 1 2 298.434 3.781 20 0 CHADLO Cc1ncc(CNc2[nH+]c3ccccc3n2CC2CC2)s1 ZINC000905430214 1126902280 /nfs/dbraw/zinc/90/22/80/1126902280.db2.gz RBDIRDVZLGZURT-UHFFFAOYSA-N 1 2 298.415 3.823 20 0 CHADLO Cc1cc(NCc2cn(C)nc2C2CCCC2)c[nH+]c1C ZINC000905420218 1126901284 /nfs/dbraw/zinc/90/12/84/1126901284.db2.gz YEWAQYDZRRDIJS-UHFFFAOYSA-N 1 2 284.407 3.702 20 0 CHADLO COc1ccc(C)cc1CSCCCn1cc[nH+]c1 ZINC000185565029 1126901603 /nfs/dbraw/zinc/90/16/03/1126901603.db2.gz RJORAVDFMIOFHX-UHFFFAOYSA-N 1 2 276.405 3.524 20 0 CHADLO Cc1ncsc1CNc1[nH+]c2ccccc2n1CC1CC1 ZINC000905428962 1126901896 /nfs/dbraw/zinc/90/18/96/1126901896.db2.gz CGMADNFIUVRPIS-UHFFFAOYSA-N 1 2 298.415 3.823 20 0 CHADLO COCC1=CC[N@H+](Cc2ccc(Cl)c(Cl)c2)CC1 ZINC000185814087 1126903246 /nfs/dbraw/zinc/90/32/46/1126903246.db2.gz BAWMPRQXJBDVKP-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO COCC1=CC[N@@H+](Cc2ccc(Cl)c(Cl)c2)CC1 ZINC000185814087 1126903250 /nfs/dbraw/zinc/90/32/50/1126903250.db2.gz BAWMPRQXJBDVKP-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO Fc1c(Cl)cccc1CSCCn1cc[nH+]c1 ZINC000188743138 1126925230 /nfs/dbraw/zinc/92/52/30/1126925230.db2.gz MFWCMUZNOCKQQK-UHFFFAOYSA-N 1 2 270.760 3.609 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2ccc(F)cc2)cs1 ZINC000189781216 1126935306 /nfs/dbraw/zinc/93/53/06/1126935306.db2.gz YQPURIDDZCSXFA-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2ccc(F)cc2)cs1 ZINC000189781216 1126935309 /nfs/dbraw/zinc/93/53/09/1126935309.db2.gz YQPURIDDZCSXFA-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cn2cc(-c3cnn([C@H]4CCCCO4)c3)cc(C)c2[nH+]1 ZINC000906179812 1126934236 /nfs/dbraw/zinc/93/42/36/1126934236.db2.gz PIAKSPBHOFUFTH-MRXNPFEDSA-N 1 2 296.374 3.514 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)CCCc2ccccc2)c(C)[nH+]1 ZINC000906180156 1126934439 /nfs/dbraw/zinc/93/44/39/1126934439.db2.gz YPBXMOWHUUPPJP-UHFFFAOYSA-N 1 2 296.414 3.712 20 0 CHADLO Fc1ccc(CSCCn2cc[nH+]c2)cc1Cl ZINC000190198123 1126940138 /nfs/dbraw/zinc/94/01/38/1126940138.db2.gz MYMQLAVTDAQCCE-UHFFFAOYSA-N 1 2 270.760 3.609 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2cn(C(C)(C)C)nn2)cc1 ZINC000191226776 1126950056 /nfs/dbraw/zinc/95/00/56/1126950056.db2.gz DNKARHCTNMQHJG-AWEZNQCLSA-N 1 2 286.423 3.535 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2cn(C(C)(C)C)nn2)cc1 ZINC000191226776 1126950058 /nfs/dbraw/zinc/95/00/58/1126950058.db2.gz DNKARHCTNMQHJG-AWEZNQCLSA-N 1 2 286.423 3.535 20 0 CHADLO Fc1ccc(C2=CC[N@H+](Cc3cscn3)CC2)cc1 ZINC000193007430 1126966082 /nfs/dbraw/zinc/96/60/82/1126966082.db2.gz BUNYDXFDMRUPPR-UHFFFAOYSA-N 1 2 274.364 3.572 20 0 CHADLO Fc1ccc(C2=CC[N@@H+](Cc3cscn3)CC2)cc1 ZINC000193007430 1126966084 /nfs/dbraw/zinc/96/60/84/1126966084.db2.gz BUNYDXFDMRUPPR-UHFFFAOYSA-N 1 2 274.364 3.572 20 0 CHADLO CS[C@H](CNC(=O)c1c(C)cc(C)[nH+]c1C)C(C)(C)C ZINC000907322354 1126976740 /nfs/dbraw/zinc/97/67/40/1126976740.db2.gz CZIXIKJCVPSNLE-CYBMUJFWSA-N 1 2 294.464 3.514 20 0 CHADLO Clc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC000149440904 1126982839 /nfs/dbraw/zinc/98/28/39/1126982839.db2.gz XUSRPGUENFULQR-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO Clc1ccc([C@@H]2CC[N@@H+]2Cc2ccccn2)cc1 ZINC000267320981 1126996991 /nfs/dbraw/zinc/99/69/91/1126996991.db2.gz GSQDLUHFQHSZGS-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccc([C@@H]2CC[N@H+]2Cc2ccccn2)cc1 ZINC000267320981 1126996993 /nfs/dbraw/zinc/99/69/93/1126996993.db2.gz GSQDLUHFQHSZGS-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+][C@@H](C)c2c(F)cccc2F)c(C)n1 ZINC000268775554 1127023895 /nfs/dbraw/zinc/02/38/95/1127023895.db2.gz GXUFLFIHDNXMSQ-KOLCDFICSA-N 1 2 291.345 3.783 20 0 CHADLO Clc1ccc([C@@H]2CC[N@@H+]2Cc2ccncc2)cc1 ZINC000269584905 1127042306 /nfs/dbraw/zinc/04/23/06/1127042306.db2.gz GRMLKRIWHGNTMX-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccc([C@@H]2CC[N@H+]2Cc2ccncc2)cc1 ZINC000269584905 1127042307 /nfs/dbraw/zinc/04/23/07/1127042307.db2.gz GRMLKRIWHGNTMX-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO C[C@H]([NH2+]Cc1nc2cc(Cl)ccc2n1C)c1ccco1 ZINC000269778452 1127046557 /nfs/dbraw/zinc/04/65/57/1127046557.db2.gz SWEFIOZEAKVVNO-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO CCCn1c(C)nnc1C[N@H+]([C@@H](C)c1ccccc1)C1CC1 ZINC000270856157 1127062315 /nfs/dbraw/zinc/06/23/15/1127062315.db2.gz YNWAYASQLVSHLB-AWEZNQCLSA-N 1 2 298.434 3.722 20 0 CHADLO CCCn1c(C)nnc1C[N@@H+]([C@@H](C)c1ccccc1)C1CC1 ZINC000270856157 1127062319 /nfs/dbraw/zinc/06/23/19/1127062319.db2.gz YNWAYASQLVSHLB-AWEZNQCLSA-N 1 2 298.434 3.722 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1cc[nH]n1 ZINC000271045174 1127066025 /nfs/dbraw/zinc/06/60/25/1127066025.db2.gz LHLLMMBXQHYXFQ-JTQLQIEISA-N 1 2 283.297 3.622 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1cc[nH]n1 ZINC000271045174 1127066028 /nfs/dbraw/zinc/06/60/28/1127066028.db2.gz LHLLMMBXQHYXFQ-JTQLQIEISA-N 1 2 283.297 3.622 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](C)c2cscn2)cc1F ZINC000271059437 1127066713 /nfs/dbraw/zinc/06/67/13/1127066713.db2.gz BPQBMMDVAOZTAD-JTQLQIEISA-N 1 2 280.368 3.532 20 0 CHADLO COCc1ccc(C[NH2+][C@H](C)c2cccc(F)c2F)o1 ZINC000271172092 1127071242 /nfs/dbraw/zinc/07/12/42/1127071242.db2.gz CFKKIFAMRZHUDC-SNVBAGLBSA-N 1 2 281.302 3.555 20 0 CHADLO COc1cc(C[N@H+](Cc2ccccc2F)C(C)C)sn1 ZINC000659857645 1127071360 /nfs/dbraw/zinc/07/13/60/1127071360.db2.gz OIWPQXCGQVUFRP-UHFFFAOYSA-N 1 2 294.395 3.701 20 0 CHADLO COc1cc(C[N@@H+](Cc2ccccc2F)C(C)C)sn1 ZINC000659857645 1127071363 /nfs/dbraw/zinc/07/13/63/1127071363.db2.gz OIWPQXCGQVUFRP-UHFFFAOYSA-N 1 2 294.395 3.701 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2noc(C)n2)CC(C)C)cc1 ZINC000645972903 1127071892 /nfs/dbraw/zinc/07/18/92/1127071892.db2.gz AEZVPTBQWLYHEJ-UHFFFAOYSA-N 1 2 287.407 3.599 20 0 CHADLO CCc1ccc(C[N@H+](Cc2noc(C)n2)CC(C)C)cc1 ZINC000645972903 1127071896 /nfs/dbraw/zinc/07/18/96/1127071896.db2.gz AEZVPTBQWLYHEJ-UHFFFAOYSA-N 1 2 287.407 3.599 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCOc2ccccc21)c1cscn1 ZINC000271184564 1127072444 /nfs/dbraw/zinc/07/24/44/1127072444.db2.gz WLNADJIZKXRAOI-WCQYABFASA-N 1 2 274.389 3.708 20 0 CHADLO CC[N@H+](Cc1ncsc1Br)[C@@H](C)C(C)C ZINC000659858256 1127075203 /nfs/dbraw/zinc/07/52/03/1127075203.db2.gz WVUXYFCIPHMRDL-VIFPVBQESA-N 1 2 291.258 3.772 20 0 CHADLO CC[N@@H+](Cc1ncsc1Br)[C@@H](C)C(C)C ZINC000659858256 1127075205 /nfs/dbraw/zinc/07/52/05/1127075205.db2.gz WVUXYFCIPHMRDL-VIFPVBQESA-N 1 2 291.258 3.772 20 0 CHADLO CC(C)c1nnc([C@@H](C)[NH2+][C@@H](C)c2ccccc2Cl)[nH]1 ZINC000271453166 1127082861 /nfs/dbraw/zinc/08/28/61/1127082861.db2.gz GKTSGNVWPASQKG-WDEREUQCSA-N 1 2 292.814 3.993 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@H](C)c2ccc(C)cc2C)s1 ZINC000271472144 1127084711 /nfs/dbraw/zinc/08/47/11/1127084711.db2.gz VZLOPJIYVDJQRY-GFCCVEGCSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@H](C)c2ccc(C)cc2C)s1 ZINC000271472144 1127084713 /nfs/dbraw/zinc/08/47/13/1127084713.db2.gz VZLOPJIYVDJQRY-GFCCVEGCSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2COc3c2ccc(C)c3C)cs1 ZINC000271509311 1127087300 /nfs/dbraw/zinc/08/73/00/1127087300.db2.gz SDCNGFJSMBYQSJ-FZMZJTMJSA-N 1 2 288.416 3.853 20 0 CHADLO CCC(C)(C)N(C)C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000668899959 1127087625 /nfs/dbraw/zinc/08/76/25/1127087625.db2.gz LIYSQNGCTFARMS-OAHLLOKOSA-N 1 2 299.418 3.579 20 0 CHADLO CC(C)c1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)c(F)c2)[nH]1 ZINC000271583651 1127094269 /nfs/dbraw/zinc/09/42/69/1127094269.db2.gz XFPQIJAQMOWYAP-VHSXEESVSA-N 1 2 294.349 3.618 20 0 CHADLO CC(C)c1nnc(C[N@H+](Cc2ccccc2)C(C)C)o1 ZINC000339125243 1127113984 /nfs/dbraw/zinc/11/39/84/1127113984.db2.gz ONOMUMFDJSKUGM-UHFFFAOYSA-N 1 2 273.380 3.604 20 0 CHADLO CC(C)c1nnc(C[N@@H+](Cc2ccccc2)C(C)C)o1 ZINC000339125243 1127113986 /nfs/dbraw/zinc/11/39/86/1127113986.db2.gz ONOMUMFDJSKUGM-UHFFFAOYSA-N 1 2 273.380 3.604 20 0 CHADLO Cc1cn2cc(NC(=O)CCCc3cccs3)ccc2[nH+]1 ZINC000339118927 1127114299 /nfs/dbraw/zinc/11/42/99/1127114299.db2.gz NUEJAFICFXIZEC-UHFFFAOYSA-N 1 2 299.399 3.666 20 0 CHADLO C[N@H+](Cc1cn2ccsc2n1)Cc1ccc(Cl)cc1 ZINC000339133161 1127115269 /nfs/dbraw/zinc/11/52/69/1127115269.db2.gz NFRINBSUXRSXMF-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@@H+](Cc1cn2ccsc2n1)Cc1ccc(Cl)cc1 ZINC000339133161 1127115270 /nfs/dbraw/zinc/11/52/70/1127115270.db2.gz NFRINBSUXRSXMF-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2Cc3ccccc3[C@@H](C)C2)n1 ZINC000912885384 1127115891 /nfs/dbraw/zinc/11/58/91/1127115891.db2.gz RHZLISGLRJVVAR-STQMWFEESA-N 1 2 285.391 3.873 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2Cc3ccccc3[C@@H](C)C2)n1 ZINC000912885384 1127115892 /nfs/dbraw/zinc/11/58/92/1127115892.db2.gz RHZLISGLRJVVAR-STQMWFEESA-N 1 2 285.391 3.873 20 0 CHADLO O=C(Cc1c[nH]c[nH+]1)Nc1cccc(C2CCCCC2)c1 ZINC000912980399 1127118052 /nfs/dbraw/zinc/11/80/52/1127118052.db2.gz ZZSXKASTPAELTN-UHFFFAOYSA-N 1 2 283.375 3.639 20 0 CHADLO C[C@@H]1CC(C)(C)C[C@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348836134 1127130533 /nfs/dbraw/zinc/13/05/33/1127130533.db2.gz CBWXOYGHIDEVCM-UKRRQHHQSA-N 1 2 284.407 3.563 20 0 CHADLO CC[C@H]1CCC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000348847998 1127131548 /nfs/dbraw/zinc/13/15/48/1127131548.db2.gz LRDPWQWAKSBQJC-HOCLYGCPSA-N 1 2 284.407 3.707 20 0 CHADLO CCC1CCC(Nc2ccc(Cn3cc[nH+]c3)cn2)CC1 ZINC000348839246 1127131649 /nfs/dbraw/zinc/13/16/49/1127131649.db2.gz VLLIEYXNPUBZMO-UHFFFAOYSA-N 1 2 284.407 3.707 20 0 CHADLO CCCc1csc(C[N@H+](CC)Cc2ccncc2)n1 ZINC000348918432 1127134865 /nfs/dbraw/zinc/13/48/65/1127134865.db2.gz CKOZHTUGLUVPSA-UHFFFAOYSA-N 1 2 275.421 3.513 20 0 CHADLO CCCc1csc(C[N@@H+](CC)Cc2ccncc2)n1 ZINC000348918432 1127134866 /nfs/dbraw/zinc/13/48/66/1127134866.db2.gz CKOZHTUGLUVPSA-UHFFFAOYSA-N 1 2 275.421 3.513 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1nnc(C)o1 ZINC000283523785 1127141274 /nfs/dbraw/zinc/14/12/74/1127141274.db2.gz NIDQSOBENYASRQ-ZJUUUORDSA-N 1 2 277.393 3.512 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@H](C)c1nnc(C)o1 ZINC000283523780 1127141701 /nfs/dbraw/zinc/14/17/01/1127141701.db2.gz NIDQSOBENYASRQ-NXEZZACHSA-N 1 2 277.393 3.512 20 0 CHADLO COc1ccsc1C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000349764289 1127160224 /nfs/dbraw/zinc/16/02/24/1127160224.db2.gz MJBARKMHCZZTGB-UHFFFAOYSA-N 1 2 298.411 3.796 20 0 CHADLO COc1ccsc1C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000349764289 1127160227 /nfs/dbraw/zinc/16/02/27/1127160227.db2.gz MJBARKMHCZZTGB-UHFFFAOYSA-N 1 2 298.411 3.796 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NCc3cnc(C)nc3)c2)cc1 ZINC001168379135 1127161741 /nfs/dbraw/zinc/16/17/41/1127161741.db2.gz FCYSOARENOXPKI-UHFFFAOYSA-N 1 2 290.370 3.768 20 0 CHADLO CCc1ccc(C[N@H+](Cc2nccs2)C2CC2)cc1 ZINC000349946724 1127168605 /nfs/dbraw/zinc/16/86/05/1127168605.db2.gz SUYPXMDVRALFDL-UHFFFAOYSA-N 1 2 272.417 3.870 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2nccs2)C2CC2)cc1 ZINC000349946724 1127168608 /nfs/dbraw/zinc/16/86/08/1127168608.db2.gz SUYPXMDVRALFDL-UHFFFAOYSA-N 1 2 272.417 3.870 20 0 CHADLO Cc1nc(NC2CCC=CCC2)c(Br)c(C)[nH+]1 ZINC000718036174 1127181559 /nfs/dbraw/zinc/18/15/59/1127181559.db2.gz ZTYRWFRJBCAPSR-UHFFFAOYSA-N 1 2 296.212 3.767 20 0 CHADLO CCCC[C@@H](C)[C@@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000572080863 1127191158 /nfs/dbraw/zinc/19/11/58/1127191158.db2.gz OTLAXMPDGWZQBH-HUUCEWRRSA-N 1 2 286.423 3.953 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(F)cc1C(F)(F)F)c1ccon1 ZINC000351579806 1127195455 /nfs/dbraw/zinc/19/54/55/1127195455.db2.gz KDOLVMIWCIEWLB-QMMMGPOBSA-N 1 2 288.244 3.683 20 0 CHADLO CC(C)(C)c1cc(COc2cccc(-n3cc[nH+]c3)c2)no1 ZINC000572296632 1127197833 /nfs/dbraw/zinc/19/78/33/1127197833.db2.gz MVNMYJAHJMECPD-UHFFFAOYSA-N 1 2 297.358 3.737 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000351633749 1127199772 /nfs/dbraw/zinc/19/97/72/1127199772.db2.gz LTCUBSNGSFKLBW-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000351633749 1127199774 /nfs/dbraw/zinc/19/97/74/1127199774.db2.gz LTCUBSNGSFKLBW-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CN(C)c1ccc(NCc2ccc3occc3c2)c[nH+]1 ZINC000351639312 1127199904 /nfs/dbraw/zinc/19/99/04/1127199904.db2.gz CFCNEESOOHSWBN-UHFFFAOYSA-N 1 2 267.332 3.506 20 0 CHADLO Oc1ccc(F)c(C[N@@H+]2CCS[C@@H]3CCCC[C@@H]32)c1F ZINC000351664444 1127201762 /nfs/dbraw/zinc/20/17/62/1127201762.db2.gz KBKJHXJJORTUDV-GXTWGEPZSA-N 1 2 299.386 3.530 20 0 CHADLO Oc1ccc(F)c(C[N@H+]2CCS[C@@H]3CCCC[C@@H]32)c1F ZINC000351664444 1127201766 /nfs/dbraw/zinc/20/17/66/1127201766.db2.gz KBKJHXJJORTUDV-GXTWGEPZSA-N 1 2 299.386 3.530 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+](C)Cc2nccn2C)cc1 ZINC000351729933 1127206889 /nfs/dbraw/zinc/20/68/89/1127206889.db2.gz JKKAADJQJSYPMI-UHFFFAOYSA-N 1 2 285.435 3.740 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+](C)Cc2nccn2C)cc1 ZINC000351729933 1127206893 /nfs/dbraw/zinc/20/68/93/1127206893.db2.gz JKKAADJQJSYPMI-UHFFFAOYSA-N 1 2 285.435 3.740 20 0 CHADLO CC[C@@H](C)CCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000351956895 1127214756 /nfs/dbraw/zinc/21/47/56/1127214756.db2.gz DBSSWWLYPKPPIQ-GFCCVEGCSA-N 1 2 271.364 3.842 20 0 CHADLO CC(C)c1[nH]ccc1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000351959367 1127214541 /nfs/dbraw/zinc/21/45/41/1127214541.db2.gz OXLBGYLNJPSUEJ-UHFFFAOYSA-N 1 2 294.358 3.781 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2cccc(Cl)n2)c1 ZINC001116483117 1131149979 /nfs/dbraw/zinc/14/99/79/1131149979.db2.gz SEVASWCWUZMFOZ-UHFFFAOYSA-N 1 2 294.807 3.880 20 0 CHADLO C[N@H+](Cc1ccsc1Cl)Cc1cccc(O)c1 ZINC000572813718 1127226789 /nfs/dbraw/zinc/22/67/89/1127226789.db2.gz IVJZAPIWMSKCGR-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO C[N@@H+](Cc1ccsc1Cl)Cc1cccc(O)c1 ZINC000572813718 1127226791 /nfs/dbraw/zinc/22/67/91/1127226791.db2.gz IVJZAPIWMSKCGR-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO Nc1cc(Cl)cc2c1ccn2-c1cc[nH+]cc1Cl ZINC001212160020 1127228776 /nfs/dbraw/zinc/22/87/76/1127228776.db2.gz JAAYJERIETZOGU-UHFFFAOYSA-N 1 2 278.142 3.915 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CCC=C(c3ccco3)C2)n1 ZINC000285458398 1127229181 /nfs/dbraw/zinc/22/91/81/1127229181.db2.gz ZASWGDXDWFWTGQ-LBPRGKRZSA-N 1 2 287.363 3.636 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CCC=C(c3ccco3)C2)n1 ZINC000285458398 1127229184 /nfs/dbraw/zinc/22/91/84/1127229184.db2.gz ZASWGDXDWFWTGQ-LBPRGKRZSA-N 1 2 287.363 3.636 20 0 CHADLO Cc1cn(-c2cc[nH+]cc2Cl)c2cc(N)ccc12 ZINC001212159977 1127229488 /nfs/dbraw/zinc/22/94/88/1127229488.db2.gz GQBWMCLYUGMDEE-UHFFFAOYSA-N 1 2 257.724 3.570 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2nn(C)c3ccccc23)o1 ZINC000589643444 1127231989 /nfs/dbraw/zinc/23/19/89/1127231989.db2.gz XJMRPPBUKUNCPS-IUODEOHRSA-N 1 2 295.386 3.580 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2n[nH]c3ccccc32)cc1 ZINC000589643303 1127232385 /nfs/dbraw/zinc/23/23/85/1127232385.db2.gz SOEBRPCWAOGDKY-CYBMUJFWSA-N 1 2 265.360 3.722 20 0 CHADLO c1ccc2c(C[NH2+][C@@H]3CCSc4ccccc43)n[nH]c2c1 ZINC000589641127 1127232471 /nfs/dbraw/zinc/23/24/71/1127232471.db2.gz UBGHVGNUYJTFJR-CQSZACIVSA-N 1 2 295.411 3.890 20 0 CHADLO Clc1cccc2c1CC[C@@H]2[NH2+]Cc1n[nH]c2ccccc21 ZINC000589648041 1127233244 /nfs/dbraw/zinc/23/32/44/1127233244.db2.gz RPYMWZVGSFCKMQ-HNNXBMFYSA-N 1 2 297.789 3.993 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1ccc(Cl)nc1 ZINC000285775676 1127243300 /nfs/dbraw/zinc/24/33/00/1127243300.db2.gz UGRGRJDGHCZURU-IUCAKERBSA-N 1 2 297.736 3.820 20 0 CHADLO CC(=O)Nc1ccc(C[NH2+]C2(C(F)F)CCCCC2)cc1 ZINC000353016546 1127257751 /nfs/dbraw/zinc/25/77/51/1127257751.db2.gz IVMVZVYAPDBWGO-UHFFFAOYSA-N 1 2 296.361 3.703 20 0 CHADLO Cc1ncsc1C[NH2+]C1(C(F)F)CCCCC1 ZINC000353049270 1127259689 /nfs/dbraw/zinc/25/96/89/1127259689.db2.gz COGFOJLQGZIAAC-UHFFFAOYSA-N 1 2 260.353 3.509 20 0 CHADLO CC(C)[C@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)CCO1 ZINC000353151852 1127262614 /nfs/dbraw/zinc/26/26/14/1127262614.db2.gz MKSSLZFNGNCNQJ-OAHLLOKOSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)[C@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)CCO1 ZINC000353151852 1127262615 /nfs/dbraw/zinc/26/26/15/1127262615.db2.gz MKSSLZFNGNCNQJ-OAHLLOKOSA-N 1 2 294.464 3.658 20 0 CHADLO CCc1ccc(CNc2ccc([NH+](C)C)cc2)s1 ZINC000057611264 1127267759 /nfs/dbraw/zinc/26/77/59/1127267759.db2.gz VLMGKOCZNLNHMM-UHFFFAOYSA-N 1 2 260.406 3.989 20 0 CHADLO C[C@H]1C[C@H](Nc2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000286476424 1127268213 /nfs/dbraw/zinc/26/82/13/1127268213.db2.gz LCTRCDBJOHQINK-WDEREUQCSA-N 1 2 276.767 3.868 20 0 CHADLO C[C@H](CC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)CC(C)(C)C ZINC000590728523 1127268303 /nfs/dbraw/zinc/26/83/03/1127268303.db2.gz VHXIDNZNGMFHEB-HUUCEWRRSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1cccc(CCCNc2nc3ccccc3o2)[nH+]1 ZINC000286536958 1127268382 /nfs/dbraw/zinc/26/83/82/1127268382.db2.gz IMQGNFWTWZUEFF-UHFFFAOYSA-N 1 2 267.332 3.576 20 0 CHADLO CC1(C)C[N@H+](Cc2cncc(F)c2)[C@@H]1c1cccs1 ZINC000286660668 1127270986 /nfs/dbraw/zinc/27/09/86/1127270986.db2.gz ARBVTVRGCKSKOE-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2cncc(F)c2)[C@@H]1c1cccs1 ZINC000286660668 1127270990 /nfs/dbraw/zinc/27/09/90/1127270990.db2.gz ARBVTVRGCKSKOE-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+][C@@H](C)c1c(F)cncc1F ZINC000353934954 1127280581 /nfs/dbraw/zinc/28/05/81/1127280581.db2.gz SAZWDYQVBIILRQ-QWRGUYRKSA-N 1 2 291.345 3.729 20 0 CHADLO CCS[C@H](C)c1noc(C[N@@H+]2CC[C@H](C)C(C)(C)C2)n1 ZINC000354004072 1127285175 /nfs/dbraw/zinc/28/51/75/1127285175.db2.gz WWJYAUYWYOEVBO-NWDGAFQWSA-N 1 2 297.468 3.752 20 0 CHADLO CCS[C@H](C)c1noc(C[N@H+]2CC[C@H](C)C(C)(C)C2)n1 ZINC000354004072 1127285177 /nfs/dbraw/zinc/28/51/77/1127285177.db2.gz WWJYAUYWYOEVBO-NWDGAFQWSA-N 1 2 297.468 3.752 20 0 CHADLO C[N@H+](Cc1ccc[nH]1)Cc1ccc(Br)cc1F ZINC000354025949 1127286554 /nfs/dbraw/zinc/28/65/54/1127286554.db2.gz LGRBCEOIBVYJMZ-UHFFFAOYSA-N 1 2 297.171 3.548 20 0 CHADLO C[N@@H+](Cc1ccc[nH]1)Cc1ccc(Br)cc1F ZINC000354025949 1127286556 /nfs/dbraw/zinc/28/65/56/1127286556.db2.gz LGRBCEOIBVYJMZ-UHFFFAOYSA-N 1 2 297.171 3.548 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](C3CCC3)C2)c(C)[nH+]1 ZINC000669397623 1127286725 /nfs/dbraw/zinc/28/67/25/1127286725.db2.gz MMQITVDZEOWRDY-OAHLLOKOSA-N 1 2 287.407 3.661 20 0 CHADLO Cc1cccnc1C[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000287364310 1127302368 /nfs/dbraw/zinc/30/23/68/1127302368.db2.gz NSPAVUJWXASODL-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO Cc1cccnc1C[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000287364310 1127302370 /nfs/dbraw/zinc/30/23/70/1127302370.db2.gz NSPAVUJWXASODL-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1c(F)cccc1CC ZINC000354358217 1127304892 /nfs/dbraw/zinc/30/48/92/1127304892.db2.gz IWQMZUPEJDISTM-UHFFFAOYSA-N 1 2 276.355 3.571 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1c(F)cccc1CC ZINC000354358217 1127304894 /nfs/dbraw/zinc/30/48/94/1127304894.db2.gz IWQMZUPEJDISTM-UHFFFAOYSA-N 1 2 276.355 3.571 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@H]2c2ccccc2)c(F)c1 ZINC000466818439 1127315359 /nfs/dbraw/zinc/31/53/59/1127315359.db2.gz ZXSWNVVAMHQTOI-INIZCTEOSA-N 1 2 259.299 3.912 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@H]2c2ccccc2)c(F)c1 ZINC000466818439 1127315363 /nfs/dbraw/zinc/31/53/63/1127315363.db2.gz ZXSWNVVAMHQTOI-INIZCTEOSA-N 1 2 259.299 3.912 20 0 CHADLO Cc1[nH+]c[nH]c1CNC(=O)c1coc2ccc(C(C)C)cc12 ZINC000287802079 1127313755 /nfs/dbraw/zinc/31/37/55/1127313755.db2.gz JJJXVOATAZBIKW-UHFFFAOYSA-N 1 2 297.358 3.518 20 0 CHADLO CCOc1c(Br)cc(C)cc1C[NH+]1CC=CC1 ZINC001238395439 1131196490 /nfs/dbraw/zinc/19/64/90/1131196490.db2.gz VVRIYBOFQWGWMA-UHFFFAOYSA-N 1 2 296.208 3.528 20 0 CHADLO Cc1ccc(C[N@H+](CC(F)F)CC2CCC2)s1 ZINC000355141937 1127320777 /nfs/dbraw/zinc/32/07/77/1127320777.db2.gz ZMGAARCNBUZLLC-UHFFFAOYSA-N 1 2 259.365 3.924 20 0 CHADLO Cc1ccc(C[N@@H+](CC(F)F)CC2CCC2)s1 ZINC000355141937 1127320779 /nfs/dbraw/zinc/32/07/79/1127320779.db2.gz ZMGAARCNBUZLLC-UHFFFAOYSA-N 1 2 259.365 3.924 20 0 CHADLO COc1ccsc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000355328375 1127334248 /nfs/dbraw/zinc/33/42/48/1127334248.db2.gz ZEJNMTNDPKJTFS-UHFFFAOYSA-N 1 2 285.372 3.555 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cnc(-c3cccs3)s2)C1 ZINC000355370909 1127336692 /nfs/dbraw/zinc/33/66/92/1127336692.db2.gz VWLYFKFODJXCCV-CYBMUJFWSA-N 1 2 282.409 3.806 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cnc(-c3cccs3)s2)C1 ZINC000355370909 1127336695 /nfs/dbraw/zinc/33/66/95/1127336695.db2.gz VWLYFKFODJXCCV-CYBMUJFWSA-N 1 2 282.409 3.806 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@@H+]1Cc1cc(C)on1 ZINC000592330149 1127343546 /nfs/dbraw/zinc/34/35/46/1127343546.db2.gz LJZLISXEVRUXJW-INIZCTEOSA-N 1 2 286.375 3.719 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@H+]1Cc1cc(C)on1 ZINC000592330149 1127343549 /nfs/dbraw/zinc/34/35/49/1127343549.db2.gz LJZLISXEVRUXJW-INIZCTEOSA-N 1 2 286.375 3.719 20 0 CHADLO C1=CC[C@H](Nc2cc(N[C@@H]3CC=CCC3)nc[nH+]2)CC1 ZINC000355494065 1127344916 /nfs/dbraw/zinc/34/49/16/1127344916.db2.gz BPQUNQDOHPVSFI-OKILXGFUSA-N 1 2 270.380 3.518 20 0 CHADLO C1=CC[C@H](Nc2cc(N[C@@H]3CC=CCC3)[nH+]cn2)CC1 ZINC000355494065 1127344920 /nfs/dbraw/zinc/34/49/20/1127344920.db2.gz BPQUNQDOHPVSFI-OKILXGFUSA-N 1 2 270.380 3.518 20 0 CHADLO CCCc1nc(CSCCc2[nH+]cc(C)cc2C)no1 ZINC000592453792 1127349502 /nfs/dbraw/zinc/34/95/02/1127349502.db2.gz XUZNFLZTCNXAPB-UHFFFAOYSA-N 1 2 291.420 3.510 20 0 CHADLO c1nnc([C@H]([NH2+]CCCCC2CCCC2)c2ccccc2)[nH]1 ZINC000289229426 1127378270 /nfs/dbraw/zinc/37/82/70/1127378270.db2.gz LWILBFFZAIHAOS-QGZVFWFLSA-N 1 2 298.434 3.844 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC=C(c3cccnc3)C2)cs1 ZINC000289280813 1127379816 /nfs/dbraw/zinc/37/98/16/1127379816.db2.gz GCVJQEGVMBJFLO-UHFFFAOYSA-N 1 2 299.443 3.780 20 0 CHADLO CCCc1nc(C[N@H+]2CCC=C(c3cccnc3)C2)cs1 ZINC000289280813 1127379819 /nfs/dbraw/zinc/37/98/19/1127379819.db2.gz GCVJQEGVMBJFLO-UHFFFAOYSA-N 1 2 299.443 3.780 20 0 CHADLO c1cn(-c2ccc(CSCC3CCC3)cc2)c[nH+]1 ZINC000357041873 1127386724 /nfs/dbraw/zinc/38/67/24/1127386724.db2.gz RKXJAIAPGYCRGN-UHFFFAOYSA-N 1 2 258.390 3.906 20 0 CHADLO Clc1ccc2sc(CNc3cccc[nH+]3)nc2c1 ZINC000357947285 1127396042 /nfs/dbraw/zinc/39/60/42/1127396042.db2.gz XZDZDVVGEZNMAF-UHFFFAOYSA-N 1 2 275.764 3.957 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@H+](Cc2ncc(-c3ccccc3)o2)C1 ZINC000761042786 1127400982 /nfs/dbraw/zinc/40/09/82/1127400982.db2.gz RWWAZLNGQDDCHQ-GFCCVEGCSA-N 1 2 296.292 3.726 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@@H+](Cc2ncc(-c3ccccc3)o2)C1 ZINC000761042786 1127400984 /nfs/dbraw/zinc/40/09/84/1127400984.db2.gz RWWAZLNGQDDCHQ-GFCCVEGCSA-N 1 2 296.292 3.726 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1cncs1 ZINC000358175880 1127406434 /nfs/dbraw/zinc/40/64/34/1127406434.db2.gz ZUKXDRWIEQDFQZ-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1csc(C)n1)c1ccc(F)cc1 ZINC000358180487 1127406846 /nfs/dbraw/zinc/40/68/46/1127406846.db2.gz AEJBYSIXXQVEJC-YGRLFVJLSA-N 1 2 294.395 3.629 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccsc2)s1 ZINC000358281853 1127412776 /nfs/dbraw/zinc/41/27/76/1127412776.db2.gz DAHXNYQXHCFAGW-SECBINFHSA-N 1 2 267.423 3.574 20 0 CHADLO CCc1noc(C[NH2+]C(C)(C)c2ccc(C(C)C)cc2)n1 ZINC000594395379 1127415447 /nfs/dbraw/zinc/41/54/47/1127415447.db2.gz DEFIBLCHWWZXHA-UHFFFAOYSA-N 1 2 287.407 3.780 20 0 CHADLO Oc1ccc(C[N@@H+]2CCc3cc(Cl)ccc3C2)cc1F ZINC001308687038 1127429659 /nfs/dbraw/zinc/42/96/59/1127429659.db2.gz QUKCPPDWJSOIJX-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ccoc2)c2cccnc2)cc1 ZINC000358728874 1127432714 /nfs/dbraw/zinc/43/27/14/1127432714.db2.gz SLBMNCSDIBDYMS-QGZVFWFLSA-N 1 2 282.318 3.693 20 0 CHADLO Cc1ccc(C[S@](=O)C[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000359400868 1127461963 /nfs/dbraw/zinc/46/19/63/1127461963.db2.gz DLQJERFRDFYKLR-RNODOKPDSA-N 1 2 287.428 3.751 20 0 CHADLO FC1=CCC[N@H+](Cc2ccc(OCC(F)(F)F)cc2)C1 ZINC000359511857 1127465507 /nfs/dbraw/zinc/46/55/07/1127465507.db2.gz AZRNHKZRRSIJRZ-UHFFFAOYSA-N 1 2 289.272 3.687 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccc(OCC(F)(F)F)cc2)C1 ZINC000359511857 1127465512 /nfs/dbraw/zinc/46/55/12/1127465512.db2.gz AZRNHKZRRSIJRZ-UHFFFAOYSA-N 1 2 289.272 3.687 20 0 CHADLO Cc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)cnc1F ZINC001212180726 1127474025 /nfs/dbraw/zinc/47/40/25/1127474025.db2.gz BUIAIWFZIDPYFE-UHFFFAOYSA-N 1 2 276.702 3.574 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1)C(C)(C)C ZINC000359695749 1127473693 /nfs/dbraw/zinc/47/36/93/1127473693.db2.gz PLEAGCDVJFFHOQ-LLVKDONJSA-N 1 2 289.354 3.632 20 0 CHADLO C[C@H]([NH2+]Cc1occc1Br)c1cccc(O)c1 ZINC000227370323 1127474559 /nfs/dbraw/zinc/47/45/59/1127474559.db2.gz LUWJHUORQUVXQD-VIFPVBQESA-N 1 2 296.164 3.599 20 0 CHADLO CC[C@@H](C)n1ncc(NCc2c[nH+]c3c(C)cccn23)c1C ZINC000359805163 1127478014 /nfs/dbraw/zinc/47/80/14/1127478014.db2.gz UHBDTESIXFREPS-CYBMUJFWSA-N 1 2 297.406 3.731 20 0 CHADLO COc1cccc2c(NCC3(C(F)F)CC3)cc[nH+]c12 ZINC000359842916 1127479693 /nfs/dbraw/zinc/47/96/93/1127479693.db2.gz HCTXUNYPKSPWSS-UHFFFAOYSA-N 1 2 278.302 3.701 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3OC[C@H]2C)c(C)s1 ZINC000359863541 1127480707 /nfs/dbraw/zinc/48/07/07/1127480707.db2.gz WMACZULCXSWZHX-LLVKDONJSA-N 1 2 288.416 3.543 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3OC[C@H]2C)c(C)s1 ZINC000359863541 1127480709 /nfs/dbraw/zinc/48/07/09/1127480709.db2.gz WMACZULCXSWZHX-LLVKDONJSA-N 1 2 288.416 3.543 20 0 CHADLO CCC[C@H](Nc1cc(CC(=O)OC)cc[nH+]1)c1ccccc1 ZINC000596057137 1127481476 /nfs/dbraw/zinc/48/14/76/1127481476.db2.gz HHSFGRIGWDKELC-INIZCTEOSA-N 1 2 298.386 3.750 20 0 CHADLO Cc1nc(N[C@@H]2CC[C@@H](c3ccc(F)cc3)C2)cc[nH+]1 ZINC000359880336 1127481850 /nfs/dbraw/zinc/48/18/50/1127481850.db2.gz SFEZPBFLVPJKAG-UKRRQHHQSA-N 1 2 271.339 3.672 20 0 CHADLO CCSCC[C@H](C)N(C)c1[nH+]ccc2c(N)cccc21 ZINC000596147015 1127483660 /nfs/dbraw/zinc/48/36/60/1127483660.db2.gz KXLPNISFWWCNSD-LBPRGKRZSA-N 1 2 289.448 3.785 20 0 CHADLO Cc1nc(N[C@H]2CC[C@@H](c3cccc(F)c3)C2)cc[nH+]1 ZINC000360061854 1127488553 /nfs/dbraw/zinc/48/85/53/1127488553.db2.gz DNPHXTBPLJVPQU-HIFRSBDPSA-N 1 2 271.339 3.672 20 0 CHADLO Cc1ccc(C[N@@H+]2[C@H](C)COC[C@@H]2c2ccccc2)c(C)n1 ZINC000360115360 1127490824 /nfs/dbraw/zinc/49/08/24/1127490824.db2.gz MEYOVZKAWWLDCE-DNVCBOLYSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1ccc(C[N@H+]2[C@H](C)COC[C@@H]2c2ccccc2)c(C)n1 ZINC000360115360 1127490826 /nfs/dbraw/zinc/49/08/26/1127490826.db2.gz MEYOVZKAWWLDCE-DNVCBOLYSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1nc(N[C@@H]2CC[C@H](c3ccccc3F)C2)cc[nH+]1 ZINC000360273278 1127494893 /nfs/dbraw/zinc/49/48/93/1127494893.db2.gz GKNUKEPODOELHL-QWHCGFSZSA-N 1 2 271.339 3.672 20 0 CHADLO Cc1nc(N(C)Cc2c(Cl)cccc2Cl)cc[nH+]1 ZINC000360521126 1127501663 /nfs/dbraw/zinc/50/16/63/1127501663.db2.gz MLGCZUPEUOOYIR-UHFFFAOYSA-N 1 2 282.174 3.728 20 0 CHADLO CO[C@@H](CSCCc1[nH+]cc(C)cc1C)C1CCC1 ZINC000596416754 1127503245 /nfs/dbraw/zinc/50/32/45/1127503245.db2.gz CYKDKUUQZSTCBO-INIZCTEOSA-N 1 2 279.449 3.789 20 0 CHADLO c1csc(C[NH2+]Cc2ccnc(Oc3ccccc3)c2)n1 ZINC000596635256 1127513158 /nfs/dbraw/zinc/51/31/58/1127513158.db2.gz SETYIPGBLCHJTD-UHFFFAOYSA-N 1 2 297.383 3.620 20 0 CHADLO Cc1nc(N[C@@H]2C[C@@H]2c2ccccc2C(F)(F)F)cc[nH+]1 ZINC000360988150 1127527160 /nfs/dbraw/zinc/52/71/60/1127527160.db2.gz WVZWEPUFBXDRDI-DGCLKSJQSA-N 1 2 293.292 3.772 20 0 CHADLO Oc1ccc2c(c1)C1(CC1)C[N@@H+](Cc1ccc(Cl)o1)C2 ZINC000361027307 1127531279 /nfs/dbraw/zinc/53/12/79/1127531279.db2.gz XBONRIBGNVQERG-UHFFFAOYSA-N 1 2 289.762 3.686 20 0 CHADLO Oc1ccc2c(c1)C1(CC1)C[N@H+](Cc1ccc(Cl)o1)C2 ZINC000361027307 1127531283 /nfs/dbraw/zinc/53/12/83/1127531283.db2.gz XBONRIBGNVQERG-UHFFFAOYSA-N 1 2 289.762 3.686 20 0 CHADLO COC(=O)c1ccc(C[N@@H+]2Cc3ccccc3[C@@H]2C)s1 ZINC000361074556 1127535710 /nfs/dbraw/zinc/53/57/10/1127535710.db2.gz UIQHPTYFEUUZEY-NSHDSACASA-N 1 2 287.384 3.612 20 0 CHADLO COC(=O)c1ccc(C[N@H+]2Cc3ccccc3[C@@H]2C)s1 ZINC000361074556 1127535712 /nfs/dbraw/zinc/53/57/12/1127535712.db2.gz UIQHPTYFEUUZEY-NSHDSACASA-N 1 2 287.384 3.612 20 0 CHADLO Cc1cc(N2CCCC(C)(C)CC2)nc(C2CC2)[nH+]1 ZINC000361098340 1127537687 /nfs/dbraw/zinc/53/76/87/1127537687.db2.gz SOROQHWPEDSEDT-UHFFFAOYSA-N 1 2 259.397 3.679 20 0 CHADLO Cc1nc(N[C@H](C)c2cccc3ccccc32)cc[nH+]1 ZINC000361161918 1127543509 /nfs/dbraw/zinc/54/35/09/1127543509.db2.gz PBKMRXQHNRCHQE-GFCCVEGCSA-N 1 2 263.344 3.533 20 0 CHADLO CC[C@@H](C)CNc1ccc(-n2c[nH+]c(C)c2C)cc1 ZINC000361236854 1127549213 /nfs/dbraw/zinc/54/92/13/1127549213.db2.gz LTYSXJDDUFEGRT-GFCCVEGCSA-N 1 2 257.381 3.947 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000361754663 1127587091 /nfs/dbraw/zinc/58/70/91/1127587091.db2.gz DFVIKOHVKUYTPC-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO c1nnsc1C[N@H+](Cc1ccc2ccccc2c1)C1CC1 ZINC000294800401 1127599824 /nfs/dbraw/zinc/59/98/24/1127599824.db2.gz CDJKQUJCHQVXRG-UHFFFAOYSA-N 1 2 295.411 3.856 20 0 CHADLO c1nnsc1C[N@@H+](Cc1ccc2ccccc2c1)C1CC1 ZINC000294800401 1127599827 /nfs/dbraw/zinc/59/98/27/1127599827.db2.gz CDJKQUJCHQVXRG-UHFFFAOYSA-N 1 2 295.411 3.856 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(Br)s2)[C@@H](C)C1 ZINC000295090997 1127619456 /nfs/dbraw/zinc/61/94/56/1127619456.db2.gz SDYLVIFKPFOPLH-BDAKNGLRSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(Br)s2)[C@@H](C)C1 ZINC000295090997 1127619459 /nfs/dbraw/zinc/61/94/59/1127619459.db2.gz SDYLVIFKPFOPLH-BDAKNGLRSA-N 1 2 289.242 3.526 20 0 CHADLO CC(=O)c1cccc(C[N@H+](C)Cc2ccc(Cl)nc2)c1 ZINC000295108218 1127620176 /nfs/dbraw/zinc/62/01/76/1127620176.db2.gz VBDOEJGWANYQPW-UHFFFAOYSA-N 1 2 288.778 3.570 20 0 CHADLO CC(=O)c1cccc(C[N@@H+](C)Cc2ccc(Cl)nc2)c1 ZINC000295108218 1127620178 /nfs/dbraw/zinc/62/01/78/1127620178.db2.gz VBDOEJGWANYQPW-UHFFFAOYSA-N 1 2 288.778 3.570 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2COc3ccc(C)cc32)cs1 ZINC000362293428 1127626238 /nfs/dbraw/zinc/62/62/38/1127626238.db2.gz LRTCHCUCKWSRKZ-MFKMUULPSA-N 1 2 274.389 3.544 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2ccc(Cl)cc2Cl)c2nccn21 ZINC000295195914 1127626761 /nfs/dbraw/zinc/62/67/61/1127626761.db2.gz JQCDGIWLXORNEN-TVQRCGJNSA-N 1 2 296.201 3.986 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CCC[C@@](C)(F)C1 ZINC000295556107 1127646251 /nfs/dbraw/zinc/64/62/51/1127646251.db2.gz XNNFUSCZEPCRPG-IAQYHMDHSA-N 1 2 262.328 3.713 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CCC[C@@](C)(F)C1 ZINC000295556107 1127646253 /nfs/dbraw/zinc/64/62/53/1127646253.db2.gz XNNFUSCZEPCRPG-IAQYHMDHSA-N 1 2 262.328 3.713 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](C)c2cccc(C3CC3)c2)n1 ZINC000295553033 1127646461 /nfs/dbraw/zinc/64/64/61/1127646461.db2.gz XFKYISIYTKTYAM-NWDGAFQWSA-N 1 2 285.391 3.921 20 0 CHADLO CC(C)C[N@H+](CCc1ccc(F)cc1)CC(F)F ZINC000603412410 1127646554 /nfs/dbraw/zinc/64/65/54/1127646554.db2.gz BKJRPMZFJMMZFY-UHFFFAOYSA-N 1 2 259.315 3.591 20 0 CHADLO CC(C)C[N@@H+](CCc1ccc(F)cc1)CC(F)F ZINC000603412410 1127646557 /nfs/dbraw/zinc/64/65/57/1127646557.db2.gz BKJRPMZFJMMZFY-UHFFFAOYSA-N 1 2 259.315 3.591 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](Cc1cnns1)C1CC1 ZINC000295745334 1127652184 /nfs/dbraw/zinc/65/21/84/1127652184.db2.gz YVKXNFORZHKMRT-JTQLQIEISA-N 1 2 293.823 3.917 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](Cc1cnns1)C1CC1 ZINC000295745334 1127652185 /nfs/dbraw/zinc/65/21/85/1127652185.db2.gz YVKXNFORZHKMRT-JTQLQIEISA-N 1 2 293.823 3.917 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1cc(Cl)cs1)CC2 ZINC001232281386 1127656019 /nfs/dbraw/zinc/65/60/19/1127656019.db2.gz KKTMLRUAOMQIGX-UHFFFAOYSA-N 1 2 284.837 3.725 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1cc(Cl)cs1)CC2 ZINC001232281386 1127656021 /nfs/dbraw/zinc/65/60/21/1127656021.db2.gz KKTMLRUAOMQIGX-UHFFFAOYSA-N 1 2 284.837 3.725 20 0 CHADLO CC(C)c1nnc(C[N@H+](C)[C@H]2CCc3ccccc32)s1 ZINC000296290901 1127667015 /nfs/dbraw/zinc/66/70/15/1127667015.db2.gz HUVFHEQXVSWBJX-AWEZNQCLSA-N 1 2 287.432 3.781 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C)[C@H]2CCc3ccccc32)s1 ZINC000296290901 1127667017 /nfs/dbraw/zinc/66/70/17/1127667017.db2.gz HUVFHEQXVSWBJX-AWEZNQCLSA-N 1 2 287.432 3.781 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1cccc2ccccc21 ZINC000603809264 1127673418 /nfs/dbraw/zinc/67/34/18/1127673418.db2.gz WZNUAILYMWATCR-UHFFFAOYSA-N 1 2 287.322 3.740 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H](C)c1cccc(F)c1F ZINC000296726588 1127682524 /nfs/dbraw/zinc/68/25/24/1127682524.db2.gz IVWMNYXGOYPOLQ-GHMZBOCLSA-N 1 2 279.334 3.593 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1cccc(C(F)F)c1 ZINC000603884272 1127680426 /nfs/dbraw/zinc/68/04/26/1127680426.db2.gz FFQDEGIADXRKSW-UHFFFAOYSA-N 1 2 287.269 3.524 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2cccc(C)c2F)[nH]1 ZINC000296966835 1127686641 /nfs/dbraw/zinc/68/66/41/1127686641.db2.gz URJCKSKBITVHIG-ZYHUDNBSSA-N 1 2 290.386 3.617 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2CSCc3ccccc32)no1 ZINC000669706464 1127687361 /nfs/dbraw/zinc/68/73/61/1127687361.db2.gz QQTXBGPFCYNRCR-NHYWBVRUSA-N 1 2 274.389 3.622 20 0 CHADLO Fc1ccc(C[NH+]2CCCCC2)c(Br)c1F ZINC001238754378 1131240591 /nfs/dbraw/zinc/24/05/91/1131240591.db2.gz HFKDTYYUYUOJBH-UHFFFAOYSA-N 1 2 290.151 3.713 20 0 CHADLO C[C@H](CCC1CCCCC1)NC(=O)CCCn1cc[nH+]c1 ZINC000604247941 1127698851 /nfs/dbraw/zinc/69/88/51/1127698851.db2.gz SFGLOQXMPNBRPZ-OAHLLOKOSA-N 1 2 291.439 3.529 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc3ccccc3c2)c[nH+]1 ZINC001275910920 1127702170 /nfs/dbraw/zinc/70/21/70/1127702170.db2.gz TYXMQQABAJHQIJ-UHFFFAOYSA-N 1 2 290.366 3.782 20 0 CHADLO C[C@H](Cc1ccsc1)[N@@H+]1CCCC[C@H]1C(F)F ZINC000669716658 1127708496 /nfs/dbraw/zinc/70/84/96/1127708496.db2.gz HMMRPLDNEIOIDG-PWSUYJOCSA-N 1 2 259.365 3.799 20 0 CHADLO C[C@H](Cc1ccsc1)[N@H+]1CCCC[C@H]1C(F)F ZINC000669716658 1127708499 /nfs/dbraw/zinc/70/84/99/1127708499.db2.gz HMMRPLDNEIOIDG-PWSUYJOCSA-N 1 2 259.365 3.799 20 0 CHADLO CC[C@@H](CC(=O)NCc1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC000171474013 1127709327 /nfs/dbraw/zinc/70/93/27/1127709327.db2.gz KVSXCFKJXCJFBP-INIZCTEOSA-N 1 2 299.418 3.561 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000297853776 1127709980 /nfs/dbraw/zinc/70/99/80/1127709980.db2.gz SZHJRBCCPSEZKG-KCQAQPDRSA-N 1 2 285.391 3.638 20 0 CHADLO CC[C@H](C)Oc1cc(C)ccc1C[NH2+][C@@H]1CCn2ccnc21 ZINC000297893218 1127710899 /nfs/dbraw/zinc/71/08/99/1127710899.db2.gz VTADMZJZDNKPQN-GOEBONIOSA-N 1 2 299.418 3.603 20 0 CHADLO CCOc1ccc([C@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000604756652 1127715535 /nfs/dbraw/zinc/71/55/35/1127715535.db2.gz NUFJYYYPZIKANJ-ZDUSSCGKSA-N 1 2 297.402 3.656 20 0 CHADLO Cc1cc(N[C@H](c2ccccc2)C(C)C)nc(C2CC2)[nH+]1 ZINC000604756688 1127716071 /nfs/dbraw/zinc/71/60/71/1127716071.db2.gz SBUYJHXCMUZCIW-KRWDZBQOSA-N 1 2 281.403 3.894 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@H+]2Cc2ccc(OCC3CC3)cc2)n1 ZINC000365263186 1127725295 /nfs/dbraw/zinc/72/52/95/1127725295.db2.gz UQTWWTLSMUGVQZ-KRWDZBQOSA-N 1 2 297.402 3.536 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@@H+]2Cc2ccc(OCC3CC3)cc2)n1 ZINC000365263186 1127725299 /nfs/dbraw/zinc/72/52/99/1127725299.db2.gz UQTWWTLSMUGVQZ-KRWDZBQOSA-N 1 2 297.402 3.536 20 0 CHADLO CC[C@H](C)C[N@H+](C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000171890510 1127734244 /nfs/dbraw/zinc/73/42/44/1127734244.db2.gz KNNCEKQBCDFODJ-NSHDSACASA-N 1 2 294.468 3.562 20 0 CHADLO CC[C@H](C)C[N@@H+](C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000171890510 1127734246 /nfs/dbraw/zinc/73/42/46/1127734246.db2.gz KNNCEKQBCDFODJ-NSHDSACASA-N 1 2 294.468 3.562 20 0 CHADLO CCCc1noc(C[N@H+](C)[C@H](C)c2ccccc2C)n1 ZINC000298853194 1127735033 /nfs/dbraw/zinc/73/50/33/1127735033.db2.gz NGDAIGUTENWXQA-CYBMUJFWSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1noc(C[N@@H+](C)[C@H](C)c2ccccc2C)n1 ZINC000298853194 1127735034 /nfs/dbraw/zinc/73/50/34/1127735034.db2.gz NGDAIGUTENWXQA-CYBMUJFWSA-N 1 2 273.380 3.524 20 0 CHADLO CC(=O)C1CC[NH+](Cc2cc(Cl)ccc2Cl)CC1 ZINC000087760119 1127731826 /nfs/dbraw/zinc/73/18/26/1127731826.db2.gz YETZWYHZQMWDMA-UHFFFAOYSA-N 1 2 286.202 3.794 20 0 CHADLO Cc1cn2cc(NC(=O)c3cc4occc4s3)ccc2[nH+]1 ZINC000605461952 1127737540 /nfs/dbraw/zinc/73/75/40/1127737540.db2.gz FRWDTMWLXKVLFA-UHFFFAOYSA-N 1 2 297.339 3.703 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ncccn2)cc(C)c1OC(C)C ZINC001238756174 1131240939 /nfs/dbraw/zinc/24/09/39/1131240939.db2.gz XPYOQHNQPXZHAD-UHFFFAOYSA-N 1 2 299.418 3.513 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ncccn2)cc(C)c1OC(C)C ZINC001238756174 1131240941 /nfs/dbraw/zinc/24/09/41/1131240941.db2.gz XPYOQHNQPXZHAD-UHFFFAOYSA-N 1 2 299.418 3.513 20 0 CHADLO Cc1nc(N2CCC[C@H]2c2ccsc2)c(C)c(C)[nH+]1 ZINC000366145596 1127743432 /nfs/dbraw/zinc/74/34/32/1127743432.db2.gz VSGLRODHQSMCKR-AWEZNQCLSA-N 1 2 273.405 3.805 20 0 CHADLO C#CC[N@H+](Cc1noc(-c2ccccc2)n1)C1CCCCC1 ZINC000062364634 1127748457 /nfs/dbraw/zinc/74/84/57/1127748457.db2.gz IAZWXHWODCMYFX-UHFFFAOYSA-N 1 2 295.386 3.505 20 0 CHADLO C#CC[N@@H+](Cc1noc(-c2ccccc2)n1)C1CCCCC1 ZINC000062364634 1127748462 /nfs/dbraw/zinc/74/84/62/1127748462.db2.gz IAZWXHWODCMYFX-UHFFFAOYSA-N 1 2 295.386 3.505 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(F)c(C)c2)no1 ZINC000367229471 1127751924 /nfs/dbraw/zinc/75/19/24/1127751924.db2.gz RCNAEKWZQVYBDV-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(F)c(C)c2)no1 ZINC000367229471 1127751928 /nfs/dbraw/zinc/75/19/28/1127751928.db2.gz RCNAEKWZQVYBDV-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO c1ccc(C[C@H]2CCC[C@@H]2Nc2cccc[nH+]2)cc1 ZINC000606157797 1127753916 /nfs/dbraw/zinc/75/39/16/1127753916.db2.gz LBVUIQDURZMCJX-CVEARBPZSA-N 1 2 252.361 3.905 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3cccc(F)c3[C@@H]2C)s1 ZINC000606450817 1127764079 /nfs/dbraw/zinc/76/40/79/1127764079.db2.gz SKCCHEURKKDEQD-JTQLQIEISA-N 1 2 276.380 3.710 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3cccc(F)c3[C@@H]2C)s1 ZINC000606450817 1127764080 /nfs/dbraw/zinc/76/40/80/1127764080.db2.gz SKCCHEURKKDEQD-JTQLQIEISA-N 1 2 276.380 3.710 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1ccc(Cl)cc1Cl ZINC000606451671 1127764111 /nfs/dbraw/zinc/76/41/11/1127764111.db2.gz CKHUFSYQXGBYNA-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1ccc(Cl)cc1Cl ZINC000606451671 1127764113 /nfs/dbraw/zinc/76/41/13/1127764113.db2.gz CKHUFSYQXGBYNA-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO S=c1[nH]ccn1C[N@H+]1CCC[C@H]1c1ccccc1Cl ZINC000173312549 1127818760 /nfs/dbraw/zinc/81/87/60/1127818760.db2.gz HWLKWQCKFBFCKM-ZDUSSCGKSA-N 1 2 293.823 3.994 20 0 CHADLO S=c1[nH]ccn1C[N@@H+]1CCC[C@H]1c1ccccc1Cl ZINC000173312549 1127818761 /nfs/dbraw/zinc/81/87/61/1127818761.db2.gz HWLKWQCKFBFCKM-ZDUSSCGKSA-N 1 2 293.823 3.994 20 0 CHADLO COCC[C@@H]1CCC[C@H]1Nc1ccc([NH+](C)C)cc1C ZINC000800483660 1127880511 /nfs/dbraw/zinc/88/05/11/1127880511.db2.gz LQYOJWKYKLRQDS-WMLDXEAASA-N 1 2 276.424 3.678 20 0 CHADLO COCC[C@@H]1CCC[C@H]1[NH2+]c1ccc(N(C)C)cc1C ZINC000800483660 1127880514 /nfs/dbraw/zinc/88/05/14/1127880514.db2.gz LQYOJWKYKLRQDS-WMLDXEAASA-N 1 2 276.424 3.678 20 0 CHADLO CC[N@H+](Cc1nc2cccnc2s1)Cc1occc1C ZINC000412029376 1127891972 /nfs/dbraw/zinc/89/19/72/1127891972.db2.gz UHFCFWVYCCVUSI-UHFFFAOYSA-N 1 2 287.388 3.615 20 0 CHADLO CC[N@@H+](Cc1nc2cccnc2s1)Cc1occc1C ZINC000412029376 1127891974 /nfs/dbraw/zinc/89/19/74/1127891974.db2.gz UHFCFWVYCCVUSI-UHFFFAOYSA-N 1 2 287.388 3.615 20 0 CHADLO C[C@H]1CC(C)(C)C[N@@H+]1Cc1noc(C2CCCCC2)n1 ZINC000412048958 1127894494 /nfs/dbraw/zinc/89/44/94/1127894494.db2.gz VQQAOHHSVCCOBO-LBPRGKRZSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@H]1CC(C)(C)C[N@H+]1Cc1noc(C2CCCCC2)n1 ZINC000412048958 1127894499 /nfs/dbraw/zinc/89/44/99/1127894499.db2.gz VQQAOHHSVCCOBO-LBPRGKRZSA-N 1 2 277.412 3.738 20 0 CHADLO CC(C)c1cc(N[C@@H](C)C[C@H]2CCCO2)nc(C(C)C)[nH+]1 ZINC000535593813 1127920825 /nfs/dbraw/zinc/92/08/25/1127920825.db2.gz ZYCKMXCDNKGBLI-UONOGXRCSA-N 1 2 291.439 3.515 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H](C)c2ccsc2)[nH+]1 ZINC000669827276 1127926086 /nfs/dbraw/zinc/92/60/86/1127926086.db2.gz CKOOYFXUECLHJY-MRVPVSSYSA-N 1 2 258.350 3.501 20 0 CHADLO Cc1c(C)c(C[N@@H+]2CC[C@@H](F)C2)ccc1Br ZINC001233218874 1127939164 /nfs/dbraw/zinc/93/91/64/1127939164.db2.gz JBSCKOGOTLRGIU-GFCCVEGCSA-N 1 2 286.188 3.610 20 0 CHADLO Cc1c(C)c(C[N@H+]2CC[C@@H](F)C2)ccc1Br ZINC001233218874 1127939167 /nfs/dbraw/zinc/93/91/67/1127939167.db2.gz JBSCKOGOTLRGIU-GFCCVEGCSA-N 1 2 286.188 3.610 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@H]2COc3ccc(F)cc32)o1 ZINC000468036481 1127988600 /nfs/dbraw/zinc/98/86/00/1127988600.db2.gz KBQVRJXRIRXIRS-YGRLFVJLSA-N 1 2 275.323 3.765 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)c(F)c1)c1ccon1 ZINC000541770927 1128038404 /nfs/dbraw/zinc/03/84/04/1128038404.db2.gz CIVIPMYYODBAHQ-IUCAKERBSA-N 1 2 268.719 3.879 20 0 CHADLO CCOC(=O)C[N@H+](CC)Cc1ccc(SC(C)C)cc1 ZINC000541894655 1128041809 /nfs/dbraw/zinc/04/18/09/1128041809.db2.gz KGOIDQMZUYNFBT-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO CCOC(=O)C[N@@H+](CC)Cc1ccc(SC(C)C)cc1 ZINC000541894655 1128041815 /nfs/dbraw/zinc/04/18/15/1128041815.db2.gz KGOIDQMZUYNFBT-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@H+](Cc2csc(C3CC3)n2)C1 ZINC000162209632 1128068357 /nfs/dbraw/zinc/06/83/57/1128068357.db2.gz QURVZSREFLHULH-OAHLLOKOSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@@H+](Cc2csc(C3CC3)n2)C1 ZINC000162209632 1128068362 /nfs/dbraw/zinc/06/83/62/1128068362.db2.gz QURVZSREFLHULH-OAHLLOKOSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)[C@@H](C)CC(=O)N[C@@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000173931516 1128095659 /nfs/dbraw/zinc/09/56/59/1128095659.db2.gz VBNIHESLIDTTRL-GJZGRUSLSA-N 1 2 299.418 3.732 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+][C@H](C)c2ccc(F)c(F)c2)nn1 ZINC000924530210 1128101865 /nfs/dbraw/zinc/10/18/65/1128101865.db2.gz GBXAXKLYKPYKBV-GHMZBOCLSA-N 1 2 294.349 3.549 20 0 CHADLO Fc1ccccc1C[NH2+][C@@H]1COCc2cccc(Cl)c21 ZINC000924550026 1128104206 /nfs/dbraw/zinc/10/42/06/1128104206.db2.gz GSXUOZVOGPSUOD-OAHLLOKOSA-N 1 2 291.753 3.840 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccncc1Cl)c1nc(C)cs1 ZINC000174881320 1128108449 /nfs/dbraw/zinc/10/84/49/1128108449.db2.gz YAGDZNHUPAVAEL-CQSZACIVSA-N 1 2 295.839 3.915 20 0 CHADLO C[C@H]([NH2+][C@H]1CCSc2ccc(F)cc21)c1ncco1 ZINC000924811591 1128114097 /nfs/dbraw/zinc/11/40/97/1128114097.db2.gz XCJOTFOVFYMNGW-CABZTGNLSA-N 1 2 278.352 3.701 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@H]1CCOc2c(C)cccc21 ZINC000924887412 1128115896 /nfs/dbraw/zinc/11/58/96/1128115896.db2.gz SIHIWRHLGYODRD-GJZGRUSLSA-N 1 2 298.386 3.782 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H](C)c2cc(O)cc(F)c2)n1 ZINC000924924417 1128116904 /nfs/dbraw/zinc/11/69/04/1128116904.db2.gz FTRMCVFWLWAGBD-UWVGGRQHSA-N 1 2 280.368 3.708 20 0 CHADLO FCc1ccc(COc2ccccc2-n2cc[nH+]c2)cc1 ZINC000777539378 1128118121 /nfs/dbraw/zinc/11/81/21/1128118121.db2.gz FGVUNJQCENPTED-UHFFFAOYSA-N 1 2 282.318 3.921 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cn(C)cn1)c1ccc(F)cc1F ZINC000925095991 1128121287 /nfs/dbraw/zinc/12/12/87/1128121287.db2.gz BYXKLGCVMJJWEI-QMTHXVAHSA-N 1 2 279.334 3.500 20 0 CHADLO CCc1cc(C[NH2+][C@@H](C)c2cc(-c3ccccc3)no2)on1 ZINC000925135063 1128122718 /nfs/dbraw/zinc/12/27/18/1128122718.db2.gz USJJJXQOOXXSNS-LBPRGKRZSA-N 1 2 297.358 3.743 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C1(Cl)CC1)c1noc(-c2ccccc2)n1 ZINC000925273061 1128127456 /nfs/dbraw/zinc/12/74/56/1128127456.db2.gz COGKUGVVFFOQEW-QWRGUYRKSA-N 1 2 291.782 3.547 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(OC2CCCC2)cc1)c1ncco1 ZINC000925364930 1128128595 /nfs/dbraw/zinc/12/85/95/1128128595.db2.gz WMHKBQBPSUACCS-ZDUSSCGKSA-N 1 2 286.375 3.847 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+]C1CC2(C1)CCCC2 ZINC000925726049 1128139031 /nfs/dbraw/zinc/13/90/31/1128139031.db2.gz XPZFVNJHMMILQE-UHFFFAOYSA-N 1 2 286.419 3.658 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)c1ccns1 ZINC000925720978 1128138202 /nfs/dbraw/zinc/13/82/02/1128138202.db2.gz LHQWUQTWLLVHGA-LLVKDONJSA-N 1 2 261.394 3.691 20 0 CHADLO Cc1csc(C2([NH2+][C@H](C)c3ccns3)CCC2)n1 ZINC000925803914 1128143063 /nfs/dbraw/zinc/14/30/63/1128143063.db2.gz AJJLWMVSYFGLNC-SNVBAGLBSA-N 1 2 279.434 3.638 20 0 CHADLO CC[C@@H](Nc1ccc([NH+](C)C)cc1)c1cccc(OC)n1 ZINC000926026566 1128150489 /nfs/dbraw/zinc/15/04/89/1128150489.db2.gz KNSAJGKYZOUBJG-OAHLLOKOSA-N 1 2 285.391 3.719 20 0 CHADLO Cc1cc([NH2+][C@@H]2CC[C@H]3COCC[C@@H]3C2)ccc1N(C)C ZINC000926053359 1128150849 /nfs/dbraw/zinc/15/08/49/1128150849.db2.gz ZYMSVHSRFKFHHR-HLLBOEOZSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@@H]2CC[C@H]3COCC[C@@H]3C2)ccc1[NH+](C)C ZINC000926053359 1128150852 /nfs/dbraw/zinc/15/08/52/1128150852.db2.gz ZYMSVHSRFKFHHR-HLLBOEOZSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc([NH2+][C@H]2CCOC[C@H]2C(C)C)ccc1N(C)C ZINC000926052370 1128150883 /nfs/dbraw/zinc/15/08/83/1128150883.db2.gz DZYLUCRDUHUTCY-HOTGVXAUSA-N 1 2 276.424 3.534 20 0 CHADLO Cc1cc(N[C@H]2CCOC[C@H]2C(C)C)ccc1[NH+](C)C ZINC000926052370 1128150885 /nfs/dbraw/zinc/15/08/85/1128150885.db2.gz DZYLUCRDUHUTCY-HOTGVXAUSA-N 1 2 276.424 3.534 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2Nc1ccc([NH+](C)C)c(C)c1 ZINC000926052998 1128151077 /nfs/dbraw/zinc/15/10/77/1128151077.db2.gz UKXBQBNZKIEMQM-CQSZACIVSA-N 1 2 285.391 3.847 20 0 CHADLO CC(C)(C)c1nnc(C[N@@H+]2CCCC[C@H]2c2ccccc2)[nH]1 ZINC000432867712 1128158146 /nfs/dbraw/zinc/15/81/46/1128158146.db2.gz WNCSHINJVDTPHG-HNNXBMFYSA-N 1 2 298.434 3.829 20 0 CHADLO CC(C)(C)c1nnc(C[N@H+]2CCCC[C@H]2c2ccccc2)[nH]1 ZINC000432867712 1128158149 /nfs/dbraw/zinc/15/81/49/1128158149.db2.gz WNCSHINJVDTPHG-HNNXBMFYSA-N 1 2 298.434 3.829 20 0 CHADLO C[C@@H]1CC[N@H+](CCCCOC(C)(C)C)CC1(F)F ZINC000494213314 1128159467 /nfs/dbraw/zinc/15/94/67/1128159467.db2.gz SHTZGKFPRWTZEQ-GFCCVEGCSA-N 1 2 263.372 3.559 20 0 CHADLO C[C@@H]1CC[N@@H+](CCCCOC(C)(C)C)CC1(F)F ZINC000494213314 1128159469 /nfs/dbraw/zinc/15/94/69/1128159469.db2.gz SHTZGKFPRWTZEQ-GFCCVEGCSA-N 1 2 263.372 3.559 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+][C@H]1C[C@@H](C)n2ncnc21 ZINC000926264810 1128164114 /nfs/dbraw/zinc/16/41/14/1128164114.db2.gz AESWHSWPVFYUNJ-QKCSRTOESA-N 1 2 296.374 3.689 20 0 CHADLO CCc1noc([C@@H](C)[N@H+](C)C/C(C)=C/c2ccccc2)n1 ZINC000494233801 1128167589 /nfs/dbraw/zinc/16/75/89/1128167589.db2.gz KWJZDFPEEGMUQS-YPDDLIOESA-N 1 2 285.391 3.728 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+](C)C/C(C)=C/c2ccccc2)n1 ZINC000494233801 1128167590 /nfs/dbraw/zinc/16/75/90/1128167590.db2.gz KWJZDFPEEGMUQS-YPDDLIOESA-N 1 2 285.391 3.728 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nc(C(C)C)no1 ZINC000494290212 1128168955 /nfs/dbraw/zinc/16/89/55/1128168955.db2.gz JOWDCLUZYQITQB-GXDHUFHOSA-N 1 2 285.391 3.728 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nc(C(C)C)no1 ZINC000494290212 1128168957 /nfs/dbraw/zinc/16/89/57/1128168957.db2.gz JOWDCLUZYQITQB-GXDHUFHOSA-N 1 2 285.391 3.728 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(C(C)(C)O)cc1 ZINC000926428237 1128171939 /nfs/dbraw/zinc/17/19/39/1128171939.db2.gz IGHRAUZITVRYBC-RISCZKNCSA-N 1 2 283.362 3.752 20 0 CHADLO FCc1ccc(C[N@@H+]2CCc3c(F)ccc(F)c3C2)cc1 ZINC000777597666 1128172864 /nfs/dbraw/zinc/17/28/64/1128172864.db2.gz FTVJOJRDOMVVHA-UHFFFAOYSA-N 1 2 291.316 3.993 20 0 CHADLO FCc1ccc(C[N@H+]2CCc3c(F)ccc(F)c3C2)cc1 ZINC000777597666 1128172866 /nfs/dbraw/zinc/17/28/66/1128172866.db2.gz FTVJOJRDOMVVHA-UHFFFAOYSA-N 1 2 291.316 3.993 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2coc3ccccc23)[nH]1 ZINC000926505598 1128176250 /nfs/dbraw/zinc/17/62/50/1128176250.db2.gz IGKSWTAPCVZPTJ-LLVKDONJSA-N 1 2 284.363 3.525 20 0 CHADLO C[C@@H]([NH2+]C1(c2ncccn2)CCC1)c1coc2ccccc21 ZINC000926853641 1128198192 /nfs/dbraw/zinc/19/81/92/1128198192.db2.gz YIGHRVJWIVZOTE-CYBMUJFWSA-N 1 2 293.370 3.953 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H](OCC3CC3)C2)cs1 ZINC000494663216 1128185240 /nfs/dbraw/zinc/18/52/40/1128185240.db2.gz FBRFVNBSQWFBCZ-OAHLLOKOSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H](OCC3CC3)C2)cs1 ZINC000494663216 1128185241 /nfs/dbraw/zinc/18/52/41/1128185241.db2.gz FBRFVNBSQWFBCZ-OAHLLOKOSA-N 1 2 294.464 3.658 20 0 CHADLO FC(F)C[N@@H+](Cc1cccc2nsnc21)CC1CCC1 ZINC000761717906 1128198818 /nfs/dbraw/zinc/19/88/18/1128198818.db2.gz GMUZJFMXKPBGDY-UHFFFAOYSA-N 1 2 297.374 3.559 20 0 CHADLO FC(F)C[N@H+](Cc1cccc2nsnc21)CC1CCC1 ZINC000761717906 1128198820 /nfs/dbraw/zinc/19/88/20/1128198820.db2.gz GMUZJFMXKPBGDY-UHFFFAOYSA-N 1 2 297.374 3.559 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+]Cc1ccc(Cl)c(Cl)c1 ZINC000926705044 1128189101 /nfs/dbraw/zinc/18/91/01/1128189101.db2.gz PHWFFEAHDADLLO-SSDOTTSWSA-N 1 2 286.162 3.536 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2COCc3cccc(Cl)c32)o1 ZINC000926788879 1128194920 /nfs/dbraw/zinc/19/49/20/1128194920.db2.gz MAJTUUUXMXQHLX-AWEZNQCLSA-N 1 2 277.751 3.603 20 0 CHADLO Cc1cn(C)nc1C[N@@H+]1CCC[C@H]1c1ccccc1Cl ZINC000929294192 1128268353 /nfs/dbraw/zinc/26/83/53/1128268353.db2.gz BRSKOSSUTTYDMS-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cn(C)nc1C[N@H+]1CCC[C@H]1c1ccccc1Cl ZINC000929294192 1128268354 /nfs/dbraw/zinc/26/83/54/1128268354.db2.gz BRSKOSSUTTYDMS-INIZCTEOSA-N 1 2 289.810 3.719 20 0 CHADLO C/C=C\C[C@@H]1CCCN(C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000626394021 1128256144 /nfs/dbraw/zinc/25/61/44/1128256144.db2.gz QKKOZIAQCOZVMP-PYLYLYNFSA-N 1 2 298.390 3.544 20 0 CHADLO CCC(CC)c1noc(-c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000762038139 1128262927 /nfs/dbraw/zinc/26/29/27/1128262927.db2.gz WOCJPYRTVFCBFV-UHFFFAOYSA-N 1 2 282.347 3.826 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2C[C@@H](C)[C@@H]2C)c1 ZINC000494819194 1128201790 /nfs/dbraw/zinc/20/17/90/1128201790.db2.gz ALDOYWDFEBWGCE-NTZNESFSSA-N 1 2 250.411 3.791 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CCC2CCCCC2)C2CCCCC2)n1 ZINC000494906450 1128211082 /nfs/dbraw/zinc/21/10/82/1128211082.db2.gz DLOWIZPHOOKLNH-MRXNPFEDSA-N 1 2 290.455 3.986 20 0 CHADLO CC1CCC(C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000494934260 1128212660 /nfs/dbraw/zinc/21/26/60/1128212660.db2.gz WUPOVVIDWJUFIQ-ZBCRRDGASA-N 1 2 290.455 3.842 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccco1 ZINC000777653596 1128234245 /nfs/dbraw/zinc/23/42/45/1128234245.db2.gz NRTZYENTEDLYAU-GFCCVEGCSA-N 1 2 256.349 3.835 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccco1 ZINC000777653596 1128234248 /nfs/dbraw/zinc/23/42/48/1128234248.db2.gz NRTZYENTEDLYAU-GFCCVEGCSA-N 1 2 256.349 3.835 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1)C1CCC1 ZINC000777658810 1128234335 /nfs/dbraw/zinc/23/43/35/1128234335.db2.gz HZHPTWVMWBKPJH-QLFBSQMISA-N 1 2 288.435 3.901 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)C1CC1 ZINC000777693309 1128243316 /nfs/dbraw/zinc/24/33/16/1128243316.db2.gz MLPIQCQXTCFLBM-CXAGYDPISA-N 1 2 274.408 3.512 20 0 CHADLO COc1ccc[nH+]c1N[C@@H](C)[C@@H]1C[C@H]1c1ccccc1 ZINC000670156252 1128271531 /nfs/dbraw/zinc/27/15/31/1128271531.db2.gz KXRVORTTXMMOLB-QEJZJMRPSA-N 1 2 268.360 3.694 20 0 CHADLO CC(C)c1nsc(C[NH2+]C(C)(C)c2ccccc2)n1 ZINC000929499321 1128275556 /nfs/dbraw/zinc/27/55/56/1128275556.db2.gz LYJCTUORZNNECD-UHFFFAOYSA-N 1 2 275.421 3.686 20 0 CHADLO CC(C)C[C@@H](c1nc(-c2ccsc2)no1)n1cc[nH+]c1 ZINC000924004929 1128280717 /nfs/dbraw/zinc/28/07/17/1128280717.db2.gz KQUBWBKSJSGVSM-LBPRGKRZSA-N 1 2 288.376 3.630 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000929788453 1128287395 /nfs/dbraw/zinc/28/73/95/1128287395.db2.gz SGIQFWVCZLEZFE-XHDPSFHLSA-N 1 2 274.314 3.868 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000929788453 1128287397 /nfs/dbraw/zinc/28/73/97/1128287397.db2.gz SGIQFWVCZLEZFE-XHDPSFHLSA-N 1 2 274.314 3.868 20 0 CHADLO Cc1cccc([C@H]2CCCC[N@@H+]2Cc2nncs2)c1C ZINC000929747486 1128284505 /nfs/dbraw/zinc/28/45/05/1128284505.db2.gz DJAUFRYGSBTOPW-OAHLLOKOSA-N 1 2 287.432 3.882 20 0 CHADLO Cc1cccc([C@H]2CCCC[N@H+]2Cc2nncs2)c1C ZINC000929747486 1128284509 /nfs/dbraw/zinc/28/45/09/1128284509.db2.gz DJAUFRYGSBTOPW-OAHLLOKOSA-N 1 2 287.432 3.882 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(C)ccc1OCOC ZINC001214242095 1128290411 /nfs/dbraw/zinc/29/04/11/1128290411.db2.gz CUDVQRUTBORODR-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO CC(C)[C@@H](C)[N@H+](C)Cn1nc(-c2ccccc2)oc1=S ZINC000915208906 1128291530 /nfs/dbraw/zinc/29/15/30/1128291530.db2.gz IRYUPCBGPNCFOR-GFCCVEGCSA-N 1 2 291.420 3.806 20 0 CHADLO CC(C)[C@@H](C)[N@@H+](C)Cn1nc(-c2ccccc2)oc1=S ZINC000915208906 1128291532 /nfs/dbraw/zinc/29/15/32/1128291532.db2.gz IRYUPCBGPNCFOR-GFCCVEGCSA-N 1 2 291.420 3.806 20 0 CHADLO CCOc1ccc(SCc2cc[nH+]c(N(C)C)c2)cc1 ZINC000762469642 1128301300 /nfs/dbraw/zinc/30/13/00/1128301300.db2.gz XULJXFSUEFIHRC-UHFFFAOYSA-N 1 2 288.416 3.839 20 0 CHADLO Cc1ccc(CC[NH+](C/C=C/Cl)C/C=C/Cl)o1 ZINC000915260158 1128297752 /nfs/dbraw/zinc/29/77/52/1128297752.db2.gz PHKVVFFEWCLWJI-ZESFLVPWSA-N 1 2 274.191 3.938 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2coc(C(C)(C)C)n2)cc1 ZINC000930188316 1128305297 /nfs/dbraw/zinc/30/52/97/1128305297.db2.gz BASWYCRCZWQTPV-GFCCVEGCSA-N 1 2 288.391 3.832 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+][C@@H](C)c1ncccn1 ZINC000930257746 1128310836 /nfs/dbraw/zinc/31/08/36/1128310836.db2.gz LSOVUWLQVJTQEL-OLZOCXBDSA-N 1 2 281.359 3.943 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cn1cccnc1=S ZINC000754858926 1128311868 /nfs/dbraw/zinc/31/18/68/1128311868.db2.gz SSTBQELWKFNSCJ-SDNWHVSQSA-N 1 2 285.416 3.605 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cn1cccnc1=S ZINC000754858926 1128311871 /nfs/dbraw/zinc/31/18/71/1128311871.db2.gz SSTBQELWKFNSCJ-SDNWHVSQSA-N 1 2 285.416 3.605 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1coc(C(C)(C)C)n1 ZINC000930275431 1128311912 /nfs/dbraw/zinc/31/19/12/1128311912.db2.gz RIQBLZRCLMXWTL-KOLCDFICSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1coc(C(C)(C)C)n1 ZINC000930275431 1128311916 /nfs/dbraw/zinc/31/19/16/1128311916.db2.gz RIQBLZRCLMXWTL-KOLCDFICSA-N 1 2 290.329 3.745 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@H](C)c1ncccn1 ZINC000930322181 1128313946 /nfs/dbraw/zinc/31/39/46/1128313946.db2.gz HQTURHHAHROGOD-NWDGAFQWSA-N 1 2 273.405 3.610 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](CCOC1CCSCC1)C2 ZINC000930702958 1128332177 /nfs/dbraw/zinc/33/21/77/1128332177.db2.gz ZJLQKOHSJVZLKS-UHFFFAOYSA-N 1 2 297.851 3.568 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](CCOC1CCSCC1)C2 ZINC000930702958 1128332179 /nfs/dbraw/zinc/33/21/79/1128332179.db2.gz ZJLQKOHSJVZLKS-UHFFFAOYSA-N 1 2 297.851 3.568 20 0 CHADLO CC(C)c1ccccc1C[NH2+][C@H](C)c1csnn1 ZINC000930725794 1128332573 /nfs/dbraw/zinc/33/25/73/1128332573.db2.gz HKRAOKQZYGJRFJ-LLVKDONJSA-N 1 2 261.394 3.512 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2coc(C(C)(C)C)n2)C[C@H](C)C1(F)F ZINC000931161905 1128350688 /nfs/dbraw/zinc/35/06/88/1128350688.db2.gz ZKIGPCZURBSTEF-PHIMTYICSA-N 1 2 286.366 3.695 20 0 CHADLO C[C@@H]1C[N@H+](Cc2coc(C(C)(C)C)n2)C[C@H](C)C1(F)F ZINC000931161905 1128350692 /nfs/dbraw/zinc/35/06/92/1128350692.db2.gz ZKIGPCZURBSTEF-PHIMTYICSA-N 1 2 286.366 3.695 20 0 CHADLO Cc1cc(NC(=O)C(C)(C)c2cccc(Cl)c2)cc[nH+]1 ZINC000041526892 1128353437 /nfs/dbraw/zinc/35/34/37/1128353437.db2.gz RGKXIRCUINJNLF-UHFFFAOYSA-N 1 2 288.778 3.960 20 0 CHADLO Cc1cc(NC(=O)c2cccc(C(F)(F)F)c2)cc[nH+]1 ZINC000078405652 1128355628 /nfs/dbraw/zinc/35/56/28/1128355628.db2.gz FVQIVVDEUSHPIQ-UHFFFAOYSA-N 1 2 280.249 3.661 20 0 CHADLO CCCC[C@@H](CC)[N@@H+]1Cc2occ(C(=O)OCC)c2C1 ZINC001257425370 1128358487 /nfs/dbraw/zinc/35/84/87/1128358487.db2.gz PMZPFHLWVNURSC-GFCCVEGCSA-N 1 2 279.380 3.741 20 0 CHADLO CCCC[C@@H](CC)[N@H+]1Cc2occ(C(=O)OCC)c2C1 ZINC001257425370 1128358491 /nfs/dbraw/zinc/35/84/91/1128358491.db2.gz PMZPFHLWVNURSC-GFCCVEGCSA-N 1 2 279.380 3.741 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1nnc(C(C)C)s1 ZINC000932559754 1128414622 /nfs/dbraw/zinc/41/46/22/1128414622.db2.gz NCJTXXXDTAUNOF-LLVKDONJSA-N 1 2 293.411 3.960 20 0 CHADLO C[C@@H](CNc1ccccc1C[NH+]1CCOCC1)C(C)(C)C ZINC000670265951 1128375365 /nfs/dbraw/zinc/37/53/65/1128375365.db2.gz DZMDTDQVEIHWTN-HNNXBMFYSA-N 1 2 290.451 3.613 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCCC2(CCCCC2)C1 ZINC000932570054 1128415969 /nfs/dbraw/zinc/41/59/69/1128415969.db2.gz CMBCVGNCRXCUKM-UHFFFAOYSA-N 1 2 297.402 3.749 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@H]1CCC[C@@H]1c1ccccc1Cl ZINC000763272808 1128387178 /nfs/dbraw/zinc/38/71/78/1128387178.db2.gz VGMQBIUYLWSFHC-LNSITVRQSA-N 1 2 295.810 3.517 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)C1(C)CC1 ZINC000036988615 1128403246 /nfs/dbraw/zinc/40/32/46/1128403246.db2.gz WDEBUIKZYGRLFR-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[N@H+](C)Cc1cnccn1 ZINC000562472722 1128404795 /nfs/dbraw/zinc/40/47/95/1128404795.db2.gz GJAVZBJCIYWETO-GFCCVEGCSA-N 1 2 290.436 3.558 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[N@@H+](C)Cc1cnccn1 ZINC000562472722 1128404798 /nfs/dbraw/zinc/40/47/98/1128404798.db2.gz GJAVZBJCIYWETO-GFCCVEGCSA-N 1 2 290.436 3.558 20 0 CHADLO CC(C)c1cc(NC2(c3cccnn3)CC2)nc(C(C)C)[nH+]1 ZINC001168514126 1128441560 /nfs/dbraw/zinc/44/15/60/1128441560.db2.gz SNGKIVGWCOQNAD-UHFFFAOYSA-N 1 2 297.406 3.615 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1ccc(Cl)cn1)C2 ZINC000778217493 1128450432 /nfs/dbraw/zinc/45/04/32/1128450432.db2.gz XIMZYBLYKDLKPQ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1ccc(Cl)cn1)C2 ZINC000778217493 1128450434 /nfs/dbraw/zinc/45/04/34/1128450434.db2.gz XIMZYBLYKDLKPQ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCCOc1ccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000778229449 1128456082 /nfs/dbraw/zinc/45/60/82/1128456082.db2.gz VAPJYDNKDVLWFR-MRXNPFEDSA-N 1 2 285.391 3.536 20 0 CHADLO CCCOc1ccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000778229449 1128456083 /nfs/dbraw/zinc/45/60/83/1128456083.db2.gz VAPJYDNKDVLWFR-MRXNPFEDSA-N 1 2 285.391 3.536 20 0 CHADLO COc1ccccc1[C@@H]([NH2+]Cc1ccn(C)c1)c1ccco1 ZINC000126971766 1128470576 /nfs/dbraw/zinc/47/05/76/1128470576.db2.gz QHYMPTLXKHDBQS-GOSISDBHSA-N 1 2 296.370 3.506 20 0 CHADLO CC(C)OC[C@@H]1C[N@@H+]([C@@H](C)c2ccc(Cl)cc2)CCO1 ZINC000564130097 1128495052 /nfs/dbraw/zinc/49/50/52/1128495052.db2.gz WNDWYXNJFYBHQT-BBRMVZONSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@@H]1C[N@H+]([C@@H](C)c2ccc(Cl)cc2)CCO1 ZINC000564130097 1128495055 /nfs/dbraw/zinc/49/50/55/1128495055.db2.gz WNDWYXNJFYBHQT-BBRMVZONSA-N 1 2 297.826 3.527 20 0 CHADLO CC1CCC([N@H+](C)Cn2nc(C(C)(C)C)oc2=S)CC1 ZINC000917455891 1128501260 /nfs/dbraw/zinc/50/12/60/1128501260.db2.gz DXEOYGDLLLDDFE-UHFFFAOYSA-N 1 2 297.468 3.971 20 0 CHADLO CC1CCC([N@@H+](C)Cn2nc(C(C)(C)C)oc2=S)CC1 ZINC000917455891 1128501261 /nfs/dbraw/zinc/50/12/61/1128501261.db2.gz DXEOYGDLLLDDFE-UHFFFAOYSA-N 1 2 297.468 3.971 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccc(C)c(C)n2)c(C)s1 ZINC000313860824 1128501711 /nfs/dbraw/zinc/50/17/11/1128501711.db2.gz WDYNLTQGWHKSHQ-LLVKDONJSA-N 1 2 275.421 3.623 20 0 CHADLO CCCCc1ccc([C@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000565727362 1128531346 /nfs/dbraw/zinc/53/13/46/1128531346.db2.gz IGADKOUGBQVWSY-AWEZNQCLSA-N 1 2 269.392 3.670 20 0 CHADLO Cc1cccc(NC(=O)C[N@@H+](C)[C@@H](C)c2ccco2)c1C ZINC000069070312 1128533791 /nfs/dbraw/zinc/53/37/91/1128533791.db2.gz DRZZZCLMXRYOIP-AWEZNQCLSA-N 1 2 286.375 3.528 20 0 CHADLO Cc1cccc(NC(=O)C[N@H+](C)[C@@H](C)c2ccco2)c1C ZINC000069070312 1128533796 /nfs/dbraw/zinc/53/37/96/1128533796.db2.gz DRZZZCLMXRYOIP-AWEZNQCLSA-N 1 2 286.375 3.528 20 0 CHADLO C[C@@H](C[N@H+](C)Cc1c(F)cccc1F)C(F)(F)F ZINC000565965060 1128536248 /nfs/dbraw/zinc/53/62/48/1128536248.db2.gz VDANHDUKGRSZHB-QMMMGPOBSA-N 1 2 267.241 3.595 20 0 CHADLO C[C@@H](C[N@@H+](C)Cc1c(F)cccc1F)C(F)(F)F ZINC000565965060 1128536249 /nfs/dbraw/zinc/53/62/49/1128536249.db2.gz VDANHDUKGRSZHB-QMMMGPOBSA-N 1 2 267.241 3.595 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2CC2(F)CC2)c(F)c1 ZINC000556173555 1128560068 /nfs/dbraw/zinc/56/00/68/1128560068.db2.gz MNUAFUVTKSVFSM-ZDUSSCGKSA-N 1 2 255.283 3.604 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2CC2(F)CC2)c(F)c1 ZINC000556173555 1128560070 /nfs/dbraw/zinc/56/00/70/1128560070.db2.gz MNUAFUVTKSVFSM-ZDUSSCGKSA-N 1 2 255.283 3.604 20 0 CHADLO CC(C)C1CCC(N(C)C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000566302828 1128542159 /nfs/dbraw/zinc/54/21/59/1128542159.db2.gz ATQWLBYMHKEDJP-QQFBHYJXSA-N 1 2 291.439 3.507 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@H]2C[C@H]2C)o1)c1cn2ccccc2n1 ZINC000566476268 1128545182 /nfs/dbraw/zinc/54/51/82/1128545182.db2.gz VSVXBIXRDXOQPQ-IPYPFGDCSA-N 1 2 295.386 3.902 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2C[C@@H](C)n3ccnc32)c(Cl)c1 ZINC000568033594 1128577209 /nfs/dbraw/zinc/57/72/09/1128577209.db2.gz SFDBIDQERYZEFK-BXUZGUMPSA-N 1 2 275.783 3.641 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001127454920 1128581510 /nfs/dbraw/zinc/58/15/10/1128581510.db2.gz VKBMSKQMRBNGRL-KGLIPLIRSA-N 1 2 289.423 3.611 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1cccc(CO)c1 ZINC000071354632 1128588594 /nfs/dbraw/zinc/58/85/94/1128588594.db2.gz AGZVXJJJXNRIKV-UHFFFAOYSA-N 1 2 278.355 3.648 20 0 CHADLO CCc1ccc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC000043485687 1128604720 /nfs/dbraw/zinc/60/47/20/1128604720.db2.gz SNTIRJHSGIMWHR-UHFFFAOYSA-N 1 2 297.383 3.749 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cc(F)c(F)cc1F ZINC000131134934 1128606545 /nfs/dbraw/zinc/60/65/45/1128606545.db2.gz SLRQVTWQINWZQS-SECBINFHSA-N 1 2 269.266 3.890 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cc(F)c(F)cc1F ZINC000131134934 1128606547 /nfs/dbraw/zinc/60/65/47/1128606547.db2.gz SLRQVTWQINWZQS-SECBINFHSA-N 1 2 269.266 3.890 20 0 CHADLO Cc1cccc([C@H]2CCCC[N@@H+]2Cc2csnn2)c1C ZINC000131193533 1128608933 /nfs/dbraw/zinc/60/89/33/1128608933.db2.gz AQOIVLYYFAPSLG-MRXNPFEDSA-N 1 2 287.432 3.882 20 0 CHADLO Cc1cccc([C@H]2CCCC[N@H+]2Cc2csnn2)c1C ZINC000131193533 1128608935 /nfs/dbraw/zinc/60/89/35/1128608935.db2.gz AQOIVLYYFAPSLG-MRXNPFEDSA-N 1 2 287.432 3.882 20 0 CHADLO Cc1ccoc1C[N@@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000556619888 1128610249 /nfs/dbraw/zinc/61/02/49/1128610249.db2.gz YEGMMWJANPEDFP-MRXNPFEDSA-N 1 2 295.304 3.937 20 0 CHADLO Cc1ccoc1C[N@H+]1CC[C@](F)(c2cc(F)cc(F)c2)C1 ZINC000556619888 1128610256 /nfs/dbraw/zinc/61/02/56/1128610256.db2.gz YEGMMWJANPEDFP-MRXNPFEDSA-N 1 2 295.304 3.937 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cc(C(C)(C)C)on1 ZINC000569860351 1128610504 /nfs/dbraw/zinc/61/05/04/1128610504.db2.gz VULNZSVGFAJPAS-ONGXEEELSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1cc(C(C)(C)C)on1 ZINC000569860351 1128610506 /nfs/dbraw/zinc/61/05/06/1128610506.db2.gz VULNZSVGFAJPAS-ONGXEEELSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1cc(C(C)(C)C)on1 ZINC000569860350 1128610771 /nfs/dbraw/zinc/61/07/71/1128610771.db2.gz VULNZSVGFAJPAS-MWLCHTKSSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1cc(C(C)(C)C)on1 ZINC000569860350 1128610773 /nfs/dbraw/zinc/61/07/73/1128610773.db2.gz VULNZSVGFAJPAS-MWLCHTKSSA-N 1 2 290.329 3.745 20 0 CHADLO Cc1cc(C)c(NC(=O)NC23CCC(CC2)CC3)c(C)[nH+]1 ZINC000556632866 1128611078 /nfs/dbraw/zinc/61/10/78/1128611078.db2.gz JFHGJXDSOHXPCE-UHFFFAOYSA-N 1 2 287.407 3.851 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@@H](C)c1cc(C)ccc1C ZINC000131387341 1128617189 /nfs/dbraw/zinc/61/71/89/1128617189.db2.gz RTWDIHPYATVJII-AWEZNQCLSA-N 1 2 257.381 3.528 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@@H](C)c1cc(C)ccc1C ZINC000131387341 1128617192 /nfs/dbraw/zinc/61/71/92/1128617192.db2.gz RTWDIHPYATVJII-AWEZNQCLSA-N 1 2 257.381 3.528 20 0 CHADLO CC1(CC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CCCC1 ZINC000570603662 1128627517 /nfs/dbraw/zinc/62/75/17/1128627517.db2.gz BTFVWTAMGPSBSG-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO Cc1cc(NC(=O)CCOc2ccccc2C(C)C)cc[nH+]1 ZINC000131736370 1128635888 /nfs/dbraw/zinc/63/58/88/1128635888.db2.gz TZQOPTAYEUWYQE-UHFFFAOYSA-N 1 2 298.386 3.921 20 0 CHADLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@H](C)c2ccccc21 ZINC000572983704 1128650039 /nfs/dbraw/zinc/65/00/39/1128650039.db2.gz ZBXBKNAGYDKGSP-KBPBESRZSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@H](C)c2ccccc21 ZINC000572983704 1128650042 /nfs/dbraw/zinc/65/00/42/1128650042.db2.gz ZBXBKNAGYDKGSP-KBPBESRZSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2CF)c(OC(F)F)cc1C ZINC000556950725 1128650887 /nfs/dbraw/zinc/65/08/87/1128650887.db2.gz NXEOXFODDMQVBD-ZDUSSCGKSA-N 1 2 287.325 3.839 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2CF)c(OC(F)F)cc1C ZINC000556950725 1128650888 /nfs/dbraw/zinc/65/08/88/1128650888.db2.gz NXEOXFODDMQVBD-ZDUSSCGKSA-N 1 2 287.325 3.839 20 0 CHADLO c1cc2c(s1)C[N@H+](Cc1ncc(-c3ccccc3)o1)CC2 ZINC000132571918 1128655222 /nfs/dbraw/zinc/65/52/22/1128655222.db2.gz LUSXXQHUPWNVHD-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO c1cc2c(s1)C[N@@H+](Cc1ncc(-c3ccccc3)o1)CC2 ZINC000132571918 1128655225 /nfs/dbraw/zinc/65/52/25/1128655225.db2.gz LUSXXQHUPWNVHD-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[NH2+][C@@H](C)c1cscn1 ZINC000573863558 1128659160 /nfs/dbraw/zinc/65/91/60/1128659160.db2.gz SSRITIQXDWLGJW-NSHDSACASA-N 1 2 292.452 3.562 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1sc(C)nc1C ZINC000158889848 1128672321 /nfs/dbraw/zinc/67/23/21/1128672321.db2.gz LNYQUIQOKIXTLT-UHFFFAOYSA-N 1 2 286.404 3.742 20 0 CHADLO CC(C)CCc1noc(C[N@H+]2Cc3ccccc3[C@@H](C)C2)n1 ZINC000920405362 1128680552 /nfs/dbraw/zinc/68/05/52/1128680552.db2.gz WVWGPKJEDZYNSO-AWEZNQCLSA-N 1 2 299.418 3.778 20 0 CHADLO CC(C)CCc1noc(C[N@@H+]2Cc3ccccc3[C@@H](C)C2)n1 ZINC000920405362 1128680555 /nfs/dbraw/zinc/68/05/55/1128680555.db2.gz WVWGPKJEDZYNSO-AWEZNQCLSA-N 1 2 299.418 3.778 20 0 CHADLO Cc1nnsc1[C@H](C)[N@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000930133402 1128686640 /nfs/dbraw/zinc/68/66/40/1128686640.db2.gz ISHWLXMOZMDFCI-VIFPVBQESA-N 1 2 299.802 3.832 20 0 CHADLO Cc1nnsc1[C@H](C)[N@@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000930133402 1128686643 /nfs/dbraw/zinc/68/66/43/1128686643.db2.gz ISHWLXMOZMDFCI-VIFPVBQESA-N 1 2 299.802 3.832 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+](C)Cc2ccc(C)s2)n1 ZINC000076342862 1128703204 /nfs/dbraw/zinc/70/32/04/1128703204.db2.gz CECFZHXPSFGYMV-GFCCVEGCSA-N 1 2 293.436 3.975 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+](C)Cc2ccc(C)s2)n1 ZINC000076342862 1128703207 /nfs/dbraw/zinc/70/32/07/1128703207.db2.gz CECFZHXPSFGYMV-GFCCVEGCSA-N 1 2 293.436 3.975 20 0 CHADLO Fc1cccc2c1C[N@H+](C[C@H]1CCC3(CCCC3)O1)C2 ZINC000578161863 1128709749 /nfs/dbraw/zinc/70/97/49/1128709749.db2.gz KWHREMKQSBRMBK-CQSZACIVSA-N 1 2 275.367 3.633 20 0 CHADLO Fc1cccc2c1C[N@@H+](C[C@H]1CCC3(CCCC3)O1)C2 ZINC000578161863 1128709751 /nfs/dbraw/zinc/70/97/51/1128709751.db2.gz KWHREMKQSBRMBK-CQSZACIVSA-N 1 2 275.367 3.633 20 0 CHADLO CNc1ccc(Nc2ccc(Br)cc2)c[nH+]1 ZINC001203454957 1128714969 /nfs/dbraw/zinc/71/49/69/1128714969.db2.gz NXQREJPEZGNDOZ-UHFFFAOYSA-N 1 2 278.153 3.629 20 0 CHADLO CC(C)c1[nH+]c(CN(C)CCC2CC2)c2ccccn21 ZINC000578367430 1128715265 /nfs/dbraw/zinc/71/52/65/1128715265.db2.gz UYURMZJGPSPAJN-UHFFFAOYSA-N 1 2 271.408 3.690 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@@H+]2CCC[C@@H]2CF)o1 ZINC000578487306 1128719370 /nfs/dbraw/zinc/71/93/70/1128719370.db2.gz SZWOLTKROHCRCM-CYBMUJFWSA-N 1 2 274.339 3.584 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@H+]2CCC[C@@H]2CF)o1 ZINC000578487306 1128719372 /nfs/dbraw/zinc/71/93/72/1128719372.db2.gz SZWOLTKROHCRCM-CYBMUJFWSA-N 1 2 274.339 3.584 20 0 CHADLO CCN(C(=O)c1ccn2c(C)c[nH+]c2c1)[C@@H]1CCCC[C@@H]1C ZINC000654699758 1117744922 /nfs/dbraw/zinc/74/49/22/1117744922.db2.gz OTLVTWPKPRDWBG-XJKSGUPXSA-N 1 2 299.418 3.684 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)CCO2 ZINC001213499393 1117749875 /nfs/dbraw/zinc/74/98/75/1117749875.db2.gz ITGIZEBYICCILF-UHFFFAOYSA-N 1 2 266.344 3.946 20 0 CHADLO Fc1cc(Br)ccc1C[N@@H+]1CCCC2(CC2)C1 ZINC000351877722 1117760422 /nfs/dbraw/zinc/76/04/22/1117760422.db2.gz GVXTUZUYECKDKQ-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO Fc1cc(Br)ccc1C[N@H+]1CCCC2(CC2)C1 ZINC000351877722 1117760426 /nfs/dbraw/zinc/76/04/26/1117760426.db2.gz GVXTUZUYECKDKQ-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCCC3(CC3)C2)c(C)[nH+]1 ZINC000622776632 1117765508 /nfs/dbraw/zinc/76/55/08/1117765508.db2.gz OGUFEROMGZUXLJ-AWEZNQCLSA-N 1 2 272.392 3.916 20 0 CHADLO O=C(CCC1CCCCCC1)NCCCCn1cc[nH+]c1 ZINC000654782058 1117779214 /nfs/dbraw/zinc/77/92/14/1117779214.db2.gz GIPKFMWYZPJGBG-UHFFFAOYSA-N 1 2 291.439 3.530 20 0 CHADLO C[N@H+](CC1=CCSC1)Cc1c(F)cccc1Cl ZINC000662263312 1117792190 /nfs/dbraw/zinc/79/21/90/1117792190.db2.gz JNVXOSYARFOTEY-UHFFFAOYSA-N 1 2 271.788 3.584 20 0 CHADLO C[N@@H+](CC1=CCSC1)Cc1c(F)cccc1Cl ZINC000662263312 1117792192 /nfs/dbraw/zinc/79/21/92/1117792192.db2.gz JNVXOSYARFOTEY-UHFFFAOYSA-N 1 2 271.788 3.584 20 0 CHADLO CS[C@@H](C)CC[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001208147433 1117825758 /nfs/dbraw/zinc/82/57/58/1117825758.db2.gz HWXVXVZQGHIUTO-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO CS[C@@H](C)CC[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001208147433 1117825763 /nfs/dbraw/zinc/82/57/63/1117825763.db2.gz HWXVXVZQGHIUTO-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO COc1ccc(F)c(C[NH2+]Cc2c(F)cc(F)cc2F)c1 ZINC000339524373 1117825786 /nfs/dbraw/zinc/82/57/86/1117825786.db2.gz RVVYUZPWNPKKNC-UHFFFAOYSA-N 1 2 299.267 3.541 20 0 CHADLO Cc1cc(C)c(Nc2cc(-c3ccco3)no2)c[nH+]1 ZINC001213507735 1117836235 /nfs/dbraw/zinc/83/62/35/1117836235.db2.gz DWCURAHNRVHTBV-UHFFFAOYSA-N 1 2 255.277 3.690 20 0 CHADLO Cc1ccc(-n2nccc2Nc2c[nH+]c(C)cc2C)cc1 ZINC001213507950 1117839854 /nfs/dbraw/zinc/83/98/54/1117839854.db2.gz IEPQZVAUCXDDBW-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO c1ccc(C2CN(c3[nH+]ccc4ccccc43)C2)cc1 ZINC001167061926 1117843349 /nfs/dbraw/zinc/84/33/49/1117843349.db2.gz YFXJVWMFOJRZBC-UHFFFAOYSA-N 1 2 260.340 3.839 20 0 CHADLO C[C@H]([NH2+]Cc1ccn(C(F)F)n1)c1ccccc1Cl ZINC000339555688 1117852568 /nfs/dbraw/zinc/85/25/68/1117852568.db2.gz MWPBGMNIGARIHX-VIFPVBQESA-N 1 2 285.725 3.782 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nc(C3CCCCC3)cs2)CCO1 ZINC000932339396 1117854945 /nfs/dbraw/zinc/85/49/45/1117854945.db2.gz UAUWXGBCWVSPQZ-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nc(C3CCCCC3)cs2)CCO1 ZINC000932339396 1117854953 /nfs/dbraw/zinc/85/49/53/1117854953.db2.gz UAUWXGBCWVSPQZ-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO CCOc1cccc(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC000114719995 1117857695 /nfs/dbraw/zinc/85/76/95/1117857695.db2.gz WNFKQYIBYAHSNW-UHFFFAOYSA-N 1 2 253.305 3.705 20 0 CHADLO COc1ccc(-n2nccc2Nc2c[nH+]c(C)cc2C)cc1 ZINC001213511119 1117867986 /nfs/dbraw/zinc/86/79/86/1117867986.db2.gz XNYSNNTYKLLVOC-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO Cc1cc(C)c(Nc2cccnc2OC(F)(F)F)c[nH+]1 ZINC001213511138 1117868419 /nfs/dbraw/zinc/86/84/19/1117868419.db2.gz YMNOQXXNPYTTOS-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2ccccc2F)n1 ZINC000125598331 1117869070 /nfs/dbraw/zinc/86/90/70/1117869070.db2.gz WHKPHLPDVZLEEH-UHFFFAOYSA-N 1 2 264.369 3.695 20 0 CHADLO Fc1ccc(CNc2[nH]c3ccc(Cl)cc3[nH+]2)cc1 ZINC000082739908 1117881251 /nfs/dbraw/zinc/88/12/51/1117881251.db2.gz KYKFEERAJOYMJZ-UHFFFAOYSA-N 1 2 275.714 3.968 20 0 CHADLO CCC[N@H+](Cc1nccc(C)n1)[C@H](C)c1ccccc1OC ZINC000339586413 1117888722 /nfs/dbraw/zinc/88/87/22/1117888722.db2.gz IOKILDSOZPZLMI-OAHLLOKOSA-N 1 2 299.418 3.767 20 0 CHADLO CCC[N@@H+](Cc1nccc(C)n1)[C@H](C)c1ccccc1OC ZINC000339586413 1117888728 /nfs/dbraw/zinc/88/87/28/1117888728.db2.gz IOKILDSOZPZLMI-OAHLLOKOSA-N 1 2 299.418 3.767 20 0 CHADLO Cc1oc2ccc(NC(=O)[C@@H](C)Cc3c[nH]c[nH+]3)cc2c1C ZINC000579240834 1128741955 /nfs/dbraw/zinc/74/19/55/1128741955.db2.gz BCKDQMROKZSFBD-JTQLQIEISA-N 1 2 297.358 3.590 20 0 CHADLO Cc1oc2ccc(NC(=O)[C@@H](C)Cc3c[nH+]c[nH]3)cc2c1C ZINC000579240834 1128741956 /nfs/dbraw/zinc/74/19/56/1128741956.db2.gz BCKDQMROKZSFBD-JTQLQIEISA-N 1 2 297.358 3.590 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1CCc1ccccc1)c1ccoc1 ZINC000178351149 1117891732 /nfs/dbraw/zinc/89/17/32/1117891732.db2.gz QOQCBCLYBIWYTF-HNNXBMFYSA-N 1 2 295.386 3.570 20 0 CHADLO Fc1cccc(C[N@@H+]2CC[C@@H]2c2ccccc2)c1F ZINC000471163949 1117905357 /nfs/dbraw/zinc/90/53/57/1117905357.db2.gz ASVFTMRMAPNROB-OAHLLOKOSA-N 1 2 259.299 3.912 20 0 CHADLO Fc1cccc(C[N@H+]2CC[C@@H]2c2ccccc2)c1F ZINC000471163949 1117905359 /nfs/dbraw/zinc/90/53/59/1117905359.db2.gz ASVFTMRMAPNROB-OAHLLOKOSA-N 1 2 259.299 3.912 20 0 CHADLO CCCCCN(CCCCC)C(=O)[C@H](C)n1cc[nH+]c1 ZINC000053802390 1128743077 /nfs/dbraw/zinc/74/30/77/1128743077.db2.gz OJRUIBXEMXYICY-HNNXBMFYSA-N 1 2 279.428 3.653 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1ccncc1Cl ZINC000179925757 1117917230 /nfs/dbraw/zinc/91/72/30/1117917230.db2.gz FRMIZEGOLHWDJZ-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO Cc1cnc(C[NH2+]C2(c3ccc(F)cc3F)CCC2)s1 ZINC000179986428 1117918794 /nfs/dbraw/zinc/91/87/94/1117918794.db2.gz VJAPJYJKQWOLCN-UHFFFAOYSA-N 1 2 294.370 3.899 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](C)c2cccc(OC(C)C)c2)n1 ZINC000615315484 1128744095 /nfs/dbraw/zinc/74/40/95/1128744095.db2.gz RTJFVGHUXILZQY-RYUDHWBXSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1ccnc(C[N@H+](C)Cc2ccc3ccccc3c2)n1 ZINC000339654629 1117947727 /nfs/dbraw/zinc/94/77/27/1117947727.db2.gz YMJFVYITPMDNEV-UHFFFAOYSA-N 1 2 277.371 3.570 20 0 CHADLO Cc1ccnc(C[N@@H+](C)Cc2ccc3ccccc3c2)n1 ZINC000339654629 1117947729 /nfs/dbraw/zinc/94/77/29/1117947729.db2.gz YMJFVYITPMDNEV-UHFFFAOYSA-N 1 2 277.371 3.570 20 0 CHADLO Fc1ccc(C[NH2+][C@H]2CCCc3scnc32)c(F)c1 ZINC000623879516 1117948238 /nfs/dbraw/zinc/94/82/38/1117948238.db2.gz IWPRYQCFCJHGSI-LBPRGKRZSA-N 1 2 280.343 3.589 20 0 CHADLO CCOc1ccccc1C[NH2+][C@@H]1CCCc2scnc21 ZINC000623870631 1117948905 /nfs/dbraw/zinc/94/89/05/1117948905.db2.gz HNAZVFNWEMALSH-CYBMUJFWSA-N 1 2 288.416 3.709 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCCC[C@H]2c2ccc(F)cc2)n1 ZINC000339666080 1117950046 /nfs/dbraw/zinc/95/00/46/1117950046.db2.gz QFILQCMNLVDBOS-INIZCTEOSA-N 1 2 285.366 3.651 20 0 CHADLO Cc1ccnc(C[N@H+]2CCCC[C@H]2c2ccc(F)cc2)n1 ZINC000339666080 1117950048 /nfs/dbraw/zinc/95/00/48/1117950048.db2.gz QFILQCMNLVDBOS-INIZCTEOSA-N 1 2 285.366 3.651 20 0 CHADLO Fc1cccc(C2([NH2+][C@@H]3CCCc4scnc43)CC2)c1 ZINC000623938021 1117958622 /nfs/dbraw/zinc/95/86/22/1117958622.db2.gz ADCMPWSVFKAVRM-CYBMUJFWSA-N 1 2 288.391 3.939 20 0 CHADLO CC(C)[N@@H+](CC(=O)c1ccccc1)C[C@@H](C)C(F)(F)F ZINC001208904386 1117959647 /nfs/dbraw/zinc/95/96/47/1117959647.db2.gz FZKSJVGKEDWYDQ-GFCCVEGCSA-N 1 2 287.325 3.778 20 0 CHADLO CC(C)[N@H+](CC(=O)c1ccccc1)C[C@@H](C)C(F)(F)F ZINC001208904386 1117959650 /nfs/dbraw/zinc/95/96/50/1117959650.db2.gz FZKSJVGKEDWYDQ-GFCCVEGCSA-N 1 2 287.325 3.778 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2CCOc3c(F)cccc32)n1 ZINC000623966141 1117963081 /nfs/dbraw/zinc/96/30/81/1117963081.db2.gz FZZGSVRWXGAAOT-GXFFZTMASA-N 1 2 292.379 3.765 20 0 CHADLO Cc1cc(N2CCc3c(F)cccc3C2)nc(C(C)C)[nH+]1 ZINC000339778376 1117975538 /nfs/dbraw/zinc/97/55/38/1117975538.db2.gz GNFONQGWMIUUAK-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+]CC(F)(F)CC)c1 ZINC000624147910 1117988886 /nfs/dbraw/zinc/98/88/86/1117988886.db2.gz OQMKJVTYNLBPQT-NSHDSACASA-N 1 2 257.324 3.781 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](CCCOC)c1ccc(F)cc1 ZINC000624149143 1117990142 /nfs/dbraw/zinc/99/01/42/1117990142.db2.gz XKFCHQLCYSUBLK-AWEZNQCLSA-N 1 2 289.341 3.928 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1cc2ccncc2s1 ZINC000624149018 1117990293 /nfs/dbraw/zinc/99/02/93/1117990293.db2.gz URGGOJDZLDGQPZ-VIFPVBQESA-N 1 2 270.348 3.992 20 0 CHADLO CC(C)c1cnc(C[NH+]2CCC(C(F)(F)F)CC2)o1 ZINC000075969355 1117999703 /nfs/dbraw/zinc/99/97/03/1117999703.db2.gz UIZUWAKLRMASBC-UHFFFAOYSA-N 1 2 276.302 3.572 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccc(C)cc1 ZINC000181703136 1118004788 /nfs/dbraw/zinc/00/47/88/1118004788.db2.gz MQKZKSGXZBMMBS-CQSZACIVSA-N 1 2 287.407 3.916 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc([NH+](C)C)cc2)cc1 ZINC000036335424 1118010479 /nfs/dbraw/zinc/01/04/79/1118010479.db2.gz YDFMAXXPBVWQQL-ZDUSSCGKSA-N 1 2 270.376 3.934 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000533530546 1118016722 /nfs/dbraw/zinc/01/67/22/1118016722.db2.gz XIVNWAWNACNSGB-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCc2ccc(O)cc2C1 ZINC000533530546 1118016726 /nfs/dbraw/zinc/01/67/26/1118016726.db2.gz XIVNWAWNACNSGB-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](C)c2ccc(C)cc2)n1 ZINC000182310968 1118019500 /nfs/dbraw/zinc/01/95/00/1118019500.db2.gz PFEODENAIUNSCA-ZDUSSCGKSA-N 1 2 273.380 3.571 20 0 CHADLO C[C@@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1cccnc1 ZINC000182912123 1118032654 /nfs/dbraw/zinc/03/26/54/1118032654.db2.gz DZOXEEUPMGKPML-GFCCVEGCSA-N 1 2 282.322 3.580 20 0 CHADLO Oc1cc(F)cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001206823133 1118038447 /nfs/dbraw/zinc/03/84/47/1118038447.db2.gz VAUGOSWZKPEQPK-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO O=[N+]([O-])c1ccccc1C[NH2+]C1(c2ccccc2F)CC1 ZINC000179640208 1128751858 /nfs/dbraw/zinc/75/18/58/1128751858.db2.gz LLWPJPVSZODLCQ-UHFFFAOYSA-N 1 2 286.306 3.513 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@H](c3cc(C)cc(C)c3)C2)no1 ZINC000183477041 1118045224 /nfs/dbraw/zinc/04/52/24/1118045224.db2.gz BWWDBCXVVFWDIY-HNNXBMFYSA-N 1 2 299.418 3.628 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@H](c3cc(C)cc(C)c3)C2)no1 ZINC000183477041 1118045229 /nfs/dbraw/zinc/04/52/29/1118045229.db2.gz BWWDBCXVVFWDIY-HNNXBMFYSA-N 1 2 299.418 3.628 20 0 CHADLO Cc1oncc1C[N@@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000185145767 1118061038 /nfs/dbraw/zinc/06/10/38/1118061038.db2.gz VNYSLEIBZQRAHX-ZBEGNZNMSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1oncc1C[N@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000185145767 1118061044 /nfs/dbraw/zinc/06/10/44/1118061044.db2.gz VNYSLEIBZQRAHX-ZBEGNZNMSA-N 1 2 286.375 3.864 20 0 CHADLO Fc1ccc([C@@H]([NH2+]C/C=C/Cl)c2ccccn2)cc1 ZINC000749448561 1118075240 /nfs/dbraw/zinc/07/52/40/1118075240.db2.gz KVVOWJNPDKJGPA-RUGXIKGKSA-N 1 2 276.742 3.652 20 0 CHADLO COc1c(Cl)cccc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC001140056804 1118077846 /nfs/dbraw/zinc/07/78/46/1118077846.db2.gz KAYWGOKVDGHMAQ-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO COc1c(Cl)cccc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC001140056804 1118077851 /nfs/dbraw/zinc/07/78/51/1118077851.db2.gz KAYWGOKVDGHMAQ-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+]C1CCC2(CC2)CC1 ZINC000754099962 1118087493 /nfs/dbraw/zinc/08/74/93/1118087493.db2.gz FBTLKRWKFOBNFY-UHFFFAOYSA-N 1 2 286.419 3.658 20 0 CHADLO COc1ccc(C)c(Nc2cc[nH+]c(SC)c2)c1 ZINC001209840813 1118096749 /nfs/dbraw/zinc/09/67/49/1118096749.db2.gz WEEZFZPZEQHIPD-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CC[C@@H]3OCC[C@@H]3C2)c1 ZINC001167212787 1118100285 /nfs/dbraw/zinc/10/02/85/1118100285.db2.gz GPOCMKVBVMXEJV-DOMZBBRYSA-N 1 2 288.778 3.503 20 0 CHADLO CCc1ccc([C@H](CC)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398229942 1118102031 /nfs/dbraw/zinc/10/20/31/1118102031.db2.gz HUJDUWFUOVZPAG-RISCZKNCSA-N 1 2 275.421 3.902 20 0 CHADLO CC(C)Cc1ccc(C[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398240252 1118104659 /nfs/dbraw/zinc/10/46/59/1118104659.db2.gz OIYKHOOZXBAXOM-LBPRGKRZSA-N 1 2 275.421 3.587 20 0 CHADLO C/C=C(/C=C\C(=O)Nc1ccccc1-n1cc[nH+]c1)CC ZINC000764805158 1118116061 /nfs/dbraw/zinc/11/60/61/1118116061.db2.gz BZPWXZZLCQMXQD-JXPHJQCQSA-N 1 2 281.359 3.723 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(Cl)cc1 ZINC000398289531 1118117720 /nfs/dbraw/zinc/11/77/20/1118117720.db2.gz BMXOTOAYGSWQNA-JOYOIKCWSA-N 1 2 281.812 3.993 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc(C)ccc1OC)C2 ZINC000653834147 1118122779 /nfs/dbraw/zinc/12/27/79/1118122779.db2.gz IMPWHTRPZDIIAO-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc(C)ccc1OC)C2 ZINC000653834147 1118122780 /nfs/dbraw/zinc/12/27/80/1118122780.db2.gz IMPWHTRPZDIIAO-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)[C@@H](C)CC(C)C)n1 ZINC000765388891 1118149303 /nfs/dbraw/zinc/14/93/03/1118149303.db2.gz GPNPKYLPDZATRA-LBPRGKRZSA-N 1 2 297.468 3.606 20 0 CHADLO c1cc2c(s1)CC[N@@H+](Cc1nc3ccccc3s1)C2 ZINC000010341180 1118159297 /nfs/dbraw/zinc/15/92/97/1118159297.db2.gz YFQPBOXEQMUDDN-UHFFFAOYSA-N 1 2 286.425 3.916 20 0 CHADLO c1cc2c(s1)CC[N@H+](Cc1nc3ccccc3s1)C2 ZINC000010341180 1118159299 /nfs/dbraw/zinc/15/92/99/1118159299.db2.gz YFQPBOXEQMUDDN-UHFFFAOYSA-N 1 2 286.425 3.916 20 0 CHADLO c1cc(-c2ccc(C[N@@H+]3CCc4c[nH]nc4C3)cc2)cs1 ZINC000683521103 1118169232 /nfs/dbraw/zinc/16/92/32/1118169232.db2.gz CFDNUUYLUKUQSG-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO c1cc(-c2ccc(C[N@H+]3CCc4c[nH]nc4C3)cc2)cs1 ZINC000683521103 1118169233 /nfs/dbraw/zinc/16/92/33/1118169233.db2.gz CFDNUUYLUKUQSG-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO c1cc(-c2ccc(C[N@H+]3CCc4cn[nH]c4C3)cc2)cs1 ZINC000683521103 1118169239 /nfs/dbraw/zinc/16/92/39/1118169239.db2.gz CFDNUUYLUKUQSG-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO Cc1c2ccccc2oc1C[NH2+]Cc1cc2n(n1)CCCC2 ZINC000655737103 1118197674 /nfs/dbraw/zinc/19/76/74/1118197674.db2.gz VBXXZDQOBGZKGP-UHFFFAOYSA-N 1 2 295.386 3.564 20 0 CHADLO c1nn(C2CCC2)cc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000921570661 1118201374 /nfs/dbraw/zinc/20/13/74/1118201374.db2.gz WBICQDAOCIHZDM-UHFFFAOYSA-N 1 2 296.418 3.820 20 0 CHADLO Cc1ccc(F)cc1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000030609012 1118219269 /nfs/dbraw/zinc/21/92/69/1118219269.db2.gz GYHDQEBYZYBXDT-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CC[C@H](C)C[N@H+](C)Cn1nc(C(F)(F)F)sc1=S ZINC000188668368 1128763981 /nfs/dbraw/zinc/76/39/81/1128763981.db2.gz CFHVNYOUYLKSKG-ZETCQYMHSA-N 1 2 299.387 3.628 20 0 CHADLO CC[C@H](C)C[N@@H+](C)Cn1nc(C(F)(F)F)sc1=S ZINC000188668368 1128763983 /nfs/dbraw/zinc/76/39/83/1128763983.db2.gz CFHVNYOUYLKSKG-ZETCQYMHSA-N 1 2 299.387 3.628 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2C(F)(F)F)cc1N ZINC001210288606 1118234331 /nfs/dbraw/zinc/23/43/31/1118234331.db2.gz QFULDBWWIAVHFM-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1[nH+]cc(Nc2c(Cl)ccc(O)c2Cl)cc1N ZINC001210289974 1118235883 /nfs/dbraw/zinc/23/58/83/1118235883.db2.gz COMRYLSUKCRWLO-UHFFFAOYSA-N 1 2 284.146 3.728 20 0 CHADLO C=Cc1ccc(CNc2[nH+]c(C)nc3c2ccn3C)cc1 ZINC001168575572 1118245906 /nfs/dbraw/zinc/24/59/06/1118245906.db2.gz XRZTZCNMZWESPM-UHFFFAOYSA-N 1 2 278.359 3.532 20 0 CHADLO C=Cc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC001168576025 1118247864 /nfs/dbraw/zinc/24/78/64/1118247864.db2.gz QGBAGELJGOTGHK-UHFFFAOYSA-N 1 2 279.387 3.937 20 0 CHADLO FC(F)(F)C[C@H]([NH2+]Cc1cscn1)c1ccccc1 ZINC000070167808 1118253130 /nfs/dbraw/zinc/25/31/30/1118253130.db2.gz YSIBCCYGATZONY-LBPRGKRZSA-N 1 2 286.322 3.926 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@@H]2CCC[C@@H]2F)cs1 ZINC000340533508 1118324646 /nfs/dbraw/zinc/32/46/46/1118324646.db2.gz VIAXHWDCSWAXFF-GARJFASQSA-N 1 2 256.390 3.808 20 0 CHADLO CSc1cccc(NCc2c[nH+]c3c(C)cccn23)c1 ZINC001648854383 1118259747 /nfs/dbraw/zinc/25/97/47/1118259747.db2.gz WPFATPKHBYDZLG-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO CO[C@@H]1CCC[C@@H](Nc2ccc([NH+]3CCCC3)cc2)C1 ZINC000070327861 1118266205 /nfs/dbraw/zinc/26/62/05/1118266205.db2.gz XZWIKKYBJHUWBO-NVXWUHKLSA-N 1 2 274.408 3.656 20 0 CHADLO CO[C@@H]1CCC[C@@H]([NH2+]c2ccc(N3CCCC3)cc2)C1 ZINC000070327861 1118266208 /nfs/dbraw/zinc/26/62/08/1118266208.db2.gz XZWIKKYBJHUWBO-NVXWUHKLSA-N 1 2 274.408 3.656 20 0 CHADLO Cc1c[nH+]c2cc(-c3nc(C4CCCCC4)no3)ccn12 ZINC000655960389 1118284331 /nfs/dbraw/zinc/28/43/31/1118284331.db2.gz ADKGGTKXHBYDNQ-UHFFFAOYSA-N 1 2 282.347 3.740 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2COc3cc(F)cc(F)c32)c(C)o1 ZINC000683692002 1118288227 /nfs/dbraw/zinc/28/82/27/1118288227.db2.gz MZRSFFAFULAJPT-LKFCYVNXSA-N 1 2 293.313 3.959 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@H](C)c1ccncc1F ZINC000340493621 1118306324 /nfs/dbraw/zinc/30/63/24/1118306324.db2.gz ZEEPTHHYCHNPPG-GHMZBOCLSA-N 1 2 292.329 3.780 20 0 CHADLO FC(F)(F)[C@H]1CCN(c2cccc[nH+]2)CC12CCC2 ZINC000282241654 1118307028 /nfs/dbraw/zinc/30/70/28/1118307028.db2.gz LZTWQECPKKAEJH-NSHDSACASA-N 1 2 270.298 3.641 20 0 CHADLO Cc1ccc(-c2noc(C[N@@H+](C)Cc3ccccc3)n2)cc1 ZINC000061745467 1118308617 /nfs/dbraw/zinc/30/86/17/1118308617.db2.gz XHTHJDQLBAITNS-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1ccc(-c2noc(C[N@H+](C)Cc3ccccc3)n2)cc1 ZINC000061745467 1118308621 /nfs/dbraw/zinc/30/86/21/1118308621.db2.gz XHTHJDQLBAITNS-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO COc1ccc(F)cc1C[N@H+](C)Cc1ccccc1F ZINC000061780064 1118310309 /nfs/dbraw/zinc/31/03/09/1118310309.db2.gz ROHOVWNFXPSJAB-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1ccc(F)cc1C[N@@H+](C)Cc1ccccc1F ZINC000061780064 1118310310 /nfs/dbraw/zinc/31/03/10/1118310310.db2.gz ROHOVWNFXPSJAB-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)[N@H+]2CC=C(C)CC2)c(Cl)c1 ZINC000533765147 1118321265 /nfs/dbraw/zinc/32/12/65/1118321265.db2.gz FUBNCGSSQYLDRR-CYBMUJFWSA-N 1 2 292.810 3.627 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)[N@@H+]2CC=C(C)CC2)c(Cl)c1 ZINC000533765147 1118321270 /nfs/dbraw/zinc/32/12/70/1118321270.db2.gz FUBNCGSSQYLDRR-CYBMUJFWSA-N 1 2 292.810 3.627 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CC[C@H]3[C@@H](C2)C3(F)F)c(C)[nH+]1 ZINC001125404790 1118329909 /nfs/dbraw/zinc/32/99/09/1118329909.db2.gz SGSIIUYBCDOABG-FRRDWIJNSA-N 1 2 294.345 3.627 20 0 CHADLO FC(F)(F)COc1cc(NCc2ccccc2)cc[nH+]1 ZINC000340560797 1118350432 /nfs/dbraw/zinc/35/04/32/1118350432.db2.gz ZZCRTEBILMLFLN-UHFFFAOYSA-N 1 2 282.265 3.635 20 0 CHADLO Cc1occc1C[NH2+]Cc1c(F)cccc1Cl ZINC000067218057 1118351730 /nfs/dbraw/zinc/35/17/30/1118351730.db2.gz FFRLCPIKWJDYBV-UHFFFAOYSA-N 1 2 253.704 3.670 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cn2ccccc2n1 ZINC000067446213 1118352536 /nfs/dbraw/zinc/35/25/36/1118352536.db2.gz ANMBMBAJWBWMHV-LBPRGKRZSA-N 1 2 283.400 3.515 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cn2ccccc2n1 ZINC000067446213 1118352541 /nfs/dbraw/zinc/35/25/41/1118352541.db2.gz ANMBMBAJWBWMHV-LBPRGKRZSA-N 1 2 283.400 3.515 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)c(C)o1 ZINC000671770742 1118365475 /nfs/dbraw/zinc/36/54/75/1118365475.db2.gz XLFDKRNPHDJSEE-UWVGGRQHSA-N 1 2 280.318 3.981 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cc(C)cc(C)c1 ZINC001239525503 1118375553 /nfs/dbraw/zinc/37/55/53/1118375553.db2.gz WLRHBLMJMWVFOJ-UHFFFAOYSA-N 1 2 252.317 3.855 20 0 CHADLO COc1cc(Nc2cncc(C(C)C)c2)cc(C)[nH+]1 ZINC001210780252 1118383466 /nfs/dbraw/zinc/38/34/66/1118383466.db2.gz LLTPHJGERUUIOQ-UHFFFAOYSA-N 1 2 257.337 3.661 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000073603618 1118383439 /nfs/dbraw/zinc/38/34/39/1118383439.db2.gz CEEPGDGJOSFBSK-CQSZACIVSA-N 1 2 287.407 3.648 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000073603618 1118383441 /nfs/dbraw/zinc/38/34/41/1118383441.db2.gz CEEPGDGJOSFBSK-CQSZACIVSA-N 1 2 287.407 3.648 20 0 CHADLO CCCCN(C(=O)Cn1cc[nH+]c1)[C@H](CC)c1ccccc1 ZINC000073726340 1118383713 /nfs/dbraw/zinc/38/37/13/1118383713.db2.gz LEJWFLVRBHOBRC-QGZVFWFLSA-N 1 2 299.418 3.663 20 0 CHADLO Cc1ccc2[nH+]c(NCc3ccc(F)cc3)[nH]c2c1 ZINC000041602809 1118395405 /nfs/dbraw/zinc/39/54/05/1118395405.db2.gz HDRDIARWLPGGPN-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCC3(CC3)CC2)c(C)[nH+]1 ZINC000626569570 1128775010 /nfs/dbraw/zinc/77/50/10/1128775010.db2.gz WRRAMZDETFUDLB-UHFFFAOYSA-N 1 2 287.407 3.805 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(OC(F)(F)F)nc2)c(C)c1 ZINC001210821958 1118404409 /nfs/dbraw/zinc/40/44/09/1118404409.db2.gz QPBINTVKWIOVHH-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO CSc1cc(Nc2cccc(O)c2Cl)cc[nH+]1 ZINC001210855449 1118409931 /nfs/dbraw/zinc/40/99/31/1118409931.db2.gz ODPYJHNYGCOJMV-UHFFFAOYSA-N 1 2 266.753 3.906 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)c[nH+]1 ZINC000616115282 1128776322 /nfs/dbraw/zinc/77/63/22/1128776322.db2.gz HWXPFGDPEDNNDG-FVQHAEBGSA-N 1 2 286.419 3.531 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[N@H+](C)Cc1cn(C)cn1 ZINC000683899989 1118434534 /nfs/dbraw/zinc/43/45/34/1118434534.db2.gz NSBZTHXTSPNPRO-LBPRGKRZSA-N 1 2 292.452 3.501 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[N@@H+](C)Cc1cn(C)cn1 ZINC000683899989 1118434536 /nfs/dbraw/zinc/43/45/36/1118434536.db2.gz NSBZTHXTSPNPRO-LBPRGKRZSA-N 1 2 292.452 3.501 20 0 CHADLO Cc1csc2c1ncnc2NCCc1[nH+]cc(C)cc1C ZINC000656211071 1118445535 /nfs/dbraw/zinc/44/55/35/1118445535.db2.gz OQMDYYUYAFUKOK-UHFFFAOYSA-N 1 2 298.415 3.666 20 0 CHADLO COc1c(C)cccc1CNc1cc(C)[nH+]c(C2CC2)n1 ZINC000656212409 1118450348 /nfs/dbraw/zinc/45/03/48/1118450348.db2.gz FKJIQDGKFQGHHI-UHFFFAOYSA-N 1 2 283.375 3.592 20 0 CHADLO Cc1cc(NC(=O)C/C=C\c2cccc(F)c2)cc[nH+]1 ZINC000255573436 1118473067 /nfs/dbraw/zinc/47/30/67/1118473067.db2.gz QFTYVCCVRSKTSI-HYXAFXHYSA-N 1 2 270.307 3.571 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC001125457377 1118478967 /nfs/dbraw/zinc/47/89/67/1118478967.db2.gz ULSRIMIABNFLRN-IAQYHMDHSA-N 1 2 274.408 3.563 20 0 CHADLO FC(F)C1CC[NH+](Cc2nc(Cl)ccc2Cl)CC1 ZINC000307885571 1118502457 /nfs/dbraw/zinc/50/24/57/1118502457.db2.gz SWEFXQFATPKUPD-UHFFFAOYSA-N 1 2 295.160 3.866 20 0 CHADLO COCc1cc(C)c(Nc2[nH+]cccc2C)c(C)c1 ZINC001215528161 1118523163 /nfs/dbraw/zinc/52/31/63/1118523163.db2.gz HFNRJXMZEQYRSM-UHFFFAOYSA-N 1 2 256.349 3.897 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(-c3ccccc3)cn2)c1C ZINC001213523039 1118525197 /nfs/dbraw/zinc/52/51/97/1118525197.db2.gz KSDMFVIUJHOOFV-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc3c(c2)CCCC3)c1C ZINC001213524672 1118527387 /nfs/dbraw/zinc/52/73/87/1118527387.db2.gz CJUJDZFSVIEJBT-UHFFFAOYSA-N 1 2 253.349 3.716 20 0 CHADLO CCCCc1noc(C[N@H+](C)Cc2cccc(C)c2C)n1 ZINC000620234930 1118528464 /nfs/dbraw/zinc/52/84/64/1118528464.db2.gz QMGBCHGFLDZAEI-UHFFFAOYSA-N 1 2 287.407 3.661 20 0 CHADLO CCCCc1noc(C[N@@H+](C)Cc2cccc(C)c2C)n1 ZINC000620234930 1118528467 /nfs/dbraw/zinc/52/84/67/1118528467.db2.gz QMGBCHGFLDZAEI-UHFFFAOYSA-N 1 2 287.407 3.661 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(F)cnc2Cl)c1C ZINC001213527225 1118532370 /nfs/dbraw/zinc/53/23/70/1118532370.db2.gz CZRQNZALILBOPR-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(C(F)(F)F)cnc2F)c1C ZINC001213528736 1118543070 /nfs/dbraw/zinc/54/30/70/1118543070.db2.gz NNKPYGANGTYBPD-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3nc(C(C)(C)C)nn3c2)c1C ZINC001213529259 1118543560 /nfs/dbraw/zinc/54/35/60/1118543560.db2.gz ZIQFHQOFSRWEMZ-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO COC(=O)c1ccc([C@H](C)[N@@H+]2Cc3ccccc3[C@H]2C)o1 ZINC000340921314 1118556328 /nfs/dbraw/zinc/55/63/28/1118556328.db2.gz QESIIBKXIIGHDR-NEPJUHHUSA-N 1 2 285.343 3.704 20 0 CHADLO COC(=O)c1ccc([C@H](C)[N@H+]2Cc3ccccc3[C@H]2C)o1 ZINC000340921314 1118556331 /nfs/dbraw/zinc/55/63/31/1118556331.db2.gz QESIIBKXIIGHDR-NEPJUHHUSA-N 1 2 285.343 3.704 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(OC(C)C)c1F ZINC001215727548 1118568863 /nfs/dbraw/zinc/56/88/63/1118568863.db2.gz XSRQHSOTTRUWRI-UHFFFAOYSA-N 1 2 294.301 3.899 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000341035005 1118591751 /nfs/dbraw/zinc/59/17/51/1118591751.db2.gz NXSYRUNULAMHOD-AWEZNQCLSA-N 1 2 281.403 3.515 20 0 CHADLO CCOc1cccc(CNc2cc3cc[nH]c3c[nH+]2)c1 ZINC000670818483 1128787894 /nfs/dbraw/zinc/78/78/94/1128787894.db2.gz MSEFMVRNLOYTDR-UHFFFAOYSA-N 1 2 267.332 3.574 20 0 CHADLO COc1cc(C[N@@H+]2CCc3cc(F)ccc3C2)ccc1F ZINC001204560597 1118597968 /nfs/dbraw/zinc/59/79/68/1118597968.db2.gz CUZVZDDQDPOQEF-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(C[N@H+]2CCc3cc(F)ccc3C2)ccc1F ZINC001204560597 1118597970 /nfs/dbraw/zinc/59/79/70/1118597970.db2.gz CUZVZDDQDPOQEF-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCCc1noc(C[NH2+]C(C)(C)c2ccc(Cl)cc2)n1 ZINC000072603363 1118605509 /nfs/dbraw/zinc/60/55/09/1118605509.db2.gz AGQBRIJJRLAWGR-UHFFFAOYSA-N 1 2 293.798 3.700 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2sc(C(C)C)nc2C)co1 ZINC000834901255 1118619956 /nfs/dbraw/zinc/61/99/56/1118619956.db2.gz RJUDOTWDBQMOPA-JTQLQIEISA-N 1 2 293.436 3.976 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nc(C(C)C)no1)c1ccccc1 ZINC000341203298 1118620715 /nfs/dbraw/zinc/62/07/15/1118620715.db2.gz CKJKCYSJNKIEEB-JSGCOSHPSA-N 1 2 273.380 3.995 20 0 CHADLO CCSc1cccc(C[NH2+]C(C)(C)C(F)F)c1 ZINC000684413892 1118688062 /nfs/dbraw/zinc/68/80/62/1118688062.db2.gz JTROOJJRZODGLT-UHFFFAOYSA-N 1 2 259.365 3.932 20 0 CHADLO COc1ccc(C[NH+]2CC(CC(F)(F)F)C2)c(Cl)c1 ZINC000656686287 1118635633 /nfs/dbraw/zinc/63/56/33/1118635633.db2.gz AOFNMYQJSUOQKG-UHFFFAOYSA-N 1 2 293.716 3.733 20 0 CHADLO Fc1ccc(C[NH+]2CC(CC(F)(F)F)C2)cc1Cl ZINC000656686369 1118635675 /nfs/dbraw/zinc/63/56/75/1118635675.db2.gz HLJMBZLJTLDHPX-UHFFFAOYSA-N 1 2 281.680 3.863 20 0 CHADLO Clc1nc(C[N@@H+]2CCC23CCCCC3)cs1 ZINC000707136066 1118641536 /nfs/dbraw/zinc/64/15/36/1118641536.db2.gz ANCVSEVWIVDGNH-UHFFFAOYSA-N 1 2 256.802 3.705 20 0 CHADLO Clc1nc(C[N@H+]2CCC23CCCCC3)cs1 ZINC000707136066 1118641538 /nfs/dbraw/zinc/64/15/38/1118641538.db2.gz ANCVSEVWIVDGNH-UHFFFAOYSA-N 1 2 256.802 3.705 20 0 CHADLO CC(C)c1cc(N[C@H](C)c2cccnc2)nc(C(C)C)[nH+]1 ZINC000341261490 1118643475 /nfs/dbraw/zinc/64/34/75/1118643475.db2.gz PRKYEJCBAIHJQN-CYBMUJFWSA-N 1 2 284.407 3.713 20 0 CHADLO CC(C)OCc1ccccc1CNc1cccc[nH+]1 ZINC000076650352 1118651088 /nfs/dbraw/zinc/65/10/88/1118651088.db2.gz CKDFIADTYQQKDY-UHFFFAOYSA-N 1 2 256.349 3.619 20 0 CHADLO COc1ccsc1CNc1c[nH+]cc2c1CCCC2 ZINC000656776419 1118655172 /nfs/dbraw/zinc/65/51/72/1118655172.db2.gz MEUAICUEDCOGIZ-UHFFFAOYSA-N 1 2 274.389 3.643 20 0 CHADLO Clc1cnc(C[NH+](C2CC2)C2CC2)c(Cl)c1 ZINC000625843007 1118673882 /nfs/dbraw/zinc/67/38/82/1118673882.db2.gz PGPPJHPIZDUIEG-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO Oc1cccc(Cl)c1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000155938336 1118693881 /nfs/dbraw/zinc/69/38/81/1118693881.db2.gz PMSVDNHAEAOHMU-UHFFFAOYSA-N 1 2 299.761 3.843 20 0 CHADLO Cc1cc(N2CC[C@@H](c3cccc(F)c3)C2)nc(C2CC2)[nH+]1 ZINC000341492725 1118716434 /nfs/dbraw/zinc/71/64/34/1118716434.db2.gz FAFPTRDGYXQCDE-OAHLLOKOSA-N 1 2 297.377 3.795 20 0 CHADLO CCN(Cc1ccccc1)c1cc(C)[nH+]c(C2CC2)n1 ZINC000341489413 1118716675 /nfs/dbraw/zinc/71/66/75/1118716675.db2.gz SUVYPQBSLHUZEV-UHFFFAOYSA-N 1 2 267.376 3.689 20 0 CHADLO Cc1cc(NCc2cccc3ccccc32)nc(C2CC2)[nH+]1 ZINC000341513176 1118718328 /nfs/dbraw/zinc/71/83/28/1118718328.db2.gz UNZJGISCAMCVHS-UHFFFAOYSA-N 1 2 289.382 3.850 20 0 CHADLO CCCCc1noc(C[N@H+](C)[C@H]2CCCc3ccccc32)n1 ZINC000627655639 1128797032 /nfs/dbraw/zinc/79/70/32/1128797032.db2.gz ZRCGURSJYLVECW-INIZCTEOSA-N 1 2 299.418 3.922 20 0 CHADLO CCCCc1noc(C[N@@H+](C)[C@H]2CCCc3ccccc32)n1 ZINC000627655639 1128797036 /nfs/dbraw/zinc/79/70/36/1128797036.db2.gz ZRCGURSJYLVECW-INIZCTEOSA-N 1 2 299.418 3.922 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@@H]2c2nccn2CC)o1 ZINC000430871470 1118780854 /nfs/dbraw/zinc/78/08/54/1118780854.db2.gz XSFXZMOEOFYDGO-MRXNPFEDSA-N 1 2 287.407 3.786 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@@H]2c2nccn2CC)o1 ZINC000430871470 1118780856 /nfs/dbraw/zinc/78/08/56/1118780856.db2.gz XSFXZMOEOFYDGO-MRXNPFEDSA-N 1 2 287.407 3.786 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1N[C@@H]1CC[C@H]1C1CCC1 ZINC000510670707 1118785416 /nfs/dbraw/zinc/78/54/16/1118785416.db2.gz OATWVGGGAOCNJA-JKSUJKDBSA-N 1 2 271.408 3.672 20 0 CHADLO Cc1sc(C[NH2+]Cc2cc(O)cc(F)c2)nc1C(C)C ZINC000349598561 1118815370 /nfs/dbraw/zinc/81/53/70/1118815370.db2.gz MQWQOCNREXGERT-UHFFFAOYSA-N 1 2 294.395 3.709 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC[C@@H]2C2CCC2)cs1 ZINC000891871087 1118816302 /nfs/dbraw/zinc/81/63/02/1118816302.db2.gz XKUUZUWVSIOUCX-LLVKDONJSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC[C@@H]2C2CCC2)cs1 ZINC000891871087 1118816309 /nfs/dbraw/zinc/81/63/09/1118816309.db2.gz XKUUZUWVSIOUCX-LLVKDONJSA-N 1 2 290.354 3.926 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cn1nc(C2CC2)sc1=S ZINC000074720599 1118841974 /nfs/dbraw/zinc/84/19/74/1118841974.db2.gz TTWDXLIFIHHANW-SNVBAGLBSA-N 1 2 283.466 3.773 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cn1nc(C2CC2)sc1=S ZINC000074720599 1118841977 /nfs/dbraw/zinc/84/19/77/1118841977.db2.gz TTWDXLIFIHHANW-SNVBAGLBSA-N 1 2 283.466 3.773 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)o1 ZINC000348710399 1118875877 /nfs/dbraw/zinc/87/58/77/1118875877.db2.gz OULPMTZJEPUAOM-XPKDYRNWSA-N 1 2 285.391 3.853 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)o1 ZINC000348710399 1118875883 /nfs/dbraw/zinc/87/58/83/1118875883.db2.gz OULPMTZJEPUAOM-XPKDYRNWSA-N 1 2 285.391 3.853 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(-c2nc(C(C)(C)C)no2)c1 ZINC000684609425 1118896592 /nfs/dbraw/zinc/89/65/92/1118896592.db2.gz QRLLBPKLXYXLPQ-UHFFFAOYSA-N 1 2 282.347 3.528 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cc(F)c(F)c1F)c1ccns1 ZINC000926321361 1118919341 /nfs/dbraw/zinc/91/93/41/1118919341.db2.gz MVUVDLLIOURSNE-ZCFIWIBFSA-N 1 2 290.285 3.550 20 0 CHADLO Cc1cc(C[NH2+]Cc2nccc(C(F)F)n2)ccc1Cl ZINC000342255502 1118957861 /nfs/dbraw/zinc/95/78/61/1118957861.db2.gz YSRBJWQROMUUGR-UHFFFAOYSA-N 1 2 297.736 3.666 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2ccc(F)cc2F)C(C)C)s1 ZINC000342270063 1118961989 /nfs/dbraw/zinc/96/19/89/1118961989.db2.gz VBCXIHYLPFZXRH-AWEZNQCLSA-N 1 2 297.374 3.612 20 0 CHADLO CC1(C)CCCC[C@@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000342290203 1118968178 /nfs/dbraw/zinc/96/81/78/1118968178.db2.gz OMEAKXSSOFCTPB-INIZCTEOSA-N 1 2 297.402 3.571 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1ccccn1 ZINC000130373591 1118969225 /nfs/dbraw/zinc/96/92/25/1118969225.db2.gz JTGMTEWVSVGBSH-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1[nH]c(CN(C)Cc2csc3ccccc23)[nH+]c1C ZINC000628129959 1128815297 /nfs/dbraw/zinc/81/52/97/1128815297.db2.gz CZCQYGFVZLYREG-UHFFFAOYSA-N 1 2 285.416 3.873 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2csc3ccccc23)[nH]c1C ZINC000628129959 1128815302 /nfs/dbraw/zinc/81/53/02/1128815302.db2.gz CZCQYGFVZLYREG-UHFFFAOYSA-N 1 2 285.416 3.873 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2csc3ccccc23)[nH]c1C ZINC000628129959 1128815309 /nfs/dbraw/zinc/81/53/09/1128815309.db2.gz CZCQYGFVZLYREG-UHFFFAOYSA-N 1 2 285.416 3.873 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1ccc(Oc2cccnc2)cc1 ZINC000342324538 1118977979 /nfs/dbraw/zinc/97/79/79/1118977979.db2.gz PXMRVWUNHRHILF-CYBMUJFWSA-N 1 2 295.342 3.713 20 0 CHADLO CCOC(C)(C)C[N@@H+]1Cc2ccc(Br)cc2C1 ZINC000707925484 1118989519 /nfs/dbraw/zinc/98/95/19/1118989519.db2.gz QEELTIPJGKVTRO-UHFFFAOYSA-N 1 2 298.224 3.580 20 0 CHADLO CCOC(C)(C)C[N@H+]1Cc2ccc(Br)cc2C1 ZINC000707925484 1118989522 /nfs/dbraw/zinc/98/95/22/1118989522.db2.gz QEELTIPJGKVTRO-UHFFFAOYSA-N 1 2 298.224 3.580 20 0 CHADLO Cc1ccc(C)c(Nc2ccc(CO)c(C)c2Cl)[nH+]1 ZINC001216326842 1118992225 /nfs/dbraw/zinc/99/22/25/1118992225.db2.gz LUCUJLVHBAULNC-UHFFFAOYSA-N 1 2 276.767 3.896 20 0 CHADLO Cc1cc(OC[C@H]2CCO[C@@H](C)C2)c2ccccc2[nH+]1 ZINC000582042507 1118994076 /nfs/dbraw/zinc/99/40/76/1118994076.db2.gz VTIPZWMMCPFPDQ-KBPBESRZSA-N 1 2 271.360 3.737 20 0 CHADLO C[C@@H](O)C[C@H](C)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000342380904 1118996260 /nfs/dbraw/zinc/99/62/60/1118996260.db2.gz PAUKNZQEDXDQRI-WDEREUQCSA-N 1 2 278.783 3.707 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628123340 1128814412 /nfs/dbraw/zinc/81/44/12/1128814412.db2.gz MDHDPCRRNQNQCN-SNVBAGLBSA-N 1 2 274.389 3.733 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628123340 1128814414 /nfs/dbraw/zinc/81/44/14/1128814414.db2.gz MDHDPCRRNQNQCN-SNVBAGLBSA-N 1 2 274.389 3.733 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)o1 ZINC000628133323 1128814685 /nfs/dbraw/zinc/81/46/85/1128814685.db2.gz ZAPBDUGMKFOENN-JTQLQIEISA-N 1 2 264.756 3.829 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)o1 ZINC000628133323 1128814690 /nfs/dbraw/zinc/81/46/90/1128814690.db2.gz ZAPBDUGMKFOENN-JTQLQIEISA-N 1 2 264.756 3.829 20 0 CHADLO C[C@@H]1CSCCC[N@@H+]1Cc1nc(Cl)ccc1Cl ZINC000934303279 1119017372 /nfs/dbraw/zinc/01/73/72/1119017372.db2.gz JETQNLGNSOEUEV-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CSCCC[N@H+]1Cc1nc(Cl)ccc1Cl ZINC000934303279 1119017375 /nfs/dbraw/zinc/01/73/75/1119017375.db2.gz JETQNLGNSOEUEV-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO COc1ccsc1C[NH2+][C@H]1COc2cccc(Cl)c21 ZINC000342432023 1119023643 /nfs/dbraw/zinc/02/36/43/1119023643.db2.gz SLOLSIHFCWXJTL-JTQLQIEISA-N 1 2 295.791 3.633 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000294081027 1119030253 /nfs/dbraw/zinc/03/02/53/1119030253.db2.gz LMBVKAGAYGSZET-KCQAQPDRSA-N 1 2 285.391 3.557 20 0 CHADLO CC[C@@H]1c2ccsc2CCN1Cc1[nH]c(C)c(C)[nH+]1 ZINC000628144605 1128818174 /nfs/dbraw/zinc/81/81/74/1128818174.db2.gz LGUMSQTWQVKHDH-CYBMUJFWSA-N 1 2 275.421 3.597 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@H+]1Cc1nc(C)c(C)[nH]1 ZINC000628144605 1128818177 /nfs/dbraw/zinc/81/81/77/1128818177.db2.gz LGUMSQTWQVKHDH-CYBMUJFWSA-N 1 2 275.421 3.597 20 0 CHADLO CC[C@@H]1c2ccsc2CC[N@@H+]1Cc1nc(C)c(C)[nH]1 ZINC000628144605 1128818180 /nfs/dbraw/zinc/81/81/80/1128818180.db2.gz LGUMSQTWQVKHDH-CYBMUJFWSA-N 1 2 275.421 3.597 20 0 CHADLO Cc1c[nH]c(C[NH2+][C@@H](c2ccc(F)cc2F)C(C)(C)C)n1 ZINC000657393337 1119051768 /nfs/dbraw/zinc/05/17/68/1119051768.db2.gz AQRJGWKEPVSUJA-HNNXBMFYSA-N 1 2 293.361 3.873 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(C3CC3)o2)C[C@H](c2ccccc2)O1 ZINC000628155183 1128819591 /nfs/dbraw/zinc/81/95/91/1128819591.db2.gz SUICMOGYWJMWMC-SUMWQHHRSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(C3CC3)o2)C[C@H](c2ccccc2)O1 ZINC000628155183 1128819595 /nfs/dbraw/zinc/81/95/95/1128819595.db2.gz SUICMOGYWJMWMC-SUMWQHHRSA-N 1 2 298.386 3.514 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ccccc2)c2ccccn2)o1 ZINC000048462719 1119080554 /nfs/dbraw/zinc/08/05/54/1119080554.db2.gz WXGRMFSKGCUANE-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1nc2ccccc2[nH]1)C1CC1 ZINC000119902720 1119115039 /nfs/dbraw/zinc/11/50/39/1119115039.db2.gz VPGDZTSQJKERAU-LBPRGKRZSA-N 1 2 281.359 3.882 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1nc2ccccc2[nH]1)C1CC1 ZINC000119902720 1119115041 /nfs/dbraw/zinc/11/50/41/1119115041.db2.gz VPGDZTSQJKERAU-LBPRGKRZSA-N 1 2 281.359 3.882 20 0 CHADLO CCc1cccc2cc(C[NH2+][C@@H]3C[C@H](C)n4ccnc43)oc21 ZINC000657483146 1119124345 /nfs/dbraw/zinc/12/43/45/1119124345.db2.gz HGNBUZMLIVIUOX-BLLLJJGKSA-N 1 2 295.386 3.987 20 0 CHADLO C[N@H+](Cc1nc(C2CC2)cs1)Cc1ccc(F)cc1 ZINC000342688258 1119126629 /nfs/dbraw/zinc/12/66/29/1119126629.db2.gz BYEBLDQJYMTDCJ-UHFFFAOYSA-N 1 2 276.380 3.792 20 0 CHADLO C[N@@H+](Cc1nc(C2CC2)cs1)Cc1ccc(F)cc1 ZINC000342688258 1119126633 /nfs/dbraw/zinc/12/66/33/1119126633.db2.gz BYEBLDQJYMTDCJ-UHFFFAOYSA-N 1 2 276.380 3.792 20 0 CHADLO Cc1[nH]c(CNc2ccc(C)c(C)c2Cl)[nH+]c1C ZINC000657497870 1119133181 /nfs/dbraw/zinc/13/31/81/1119133181.db2.gz FIDJDSVOMFNALF-UHFFFAOYSA-N 1 2 263.772 3.909 20 0 CHADLO C[N@@H+](Cc1csc(C(F)(F)F)c1)Cc1ccc[nH]1 ZINC000628187874 1128824196 /nfs/dbraw/zinc/82/41/96/1128824196.db2.gz DJFOLUVYSRHZTJ-UHFFFAOYSA-N 1 2 274.311 3.727 20 0 CHADLO C[N@H+](Cc1csc(C(F)(F)F)c1)Cc1ccc[nH]1 ZINC000628187874 1128824200 /nfs/dbraw/zinc/82/42/00/1128824200.db2.gz DJFOLUVYSRHZTJ-UHFFFAOYSA-N 1 2 274.311 3.727 20 0 CHADLO FC(F)Oc1cccc(CNc2cc3cc[nH]c3c[nH+]2)c1 ZINC000670850193 1128824593 /nfs/dbraw/zinc/82/45/93/1128824593.db2.gz ILDUFAMULKNEME-UHFFFAOYSA-N 1 2 289.285 3.776 20 0 CHADLO Cc1ccsc1C[NH2+][C@H](C)c1nc(C(C)(C)C)no1 ZINC000237373083 1119156911 /nfs/dbraw/zinc/15/69/11/1119156911.db2.gz KDGALVIHAVPWIY-SNVBAGLBSA-N 1 2 279.409 3.588 20 0 CHADLO Cc1cnc(CNc2cc[nH+]c3c(Cl)cccc23)o1 ZINC000342785504 1119165808 /nfs/dbraw/zinc/16/58/08/1119165808.db2.gz JXTRQOIBPNRWOM-UHFFFAOYSA-N 1 2 273.723 3.797 20 0 CHADLO Cc1c[nH+]c(CN(C)[C@@H](C)c2cccc(Cl)c2)n1C ZINC000342796410 1119171738 /nfs/dbraw/zinc/17/17/38/1119171738.db2.gz YLUPZLTYEZHOPV-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)n1C ZINC000342796410 1119171740 /nfs/dbraw/zinc/17/17/40/1119171740.db2.gz YLUPZLTYEZHOPV-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)n1C ZINC000342796410 1119171743 /nfs/dbraw/zinc/17/17/43/1119171743.db2.gz YLUPZLTYEZHOPV-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1c[nH+]c(CN(Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)n1C ZINC000342801213 1119176731 /nfs/dbraw/zinc/17/67/31/1119176731.db2.gz WNOCKWJTMLIJTB-BLLLJJGKSA-N 1 2 299.418 3.610 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@H](C)c2ccc(F)cc2)o1 ZINC000075586886 1119177261 /nfs/dbraw/zinc/17/72/61/1119177261.db2.gz DIGJLZJSAHEGCO-LLVKDONJSA-N 1 2 262.328 3.569 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@H](C)c2ccc(F)cc2)o1 ZINC000075586886 1119177263 /nfs/dbraw/zinc/17/72/63/1119177263.db2.gz DIGJLZJSAHEGCO-LLVKDONJSA-N 1 2 262.328 3.569 20 0 CHADLO CCCCC[C@@H](NC(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC000076243032 1119185559 /nfs/dbraw/zinc/18/55/59/1119185559.db2.gz TYRULKGPKKNANN-QGZVFWFLSA-N 1 2 299.418 3.711 20 0 CHADLO CCCCN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)[C@@H](C)CC ZINC000076713564 1119189528 /nfs/dbraw/zinc/18/95/28/1119189528.db2.gz YZFILHQMAGTANH-HNNXBMFYSA-N 1 2 299.418 3.913 20 0 CHADLO Cc1ccccc1[C@H]1C[C@H](C)N(c2cccc[nH+]2)C1 ZINC000077983931 1119196777 /nfs/dbraw/zinc/19/67/77/1119196777.db2.gz YCQRJXKVSLEMGH-GJZGRUSLSA-N 1 2 252.361 3.772 20 0 CHADLO CC[NH+](CC)[C@H](CN[C@@H](C)c1ccco1)c1ccco1 ZINC000119101139 1119204560 /nfs/dbraw/zinc/20/45/60/1119204560.db2.gz FYXPIYSJBZMJQH-UONOGXRCSA-N 1 2 276.380 3.606 20 0 CHADLO Cc1c[nH+]c(CN2C[C@H](C(C)(C)C)c3ccccc32)n1C ZINC000342850525 1119208869 /nfs/dbraw/zinc/20/88/69/1119208869.db2.gz YNILGEZXPQYZCG-HNNXBMFYSA-N 1 2 283.419 3.878 20 0 CHADLO COc1ncc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1C ZINC001213085662 1119222602 /nfs/dbraw/zinc/22/26/02/1119222602.db2.gz PYXVTYTYROKKQU-UHFFFAOYSA-N 1 2 280.331 3.532 20 0 CHADLO CC(C)(C)c1nnc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)s1 ZINC001213085715 1119225531 /nfs/dbraw/zinc/22/55/31/1119225531.db2.gz TVFLCSXWZWPZTE-UHFFFAOYSA-N 1 2 299.403 3.969 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H](F)C2)cc(C(F)(F)F)c1 ZINC001143447969 1119228066 /nfs/dbraw/zinc/22/80/66/1119228066.db2.gz JGFCCUXRJJSFBN-LBPRGKRZSA-N 1 2 261.262 3.558 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H](F)C2)cc(C(F)(F)F)c1 ZINC001143447969 1119228069 /nfs/dbraw/zinc/22/80/69/1119228069.db2.gz JGFCCUXRJJSFBN-LBPRGKRZSA-N 1 2 261.262 3.558 20 0 CHADLO Cc1ccnc(F)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086017 1119239224 /nfs/dbraw/zinc/23/92/24/1119239224.db2.gz CZLIKNYOBWKDGX-UHFFFAOYSA-N 1 2 268.295 3.663 20 0 CHADLO CCc1nc(C[N@H+](C)CCOc2cccc(C)c2)cs1 ZINC000042631544 1119267832 /nfs/dbraw/zinc/26/78/32/1119267832.db2.gz XOXLWTPIVYNFKR-UHFFFAOYSA-N 1 2 290.432 3.525 20 0 CHADLO CCc1nc(C[N@@H+](C)CCOc2cccc(C)c2)cs1 ZINC000042631544 1119267833 /nfs/dbraw/zinc/26/78/33/1119267833.db2.gz XOXLWTPIVYNFKR-UHFFFAOYSA-N 1 2 290.432 3.525 20 0 CHADLO CC(C)(C)n1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001213086544 1119271142 /nfs/dbraw/zinc/27/11/42/1119271142.db2.gz RUXSZDJJANETEQ-UHFFFAOYSA-N 1 2 281.363 3.772 20 0 CHADLO Cn1c[nH+]cc1CNc1ccccc1CCC(F)(F)F ZINC000153745093 1119283436 /nfs/dbraw/zinc/28/34/36/1119283436.db2.gz GRJGOBCZXBGMJJ-UHFFFAOYSA-N 1 2 283.297 3.527 20 0 CHADLO COc1ncc(Nc2cccc3cc[nH+]cc32)cc1F ZINC001203409178 1119295067 /nfs/dbraw/zinc/29/50/67/1119295067.db2.gz WXVFGFHBMCOEOV-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO COc1cccc2c1CCC[C@@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000672622882 1119301308 /nfs/dbraw/zinc/30/13/08/1119301308.db2.gz WXMZCGFWNPYSKO-DZGCQCFKSA-N 1 2 281.346 3.850 20 0 CHADLO Cc1cccn2cc(C[NH2+]Cc3ccc([C@@H]4C[C@@H]4C)o3)nc12 ZINC000124005344 1119307168 /nfs/dbraw/zinc/30/71/68/1119307168.db2.gz MHFZDFKZBHWSPZ-XJKSGUPXSA-N 1 2 295.386 3.649 20 0 CHADLO C[N@H+](Cc1ccno1)Cc1cc(Cl)cc(Cl)c1 ZINC000080039749 1119334028 /nfs/dbraw/zinc/33/40/28/1119334028.db2.gz DVQZIAMMXXONPW-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1ccno1)Cc1cc(Cl)cc(Cl)c1 ZINC000080039749 1119334032 /nfs/dbraw/zinc/33/40/32/1119334032.db2.gz DVQZIAMMXXONPW-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO Cc1[nH]ncc1C[NH+]1CCC(C2CCCCC2)CC1 ZINC000724775048 1119346522 /nfs/dbraw/zinc/34/65/22/1119346522.db2.gz TVNDFTUSWYGEDO-UHFFFAOYSA-N 1 2 261.413 3.510 20 0 CHADLO Cc1cc(Nc2cccc(C3CCC3)[nH+]2)ccc1CO ZINC001211578556 1119350157 /nfs/dbraw/zinc/35/01/57/1119350157.db2.gz IZQFDOFXGYCNOO-UHFFFAOYSA-N 1 2 268.360 3.893 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2C2CC2)ccc1CO ZINC001211578493 1119350202 /nfs/dbraw/zinc/35/02/02/1119350202.db2.gz FXNUQUAXKJRQPT-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@H](C)CC2)cc(C(F)(F)F)c1 ZINC001143449099 1119355613 /nfs/dbraw/zinc/35/56/13/1119355613.db2.gz WEOBBZMZXYQOPN-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@H](C)CC2)cc(C(F)(F)F)c1 ZINC001143449099 1119355616 /nfs/dbraw/zinc/35/56/16/1119355616.db2.gz WEOBBZMZXYQOPN-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO CC(C)(C)[C@@H]1CN(CCCn2cc[nH+]c2)c2ccccc21 ZINC000444786709 1119366272 /nfs/dbraw/zinc/36/62/72/1119366272.db2.gz OUXJHBSVUROKPC-MRXNPFEDSA-N 1 2 283.419 3.923 20 0 CHADLO O=C1CCc2c1cccc2Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088736 1119367926 /nfs/dbraw/zinc/36/79/26/1119367926.db2.gz ASZFPXDMNDAXFY-UHFFFAOYSA-N 1 2 289.338 3.949 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1nc2ccccc2n1C(F)F ZINC000343340064 1119390512 /nfs/dbraw/zinc/39/05/12/1119390512.db2.gz FEGXBGVGGCVVKE-JTQLQIEISA-N 1 2 288.301 4.000 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)cc(C(F)(F)F)c1 ZINC001143451090 1119404165 /nfs/dbraw/zinc/40/41/65/1119404165.db2.gz NHZVXADAAMKDQX-UBHSHLNASA-N 1 2 287.300 3.804 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)cc(C(F)(F)F)c1 ZINC001143451090 1119404166 /nfs/dbraw/zinc/40/41/66/1119404166.db2.gz NHZVXADAAMKDQX-UBHSHLNASA-N 1 2 287.300 3.804 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)cc(C(F)(F)F)c1 ZINC001143451089 1119404333 /nfs/dbraw/zinc/40/43/33/1119404333.db2.gz NHZVXADAAMKDQX-FPMFFAJLSA-N 1 2 287.300 3.804 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)cc(C(F)(F)F)c1 ZINC001143451089 1119404337 /nfs/dbraw/zinc/40/43/37/1119404337.db2.gz NHZVXADAAMKDQX-FPMFFAJLSA-N 1 2 287.300 3.804 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3ccccc3Cl)CC2)nn1C ZINC000647315487 1119439681 /nfs/dbraw/zinc/43/96/81/1119439681.db2.gz HQAPDHWWPJELDP-GFCCVEGCSA-N 1 2 289.810 3.722 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)n1 ZINC000628322974 1128847441 /nfs/dbraw/zinc/84/74/41/1128847441.db2.gz VAGDPUDHKJHWQX-QGZVFWFLSA-N 1 2 279.387 3.611 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)n1 ZINC000628322974 1128847444 /nfs/dbraw/zinc/84/74/44/1128847444.db2.gz VAGDPUDHKJHWQX-QGZVFWFLSA-N 1 2 279.387 3.611 20 0 CHADLO CCCC[C@H](CC)CC(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000343714756 1119493030 /nfs/dbraw/zinc/49/30/30/1119493030.db2.gz PXCTUCYUOXLUPB-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000343722642 1119495200 /nfs/dbraw/zinc/49/52/00/1119495200.db2.gz XFXWWECEPMXULO-HUUCEWRRSA-N 1 2 291.439 3.722 20 0 CHADLO COc1ccc(C)cc1[C@H](C)Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000080764933 1119504511 /nfs/dbraw/zinc/50/45/11/1119504511.db2.gz GAIQZSLYBQQBKH-NSHDSACASA-N 1 2 296.374 3.756 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(C(F)F)nc2)cs1 ZINC000657842341 1119505887 /nfs/dbraw/zinc/50/58/87/1119505887.db2.gz KHPBWKJNRCVJQK-MRVPVSSYSA-N 1 2 283.347 3.635 20 0 CHADLO Cc1csc([C@H](Nc2[nH+]c(C)nc3[nH]ccc32)C2CC2)n1 ZINC000081019168 1119509793 /nfs/dbraw/zinc/50/97/93/1119509793.db2.gz QHFUMIRJBCBBIM-GFCCVEGCSA-N 1 2 299.403 3.594 20 0 CHADLO COc1cccc(NCc2c[nH+]c(C)n2-c2ccccc2)c1 ZINC000081292501 1119513755 /nfs/dbraw/zinc/51/37/55/1119513755.db2.gz IUEMXYSOFCZJEQ-UHFFFAOYSA-N 1 2 293.370 3.801 20 0 CHADLO Clc1ccc([C@H]2OCC[C@H]2Nc2cccc[nH+]2)cc1 ZINC000089269223 1119538571 /nfs/dbraw/zinc/53/85/71/1119538571.db2.gz GUHIONLGSNVGGU-UKRRQHHQSA-N 1 2 274.751 3.677 20 0 CHADLO COc1ccccc1[C@@H](Nc1cccc[nH+]1)c1ccccn1 ZINC000089642638 1119540567 /nfs/dbraw/zinc/54/05/67/1119540567.db2.gz ACRVTHAJFDYOMW-GOSISDBHSA-N 1 2 291.354 3.687 20 0 CHADLO Fc1cc([C@@H]2OCC[C@H]2Nc2cccc[nH+]2)ccc1Cl ZINC000091025208 1119551883 /nfs/dbraw/zinc/55/18/83/1119551883.db2.gz SSUFQTMYUKCHIE-HIFRSBDPSA-N 1 2 292.741 3.816 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2cc(C)ccc2C)no1 ZINC000375758754 1119557040 /nfs/dbraw/zinc/55/70/40/1119557040.db2.gz AVIWLHGJYQPVSI-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2cc(C)ccc2C)no1 ZINC000375758754 1119557042 /nfs/dbraw/zinc/55/70/42/1119557042.db2.gz AVIWLHGJYQPVSI-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO CC(C)(C)c1ncc(C[N@@H+]2CCOCC23CCCC3)s1 ZINC000093840702 1119572746 /nfs/dbraw/zinc/57/27/46/1119572746.db2.gz NQURCAVPARRRSA-UHFFFAOYSA-N 1 2 294.464 3.586 20 0 CHADLO CC(C)(C)c1ncc(C[N@H+]2CCOCC23CCCC3)s1 ZINC000093840702 1119572750 /nfs/dbraw/zinc/57/27/50/1119572750.db2.gz NQURCAVPARRRSA-UHFFFAOYSA-N 1 2 294.464 3.586 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000139465251 1119577987 /nfs/dbraw/zinc/57/79/87/1119577987.db2.gz WDWZWHMTYWBSON-TUAOUCFPSA-N 1 2 298.224 3.619 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000139465251 1119577990 /nfs/dbraw/zinc/57/79/90/1119577990.db2.gz WDWZWHMTYWBSON-TUAOUCFPSA-N 1 2 298.224 3.619 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2ccccc2C(F)(F)F)C1 ZINC000215292077 1119588803 /nfs/dbraw/zinc/58/88/03/1119588803.db2.gz AJWHIBZZRVQSTP-NSHDSACASA-N 1 2 261.262 3.639 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2ccccc2C(F)(F)F)C1 ZINC000215292077 1119588806 /nfs/dbraw/zinc/58/88/06/1119588806.db2.gz AJWHIBZZRVQSTP-NSHDSACASA-N 1 2 261.262 3.639 20 0 CHADLO Nc1cc(Nc2ccc[nH+]c2N2CCCCC2)ccc1F ZINC001212330968 1128856425 /nfs/dbraw/zinc/85/64/25/1128856425.db2.gz ZDMZBWHCKSUIIV-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO FC(F)(F)c1ccc(COc2cc[nH+]cc2)cc1 ZINC000202025616 1119621548 /nfs/dbraw/zinc/62/15/48/1119621548.db2.gz MVZTXJSAAHSVLK-UHFFFAOYSA-N 1 2 253.223 3.679 20 0 CHADLO Cc1cccc(C[NH2+][C@H](C)c2c(F)cccc2F)n1 ZINC000051573758 1119623062 /nfs/dbraw/zinc/62/30/62/1119623062.db2.gz VOAVEXISBIOWBH-LLVKDONJSA-N 1 2 262.303 3.519 20 0 CHADLO O=C(Nc1ccc(C2CC2)cc1)Nc1ccn2cc[nH+]c2c1 ZINC000685651366 1119645027 /nfs/dbraw/zinc/64/50/27/1119645027.db2.gz UOSBLDMEKBBAKA-UHFFFAOYSA-N 1 2 292.342 3.856 20 0 CHADLO Cc1oncc1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000185192344 1119653170 /nfs/dbraw/zinc/65/31/70/1119653170.db2.gz QLJTVZZPETYNOI-SNVBAGLBSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1oncc1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000185192344 1119653171 /nfs/dbraw/zinc/65/31/71/1119653171.db2.gz QLJTVZZPETYNOI-SNVBAGLBSA-N 1 2 264.756 3.829 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@@H]2CCc3c[nH+]cn3C2)c1 ZINC000628431552 1128860883 /nfs/dbraw/zinc/86/08/83/1128860883.db2.gz YVJCRSBHGBTKNN-DZGCQCFKSA-N 1 2 297.402 3.598 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@@H]2CCn3c[nH+]cc3C2)c1 ZINC000628432054 1128860891 /nfs/dbraw/zinc/86/08/91/1128860891.db2.gz ONUDBAKHIJXDEB-UKRRQHHQSA-N 1 2 297.402 3.598 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@@H]2CCc3[nH+]ccn3C2)c1 ZINC000628430829 1128860993 /nfs/dbraw/zinc/86/09/93/1128860993.db2.gz IGICQNMJRZVAOY-UKRRQHHQSA-N 1 2 297.402 3.598 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191227013 1119670340 /nfs/dbraw/zinc/67/03/40/1119670340.db2.gz ZRLZVYAQKBCITL-VIFPVBQESA-N 1 2 283.730 3.971 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191227013 1119670344 /nfs/dbraw/zinc/67/03/44/1119670344.db2.gz ZRLZVYAQKBCITL-VIFPVBQESA-N 1 2 283.730 3.971 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@H+](CC1(F)CC1)C2 ZINC000628452345 1128862645 /nfs/dbraw/zinc/86/26/45/1128862645.db2.gz BFYJHWBQKGHJBJ-UHFFFAOYSA-N 1 2 273.273 3.566 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@@H+](CC1(F)CC1)C2 ZINC000628452345 1128862653 /nfs/dbraw/zinc/86/26/53/1128862653.db2.gz BFYJHWBQKGHJBJ-UHFFFAOYSA-N 1 2 273.273 3.566 20 0 CHADLO FCC[C@H]1C[N@H+](Cc2ccc(-c3ccccc3)o2)CCO1 ZINC000628457704 1128863066 /nfs/dbraw/zinc/86/30/66/1128863066.db2.gz JWCLXRNYXKVEEL-HNNXBMFYSA-N 1 2 289.350 3.507 20 0 CHADLO FCC[C@H]1C[N@@H+](Cc2ccc(-c3ccccc3)o2)CCO1 ZINC000628457704 1128863072 /nfs/dbraw/zinc/86/30/72/1128863072.db2.gz JWCLXRNYXKVEEL-HNNXBMFYSA-N 1 2 289.350 3.507 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+][C@@H]1COC2(CCC2)C1 ZINC000658183741 1119699563 /nfs/dbraw/zinc/69/95/63/1119699563.db2.gz PUQUDMLNGWDCIF-LSDHHAIUSA-N 1 2 295.373 3.554 20 0 CHADLO O=C(C=C1CCCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000065775480 1119700343 /nfs/dbraw/zinc/70/03/43/1119700343.db2.gz FJEAXUJMNVSRMC-UHFFFAOYSA-N 1 2 281.359 3.701 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191461408 1119714461 /nfs/dbraw/zinc/71/44/61/1119714461.db2.gz KWSRGQYZEHXQQY-SNVBAGLBSA-N 1 2 294.757 3.773 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191461408 1119714465 /nfs/dbraw/zinc/71/44/65/1119714465.db2.gz KWSRGQYZEHXQQY-SNVBAGLBSA-N 1 2 294.757 3.773 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCCC3(CC3)CC2)s1 ZINC000628480205 1128865414 /nfs/dbraw/zinc/86/54/14/1128865414.db2.gz DQMUHROOSOELIB-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCCC3(CC3)CC2)s1 ZINC000628480205 1128865421 /nfs/dbraw/zinc/86/54/21/1128865421.db2.gz DQMUHROOSOELIB-UHFFFAOYSA-N 1 2 290.354 3.928 20 0 CHADLO Cc1cc(NC(=O)c2ccc(-c3ccco3)nc2C)cc[nH+]1 ZINC000101947977 1119739950 /nfs/dbraw/zinc/73/99/50/1119739950.db2.gz CLGGKFGNKPLJAB-UHFFFAOYSA-N 1 2 293.326 3.606 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCC[C@H]1c1ccccc1Cl ZINC000102182158 1119745961 /nfs/dbraw/zinc/74/59/61/1119745961.db2.gz YXBPBZRXJGMTPR-HNNXBMFYSA-N 1 2 289.810 3.894 20 0 CHADLO CCn1ccnc1C[N@H+]1CCC[C@H]1c1ccccc1Cl ZINC000102182158 1119745964 /nfs/dbraw/zinc/74/59/64/1119745964.db2.gz YXBPBZRXJGMTPR-HNNXBMFYSA-N 1 2 289.810 3.894 20 0 CHADLO COc1cc([C@@H](C)[NH2+]C2(c3ccccc3F)CCC2)on1 ZINC000926633108 1119753438 /nfs/dbraw/zinc/75/34/38/1119753438.db2.gz JQXULGVPJZOVBA-LLVKDONJSA-N 1 2 290.338 3.552 20 0 CHADLO c1sc(C2CC2)nc1C[NH2+]Cc1ccc2ccccc2n1 ZINC000343814253 1119763313 /nfs/dbraw/zinc/76/33/13/1119763313.db2.gz RCSWSTITHWODAL-UHFFFAOYSA-N 1 2 295.411 3.859 20 0 CHADLO CC(C)([NH2+]Cc1cccc(Cl)c1F)c1nccs1 ZINC000150924451 1119764284 /nfs/dbraw/zinc/76/42/84/1119764284.db2.gz SMPRNGSZRQJSCG-UHFFFAOYSA-N 1 2 284.787 3.961 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CCO[C@@H](C2CCC2)C1 ZINC000584466774 1119764613 /nfs/dbraw/zinc/76/46/13/1119764613.db2.gz LCPYSYWSLZQZCM-QGZVFWFLSA-N 1 2 282.387 3.549 20 0 CHADLO C[C@@H]([NH2+][C@H]1COCc2cccc(Cl)c21)c1ccccn1 ZINC000924544732 1119787984 /nfs/dbraw/zinc/78/79/84/1119787984.db2.gz WJIWQWKCVKELJI-ABAIWWIYSA-N 1 2 288.778 3.657 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+]Cc1ccc(-c2cccs2)cc1 ZINC000926682757 1119803636 /nfs/dbraw/zinc/80/36/36/1119803636.db2.gz FODGIOHASZEXBD-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO CCOc1ccc(O)c(/C=[NH+]/C[C@H]2CC=CCC2)c1 ZINC000065999109 1119805487 /nfs/dbraw/zinc/80/54/87/1119805487.db2.gz LXDWHCSXIZUZJR-VLURKWGBSA-N 1 2 259.349 3.566 20 0 CHADLO Cn1cccc1C[N@@H+]1CC[C@](F)(c2cccc(Cl)c2)C1 ZINC000570169493 1119806181 /nfs/dbraw/zinc/80/61/81/1119806181.db2.gz WVHGYDFMNJUEPW-MRXNPFEDSA-N 1 2 292.785 3.749 20 0 CHADLO Cn1cccc1C[N@H+]1CC[C@](F)(c2cccc(Cl)c2)C1 ZINC000570169493 1119806182 /nfs/dbraw/zinc/80/61/82/1119806182.db2.gz WVHGYDFMNJUEPW-MRXNPFEDSA-N 1 2 292.785 3.749 20 0 CHADLO C[C@H](Cc1ccccn1)[NH2+]CC(F)(F)c1cccc(F)c1 ZINC000926686723 1119809858 /nfs/dbraw/zinc/80/98/58/1119809858.db2.gz ZLCIMBZNMQHPDR-GFCCVEGCSA-N 1 2 294.320 3.533 20 0 CHADLO C[C@@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C[C@H](C)O1 ZINC000664467294 1119827934 /nfs/dbraw/zinc/82/79/34/1119827934.db2.gz LQORJNFCRVLBCA-NEPJUHHUSA-N 1 2 290.794 3.892 20 0 CHADLO CC(C)(C)CCCCC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000376083250 1119828474 /nfs/dbraw/zinc/82/84/74/1119828474.db2.gz UFRDLWHBHBSTGY-UHFFFAOYSA-N 1 2 291.439 3.653 20 0 CHADLO Clc1cnc(C[NH+]2CCC(C3CCC3)CC2)s1 ZINC000661374902 1119841866 /nfs/dbraw/zinc/84/18/66/1119841866.db2.gz QEGUAQQPJRYJRD-UHFFFAOYSA-N 1 2 270.829 3.809 20 0 CHADLO CC[C@@H](C)c1ccc(O[C@H]2CC[NH2+]CC2(F)F)cc1 ZINC001218008767 1119852912 /nfs/dbraw/zinc/85/29/12/1119852912.db2.gz WGDQGNFLPCPMHL-RISCZKNCSA-N 1 2 269.335 3.576 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c(c2)CCCC3=O)[nH+]1 ZINC001213100236 1119862776 /nfs/dbraw/zinc/86/27/76/1119862776.db2.gz LBVQXRLSVQYGQO-UHFFFAOYSA-N 1 2 291.354 3.905 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOCC2)cc1C)[C@H]1C[C@@H]1C ZINC000414109725 1119902972 /nfs/dbraw/zinc/90/29/72/1119902972.db2.gz VEVBGFPOMINJEX-JQFCIGGWSA-N 1 2 288.435 3.678 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C2CC2)ccc1F)c1cc2n(n1)CCC2 ZINC000414118935 1119906074 /nfs/dbraw/zinc/90/60/74/1119906074.db2.gz CCEOAVURLOERTL-GFCCVEGCSA-N 1 2 299.393 3.697 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nnsc2Cl)[C@H]2CCCC[C@@H]12 ZINC000613415435 1119919697 /nfs/dbraw/zinc/91/96/97/1119919697.db2.gz FXTNGVRIJFGKFS-SCVCMEIPSA-N 1 2 285.844 3.592 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nnsc2Cl)[C@H]2CCCC[C@@H]12 ZINC000613415435 1119919699 /nfs/dbraw/zinc/91/96/99/1119919699.db2.gz FXTNGVRIJFGKFS-SCVCMEIPSA-N 1 2 285.844 3.592 20 0 CHADLO CCn1ccc(C[NH2+][C@@H](C)c2cc3ccccc3o2)n1 ZINC000414214370 1119942583 /nfs/dbraw/zinc/94/25/83/1119942583.db2.gz VZJQLHJYVHDILT-LBPRGKRZSA-N 1 2 269.348 3.500 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1cccc(Cl)n1 ZINC000780385900 1119944076 /nfs/dbraw/zinc/94/40/76/1119944076.db2.gz VRYJVWBULFRYMF-UWVGGRQHSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1cccc(Cl)n1 ZINC000780385900 1119944079 /nfs/dbraw/zinc/94/40/79/1119944079.db2.gz VRYJVWBULFRYMF-UWVGGRQHSA-N 1 2 292.732 3.898 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ncc(C(C)(C)C)o2)c1 ZINC000613507150 1119947848 /nfs/dbraw/zinc/94/78/48/1119947848.db2.gz XLPJDFWURQGUCV-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ncc(C(C)(C)C)o2)c1 ZINC000613507150 1119947852 /nfs/dbraw/zinc/94/78/52/1119947852.db2.gz XLPJDFWURQGUCV-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC[C@@]1(C)CCCS1 ZINC000327590407 1119973018 /nfs/dbraw/zinc/97/30/18/1119973018.db2.gz GVUZUKFGPQJHCL-OAHLLOKOSA-N 1 2 264.438 3.759 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@@H+]2Cc2cc(C)ccc2C)no1 ZINC000613530327 1119974986 /nfs/dbraw/zinc/97/49/86/1119974986.db2.gz ASPMHGPTPALRJM-INIZCTEOSA-N 1 2 299.418 3.976 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@H+]2Cc2cc(C)ccc2C)no1 ZINC000613530327 1119974990 /nfs/dbraw/zinc/97/49/90/1119974990.db2.gz ASPMHGPTPALRJM-INIZCTEOSA-N 1 2 299.418 3.976 20 0 CHADLO Cc1ncc(C(F)(F)F)cc1Nc1cccc2[nH+]ccn21 ZINC001213825258 1119980917 /nfs/dbraw/zinc/98/09/17/1119980917.db2.gz WNOOAFORLDUTBL-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H](C)C[C@H]1CCOC1 ZINC000685910638 1119982715 /nfs/dbraw/zinc/98/27/15/1119982715.db2.gz KGFQSXDBORTOLF-ZIAGYGMSSA-N 1 2 270.376 3.770 20 0 CHADLO C[C@@H](Cc1ccccc1Br)Nc1cccc[nH+]1 ZINC000685910621 1119982970 /nfs/dbraw/zinc/98/29/70/1119982970.db2.gz JXGNQXTYSVOUDX-NSHDSACASA-N 1 2 291.192 3.887 20 0 CHADLO Clc1cccc2c(N3CCC4(CCO4)CC3)cc[nH+]c12 ZINC000376431353 1119986523 /nfs/dbraw/zinc/98/65/23/1119986523.db2.gz ZZWBFBZRAJQEKQ-UHFFFAOYSA-N 1 2 288.778 3.648 20 0 CHADLO Fc1ccc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)c(F)c1 ZINC000187252630 1120015011 /nfs/dbraw/zinc/01/50/11/1120015011.db2.gz YJXQGSQKCFQZMR-KRWDZBQOSA-N 1 2 289.325 3.538 20 0 CHADLO Fc1ccc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)c(F)c1 ZINC000187252630 1120015013 /nfs/dbraw/zinc/01/50/13/1120015013.db2.gz YJXQGSQKCFQZMR-KRWDZBQOSA-N 1 2 289.325 3.538 20 0 CHADLO CCc1ccc([N@H+](CC)C[C@H]2C[C@]23CCOC3)cc1 ZINC001167705557 1120016079 /nfs/dbraw/zinc/01/60/79/1120016079.db2.gz MRRKCYWPMVLYGU-WBVHZDCISA-N 1 2 259.393 3.502 20 0 CHADLO CCc1ccc([N@@H+](CC)C[C@H]2C[C@]23CCOC3)cc1 ZINC001167705557 1120016083 /nfs/dbraw/zinc/01/60/83/1120016083.db2.gz MRRKCYWPMVLYGU-WBVHZDCISA-N 1 2 259.393 3.502 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+]Cc3ccon3)oc2c1 ZINC000756033047 1120019744 /nfs/dbraw/zinc/01/97/44/1120019744.db2.gz FWBPUWDJZLDSMK-NSHDSACASA-N 1 2 256.305 3.580 20 0 CHADLO COC[C@H](C)CNc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000187562673 1120026248 /nfs/dbraw/zinc/02/62/48/1120026248.db2.gz OPWLIKTWZURZJN-CQSZACIVSA-N 1 2 299.418 3.581 20 0 CHADLO COCC1=CC[N@H+](Cc2cc(Cl)cc(Cl)c2)CC1 ZINC000187612051 1120027147 /nfs/dbraw/zinc/02/71/47/1120027147.db2.gz HYRDPJBJQHXVOW-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO COCC1=CC[N@@H+](Cc2cc(Cl)cc(Cl)c2)CC1 ZINC000187612051 1120027150 /nfs/dbraw/zinc/02/71/50/1120027150.db2.gz HYRDPJBJQHXVOW-UHFFFAOYSA-N 1 2 286.202 3.772 20 0 CHADLO CCCCC[N@H+](Cc1noc(CCCC)n1)C(C)C ZINC000053607998 1120041483 /nfs/dbraw/zinc/04/14/83/1120041483.db2.gz JJWPPZLECPEJQG-UHFFFAOYSA-N 1 2 267.417 3.813 20 0 CHADLO CCCCC[N@@H+](Cc1noc(CCCC)n1)C(C)C ZINC000053607998 1120041488 /nfs/dbraw/zinc/04/14/88/1120041488.db2.gz JJWPPZLECPEJQG-UHFFFAOYSA-N 1 2 267.417 3.813 20 0 CHADLO Fc1cc(Cl)ccc1N1CC[C@@H](Nc2cccc[nH+]2)C1 ZINC000624682737 1120049041 /nfs/dbraw/zinc/04/90/41/1120049041.db2.gz SAKJGKWPJMLXSK-GFCCVEGCSA-N 1 2 291.757 3.565 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@H](C)C3CC3)cc2)[nH+]c1C ZINC000921659764 1120057485 /nfs/dbraw/zinc/05/74/85/1120057485.db2.gz FDSATXOTAOFZHM-SNVBAGLBSA-N 1 2 283.375 3.678 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@H](F)C(C)C)cc2)[nH+]c1C ZINC000921659237 1120057709 /nfs/dbraw/zinc/05/77/09/1120057709.db2.gz KXUUURGPIOTIIK-CQSZACIVSA-N 1 2 289.354 3.626 20 0 CHADLO C/C=C(\C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921660105 1120058641 /nfs/dbraw/zinc/05/86/41/1120058641.db2.gz JTOIDHROSIZMIY-BJMVGYQFSA-N 1 2 269.348 3.598 20 0 CHADLO CC=C(C)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921660105 1120058647 /nfs/dbraw/zinc/05/86/47/1120058647.db2.gz JTOIDHROSIZMIY-BJMVGYQFSA-N 1 2 269.348 3.598 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ncccn1)C1CC1)c1ccc(Cl)s1 ZINC000903333715 1120084684 /nfs/dbraw/zinc/08/46/84/1120084684.db2.gz SZMNGOASNUMRGY-RNCFNFMXSA-N 1 2 293.823 3.993 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(OCOC)cc2)cc1 ZINC001211648271 1120107486 /nfs/dbraw/zinc/10/74/86/1120107486.db2.gz YYYFSAUVAJHPSI-UHFFFAOYSA-N 1 2 286.375 3.869 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(OCOC)cc2)cc1 ZINC001211648271 1120107488 /nfs/dbraw/zinc/10/74/88/1120107488.db2.gz YYYFSAUVAJHPSI-UHFFFAOYSA-N 1 2 286.375 3.869 20 0 CHADLO Clc1ccc2c(c1)[C@H]([NH2+]Cc1cocn1)CCS2 ZINC000182074485 1120114315 /nfs/dbraw/zinc/11/43/15/1120114315.db2.gz VRQCIKPOWHRPKR-GFCCVEGCSA-N 1 2 280.780 3.655 20 0 CHADLO CC[C@H](C)O[C@@H]1CCC[N@H+](Cc2cnc(Cl)s2)C1 ZINC001204630458 1120114385 /nfs/dbraw/zinc/11/43/85/1120114385.db2.gz RAJHKUTVRQXEMI-WDEREUQCSA-N 1 2 288.844 3.576 20 0 CHADLO CC[C@H](C)O[C@@H]1CCC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC001204630458 1120114386 /nfs/dbraw/zinc/11/43/86/1120114386.db2.gz RAJHKUTVRQXEMI-WDEREUQCSA-N 1 2 288.844 3.576 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccs2)C2CC2)c(C)o1 ZINC000659820072 1120118321 /nfs/dbraw/zinc/11/83/21/1120118321.db2.gz BZZSUUKDYIOUNC-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccs2)C2CC2)c(C)o1 ZINC000659820072 1120118325 /nfs/dbraw/zinc/11/83/25/1120118325.db2.gz BZZSUUKDYIOUNC-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO CCCc1nc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2)no1 ZINC000353200645 1120119006 /nfs/dbraw/zinc/11/90/06/1120119006.db2.gz JYBBTRAPFNKVNJ-ZFWWWQNUSA-N 1 2 285.391 3.605 20 0 CHADLO CCCc1nc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2)no1 ZINC000353200645 1120119007 /nfs/dbraw/zinc/11/90/07/1120119007.db2.gz JYBBTRAPFNKVNJ-ZFWWWQNUSA-N 1 2 285.391 3.605 20 0 CHADLO Cc1nc(N[C@@H]2CCNc3ccccc32)c2c([nH+]1)CCCC2 ZINC001168640441 1120127213 /nfs/dbraw/zinc/12/72/13/1120127213.db2.gz IPCLAWJWDWEPDN-QGZVFWFLSA-N 1 2 294.402 3.633 20 0 CHADLO Cc1cc(C[N@H+]2CC=C(c3ccccc3Cl)CC2)[nH]n1 ZINC001116516534 1120146634 /nfs/dbraw/zinc/14/66/34/1120146634.db2.gz IRULASCOMNGDAL-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1cc(C[N@@H+]2CC=C(c3ccccc3Cl)CC2)[nH]n1 ZINC001116516534 1120146637 /nfs/dbraw/zinc/14/66/37/1120146637.db2.gz IRULASCOMNGDAL-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCOCC(F)(F)F ZINC000111639601 1120153697 /nfs/dbraw/zinc/15/36/97/1120153697.db2.gz WZQZLIZIBJKHIJ-UHFFFAOYSA-N 1 2 284.281 3.534 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](C)c2sc(C(C)C)nc2C)s1 ZINC000542811018 1120167964 /nfs/dbraw/zinc/16/79/64/1120167964.db2.gz MNKQWPADQSYREJ-QMMMGPOBSA-N 1 2 296.465 3.586 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2ccon2)o1 ZINC000174448874 1120169059 /nfs/dbraw/zinc/16/90/59/1120169059.db2.gz VNBDGXOBQQKZMA-WFASDCNBSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2ccon2)o1 ZINC000174448874 1120169064 /nfs/dbraw/zinc/16/90/64/1120169064.db2.gz VNBDGXOBQQKZMA-WFASDCNBSA-N 1 2 274.364 3.803 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)o1 ZINC000780386950 1120172650 /nfs/dbraw/zinc/17/26/50/1120172650.db2.gz QSKYOHSNOUWFIG-QWRGUYRKSA-N 1 2 290.329 3.961 20 0 CHADLO CC(C)c1cnc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)o1 ZINC000780386950 1120172655 /nfs/dbraw/zinc/17/26/55/1120172655.db2.gz QSKYOHSNOUWFIG-QWRGUYRKSA-N 1 2 290.329 3.961 20 0 CHADLO C[N@H+](Cc1noc2c1CCCC2)Cc1cccc(Cl)c1 ZINC000108850633 1120173189 /nfs/dbraw/zinc/17/31/89/1120173189.db2.gz FJIHCSRFYDREIA-UHFFFAOYSA-N 1 2 290.794 3.839 20 0 CHADLO C[N@@H+](Cc1noc2c1CCCC2)Cc1cccc(Cl)c1 ZINC000108850633 1120173192 /nfs/dbraw/zinc/17/31/92/1120173192.db2.gz FJIHCSRFYDREIA-UHFFFAOYSA-N 1 2 290.794 3.839 20 0 CHADLO CCc1nnc([C@H](C)[N@H+](C)Cc2cccc3ccccc32)[nH]1 ZINC000659846431 1120178154 /nfs/dbraw/zinc/17/81/54/1120178154.db2.gz UNKOKOHIJDFLBG-ZDUSSCGKSA-N 1 2 294.402 3.713 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+](C)Cc2cccc3ccccc32)[nH]1 ZINC000659846431 1120178156 /nfs/dbraw/zinc/17/81/56/1120178156.db2.gz UNKOKOHIJDFLBG-ZDUSSCGKSA-N 1 2 294.402 3.713 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2nsc3ccccc23)on1 ZINC000659846133 1120179629 /nfs/dbraw/zinc/17/96/29/1120179629.db2.gz NEQIJEVJGHIPLD-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2nsc3ccccc23)on1 ZINC000659846133 1120179631 /nfs/dbraw/zinc/17/96/31/1120179631.db2.gz NEQIJEVJGHIPLD-AWEZNQCLSA-N 1 2 299.399 3.930 20 0 CHADLO c1ccn(-c2ccc(N[C@H]3CCCc4cccnc43)[nH+]c2)c1 ZINC001168657073 1120191317 /nfs/dbraw/zinc/19/13/17/1120191317.db2.gz WSZBLXJPOMZYGZ-INIZCTEOSA-N 1 2 290.370 3.757 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3cccnc32)[nH+]c2cc[nH]c21 ZINC001168660242 1120194626 /nfs/dbraw/zinc/19/46/26/1120194626.db2.gz UGLBLDJETHPGNP-CYBMUJFWSA-N 1 2 278.359 3.756 20 0 CHADLO Cc1nc(N[C@@H]2CCCC[C@@H]2c2ccccc2)cc[nH+]1 ZINC000462788859 1120198137 /nfs/dbraw/zinc/19/81/37/1120198137.db2.gz ISNQIYRROFNOTH-HZPDHXFCSA-N 1 2 267.376 3.923 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1ccncc1 ZINC000921775678 1120208182 /nfs/dbraw/zinc/20/81/82/1120208182.db2.gz IMWBRQOMFPTPHM-ZDUSSCGKSA-N 1 2 273.380 3.608 20 0 CHADLO COc1cccc2c(NCCC3(OC)CCC3)cc[nH+]c12 ZINC000338732347 1120221031 /nfs/dbraw/zinc/22/10/31/1120221031.db2.gz OGPAAGCZBWARRN-UHFFFAOYSA-N 1 2 286.375 3.615 20 0 CHADLO CCc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC000672195625 1120251707 /nfs/dbraw/zinc/25/17/07/1120251707.db2.gz NSNLRHUBPWAYNM-UHFFFAOYSA-N 1 2 251.333 3.737 20 0 CHADLO Cc1cnc(Cl)c(C[NH2+]Cc2nc(C(C)C)cs2)c1 ZINC000921854978 1120253496 /nfs/dbraw/zinc/25/34/96/1120253496.db2.gz QXVNZHZHDNNDHI-UHFFFAOYSA-N 1 2 295.839 3.913 20 0 CHADLO Cc1cnc(Cl)c(C[NH2+]Cc2nc(C3CC3)cs2)c1 ZINC000921857207 1120254946 /nfs/dbraw/zinc/25/49/46/1120254946.db2.gz QNRFLNZXBWCEDV-UHFFFAOYSA-N 1 2 293.823 3.667 20 0 CHADLO c1sc(C[NH2+]Cc2nccc3c2CCCC3)nc1C1CC1 ZINC000921857843 1120256272 /nfs/dbraw/zinc/25/62/72/1120256272.db2.gz WFWAAKYKOKOBPN-UHFFFAOYSA-N 1 2 299.443 3.584 20 0 CHADLO Cc1cccc(C)c1C[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000921860983 1120257192 /nfs/dbraw/zinc/25/71/92/1120257192.db2.gz HQAQZKYKKTXKCC-UHFFFAOYSA-N 1 2 272.392 3.879 20 0 CHADLO Cc1cc(CNc2cc[nH+]c3c(Cl)cccc23)ncn1 ZINC000672243728 1120265230 /nfs/dbraw/zinc/26/52/30/1120265230.db2.gz CRILWMFMGAJZJS-UHFFFAOYSA-N 1 2 284.750 3.599 20 0 CHADLO CCc1noc(C[NH2+][C@@H](c2ccccc2)C(C)(C)C)n1 ZINC000063550525 1120268104 /nfs/dbraw/zinc/26/81/04/1120268104.db2.gz CMMXNSLGYZAARG-HNNXBMFYSA-N 1 2 273.380 3.509 20 0 CHADLO Fc1ccc(-c2ccc3[nH+]ccn3c2)c2occc21 ZINC001240219750 1120303957 /nfs/dbraw/zinc/30/39/57/1120303957.db2.gz UPJUNTJEUNSFLZ-UHFFFAOYSA-N 1 2 252.248 3.887 20 0 CHADLO C[C@@H](CCCC(C)(C)O)Nc1cc(-c2ccncc2)cc[nH+]1 ZINC001168697854 1120304645 /nfs/dbraw/zinc/30/46/45/1120304645.db2.gz RSKQKRHKHSFBBY-AWEZNQCLSA-N 1 2 299.418 3.885 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(F)c(O)c(Cl)c3)ccc21 ZINC001214490336 1120320376 /nfs/dbraw/zinc/32/03/76/1120320376.db2.gz UITMDNNQJINDMV-UHFFFAOYSA-N 1 2 291.713 3.815 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cc(C)sc2C)o1 ZINC000182989133 1120332525 /nfs/dbraw/zinc/33/25/25/1120332525.db2.gz LBUFHWVIDVKWCF-JTQLQIEISA-N 1 2 250.367 3.512 20 0 CHADLO Cc1cccc(-c2noc(C[N@@H+](C)Cc3ccccc3)n2)c1 ZINC000042121784 1120332907 /nfs/dbraw/zinc/33/29/07/1120332907.db2.gz NUMHTCSYFPZLTM-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO Cc1cccc(-c2noc(C[N@H+](C)Cc3ccccc3)n2)c1 ZINC000042121784 1120332912 /nfs/dbraw/zinc/33/29/12/1120332912.db2.gz NUMHTCSYFPZLTM-UHFFFAOYSA-N 1 2 293.370 3.677 20 0 CHADLO COc1cc(C)c([C@H](C)[NH2+][C@H]2CCCC2(F)F)cc1OC ZINC000672622570 1120336218 /nfs/dbraw/zinc/33/62/18/1120336218.db2.gz MZUKSZKSAPAREO-NHYWBVRUSA-N 1 2 299.361 3.851 20 0 CHADLO Cc1cc(C[N@H+](C)CCOc2ccc3ccccc3c2)no1 ZINC000042132319 1120336882 /nfs/dbraw/zinc/33/68/82/1120336882.db2.gz PAIIWTIRLJEHJQ-UHFFFAOYSA-N 1 2 296.370 3.647 20 0 CHADLO Cc1cc(C[N@@H+](C)CCOc2ccc3ccccc3c2)no1 ZINC000042132319 1120336886 /nfs/dbraw/zinc/33/68/86/1120336886.db2.gz PAIIWTIRLJEHJQ-UHFFFAOYSA-N 1 2 296.370 3.647 20 0 CHADLO Cc1cc(CNc2cnn(C(C)(C)C)c2)c2c([nH+]1)CCCC2 ZINC000893246695 1120337856 /nfs/dbraw/zinc/33/78/56/1120337856.db2.gz PVCNPWLJLLYHSI-UHFFFAOYSA-N 1 2 298.434 3.832 20 0 CHADLO c1cn(-c2ccccc2-c2noc(-c3ccsc3)n2)c[nH+]1 ZINC001212469118 1120341611 /nfs/dbraw/zinc/34/16/11/1120341611.db2.gz GLJBAUKTUVNLBX-UHFFFAOYSA-N 1 2 294.339 3.651 20 0 CHADLO COc1cccc(C[NH2+]C(C)(C)c2nccs2)c1Cl ZINC000660073457 1120362814 /nfs/dbraw/zinc/36/28/14/1120362814.db2.gz CSENLTOXLUKQFB-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO Cc1ccc(C[NH2+]CC(C)(F)F)cc1Br ZINC000382108466 1120363444 /nfs/dbraw/zinc/36/34/44/1120363444.db2.gz JKIPGMZFDSDIFD-UHFFFAOYSA-N 1 2 278.140 3.502 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccsc2Cl)s1 ZINC000309909656 1120375209 /nfs/dbraw/zinc/37/52/09/1120375209.db2.gz LYMUBSOCTQYRSN-UHFFFAOYSA-N 1 2 272.826 3.710 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccc(Cl)cc3)cc2[nH+]1 ZINC000010656998 1120376094 /nfs/dbraw/zinc/37/60/94/1120376094.db2.gz DETHCSKOJINEQK-UHFFFAOYSA-N 1 2 285.734 3.777 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2cc(C)cnc2Cl)o1 ZINC000922144777 1120384198 /nfs/dbraw/zinc/38/41/98/1120384198.db2.gz WXKDSGRXYASCSD-UHFFFAOYSA-N 1 2 293.798 3.582 20 0 CHADLO Fc1cccc2c1CCC[C@@H]2[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000453237912 1120399813 /nfs/dbraw/zinc/39/98/13/1120399813.db2.gz PHWLCBJMBHGEKS-HOTGVXAUSA-N 1 2 285.366 3.593 20 0 CHADLO Brc1ccoc1C[NH+]1CC2(C1)CCCCC2 ZINC001143128315 1120404251 /nfs/dbraw/zinc/40/42/51/1120404251.db2.gz OQVCBRLVQVVAAM-UHFFFAOYSA-N 1 2 284.197 3.808 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000628296984 1120408930 /nfs/dbraw/zinc/40/89/30/1120408930.db2.gz FXVGUTOZQBVFJL-UHFFFAOYSA-N 1 2 286.350 3.858 20 0 CHADLO CC[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@H]1CCOC1 ZINC000312420667 1120409379 /nfs/dbraw/zinc/40/93/79/1120409379.db2.gz YMPUCZPPTBTGAQ-RHSMWYFYSA-N 1 2 274.408 3.514 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@@H]1CCOC1 ZINC000312420667 1120409383 /nfs/dbraw/zinc/40/93/83/1120409383.db2.gz YMPUCZPPTBTGAQ-RHSMWYFYSA-N 1 2 274.408 3.514 20 0 CHADLO CC(C)(CCO)Nc1ccc2[nH]c(-c3ccccc3)[nH+]c2c1 ZINC001167742086 1120410044 /nfs/dbraw/zinc/41/00/44/1120410044.db2.gz SQYNXSKSCGTXDF-UHFFFAOYSA-N 1 2 295.386 3.803 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+]Cc1nc(C)oc1C ZINC000660185013 1120423475 /nfs/dbraw/zinc/42/34/75/1120423475.db2.gz VCLVIQOWAHNSGG-HNNXBMFYSA-N 1 2 288.391 3.931 20 0 CHADLO Cc1coc(C)c1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000367645178 1120424439 /nfs/dbraw/zinc/42/44/39/1120424439.db2.gz XVGGFSYFRYGZJA-UHFFFAOYSA-N 1 2 295.342 3.847 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@H+](Cc2csc(C3CC3)n2)C1 ZINC000364103092 1120440684 /nfs/dbraw/zinc/44/06/84/1120440684.db2.gz QGPXKIZGMCKBIM-MLGOLLRUSA-N 1 2 299.443 3.999 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@@H+](Cc2csc(C3CC3)n2)C1 ZINC000364103092 1120440688 /nfs/dbraw/zinc/44/06/88/1120440688.db2.gz QGPXKIZGMCKBIM-MLGOLLRUSA-N 1 2 299.443 3.999 20 0 CHADLO COc1ccc(SCc2cn3cc(C)ccc3[nH+]2)cc1 ZINC000067444266 1120440829 /nfs/dbraw/zinc/44/08/29/1120440829.db2.gz IXARKHXWZXPTLT-UHFFFAOYSA-N 1 2 284.384 3.944 20 0 CHADLO COc1ccc(F)cc1C[N@H+](Cc1ccc(C)o1)C1CC1 ZINC000613735660 1120442731 /nfs/dbraw/zinc/44/27/31/1120442731.db2.gz VMMCUOPDZPYSPB-UHFFFAOYSA-N 1 2 289.350 3.900 20 0 CHADLO COc1ccc(F)cc1C[N@@H+](Cc1ccc(C)o1)C1CC1 ZINC000613735660 1120442733 /nfs/dbraw/zinc/44/27/33/1120442733.db2.gz VMMCUOPDZPYSPB-UHFFFAOYSA-N 1 2 289.350 3.900 20 0 CHADLO COc1cccc(C[NH2+][C@H](C)c2nc(C)cs2)c1Cl ZINC000660266877 1120474206 /nfs/dbraw/zinc/47/42/06/1120474206.db2.gz UQNIREMGPIOXGN-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)n(C)n1 ZINC000417708355 1120474991 /nfs/dbraw/zinc/47/49/91/1120474991.db2.gz VTEBMQRAXXDDKH-PXAZEXFGSA-N 1 2 299.418 3.610 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)n(C)n1 ZINC000417708355 1120474993 /nfs/dbraw/zinc/47/49/93/1120474993.db2.gz VTEBMQRAXXDDKH-PXAZEXFGSA-N 1 2 299.418 3.610 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccc(Cl)cc2F)s1 ZINC000189195406 1120477613 /nfs/dbraw/zinc/47/76/13/1120477613.db2.gz UEYXMQJURCTVKJ-UHFFFAOYSA-N 1 2 284.787 3.788 20 0 CHADLO C[C@H](OCCn1cc[nH+]c1)c1cc(Cl)ccc1Cl ZINC001222139454 1120493073 /nfs/dbraw/zinc/49/30/73/1120493073.db2.gz SNKXNUFBRPFRTB-JTQLQIEISA-N 1 2 285.174 3.968 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cc2noc(Cc3ccccc3)n2)CC1 ZINC000117343378 1120496829 /nfs/dbraw/zinc/49/68/29/1120496829.db2.gz JKKVOQCPGBIXPU-OAHLLOKOSA-N 1 2 299.418 3.673 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cc2noc(Cc3ccccc3)n2)CC1 ZINC000117343378 1120496833 /nfs/dbraw/zinc/49/68/33/1120496833.db2.gz JKKVOQCPGBIXPU-OAHLLOKOSA-N 1 2 299.418 3.673 20 0 CHADLO CCCn1c(C)nnc1C[N@@H+]1CCc2ccccc2[C@@H]1CC ZINC000158236579 1120517153 /nfs/dbraw/zinc/51/71/53/1120517153.db2.gz BFARLIIABJJZEE-KRWDZBQOSA-N 1 2 298.434 3.506 20 0 CHADLO CCCn1c(C)nnc1C[N@H+]1CCc2ccccc2[C@@H]1CC ZINC000158236579 1120517156 /nfs/dbraw/zinc/51/71/56/1120517156.db2.gz BFARLIIABJJZEE-KRWDZBQOSA-N 1 2 298.434 3.506 20 0 CHADLO CCc1onc(C)c1C[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000931083218 1120523988 /nfs/dbraw/zinc/52/39/88/1120523988.db2.gz IWZKCLQUVLHYSZ-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1onc(C)c1C[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000931083218 1120523991 /nfs/dbraw/zinc/52/39/91/1120523991.db2.gz IWZKCLQUVLHYSZ-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO CNc1ccc([NH2+][C@H]2CCc3c(cccc3OC)C2)cc1 ZINC000629654927 1128922989 /nfs/dbraw/zinc/92/29/89/1128922989.db2.gz UMLMQIWHJIADHQ-INIZCTEOSA-N 1 2 282.387 3.706 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+]Cc1cc(Cl)cs1 ZINC000922521014 1120546801 /nfs/dbraw/zinc/54/68/01/1120546801.db2.gz QZDIIJXJOVQYHT-OCCSQVGLSA-N 1 2 297.782 3.963 20 0 CHADLO FC(F)(F)CCNc1cc2c3c(c1)CCC[NH+]3CCC2 ZINC001161825431 1120547776 /nfs/dbraw/zinc/54/77/76/1120547776.db2.gz KEYVOIFUQLCGJX-UHFFFAOYSA-N 1 2 284.325 3.750 20 0 CHADLO Fc1cccc2c1CC[C@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000398002426 1120549576 /nfs/dbraw/zinc/54/95/76/1120549576.db2.gz FPJHZMRNGWFKMT-CHWSQXEVSA-N 1 2 255.283 3.590 20 0 CHADLO Cc1nc(C)c(CNc2[nH+]c3ccccc3n2CC2CC2)o1 ZINC000922559629 1120550354 /nfs/dbraw/zinc/55/03/54/1120550354.db2.gz MKUQMAQGHGEPTC-UHFFFAOYSA-N 1 2 296.374 3.663 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1cccc2cccnc21 ZINC000398011298 1120553269 /nfs/dbraw/zinc/55/32/69/1120553269.db2.gz BVGLEBPUMUBQCS-CYBMUJFWSA-N 1 2 262.303 3.512 20 0 CHADLO FC(F)(F)c1ccccc1C[NH2+][C@@H]1CCCC1(F)F ZINC000398015182 1120556062 /nfs/dbraw/zinc/55/60/62/1120556062.db2.gz VVTGPLXUWCVJRU-LLVKDONJSA-N 1 2 279.252 3.983 20 0 CHADLO Fc1ccc2c(c1)CC[C@@H]2[NH2+][C@H]1CCCC1(F)F ZINC000398035112 1120558003 /nfs/dbraw/zinc/55/80/03/1120558003.db2.gz MXSKJWVMIXBOQA-STQMWFEESA-N 1 2 255.283 3.590 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccnc2ccccc12 ZINC000398040451 1120559232 /nfs/dbraw/zinc/55/92/32/1120559232.db2.gz KYLMCVMHSWAFKH-AWEZNQCLSA-N 1 2 262.303 3.512 20 0 CHADLO CC[C@@H]([NH2+]Cc1cscn1)c1cc(F)ccc1OC ZINC000922619773 1120565524 /nfs/dbraw/zinc/56/55/24/1120565524.db2.gz JNWYBLHKGBQYIX-CYBMUJFWSA-N 1 2 280.368 3.532 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccc(-n2ccnc2)cc1 ZINC000398108958 1120568441 /nfs/dbraw/zinc/56/84/41/1120568441.db2.gz NGIKFLRMLAJOLX-DOMZBBRYSA-N 1 2 291.345 3.711 20 0 CHADLO COc1cc(C[NH2+][C@H]2CCCC2(F)F)ccc1OC(C)C ZINC000398107003 1120569759 /nfs/dbraw/zinc/56/97/59/1120569759.db2.gz MXQHCWPMJVVTMB-HNNXBMFYSA-N 1 2 299.361 3.760 20 0 CHADLO Cc1cccc(C[N@H+]2C[C@H](C(F)(F)F)OC(C)(C)C2)c1 ZINC000428930732 1120572799 /nfs/dbraw/zinc/57/27/99/1120572799.db2.gz OTDHKQICTBQRLY-CYBMUJFWSA-N 1 2 287.325 3.537 20 0 CHADLO Cc1cccc(C[N@@H+]2C[C@H](C(F)(F)F)OC(C)(C)C2)c1 ZINC000428930732 1120572797 /nfs/dbraw/zinc/57/27/97/1120572797.db2.gz OTDHKQICTBQRLY-CYBMUJFWSA-N 1 2 287.325 3.537 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)C2CCC(F)(F)CC2)c1 ZINC000652068232 1120577226 /nfs/dbraw/zinc/57/72/26/1120577226.db2.gz WOYYRTAZTVINHF-NSHDSACASA-N 1 2 284.350 3.854 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)[C@@H](C)c2ccccc2)c1 ZINC000652068726 1120577563 /nfs/dbraw/zinc/57/75/63/1120577563.db2.gz MUGPTZBJMRDKGG-ZIAGYGMSSA-N 1 2 270.376 3.832 20 0 CHADLO CC(C)(C)CCC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000155839134 1120602039 /nfs/dbraw/zinc/60/20/39/1120602039.db2.gz GNWQGXDAUZPPDE-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO CC(C)CCn1cccc1C[NH2+][C@H]1CCCC1(F)F ZINC000673618613 1120603309 /nfs/dbraw/zinc/60/33/09/1120603309.db2.gz VDEUPROGCNIDTE-AWEZNQCLSA-N 1 2 270.367 3.812 20 0 CHADLO Cc1nc(CNc2ccc3c(c2)CCC[N@H+]3C)sc1C ZINC000893367892 1120604279 /nfs/dbraw/zinc/60/42/79/1120604279.db2.gz FLRKCZYTRMLIPH-UHFFFAOYSA-N 1 2 287.432 3.754 20 0 CHADLO Cc1nc(CNc2ccc3c(c2)CCC[N@@H+]3C)sc1C ZINC000893367892 1120604284 /nfs/dbraw/zinc/60/42/84/1120604284.db2.gz FLRKCZYTRMLIPH-UHFFFAOYSA-N 1 2 287.432 3.754 20 0 CHADLO FC(F)c1ccnc(C[NH2+]Cc2ccccc2C2CC2)n1 ZINC000673619667 1120611358 /nfs/dbraw/zinc/61/13/58/1120611358.db2.gz JWGYNTHHLKIPHL-UHFFFAOYSA-N 1 2 289.329 3.581 20 0 CHADLO C[C@H]([NH2+]Cc1csnn1)c1cc2ccccc2s1 ZINC000398388651 1120616563 /nfs/dbraw/zinc/61/65/63/1120616563.db2.gz WEAMUBIGVJZDHO-VIFPVBQESA-N 1 2 275.402 3.604 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+]2CCC[C@@H]2c2ccsc2)o1 ZINC000110107293 1120631224 /nfs/dbraw/zinc/63/12/24/1120631224.db2.gz OEFLOFRSZPRWKB-CMPLNLGQSA-N 1 2 277.393 3.592 20 0 CHADLO CCc1nnc([C@H](C)[N@H+]2CCC[C@@H]2c2ccsc2)o1 ZINC000110107293 1120631226 /nfs/dbraw/zinc/63/12/26/1120631226.db2.gz OEFLOFRSZPRWKB-CMPLNLGQSA-N 1 2 277.393 3.592 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625268474 1120658319 /nfs/dbraw/zinc/65/83/19/1120658319.db2.gz DFCQBTFDMJIKDL-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625268474 1120658320 /nfs/dbraw/zinc/65/83/20/1120658320.db2.gz DFCQBTFDMJIKDL-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1ccc2ccccc2c1)[N@@H+]1CCn2cncc2C1 ZINC000660578084 1120663821 /nfs/dbraw/zinc/66/38/21/1120663821.db2.gz JKBUKNKOKCIALK-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@@H](c1ccc2ccccc2c1)[N@H+]1CCn2cncc2C1 ZINC000660578084 1120663825 /nfs/dbraw/zinc/66/38/25/1120663825.db2.gz JKBUKNKOKCIALK-AWEZNQCLSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1nccs1 ZINC000086509435 1120666556 /nfs/dbraw/zinc/66/65/56/1120666556.db2.gz TXXNVQPPISZWLY-LBPRGKRZSA-N 1 2 273.405 3.916 20 0 CHADLO CC[C@@H]1C[N@H+](CC(F)F)CC[C@@H]1c1ccccc1 ZINC000673755223 1120668835 /nfs/dbraw/zinc/66/88/35/1120668835.db2.gz XSTZVSVTNPJZTA-OCCSQVGLSA-N 1 2 253.336 3.767 20 0 CHADLO CC[C@@H]1C[N@@H+](CC(F)F)CC[C@@H]1c1ccccc1 ZINC000673755223 1120668839 /nfs/dbraw/zinc/66/88/39/1120668839.db2.gz XSTZVSVTNPJZTA-OCCSQVGLSA-N 1 2 253.336 3.767 20 0 CHADLO CNc1ccc(Nc2cnccc2-c2ccccc2)c[nH+]1 ZINC001203448626 1120678197 /nfs/dbraw/zinc/67/81/97/1120678197.db2.gz CCMHMKXNTSFRPF-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO CC[C@@H](C)n1cc(-c2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC000629742420 1128932818 /nfs/dbraw/zinc/93/28/18/1128932818.db2.gz SSEBNDPZZXONHB-CQSZACIVSA-N 1 2 280.375 3.766 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1nc2c(s1)CCCC2 ZINC000673857328 1120684601 /nfs/dbraw/zinc/68/46/01/1120684601.db2.gz GBKKZIFJIJDSJS-GFCCVEGCSA-N 1 2 287.432 3.610 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1nc2c(s1)CCCC2 ZINC000673857328 1120684602 /nfs/dbraw/zinc/68/46/02/1120684602.db2.gz GBKKZIFJIJDSJS-GFCCVEGCSA-N 1 2 287.432 3.610 20 0 CHADLO CC(C)(C)[N@H+](Cc1ccsc1)Cc1ccon1 ZINC000661133982 1120722941 /nfs/dbraw/zinc/72/29/41/1120722941.db2.gz PZSYDMCVLQPRME-UHFFFAOYSA-N 1 2 250.367 3.537 20 0 CHADLO CC(C)(C)[N@@H+](Cc1ccsc1)Cc1ccon1 ZINC000661133982 1120722947 /nfs/dbraw/zinc/72/29/47/1120722947.db2.gz PZSYDMCVLQPRME-UHFFFAOYSA-N 1 2 250.367 3.537 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CCc3ccc(F)c(Cl)c32)on1 ZINC000651751740 1120735181 /nfs/dbraw/zinc/73/51/81/1120735181.db2.gz GEVLANLKACOGDO-GFCCVEGCSA-N 1 2 280.730 3.553 20 0 CHADLO Oc1c(Cl)cccc1C[NH2+]CCc1ccc(F)c(F)c1 ZINC000191497654 1128938093 /nfs/dbraw/zinc/93/80/93/1128938093.db2.gz GVTVWUFYFUVGJI-UHFFFAOYSA-N 1 2 297.732 3.656 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@@H+](C[C@H]1CCCO1)CC2 ZINC000661372872 1120758794 /nfs/dbraw/zinc/75/87/94/1120758794.db2.gz FAKDMBXZFFSYEV-LLVKDONJSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@H+](C[C@H]1CCCO1)CC2 ZINC000661372872 1120758798 /nfs/dbraw/zinc/75/87/98/1120758798.db2.gz FAKDMBXZFFSYEV-LLVKDONJSA-N 1 2 286.202 3.531 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)on1 ZINC000661437203 1120764505 /nfs/dbraw/zinc/76/45/05/1120764505.db2.gz ZYGXDWPUBACFFO-HNNXBMFYSA-N 1 2 272.348 3.693 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)on1 ZINC000661437203 1120764508 /nfs/dbraw/zinc/76/45/08/1120764508.db2.gz ZYGXDWPUBACFFO-HNNXBMFYSA-N 1 2 272.348 3.693 20 0 CHADLO C[C@@H](c1cnccn1)[N@@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661435783 1120766130 /nfs/dbraw/zinc/76/61/30/1120766130.db2.gz HMSQNEPHRLTFKH-XJKSGUPXSA-N 1 2 283.375 3.748 20 0 CHADLO C[C@@H](c1cnccn1)[N@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661435783 1120766136 /nfs/dbraw/zinc/76/61/36/1120766136.db2.gz HMSQNEPHRLTFKH-XJKSGUPXSA-N 1 2 283.375 3.748 20 0 CHADLO COc1ccc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)nc1 ZINC000661437367 1120766478 /nfs/dbraw/zinc/76/64/78/1120766478.db2.gz KYBNETLTQLWXMT-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ccc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)nc1 ZINC000661437367 1120766480 /nfs/dbraw/zinc/76/64/80/1120766480.db2.gz KYBNETLTQLWXMT-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO C[C@@H](O)[C@@H]([NH2+]Cc1cscc1Cl)c1ccccc1F ZINC000651892857 1120771661 /nfs/dbraw/zinc/77/16/61/1120771661.db2.gz POUIPEGUZGJCPG-YMTOWFKASA-N 1 2 299.798 3.752 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CC[C@H]2c2ccc(F)cc2)c1 ZINC000661511837 1120773594 /nfs/dbraw/zinc/77/35/94/1120773594.db2.gz JHPNNWAYEIWTTI-SFHVURJKSA-N 1 2 283.346 3.975 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CC[C@H]2c2ccc(F)cc2)c1 ZINC000661511837 1120773596 /nfs/dbraw/zinc/77/35/96/1120773596.db2.gz JHPNNWAYEIWTTI-SFHVURJKSA-N 1 2 283.346 3.975 20 0 CHADLO CCOc1cccc(Cl)c1C[N@H+](C)CC1=CCCOC1 ZINC000661651852 1120791180 /nfs/dbraw/zinc/79/11/80/1120791180.db2.gz DXFZDXUULRPPBH-UHFFFAOYSA-N 1 2 295.810 3.517 20 0 CHADLO CCOc1cccc(Cl)c1C[N@@H+](C)CC1=CCCOC1 ZINC000661651852 1120791184 /nfs/dbraw/zinc/79/11/84/1120791184.db2.gz DXFZDXUULRPPBH-UHFFFAOYSA-N 1 2 295.810 3.517 20 0 CHADLO CO[C@@H](C)C[N@@H+]1C[C@@H](c2cccc(Cl)c2)OCC1(C)C ZINC000661736462 1120804780 /nfs/dbraw/zinc/80/47/80/1120804780.db2.gz NGGAJPJQHXKNEZ-WFASDCNBSA-N 1 2 297.826 3.527 20 0 CHADLO CO[C@@H](C)C[N@H+]1C[C@@H](c2cccc(Cl)c2)OCC1(C)C ZINC000661736462 1120804782 /nfs/dbraw/zinc/80/47/82/1120804782.db2.gz NGGAJPJQHXKNEZ-WFASDCNBSA-N 1 2 297.826 3.527 20 0 CHADLO CC1(C)CO[C@H](c2cccc(Cl)c2)C[N@@H+]1CCCF ZINC000661739844 1120805416 /nfs/dbraw/zinc/80/54/16/1120805416.db2.gz MTHMHRHBFYMWRA-AWEZNQCLSA-N 1 2 285.790 3.852 20 0 CHADLO CC1(C)CO[C@H](c2cccc(Cl)c2)C[N@H+]1CCCF ZINC000661739844 1120805417 /nfs/dbraw/zinc/80/54/17/1120805417.db2.gz MTHMHRHBFYMWRA-AWEZNQCLSA-N 1 2 285.790 3.852 20 0 CHADLO COCc1cc[nH+]c(N[C@H](c2ccccc2)C2CC2)c1 ZINC000652331016 1120830202 /nfs/dbraw/zinc/83/02/02/1120830202.db2.gz VRWOHKDPWMEUSD-QGZVFWFLSA-N 1 2 268.360 3.791 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc3c(c2)CCCO3)n1C ZINC000630051970 1128945394 /nfs/dbraw/zinc/94/53/94/1128945394.db2.gz GHDQXHDUOOTIAE-UHFFFAOYSA-N 1 2 256.349 3.536 20 0 CHADLO CC(C)c1[nH+]cc(-c2cc(Cn3cccn3)ccc2F)n1C ZINC000630050118 1128945798 /nfs/dbraw/zinc/94/57/98/1128945798.db2.gz BZSUZTYKWZGISN-UHFFFAOYSA-N 1 2 298.365 3.594 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000248375821 1120876873 /nfs/dbraw/zinc/87/68/73/1120876873.db2.gz YDVGQHXLERMFCY-SMDDNHRTSA-N 1 2 287.325 3.877 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000248375821 1120876876 /nfs/dbraw/zinc/87/68/76/1120876876.db2.gz YDVGQHXLERMFCY-SMDDNHRTSA-N 1 2 287.325 3.877 20 0 CHADLO Cc1c(Cl)cccc1-c1cc[nH+]c(N2CCOCC2)c1 ZINC000630060917 1128946948 /nfs/dbraw/zinc/94/69/48/1128946948.db2.gz SJCAMOFVWVBXHS-UHFFFAOYSA-N 1 2 288.778 3.547 20 0 CHADLO CC[C@@H]1CCN(C(=O)Nc2c(C)cc(C)[nH+]c2C)[C@H]1C ZINC001672529179 1120893552 /nfs/dbraw/zinc/89/35/52/1120893552.db2.gz DEJZXKVPWBSGEZ-UONOGXRCSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1O ZINC001211690794 1120909322 /nfs/dbraw/zinc/90/93/22/1120909322.db2.gz QAWTVZYURNBQCP-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+][C@]2(c3ccccc3)CC2(C)C)[nH]1 ZINC000662339641 1120928056 /nfs/dbraw/zinc/92/80/56/1120928056.db2.gz BDOKOGYDQPWAAX-SFHVURJKSA-N 1 2 298.434 3.517 20 0 CHADLO CCc1noc(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)n1 ZINC000643255322 1120933224 /nfs/dbraw/zinc/93/32/24/1120933224.db2.gz ULEUVBLKDYGKLJ-NSHDSACASA-N 1 2 279.409 3.665 20 0 CHADLO CCc1noc(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)n1 ZINC000643255322 1120933227 /nfs/dbraw/zinc/93/32/27/1120933227.db2.gz ULEUVBLKDYGKLJ-NSHDSACASA-N 1 2 279.409 3.665 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2cnc(Cl)s2)cc1 ZINC000078668343 1128952300 /nfs/dbraw/zinc/95/23/00/1128952300.db2.gz DYIWSSVUGXEWKC-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2cnc(Cl)s2)cc1 ZINC000078668343 1128952304 /nfs/dbraw/zinc/95/23/04/1128952304.db2.gz DYIWSSVUGXEWKC-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1c(F)cccc1Br ZINC000394713615 1120961675 /nfs/dbraw/zinc/96/16/75/1120961675.db2.gz SGAPHPBIDBDFFD-UHFFFAOYSA-N 1 2 298.155 3.779 20 0 CHADLO CCCCC[N@H+](Cc1noc(C(C)(C)C)n1)C(C)C ZINC000051709169 1120973417 /nfs/dbraw/zinc/97/34/17/1120973417.db2.gz QRASIYQVJRCUOQ-UHFFFAOYSA-N 1 2 267.417 3.768 20 0 CHADLO CCCCC[N@@H+](Cc1noc(C(C)(C)C)n1)C(C)C ZINC000051709169 1120973419 /nfs/dbraw/zinc/97/34/19/1120973419.db2.gz QRASIYQVJRCUOQ-UHFFFAOYSA-N 1 2 267.417 3.768 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCOC3(CCCC3)C2)c(OC)c1 ZINC000094365192 1120998498 /nfs/dbraw/zinc/99/84/98/1120998498.db2.gz WHISVVGVQVEBFJ-CYBMUJFWSA-N 1 2 291.391 3.608 20 0 CHADLO Cc1oc(C)c(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)c1C ZINC000030609327 1121002633 /nfs/dbraw/zinc/00/26/33/1121002633.db2.gz NYXBLABVIDJDLK-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO COc1c(C)c[nH+]c(CN2C[C@@H](C)c3ccccc32)c1C ZINC000072093346 1121009116 /nfs/dbraw/zinc/00/91/16/1121009116.db2.gz LAMXATNRMVUNCC-CYBMUJFWSA-N 1 2 282.387 3.831 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3cc[nH]c3c2)[nH+]1 ZINC001174746664 1121034179 /nfs/dbraw/zinc/03/41/79/1121034179.db2.gz JLIHDWGULVQTDC-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO CNc1ccc(Nc2cc(C)nn2-c2ccc(F)cc2)c[nH+]1 ZINC001203448772 1121038913 /nfs/dbraw/zinc/03/89/13/1121038913.db2.gz JJPCDFRZCURSCE-UHFFFAOYSA-N 1 2 297.337 3.500 20 0 CHADLO Fc1ccccc1C[N@@H+]1CCO[C@H](CCc2ccccc2)C1 ZINC000543558307 1121050793 /nfs/dbraw/zinc/05/07/93/1121050793.db2.gz JCGBQOKIRUQBNV-GOSISDBHSA-N 1 2 299.389 3.659 20 0 CHADLO Fc1ccccc1C[N@H+]1CCO[C@H](CCc2ccccc2)C1 ZINC000543558307 1121050800 /nfs/dbraw/zinc/05/08/00/1121050800.db2.gz JCGBQOKIRUQBNV-GOSISDBHSA-N 1 2 299.389 3.659 20 0 CHADLO Fc1ccc(CNc2cc[nH+]cc2F)c(Cl)c1Cl ZINC000432416144 1121060290 /nfs/dbraw/zinc/06/02/90/1121060290.db2.gz PHOIYTRXEZDXOV-UHFFFAOYSA-N 1 2 289.112 3.701 20 0 CHADLO Oc1cccc(Nc2[nH+]cccc2N2CCCCC2)c1 ZINC001174889411 1121063997 /nfs/dbraw/zinc/06/39/97/1121063997.db2.gz QMGRNTLDBOVHBQ-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO C[C@H]1COC2(CCCC2)C[N@@H+]1Cc1ccsc1Cl ZINC001174854507 1121064974 /nfs/dbraw/zinc/06/49/74/1121064974.db2.gz QOSSUIUCJWFYGB-NSHDSACASA-N 1 2 285.840 3.935 20 0 CHADLO C[C@H]1COC2(CCCC2)C[N@H+]1Cc1ccsc1Cl ZINC001174854507 1121064981 /nfs/dbraw/zinc/06/49/81/1121064981.db2.gz QOSSUIUCJWFYGB-NSHDSACASA-N 1 2 285.840 3.935 20 0 CHADLO CC1(C)CCC(C)(C)[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625723726 1121070975 /nfs/dbraw/zinc/07/09/75/1121070975.db2.gz DDUIPSOFHYGTLU-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO CC1(C)CCC(C)(C)[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625723726 1121070983 /nfs/dbraw/zinc/07/09/83/1121070983.db2.gz DDUIPSOFHYGTLU-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H](C3CCCCC3)C2)no1 ZINC001174863548 1121071099 /nfs/dbraw/zinc/07/10/99/1121071099.db2.gz QMVNINCDQMJYSB-HNNXBMFYSA-N 1 2 291.439 3.985 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H](C3CCCCC3)C2)no1 ZINC001174863548 1121071108 /nfs/dbraw/zinc/07/11/08/1121071108.db2.gz QMVNINCDQMJYSB-HNNXBMFYSA-N 1 2 291.439 3.985 20 0 CHADLO Cc1cc2[nH]c([C@@H]3C[C@@H](C)O[C@@H](C)C3)[nH+]c2cc1C ZINC000724363325 1121079666 /nfs/dbraw/zinc/07/96/66/1121079666.db2.gz QJKLFSGOMIGUEE-CLLJXQQHSA-N 1 2 258.365 3.851 20 0 CHADLO Cc1ccc[nH+]c1Nc1cccc(C(=O)OC(C)C)c1 ZINC001174913579 1121090437 /nfs/dbraw/zinc/09/04/37/1121090437.db2.gz FNMYIICSAMOZNJ-UHFFFAOYSA-N 1 2 270.332 3.699 20 0 CHADLO COc1cc(F)c(Nc2cccc3[nH+]c(C)cn32)cc1F ZINC001174924738 1121097909 /nfs/dbraw/zinc/09/79/09/1121097909.db2.gz YVSDACWOEXFCOF-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(N(C)C)c2)cc1 ZINC001174966128 1121116540 /nfs/dbraw/zinc/11/65/40/1121116540.db2.gz HYFYBQVEWPVREI-UHFFFAOYSA-N 1 2 269.392 3.952 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(N(C)C)c2)cc1 ZINC001174966128 1121116541 /nfs/dbraw/zinc/11/65/41/1121116541.db2.gz HYFYBQVEWPVREI-UHFFFAOYSA-N 1 2 269.392 3.952 20 0 CHADLO CCOc1cc(Nc2c(F)cccc2OC)cc(C)[nH+]1 ZINC001174990654 1121119445 /nfs/dbraw/zinc/11/94/45/1121119445.db2.gz CQKRWIJNXNOIBM-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCCc4occc4C3)nc2c1 ZINC000344227185 1121124820 /nfs/dbraw/zinc/12/48/20/1121124820.db2.gz AGMULEUMRMNGNI-UHFFFAOYSA-N 1 2 286.306 3.508 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCCc4occc4C3)nc2c1 ZINC000344227185 1121124822 /nfs/dbraw/zinc/12/48/22/1121124822.db2.gz AGMULEUMRMNGNI-UHFFFAOYSA-N 1 2 286.306 3.508 20 0 CHADLO COc1ccc(Nc2cc(C)cc(C)[nH+]2)c(F)c1F ZINC001175019657 1121137695 /nfs/dbraw/zinc/13/76/95/1121137695.db2.gz JCWHUVCYLSZZHF-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCOc1cc(F)c(Nc2ccc(N(C)C)[nH+]c2)cc1F ZINC001175057803 1121143843 /nfs/dbraw/zinc/14/38/43/1121143843.db2.gz SKLSQAFUPSSHFZ-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO CCOc1ccc(Nc2cc(C)[nH+]c(OC)c2)cc1F ZINC001175067087 1121147212 /nfs/dbraw/zinc/14/72/12/1121147212.db2.gz BCLKYXVDUNMHAQ-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO Fc1ccc(F)c(Nc2ccc(N3CCCC3)[nH+]c2)c1F ZINC001175027616 1121149378 /nfs/dbraw/zinc/14/93/78/1121149378.db2.gz DKXODVNIXIRZTC-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO CCOc1ccc(Nc2[nH+]cccc2N)cc1C(F)(F)F ZINC001175033384 1121152958 /nfs/dbraw/zinc/15/29/58/1121152958.db2.gz QFOBSIXTCLCVNU-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO COc1cc(Nc2cccc(-n3cc[nH+]c3)c2)cc(OC)c1 ZINC001175107543 1121167187 /nfs/dbraw/zinc/16/71/87/1121167187.db2.gz ALRSORGOHTYKIZ-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ccn(-c3ccccc3)n2)o1 ZINC000061641030 1121179602 /nfs/dbraw/zinc/17/96/02/1121179602.db2.gz VBWSQTFNTMUQRQ-AWEZNQCLSA-N 1 2 281.359 3.625 20 0 CHADLO CCCc1nc(C[N@@H+]2C[C@@H](C)CC[C@H]2c2ccccc2)no1 ZINC000512197969 1121188328 /nfs/dbraw/zinc/18/83/28/1121188328.db2.gz RVDUOPDUAUEHHA-HOCLYGCPSA-N 1 2 299.418 3.995 20 0 CHADLO CCCc1nc(C[N@H+]2C[C@@H](C)CC[C@H]2c2ccccc2)no1 ZINC000512197969 1121188332 /nfs/dbraw/zinc/18/83/32/1121188332.db2.gz RVDUOPDUAUEHHA-HOCLYGCPSA-N 1 2 299.418 3.995 20 0 CHADLO CCOc1ccc(C)cc1Nc1cccn2cc[nH+]c12 ZINC001175123056 1121191505 /nfs/dbraw/zinc/19/15/05/1121191505.db2.gz PLGBMVPPXPZOTM-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO CCCOCCNc1ccc2c(c1)[nH+]cn2-c1ccccc1 ZINC001167873508 1121192247 /nfs/dbraw/zinc/19/22/47/1121192247.db2.gz XWGHLXLMGZSGHM-UHFFFAOYSA-N 1 2 295.386 3.864 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(Cl)c(Cl)c2)o1 ZINC000235955620 1121197096 /nfs/dbraw/zinc/19/70/96/1121197096.db2.gz QAXDAKXLOKVYEI-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1ccc(NCc2ccc3cnccc3c2)c(C)[nH+]1 ZINC000235979571 1121197926 /nfs/dbraw/zinc/19/79/26/1121197926.db2.gz CXDKTHVQXPWNBZ-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+]2Cc2cccc3nsnc32)c1 ZINC000766085979 1121206094 /nfs/dbraw/zinc/20/60/94/1121206094.db2.gz XJFRTSZZWNXZFQ-OAHLLOKOSA-N 1 2 299.374 3.777 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+]2Cc2cccc3nsnc32)c1 ZINC000766085979 1121206098 /nfs/dbraw/zinc/20/60/98/1121206098.db2.gz XJFRTSZZWNXZFQ-OAHLLOKOSA-N 1 2 299.374 3.777 20 0 CHADLO Cc1cc(Oc2ccc(Nc3ccncc3F)cc2)cc[nH+]1 ZINC001175202670 1121206217 /nfs/dbraw/zinc/20/62/17/1121206217.db2.gz WTCSNTCTJPACHX-UHFFFAOYSA-N 1 2 295.317 3.882 20 0 CHADLO CCOc1cc(Nc2cc(OC)cc[nH+]2)c(F)cc1F ZINC001212528699 1121215448 /nfs/dbraw/zinc/21/54/48/1121215448.db2.gz JRWWHIMSTPSNBK-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CC(=O)c1ccccc1Nc1[nH+]c2ccccc2n1C ZINC001175172259 1121215603 /nfs/dbraw/zinc/21/56/03/1121215603.db2.gz HPIXJFQEXKKILD-UHFFFAOYSA-N 1 2 265.316 3.520 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(OC)ccc1OCC ZINC001175211675 1121226035 /nfs/dbraw/zinc/22/60/35/1121226035.db2.gz CXSLKGDFXPRTHK-UHFFFAOYSA-N 1 2 288.347 3.631 20 0 CHADLO CC(=O)c1ccc(Nc2cccn3cc(C)[nH+]c23)cc1F ZINC001175227261 1121233300 /nfs/dbraw/zinc/23/33/00/1121233300.db2.gz KWAWJJARQZCBKU-UHFFFAOYSA-N 1 2 283.306 3.728 20 0 CHADLO CCOc1ccc(F)c(F)c1Nc1cccc2[nH+]ccn21 ZINC001175282014 1121251731 /nfs/dbraw/zinc/25/17/31/1121251731.db2.gz WKUCARKEHVHMLD-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CCOc1c(F)cccc1Nc1[nH+]c(C)ccc1C ZINC001212534392 1121253692 /nfs/dbraw/zinc/25/36/92/1121253692.db2.gz OZEQLSFTHGAVOF-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)c(C)s1 ZINC000335700760 1121255415 /nfs/dbraw/zinc/25/54/15/1121255415.db2.gz MJBUIDVJPPXSKW-JTQLQIEISA-N 1 2 278.343 3.534 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)c(C)s1 ZINC000335700760 1121255413 /nfs/dbraw/zinc/25/54/13/1121255413.db2.gz MJBUIDVJPPXSKW-JTQLQIEISA-N 1 2 278.343 3.534 20 0 CHADLO CN(C)c1ccc(Nc2cccc(F)c2Cl)c[nH+]1 ZINC001175343062 1121272794 /nfs/dbraw/zinc/27/27/94/1121272794.db2.gz VRMRSHYWOLZQEQ-UHFFFAOYSA-N 1 2 265.719 3.684 20 0 CHADLO COc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(Cl)c1 ZINC001175344832 1121273810 /nfs/dbraw/zinc/27/38/10/1121273810.db2.gz JANKELKRNUVBII-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)cc(Cl)c2O)c[nH+]1 ZINC001175344117 1121273924 /nfs/dbraw/zinc/27/39/24/1121273924.db2.gz VEGBLSIEVIPMND-UHFFFAOYSA-N 1 2 298.173 3.904 20 0 CHADLO CSc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(F)c1 ZINC001175344036 1121274404 /nfs/dbraw/zinc/27/44/04/1121274404.db2.gz OGIFAGYBGRXOGX-UHFFFAOYSA-N 1 2 277.368 3.752 20 0 CHADLO CN(C)c1ccc(Nc2ccc(-c3cnco3)cc2)c[nH+]1 ZINC001175344141 1121274504 /nfs/dbraw/zinc/27/45/04/1121274504.db2.gz WYXFUSSGQDUJNR-UHFFFAOYSA-N 1 2 280.331 3.546 20 0 CHADLO CN(C)c1ccc(Nc2ccc(C(F)(F)F)cc2O)c[nH+]1 ZINC001175344336 1121274700 /nfs/dbraw/zinc/27/47/00/1121274700.db2.gz FATVYPAFOITUEM-UHFFFAOYSA-N 1 2 297.280 3.616 20 0 CHADLO CN(C)c1ccc(Nc2cccc(-c3ccccn3)c2)c[nH+]1 ZINC001175345219 1121274724 /nfs/dbraw/zinc/27/47/24/1121274724.db2.gz RQAVPHHXLOMHHB-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccccc2[S@@](C)=O)cc1 ZINC001175313710 1121279764 /nfs/dbraw/zinc/27/97/64/1121279764.db2.gz JEKLEYDKXXIQBN-HXUWFJFHSA-N 1 2 288.416 3.624 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccccc2[S@@](C)=O)cc1 ZINC001175313710 1121279776 /nfs/dbraw/zinc/27/97/76/1121279776.db2.gz JEKLEYDKXXIQBN-HXUWFJFHSA-N 1 2 288.416 3.624 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC1CCC(C)(O)CC1 ZINC000703613452 1121280451 /nfs/dbraw/zinc/28/04/51/1121280451.db2.gz HTGYNMRYLSJWLU-UHFFFAOYSA-N 1 2 270.376 3.649 20 0 CHADLO Oc1cccc(Nc2cc(Cl)c3[nH+]ccn3c2)c1F ZINC001212547019 1121281809 /nfs/dbraw/zinc/28/18/09/1121281809.db2.gz DERGPAAAWFQLJS-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO Nc1ccc(Cl)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175350907 1121292576 /nfs/dbraw/zinc/29/25/76/1121292576.db2.gz FFXFFAYGLYXSAW-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO Oc1cccc(Cl)c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175352312 1121293081 /nfs/dbraw/zinc/29/30/81/1121293081.db2.gz NDXXKBRRSUOQHJ-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO Oc1cc(Cl)ccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175352776 1121293294 /nfs/dbraw/zinc/29/32/94/1121293294.db2.gz RCFTWHKDMDLOGW-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc3ccccc3n1)C2 ZINC001175361796 1121297711 /nfs/dbraw/zinc/29/77/11/1121297711.db2.gz KIRYLGVGGWWFDL-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc3ccccc3n1)C2 ZINC001175361796 1121297714 /nfs/dbraw/zinc/29/77/14/1121297714.db2.gz KIRYLGVGGWWFDL-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO Cc1cn2cccc(Nc3ccc(-n4cccn4)cc3)c2[nH+]1 ZINC001175369247 1121299343 /nfs/dbraw/zinc/29/93/43/1121299343.db2.gz SPXATHPFVOEBMD-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO CO[C@H]1CCC[N@H+](Cc2ccc(F)c(Cl)c2Cl)C1 ZINC000432742548 1121310814 /nfs/dbraw/zinc/31/08/14/1121310814.db2.gz UAXKCCQWNKDCPU-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@H]1CCC[N@@H+](Cc2ccc(F)c(Cl)c2Cl)C1 ZINC000432742548 1121310824 /nfs/dbraw/zinc/31/08/24/1121310824.db2.gz UAXKCCQWNKDCPU-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO CCC(CC)(CC)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000675481282 1121317338 /nfs/dbraw/zinc/31/73/38/1121317338.db2.gz AOZAKIUUPIXEAR-CABCVRRESA-N 1 2 291.439 3.509 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(cnn2C)c1 ZINC001175420436 1121318864 /nfs/dbraw/zinc/31/88/64/1121318864.db2.gz UWSNOMQTDKWLLK-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO CC(C)(C)n1cc(Nc2ccc[nH+]c2N2CCCCC2)cn1 ZINC001212567740 1121320118 /nfs/dbraw/zinc/32/01/18/1121320118.db2.gz OTEYMXVAAFOCOT-UHFFFAOYSA-N 1 2 299.422 3.767 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3ccc(F)cc3s2)o1 ZINC000432748482 1121320632 /nfs/dbraw/zinc/32/06/32/1121320632.db2.gz UGEHDDLAQRYUDI-UHFFFAOYSA-N 1 2 290.363 3.969 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3ccc(F)cc3s2)o1 ZINC000432748482 1121320641 /nfs/dbraw/zinc/32/06/41/1121320641.db2.gz UGEHDDLAQRYUDI-UHFFFAOYSA-N 1 2 290.363 3.969 20 0 CHADLO Cc1nc(N2CCC(c3ccccc3F)CC2)c(C)c(C)[nH+]1 ZINC000675549259 1121325516 /nfs/dbraw/zinc/32/55/16/1121325516.db2.gz HTOMVOFNWNKASU-UHFFFAOYSA-N 1 2 299.393 3.925 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2cnn(C)c21 ZINC001175442856 1121328388 /nfs/dbraw/zinc/32/83/88/1121328388.db2.gz DLWNZEBWWUJLPL-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO c1ccc(CSCCC[N@@H+]2OC3CCC2CC3)cc1 ZINC001175483101 1121338156 /nfs/dbraw/zinc/33/81/56/1121338156.db2.gz BPYROMMTGMEVLR-UHFFFAOYSA-N 1 2 277.433 3.868 20 0 CHADLO c1ccc(CSCCC[N@H+]2OC3CCC2CC3)cc1 ZINC001175483101 1121338169 /nfs/dbraw/zinc/33/81/69/1121338169.db2.gz BPYROMMTGMEVLR-UHFFFAOYSA-N 1 2 277.433 3.868 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2COc3ccc(Cl)cc32)n1 ZINC000675810870 1121365872 /nfs/dbraw/zinc/36/58/72/1121365872.db2.gz VPCWERNWAHSLHG-JOYOIKCWSA-N 1 2 294.807 3.889 20 0 CHADLO CCOc1ccc(NCc2c[nH+]cn2C(C)C)c(C)c1 ZINC000090208632 1121373093 /nfs/dbraw/zinc/37/30/93/1121373093.db2.gz XEPVSBFPJSPZIN-UHFFFAOYSA-N 1 2 273.380 3.783 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCCc2sccc2C1 ZINC000763539561 1128981456 /nfs/dbraw/zinc/98/14/56/1128981456.db2.gz RCTWQERXNUDCFX-POHAHGRESA-N 1 2 262.205 3.815 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCCc2sccc2C1 ZINC000763539561 1128981458 /nfs/dbraw/zinc/98/14/58/1128981458.db2.gz RCTWQERXNUDCFX-POHAHGRESA-N 1 2 262.205 3.815 20 0 CHADLO CN(C)c1ccc(NC=Cc2ccc(Cl)cc2)c[nH+]1 ZINC001175594644 1121378539 /nfs/dbraw/zinc/37/85/39/1121378539.db2.gz URHNBQWBAUAGAE-MDZDMXLPSA-N 1 2 273.767 3.884 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccccc2OCCC(C)C)on1 ZINC000631057478 1128982000 /nfs/dbraw/zinc/98/20/00/1128982000.db2.gz BPCNTDCZEQEJMD-UHFFFAOYSA-N 1 2 288.391 3.698 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1nnc(C(C)(C)C)[nH]1)C1CC1 ZINC000432832576 1121399252 /nfs/dbraw/zinc/39/92/52/1121399252.db2.gz ZUFWSYTWVLRGRW-ZDUSSCGKSA-N 1 2 298.434 3.828 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1nnc(C(C)(C)C)[nH]1)C1CC1 ZINC000432832576 1121399260 /nfs/dbraw/zinc/39/92/60/1121399260.db2.gz ZUFWSYTWVLRGRW-ZDUSSCGKSA-N 1 2 298.434 3.828 20 0 CHADLO CCC[C@@H]([NH2+][C@H]1C[C@H](c2ccccc2C)C1)C(=O)OCC ZINC000500804100 1121402524 /nfs/dbraw/zinc/40/25/24/1121402524.db2.gz ODRUWNGWFWPVID-BFYDXBDKSA-N 1 2 289.419 3.562 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(F)cc1C(F)(F)F ZINC000705540627 1121406655 /nfs/dbraw/zinc/40/66/55/1121406655.db2.gz VXULGMRZJGYCQU-QMMMGPOBSA-N 1 2 288.244 3.683 20 0 CHADLO CSc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC001175652831 1121409647 /nfs/dbraw/zinc/40/96/47/1121409647.db2.gz BJKDYLCAXHGQBI-UHFFFAOYSA-N 1 2 296.399 3.792 20 0 CHADLO CC(C)c1ccc2c(c1)[C@@H]([NH2+]Cc1csnn1)CCC2 ZINC000345067906 1121413046 /nfs/dbraw/zinc/41/30/46/1121413046.db2.gz PYTFHINLIVECDS-INIZCTEOSA-N 1 2 287.432 3.829 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@H]1CCCCO1 ZINC000705983373 1121415832 /nfs/dbraw/zinc/41/58/32/1121415832.db2.gz HETLIQDQMGAQNN-YOEHRIQHSA-N 1 2 274.408 3.656 20 0 CHADLO Cc1n[nH]c2ccc(Nc3ccc4c(c3)[nH+]cn4C)cc12 ZINC001175700766 1121419767 /nfs/dbraw/zinc/41/97/67/1121419767.db2.gz WRQZACNMGDBLJZ-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Cc1[nH]nc2ccc(Nc3ccc4c(c3)[nH+]cn4C)cc12 ZINC001175700766 1121419770 /nfs/dbraw/zinc/41/97/70/1121419770.db2.gz WRQZACNMGDBLJZ-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cccc(F)c2F)c[nH+]1 ZINC001175712483 1121434862 /nfs/dbraw/zinc/43/48/62/1121434862.db2.gz MXAJLMKWNAEDDG-UHFFFAOYSA-N 1 2 289.207 3.704 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cc(F)cc(F)c2)c[nH+]1 ZINC001175712817 1121435315 /nfs/dbraw/zinc/43/53/15/1121435315.db2.gz STPORXNZSWFTAZ-UHFFFAOYSA-N 1 2 289.207 3.704 20 0 CHADLO CCc1ccc(Nc2c[nH+]c(N)cc2C(F)(F)F)cc1 ZINC001175712995 1121435448 /nfs/dbraw/zinc/43/54/48/1121435448.db2.gz WYXUSCDYSCFMBP-UHFFFAOYSA-N 1 2 281.281 3.989 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccoc2C)c(C)s1 ZINC000676489099 1121437571 /nfs/dbraw/zinc/43/75/71/1121437571.db2.gz HRSZCSDCLMOUNJ-QMMMGPOBSA-N 1 2 250.367 3.512 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)cc(OC)cc1F ZINC001175720398 1121438000 /nfs/dbraw/zinc/43/80/00/1121438000.db2.gz IPEZZSHUUWKBTD-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CC(C)(C)CCCN1CC[NH+](CCCOC(C)(C)C)CC1 ZINC001175734636 1121441669 /nfs/dbraw/zinc/44/16/69/1121441669.db2.gz XWJPPUMSCLRXGL-UHFFFAOYSA-N 1 2 298.515 3.636 20 0 CHADLO Cc1ncoc1C[N@@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000893928424 1121442020 /nfs/dbraw/zinc/44/20/20/1121442020.db2.gz QSNBKYNXWJWYND-NHYWBVRUSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ncoc1C[N@H+](Cc1ccc([C@H]2C[C@@H]2C)o1)C1CC1 ZINC000893928424 1121442024 /nfs/dbraw/zinc/44/20/24/1121442024.db2.gz QSNBKYNXWJWYND-NHYWBVRUSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1cc(NCC2CC(F)(F)C2)nc(C2CCC2)[nH+]1 ZINC000893945725 1121444859 /nfs/dbraw/zinc/44/48/59/1121444859.db2.gz MCVFAMBFBHYYIB-UHFFFAOYSA-N 1 2 267.323 3.510 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cc(C3CCC3)no2)c[nH+]1 ZINC001175710184 1121449722 /nfs/dbraw/zinc/44/97/22/1121449722.db2.gz XQVREGIQZCVSFB-UHFFFAOYSA-N 1 2 298.268 3.682 20 0 CHADLO Cc1cscc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001175765838 1121458316 /nfs/dbraw/zinc/45/83/16/1121458316.db2.gz UYFJWVAKHPATKQ-UHFFFAOYSA-N 1 2 255.346 3.986 20 0 CHADLO C[C@@H]1COCCC[N@@H+]1Cc1csc(C2CCCCC2)n1 ZINC000676712921 1121458981 /nfs/dbraw/zinc/45/89/81/1121458981.db2.gz CBWVKOKEROJXDF-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]1COCCC[N@H+]1Cc1csc(C2CCCCC2)n1 ZINC000676712921 1121458985 /nfs/dbraw/zinc/45/89/85/1121458985.db2.gz CBWVKOKEROJXDF-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(Cl)c(OC)c1 ZINC001175773423 1121462278 /nfs/dbraw/zinc/46/22/78/1121462278.db2.gz GAHMEVQOCDJZJU-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO Cc1noc2ncc(C[N@@H+]3CC[C@@H]3c3cccc(F)c3)cc12 ZINC000894062560 1121462649 /nfs/dbraw/zinc/46/26/49/1121462649.db2.gz BCNOIESBLNSQAC-MRXNPFEDSA-N 1 2 297.333 3.617 20 0 CHADLO Cc1noc2ncc(C[N@H+]3CC[C@@H]3c3cccc(F)c3)cc12 ZINC000894062560 1121462653 /nfs/dbraw/zinc/46/26/53/1121462653.db2.gz BCNOIESBLNSQAC-MRXNPFEDSA-N 1 2 297.333 3.617 20 0 CHADLO COc1c(F)cc(F)cc1C[N@H+](C)Cc1cccc(F)c1 ZINC001143552052 1121467595 /nfs/dbraw/zinc/46/75/95/1121467595.db2.gz PHGYEQDSRQZNHV-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1c(F)cc(F)cc1C[N@@H+](C)Cc1cccc(F)c1 ZINC001143552052 1121467599 /nfs/dbraw/zinc/46/75/99/1121467599.db2.gz PHGYEQDSRQZNHV-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COCc1cnc(C[N@H+](C)Cc2cc(C)ccc2C)s1 ZINC000432889060 1121469765 /nfs/dbraw/zinc/46/97/65/1121469765.db2.gz FUZBPZCYXVPFBB-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO COCc1cnc(C[N@@H+](C)Cc2cc(C)ccc2C)s1 ZINC000432889060 1121469769 /nfs/dbraw/zinc/46/97/69/1121469769.db2.gz FUZBPZCYXVPFBB-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(-c2cccnc2)cc1 ZINC001175887848 1121477504 /nfs/dbraw/zinc/47/75/04/1121477504.db2.gz IRHWWDALYFPKRV-UHFFFAOYSA-N 1 2 277.327 3.901 20 0 CHADLO C[N@H+](CC(=O)OC(C)(C)C)Cc1cc2ccccc2s1 ZINC000512582427 1121482819 /nfs/dbraw/zinc/48/28/19/1121482819.db2.gz MUQXCHYNSSDWKL-UHFFFAOYSA-N 1 2 291.416 3.675 20 0 CHADLO C[N@@H+](CC(=O)OC(C)(C)C)Cc1cc2ccccc2s1 ZINC000512582427 1121482821 /nfs/dbraw/zinc/48/28/21/1121482821.db2.gz MUQXCHYNSSDWKL-UHFFFAOYSA-N 1 2 291.416 3.675 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCCC(F)(F)CC2)no1 ZINC000625770922 1121493187 /nfs/dbraw/zinc/49/31/87/1121493187.db2.gz YGELNULUZYFKLQ-UHFFFAOYSA-N 1 2 272.339 3.593 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCCC(F)(F)CC2)no1 ZINC000625770922 1121493191 /nfs/dbraw/zinc/49/31/91/1121493191.db2.gz YGELNULUZYFKLQ-UHFFFAOYSA-N 1 2 272.339 3.593 20 0 CHADLO CCc1onc(C)c1C[NH2+]Cc1ncc(C(C)(C)C)s1 ZINC000922982948 1121503667 /nfs/dbraw/zinc/50/36/67/1121503667.db2.gz HNUOLFQBKWUQKR-UHFFFAOYSA-N 1 2 293.436 3.589 20 0 CHADLO Cc1cc(C)c(/C=C\C[NH2+][C@@H](C)c2csnn2)c(C)c1 ZINC000631158456 1128988606 /nfs/dbraw/zinc/98/86/06/1128988606.db2.gz AXKQMFTYAZECJQ-GXMNPTEKSA-N 1 2 287.432 3.827 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1cc(Cl)cc2c1OCC2 ZINC000922986731 1121505733 /nfs/dbraw/zinc/50/57/33/1121505733.db2.gz KOKJHEIVOHJJFO-SECBINFHSA-N 1 2 294.807 3.582 20 0 CHADLO COc1ccc(Nc2ccc3c(C)cc[nH+]c3c2)cc1CO ZINC001175987031 1121513561 /nfs/dbraw/zinc/51/35/61/1121513561.db2.gz OINVVFVYJJJOEM-UHFFFAOYSA-N 1 2 294.354 3.788 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@@H+]2CCn3cccc3C2)c1 ZINC001176000032 1121518424 /nfs/dbraw/zinc/51/84/24/1121518424.db2.gz PLRKZDPMSAEGIE-UHFFFAOYSA-N 1 2 284.403 3.681 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@H+]2CCn3cccc3C2)c1 ZINC001176000032 1121518430 /nfs/dbraw/zinc/51/84/30/1121518430.db2.gz PLRKZDPMSAEGIE-UHFFFAOYSA-N 1 2 284.403 3.681 20 0 CHADLO Cc1cn2cccc(Nc3ccccc3OC(F)F)c2[nH+]1 ZINC001176009624 1121523517 /nfs/dbraw/zinc/52/35/17/1121523517.db2.gz KWBHGCBDTHQULS-UHFFFAOYSA-N 1 2 289.285 3.988 20 0 CHADLO CC1([NH2+]Cc2cccc(Cl)c2O)Cc2ccccc2C1 ZINC000182663514 1128991348 /nfs/dbraw/zinc/99/13/48/1128991348.db2.gz XPCXGVOBROJOSU-UHFFFAOYSA-N 1 2 287.790 3.693 20 0 CHADLO CCOC1CC(C[N@H+](CC)c2cc(C)cc(C)c2)C1 ZINC000509330293 1121532546 /nfs/dbraw/zinc/53/25/46/1121532546.db2.gz FRECPNZWNMGEKC-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO CCOC1CC(C[N@@H+](CC)c2cc(C)cc(C)c2)C1 ZINC000509330293 1121532551 /nfs/dbraw/zinc/53/25/51/1121532551.db2.gz FRECPNZWNMGEKC-UHFFFAOYSA-N 1 2 261.409 3.945 20 0 CHADLO C[C@H]([NH2+][C@H]1CC(C)(C)Cc2occc21)c1ccon1 ZINC000543627942 1121533775 /nfs/dbraw/zinc/53/37/75/1121533775.db2.gz ZHNYNXFMUUSEBM-GWCFXTLKSA-N 1 2 260.337 3.632 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC(C)(C)Cc2occc21)c1ccon1 ZINC000543627945 1121534195 /nfs/dbraw/zinc/53/41/95/1121534195.db2.gz ZHNYNXFMUUSEBM-MFKMUULPSA-N 1 2 260.337 3.632 20 0 CHADLO FC(F)[C@@H]([NH2+]CCCc1ccncc1)c1ccccc1 ZINC000433046219 1121535431 /nfs/dbraw/zinc/53/54/31/1121535431.db2.gz TXDKSRLHJKCHCO-HNNXBMFYSA-N 1 2 276.330 3.610 20 0 CHADLO COc1cccc2[nH]c(Nc3cccc(C)[nH+]3)cc21 ZINC001176060369 1121542949 /nfs/dbraw/zinc/54/29/49/1121542949.db2.gz WFIXEENQLHKILH-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO COc1cccc2[nH]c([N@@H+]3CCCc4cc(N)ccc43)cc21 ZINC001176066090 1121547449 /nfs/dbraw/zinc/54/74/49/1121547449.db2.gz ULVIRKSJXODQFQ-UHFFFAOYSA-N 1 2 293.370 3.843 20 0 CHADLO COc1cccc2[nH]c([N@H+]3CCCc4cc(N)ccc43)cc21 ZINC001176066090 1121547454 /nfs/dbraw/zinc/54/74/54/1121547454.db2.gz ULVIRKSJXODQFQ-UHFFFAOYSA-N 1 2 293.370 3.843 20 0 CHADLO Cc1ccc2ccc(F)c(Nc3ccc(N(C)C)[nH+]c3)c2n1 ZINC001176113749 1121555162 /nfs/dbraw/zinc/55/51/62/1121555162.db2.gz MZOHJLDRFPYAQO-UHFFFAOYSA-N 1 2 296.349 3.887 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(Cl)c1 ZINC001176120500 1121557095 /nfs/dbraw/zinc/55/70/95/1121557095.db2.gz BUDLPLPRURWKJH-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(OC)c1C(F)(F)F ZINC001176123214 1121557872 /nfs/dbraw/zinc/55/78/72/1121557872.db2.gz QFTDNLZUWBJSSC-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OC)cc1OCC ZINC001176122648 1121558356 /nfs/dbraw/zinc/55/83/56/1121558356.db2.gz GDAHWNGKTZSAPV-UHFFFAOYSA-N 1 2 288.347 3.631 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(CC(F)(F)F)cc1 ZINC001176123943 1121558698 /nfs/dbraw/zinc/55/86/98/1121558698.db2.gz ZEKHDJYLLISIJD-UHFFFAOYSA-N 1 2 282.265 3.939 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C)c(F)cc1Cl ZINC001176123929 1121558844 /nfs/dbraw/zinc/55/88/44/1121558844.db2.gz YMDCWWDZIHQFGB-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2ocnc2cc1Cl ZINC001176124053 1121559046 /nfs/dbraw/zinc/55/90/46/1121559046.db2.gz NCQTTZMOHUZKOT-UHFFFAOYSA-N 1 2 275.695 3.628 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C)c(Br)c1 ZINC001176123054 1121559146 /nfs/dbraw/zinc/55/91/46/1121559146.db2.gz LGHWCWUXKRBHTA-UHFFFAOYSA-N 1 2 293.164 3.905 20 0 CHADLO Cc1cc(C)c(C(=O)NCc2cscc2Cl)c(C)[nH+]1 ZINC000923338152 1121560741 /nfs/dbraw/zinc/56/07/41/1121560741.db2.gz XWFFQDUIHQPRNF-UHFFFAOYSA-N 1 2 294.807 3.652 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2c(c1)CCCC2 ZINC001176124191 1121560738 /nfs/dbraw/zinc/56/07/38/1121560738.db2.gz KNUGDPXZTPNOJT-UHFFFAOYSA-N 1 2 254.333 3.713 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1Cc1c(Cl)oc2ccccc21 ZINC000509782215 1121578848 /nfs/dbraw/zinc/57/88/48/1121578848.db2.gz LHGLJQCHDAWOSH-LLVKDONJSA-N 1 2 279.767 3.697 20 0 CHADLO CC[C@@H]1COCC[N@H+]1Cc1c(Cl)oc2ccccc21 ZINC000509782215 1121578852 /nfs/dbraw/zinc/57/88/52/1121578852.db2.gz LHGLJQCHDAWOSH-LLVKDONJSA-N 1 2 279.767 3.697 20 0 CHADLO Cc1cn2cccc(Nc3ccc4c(c3)CCC4=O)c2[nH+]1 ZINC001176233256 1121582814 /nfs/dbraw/zinc/58/28/14/1121582814.db2.gz XXXPBBUEZHWTEF-UHFFFAOYSA-N 1 2 277.327 3.515 20 0 CHADLO COc1cccc2c1C[N@H+](CCc1c(F)cccc1F)C2 ZINC000626023720 1121583563 /nfs/dbraw/zinc/58/35/63/1121583563.db2.gz PMIQGGRKWJHVJX-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc2c1C[N@@H+](CCc1c(F)cccc1F)C2 ZINC000626023720 1121583571 /nfs/dbraw/zinc/58/35/71/1121583571.db2.gz PMIQGGRKWJHVJX-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Oc1cccc2c1CC[N@H+](Cc1sccc1Cl)C2 ZINC000626028516 1121584152 /nfs/dbraw/zinc/58/41/52/1121584152.db2.gz MLNMHPXJEPCUBB-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1cccc2c1CC[N@@H+](Cc1sccc1Cl)C2 ZINC000626028516 1121584159 /nfs/dbraw/zinc/58/41/59/1121584159.db2.gz MLNMHPXJEPCUBB-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Cc1cn2c(cccc2Nc2cncc(C3CC3)c2)[nH+]1 ZINC001176281835 1121585957 /nfs/dbraw/zinc/58/59/57/1121585957.db2.gz HFAJPQQRUDBHES-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccsc3C2)cc(Cl)n1 ZINC000711891418 1121586144 /nfs/dbraw/zinc/58/61/44/1121586144.db2.gz GFKSUFMXLQTDHU-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccsc3C2)cc(Cl)n1 ZINC000711891418 1121586149 /nfs/dbraw/zinc/58/61/49/1121586149.db2.gz GFKSUFMXLQTDHU-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2F)nc1 ZINC000411455199 1121589940 /nfs/dbraw/zinc/58/99/40/1121589940.db2.gz ZPNOMBMNGBNXJD-NSHDSACASA-N 1 2 276.330 3.773 20 0 CHADLO CCOc1cc(F)c(F)cc1Nc1cccn2cc[nH+]c12 ZINC001211798678 1121599112 /nfs/dbraw/zinc/59/91/12/1121599112.db2.gz AUNVXILQVDZRDY-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO Cc1cc(OC(C)C)ccc1Nc1[nH+]cccc1N ZINC001211809225 1121605289 /nfs/dbraw/zinc/60/52/89/1121605289.db2.gz BULTUOZDLXSTJC-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO CCCOc1ccc(Nc2[nH+]cccc2N)cc1Cl ZINC001176306265 1121605987 /nfs/dbraw/zinc/60/59/87/1121605987.db2.gz NNANDPARFLWBLO-UHFFFAOYSA-N 1 2 277.755 3.850 20 0 CHADLO O[C@@H]1CCCC[C@@H]1[C@H]1CCCN1c1cc2ccccc2c[nH+]1 ZINC000631263762 1128996879 /nfs/dbraw/zinc/99/68/79/1128996879.db2.gz UENBMRCVKZMFSR-KZNAEPCWSA-N 1 2 296.414 3.755 20 0 CHADLO Cc1ccc2c(Nc3csc(CO)c3)cccc2[nH+]1 ZINC001176369420 1121616237 /nfs/dbraw/zinc/61/62/37/1121616237.db2.gz NSLSHAZVJCVULS-UHFFFAOYSA-N 1 2 270.357 3.841 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3csc(CO)c3)ccc12 ZINC001176369269 1121616902 /nfs/dbraw/zinc/61/69/02/1121616902.db2.gz MGBIDBVPULNCAV-UHFFFAOYSA-N 1 2 270.357 3.841 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2ccoc2)c[nH+]1 ZINC000094868218 1128997642 /nfs/dbraw/zinc/99/76/42/1128997642.db2.gz YAIMMOKMJZKZNP-LBPRGKRZSA-N 1 2 259.353 3.694 20 0 CHADLO CC1(C)CC(Nc2ccc(-n3cc[nH+]c3)c(F)c2)C1 ZINC000433212439 1121620065 /nfs/dbraw/zinc/62/00/65/1121620065.db2.gz IRXNAAQWKDWASJ-UHFFFAOYSA-N 1 2 259.328 3.612 20 0 CHADLO Cc1cscc1C[NH2+]Cc1ncc(C(F)(F)F)s1 ZINC000433339348 1121651291 /nfs/dbraw/zinc/65/12/91/1121651291.db2.gz KUVMZLSQRQARFC-UHFFFAOYSA-N 1 2 292.351 3.822 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccccc1Cl ZINC001176552593 1121653506 /nfs/dbraw/zinc/65/35/06/1121653506.db2.gz GCQKYLHHFAEKJM-UHFFFAOYSA-N 1 2 257.724 3.570 20 0 CHADLO COc1ccc(O)cc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001176573530 1121658423 /nfs/dbraw/zinc/65/84/23/1121658423.db2.gz ATXXEOJTGYYCJP-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO C[C@@H]1CCCC[C@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC000513009275 1121662297 /nfs/dbraw/zinc/66/22/97/1121662297.db2.gz RHJVVKUAEDZAMY-UKRRQHHQSA-N 1 2 259.397 3.672 20 0 CHADLO CCc1nc(N2CC(C)(C)[C@@H]2c2ccco2)cc(C)[nH+]1 ZINC000631421392 1129000878 /nfs/dbraw/zinc/00/08/78/1129000878.db2.gz LNCUGBSZZRCOKN-HNNXBMFYSA-N 1 2 271.364 3.528 20 0 CHADLO CC1(Nc2[nH]c3ccc(Br)cc3[nH+]2)CCC1 ZINC001164033080 1121666963 /nfs/dbraw/zinc/66/69/63/1121666963.db2.gz VVRFWNWVPYOEKM-UHFFFAOYSA-N 1 2 280.169 3.680 20 0 CHADLO CC1(Nc2[nH]c3cc(Br)ccc3[nH+]2)CCC1 ZINC001164033080 1121666965 /nfs/dbraw/zinc/66/69/65/1121666965.db2.gz VVRFWNWVPYOEKM-UHFFFAOYSA-N 1 2 280.169 3.680 20 0 CHADLO Cc1ncoc1C[NH2+]Cc1ccc(Cl)cc1Cl ZINC000894146523 1121667084 /nfs/dbraw/zinc/66/70/84/1121667084.db2.gz XTLBWXPKOXPBIL-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccccc2Cl)no1 ZINC000052211073 1121678872 /nfs/dbraw/zinc/67/88/72/1121678872.db2.gz NOZZUHKLMYZAKP-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccccc2Cl)no1 ZINC000052211073 1121678875 /nfs/dbraw/zinc/67/88/75/1121678875.db2.gz NOZZUHKLMYZAKP-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1ccc(C)c(Nc2ccnn2Cc2ccccc2)[nH+]1 ZINC001176704010 1121688095 /nfs/dbraw/zinc/68/80/95/1121688095.db2.gz WBITUUYKNHVNFZ-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO CCOc1cc(Nc2ccc[nH+]c2N2CCCC2)cc(C)n1 ZINC001176749936 1121688137 /nfs/dbraw/zinc/68/81/37/1121688137.db2.gz OARVSCXRFCULPN-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO COc1ccc(CC[C@@H](C)[NH2+]CC(F)(F)C(F)F)cc1 ZINC000191766769 1121689668 /nfs/dbraw/zinc/68/96/68/1121689668.db2.gz OYPNIRXBGIQZLO-SNVBAGLBSA-N 1 2 293.304 3.506 20 0 CHADLO CCCN(C(=O)CCc1c[nH]c[nH+]1)[C@H](CC)c1ccccc1 ZINC000279679099 1121710028 /nfs/dbraw/zinc/71/00/28/1121710028.db2.gz OKUXMBGCQRCWAE-QGZVFWFLSA-N 1 2 299.418 3.732 20 0 CHADLO CCCN(C(=O)CCc1c[nH+]c[nH]1)[C@H](CC)c1ccccc1 ZINC000279679099 1121710032 /nfs/dbraw/zinc/71/00/32/1121710032.db2.gz OKUXMBGCQRCWAE-QGZVFWFLSA-N 1 2 299.418 3.732 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)c2cc(C)ccc2C)c1C ZINC000727585430 1121724826 /nfs/dbraw/zinc/72/48/26/1121724826.db2.gz ARJOEVSZIUETCK-UHFFFAOYSA-N 1 2 284.403 3.960 20 0 CHADLO CC(C)(C)CCCC(=O)N[C@@H](Cn1cc[nH+]c1)C(C)(C)C ZINC000728982760 1121733664 /nfs/dbraw/zinc/73/36/64/1121733664.db2.gz MKKRYQGFNSKXHJ-AWEZNQCLSA-N 1 2 293.455 3.630 20 0 CHADLO CC(C)(C)[C@@H]1CN(C(=O)CCc2c[nH]c[nH+]2)c2ccccc21 ZINC000281458217 1121737720 /nfs/dbraw/zinc/73/77/20/1121737720.db2.gz CWJWBTMNTXTIPQ-OAHLLOKOSA-N 1 2 297.402 3.519 20 0 CHADLO CC(C)(C)[C@@H]1CN(C(=O)CCc2c[nH+]c[nH]2)c2ccccc21 ZINC000281458217 1121737724 /nfs/dbraw/zinc/73/77/24/1121737724.db2.gz CWJWBTMNTXTIPQ-OAHLLOKOSA-N 1 2 297.402 3.519 20 0 CHADLO CC[C@H]1CCC[N@@H+]1Cn1nc(-c2ccccc2)oc1=S ZINC000727823299 1121750987 /nfs/dbraw/zinc/75/09/87/1121750987.db2.gz HKFZYSITPHWFHD-ZDUSSCGKSA-N 1 2 289.404 3.704 20 0 CHADLO CC[C@H]1CCC[N@H+]1Cn1nc(-c2ccccc2)oc1=S ZINC000727823299 1121750993 /nfs/dbraw/zinc/75/09/93/1121750993.db2.gz HKFZYSITPHWFHD-ZDUSSCGKSA-N 1 2 289.404 3.704 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2csc3ccccc23)n1 ZINC000052806140 1121771818 /nfs/dbraw/zinc/77/18/18/1121771818.db2.gz PWFYWXBFXCAFOD-SNVBAGLBSA-N 1 2 287.388 3.786 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2csc3ccccc23)n1 ZINC000052806140 1121771825 /nfs/dbraw/zinc/77/18/25/1121771825.db2.gz PWFYWXBFXCAFOD-SNVBAGLBSA-N 1 2 287.388 3.786 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@H](C)[C@@H]2C)c1 ZINC001166613594 1121778831 /nfs/dbraw/zinc/77/88/31/1121778831.db2.gz GAXCNWANMUEZSI-RYUDHWBXSA-N 1 2 250.411 3.818 20 0 CHADLO COC1(C[C@H](C)[NH2+]c2ccc(N(C)C)c(C)c2)CCC1 ZINC000760013748 1121785053 /nfs/dbraw/zinc/78/50/53/1121785053.db2.gz FXRLVPLMLOBNON-AWEZNQCLSA-N 1 2 276.424 3.821 20 0 CHADLO COC1(C[C@H](C)Nc2ccc([NH+](C)C)c(C)c2)CCC1 ZINC000760013748 1121785060 /nfs/dbraw/zinc/78/50/60/1121785060.db2.gz FXRLVPLMLOBNON-AWEZNQCLSA-N 1 2 276.424 3.821 20 0 CHADLO Cc1cc(CNc2cn(C)nc2-c2ccccc2)cc(C)[nH+]1 ZINC001178469449 1121789482 /nfs/dbraw/zinc/78/94/82/1121789482.db2.gz OVVSDFZDQJNRLF-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO C[C@@]1(CCc2ccccc2)CCN(c2cccc[nH+]2)C1 ZINC000433643324 1121798933 /nfs/dbraw/zinc/79/89/33/1121798933.db2.gz DOFNARFJEDRUSG-GOSISDBHSA-N 1 2 266.388 3.931 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cccnc1Cl ZINC000158885775 1121812983 /nfs/dbraw/zinc/81/29/83/1121812983.db2.gz OZZFELXLUBTBEF-UHFFFAOYSA-N 1 2 286.766 3.717 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NCc3ccncc3C)cc2)c1C ZINC001178827011 1121821069 /nfs/dbraw/zinc/82/10/69/1121821069.db2.gz KSEKOSGNSQYFED-UHFFFAOYSA-N 1 2 292.386 3.805 20 0 CHADLO c1cnc2c(c1)[C@H](Nc1ccc(N3CCCC3)[nH+]c1)CCC2 ZINC000631606637 1129012885 /nfs/dbraw/zinc/01/28/85/1129012885.db2.gz JZVZKCHGZPGNER-QGZVFWFLSA-N 1 2 294.402 3.566 20 0 CHADLO Cc1cc(C)c(CO[NH+]=C(N)Cc2cccs2)c(C)c1 ZINC000731028960 1121842692 /nfs/dbraw/zinc/84/26/92/1121842692.db2.gz LFCWSYOJGMWZBP-UHFFFAOYSA-N 1 2 288.416 3.915 20 0 CHADLO COc1cccc(NCc2ccc(-n3cc[nH+]c3)cc2)c1C ZINC001179152957 1121855687 /nfs/dbraw/zinc/85/56/87/1121855687.db2.gz GJMVQCICRGNOEJ-UHFFFAOYSA-N 1 2 293.370 3.801 20 0 CHADLO FC[C@H]([NH2+]Cc1cc2cnccc2o1)c1ccc(F)cc1 ZINC001179402581 1121873967 /nfs/dbraw/zinc/87/39/67/1121873967.db2.gz VUHWBVRDJGSRNV-HNNXBMFYSA-N 1 2 288.297 3.767 20 0 CHADLO Fc1ccc2onc(C[NH2+]Cc3cscc3Cl)c2c1 ZINC001179390672 1121876040 /nfs/dbraw/zinc/87/60/40/1121876040.db2.gz LQUPDJGIFRPXJW-UHFFFAOYSA-N 1 2 296.754 3.972 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@@H]3CC[C@@H](C)C3)cc2[nH+]1 ZINC000345532004 1121891361 /nfs/dbraw/zinc/89/13/61/1121891361.db2.gz ALMAENQIVWZBCB-ZYHUDNBSSA-N 1 2 271.364 3.636 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@@H]3CC[C@H](C)C3)cc2[nH+]1 ZINC000345531992 1121891525 /nfs/dbraw/zinc/89/15/25/1121891525.db2.gz ALMAENQIVWZBCB-CMPLNLGQSA-N 1 2 271.364 3.636 20 0 CHADLO CC1(C)C(C(=O)Nc2ccc(Cn3cc[nH+]c3)cc2)C1(C)C ZINC001179694627 1121900555 /nfs/dbraw/zinc/90/05/55/1121900555.db2.gz UNLXPKSTPOVVMK-UHFFFAOYSA-N 1 2 297.402 3.552 20 0 CHADLO CCn1cnc(Cl)c1C[N@H+](CC)Cc1ccccc1 ZINC001180430019 1121987526 /nfs/dbraw/zinc/98/75/26/1121987526.db2.gz JVZIHVOSCKPYNR-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CCn1cnc(Cl)c1C[N@@H+](CC)Cc1ccccc1 ZINC001180430019 1121987533 /nfs/dbraw/zinc/98/75/33/1121987533.db2.gz JVZIHVOSCKPYNR-UHFFFAOYSA-N 1 2 277.799 3.579 20 0 CHADLO CC[C@H](C)[N@@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000501842454 1122015550 /nfs/dbraw/zinc/01/55/50/1122015550.db2.gz MNHDTAZSUCUCHU-NSHDSACASA-N 1 2 262.784 3.909 20 0 CHADLO CC[C@H](C)[N@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000501842454 1122015554 /nfs/dbraw/zinc/01/55/54/1122015554.db2.gz MNHDTAZSUCUCHU-NSHDSACASA-N 1 2 262.784 3.909 20 0 CHADLO Fc1cccc(Cc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001249988296 1122018877 /nfs/dbraw/zinc/01/88/77/1122018877.db2.gz OFWBAKCNVGWNJM-UHFFFAOYSA-N 1 2 270.351 3.802 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1cnn(C)c1Cl ZINC000816487207 1122026115 /nfs/dbraw/zinc/02/61/15/1122026115.db2.gz GRHKPNSKTJYFQI-UHFFFAOYSA-N 1 2 283.828 3.546 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1cnn(C)c1Cl ZINC000816487207 1122026124 /nfs/dbraw/zinc/02/61/24/1122026124.db2.gz GRHKPNSKTJYFQI-UHFFFAOYSA-N 1 2 283.828 3.546 20 0 CHADLO C[C@@H]1C[C@H](CO)C[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000420935134 1122045259 /nfs/dbraw/zinc/04/52/59/1122045259.db2.gz HMLXPWJDWNLRHS-BDAKNGLRSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@@H]1C[C@H](CO)C[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000420935134 1122045263 /nfs/dbraw/zinc/04/52/63/1122045263.db2.gz HMLXPWJDWNLRHS-BDAKNGLRSA-N 1 2 294.247 3.505 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@@H+]1CCn2cccc2[C@H]1C ZINC001204294278 1122045722 /nfs/dbraw/zinc/04/57/22/1122045722.db2.gz MLMSLJXLQJWLEK-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@H+]1CCn2cccc2[C@H]1C ZINC001204294278 1122045730 /nfs/dbraw/zinc/04/57/30/1122045730.db2.gz MLMSLJXLQJWLEK-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO C[C@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1cccc(O)c1 ZINC000631798447 1129029419 /nfs/dbraw/zinc/02/94/19/1129029419.db2.gz SUHSBVCCSXGACN-ZBEGNZNMSA-N 1 2 277.314 3.893 20 0 CHADLO C[C@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1cncs1 ZINC000631794976 1129029558 /nfs/dbraw/zinc/02/95/58/1129029558.db2.gz CCNHQZOZHJNKSD-JOYOIKCWSA-N 1 2 268.332 3.644 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@@H](CF)c2ccc(F)cc2)s1 ZINC000631798397 1129029600 /nfs/dbraw/zinc/02/96/00/1129029600.db2.gz RUFHFTRGKNYBSQ-ZANVPECISA-N 1 2 282.359 3.952 20 0 CHADLO Cc1cncc([C@@H](C)[NH2+][C@H](CF)c2ccc(F)cc2)c1 ZINC000631797897 1129029696 /nfs/dbraw/zinc/02/96/96/1129029696.db2.gz JPFRARCTQLAIRF-MLGOLLRUSA-N 1 2 276.330 3.891 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc(Cl)cs1)CC2 ZINC001181817600 1122085041 /nfs/dbraw/zinc/08/50/41/1122085041.db2.gz AEMOXNBNKLFZGL-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc(Cl)cs1)CC2 ZINC001181817600 1122085045 /nfs/dbraw/zinc/08/50/45/1122085045.db2.gz AEMOXNBNKLFZGL-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](c2ccc(F)cc2)C(C)C)n1 ZINC000502511262 1122094091 /nfs/dbraw/zinc/09/40/91/1122094091.db2.gz LXIKOWROYQBGJY-ABAIWWIYSA-N 1 2 291.370 3.819 20 0 CHADLO CC(=O)c1oc2cccnc2c1Nc1ccc(C)[nH+]c1C ZINC001203368899 1122098214 /nfs/dbraw/zinc/09/82/14/1122098214.db2.gz GTUWAIZPYYONFX-UHFFFAOYSA-N 1 2 281.315 3.786 20 0 CHADLO CC(C)[N@H+](Cc1cocn1)[C@H](C)c1ccsc1 ZINC000421375071 1122103369 /nfs/dbraw/zinc/10/33/69/1122103369.db2.gz PRFHMVWNZZWOFF-LLVKDONJSA-N 1 2 250.367 3.708 20 0 CHADLO CC(C)[N@@H+](Cc1cocn1)[C@H](C)c1ccsc1 ZINC000421375071 1122103372 /nfs/dbraw/zinc/10/33/72/1122103372.db2.gz PRFHMVWNZZWOFF-LLVKDONJSA-N 1 2 250.367 3.708 20 0 CHADLO Cc1cnc(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)n1C ZINC000421378444 1122104816 /nfs/dbraw/zinc/10/48/16/1122104816.db2.gz VEEQUKIUIRJGDH-ZDUSSCGKSA-N 1 2 277.437 3.762 20 0 CHADLO Cc1cnc(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)n1C ZINC000421378444 1122104822 /nfs/dbraw/zinc/10/48/22/1122104822.db2.gz VEEQUKIUIRJGDH-ZDUSSCGKSA-N 1 2 277.437 3.762 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cnc(C(C)C)s2)C[C@H](CC)O1 ZINC000421391822 1122109383 /nfs/dbraw/zinc/10/93/83/1122109383.db2.gz PRDDDVOAXKERNO-BETUJISGSA-N 1 2 282.453 3.656 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cnc(C(C)C)s2)C[C@H](CC)O1 ZINC000421391822 1122109385 /nfs/dbraw/zinc/10/93/85/1122109385.db2.gz PRDDDVOAXKERNO-BETUJISGSA-N 1 2 282.453 3.656 20 0 CHADLO C[C@H](CC(=O)Nc1ccn2cc[nH+]c2c1)CC(C)(C)C ZINC001182173794 1122113000 /nfs/dbraw/zinc/11/30/00/1122113000.db2.gz WLEJLSHFYFZGCB-GFCCVEGCSA-N 1 2 273.380 3.735 20 0 CHADLO COc1cc(C)c(NCc2c[nH+]cn2C(C)C)cc1F ZINC000818203852 1122124278 /nfs/dbraw/zinc/12/42/78/1122124278.db2.gz LPDJZAICDUWAKX-UHFFFAOYSA-N 1 2 277.343 3.532 20 0 CHADLO Cc1ccc(CNc2[nH]c3cc(C)ccc3[nH+]2)cc1 ZINC000041600376 1122128088 /nfs/dbraw/zinc/12/80/88/1122128088.db2.gz DTTDCLKVJJMVKJ-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO C[C@H](c1ccc(C(C)(C)C)cc1)[N@H+](C)Cc1nccn1C ZINC000153352082 1129034137 /nfs/dbraw/zinc/03/41/37/1129034137.db2.gz XUQJJLSJSOVSPI-CQSZACIVSA-N 1 2 285.435 3.911 20 0 CHADLO C[C@H](c1ccc(C(C)(C)C)cc1)[N@@H+](C)Cc1nccn1C ZINC000153352082 1129034140 /nfs/dbraw/zinc/03/41/40/1129034140.db2.gz XUQJJLSJSOVSPI-CQSZACIVSA-N 1 2 285.435 3.911 20 0 CHADLO CCSc1cccc(C[NH2+][C@H](C)c2csnn2)c1 ZINC000414549334 1122150282 /nfs/dbraw/zinc/15/02/82/1122150282.db2.gz LAXOXTSSGINUFD-SNVBAGLBSA-N 1 2 279.434 3.501 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@H+](C)Cc1cc2ccccc2o1 ZINC000502881185 1122154419 /nfs/dbraw/zinc/15/44/19/1122154419.db2.gz VJRYMTXNFDIAEO-OAHLLOKOSA-N 1 2 289.375 3.596 20 0 CHADLO CCC[C@H](C(=O)OCC)[N@@H+](C)Cc1cc2ccccc2o1 ZINC000502881185 1122154424 /nfs/dbraw/zinc/15/44/24/1122154424.db2.gz VJRYMTXNFDIAEO-OAHLLOKOSA-N 1 2 289.375 3.596 20 0 CHADLO Cc1ccc(CCNc2ccc(N3CCCC3)c[nH+]2)cc1C ZINC001182498163 1122171340 /nfs/dbraw/zinc/17/13/40/1122171340.db2.gz HQZKVGJRJVICOE-UHFFFAOYSA-N 1 2 295.430 3.953 20 0 CHADLO Cc1ccc(Nc2ccc3nc(C(C)C)nn3c2)c(C)[nH+]1 ZINC001203372641 1122172260 /nfs/dbraw/zinc/17/22/60/1122172260.db2.gz DIQDPVOVIWZBKS-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO Cc1csc(C2([NH2+][C@@H](C)c3cncc(F)c3)CCC2)n1 ZINC000272021775 1122187063 /nfs/dbraw/zinc/18/70/63/1122187063.db2.gz XXHKOSYYQKRSRX-NSHDSACASA-N 1 2 291.395 3.716 20 0 CHADLO Cc1ccncc1[C@@H](C)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000272097838 1122190732 /nfs/dbraw/zinc/19/07/32/1122190732.db2.gz HGDBCNFHAIDMLY-CQSZACIVSA-N 1 2 281.403 3.946 20 0 CHADLO Cc1ccncc1[C@@H](C)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000272097838 1122190735 /nfs/dbraw/zinc/19/07/35/1122190735.db2.gz HGDBCNFHAIDMLY-CQSZACIVSA-N 1 2 281.403 3.946 20 0 CHADLO COc1cc(C)nc(C[NH2+][C@@H](C)c2ccc(F)cc2F)c1 ZINC000272126328 1122191396 /nfs/dbraw/zinc/19/13/96/1122191396.db2.gz LBTMFZUWKPIYRI-NSHDSACASA-N 1 2 292.329 3.528 20 0 CHADLO Cc1ncc([C@H](C)Nc2ccc([NH+](C)C)c(C)c2)c(C)n1 ZINC000272350630 1122198679 /nfs/dbraw/zinc/19/86/79/1122198679.db2.gz FRBVQGOTFBPGIU-ZDUSSCGKSA-N 1 2 284.407 3.641 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCOc2c(F)cccc21)c1cscn1 ZINC000272680419 1122209431 /nfs/dbraw/zinc/20/94/31/1122209431.db2.gz VHYMOXNPAHMYCW-GWCFXTLKSA-N 1 2 292.379 3.847 20 0 CHADLO CCC(C)(C)CC[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC001183267514 1122215807 /nfs/dbraw/zinc/21/58/07/1122215807.db2.gz AKMRGUHFCAOXMG-CQSZACIVSA-N 1 2 278.444 3.842 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C2CC2)no1)c1ccc(Cl)s1 ZINC000273480263 1122235254 /nfs/dbraw/zinc/23/52/54/1122235254.db2.gz HVNYTEZGSCMXQW-VIFPVBQESA-N 1 2 297.811 3.903 20 0 CHADLO CCc1cccc2cc(C[N@@H+]3CCn4cccc4C3)oc21 ZINC001183738915 1122237518 /nfs/dbraw/zinc/23/75/18/1122237518.db2.gz JSYFKLVSQWUYBY-UHFFFAOYSA-N 1 2 280.371 3.813 20 0 CHADLO CCc1cccc2cc(C[N@H+]3CCn4cccc4C3)oc21 ZINC001183738915 1122237523 /nfs/dbraw/zinc/23/75/23/1122237523.db2.gz JSYFKLVSQWUYBY-UHFFFAOYSA-N 1 2 280.371 3.813 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](CC)c2ccc(Cl)s2)o1 ZINC000273523076 1122237989 /nfs/dbraw/zinc/23/79/89/1122237989.db2.gz QWHRZRWDQYTYKX-QMMMGPOBSA-N 1 2 285.800 3.588 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](CC)c2ccc(Cl)s2)n1 ZINC000273553145 1122238585 /nfs/dbraw/zinc/23/85/85/1122238585.db2.gz XRCHGDQENDRSKJ-VIFPVBQESA-N 1 2 299.827 3.978 20 0 CHADLO CCOc1cncc(C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)c1 ZINC001184143349 1122255780 /nfs/dbraw/zinc/25/57/80/1122255780.db2.gz RMMIWWURYMLOEB-QGZVFWFLSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1cncc(C[N@H+]2CC[C@@H]2c2ccc(F)cc2)c1 ZINC001184143349 1122255784 /nfs/dbraw/zinc/25/57/84/1122255784.db2.gz RMMIWWURYMLOEB-QGZVFWFLSA-N 1 2 286.350 3.566 20 0 CHADLO c1[nH+]cn2c1c(N1CCCCCCC1)nc1ccccc12 ZINC001184571980 1122284483 /nfs/dbraw/zinc/28/44/83/1122284483.db2.gz JCMLRPGMRGBMPW-UHFFFAOYSA-N 1 2 280.375 3.653 20 0 CHADLO Cc1cccc(CN(C)c2cc(C)[nH+]c(C3CCC3)n2)c1 ZINC001184957981 1122303470 /nfs/dbraw/zinc/30/34/70/1122303470.db2.gz JZQNSXSSVVPEGJ-UHFFFAOYSA-N 1 2 281.403 3.997 20 0 CHADLO Cc1cn2c(cccc2NC(=O)N[C@H](C)c2ccccc2)[nH+]1 ZINC001184972729 1122304198 /nfs/dbraw/zinc/30/41/98/1122304198.db2.gz GYFGLMVEIDFCKZ-CYBMUJFWSA-N 1 2 294.358 3.525 20 0 CHADLO C[C@@]1(CCNc2cc(C(F)(F)F)cc[nH+]2)CC1(F)F ZINC000664400170 1122333185 /nfs/dbraw/zinc/33/31/85/1122333185.db2.gz VNRNOKQNVPBNHJ-SNVBAGLBSA-N 1 2 280.240 3.948 20 0 CHADLO CCc1cc(N2[C@H](C)C[C@@H]3CCCC[C@@H]32)nc(CC)[nH+]1 ZINC001185421841 1122339369 /nfs/dbraw/zinc/33/93/69/1122339369.db2.gz ZEDHRCACDNOVNK-IPYPFGDCSA-N 1 2 273.424 3.759 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccc(Cl)nc2)c1F ZINC000192938911 1129048464 /nfs/dbraw/zinc/04/84/64/1129048464.db2.gz VTBKPSXSZCLQJH-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccc(Cl)nc2)c1F ZINC000192938911 1129048466 /nfs/dbraw/zinc/04/84/66/1129048466.db2.gz VTBKPSXSZCLQJH-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Clc1ccsc1C[N@@H+]1CCC[C@H]1c1cnccn1 ZINC001205339997 1122366777 /nfs/dbraw/zinc/36/67/77/1122366777.db2.gz WNLDRDGNBOWJSF-LBPRGKRZSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccsc1C[N@H+]1CCC[C@H]1c1cnccn1 ZINC001205339997 1122366779 /nfs/dbraw/zinc/36/67/79/1122366779.db2.gz WNLDRDGNBOWJSF-LBPRGKRZSA-N 1 2 279.796 3.529 20 0 CHADLO C[C@@H](CCc1ccccc1)C(=O)Nc1cccc2[nH+]ccn21 ZINC001186012442 1122371781 /nfs/dbraw/zinc/37/17/81/1122371781.db2.gz CUXPSBSAWGXCTB-AWEZNQCLSA-N 1 2 293.370 3.542 20 0 CHADLO C[C@@H]1CCN(c2nc3ccccc3n3c[nH+]cc23)CC1(C)C ZINC001186062003 1122374441 /nfs/dbraw/zinc/37/44/41/1122374441.db2.gz RMMCALIIMLEOTJ-CYBMUJFWSA-N 1 2 294.402 3.755 20 0 CHADLO CC[C@@H]1[C@H](C)CCN1c1nc2ccccc2n2c[nH+]cc12 ZINC001186203475 1122379815 /nfs/dbraw/zinc/37/98/15/1122379815.db2.gz QCUNANSQLGGDLX-TZMCWYRMSA-N 1 2 280.375 3.507 20 0 CHADLO COc1cc(CNc2ccc3c(c2)CCC[N@H+]3C)ccc1C ZINC000775030365 1122397897 /nfs/dbraw/zinc/39/78/97/1122397897.db2.gz RDOATSXLONFORK-UHFFFAOYSA-N 1 2 296.414 3.998 20 0 CHADLO COc1cc(CNc2ccc3c(c2)CCC[N@@H+]3C)ccc1C ZINC000775030365 1122397900 /nfs/dbraw/zinc/39/79/00/1122397900.db2.gz RDOATSXLONFORK-UHFFFAOYSA-N 1 2 296.414 3.998 20 0 CHADLO CC(=O)c1cc(Cl)c(Cl)cc1OCc1c[nH+]cn1C ZINC000434734919 1122401232 /nfs/dbraw/zinc/40/12/32/1122401232.db2.gz BENVJYZZWPPAAU-UHFFFAOYSA-N 1 2 299.157 3.509 20 0 CHADLO C[C@@H](Nc1nc2ccccc2n2c[nH+]cc12)C(C)(C)C ZINC001186889313 1122412220 /nfs/dbraw/zinc/41/22/20/1122412220.db2.gz YCYNHILKTNVRRD-LLVKDONJSA-N 1 2 268.364 3.729 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncc(Cl)cc2F)c1 ZINC001203654616 1122420004 /nfs/dbraw/zinc/42/00/04/1122420004.db2.gz NCMCXMMBQHJKII-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Cl)nnc2Cl)c1 ZINC001203655247 1122423081 /nfs/dbraw/zinc/42/30/81/1122423081.db2.gz ZJLOQXBHTNZSDX-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO CC(=O)c1ccnc(Cl)c1Nc1cc(C)c[nH+]c1C ZINC001203656451 1122423591 /nfs/dbraw/zinc/42/35/91/1122423591.db2.gz UDYFWPAWGQYFSJ-UHFFFAOYSA-N 1 2 275.739 3.693 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(C)ccnc2Br)c1 ZINC001203655849 1122423807 /nfs/dbraw/zinc/42/38/07/1122423807.db2.gz DFIIBTUYPYWLRG-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(F)c(C(=O)N2CCCC2)c1 ZINC001187456384 1122429300 /nfs/dbraw/zinc/42/93/00/1122429300.db2.gz AORDNJQZJUUQJD-UHFFFAOYSA-N 1 2 299.349 3.509 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CCC[C@@H](C)[C@H]2C)no1 ZINC000435044379 1122432572 /nfs/dbraw/zinc/43/25/72/1122432572.db2.gz TZEZYDIJVNOGFF-UKRRQHHQSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CCC[C@@H](C)[C@H]2C)no1 ZINC000435044379 1122432575 /nfs/dbraw/zinc/43/25/75/1122432575.db2.gz TZEZYDIJVNOGFF-UKRRQHHQSA-N 1 2 299.418 3.589 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2ncc(C(F)(F)F)s2)CC1 ZINC000435123610 1122436242 /nfs/dbraw/zinc/43/62/42/1122436242.db2.gz HWIBJGYNYVEQFZ-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2ncc(C(F)(F)F)s2)CC1 ZINC000435123610 1122436245 /nfs/dbraw/zinc/43/62/45/1122436245.db2.gz HWIBJGYNYVEQFZ-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO CCc1cc(N(Cc2ccccn2)CC(C)C)nc(CC)[nH+]1 ZINC001187686186 1122438185 /nfs/dbraw/zinc/43/81/85/1122438185.db2.gz LBBJGPQEQUDIIR-UHFFFAOYSA-N 1 2 298.434 3.659 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cc(-c2ccccc2)n[nH]1 ZINC000430124087 1122440489 /nfs/dbraw/zinc/44/04/89/1122440489.db2.gz RRMOZKHXRTYVPB-UHFFFAOYSA-N 1 2 281.359 3.610 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cc(-c2ccccc2)n[nH]1 ZINC000430124087 1122440492 /nfs/dbraw/zinc/44/04/92/1122440492.db2.gz RRMOZKHXRTYVPB-UHFFFAOYSA-N 1 2 281.359 3.610 20 0 CHADLO CC(C)n1c[nH+]cc1CN(Cc1ccco1)Cc1ccco1 ZINC000425324913 1122452950 /nfs/dbraw/zinc/45/29/50/1122452950.db2.gz NBJBOTXFEORXJM-UHFFFAOYSA-N 1 2 299.374 3.852 20 0 CHADLO CC(C)n1cncc1C[N@H+](C)[C@H](C)c1ccccc1F ZINC000425324292 1122454152 /nfs/dbraw/zinc/45/41/52/1122454152.db2.gz KHALUAAXQQAWLW-CYBMUJFWSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1cncc1C[N@@H+](C)[C@H](C)c1ccccc1F ZINC000425324292 1122454157 /nfs/dbraw/zinc/45/41/57/1122454157.db2.gz KHALUAAXQQAWLW-CYBMUJFWSA-N 1 2 275.371 3.796 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1ccccc1C(F)F ZINC001188267774 1122461256 /nfs/dbraw/zinc/46/12/56/1122461256.db2.gz UWBZGAZXZKFCKW-UHFFFAOYSA-N 1 2 287.269 3.524 20 0 CHADLO Cc1cc(N)nc(SCCCC2CCCCC2)[nH+]1 ZINC001188408478 1122464933 /nfs/dbraw/zinc/46/49/33/1122464933.db2.gz NRFMVEUPOMDTIO-UHFFFAOYSA-N 1 2 265.426 3.820 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(C3CCC3)s2)CC2(CCC2)O1 ZINC000515301141 1122491135 /nfs/dbraw/zinc/49/11/35/1122491135.db2.gz XFBZKBGOGHYPHX-LBPRGKRZSA-N 1 2 292.448 3.554 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(C3CCC3)s2)CC2(CCC2)O1 ZINC000515301141 1122491138 /nfs/dbraw/zinc/49/11/38/1122491138.db2.gz XFBZKBGOGHYPHX-LBPRGKRZSA-N 1 2 292.448 3.554 20 0 CHADLO CC(C)c1cc(N2CC[C@H]3C[C@H]3C2)nc(C(C)C)[nH+]1 ZINC000664525982 1122515892 /nfs/dbraw/zinc/51/58/92/1122515892.db2.gz SLKMYFHBQQSVCL-STQMWFEESA-N 1 2 259.397 3.570 20 0 CHADLO CCCCOCC[N@H+](C)Cc1c(F)cccc1Cl ZINC000515781635 1122540819 /nfs/dbraw/zinc/54/08/19/1122540819.db2.gz MFERKQGRAFDKCM-UHFFFAOYSA-N 1 2 273.779 3.728 20 0 CHADLO CCCCOCC[N@@H+](C)Cc1c(F)cccc1Cl ZINC000515781635 1122540821 /nfs/dbraw/zinc/54/08/21/1122540821.db2.gz MFERKQGRAFDKCM-UHFFFAOYSA-N 1 2 273.779 3.728 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(CC(C)C)no1)c1ccccc1 ZINC000775390252 1122542214 /nfs/dbraw/zinc/54/22/14/1122542214.db2.gz KWPYVCJRYJVHPR-KRWDZBQOSA-N 1 2 287.407 3.683 20 0 CHADLO CC1(C)C[C@@H](c2ccccc2)[N@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC000425543402 1122547459 /nfs/dbraw/zinc/54/74/59/1122547459.db2.gz PGBNQMWHVAGESE-HNNXBMFYSA-N 1 2 296.418 3.655 20 0 CHADLO CC1(C)C[C@@H](c2ccccc2)[N@@H+](Cc2nnc(C3CC3)[nH]2)C1 ZINC000425543402 1122547461 /nfs/dbraw/zinc/54/74/61/1122547461.db2.gz PGBNQMWHVAGESE-HNNXBMFYSA-N 1 2 296.418 3.655 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)cn1 ZINC000505822209 1122550175 /nfs/dbraw/zinc/55/01/75/1122550175.db2.gz WKMDXEJBUVYDNC-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2ccccc2Cl)cn1 ZINC000505822209 1122550180 /nfs/dbraw/zinc/55/01/80/1122550180.db2.gz WKMDXEJBUVYDNC-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO CC[C@H](C(=O)Nc1ccc(C)cc1)[NH+]1Cc2ccccc2C1 ZINC001190853640 1122558042 /nfs/dbraw/zinc/55/80/42/1122558042.db2.gz ADYXRLXIWCKCHL-GOSISDBHSA-N 1 2 294.398 3.728 20 0 CHADLO CC[C@@H](C(=O)Nc1ccc(C)cc1)[NH+]1Cc2ccccc2C1 ZINC001190853641 1122558308 /nfs/dbraw/zinc/55/83/08/1122558308.db2.gz ADYXRLXIWCKCHL-SFHVURJKSA-N 1 2 294.398 3.728 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nnc[nH]1)C1CCCCC1)c1cccs1 ZINC000638292082 1122577729 /nfs/dbraw/zinc/57/77/29/1122577729.db2.gz BJWWKZGAGFDXJT-RISCZKNCSA-N 1 2 290.436 3.838 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2cc(F)ccc2C)[nH+]1 ZINC001191367112 1122596191 /nfs/dbraw/zinc/59/61/91/1122596191.db2.gz RRMXFOKYIQGWGK-UHFFFAOYSA-N 1 2 298.321 3.734 20 0 CHADLO COC[C@H](C[N@@H+](Cc1ccccc1)CC(F)F)C(C)C ZINC001191427016 1122599281 /nfs/dbraw/zinc/59/92/81/1122599281.db2.gz KQFTYYANXMJZEG-HNNXBMFYSA-N 1 2 285.378 3.672 20 0 CHADLO COC[C@H](C[N@H+](Cc1ccccc1)CC(F)F)C(C)C ZINC001191427016 1122599284 /nfs/dbraw/zinc/59/92/84/1122599284.db2.gz KQFTYYANXMJZEG-HNNXBMFYSA-N 1 2 285.378 3.672 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)Oc1cccc(C)c1)c1ccccc1 ZINC000775463881 1122604434 /nfs/dbraw/zinc/60/44/34/1122604434.db2.gz FABMPADZFFBERD-QGZVFWFLSA-N 1 2 283.371 3.593 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)Oc1cccc(C)c1)c1ccccc1 ZINC000775463881 1122604435 /nfs/dbraw/zinc/60/44/35/1122604435.db2.gz FABMPADZFFBERD-QGZVFWFLSA-N 1 2 283.371 3.593 20 0 CHADLO COC[C@H]([NH2+]Cc1nccc2ccccc21)c1ccc(C)o1 ZINC000894326946 1122611796 /nfs/dbraw/zinc/61/17/96/1122611796.db2.gz AWBVOOLNIJMMBG-KRWDZBQOSA-N 1 2 296.370 3.614 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2cc(Cl)ccc2F)on1 ZINC001192574996 1122652022 /nfs/dbraw/zinc/65/20/22/1122652022.db2.gz MGXVCMSDZNJZJI-SECBINFHSA-N 1 2 268.719 3.626 20 0 CHADLO Cc1[nH+]cccc1NCCCCOc1ccccc1 ZINC000437779964 1122654507 /nfs/dbraw/zinc/65/45/07/1122654507.db2.gz VEJAIKRZMFAYRU-UHFFFAOYSA-N 1 2 256.349 3.661 20 0 CHADLO Cc1ccc(Br)c(Nc2ccc(C)[nH+]c2C)n1 ZINC000712589327 1122663873 /nfs/dbraw/zinc/66/38/73/1122663873.db2.gz VCJQXAIVOZPPTD-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO FC(F)C[N@H+](CCCCCOc1ccccc1)C1CC1 ZINC000438104970 1122678336 /nfs/dbraw/zinc/67/83/36/1122678336.db2.gz AKZFPFNHTMZHGK-UHFFFAOYSA-N 1 2 283.362 3.965 20 0 CHADLO FC(F)C[N@@H+](CCCCCOc1ccccc1)C1CC1 ZINC000438104970 1122678338 /nfs/dbraw/zinc/67/83/38/1122678338.db2.gz AKZFPFNHTMZHGK-UHFFFAOYSA-N 1 2 283.362 3.965 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)[C@@H](C)[C@H](C)O1 ZINC000438371702 1122697180 /nfs/dbraw/zinc/69/71/80/1122697180.db2.gz BLEGMWBBROOPFQ-AGIUHOORSA-N 1 2 294.464 3.798 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)[C@@H](C)[C@H](C)O1 ZINC000438371702 1122697184 /nfs/dbraw/zinc/69/71/84/1122697184.db2.gz BLEGMWBBROOPFQ-AGIUHOORSA-N 1 2 294.464 3.798 20 0 CHADLO O=C(Nc1cccc(Cn2cc[nH+]c2)c1)OC1CCCCC1 ZINC001193629580 1122702109 /nfs/dbraw/zinc/70/21/09/1122702109.db2.gz PSCDWJYXRWOCMB-UHFFFAOYSA-N 1 2 299.374 3.813 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+]1CCOc2cccc(F)c2C1 ZINC001193795845 1122720033 /nfs/dbraw/zinc/72/00/33/1122720033.db2.gz BVNOMQWBZUBJHG-UHFFFAOYSA-N 1 2 289.325 3.668 20 0 CHADLO Cc1cc(F)ccc1C[N@H+]1CCOc2cccc(F)c2C1 ZINC001193795845 1122720034 /nfs/dbraw/zinc/72/00/34/1122720034.db2.gz BVNOMQWBZUBJHG-UHFFFAOYSA-N 1 2 289.325 3.668 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(OC)c1F)c1nc(C)cs1 ZINC000192680551 1122729073 /nfs/dbraw/zinc/72/90/73/1122729073.db2.gz RIVILFFFQIZZRC-GFCCVEGCSA-N 1 2 294.395 3.840 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2cc(F)cnc2Cl)o1 ZINC000294681788 1122733268 /nfs/dbraw/zinc/73/32/68/1122733268.db2.gz BGKOQJZXILGRAC-RNCFNFMXSA-N 1 2 294.757 3.880 20 0 CHADLO CCOc1cc(F)c(C[N@H+](C)Cc2ccccc2)c(F)c1 ZINC001143564537 1122734993 /nfs/dbraw/zinc/73/49/93/1122734993.db2.gz FQYUFGTWFSJWLJ-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1cc(F)c(C[N@@H+](C)Cc2ccccc2)c(F)c1 ZINC001143564537 1122734996 /nfs/dbraw/zinc/73/49/96/1122734996.db2.gz FQYUFGTWFSJWLJ-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)Oc1ccc(Cl)cc1 ZINC001194035500 1122740651 /nfs/dbraw/zinc/74/06/51/1122740651.db2.gz GPZAQCLLPUJSOX-UHFFFAOYSA-N 1 2 287.706 3.599 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2c(C)cccc2Cl)s1 ZINC000295697556 1122754685 /nfs/dbraw/zinc/75/46/85/1122754685.db2.gz IXORUJBREPBRPZ-VIFPVBQESA-N 1 2 281.812 3.659 20 0 CHADLO COc1ccc(C)cc1C[N@H+](C)Cc1cnc(Cl)s1 ZINC000079315080 1122759931 /nfs/dbraw/zinc/75/99/31/1122759931.db2.gz LAFXBZMCBPMUQG-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(C)cc1C[N@@H+](C)Cc1cnc(Cl)s1 ZINC000079315080 1122759936 /nfs/dbraw/zinc/75/99/36/1122759936.db2.gz LAFXBZMCBPMUQG-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COC[C@@H]([NH2+]Cc1occc1C)c1cccc(Cl)c1F ZINC000426325751 1122764189 /nfs/dbraw/zinc/76/41/89/1122764189.db2.gz VYNJZQZTVHCNNE-CYBMUJFWSA-N 1 2 297.757 3.858 20 0 CHADLO Cc1cc(N2CCC3(CC3(F)F)CC2)nc(C2CCC2)[nH+]1 ZINC000894390553 1122811309 /nfs/dbraw/zinc/81/13/09/1122811309.db2.gz FJFUHMOKSBLDLO-UHFFFAOYSA-N 1 2 293.361 3.678 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)c(Cl)c2)[C@@H]1[C@@H]1CCCO1 ZINC000519170643 1122820959 /nfs/dbraw/zinc/82/09/59/1122820959.db2.gz XVDLBYSDPUPMBN-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)c(Cl)c2)[C@@H]1[C@@H]1CCCO1 ZINC000519170643 1122820966 /nfs/dbraw/zinc/82/09/66/1122820966.db2.gz XVDLBYSDPUPMBN-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000664826741 1122868159 /nfs/dbraw/zinc/86/81/59/1122868159.db2.gz XZWXYOKXBPDQBA-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000664826741 1122868165 /nfs/dbraw/zinc/86/81/65/1122868165.db2.gz XZWXYOKXBPDQBA-MRXNPFEDSA-N 1 2 285.391 3.524 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(O)c(F)c2)[C@H]1c1cccnc1 ZINC000894584255 1122878089 /nfs/dbraw/zinc/87/80/89/1122878089.db2.gz HRJUGPWEYMRHBD-INIZCTEOSA-N 1 2 286.350 3.509 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(O)c(F)c2)[C@H]1c1cccnc1 ZINC000894584255 1122878092 /nfs/dbraw/zinc/87/80/92/1122878092.db2.gz HRJUGPWEYMRHBD-INIZCTEOSA-N 1 2 286.350 3.509 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc(C(F)F)c(F)c1 ZINC000894630841 1122884496 /nfs/dbraw/zinc/88/44/96/1122884496.db2.gz HFGYEBBXEBYTQQ-UHFFFAOYSA-N 1 2 298.308 3.946 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc(C(F)F)c(F)c1 ZINC000894630841 1122884500 /nfs/dbraw/zinc/88/45/00/1122884500.db2.gz HFGYEBBXEBYTQQ-UHFFFAOYSA-N 1 2 298.308 3.946 20 0 CHADLO Cc1cc(N[C@@H](c2ccccc2)[C@@H](C)O)nc(C2CCC2)[nH+]1 ZINC000894644450 1122885177 /nfs/dbraw/zinc/88/51/77/1122885177.db2.gz BYNMYEKRMWJCPS-CXAGYDPISA-N 1 2 297.402 3.587 20 0 CHADLO Cc1cc(NC2=CC[C@H](C(F)(F)F)CC2)nc(C)[nH+]1 ZINC001195833419 1122892115 /nfs/dbraw/zinc/89/21/15/1122892115.db2.gz FPAQYKOZKDRFAJ-JTQLQIEISA-N 1 2 271.286 3.752 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCC[C@H]3CCC[C@@H]32)n1 ZINC000154351171 1129085871 /nfs/dbraw/zinc/08/58/71/1129085871.db2.gz GBYIYNDMHWQBCO-ZJUUUORDSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCC[C@H]3CCC[C@@H]32)n1 ZINC000154351171 1129085875 /nfs/dbraw/zinc/08/58/75/1129085875.db2.gz GBYIYNDMHWQBCO-ZJUUUORDSA-N 1 2 290.354 3.926 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)co1 ZINC000647965985 1122908921 /nfs/dbraw/zinc/90/89/21/1122908921.db2.gz ZUEJXUDGRLNSLI-BXUZGUMPSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccco2)co1 ZINC000647965985 1122908924 /nfs/dbraw/zinc/90/89/24/1122908924.db2.gz ZUEJXUDGRLNSLI-BXUZGUMPSA-N 1 2 260.337 3.549 20 0 CHADLO CC(C)C1(C)CC[NH+](Cc2noc(C(C)(C)C)n2)CC1 ZINC000426771439 1122914692 /nfs/dbraw/zinc/91/46/92/1122914692.db2.gz CBGXJGODAYTPKY-UHFFFAOYSA-N 1 2 279.428 3.625 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2)[C@H]2CCCC2(C)C)no1 ZINC000426813491 1122918184 /nfs/dbraw/zinc/91/81/84/1122918184.db2.gz XSOAIHHLACQKRT-INIZCTEOSA-N 1 2 299.418 3.959 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2)[C@H]2CCCC2(C)C)no1 ZINC000426813491 1122918186 /nfs/dbraw/zinc/91/81/86/1122918186.db2.gz XSOAIHHLACQKRT-INIZCTEOSA-N 1 2 299.418 3.959 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1CCOC[C@@H]1CC(C)C ZINC001196375799 1122919515 /nfs/dbraw/zinc/91/95/15/1122919515.db2.gz QCTSUJNSFYJXTI-HNNXBMFYSA-N 1 2 297.826 3.596 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1CCOC[C@@H]1CC(C)C ZINC001196375799 1122919518 /nfs/dbraw/zinc/91/95/18/1122919518.db2.gz QCTSUJNSFYJXTI-HNNXBMFYSA-N 1 2 297.826 3.596 20 0 CHADLO Oc1cccc(C[N@@H+]2CCc3sccc3C2)c1Cl ZINC000507075882 1122922499 /nfs/dbraw/zinc/92/24/99/1122922499.db2.gz DOHHDPQXHBQHII-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO COc1cc[nH+]cc1NC1=CC[C@H](C(F)(F)F)CC1 ZINC001196769190 1122930905 /nfs/dbraw/zinc/93/09/05/1122930905.db2.gz ZIBHENSCHJOGPW-VIFPVBQESA-N 1 2 272.270 3.748 20 0 CHADLO C[C@@H](C[N@@H+]1CCCc2[nH]ncc2C1)c1cccc(Cl)c1 ZINC000664861433 1122938639 /nfs/dbraw/zinc/93/86/39/1122938639.db2.gz ROKBVUOFERTMMC-LBPRGKRZSA-N 1 2 289.810 3.615 20 0 CHADLO C[C@@H](C[N@H+]1CCCc2[nH]ncc2C1)c1cccc(Cl)c1 ZINC000664861433 1122938641 /nfs/dbraw/zinc/93/86/41/1122938641.db2.gz ROKBVUOFERTMMC-LBPRGKRZSA-N 1 2 289.810 3.615 20 0 CHADLO Cc1c(Cl)ccc2c1C[N@H+](Cc1cncs1)CC2 ZINC001197177189 1122947436 /nfs/dbraw/zinc/94/74/36/1122947436.db2.gz MHLSTJZJWHTVFU-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1c(Cl)ccc2c1C[N@@H+](Cc1cncs1)CC2 ZINC001197177189 1122947438 /nfs/dbraw/zinc/94/74/38/1122947438.db2.gz MHLSTJZJWHTVFU-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CCc1cccnc1[C@@H](C)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000507485126 1122959872 /nfs/dbraw/zinc/95/98/72/1122959872.db2.gz KXGHKPGPODLTBL-CQSZACIVSA-N 1 2 296.418 3.812 20 0 CHADLO CC(C)c1ccc(NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000334307880 1122984932 /nfs/dbraw/zinc/98/49/32/1122984932.db2.gz ICLPDCFFCJAGEV-AWEZNQCLSA-N 1 2 283.375 3.592 20 0 CHADLO Cc1c[nH+]ccc1NC(=S)Nc1ccc(C(C)(C)C)cc1 ZINC001198457378 1122992800 /nfs/dbraw/zinc/99/28/00/1122992800.db2.gz DNFBYOIPPNOZIK-UHFFFAOYSA-N 1 2 299.443 3.918 20 0 CHADLO C[C@H](c1ccccc1Br)[N@@H+]1CCC[C@@H](F)C1 ZINC001198466712 1122993513 /nfs/dbraw/zinc/99/35/13/1122993513.db2.gz CXJBNCJGDILRTM-GHMZBOCLSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1ccccc1Br)[N@H+]1CCC[C@@H](F)C1 ZINC001198466712 1122993515 /nfs/dbraw/zinc/99/35/15/1122993515.db2.gz CXJBNCJGDILRTM-GHMZBOCLSA-N 1 2 286.188 3.944 20 0 CHADLO Cc1cccc(CNc2cc(C)c3ccccc3[nH+]2)n1 ZINC000048566332 1122995976 /nfs/dbraw/zinc/99/59/76/1122995976.db2.gz IREIUMHTOPUIPN-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO C[C@H](CC(=O)N(c1ccccc1)[C@H](C)C1CC1)n1cc[nH+]c1 ZINC000633844527 1129092192 /nfs/dbraw/zinc/09/21/92/1129092192.db2.gz ABBXZAVLYLJLIV-HUUCEWRRSA-N 1 2 297.402 3.666 20 0 CHADLO CCc1ccccc1C[N@@H+]1CC(F)(F)CC[C@H]1C ZINC001198642297 1123003147 /nfs/dbraw/zinc/00/31/47/1123003147.db2.gz YFADFNFUJQZEPN-GFCCVEGCSA-N 1 2 253.336 3.869 20 0 CHADLO CCc1ccccc1C[N@H+]1CC(F)(F)CC[C@H]1C ZINC001198642297 1123003152 /nfs/dbraw/zinc/00/31/52/1123003152.db2.gz YFADFNFUJQZEPN-GFCCVEGCSA-N 1 2 253.336 3.869 20 0 CHADLO O=C(/C=C\C1CCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000843763408 1123004915 /nfs/dbraw/zinc/00/49/15/1123004915.db2.gz IZTYOACOPZARSC-KTKRTIGZSA-N 1 2 281.359 3.557 20 0 CHADLO CC[C@H](F)C[NH2+][C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000440523176 1123010909 /nfs/dbraw/zinc/01/09/09/1123010909.db2.gz HBTBTAYVGCGSSK-JQWIXIFHSA-N 1 2 295.333 3.583 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+][C@H](C)c1ccc(F)cc1Cl ZINC000188036868 1123015336 /nfs/dbraw/zinc/01/53/36/1123015336.db2.gz GMVMOSZTRNTNFJ-MWLCHTKSSA-N 1 2 293.773 3.989 20 0 CHADLO Fc1ccc(Oc2ccc(C[NH2+]Cc3c[nH]cn3)cc2)cc1 ZINC001199053178 1123019745 /nfs/dbraw/zinc/01/97/45/1123019745.db2.gz UQBNWXVDKNIZJB-UHFFFAOYSA-N 1 2 297.333 3.631 20 0 CHADLO Cc1ccc(/C=C\CC(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000440632365 1123023313 /nfs/dbraw/zinc/02/33/13/1123023313.db2.gz CCUWTFJQVDDNOH-RQOWECAXSA-N 1 2 291.354 3.685 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ccccc2Br)C1 ZINC001203319953 1123025297 /nfs/dbraw/zinc/02/52/97/1123025297.db2.gz DOIHTOCGRKYKBT-CYBMUJFWSA-N 1 2 286.188 3.773 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ccccc2Br)C1 ZINC001203319953 1123025291 /nfs/dbraw/zinc/02/52/91/1123025291.db2.gz DOIHTOCGRKYKBT-CYBMUJFWSA-N 1 2 286.188 3.773 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@H](OCCC(C)C)C2)cs1 ZINC000083247584 1123026287 /nfs/dbraw/zinc/02/62/87/1123026287.db2.gz CUGKWCWCRFBLKG-OAHLLOKOSA-N 1 2 296.480 3.733 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@H](OCCC(C)C)C2)cs1 ZINC000083247584 1123026290 /nfs/dbraw/zinc/02/62/90/1123026290.db2.gz CUGKWCWCRFBLKG-OAHLLOKOSA-N 1 2 296.480 3.733 20 0 CHADLO Cc1cc(C)c(CNC(=O)Nc2cccc3[nH]ccc32)c[nH+]1 ZINC001199509560 1123031874 /nfs/dbraw/zinc/03/18/74/1123031874.db2.gz CANFLSUNEHZPDP-UHFFFAOYSA-N 1 2 294.358 3.501 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)C[N@H+](C)[C@@H](C)c1ccco1 ZINC000519903644 1123037035 /nfs/dbraw/zinc/03/70/35/1123037035.db2.gz QYQXZHVWHQZWIF-GJZGRUSLSA-N 1 2 294.439 3.603 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)C[N@@H+](C)[C@@H](C)c1ccco1 ZINC000519903644 1123037039 /nfs/dbraw/zinc/03/70/39/1123037039.db2.gz QYQXZHVWHQZWIF-GJZGRUSLSA-N 1 2 294.439 3.603 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cc(F)cc(F)c2)on1 ZINC000334482247 1123052196 /nfs/dbraw/zinc/05/21/96/1123052196.db2.gz WIOMCUINVQBAKZ-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cc(F)cc(F)c2)on1 ZINC000334482247 1123052201 /nfs/dbraw/zinc/05/22/01/1123052201.db2.gz WIOMCUINVQBAKZ-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@H+]1Cc1cccnc1Cl ZINC000794436655 1123065061 /nfs/dbraw/zinc/06/50/61/1123065061.db2.gz VGFJIVFHHFODFM-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1cccnc1Cl ZINC000794436655 1123065067 /nfs/dbraw/zinc/06/50/67/1123065067.db2.gz VGFJIVFHHFODFM-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO Cc1nc(C[N@@H+]2CCCCC[C@H]2/C=C\c2ccccc2)no1 ZINC000441165157 1123065206 /nfs/dbraw/zinc/06/52/06/1123065206.db2.gz HRQZRICTAOYTOF-CPFIRTDXSA-N 1 2 297.402 3.836 20 0 CHADLO Cc1nc(C[N@H+]2CCCCC[C@H]2/C=C\c2ccccc2)no1 ZINC000441165157 1123065209 /nfs/dbraw/zinc/06/52/09/1123065209.db2.gz HRQZRICTAOYTOF-CPFIRTDXSA-N 1 2 297.402 3.836 20 0 CHADLO CC[N@H+](Cc1ncc(C)o1)[C@H](C)c1ccc(F)cc1 ZINC000441230602 1123070543 /nfs/dbraw/zinc/07/05/43/1123070543.db2.gz VHFSZYDZNOLZBX-GFCCVEGCSA-N 1 2 262.328 3.705 20 0 CHADLO CC[N@@H+](Cc1ncc(C)o1)[C@H](C)c1ccc(F)cc1 ZINC000441230602 1123070547 /nfs/dbraw/zinc/07/05/47/1123070547.db2.gz VHFSZYDZNOLZBX-GFCCVEGCSA-N 1 2 262.328 3.705 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CCC2=CCCCC2)C2CCCCC2)n1 ZINC000520313605 1123075635 /nfs/dbraw/zinc/07/56/35/1123075635.db2.gz PATLCXRARQTECW-MRXNPFEDSA-N 1 2 288.439 3.906 20 0 CHADLO CC(C)[C@@H](CNc1ccc(N(C)C)c[nH+]1)c1ccccc1 ZINC001201179073 1123076776 /nfs/dbraw/zinc/07/67/76/1123076776.db2.gz HQYUXSJSGDWYOO-QGZVFWFLSA-N 1 2 283.419 3.999 20 0 CHADLO Nc1ccc(Nc2cccc(COc3ccccc3)c2)c[nH+]1 ZINC001201285586 1123080557 /nfs/dbraw/zinc/08/05/57/1123080557.db2.gz LPOHVYNLYZDZHF-UHFFFAOYSA-N 1 2 291.354 3.986 20 0 CHADLO Cc1c2cc(Cl)ccc2sc1C[NH2+]Cc1ccn[nH]1 ZINC000441344093 1123081054 /nfs/dbraw/zinc/08/10/54/1123081054.db2.gz XNDZDEYSMLBXGW-UHFFFAOYSA-N 1 2 291.807 3.876 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)cc(F)c1Br ZINC001201291706 1123082914 /nfs/dbraw/zinc/08/29/14/1123082914.db2.gz NZPBARQJTIXFFX-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Nc1ccc(Nc2cc(Cl)cc3cnccc32)c[nH+]1 ZINC001201291085 1123083273 /nfs/dbraw/zinc/08/32/73/1123083273.db2.gz AHVRYCOURVEYJL-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2ccc(OC(F)(F)Cl)cc2)c[nH+]1 ZINC001201293065 1123084378 /nfs/dbraw/zinc/08/43/78/1123084378.db2.gz XERAFHLEPKADPN-UHFFFAOYSA-N 1 2 285.681 3.575 20 0 CHADLO Cc1cc(F)cc(Nc2ccc(N)[nH+]c2)c1Br ZINC001201299621 1123085601 /nfs/dbraw/zinc/08/56/01/1123085601.db2.gz GXMANXPNMOJPKS-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO C[NH+](C)Cc1cccc(Nc2ccc(S)cc2)c1 ZINC001201397033 1123091594 /nfs/dbraw/zinc/09/15/94/1123091594.db2.gz KSTLVBXGRNTDOW-UHFFFAOYSA-N 1 2 258.390 3.781 20 0 CHADLO CC(=O)c1ccncc1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201489884 1123108787 /nfs/dbraw/zinc/10/87/87/1123108787.db2.gz WIXQHPPFGOTNJM-UHFFFAOYSA-N 1 2 269.348 3.848 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1cccc(OC(F)F)c1 ZINC000520436935 1123113156 /nfs/dbraw/zinc/11/31/56/1123113156.db2.gz KHUBFVKNAGHAAB-MRVPVSSYSA-N 1 2 265.250 3.594 20 0 CHADLO Cc1c[nH+]c(Nc2cncc(OC(C)C)c2)c(C)c1 ZINC001201541693 1123117366 /nfs/dbraw/zinc/11/73/66/1123117366.db2.gz CXFKZLMKDJSNHW-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC(C)(C)Oc2cc(F)ccc21)c1ncc[nH]1 ZINC000334275096 1123149434 /nfs/dbraw/zinc/14/94/34/1123149434.db2.gz QXTIFFUGPVRQEF-GXFFZTMASA-N 1 2 289.354 3.502 20 0 CHADLO CC(C)c1nnc([C@@H](C)[NH2+]Cc2cc3ccccc3o2)[nH]1 ZINC001201850521 1123156082 /nfs/dbraw/zinc/15/60/82/1123156082.db2.gz GWDKNIHMYIZOFZ-LLVKDONJSA-N 1 2 284.363 3.525 20 0 CHADLO FC1(F)C[N@H+](CC2CC2)CC[C@@H]1c1ccccc1 ZINC001201952527 1123162683 /nfs/dbraw/zinc/16/26/83/1123162683.db2.gz QYSPMKFDVWZZMG-CQSZACIVSA-N 1 2 251.320 3.521 20 0 CHADLO FC1(F)C[N@@H+](CC2CC2)CC[C@@H]1c1ccccc1 ZINC001201952527 1123162686 /nfs/dbraw/zinc/16/26/86/1123162686.db2.gz QYSPMKFDVWZZMG-CQSZACIVSA-N 1 2 251.320 3.521 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1-c1cc[nH+]c(N)c1 ZINC000713287679 1123166157 /nfs/dbraw/zinc/16/61/57/1123166157.db2.gz FERARPYQOZIIIA-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO Fc1cc2ncccc2cc1C[NH+]1Cc2ccccc2C1 ZINC001141620621 1123168776 /nfs/dbraw/zinc/16/87/76/1123168776.db2.gz CWKHVDZRDNHRRY-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Cc1cc(N2CCc3ccc(F)cc3C2)nc(C(C)C)[nH+]1 ZINC000135553508 1123192170 /nfs/dbraw/zinc/19/21/70/1123192170.db2.gz FZSAURWKDMUNEE-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO C[N@H+](CCC#N)Cc1cccc(OCc2ccccc2)c1 ZINC000083217571 1123203198 /nfs/dbraw/zinc/20/31/98/1123203198.db2.gz YDFPEVJQXLHBMD-UHFFFAOYSA-N 1 2 280.371 3.611 20 0 CHADLO C[N@@H+](CCC#N)Cc1cccc(OCc2ccccc2)c1 ZINC000083217571 1123203202 /nfs/dbraw/zinc/20/32/02/1123203202.db2.gz YDFPEVJQXLHBMD-UHFFFAOYSA-N 1 2 280.371 3.611 20 0 CHADLO CCCCC[C@@H](C)NC(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001202395495 1123206529 /nfs/dbraw/zinc/20/65/29/1123206529.db2.gz KVULBWVHLPWALF-GFCCVEGCSA-N 1 2 292.427 3.546 20 0 CHADLO CCOc1ccc(NCc2[nH]c(C)c(C)[nH+]2)c2ncccc12 ZINC000850248105 1123248908 /nfs/dbraw/zinc/24/89/08/1123248908.db2.gz FSUQSJHCNNAQFW-UHFFFAOYSA-N 1 2 296.374 3.586 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2c(F)cccc2Cl)cn1 ZINC000427816853 1123250945 /nfs/dbraw/zinc/25/09/45/1123250945.db2.gz JVFUVDAVHGYUBE-GHMZBOCLSA-N 1 2 293.773 3.989 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H]2C[C@@H](O)c3ccccc32)s1 ZINC000850376024 1123260309 /nfs/dbraw/zinc/26/03/09/1123260309.db2.gz VTBZSPFSVLOOIN-ZIAGYGMSSA-N 1 2 288.416 3.535 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2ccc3c(n2)CCC3)cs1 ZINC000334562797 1123261886 /nfs/dbraw/zinc/26/18/86/1123261886.db2.gz QTIUCKVJITYWED-QGZVFWFLSA-N 1 2 299.443 3.672 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2ccc3c(n2)CCC3)cs1 ZINC000334562797 1123261889 /nfs/dbraw/zinc/26/18/89/1123261889.db2.gz QTIUCKVJITYWED-QGZVFWFLSA-N 1 2 299.443 3.672 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335040382 1123289685 /nfs/dbraw/zinc/28/96/85/1123289685.db2.gz GZNSGVFPFIPZAO-HXPMCKFVSA-N 1 2 299.867 3.634 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+]1CC[S@@](=O)C(C)(C)CC1 ZINC000335040382 1123289688 /nfs/dbraw/zinc/28/96/88/1123289688.db2.gz GZNSGVFPFIPZAO-HXPMCKFVSA-N 1 2 299.867 3.634 20 0 CHADLO Clc1ccc2c(c1Cl)CC[C@H]2[NH2+]Cc1ncccn1 ZINC000850551660 1123290120 /nfs/dbraw/zinc/29/01/20/1123290120.db2.gz ITYPHRQLEWUDDW-GFCCVEGCSA-N 1 2 294.185 3.561 20 0 CHADLO c1cc2c(o1)CCC[C@H]2[NH2+]Cc1csc(C2CC2)n1 ZINC000113320803 1123298639 /nfs/dbraw/zinc/29/86/39/1123298639.db2.gz FZYXDOKKCHSZHJ-CYBMUJFWSA-N 1 2 274.389 3.781 20 0 CHADLO CC(C)n1c[nH+]cc1CN1Cc2cccc(Cl)c2C1 ZINC000335101893 1123302628 /nfs/dbraw/zinc/30/26/28/1123302628.db2.gz YYYHVUSNODIFQW-UHFFFAOYSA-N 1 2 275.783 3.633 20 0 CHADLO Cc1ccccc1Cn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000156720757 1129116489 /nfs/dbraw/zinc/11/64/89/1129116489.db2.gz AIIFACKBZFYRHG-UHFFFAOYSA-N 1 2 291.276 3.807 20 0 CHADLO Cc1cccc(C[N@@H+]([C@@H](C)C(=O)OC(C)(C)C)C2CC2)c1 ZINC000521943239 1123364663 /nfs/dbraw/zinc/36/46/63/1123364663.db2.gz QRBNQKNDJZZOMF-AWEZNQCLSA-N 1 2 289.419 3.690 20 0 CHADLO Cc1cccc(C[N@H+]([C@@H](C)C(=O)OC(C)(C)C)C2CC2)c1 ZINC000521943239 1123364666 /nfs/dbraw/zinc/36/46/66/1123364666.db2.gz QRBNQKNDJZZOMF-AWEZNQCLSA-N 1 2 289.419 3.690 20 0 CHADLO Cc1cc(F)cc(N2CCC3(CCSCC3)CC2)[nH+]1 ZINC001354246547 1123370938 /nfs/dbraw/zinc/37/09/38/1123370938.db2.gz LFTZRCDGIWUXRO-UHFFFAOYSA-N 1 2 280.412 3.643 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@@H](C)CC2)c(C)[nH+]1 ZINC000335586414 1123380066 /nfs/dbraw/zinc/38/00/66/1123380066.db2.gz OMSSCJWLJWZSLM-LLVKDONJSA-N 1 2 275.396 3.661 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OC/C=C\c1ccccc1 ZINC000851790544 1123380647 /nfs/dbraw/zinc/38/06/47/1123380647.db2.gz LDCZPIGYKBODQW-XFFZJAGNSA-N 1 2 266.344 3.555 20 0 CHADLO ClC(Cl)=CC[N@@H+]1CCC[C@H]1c1ccccn1 ZINC000851802408 1123382359 /nfs/dbraw/zinc/38/23/59/1123382359.db2.gz HWWGARCEGPRAEO-NSHDSACASA-N 1 2 257.164 3.538 20 0 CHADLO ClC(Cl)=CC[N@H+]1CCC[C@H]1c1ccccn1 ZINC000851802408 1123382361 /nfs/dbraw/zinc/38/23/61/1123382361.db2.gz HWWGARCEGPRAEO-NSHDSACASA-N 1 2 257.164 3.538 20 0 CHADLO ClC(Cl)=CC[N@@H+]1CCC[C@@H]1c1ccccn1 ZINC000851802407 1123382409 /nfs/dbraw/zinc/38/24/09/1123382409.db2.gz HWWGARCEGPRAEO-LLVKDONJSA-N 1 2 257.164 3.538 20 0 CHADLO ClC(Cl)=CC[N@H+]1CCC[C@@H]1c1ccccn1 ZINC000851802407 1123382412 /nfs/dbraw/zinc/38/24/12/1123382412.db2.gz HWWGARCEGPRAEO-LLVKDONJSA-N 1 2 257.164 3.538 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1CC=C(Cl)Cl ZINC000851911442 1123388926 /nfs/dbraw/zinc/38/89/26/1123388926.db2.gz CNTMESGQDXVXEI-JGVFFNPUSA-N 1 2 276.129 3.968 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1CC=C(Cl)Cl ZINC000851911442 1123388931 /nfs/dbraw/zinc/38/89/31/1123388931.db2.gz CNTMESGQDXVXEI-JGVFFNPUSA-N 1 2 276.129 3.968 20 0 CHADLO Fc1ccc([C@@H]2CC[N@@H+]2Cc2cccc3c2OCCO3)cc1 ZINC000852467799 1123405219 /nfs/dbraw/zinc/40/52/19/1123405219.db2.gz HLUMZOQEXIJEEU-INIZCTEOSA-N 1 2 299.345 3.544 20 0 CHADLO Fc1ccc([C@@H]2CC[N@H+]2Cc2cccc3c2OCCO3)cc1 ZINC000852467799 1123405224 /nfs/dbraw/zinc/40/52/24/1123405224.db2.gz HLUMZOQEXIJEEU-INIZCTEOSA-N 1 2 299.345 3.544 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(C)cc1F)c1nccs1 ZINC000631075473 1123428996 /nfs/dbraw/zinc/42/89/96/1123428996.db2.gz DLWFZWLUPJMLJQ-ZDUSSCGKSA-N 1 2 264.369 3.832 20 0 CHADLO Cc1cc([NH2+]C[C@H]2CCOc3ccccc32)ccc1N ZINC000853249031 1123429990 /nfs/dbraw/zinc/42/99/90/1123429990.db2.gz BOTSWYMAFUIRBX-CYBMUJFWSA-N 1 2 268.360 3.555 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC[C@@H]3CCC[C@H]3C2)n1 ZINC001141757125 1123446495 /nfs/dbraw/zinc/44/64/95/1123446495.db2.gz FGHQOKWYBJUZCN-UWVGGRQHSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC[C@@H]3CCC[C@H]3C2)n1 ZINC001141757125 1123446497 /nfs/dbraw/zinc/44/64/97/1123446497.db2.gz FGHQOKWYBJUZCN-UWVGGRQHSA-N 1 2 290.354 3.784 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2CSc3ccccc32)cs1 ZINC000335851222 1123446549 /nfs/dbraw/zinc/44/65/49/1123446549.db2.gz TYDOZRBKIIMFAE-NOZJJQNGSA-N 1 2 276.430 3.949 20 0 CHADLO CC(C)c1nnc(CNc2c[nH+]cc3c2CCCC3)s1 ZINC000895308218 1123450747 /nfs/dbraw/zinc/45/07/47/1123450747.db2.gz HASPOAITTNVFKK-UHFFFAOYSA-N 1 2 288.420 3.547 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(Cl)cc2)s1 ZINC000273165229 1129123536 /nfs/dbraw/zinc/12/35/36/1129123536.db2.gz BWOAZEIGFHNWBW-DTWKUNHWSA-N 1 2 281.812 3.912 20 0 CHADLO CC[C@@H](CSc1ccccc1)Nc1cc[nH+]c(C)n1 ZINC000443706455 1123475182 /nfs/dbraw/zinc/47/51/82/1123475182.db2.gz JWCQXBSWIQGVGY-ZDUSSCGKSA-N 1 2 273.405 3.768 20 0 CHADLO Cc1ncc([C@@H](C)Nc2ccc([NH+]3CCCC3)cc2)c(C)n1 ZINC000776802925 1123515629 /nfs/dbraw/zinc/51/56/29/1123515629.db2.gz OQKRZKAJHDDMBK-CQSZACIVSA-N 1 2 296.418 3.867 20 0 CHADLO Cc1ccc(CCC(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000097040367 1123530253 /nfs/dbraw/zinc/53/02/53/1123530253.db2.gz SUIMMELPAWOZQW-UHFFFAOYSA-N 1 2 282.387 3.887 20 0 CHADLO Cc1ccc(C)c(OCC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000097076060 1123530841 /nfs/dbraw/zinc/53/08/41/1123530841.db2.gz OVDOPHHLFUAKQF-UHFFFAOYSA-N 1 2 298.386 3.641 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(Cl)s2)s1 ZINC000273689054 1129127946 /nfs/dbraw/zinc/12/79/46/1129127946.db2.gz LLRPXGBQWSUGMG-RQJHMYQMSA-N 1 2 287.841 3.973 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(Cl)s2)s1 ZINC000273689053 1129128100 /nfs/dbraw/zinc/12/81/00/1129128100.db2.gz LLRPXGBQWSUGMG-RNFRBKRXSA-N 1 2 287.841 3.973 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2cscc2Cl)o1 ZINC000896039780 1123545032 /nfs/dbraw/zinc/54/50/32/1123545032.db2.gz NGGSSSHONRECOB-UHFFFAOYSA-N 1 2 271.769 3.683 20 0 CHADLO Clc1csc(C[N@@H+]2C[C@@H]3C[C@]3(c3ccccc3)C2)n1 ZINC000896233378 1123563393 /nfs/dbraw/zinc/56/33/93/1123563393.db2.gz URISDLHYNANAFV-SWLSCSKDSA-N 1 2 290.819 3.570 20 0 CHADLO Clc1csc(C[N@H+]2C[C@@H]3C[C@]3(c3ccccc3)C2)n1 ZINC000896233378 1123563394 /nfs/dbraw/zinc/56/33/94/1123563394.db2.gz URISDLHYNANAFV-SWLSCSKDSA-N 1 2 290.819 3.570 20 0 CHADLO Cc1ccccc1[C@H](c1noc(C2([NH+](C)C)CC2)n1)C(C)C ZINC000896294537 1123569505 /nfs/dbraw/zinc/56/95/05/1123569505.db2.gz UFVWSYRCTLUXNO-OAHLLOKOSA-N 1 2 299.418 3.717 20 0 CHADLO Cc1nnc(C[N@H+]2CCCC[C@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000428301408 1123586027 /nfs/dbraw/zinc/58/60/27/1123586027.db2.gz AAYISHGVATXJBY-KRWDZBQOSA-N 1 2 298.434 3.964 20 0 CHADLO Cc1nnc(C[N@@H+]2CCCC[C@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000428301408 1123586030 /nfs/dbraw/zinc/58/60/30/1123586030.db2.gz AAYISHGVATXJBY-KRWDZBQOSA-N 1 2 298.434 3.964 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)C1CC2(C1)CCCCC2 ZINC000857141698 1123587681 /nfs/dbraw/zinc/58/76/81/1123587681.db2.gz VWBBAVKIWYHDMK-UHFFFAOYSA-N 1 2 283.375 3.633 20 0 CHADLO Fc1ccc(C[NH+]2CC3(CCC3)C2)c(Br)c1 ZINC001137545395 1123613423 /nfs/dbraw/zinc/61/34/23/1123613423.db2.gz LOTJBWPVWSPTKE-UHFFFAOYSA-N 1 2 284.172 3.574 20 0 CHADLO CC(C)(/C=C/Cl)[NH2+]Cc1csc(C(F)(F)F)n1 ZINC000896751491 1123619281 /nfs/dbraw/zinc/61/92/81/1123619281.db2.gz MJAAGRPRPCDFQZ-ONEGZZNKSA-N 1 2 284.734 3.783 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+][C@H]1CCCc2cn[nH]c21 ZINC000857867863 1123623367 /nfs/dbraw/zinc/62/33/67/1123623367.db2.gz LAXRILCFMCTIIK-INIZCTEOSA-N 1 2 285.366 3.593 20 0 CHADLO Clc1ccc(SCC[N@@H+]2Cc3ccncc3C2)cc1 ZINC000857874768 1123624368 /nfs/dbraw/zinc/62/43/68/1123624368.db2.gz RKLBDGDOLGSIPF-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1ccc(SCC[N@H+]2Cc3ccncc3C2)cc1 ZINC000857874768 1123624371 /nfs/dbraw/zinc/62/43/71/1123624371.db2.gz RKLBDGDOLGSIPF-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCCc3scnc32)cc1 ZINC000858398431 1123650440 /nfs/dbraw/zinc/65/04/40/1123650440.db2.gz XFILNTTYXJSMSR-ZDUSSCGKSA-N 1 2 273.405 3.699 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2ccc(Cl)cc21)c1ccncc1F ZINC000335905298 1123650779 /nfs/dbraw/zinc/65/07/79/1123650779.db2.gz MFQMGVWPIQESMF-LKFCYVNXSA-N 1 2 292.741 3.658 20 0 CHADLO CC1(C)CC(Nc2ccc(N3CCCCC3)[nH+]c2)C1 ZINC000395287206 1123655147 /nfs/dbraw/zinc/65/51/47/1123655147.db2.gz HSJBDLDBEZLRJW-UHFFFAOYSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H](c1cccnc1)C(F)(F)F ZINC001227263375 1123660356 /nfs/dbraw/zinc/66/03/56/1123660356.db2.gz FYWIXSMZOWLLGR-ZDUSSCGKSA-N 1 2 282.265 3.776 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)nc1 ZINC000339012734 1123669029 /nfs/dbraw/zinc/66/90/29/1123669029.db2.gz VZKPYWUKJLFOLP-BEFAXECRSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)nc1 ZINC000339012734 1123669032 /nfs/dbraw/zinc/66/90/32/1123669032.db2.gz VZKPYWUKJLFOLP-BEFAXECRSA-N 1 2 296.414 3.606 20 0 CHADLO CCn1c2ccccc2nc1[C@H](C)Nc1cccc[nH+]1 ZINC000346216145 1123671484 /nfs/dbraw/zinc/67/14/84/1123671484.db2.gz HOARNEXQHJCXTK-LBPRGKRZSA-N 1 2 266.348 3.624 20 0 CHADLO Clc1ncccc1C[NH2+]C1(c2nccs2)CCCC1 ZINC000116374549 1123674723 /nfs/dbraw/zinc/67/47/23/1123674723.db2.gz XVLCMFWUCFCIFB-UHFFFAOYSA-N 1 2 293.823 3.751 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1Cc1cc(C)cc(C)c1Cl ZINC001237700494 1129138414 /nfs/dbraw/zinc/13/84/14/1129138414.db2.gz DTAGYEYKGHCKEG-CQSZACIVSA-N 1 2 267.800 3.568 20 0 CHADLO CC[C@@H]1COCC[N@H+]1Cc1cc(C)cc(C)c1Cl ZINC001237700494 1129138416 /nfs/dbraw/zinc/13/84/16/1129138416.db2.gz DTAGYEYKGHCKEG-CQSZACIVSA-N 1 2 267.800 3.568 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1ccnc(F)c1 ZINC000859079389 1123683595 /nfs/dbraw/zinc/68/35/95/1123683595.db2.gz MKGFZTZFLZODKM-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1ccnc(F)c1 ZINC000859079389 1123683598 /nfs/dbraw/zinc/68/35/98/1123683598.db2.gz MKGFZTZFLZODKM-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1cc(Cl)n(C)n1)C1CC1 ZINC000859096352 1123684599 /nfs/dbraw/zinc/68/45/99/1123684599.db2.gz NRTNUVLOFQRGFM-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1cc(Cl)n(C)n1)C1CC1 ZINC000859096352 1123684601 /nfs/dbraw/zinc/68/46/01/1123684601.db2.gz NRTNUVLOFQRGFM-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO CCC(CC)c1noc([C@H](c2ccccc2)[NH+](C)C)n1 ZINC000346396210 1123686011 /nfs/dbraw/zinc/68/60/11/1123686011.db2.gz DEBVXFHQTDFUQQ-AWEZNQCLSA-N 1 2 273.380 3.624 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)ccn1 ZINC000859139178 1123686430 /nfs/dbraw/zinc/68/64/30/1123686430.db2.gz LCHMSGJGPULTJJ-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)ccn1 ZINC000859139178 1123686433 /nfs/dbraw/zinc/68/64/33/1123686433.db2.gz LCHMSGJGPULTJJ-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO COc1ccc([C@H]([NH2+]Cc2cccnc2)c2ccco2)cc1 ZINC000346461104 1123689249 /nfs/dbraw/zinc/68/92/49/1123689249.db2.gz NXVHPZGXTAPRJA-SFHVURJKSA-N 1 2 294.354 3.562 20 0 CHADLO Fc1ccccc1-c1nc(C[NH+]2CCC(F)(F)CC2)co1 ZINC000859289102 1123693771 /nfs/dbraw/zinc/69/37/71/1123693771.db2.gz DOGMTXNWQVXMGJ-UHFFFAOYSA-N 1 2 296.292 3.712 20 0 CHADLO CC1(C)C[N@H+](Cc2ccnc(F)c2)[C@H]1c1cccs1 ZINC000859305827 1123694062 /nfs/dbraw/zinc/69/40/62/1123694062.db2.gz PVEMQMAPULSQMX-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccnc(F)c2)[C@H]1c1cccs1 ZINC000859305827 1123694065 /nfs/dbraw/zinc/69/40/65/1123694065.db2.gz PVEMQMAPULSQMX-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccccc2F)CC1)c1ccc(F)cn1 ZINC000346922811 1123731602 /nfs/dbraw/zinc/73/16/02/1123731602.db2.gz OHZFCLBJYUOMKP-LLVKDONJSA-N 1 2 274.314 3.700 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1coc(C3CC3)n1)CC2 ZINC000777219945 1123785762 /nfs/dbraw/zinc/78/57/62/1123785762.db2.gz OJQBPHNOICMSSO-UHFFFAOYSA-N 1 2 282.387 3.727 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1coc(C3CC3)n1)CC2 ZINC000777219945 1123785766 /nfs/dbraw/zinc/78/57/66/1123785766.db2.gz OJQBPHNOICMSSO-UHFFFAOYSA-N 1 2 282.387 3.727 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccccc2F)cc(Cl)n1 ZINC000320501349 1123786950 /nfs/dbraw/zinc/78/69/50/1123786950.db2.gz UHORPRQBWJQOLY-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccccc2F)cc(Cl)n1 ZINC000320501349 1123786954 /nfs/dbraw/zinc/78/69/54/1123786954.db2.gz UHORPRQBWJQOLY-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCCCc1noc(C[N@H+](C)[C@@H](C)c2ccccc2)n1 ZINC000042628150 1123788010 /nfs/dbraw/zinc/78/80/10/1123788010.db2.gz NYULIWUGFRCSEH-ZDUSSCGKSA-N 1 2 273.380 3.605 20 0 CHADLO CCCCc1noc(C[N@@H+](C)[C@@H](C)c2ccccc2)n1 ZINC000042628150 1123788013 /nfs/dbraw/zinc/78/80/13/1123788013.db2.gz NYULIWUGFRCSEH-ZDUSSCGKSA-N 1 2 273.380 3.605 20 0 CHADLO CCCCc1noc(C[N@H+](C)[C@H](C)c2ccccc2)n1 ZINC000042628151 1123788123 /nfs/dbraw/zinc/78/81/23/1123788123.db2.gz NYULIWUGFRCSEH-CYBMUJFWSA-N 1 2 273.380 3.605 20 0 CHADLO CCCCc1noc(C[N@@H+](C)[C@H](C)c2ccccc2)n1 ZINC000042628151 1123788126 /nfs/dbraw/zinc/78/81/26/1123788126.db2.gz NYULIWUGFRCSEH-CYBMUJFWSA-N 1 2 273.380 3.605 20 0 CHADLO Fc1c(C[NH+]2CCC(F)(C3CC3)CC2)ccnc1Cl ZINC000896946512 1123791389 /nfs/dbraw/zinc/79/13/89/1123791389.db2.gz UVVNAHBSDONVTF-UHFFFAOYSA-N 1 2 286.753 3.588 20 0 CHADLO Cc1cc(NC2C[C@H]3CC[C@@H](C2)S3)nc(C2CCC2)[nH+]1 ZINC000896999175 1123797339 /nfs/dbraw/zinc/79/73/39/1123797339.db2.gz UKDZAXBQDMVGOM-AGUYFDCRSA-N 1 2 289.448 3.891 20 0 CHADLO Cc1c(F)nccc1C[NH+]1CC(c2cccc(Cl)c2)C1 ZINC000862387931 1123806236 /nfs/dbraw/zinc/80/62/36/1123806236.db2.gz SLJKSBYPFSXOLX-UHFFFAOYSA-N 1 2 290.769 3.782 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccnc(Cl)c2F)C[C@H](C)C1(F)F ZINC000862443719 1123811284 /nfs/dbraw/zinc/81/12/84/1123811284.db2.gz QONTVIFXHHRDLQ-DTORHVGOSA-N 1 2 292.732 3.597 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccnc(Cl)c2F)C[C@H](C)C1(F)F ZINC000862443719 1123811289 /nfs/dbraw/zinc/81/12/89/1123811289.db2.gz QONTVIFXHHRDLQ-DTORHVGOSA-N 1 2 292.732 3.597 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)c(F)cc1F ZINC000475282127 1123833750 /nfs/dbraw/zinc/83/37/50/1123833750.db2.gz UOXMZKPRUIVQIL-APPZFPTMSA-N 1 2 297.242 3.877 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccccc1 ZINC000639560593 1123857464 /nfs/dbraw/zinc/85/74/64/1123857464.db2.gz FMNIPVFYWJMRGF-HNNXBMFYSA-N 1 2 285.391 3.653 20 0 CHADLO CSc1ccc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)s1 ZINC001142018879 1123861999 /nfs/dbraw/zinc/86/19/99/1123861999.db2.gz ZSFJYOOCAWXYGI-CMPLNLGQSA-N 1 2 277.405 3.742 20 0 CHADLO CSc1ccc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)s1 ZINC001142018879 1123862004 /nfs/dbraw/zinc/86/20/04/1123862004.db2.gz ZSFJYOOCAWXYGI-CMPLNLGQSA-N 1 2 277.405 3.742 20 0 CHADLO CC(C)c1ccc(OCCNc2cccc[nH+]2)cc1 ZINC000019777545 1123864860 /nfs/dbraw/zinc/86/48/60/1123864860.db2.gz OIFONNIGEKERGM-UHFFFAOYSA-N 1 2 256.349 3.696 20 0 CHADLO CC(C)[C@@H](CNc1cccc[nH+]1)Nc1ccccc1 ZINC000301085765 1123872962 /nfs/dbraw/zinc/87/29/62/1123872962.db2.gz QBKZHWGTPPXLGL-OAHLLOKOSA-N 1 2 255.365 3.630 20 0 CHADLO CN(Cc1cccc(C(F)(F)F)c1)c1cccc[nH+]1 ZINC000301086262 1123874233 /nfs/dbraw/zinc/87/42/33/1123874233.db2.gz LQHQKUMDSGMZQM-UHFFFAOYSA-N 1 2 266.266 3.737 20 0 CHADLO Brc1ccc([C@@H]2C[C@H]2Nc2cccc[nH+]2)cc1 ZINC000301149242 1123877645 /nfs/dbraw/zinc/87/76/45/1123877645.db2.gz NVWRIHNKHRGMPQ-QWHCGFSZSA-N 1 2 289.176 3.812 20 0 CHADLO Cc1[nH]c2ccccc2c1CCNc1cccc[nH+]1 ZINC000301168677 1123878272 /nfs/dbraw/zinc/87/82/72/1123878272.db2.gz VXVVAOCYRYSMGS-UHFFFAOYSA-N 1 2 251.333 3.526 20 0 CHADLO Cc1cc(F)ccc1N1CCC[C@@H](Nc2cccc[nH+]2)C1 ZINC000301296343 1123890084 /nfs/dbraw/zinc/89/00/84/1123890084.db2.gz RZIBKRFDMWCIES-OAHLLOKOSA-N 1 2 285.366 3.610 20 0 CHADLO Fc1cccc(Cl)c1[C@H]1C[C@H]1Nc1cccc[nH+]1 ZINC000301349612 1123893310 /nfs/dbraw/zinc/89/33/10/1123893310.db2.gz KXCAWJVTGIGAFE-JOYOIKCWSA-N 1 2 262.715 3.842 20 0 CHADLO Cc1cc(NC[C@@](C)(O)CCC(C)C)nc(C(C)C)[nH+]1 ZINC000301345948 1123894000 /nfs/dbraw/zinc/89/40/00/1123894000.db2.gz JSWKOLNAOUJPHC-INIZCTEOSA-N 1 2 279.428 3.508 20 0 CHADLO CCc1cc(N(Cc2ccco2)Cc2ccco2)nc(C)[nH+]1 ZINC000301375536 1123895541 /nfs/dbraw/zinc/89/55/41/1123895541.db2.gz XIMUJJJKFIFLBU-UHFFFAOYSA-N 1 2 297.358 3.740 20 0 CHADLO Clc1ccc2[nH]cc(CCNc3cccc[nH+]3)c2c1 ZINC000301478421 1123903624 /nfs/dbraw/zinc/90/36/24/1123903624.db2.gz XJRUVEQDBXHIMB-UHFFFAOYSA-N 1 2 271.751 3.871 20 0 CHADLO FC(F)(F)Sc1ccc(-c2c[nH+]c3n2CCCC3)cn1 ZINC000863977060 1123906130 /nfs/dbraw/zinc/90/61/30/1123906130.db2.gz UMXWATXJUZUOSH-UHFFFAOYSA-N 1 2 299.321 3.893 20 0 CHADLO Fc1c(Cl)cc(Cl)cc1C[N@@H+]1CC[C@H]2C[C@H]21 ZINC000863995877 1123907643 /nfs/dbraw/zinc/90/76/43/1123907643.db2.gz IXAQKUUDVPECRL-WRWORJQWSA-N 1 2 260.139 3.727 20 0 CHADLO Fc1c(Cl)cc(Cl)cc1C[N@H+]1CC[C@H]2C[C@H]21 ZINC000863995877 1123907646 /nfs/dbraw/zinc/90/76/46/1123907646.db2.gz IXAQKUUDVPECRL-WRWORJQWSA-N 1 2 260.139 3.727 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1nnc(C)o1 ZINC000621280643 1129158142 /nfs/dbraw/zinc/15/81/42/1129158142.db2.gz QRSFNCZNSBKTBT-CYBMUJFWSA-N 1 2 279.771 3.615 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1nnc(C)o1 ZINC000621280643 1129158144 /nfs/dbraw/zinc/15/81/44/1129158144.db2.gz QRSFNCZNSBKTBT-CYBMUJFWSA-N 1 2 279.771 3.615 20 0 CHADLO Cc1nc(N2CC[C@@H](c3ccc(F)cc3)C2)c(C)c(C)[nH+]1 ZINC000301643197 1123920429 /nfs/dbraw/zinc/92/04/29/1123920429.db2.gz BXBGZMPNOUAVDR-OAHLLOKOSA-N 1 2 285.366 3.535 20 0 CHADLO CCCN(C[C@@H]1CCCCO1)c1cc(C)[nH+]c(C(C)C)n1 ZINC000301659319 1123921165 /nfs/dbraw/zinc/92/11/65/1123921165.db2.gz MCTHIWDEDAXNFI-HNNXBMFYSA-N 1 2 291.439 3.694 20 0 CHADLO COCCC1(CNc2cc(C)[nH+]c(C(C)C)n2)CCC1 ZINC000301667264 1123922671 /nfs/dbraw/zinc/92/26/71/1123922671.db2.gz OWDBQNOTPIAHLM-UHFFFAOYSA-N 1 2 277.412 3.527 20 0 CHADLO Cc1nc(CCNc2cc(C)[nH+]c(C(C)C)n2)sc1C ZINC000301672427 1123922792 /nfs/dbraw/zinc/92/27/92/1123922792.db2.gz OXLUQRUKFRQNLP-UHFFFAOYSA-N 1 2 290.436 3.636 20 0 CHADLO Cc1cc(N2CCS[C@@H]3CCCC[C@H]32)nc(C(C)C)[nH+]1 ZINC000301681304 1123923521 /nfs/dbraw/zinc/92/35/21/1123923521.db2.gz VDMGYQHXXMYPJC-ZIAGYGMSSA-N 1 2 291.464 3.773 20 0 CHADLO CO[C@H](CNc1cccc[nH+]1)c1ccccc1Cl ZINC000301688201 1123924077 /nfs/dbraw/zinc/92/40/77/1123924077.db2.gz VLKHSOKAZYQPPQ-CYBMUJFWSA-N 1 2 262.740 3.535 20 0 CHADLO C[C@H]1C[N@H+](Cc2cncc(Cl)c2)[C@H]1c1ccccc1 ZINC000621261676 1129153776 /nfs/dbraw/zinc/15/37/76/1129153776.db2.gz ZLASTDDNXCKANQ-BLLLJJGKSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cncc(Cl)c2)[C@H]1c1ccccc1 ZINC000621261676 1129153778 /nfs/dbraw/zinc/15/37/78/1129153778.db2.gz ZLASTDDNXCKANQ-BLLLJJGKSA-N 1 2 272.779 3.928 20 0 CHADLO Fc1ccc2c(c1)[C@H](Nc1cc(NCC3CC3)[nH+]cn1)CC2 ZINC000301818190 1123935827 /nfs/dbraw/zinc/93/58/27/1123935827.db2.gz IKPNBKPTFJVLMF-OAHLLOKOSA-N 1 2 298.365 3.537 20 0 CHADLO Fc1ccc2c(c1)[C@H](Nc1cc(NCC3CC3)nc[nH+]1)CC2 ZINC000301818190 1123935829 /nfs/dbraw/zinc/93/58/29/1123935829.db2.gz IKPNBKPTFJVLMF-OAHLLOKOSA-N 1 2 298.365 3.537 20 0 CHADLO C[C@@H]1CCC[C@@H](Nc2[nH+]cnc3c2cnn3C(C)(C)C)C1 ZINC000301868423 1123939293 /nfs/dbraw/zinc/93/92/93/1123939293.db2.gz GPUUHFXNIZQIIT-VXGBXAGGSA-N 1 2 287.411 3.572 20 0 CHADLO Cc1c[nH+]c(N2[C@H](C)CC[C@@H]2C)nc1N1[C@H](C)CC[C@@H]1C ZINC000301876858 1123939972 /nfs/dbraw/zinc/93/99/72/1123939972.db2.gz HAWBFOQSTURJSE-NMWPEEMBSA-N 1 2 288.439 3.539 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2N[C@@H]1CCCC1(C)C ZINC000301875766 1123940185 /nfs/dbraw/zinc/94/01/85/1123940185.db2.gz IMPBYEWZYHWVMR-GFCCVEGCSA-N 1 2 287.411 3.572 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)[C@]12C[C@H]1CCCC2 ZINC000864951289 1123952319 /nfs/dbraw/zinc/95/23/19/1123952319.db2.gz JFLSKOZNMXCBCC-SJKOYZFVSA-N 1 2 299.349 3.530 20 0 CHADLO CCc1cc(N2C[C@@H](C)[C@@H]2c2ccccc2)nc(C)[nH+]1 ZINC000302092090 1123955282 /nfs/dbraw/zinc/95/52/82/1123955282.db2.gz MMIRYEFDEJOWMB-SJKOYZFVSA-N 1 2 267.376 3.545 20 0 CHADLO Cc1cc(N2C[C@@H](C)C[C@@H]2c2cccnc2)nc(C(C)C)[nH+]1 ZINC000302143148 1123957575 /nfs/dbraw/zinc/95/75/75/1123957575.db2.gz PVUAESNZTBAOIB-XJKSGUPXSA-N 1 2 296.418 3.891 20 0 CHADLO Cc1cc(N2C[C@H](C)C[C@H]2c2cccnc2)nc(C(C)C)[nH+]1 ZINC000302143146 1123958159 /nfs/dbraw/zinc/95/81/59/1123958159.db2.gz PVUAESNZTBAOIB-CJNGLKHVSA-N 1 2 296.418 3.891 20 0 CHADLO O[C@@H](CNc1cccc[nH+]1)c1ccc(-c2ccccc2)cc1 ZINC000302199488 1123960791 /nfs/dbraw/zinc/96/07/91/1123960791.db2.gz QWLUTWKPQJXTTH-SFHVURJKSA-N 1 2 290.366 3.894 20 0 CHADLO COc1cccc(CC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000302196457 1123960856 /nfs/dbraw/zinc/96/08/56/1123960856.db2.gz XQGQXEUCAOAMEZ-UHFFFAOYSA-N 1 2 282.387 3.549 20 0 CHADLO COc1ccc2c(c1)CCC[C@H]2CNc1cccc[nH+]1 ZINC000302189358 1123960875 /nfs/dbraw/zinc/96/08/75/1123960875.db2.gz KHONGEWBUNYIKF-AWEZNQCLSA-N 1 2 268.360 3.622 20 0 CHADLO Cc1ccc(Br)cc1CNc1cccc[nH+]1 ZINC000302522508 1123971099 /nfs/dbraw/zinc/97/10/99/1123971099.db2.gz BZFYFQHSEJEHAI-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1cncc(Cl)c1 ZINC000621260795 1129156808 /nfs/dbraw/zinc/15/68/08/1129156808.db2.gz QMTQFDAMQNZJLJ-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1cncc(Cl)c1 ZINC000621260795 1129156810 /nfs/dbraw/zinc/15/68/10/1129156810.db2.gz QMTQFDAMQNZJLJ-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO COc1cccc2c(NCCc3ccncc3C)cc[nH+]c12 ZINC000302533283 1123971295 /nfs/dbraw/zinc/97/12/95/1123971295.db2.gz LZINTBQIIJKSRD-UHFFFAOYSA-N 1 2 293.370 3.601 20 0 CHADLO Clc1cncnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC000302584852 1123973941 /nfs/dbraw/zinc/97/39/41/1123973941.db2.gz UYZJPZGLWDAQNE-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO CC(C)(C)c1cn(CCc2noc3ccccc23)c[nH+]1 ZINC000865376176 1123976487 /nfs/dbraw/zinc/97/64/87/1123976487.db2.gz COEVLIJGBAIOKT-UHFFFAOYSA-N 1 2 269.348 3.565 20 0 CHADLO CC(C)(C)c1cn(Cc2ccn(-c3cccc(F)c3)n2)c[nH+]1 ZINC000865375270 1123976601 /nfs/dbraw/zinc/97/66/01/1123976601.db2.gz HWJOWCBGAJUYLR-UHFFFAOYSA-N 1 2 298.365 3.554 20 0 CHADLO CC(C)c1cc(N2CCSC[C@@H](C)C2)nc(C(C)C)[nH+]1 ZINC000302691840 1123978005 /nfs/dbraw/zinc/97/80/05/1123978005.db2.gz COPULOZPQZSBQC-ZDUSSCGKSA-N 1 2 293.480 3.913 20 0 CHADLO CCn1ccc(CN(C)c2cc[nH+]c3c(OC)cccc23)c1 ZINC000302726761 1123979831 /nfs/dbraw/zinc/97/98/31/1123979831.db2.gz PLVVGTYSPWUWSO-UHFFFAOYSA-N 1 2 295.386 3.701 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N(C)Cc1cccnc1 ZINC000302732763 1123981211 /nfs/dbraw/zinc/98/12/11/1123981211.db2.gz XKQLOIZQALZKKM-UHFFFAOYSA-N 1 2 293.370 3.583 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)[NH2+]Cc1cn(C2CC2)cn1 ZINC000865416037 1123982321 /nfs/dbraw/zinc/98/23/21/1123982321.db2.gz VDSRZMLXXPQJSP-CQSZACIVSA-N 1 2 299.418 3.856 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccc(C(F)F)nc2)cc1 ZINC000865495939 1123989662 /nfs/dbraw/zinc/98/96/62/1123989662.db2.gz PVPLXLHULRQZBP-UHFFFAOYSA-N 1 2 277.318 3.697 20 0 CHADLO Fc1cc(N[C@H]2CCC23CCC3)ccc1-n1cc[nH+]c1 ZINC000337385068 1123990422 /nfs/dbraw/zinc/99/04/22/1123990422.db2.gz FLBOLZWVHGHESL-HNNXBMFYSA-N 1 2 271.339 3.756 20 0 CHADLO CC(C)(C)c1csc(C[NH2+]Cc2ccc(Cl)cn2)n1 ZINC000865521142 1123991639 /nfs/dbraw/zinc/99/16/39/1123991639.db2.gz LTIIMEYGWFMOPX-UHFFFAOYSA-N 1 2 295.839 3.779 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2nc(C)cs2)o1 ZINC000428353933 1123996376 /nfs/dbraw/zinc/99/63/76/1123996376.db2.gz XDQJELCOKPKFNU-LLVKDONJSA-N 1 2 279.409 3.551 20 0 CHADLO Cc1nocc1C[NH2+]Cc1cc(Cl)cc(Cl)c1F ZINC000865817858 1124017780 /nfs/dbraw/zinc/01/77/80/1124017780.db2.gz OJPXFFZWYHSRDX-UHFFFAOYSA-N 1 2 289.137 3.719 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+][C@@H](C)C(C)(F)F ZINC000389449842 1124025381 /nfs/dbraw/zinc/02/53/81/1124025381.db2.gz RJHBCCJNMVCSRI-JTQLQIEISA-N 1 2 253.292 3.875 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Br)cc1)C(C)(F)F ZINC000389464852 1124028100 /nfs/dbraw/zinc/02/81/00/1124028100.db2.gz HXGMWXWIZWYNCW-QMMMGPOBSA-N 1 2 278.140 3.582 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2c3ccccc3OC[C@@H]2F)cc1 ZINC000866217693 1124038556 /nfs/dbraw/zinc/03/85/56/1124038556.db2.gz OKEKHTDECCJMBF-RDJZCZTQSA-N 1 2 271.335 3.556 20 0 CHADLO CC[C@](C)([NH2+]Cc1oc(C(C)C)nc1C)c1nccs1 ZINC000428365853 1124040486 /nfs/dbraw/zinc/04/04/86/1124040486.db2.gz DXTAHSOWHBOTNG-HNNXBMFYSA-N 1 2 293.436 3.978 20 0 CHADLO Cc1ccsc1C[NH2+][C@H]1c2ccccc2OC[C@H]1F ZINC000866242988 1124040629 /nfs/dbraw/zinc/04/06/29/1124040629.db2.gz VEOIHTLGXSPVJW-DOMZBBRYSA-N 1 2 277.364 3.618 20 0 CHADLO CC(C)CCC1CC[NH+](Cc2nnc(C(C)C)[nH]2)CC1 ZINC000428371718 1124044280 /nfs/dbraw/zinc/04/42/80/1124044280.db2.gz XQQCFXKOJRKJQM-UHFFFAOYSA-N 1 2 278.444 3.576 20 0 CHADLO CC(C)[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccccn1 ZINC000866322985 1124052298 /nfs/dbraw/zinc/05/22/98/1124052298.db2.gz BDMKWFXHAPLUEZ-GOSISDBHSA-N 1 2 296.418 3.886 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccncc2Cl)ccc1F ZINC000444740730 1124059126 /nfs/dbraw/zinc/05/91/26/1124059126.db2.gz XURSGFUYETVWMF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccncc2Cl)ccc1F ZINC000444740730 1124059129 /nfs/dbraw/zinc/05/91/29/1124059129.db2.gz XURSGFUYETVWMF-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO F[C@H]1COc2ccccc2[C@@H]1[NH2+][C@@H]1CCc2ccccc21 ZINC000866390365 1124060726 /nfs/dbraw/zinc/06/07/26/1124060726.db2.gz VCBOUPPSWAWBRO-JZXOWHBKSA-N 1 2 283.346 3.735 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2noc3ccc(F)cc32)o1 ZINC000866510391 1124075159 /nfs/dbraw/zinc/07/51/59/1124075159.db2.gz BSKXOYDSSXWBCG-SNVBAGLBSA-N 1 2 288.322 3.973 20 0 CHADLO C[C@H](CC1CCC1)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000631738807 1124082964 /nfs/dbraw/zinc/08/29/64/1124082964.db2.gz UQUDOEKRVJZDJZ-DOMZBBRYSA-N 1 2 276.428 3.594 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+]1CCc2ncsc2C1 ZINC000445851378 1124104043 /nfs/dbraw/zinc/10/40/43/1124104043.db2.gz DETQFHMBPCWPKF-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+]1CCc2ncsc2C1 ZINC000445851378 1124104045 /nfs/dbraw/zinc/10/40/45/1124104045.db2.gz DETQFHMBPCWPKF-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2oc(C(C)C)nc2C)s1 ZINC000428431677 1124108793 /nfs/dbraw/zinc/10/87/93/1124108793.db2.gz VLHQSBQNWLRNAB-LLVKDONJSA-N 1 2 279.409 3.722 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+](C)Cc1ccoc1C ZINC000428441510 1124113748 /nfs/dbraw/zinc/11/37/48/1124113748.db2.gz HWFPZKRUQQJKOX-UHFFFAOYSA-N 1 2 262.353 3.640 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+](C)Cc1ccoc1C ZINC000428441510 1124113749 /nfs/dbraw/zinc/11/37/49/1124113749.db2.gz HWFPZKRUQQJKOX-UHFFFAOYSA-N 1 2 262.353 3.640 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000428452842 1124119726 /nfs/dbraw/zinc/11/97/26/1124119726.db2.gz DDDQCPFWNYLXAP-SNVBAGLBSA-N 1 2 281.812 3.693 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000428452842 1124119727 /nfs/dbraw/zinc/11/97/27/1124119727.db2.gz DDDQCPFWNYLXAP-SNVBAGLBSA-N 1 2 281.812 3.693 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccco2)o1 ZINC000525268190 1124120616 /nfs/dbraw/zinc/12/06/16/1124120616.db2.gz WDFOOABTCRGQPE-OCCSQVGLSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccco2)o1 ZINC000525268190 1124120617 /nfs/dbraw/zinc/12/06/17/1124120617.db2.gz WDFOOABTCRGQPE-OCCSQVGLSA-N 1 2 274.364 3.803 20 0 CHADLO COc1cccc(Cl)c1C[N@H+](C)[C@@H](C)c1ccncc1 ZINC000429694272 1124133329 /nfs/dbraw/zinc/13/33/29/1124133329.db2.gz DKFHPBQSYLRXLR-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+](C)[C@@H](C)c1ccncc1 ZINC000429694272 1124133331 /nfs/dbraw/zinc/13/33/31/1124133331.db2.gz DKFHPBQSYLRXLR-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C[C@@H]1CO ZINC000621373178 1129168308 /nfs/dbraw/zinc/16/83/08/1129168308.db2.gz DLSVLNYXDQNVOV-PSASIEDQSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C[C@@H]1CO ZINC000621373178 1129168310 /nfs/dbraw/zinc/16/83/10/1129168310.db2.gz DLSVLNYXDQNVOV-PSASIEDQSA-N 1 2 294.247 3.505 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC[C@H](O)[C@H](C)c1ccccc1 ZINC000819408438 1131245598 /nfs/dbraw/zinc/24/55/98/1131245598.db2.gz FYBOASLQPHGAMH-BEFAXECRSA-N 1 2 298.430 3.638 20 0 CHADLO CC(C)Oc1cc(C[N@H+](C)Cc2ccccc2)c(F)cn1 ZINC001238795498 1131249283 /nfs/dbraw/zinc/24/92/83/1131249283.db2.gz XDGQFVQWMHBUCO-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1cc(C[N@@H+](C)Cc2ccccc2)c(F)cn1 ZINC001238795498 1131249292 /nfs/dbraw/zinc/24/92/92/1131249292.db2.gz XDGQFVQWMHBUCO-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)c1ocnc1C[NH2+][C@H](C)c1cc2cnccc2o1 ZINC001119264060 1131249393 /nfs/dbraw/zinc/24/93/93/1131249393.db2.gz RZRCTGATASRFEE-LLVKDONJSA-N 1 2 285.347 3.790 20 0 CHADLO CCOCc1ccc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)cc1 ZINC001119632325 1131260464 /nfs/dbraw/zinc/26/04/64/1131260464.db2.gz RNHXXZNJBXZLST-AWEZNQCLSA-N 1 2 299.418 3.929 20 0 CHADLO CCc1cc(OC[C@@H]2CCO[C@@H](C)C2)c2ccccc2[nH+]1 ZINC000447323403 1124465034 /nfs/dbraw/zinc/46/50/34/1124465034.db2.gz GBAJRGCYFWPJLG-UONOGXRCSA-N 1 2 285.387 3.991 20 0 CHADLO CCc1ccccc1C[N@@H+]1CCOC[C@@H]1c1ccc(C)o1 ZINC000525834038 1124470050 /nfs/dbraw/zinc/47/00/50/1124470050.db2.gz LHEWCQMHODVZPR-QGZVFWFLSA-N 1 2 285.387 3.724 20 0 CHADLO CCc1ccccc1C[N@H+]1CCOC[C@@H]1c1ccc(C)o1 ZINC000525834038 1124470052 /nfs/dbraw/zinc/47/00/52/1124470052.db2.gz LHEWCQMHODVZPR-QGZVFWFLSA-N 1 2 285.387 3.724 20 0 CHADLO C/C(=C\C=C\[NH3+])c1cnc(OCc2ccccc2)cc1C ZINC001238876158 1131262351 /nfs/dbraw/zinc/26/23/51/1131262351.db2.gz LJXMPRTVPPDGEN-QZUPYRSWSA-N 1 2 280.371 3.845 20 0 CHADLO FC1=CCC[N@H+](Cc2ccc(OCC3CC3)cc2)C1 ZINC000361592263 1124478172 /nfs/dbraw/zinc/47/81/72/1124478172.db2.gz BKDNVKIRWGCVLR-UHFFFAOYSA-N 1 2 261.340 3.535 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccc(OCC3CC3)cc2)C1 ZINC000361592263 1124478175 /nfs/dbraw/zinc/47/81/75/1124478175.db2.gz BKDNVKIRWGCVLR-UHFFFAOYSA-N 1 2 261.340 3.535 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1csc(COc2ccccc2)n1 ZINC000525997051 1124488084 /nfs/dbraw/zinc/48/80/84/1124488084.db2.gz TZAYURSFPDCTSR-CHWSQXEVSA-N 1 2 288.416 3.705 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)N1CC[NH+](CC2(F)CC2)CC1 ZINC000525978240 1124488353 /nfs/dbraw/zinc/48/83/53/1124488353.db2.gz SLEXWJOTDXASJB-ZDUSSCGKSA-N 1 2 296.817 3.521 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cc2ccccc2[nH]c1=O ZINC001238882672 1131263914 /nfs/dbraw/zinc/26/39/14/1131263914.db2.gz UZVPEKACDCEFBO-UHFFFAOYSA-N 1 2 275.311 3.564 20 0 CHADLO C[C@H]([NH2+]Cc1coc(C(C)(C)C)n1)c1cccc(O)c1 ZINC001119848911 1131265015 /nfs/dbraw/zinc/26/50/15/1131265015.db2.gz BROAZEUQNWEKRW-NSHDSACASA-N 1 2 274.364 3.529 20 0 CHADLO CCC[C@](C)([NH2+]C[C@@H](C)c1cccc(Cl)c1)C(=O)OC ZINC000526124048 1124515100 /nfs/dbraw/zinc/51/51/00/1124515100.db2.gz HVKRVISCFZXTHU-WBMJQRKESA-N 1 2 297.826 3.765 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1nc(C(C)(C)C)co1 ZINC001119969334 1131267884 /nfs/dbraw/zinc/26/78/84/1131267884.db2.gz BFRGOOYUYLZZLQ-AWEZNQCLSA-N 1 2 287.407 3.950 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1nc(C(C)(C)C)co1 ZINC001119969334 1131267890 /nfs/dbraw/zinc/26/78/90/1131267890.db2.gz BFRGOOYUYLZZLQ-AWEZNQCLSA-N 1 2 287.407 3.950 20 0 CHADLO CC(C)OC(=O)[C@@H](C)[N@H+](C)C/C=C\c1ccc(Cl)cc1 ZINC000527297600 1124566808 /nfs/dbraw/zinc/56/68/08/1124566808.db2.gz RFVSSAYZUHXUJG-CFHLNLSMSA-N 1 2 295.810 3.625 20 0 CHADLO CC(C)OC(=O)[C@@H](C)[N@@H+](C)C/C=C\c1ccc(Cl)cc1 ZINC000527297600 1124566812 /nfs/dbraw/zinc/56/68/12/1124566812.db2.gz RFVSSAYZUHXUJG-CFHLNLSMSA-N 1 2 295.810 3.625 20 0 CHADLO C[C@@H]1CCC[C@@H](CC[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)C1 ZINC000527376929 1124576956 /nfs/dbraw/zinc/57/69/56/1124576956.db2.gz GWSNFBFJDLGZRZ-HLLBOEOZSA-N 1 2 298.434 3.700 20 0 CHADLO COc1ccc(-c2nc3[nH]c(C)cc3c[nH+]2)cc1OC(C)C ZINC001238925821 1131272155 /nfs/dbraw/zinc/27/21/55/1131272155.db2.gz RYECTVUNPBZNEA-UHFFFAOYSA-N 1 2 297.358 3.681 20 0 CHADLO CCc1sc(Cc2[nH+]ccn2Cc2ccccc2)nc1C ZINC000871598513 1124619568 /nfs/dbraw/zinc/61/95/68/1124619568.db2.gz RZHBOCBUQHNLEZ-UHFFFAOYSA-N 1 2 297.427 3.850 20 0 CHADLO CC(C)CCCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448841617 1124620483 /nfs/dbraw/zinc/62/04/83/1124620483.db2.gz VCBJNGPURMWZHY-UHFFFAOYSA-N 1 2 271.364 3.842 20 0 CHADLO C[C@H](O)[C@H]1CCCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000449017150 1124630564 /nfs/dbraw/zinc/63/05/64/1124630564.db2.gz JGKOAAIZJVHUGG-WCBMZHEXSA-N 1 2 294.247 3.790 20 0 CHADLO C[C@H](O)[C@H]1CCCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000449017150 1124630568 /nfs/dbraw/zinc/63/05/68/1124630568.db2.gz JGKOAAIZJVHUGG-WCBMZHEXSA-N 1 2 294.247 3.790 20 0 CHADLO C[N@H+](Cc1ccncc1F)Cc1ccccc1C(F)(F)F ZINC000449010949 1124632485 /nfs/dbraw/zinc/63/24/85/1124632485.db2.gz WQSXOBYUIYJOJL-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccncc1F)Cc1ccccc1C(F)(F)F ZINC000449010949 1124632488 /nfs/dbraw/zinc/63/24/88/1124632488.db2.gz WQSXOBYUIYJOJL-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Fc1c(Br)cccc1C[N@@H+]1CCC12CCC2 ZINC000449024922 1124639673 /nfs/dbraw/zinc/63/96/73/1124639673.db2.gz AGQZQTQQEISHSA-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO Fc1c(Br)cccc1C[N@H+]1CCC12CCC2 ZINC000449024922 1124639674 /nfs/dbraw/zinc/63/96/74/1124639674.db2.gz AGQZQTQQEISHSA-UHFFFAOYSA-N 1 2 284.172 3.717 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CCCC[C@H]1c1nccs1 ZINC000528366739 1124648633 /nfs/dbraw/zinc/64/86/33/1124648633.db2.gz PLVZMFFEJAZSQR-UAWPZABVSA-N 1 2 256.802 3.813 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CCCC[C@H]1c1nccs1 ZINC000528366739 1124648636 /nfs/dbraw/zinc/64/86/36/1124648636.db2.gz PLVZMFFEJAZSQR-UAWPZABVSA-N 1 2 256.802 3.813 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncccc2Cl)[C@@H]1c1ccccc1 ZINC000528392607 1124651602 /nfs/dbraw/zinc/65/16/02/1124651602.db2.gz CDSKMVKKKYUXGB-WBMJQRKESA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncccc2Cl)[C@@H]1c1ccccc1 ZINC000528392607 1124651604 /nfs/dbraw/zinc/65/16/04/1124651604.db2.gz CDSKMVKKKYUXGB-WBMJQRKESA-N 1 2 272.779 3.928 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1ncccc1Cl ZINC000528433634 1124662819 /nfs/dbraw/zinc/66/28/19/1124662819.db2.gz RFUBQQUYMLEMSG-GFCCVEGCSA-N 1 2 290.794 3.903 20 0 CHADLO COCC[C@H]([NH2+][C@@H](C)c1cccc(O)c1F)c1ccco1 ZINC000872060575 1124669992 /nfs/dbraw/zinc/66/99/92/1124669992.db2.gz RJTFAFCAVJOEMT-AAEUAGOBSA-N 1 2 293.338 3.553 20 0 CHADLO COc1ccc(F)cc1C[NH2+][C@@H](C)c1cc(O)ccc1F ZINC000872063712 1124671538 /nfs/dbraw/zinc/67/15/38/1124671538.db2.gz SIGMDQZEXQCPHC-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000528561524 1124689649 /nfs/dbraw/zinc/68/96/49/1124689649.db2.gz SKJYSEQTVXCKLB-ASJRZHAZSA-N 1 2 250.773 3.607 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000528561524 1124689652 /nfs/dbraw/zinc/68/96/52/1124689652.db2.gz SKJYSEQTVXCKLB-ASJRZHAZSA-N 1 2 250.773 3.607 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2ccc(C(F)(F)F)nc2)no1 ZINC000872129609 1124690125 /nfs/dbraw/zinc/69/01/25/1124690125.db2.gz CUOZNKMZXQFHJG-NXEZZACHSA-N 1 2 299.296 3.809 20 0 CHADLO CC1(C)C[N@H+](Cc2ccncc2F)[C@H]1c1cccs1 ZINC000449335406 1124698495 /nfs/dbraw/zinc/69/84/95/1124698495.db2.gz ZPZNFAFBTIHRQL-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccncc2F)[C@H]1c1cccs1 ZINC000449335406 1124698499 /nfs/dbraw/zinc/69/84/99/1124698499.db2.gz ZPZNFAFBTIHRQL-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO COc1ccc2nc(C[N@@H+]3CCC[C@@](C)(F)C3)sc2c1 ZINC000449352391 1124698621 /nfs/dbraw/zinc/69/86/21/1124698621.db2.gz UQVHBFXFMKPVHW-OAHLLOKOSA-N 1 2 294.395 3.629 20 0 CHADLO COc1ccc2nc(C[N@H+]3CCC[C@@](C)(F)C3)sc2c1 ZINC000449352391 1124698623 /nfs/dbraw/zinc/69/86/23/1124698623.db2.gz UQVHBFXFMKPVHW-OAHLLOKOSA-N 1 2 294.395 3.629 20 0 CHADLO CC[C@H]([NH2+]Cc1ccccc1C(F)(F)F)C(F)F ZINC000449359000 1124709776 /nfs/dbraw/zinc/70/97/76/1124709776.db2.gz HCBRXIOHYPYGMN-JTQLQIEISA-N 1 2 267.241 3.839 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](CC)C(F)F)cc1OCC ZINC000449356697 1124709977 /nfs/dbraw/zinc/70/99/77/1124709977.db2.gz AOIFFOKXUFVDKB-LBPRGKRZSA-N 1 2 287.350 3.617 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(OCC(F)(F)F)cc1)C(C)(F)F ZINC000449414725 1124721120 /nfs/dbraw/zinc/72/11/20/1124721120.db2.gz MUFAYEWXJZVOTL-SECBINFHSA-N 1 2 297.267 3.761 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)C(C)(F)F)cc2cccnc12 ZINC000449415678 1124723343 /nfs/dbraw/zinc/72/33/43/1124723343.db2.gz PZZFZYOLXDVFST-NSHDSACASA-N 1 2 264.319 3.677 20 0 CHADLO CCOC1CC2(C[C@@H]2Nc2[nH+]c3ccccc3cc2C)C1 ZINC000872777334 1124728743 /nfs/dbraw/zinc/72/87/43/1124728743.db2.gz WYWNWFCHHWFXRC-HQVVEAJESA-N 1 2 282.387 3.913 20 0 CHADLO Fc1ccc(Br)cc1C[N@@H+]1CC[C@H]1C1CC1 ZINC000449425514 1124732568 /nfs/dbraw/zinc/73/25/68/1124732568.db2.gz NBQIVFSZNCKKSE-ZDUSSCGKSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1ccc(Br)cc1C[N@H+]1CC[C@H]1C1CC1 ZINC000449425514 1124732574 /nfs/dbraw/zinc/73/25/74/1124732574.db2.gz NBQIVFSZNCKKSE-ZDUSSCGKSA-N 1 2 284.172 3.573 20 0 CHADLO CC1(C)CCc2onc(C[NH2+]Cc3cccc(F)c3)c2C1 ZINC000873175934 1124744991 /nfs/dbraw/zinc/74/49/91/1124744991.db2.gz WUNYYTJJAHNDIQ-UHFFFAOYSA-N 1 2 288.366 3.618 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@@H](C(F)(F)F)C[C@@H]2C)s1 ZINC000449459436 1124748043 /nfs/dbraw/zinc/74/80/43/1124748043.db2.gz LBGMJWQHGTUSMI-VHSXEESVSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@@H](C(F)(F)F)C[C@@H]2C)s1 ZINC000449459436 1124748049 /nfs/dbraw/zinc/74/80/49/1124748049.db2.gz LBGMJWQHGTUSMI-VHSXEESVSA-N 1 2 292.370 3.868 20 0 CHADLO Cc1cc(N2CCOCC2)[nH+]cc1-c1coc2ccccc21 ZINC001239060663 1131297650 /nfs/dbraw/zinc/29/76/50/1131297650.db2.gz KILCXMVLNVRTOO-UHFFFAOYSA-N 1 2 294.354 3.640 20 0 CHADLO CC(C)n1ccc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001239069717 1131299647 /nfs/dbraw/zinc/29/96/47/1131299647.db2.gz JRZGHVJAKFMLRJ-UHFFFAOYSA-N 1 2 265.360 3.981 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cc(Cl)ccc1F ZINC000449563512 1124804366 /nfs/dbraw/zinc/80/43/66/1124804366.db2.gz VUNQJKCIDYKLKD-SNVBAGLBSA-N 1 2 281.762 3.546 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1cc(C)ccc1C ZINC000528796869 1124810088 /nfs/dbraw/zinc/81/00/88/1124810088.db2.gz BYUSBNSNDZUCIX-OAHLLOKOSA-N 1 2 285.391 3.805 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+][C@@H](C)c1ncccc1F ZINC000528825150 1124821119 /nfs/dbraw/zinc/82/11/19/1124821119.db2.gz OUSUWYLFRWJJIV-ZDUSSCGKSA-N 1 2 288.366 3.859 20 0 CHADLO CC(C)(C)n1cc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000449629243 1124824850 /nfs/dbraw/zinc/82/48/50/1124824850.db2.gz FGFZRHAFEDJOGX-MRXNPFEDSA-N 1 2 299.418 3.958 20 0 CHADLO CC(C)(C)n1cc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000449629243 1124824859 /nfs/dbraw/zinc/82/48/59/1124824859.db2.gz FGFZRHAFEDJOGX-MRXNPFEDSA-N 1 2 299.418 3.958 20 0 CHADLO CC(C)c1ccccc1C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000825738413 1124831403 /nfs/dbraw/zinc/83/14/03/1124831403.db2.gz NQAHNZIZKNXYRD-AWEZNQCLSA-N 1 2 297.402 3.553 20 0 CHADLO CCn1c[nH+]cc1COC(=O)[C@@H]1CCC[C@H]1c1ccccc1 ZINC001123376439 1131313158 /nfs/dbraw/zinc/31/31/58/1131313158.db2.gz CYUUGPKPKZLXON-DLBZAZTESA-N 1 2 298.386 3.530 20 0 CHADLO C[NH+](C)c1ccc(NCc2c[nH]nc2-c2ccccc2)cc1 ZINC000125055764 1124841316 /nfs/dbraw/zinc/84/13/16/1124841316.db2.gz CVGOMOXEYCXQQN-UHFFFAOYSA-N 1 2 292.386 3.755 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2cccnc2)c(F)c1 ZINC000449733907 1124853879 /nfs/dbraw/zinc/85/38/79/1124853879.db2.gz QGIROSRQWSTIGS-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2cccnc2)c(F)c1 ZINC000449733907 1124853890 /nfs/dbraw/zinc/85/38/90/1124853890.db2.gz QGIROSRQWSTIGS-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(C)c(C)c2)s1 ZINC000449762135 1124860053 /nfs/dbraw/zinc/86/00/53/1124860053.db2.gz FGHZAMRQJNLEAF-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(C)c(C)c2)s1 ZINC000449762135 1124860059 /nfs/dbraw/zinc/86/00/59/1124860059.db2.gz FGHZAMRQJNLEAF-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO COc1cccnc1C[NH2+]Cc1cc2cc(C)c(C)cc2o1 ZINC000449749527 1124861563 /nfs/dbraw/zinc/86/15/63/1124861563.db2.gz PRKOINKKJVMXAW-UHFFFAOYSA-N 1 2 296.370 3.743 20 0 CHADLO Cc1cc(NC(=O)CCc2c[nH]c3c2cccc3C)cc[nH+]1 ZINC000120212955 1124906197 /nfs/dbraw/zinc/90/61/97/1124906197.db2.gz BCUQOQVASOLKSC-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1CCCC12CC2 ZINC000529228351 1124919183 /nfs/dbraw/zinc/91/91/83/1124919183.db2.gz ZDAMUWUWUSATDL-CQSZACIVSA-N 1 2 295.386 3.904 20 0 CHADLO CCC[N@H+](Cc1nnc(C2CC2)s1)Cc1ccccc1 ZINC000450213668 1124946771 /nfs/dbraw/zinc/94/67/71/1124946771.db2.gz GLUZUENGBJNHIZ-UHFFFAOYSA-N 1 2 287.432 3.828 20 0 CHADLO CCC[N@@H+](Cc1nnc(C2CC2)s1)Cc1ccccc1 ZINC000450213668 1124946776 /nfs/dbraw/zinc/94/67/76/1124946776.db2.gz GLUZUENGBJNHIZ-UHFFFAOYSA-N 1 2 287.432 3.828 20 0 CHADLO Fc1ccccc1[C@H]1CC[N@H+](Cc2nc(Cl)cs2)C1 ZINC000876716965 1124972452 /nfs/dbraw/zinc/97/24/52/1124972452.db2.gz RSXJOAXGKAZRMW-JTQLQIEISA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccccc1[C@H]1CC[N@@H+](Cc2nc(Cl)cs2)C1 ZINC000876716965 1124972458 /nfs/dbraw/zinc/97/24/58/1124972458.db2.gz RSXJOAXGKAZRMW-JTQLQIEISA-N 1 2 296.798 3.925 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(Cl)nn2)[C@H](c2ccco2)C1 ZINC000876780954 1124976536 /nfs/dbraw/zinc/97/65/36/1124976536.db2.gz BWXWHFPYZLQIES-YPMHNXCESA-N 1 2 291.782 3.696 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(Cl)nn2)[C@H](c2ccco2)C1 ZINC000876780954 1124976544 /nfs/dbraw/zinc/97/65/44/1124976544.db2.gz BWXWHFPYZLQIES-YPMHNXCESA-N 1 2 291.782 3.696 20 0 CHADLO C[N@H+](CC/C=C/c1cccnc1)Cc1ncc(Cl)s1 ZINC001137112170 1124980182 /nfs/dbraw/zinc/98/01/82/1124980182.db2.gz VYLFURHOADJCRW-GORDUTHDSA-N 1 2 293.823 3.727 20 0 CHADLO C[N@@H+](CC/C=C/c1cccnc1)Cc1ncc(Cl)s1 ZINC001137112170 1124980188 /nfs/dbraw/zinc/98/01/88/1124980188.db2.gz VYLFURHOADJCRW-GORDUTHDSA-N 1 2 293.823 3.727 20 0 CHADLO Nc1c(F)cccc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000876819624 1124980632 /nfs/dbraw/zinc/98/06/32/1124980632.db2.gz DVPRKFGCBSPCFR-UHFFFAOYSA-N 1 2 285.366 3.620 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(Cl)cs1)c1ccccn1 ZINC000877012839 1124998172 /nfs/dbraw/zinc/99/81/72/1124998172.db2.gz GFFOJJBZZDABIS-JTQLQIEISA-N 1 2 281.812 3.823 20 0 CHADLO Clc1csc(C[NH2+]C2(c3ccccc3)CC2)n1 ZINC000877010360 1124998830 /nfs/dbraw/zinc/99/88/30/1124998830.db2.gz WDUGHOCPKWTGRA-UHFFFAOYSA-N 1 2 264.781 3.575 20 0 CHADLO CN(C[C@H]1CCOC1)c1cc[nH+]c2cc(F)c(Cl)cc21 ZINC000450368524 1125002060 /nfs/dbraw/zinc/00/20/60/1125002060.db2.gz HUJMHOSVIKYFDI-SNVBAGLBSA-N 1 2 294.757 3.500 20 0 CHADLO Clc1csc(C[NH2+][C@H]2CSc3ccccc32)n1 ZINC000877048458 1125003150 /nfs/dbraw/zinc/00/31/50/1125003150.db2.gz LJGPVWULHRNYAK-VIFPVBQESA-N 1 2 282.821 3.733 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc3c(ccnc3Cl)o2)C[C@@H]1F ZINC000877166033 1125017645 /nfs/dbraw/zinc/01/76/45/1125017645.db2.gz VBBCFVQIRSMCHR-CABZTGNLSA-N 1 2 282.746 3.661 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc3c(ccnc3Cl)o2)C[C@@H]1F ZINC000877166033 1125017651 /nfs/dbraw/zinc/01/76/51/1125017651.db2.gz VBBCFVQIRSMCHR-CABZTGNLSA-N 1 2 282.746 3.661 20 0 CHADLO Cn1cccc1C[N@@H+]1CCc2cccc(C(F)(F)F)c2C1 ZINC000529683045 1125045508 /nfs/dbraw/zinc/04/55/08/1125045508.db2.gz XGLYIUYHRKXGIO-UHFFFAOYSA-N 1 2 294.320 3.602 20 0 CHADLO Cn1cccc1C[N@H+]1CCc2cccc(C(F)(F)F)c2C1 ZINC000529683045 1125045514 /nfs/dbraw/zinc/04/55/14/1125045514.db2.gz XGLYIUYHRKXGIO-UHFFFAOYSA-N 1 2 294.320 3.602 20 0 CHADLO c1ccc(C[N@@H+]2Cc3ncnc(-c4ccccc4)c3C2)cc1 ZINC001239465883 1131327924 /nfs/dbraw/zinc/32/79/24/1131327924.db2.gz RTCQVMFDRMEBIQ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1ccc(C[N@H+]2Cc3ncnc(-c4ccccc4)c3C2)cc1 ZINC001239465883 1131327932 /nfs/dbraw/zinc/32/79/32/1131327932.db2.gz RTCQVMFDRMEBIQ-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO CC(C)(C)c1csc(C[NH+]2CC(CC(F)F)C2)n1 ZINC000877598640 1125051577 /nfs/dbraw/zinc/05/15/77/1125051577.db2.gz IQBLLRBGZHDEGH-UHFFFAOYSA-N 1 2 274.380 3.528 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)Cc1ccc(O)cc1 ZINC000126613215 1125054071 /nfs/dbraw/zinc/05/40/71/1125054071.db2.gz XLCIMVOZGXLGRQ-AWEZNQCLSA-N 1 2 284.403 3.810 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)Nc1ccc([NH+](C)C)cc1 ZINC000126608596 1125054613 /nfs/dbraw/zinc/05/46/13/1125054613.db2.gz FKQFSTYBCVZKPM-CYBMUJFWSA-N 1 2 270.376 3.501 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)[NH2+]c1ccc(N(C)C)cc1 ZINC000126608596 1125054618 /nfs/dbraw/zinc/05/46/18/1125054618.db2.gz FKQFSTYBCVZKPM-CYBMUJFWSA-N 1 2 270.376 3.501 20 0 CHADLO FCCC1CC[NH+](Cc2ccnc(Cl)c2Cl)CC1 ZINC000877673634 1125063032 /nfs/dbraw/zinc/06/30/32/1125063032.db2.gz IVNZFHMEZCPPMF-UHFFFAOYSA-N 1 2 291.197 3.960 20 0 CHADLO C[C@H](c1ccccc1)[C@@H](O)C[N@H+](CC(F)F)CC1CCC1 ZINC000450638906 1125077127 /nfs/dbraw/zinc/07/71/27/1125077127.db2.gz USUKQLJXJSPIED-CJNGLKHVSA-N 1 2 297.389 3.518 20 0 CHADLO C[C@H](c1ccccc1)[C@@H](O)C[N@@H+](CC(F)F)CC1CCC1 ZINC000450638906 1125077134 /nfs/dbraw/zinc/07/71/34/1125077134.db2.gz USUKQLJXJSPIED-CJNGLKHVSA-N 1 2 297.389 3.518 20 0 CHADLO Cc1conc1C[N@@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000877918321 1125101434 /nfs/dbraw/zinc/10/14/34/1125101434.db2.gz PHSAJWDWRLYBEE-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1conc1C[N@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000877918321 1125101435 /nfs/dbraw/zinc/10/14/35/1125101435.db2.gz PHSAJWDWRLYBEE-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(C)nc1C ZINC001239470292 1131331956 /nfs/dbraw/zinc/33/19/56/1131331956.db2.gz JSSFZHKKZOHHID-UHFFFAOYSA-N 1 2 279.343 3.560 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nccn2CC(F)F)cc1 ZINC000877967514 1125106732 /nfs/dbraw/zinc/10/67/32/1125106732.db2.gz AVTNJJIFNGMVSA-ZDUSSCGKSA-N 1 2 293.361 3.650 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nccn2CC(F)F)cc1 ZINC000877967514 1125106735 /nfs/dbraw/zinc/10/67/35/1125106735.db2.gz AVTNJJIFNGMVSA-ZDUSSCGKSA-N 1 2 293.361 3.650 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1nocc1C ZINC000877966538 1125107095 /nfs/dbraw/zinc/10/70/95/1125107095.db2.gz NUEAQEMNUYCCEJ-ZDUSSCGKSA-N 1 2 262.378 3.554 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1nocc1C ZINC000877966538 1125107098 /nfs/dbraw/zinc/10/70/98/1125107098.db2.gz NUEAQEMNUYCCEJ-ZDUSSCGKSA-N 1 2 262.378 3.554 20 0 CHADLO CC(C)(C)SCCC[N@@H+]1CCOC[C@@H]1c1ccco1 ZINC000878114060 1125119918 /nfs/dbraw/zinc/11/99/18/1125119918.db2.gz SEPPDJSDOJZDCV-CYBMUJFWSA-N 1 2 283.437 3.575 20 0 CHADLO CC(C)(C)SCCC[N@H+]1CCOC[C@@H]1c1ccco1 ZINC000878114060 1125119920 /nfs/dbraw/zinc/11/99/20/1125119920.db2.gz SEPPDJSDOJZDCV-CYBMUJFWSA-N 1 2 283.437 3.575 20 0 CHADLO c1ccc([C@H]2CC[N@H+]2Cc2nc3c(o2)CCCC3)cc1 ZINC000878165979 1125127158 /nfs/dbraw/zinc/12/71/58/1125127158.db2.gz IYUHDOPVYVRITD-OAHLLOKOSA-N 1 2 268.360 3.500 20 0 CHADLO c1ccc([C@H]2CC[N@@H+]2Cc2nc3c(o2)CCCC3)cc1 ZINC000878165979 1125127163 /nfs/dbraw/zinc/12/71/63/1125127163.db2.gz IYUHDOPVYVRITD-OAHLLOKOSA-N 1 2 268.360 3.500 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1cc(C(F)(F)F)co1 ZINC000878204151 1125131030 /nfs/dbraw/zinc/13/10/30/1125131030.db2.gz JFWAKDYSQGUUPZ-AWEZNQCLSA-N 1 2 298.308 3.974 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1cc(C(F)(F)F)co1 ZINC000878204151 1125131033 /nfs/dbraw/zinc/13/10/33/1125131033.db2.gz JFWAKDYSQGUUPZ-AWEZNQCLSA-N 1 2 298.308 3.974 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+][C@@H](C)c1nnc(C)s1 ZINC000391781364 1125131248 /nfs/dbraw/zinc/13/12/48/1125131248.db2.gz OOHLITALUGEZAZ-MNOVXSKESA-N 1 2 291.420 3.575 20 0 CHADLO Clc1cccc([C@H]2CC[N@H+](Cc3cc[nH]n3)C2)c1Cl ZINC001137129972 1125132712 /nfs/dbraw/zinc/13/27/12/1125132712.db2.gz KGIKYUCUYLJTCK-JTQLQIEISA-N 1 2 296.201 3.706 20 0 CHADLO Clc1cccc([C@H]2CC[N@@H+](Cc3cc[nH]n3)C2)c1Cl ZINC001137129972 1125132717 /nfs/dbraw/zinc/13/27/17/1125132717.db2.gz KGIKYUCUYLJTCK-JTQLQIEISA-N 1 2 296.201 3.706 20 0 CHADLO C[C@H]([NH2+]Cc1ncccc1F)c1csc(Cl)c1 ZINC000530366241 1125145279 /nfs/dbraw/zinc/14/52/79/1125145279.db2.gz IFFSUUPFIJBKRU-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CC[C@@H]([NH2+]Cc1nocc1C)c1ccc(F)cc1F ZINC000878366198 1125146449 /nfs/dbraw/zinc/14/64/49/1125146449.db2.gz XTQYGFAYRMRTIV-CYBMUJFWSA-N 1 2 266.291 3.502 20 0 CHADLO CCc1cnc(C[N@H+](C)C[C@H]2CCC3(CCCC3)O2)s1 ZINC000530411826 1125150814 /nfs/dbraw/zinc/15/08/14/1125150814.db2.gz MFQPYGMESDHBDW-CYBMUJFWSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1cnc(C[N@@H+](C)C[C@H]2CCC3(CCCC3)O2)s1 ZINC000530411826 1125150819 /nfs/dbraw/zinc/15/08/19/1125150819.db2.gz MFQPYGMESDHBDW-CYBMUJFWSA-N 1 2 294.464 3.629 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@H+](Cc2coc(C3CC3)n2)C1 ZINC000878427643 1125152866 /nfs/dbraw/zinc/15/28/66/1125152866.db2.gz FWWVOYKIXUAETL-BLLLJJGKSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@@H+](Cc2coc(C3CC3)n2)C1 ZINC000878427643 1125152871 /nfs/dbraw/zinc/15/28/71/1125152871.db2.gz FWWVOYKIXUAETL-BLLLJJGKSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@H+](Cc2coc(C3CC3)n2)C1 ZINC000878427645 1125153779 /nfs/dbraw/zinc/15/37/79/1125153779.db2.gz FWWVOYKIXUAETL-MLGOLLRUSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@@H+](Cc2coc(C3CC3)n2)C1 ZINC000878427645 1125153787 /nfs/dbraw/zinc/15/37/87/1125153787.db2.gz FWWVOYKIXUAETL-MLGOLLRUSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@H](C[NH2+][C@H](c1nnc[nH]1)c1ccccc1)CC(C)(C)C ZINC000878484413 1125156562 /nfs/dbraw/zinc/15/65/62/1125156562.db2.gz XPSWZQICQVUPPS-ZFWWWQNUSA-N 1 2 286.423 3.556 20 0 CHADLO Fc1ccc(F)c(CNc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000121847004 1125158829 /nfs/dbraw/zinc/15/88/29/1125158829.db2.gz PGJLXYZVJAIMPM-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO c1ccc([C@H]2CCC[C@H](C[N@@H+]3Cc4ccncc4C3)O2)cc1 ZINC000878609371 1125165929 /nfs/dbraw/zinc/16/59/29/1125165929.db2.gz YNHXVPWGVUURLV-RTBURBONSA-N 1 2 294.398 3.708 20 0 CHADLO c1ccc([C@H]2CCC[C@H](C[N@H+]3Cc4ccncc4C3)O2)cc1 ZINC000878609371 1125165934 /nfs/dbraw/zinc/16/59/34/1125165934.db2.gz YNHXVPWGVUURLV-RTBURBONSA-N 1 2 294.398 3.708 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](C[C@H]1CCCC(C)(C)O1)C2 ZINC000878709402 1125173016 /nfs/dbraw/zinc/17/30/16/1125173016.db2.gz MATLNNHSWJRPML-QGZVFWFLSA-N 1 2 287.403 3.553 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](C[C@H]1CCCC(C)(C)O1)C2 ZINC000878709402 1125173018 /nfs/dbraw/zinc/17/30/18/1125173018.db2.gz MATLNNHSWJRPML-QGZVFWFLSA-N 1 2 287.403 3.553 20 0 CHADLO C[C@@H](C[N@H+](C)[C@H](c1nnc[nH]1)c1ccccc1)C(C)(C)C ZINC000878694776 1125173557 /nfs/dbraw/zinc/17/35/57/1125173557.db2.gz XIQBZZMZLBFISF-ZFWWWQNUSA-N 1 2 286.423 3.508 20 0 CHADLO C[C@@H](C[N@@H+](C)[C@H](c1nnc[nH]1)c1ccccc1)C(C)(C)C ZINC000878694776 1125173561 /nfs/dbraw/zinc/17/35/61/1125173561.db2.gz XIQBZZMZLBFISF-ZFWWWQNUSA-N 1 2 286.423 3.508 20 0 CHADLO C[C@H](C[N@H+](C)[C@H](c1nnc[nH]1)c1ccccc1)C(C)(C)C ZINC000878694774 1125173808 /nfs/dbraw/zinc/17/38/08/1125173808.db2.gz XIQBZZMZLBFISF-HIFRSBDPSA-N 1 2 286.423 3.508 20 0 CHADLO C[C@H](C[N@@H+](C)[C@H](c1nnc[nH]1)c1ccccc1)C(C)(C)C ZINC000878694774 1125173812 /nfs/dbraw/zinc/17/38/12/1125173812.db2.gz XIQBZZMZLBFISF-HIFRSBDPSA-N 1 2 286.423 3.508 20 0 CHADLO C[C@@H](c1cc2ccccc2o1)[N@H+](C)Cc1ccon1 ZINC000122696526 1125199208 /nfs/dbraw/zinc/19/92/08/1125199208.db2.gz AWHKDGMCAXXIDT-NSHDSACASA-N 1 2 256.305 3.614 20 0 CHADLO C[C@@H](c1cc2ccccc2o1)[N@@H+](C)Cc1ccon1 ZINC000122696526 1125199211 /nfs/dbraw/zinc/19/92/11/1125199211.db2.gz AWHKDGMCAXXIDT-NSHDSACASA-N 1 2 256.305 3.614 20 0 CHADLO Cc1cccc([C@H](Nc2cc[nH+]c(C)n2)c2ccccn2)c1 ZINC000123069122 1125221775 /nfs/dbraw/zinc/22/17/75/1125221775.db2.gz BDUUCLJILRFCFK-SFHVURJKSA-N 1 2 290.370 3.690 20 0 CHADLO C[C@@H]1c2cc(F)cc(F)c2CC[N@H+]1CCC(F)(F)F ZINC000532090207 1125232474 /nfs/dbraw/zinc/23/24/74/1125232474.db2.gz TVYXJDVULYHQIY-MRVPVSSYSA-N 1 2 279.252 3.836 20 0 CHADLO C[C@@H]1c2cc(F)cc(F)c2CC[N@@H+]1CCC(F)(F)F ZINC000532090207 1125232478 /nfs/dbraw/zinc/23/24/78/1125232478.db2.gz TVYXJDVULYHQIY-MRVPVSSYSA-N 1 2 279.252 3.836 20 0 CHADLO CC[C@H]1CCC[C@@]1(C)C(=O)NCc1c[nH+]c(C)cc1C ZINC000880240709 1125259448 /nfs/dbraw/zinc/25/94/48/1125259448.db2.gz JKOGLWPVEXSXSZ-DOTOQJQBSA-N 1 2 274.408 3.531 20 0 CHADLO CCCc1ccc(C[NH2+]C2(c3ncccn3)CCC2)cc1 ZINC000880366430 1125268749 /nfs/dbraw/zinc/26/87/49/1125268749.db2.gz KDOVXBQOGOPENQ-UHFFFAOYSA-N 1 2 281.403 3.598 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH2+][C@@H](C)Cc1ccc(Cl)cc1 ZINC001168152557 1125274284 /nfs/dbraw/zinc/27/42/84/1125274284.db2.gz YCMHJQDWCQWJNC-STQMWFEESA-N 1 2 297.826 3.592 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH2+][C@H](C)Cc1ccc(Cl)cc1 ZINC001168152556 1125275038 /nfs/dbraw/zinc/27/50/38/1125275038.db2.gz YCMHJQDWCQWJNC-OLZOCXBDSA-N 1 2 297.826 3.592 20 0 CHADLO COC(=O)c1ccoc1C[N@@H+]1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000880537312 1125278829 /nfs/dbraw/zinc/27/88/29/1125278829.db2.gz SQJFZPIDVPROAR-LRDDRELGSA-N 1 2 297.354 3.501 20 0 CHADLO COC(=O)c1ccoc1C[N@H+]1C[C@@H]2CC[C@H]1c1ccccc12 ZINC000880537312 1125278834 /nfs/dbraw/zinc/27/88/34/1125278834.db2.gz SQJFZPIDVPROAR-LRDDRELGSA-N 1 2 297.354 3.501 20 0 CHADLO C[N@H+](CC[C@H]1CCCO1)[C@H](CCc1ccccc1)C(F)F ZINC000626136898 1125299843 /nfs/dbraw/zinc/29/98/43/1125299843.db2.gz LZQPNLBCFUDOSS-HZPDHXFCSA-N 1 2 297.389 3.754 20 0 CHADLO C[N@@H+](CC[C@H]1CCCO1)[C@H](CCc1ccccc1)C(F)F ZINC000626136898 1125299846 /nfs/dbraw/zinc/29/98/46/1125299846.db2.gz LZQPNLBCFUDOSS-HZPDHXFCSA-N 1 2 297.389 3.754 20 0 CHADLO Cc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc(C)c1O ZINC001211911742 1125308493 /nfs/dbraw/zinc/30/84/93/1125308493.db2.gz QKGUINZYZDBKKA-UHFFFAOYSA-N 1 2 293.370 3.997 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSCc1ccon1 ZINC000190954358 1125320966 /nfs/dbraw/zinc/32/09/66/1125320966.db2.gz WDFAPDHNAOCBED-UHFFFAOYSA-N 1 2 285.372 3.602 20 0 CHADLO CCCC1CCC([N@H+](C)Cc2noc(C3CC3)n2)CC1 ZINC000191418755 1125324996 /nfs/dbraw/zinc/32/49/96/1125324996.db2.gz PGDOFNJANMAHNR-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO CCCC1CCC([N@@H+](C)Cc2noc(C3CC3)n2)CC1 ZINC000191418755 1125325000 /nfs/dbraw/zinc/32/50/00/1125325000.db2.gz PGDOFNJANMAHNR-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO COc1ccc(CNc2cc[nH+]cc2F)c(Cl)c1OC ZINC000192150108 1125331287 /nfs/dbraw/zinc/33/12/87/1125331287.db2.gz NHXOQGDWIKMPMZ-UHFFFAOYSA-N 1 2 296.729 3.503 20 0 CHADLO CC(C)Sc1ccc([C@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000192156094 1125331558 /nfs/dbraw/zinc/33/15/58/1125331558.db2.gz CCCVNRDGMUFBKH-ZDUSSCGKSA-N 1 2 287.432 3.828 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(C(C)C)c[nH]1)c1ccccn1 ZINC000882978834 1125365193 /nfs/dbraw/zinc/36/51/93/1125365193.db2.gz CXUVCKNEMBQFAV-ZDUSSCGKSA-N 1 2 272.396 3.559 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+][C@@H]2C[C@@H](C)Oc3ccccc32)n1 ZINC000883132793 1125372779 /nfs/dbraw/zinc/37/27/79/1125372779.db2.gz DMGBCKYWCRBXQH-TZMCWYRMSA-N 1 2 285.391 3.535 20 0 CHADLO CC[C@H]([NH2+][C@H]1C[C@@H](C)n2ncnc21)c1sccc1Cl ZINC000883234339 1125381190 /nfs/dbraw/zinc/38/11/90/1125381190.db2.gz CEWXYMVYIWJGMX-MIMYLULJSA-N 1 2 296.827 3.740 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H](O)CC(C)(C)C ZINC000225356725 1125388351 /nfs/dbraw/zinc/38/83/51/1125388351.db2.gz AERCBTHWCXNARP-CQSZACIVSA-N 1 2 272.392 3.752 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]C2(c3ncccn3)CCC2)cc1 ZINC000883313762 1125393741 /nfs/dbraw/zinc/39/37/41/1125393741.db2.gz LWJAQTDHIIJISP-CYBMUJFWSA-N 1 2 299.443 3.929 20 0 CHADLO Cc1cc(NCc2cnc(C(C)C)s2)c[nH+]c1C ZINC000883340887 1125397558 /nfs/dbraw/zinc/39/75/58/1125397558.db2.gz BTLXRKPEUHYOPT-UHFFFAOYSA-N 1 2 261.394 3.890 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc(Cl)nc2)c[nH+]c1C ZINC000883342392 1125398400 /nfs/dbraw/zinc/39/84/00/1125398400.db2.gz VNJLWWNAJYJXEM-LLVKDONJSA-N 1 2 261.756 3.920 20 0 CHADLO Cc1cc(N[C@H](C)c2ccnc(Cl)c2)c[nH+]c1C ZINC000883342011 1125398705 /nfs/dbraw/zinc/39/87/05/1125398705.db2.gz HOZYYOSAJWSDLH-LLVKDONJSA-N 1 2 261.756 3.920 20 0 CHADLO CC(=O)Nc1ccc([C@@H](C)Nc2c[nH+]c(C)c(C)c2)cc1 ZINC000883342578 1125398854 /nfs/dbraw/zinc/39/88/54/1125398854.db2.gz DSKWOMRQHJDDTE-CYBMUJFWSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1nc2c(s1)[C@@H](Nc1c[nH+]c(C)c(C)c1)CCC2 ZINC000883342095 1125398897 /nfs/dbraw/zinc/39/88/97/1125398897.db2.gz KMKNJEOTGSNALH-AWEZNQCLSA-N 1 2 273.405 3.953 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1oc(C)nc1C ZINC000883377911 1125404868 /nfs/dbraw/zinc/40/48/68/1125404868.db2.gz UGIJNLQHDSLYSY-UHFFFAOYSA-N 1 2 277.393 3.552 20 0 CHADLO CCSCc1ccc[nH+]c1N[C@@H]1CCCSC1 ZINC000883377158 1125405093 /nfs/dbraw/zinc/40/50/93/1125405093.db2.gz AJIIAWUZFDWRCK-GFCCVEGCSA-N 1 2 268.451 3.642 20 0 CHADLO Cc1ccoc1C[NH2+][C@H]1c2ccccc2CC[C@@H]1F ZINC000883383078 1125406681 /nfs/dbraw/zinc/40/66/81/1125406681.db2.gz SIEFGYZBLWEHRL-HOCLYGCPSA-N 1 2 259.324 3.703 20 0 CHADLO CC1(C)Nc2ccccc2[C@@H]1[NH2+]Cc1coc(C2CC2)n1 ZINC000883464277 1125411787 /nfs/dbraw/zinc/41/17/87/1125411787.db2.gz JGTOSTDNWSVWII-HNNXBMFYSA-N 1 2 283.375 3.587 20 0 CHADLO CC1(C)Nc2ccccc2[C@H]1[NH2+]Cc1noc2c1CCCC2 ZINC000883463557 1125411856 /nfs/dbraw/zinc/41/18/56/1125411856.db2.gz SOLOVSFIVPPVGL-QGZVFWFLSA-N 1 2 297.402 3.588 20 0 CHADLO Cc1ccc2c(Nc3c(N)ccnc3Cl)cccc2[nH+]1 ZINC001212795019 1125416130 /nfs/dbraw/zinc/41/61/30/1125416130.db2.gz JVHXZXYEKMZHSL-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1ccc2c(Nc3ncc(Cl)cc3N)cccc2[nH+]1 ZINC001212796220 1125419332 /nfs/dbraw/zinc/41/93/32/1125419332.db2.gz UFSLSYWSWWGBOT-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO F[C@H]1C[C@H](C[NH2+]c2cc3c4c(c2)CCCN4CCC3)C1 ZINC001168238496 1125423186 /nfs/dbraw/zinc/42/31/86/1125423186.db2.gz GBHMWBIWOGTGIM-NNUKFRKNSA-N 1 2 274.383 3.545 20 0 CHADLO Cc1cn2cc(NC(=O)c3cccc(Cl)c3)ccc2[nH+]1 ZINC000041305655 1125432653 /nfs/dbraw/zinc/43/26/53/1125432653.db2.gz BFPHSPFFQHHKQN-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO C[N@H+](Cc1csc(-c2ccccn2)n1)Cc1ccccc1 ZINC000124155864 1125435055 /nfs/dbraw/zinc/43/50/55/1125435055.db2.gz GYRXXDWBQRQRLB-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1csc(-c2ccccn2)n1)Cc1ccccc1 ZINC000124155864 1125435058 /nfs/dbraw/zinc/43/50/58/1125435058.db2.gz GYRXXDWBQRQRLB-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Cc1cnc(=S)n(C[N@@H+](C)Cc2cc3ccccc3o2)c1 ZINC000133392940 1125451705 /nfs/dbraw/zinc/45/17/05/1125451705.db2.gz IYNGZKWOVQSLMQ-UHFFFAOYSA-N 1 2 299.399 3.757 20 0 CHADLO Cc1cnc(=S)n(C[N@H+](C)Cc2cc3ccccc3o2)c1 ZINC000133392940 1125451708 /nfs/dbraw/zinc/45/17/08/1125451708.db2.gz IYNGZKWOVQSLMQ-UHFFFAOYSA-N 1 2 299.399 3.757 20 0 CHADLO CCc1nnc(C[N@@H+](CC)[C@@H](C)c2cc3ccccc3o2)o1 ZINC000125755612 1125453030 /nfs/dbraw/zinc/45/30/30/1125453030.db2.gz GRTSZRGURKINQG-LBPRGKRZSA-N 1 2 299.374 3.961 20 0 CHADLO CCc1nnc(C[N@H+](CC)[C@@H](C)c2cc3ccccc3o2)o1 ZINC000125755612 1125453033 /nfs/dbraw/zinc/45/30/33/1125453033.db2.gz GRTSZRGURKINQG-LBPRGKRZSA-N 1 2 299.374 3.961 20 0 CHADLO Fc1cccc(F)c1C[NH+]1CC(Cc2ccsc2)C1 ZINC000621741098 1129189561 /nfs/dbraw/zinc/18/95/61/1129189561.db2.gz BLACUWCPHZKMIL-UHFFFAOYSA-N 1 2 279.355 3.701 20 0 CHADLO Cc1cc(N[C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)ccc1[NH+](C)C ZINC000245557486 1129212147 /nfs/dbraw/zinc/21/21/47/1129212147.db2.gz FTDNFPOFGRZSAI-USXIJHARSA-N 1 2 288.435 3.677 20 0 CHADLO Cc1cc([NH2+][C@@H]2[C@@H]3CCCO[C@H]3C2(C)C)ccc1N(C)C ZINC000245557486 1129212152 /nfs/dbraw/zinc/21/21/52/1129212152.db2.gz FTDNFPOFGRZSAI-USXIJHARSA-N 1 2 288.435 3.677 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2cccc(CC(C)C)c2)cc[nH+]1 ZINC000622368229 1129253277 /nfs/dbraw/zinc/25/32/77/1129253277.db2.gz NXTOQPGLQZAYTM-HNNXBMFYSA-N 1 2 296.414 3.753 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ncn[nH]1)C1CCCCC1)C1CCCC1 ZINC000638636180 1129264177 /nfs/dbraw/zinc/26/41/77/1129264177.db2.gz QRTJTXQQPBQPAK-DOMZBBRYSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCC(F)(F)CC2)c(C)[nH+]1 ZINC000626568472 1129267181 /nfs/dbraw/zinc/26/71/81/1129267181.db2.gz GKJYGOJWCSVTPJ-UHFFFAOYSA-N 1 2 297.349 3.660 20 0 CHADLO CC1(C)CCC[C@H]1[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000638720696 1129267670 /nfs/dbraw/zinc/26/76/70/1129267670.db2.gz HQYORQKUMDPQPS-KGLIPLIRSA-N 1 2 276.428 3.594 20 0 CHADLO CCOc1cc(C)ccc1C[NH2+]Cc1nc2ccccc2o1 ZINC000638750888 1129268080 /nfs/dbraw/zinc/26/80/80/1129268080.db2.gz VZJSXSHXUXRDMT-UHFFFAOYSA-N 1 2 296.370 3.825 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cccc(OCC(C)C)c2)no1 ZINC000282579791 1129272969 /nfs/dbraw/zinc/27/29/69/1129272969.db2.gz GANHPVWIZOMYRB-CQSZACIVSA-N 1 2 288.391 3.869 20 0 CHADLO Cc1scc(C[NH2+]Cc2nc(-c3ccccc3)no2)c1C ZINC000639051069 1129278405 /nfs/dbraw/zinc/27/84/05/1129278405.db2.gz BUYFHJPAYQZRTB-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H]3CS[C@@H](C)C3)cc2)c1C ZINC000639199213 1129291025 /nfs/dbraw/zinc/29/10/25/1129291025.db2.gz VYQUTXHGVKLDKB-XHDPSFHLSA-N 1 2 287.432 3.795 20 0 CHADLO Cc1nonc1C[N@@H+]([C@@H](C)c1ccc(Cl)cc1)C1CC1 ZINC000734147837 1129296872 /nfs/dbraw/zinc/29/68/72/1129296872.db2.gz ZMYGHDYOEZKJQH-NSHDSACASA-N 1 2 291.782 3.757 20 0 CHADLO Cc1nonc1C[N@H+]([C@@H](C)c1ccc(Cl)cc1)C1CC1 ZINC000734147837 1129296875 /nfs/dbraw/zinc/29/68/75/1129296875.db2.gz ZMYGHDYOEZKJQH-NSHDSACASA-N 1 2 291.782 3.757 20 0 CHADLO Cc1ccccc1C(F)(F)C[NH2+][C@@H](C)[C@H]1CC1(F)F ZINC000639330902 1129303401 /nfs/dbraw/zinc/30/34/01/1129303401.db2.gz YGUBCVZCXQPCHQ-CMPLNLGQSA-N 1 2 275.289 3.720 20 0 CHADLO CCCCC[C@@H](NC(=O)CCCn1cc[nH+]c1)C(C)(C)C ZINC000734344552 1129305319 /nfs/dbraw/zinc/30/53/19/1129305319.db2.gz OCDYRDRONLONFF-OAHLLOKOSA-N 1 2 293.455 3.775 20 0 CHADLO CC[C@](C)([NH2+]Cc1ncc(C)o1)c1ccc(F)cc1 ZINC000639359223 1129306289 /nfs/dbraw/zinc/30/62/89/1129306289.db2.gz JHWVUOSROXYVTD-HNNXBMFYSA-N 1 2 262.328 3.537 20 0 CHADLO C[C@H](CNc1cc(N2CCCCC2)nc[nH+]1)C(C)(C)C ZINC000734479488 1129309600 /nfs/dbraw/zinc/30/96/00/1129309600.db2.gz CXXHAQXNVBFPAP-CYBMUJFWSA-N 1 2 276.428 3.561 20 0 CHADLO C[C@H](CNc1cc(N2CCCCC2)[nH+]cn1)C(C)(C)C ZINC000734479488 1129309606 /nfs/dbraw/zinc/30/96/06/1129309606.db2.gz CXXHAQXNVBFPAP-CYBMUJFWSA-N 1 2 276.428 3.561 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1coc(C2CC2)n1)C(C)C ZINC000734510389 1129310656 /nfs/dbraw/zinc/31/06/56/1129310656.db2.gz VDFJCOSULZJCHR-OAHLLOKOSA-N 1 2 285.391 3.742 20 0 CHADLO c1ccc(C2([NH2+]Cc3nc(C4CCC4)no3)CCC2)cc1 ZINC000639523341 1129321927 /nfs/dbraw/zinc/32/19/27/1129321927.db2.gz CQCKVVDCYXFWHT-UHFFFAOYSA-N 1 2 283.375 3.506 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nc(C2CCC2)no1)C1CC1 ZINC000639569019 1129324042 /nfs/dbraw/zinc/32/40/42/1129324042.db2.gz FHSYLOKZUDKDQZ-QGZVFWFLSA-N 1 2 297.402 3.886 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+](C)Cc1ccns1 ZINC000639787680 1129333891 /nfs/dbraw/zinc/33/38/91/1129333891.db2.gz PFXOFXQEGFQOGH-UHFFFAOYSA-N 1 2 276.405 3.562 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+](C)Cc1ccns1 ZINC000639787680 1129333895 /nfs/dbraw/zinc/33/38/95/1129333895.db2.gz PFXOFXQEGFQOGH-UHFFFAOYSA-N 1 2 276.405 3.562 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)[C@@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC001124929765 1131367439 /nfs/dbraw/zinc/36/74/39/1131367439.db2.gz XGZGXAYGUKDMKG-IAQYHMDHSA-N 1 2 274.408 3.658 20 0 CHADLO FC1CC(Nc2cc(-c3ccnc(Cl)c3)cc[nH+]2)C1 ZINC001154832935 1129353839 /nfs/dbraw/zinc/35/38/39/1129353839.db2.gz KNPIZWJETGOBBP-UHFFFAOYSA-N 1 2 277.730 3.709 20 0 CHADLO COc1c(C)c[nH+]c(CSc2nccn2C2CC2)c1C ZINC000735979979 1129363573 /nfs/dbraw/zinc/36/35/73/1129363573.db2.gz UZLCMKJQRAKYHY-UHFFFAOYSA-N 1 2 289.404 3.531 20 0 CHADLO Cc1oncc1CNc1cc(C2CCCCC2)[nH+]c(C)n1 ZINC001154884438 1129369112 /nfs/dbraw/zinc/36/91/12/1129369112.db2.gz MARHTEKAZOMYMA-UHFFFAOYSA-N 1 2 286.379 3.741 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cn1nc(C(C)(C)C)oc1=S ZINC000738224529 1129390742 /nfs/dbraw/zinc/39/07/42/1129390742.db2.gz OEWWRANTBDMRHK-LLVKDONJSA-N 1 2 283.441 3.725 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cn1nc(C(C)(C)C)oc1=S ZINC000738224529 1129390745 /nfs/dbraw/zinc/39/07/45/1129390745.db2.gz OEWWRANTBDMRHK-LLVKDONJSA-N 1 2 283.441 3.725 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)N1CCC2(CCCC2)CC1 ZINC000738949349 1129400638 /nfs/dbraw/zinc/40/06/38/1129400638.db2.gz MMTWVNDFZRXPNV-UHFFFAOYSA-N 1 2 298.390 3.522 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cc(F)cc(OC)c2)c1 ZINC001241031192 1129412148 /nfs/dbraw/zinc/41/21/48/1129412148.db2.gz IQQQSPJYFVIXAZ-UHFFFAOYSA-N 1 2 273.307 3.863 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2cccc(F)c2)cc1F ZINC001239536945 1131372743 /nfs/dbraw/zinc/37/27/43/1131372743.db2.gz HOHQHTUGALIABP-UHFFFAOYSA-N 1 2 265.278 3.833 20 0 CHADLO Clc1ccccc1C[NH2+]Cc1nc2c(s1)CCC2 ZINC000093052519 1129415895 /nfs/dbraw/zinc/41/58/95/1129415895.db2.gz DCNUKWQERBGGFB-UHFFFAOYSA-N 1 2 278.808 3.575 20 0 CHADLO Cc1nc2[nH]ccc2c(NC[C@@H]2CCCC[C@H]2C)[nH+]1 ZINC001155072697 1129416970 /nfs/dbraw/zinc/41/69/70/1129416970.db2.gz MVIFOBKEWYOBHU-PWSUYJOCSA-N 1 2 258.369 3.505 20 0 CHADLO CCCCCC[N@H+](CC(=O)OC)Cc1ccc(F)c(F)c1 ZINC000740877342 1129421557 /nfs/dbraw/zinc/42/15/57/1129421557.db2.gz CAZPJRWRXJMCQL-UHFFFAOYSA-N 1 2 299.361 3.520 20 0 CHADLO CCCCCC[N@@H+](CC(=O)OC)Cc1ccc(F)c(F)c1 ZINC000740877342 1129421560 /nfs/dbraw/zinc/42/15/60/1129421560.db2.gz CAZPJRWRXJMCQL-UHFFFAOYSA-N 1 2 299.361 3.520 20 0 CHADLO Cc1cc(CNC(=O)C[C@H](C)CC(C)(C)C)cc(C)[nH+]1 ZINC000643318634 1129438685 /nfs/dbraw/zinc/43/86/85/1129438685.db2.gz FSPOUKBRYNFZBK-LBPRGKRZSA-N 1 2 276.424 3.777 20 0 CHADLO Cc1c(-c2cc(F)cc(F)c2F)ccc2[nH+]ccn21 ZINC001241099783 1129443101 /nfs/dbraw/zinc/44/31/01/1129443101.db2.gz DDSGJMLZIQJTNH-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1ccc(-c2ccsc2)nn1 ZINC001155181687 1129444865 /nfs/dbraw/zinc/44/48/65/1129444865.db2.gz OIGMWYJRKMARLI-UHFFFAOYSA-N 1 2 260.366 3.640 20 0 CHADLO CC[C@H](NC(=O)c1c(C)cc(C)[nH+]c1C)[C@H]1CC1(C)C ZINC001436878455 1129446633 /nfs/dbraw/zinc/44/66/33/1129446633.db2.gz CQSXOAWKTGUEAJ-KGLIPLIRSA-N 1 2 274.408 3.561 20 0 CHADLO Clc1ccc2c(c1)CC[C@@H]2[N@@H+]1CCc2ncsc2C1 ZINC000796031528 1129462815 /nfs/dbraw/zinc/46/28/15/1129462815.db2.gz BJJVBMQAFZIYRL-AWEZNQCLSA-N 1 2 290.819 3.842 20 0 CHADLO Clc1ccc2c(c1)CC[C@@H]2[N@H+]1CCc2ncsc2C1 ZINC000796031528 1129462816 /nfs/dbraw/zinc/46/28/16/1129462816.db2.gz BJJVBMQAFZIYRL-AWEZNQCLSA-N 1 2 290.819 3.842 20 0 CHADLO Cc1ccc(C)c(-c2cc[nH+]c(N3CCCC3)c2)c1 ZINC001241190773 1129471770 /nfs/dbraw/zinc/47/17/70/1129471770.db2.gz BDJIDXFLGHDCGP-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO Cc1nccc(Nc2ccc([NH+]3CCC(C)CC3)cc2)n1 ZINC000742390152 1129473585 /nfs/dbraw/zinc/47/35/85/1129473585.db2.gz JEGJFUKPZHCWNV-UHFFFAOYSA-N 1 2 282.391 3.765 20 0 CHADLO Cc1ccc([C@H](C)C(=O)Nc2cccc(-n3cc[nH+]c3)c2)o1 ZINC000742548989 1129486351 /nfs/dbraw/zinc/48/63/51/1129486351.db2.gz SZAASXSNQIBYFK-ZDUSSCGKSA-N 1 2 295.342 3.516 20 0 CHADLO CC(C)[C@@H]1CN(c2[nH+]ccc3cc(F)ccc32)CCCO1 ZINC000645250160 1129493410 /nfs/dbraw/zinc/49/34/10/1129493410.db2.gz PFLPDQSBVGSAPK-INIZCTEOSA-N 1 2 288.366 3.625 20 0 CHADLO Fc1ccc(C[NH+]2CC3(CCC3)C2)cc1Br ZINC001137553991 1131378160 /nfs/dbraw/zinc/37/81/60/1131378160.db2.gz UBPKQMVBMCBXMZ-UHFFFAOYSA-N 1 2 284.172 3.574 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnn(C(C)(C)C)c2)cc1 ZINC001212574815 1129500745 /nfs/dbraw/zinc/50/07/45/1129500745.db2.gz YRNFFZIAWYLQOP-UHFFFAOYSA-N 1 2 272.396 3.838 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnn(C(C)(C)C)c2)cc1 ZINC001212574815 1129500749 /nfs/dbraw/zinc/50/07/49/1129500749.db2.gz YRNFFZIAWYLQOP-UHFFFAOYSA-N 1 2 272.396 3.838 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccccc3F)CCC2)cs1 ZINC000646024916 1129518084 /nfs/dbraw/zinc/51/80/84/1129518084.db2.gz XSPXUVFUFNFFRB-UHFFFAOYSA-N 1 2 276.380 3.760 20 0 CHADLO CC(C)=CC[N@H+]1CCO[C@H](c2cccc(F)c2)[C@H]1C ZINC000646063525 1129519973 /nfs/dbraw/zinc/51/99/73/1129519973.db2.gz JJDIBBVMTOCWJC-CJNGLKHVSA-N 1 2 263.356 3.554 20 0 CHADLO CC(C)=CC[N@@H+]1CCO[C@H](c2cccc(F)c2)[C@H]1C ZINC000646063525 1129519975 /nfs/dbraw/zinc/51/99/75/1129519975.db2.gz JJDIBBVMTOCWJC-CJNGLKHVSA-N 1 2 263.356 3.554 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H]1CCCc2ccc(C)cc21 ZINC000181036879 1129531276 /nfs/dbraw/zinc/53/12/76/1129531276.db2.gz XUUWOQTULDYLIM-PXAZEXFGSA-N 1 2 269.392 3.755 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H]1CCCc2ccc(C)cc21 ZINC000181036838 1129531287 /nfs/dbraw/zinc/53/12/87/1129531287.db2.gz XUUWOQTULDYLIM-SJCJKPOMSA-N 1 2 269.392 3.755 20 0 CHADLO CC[N@@H+](c1ccc(Nc2nccc(C)n2)cc1)C(C)C ZINC000303746005 1129557960 /nfs/dbraw/zinc/55/79/60/1129557960.db2.gz GAJKZCAUPPGJFV-UHFFFAOYSA-N 1 2 270.380 3.763 20 0 CHADLO CC[N@H+](c1ccc(Nc2nccc(C)n2)cc1)C(C)C ZINC000303746005 1129557963 /nfs/dbraw/zinc/55/79/63/1129557963.db2.gz GAJKZCAUPPGJFV-UHFFFAOYSA-N 1 2 270.380 3.763 20 0 CHADLO CCCOC(=O)[C@H](C)[N@H+](C)Cc1csc2ccccc12 ZINC000303913354 1129580300 /nfs/dbraw/zinc/58/03/00/1129580300.db2.gz NGDWDMBPMCDUFQ-LBPRGKRZSA-N 1 2 291.416 3.675 20 0 CHADLO CCCOC(=O)[C@H](C)[N@@H+](C)Cc1csc2ccccc12 ZINC000303913354 1129580303 /nfs/dbraw/zinc/58/03/03/1129580303.db2.gz NGDWDMBPMCDUFQ-LBPRGKRZSA-N 1 2 291.416 3.675 20 0 CHADLO Cc1nc2c(Nc3ccc4[nH]c(C)[nH+]c4c3)cccn2c1C ZINC001213073138 1129583525 /nfs/dbraw/zinc/58/35/25/1129583525.db2.gz GDDMLJPIWGHYTH-UHFFFAOYSA-N 1 2 291.358 3.879 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2cccc(O)c2Cl)C1 ZINC000648058783 1129593643 /nfs/dbraw/zinc/59/36/43/1129593643.db2.gz CPWSWXGVOFPODW-UHFFFAOYSA-N 1 2 275.726 3.523 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2cc(-c3ccccc3)n[nH]2)C1 ZINC000648059121 1129594871 /nfs/dbraw/zinc/59/48/71/1129594871.db2.gz YKTJEAUUTMKWPI-UHFFFAOYSA-N 1 2 291.345 3.554 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)O[C@H](C)c1ccccc1)c1ccccc1 ZINC000745101441 1129595028 /nfs/dbraw/zinc/59/50/28/1129595028.db2.gz QWIUNALUDCWLDF-CRAIPNDOSA-N 1 2 297.398 3.984 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)O[C@H](C)c1ccccc1)c1ccccc1 ZINC000745101441 1129595030 /nfs/dbraw/zinc/59/50/30/1129595030.db2.gz QWIUNALUDCWLDF-CRAIPNDOSA-N 1 2 297.398 3.984 20 0 CHADLO COc1ccc(C)c(-c2cn3cc[nH+]c3cc2C)c1 ZINC001241674465 1129601545 /nfs/dbraw/zinc/60/15/45/1129601545.db2.gz HPTYKDWUGWKPSE-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCOc1ccccc1-c1ccc2[nH+]c(C)cn2c1 ZINC001239558742 1131385690 /nfs/dbraw/zinc/38/56/90/1131385690.db2.gz GPEXIOGXTNYGKK-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO FC(F)c1noc(C[NH+]2CCC(C3CCCCC3)CC2)n1 ZINC000822582303 1131386508 /nfs/dbraw/zinc/38/65/08/1131386508.db2.gz BDSBBYSNTWTLNM-UHFFFAOYSA-N 1 2 299.365 3.800 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CCC[N@@H+]2C2CC2)c(Cl)c1C ZINC000648966823 1129630453 /nfs/dbraw/zinc/63/04/53/1129630453.db2.gz CPSSIEFTDVQPTQ-CQSZACIVSA-N 1 2 292.810 3.522 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CCC[N@H+]2C2CC2)c(Cl)c1C ZINC000648966823 1129630455 /nfs/dbraw/zinc/63/04/55/1129630455.db2.gz CPSSIEFTDVQPTQ-CQSZACIVSA-N 1 2 292.810 3.522 20 0 CHADLO C[C@@H]([NH2+]Cc1cnsn1)c1cccc2ccccc21 ZINC001168776951 1129635449 /nfs/dbraw/zinc/63/54/49/1129635449.db2.gz YTYKROAIHRCXRT-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO C(=C/c1ccccc1)\CC[N@@H+]1CCOC[C@H]1c1ccco1 ZINC000649315601 1129640547 /nfs/dbraw/zinc/64/05/47/1129640547.db2.gz IUMARWCVYSGIJC-QVNOTHCHSA-N 1 2 283.371 3.756 20 0 CHADLO C(=C/c1ccccc1)\CC[N@H+]1CCOC[C@H]1c1ccco1 ZINC000649315601 1129640549 /nfs/dbraw/zinc/64/05/49/1129640549.db2.gz IUMARWCVYSGIJC-QVNOTHCHSA-N 1 2 283.371 3.756 20 0 CHADLO COc1ccc(-c2cc(C)[nH+]c(C)c2)c(C)c1OC ZINC001241992896 1129642646 /nfs/dbraw/zinc/64/26/46/1129642646.db2.gz WTSRSSSVCIWRDC-UHFFFAOYSA-N 1 2 257.333 3.691 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1cc2n(n1)CCCC2 ZINC000649472447 1129651491 /nfs/dbraw/zinc/65/14/91/1129651491.db2.gz RLPOOJZDCXXHRE-ZDUSSCGKSA-N 1 2 287.382 3.552 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1cc2n(n1)CCCC2 ZINC000649472447 1129651494 /nfs/dbraw/zinc/65/14/94/1129651494.db2.gz RLPOOJZDCXXHRE-ZDUSSCGKSA-N 1 2 287.382 3.552 20 0 CHADLO C[C@H]([NH2+]Cc1ccncc1F)c1c(F)cccc1Cl ZINC001459831891 1129655486 /nfs/dbraw/zinc/65/54/86/1129655486.db2.gz BKONEHLNISQSLP-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@H](C)c2c(F)cccc2Cl)o1 ZINC000389140278 1129655742 /nfs/dbraw/zinc/65/57/42/1129655742.db2.gz SALCFYZUTAENOZ-SECBINFHSA-N 1 2 282.746 3.935 20 0 CHADLO CC[C@H](OCC[N@H+](C)Cc1nccs1)c1ccccc1 ZINC000649546200 1129656568 /nfs/dbraw/zinc/65/65/68/1129656568.db2.gz IMWABJOCTGINPL-HNNXBMFYSA-N 1 2 290.432 3.743 20 0 CHADLO CC[C@H](OCC[N@@H+](C)Cc1nccs1)c1ccccc1 ZINC000649546200 1129656570 /nfs/dbraw/zinc/65/65/70/1129656570.db2.gz IMWABJOCTGINPL-HNNXBMFYSA-N 1 2 290.432 3.743 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cccc(Cl)c1 ZINC001242145734 1129661039 /nfs/dbraw/zinc/66/10/39/1129661039.db2.gz KBFXSDZZEPUMMQ-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000766416429 1129663727 /nfs/dbraw/zinc/66/37/27/1129663727.db2.gz NDJQJJMKXQAEQP-NEPJUHHUSA-N 1 2 289.427 3.818 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@@H]1C[C@@H]1C1CCCC1 ZINC000649624816 1129663979 /nfs/dbraw/zinc/66/39/79/1129663979.db2.gz DUBQXRMSFZEWHW-IAGOWNOFSA-N 1 2 295.386 3.637 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@@H]1C[C@H]1C1CCCC1 ZINC000649624815 1129664256 /nfs/dbraw/zinc/66/42/56/1129664256.db2.gz DUBQXRMSFZEWHW-DLBZAZTESA-N 1 2 295.386 3.637 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2N[C@@H]1CC2CCC1CC2 ZINC000766424229 1129664577 /nfs/dbraw/zinc/66/45/77/1129664577.db2.gz KAZJHWPATKHXSV-ORHYLEIMSA-N 1 2 299.422 3.572 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccccc3C)CCC2)oc1C ZINC000649645327 1129665873 /nfs/dbraw/zinc/66/58/73/1129665873.db2.gz OEVVYNAMEYKGLI-UHFFFAOYSA-N 1 2 270.376 3.769 20 0 CHADLO CC(C)c1nc(C[NH+]2CCC3(CC3(F)F)CC2)cs1 ZINC000649776093 1129676638 /nfs/dbraw/zinc/67/66/38/1129676638.db2.gz CXKJYGRNHYFXLI-UHFFFAOYSA-N 1 2 286.391 3.888 20 0 CHADLO Cc1ccc([C@@H](C)Nc2[nH+]cccc2[Si](C)(C)C)nc1 ZINC001168849995 1129679679 /nfs/dbraw/zinc/67/96/79/1129679679.db2.gz HOTATUGOZZNQJD-CYBMUJFWSA-N 1 2 285.467 3.503 20 0 CHADLO c1cn(Cc2ccc(NCCC3CCC3)cc2)c[nH+]1 ZINC001168889863 1129680978 /nfs/dbraw/zinc/68/09/78/1129680978.db2.gz WFIALPRBUATLRL-UHFFFAOYSA-N 1 2 255.365 3.534 20 0 CHADLO c1cc(-c2cc[nH+]c(NCCC3CCC3)c2)ccn1 ZINC001168886954 1129682070 /nfs/dbraw/zinc/68/20/70/1129682070.db2.gz MRLSSMPRVDBZFS-UHFFFAOYSA-N 1 2 253.349 3.746 20 0 CHADLO C=Cc1ccccc1NCCc1cn2ccccc2[nH+]1 ZINC001169005691 1129689144 /nfs/dbraw/zinc/68/91/44/1129689144.db2.gz XBTUWPNQHODUJF-UHFFFAOYSA-N 1 2 263.344 3.632 20 0 CHADLO CC(C)C[C@@H](Cc1ccccc1)[N@H+](C)CC(F)F ZINC001169078394 1129697554 /nfs/dbraw/zinc/69/75/54/1129697554.db2.gz DYEGCSZCVOGKGV-AWEZNQCLSA-N 1 2 255.352 3.841 20 0 CHADLO CC(C)C[C@@H](Cc1ccccc1)[N@@H+](C)CC(F)F ZINC001169078394 1129697555 /nfs/dbraw/zinc/69/75/55/1129697555.db2.gz DYEGCSZCVOGKGV-AWEZNQCLSA-N 1 2 255.352 3.841 20 0 CHADLO CC(C)C[C@H](Cc1ccccc1)[N@H+](C)CC(F)F ZINC001169078396 1129697690 /nfs/dbraw/zinc/69/76/90/1129697690.db2.gz DYEGCSZCVOGKGV-CQSZACIVSA-N 1 2 255.352 3.841 20 0 CHADLO CC(C)C[C@H](Cc1ccccc1)[N@@H+](C)CC(F)F ZINC001169078396 1129697692 /nfs/dbraw/zinc/69/76/92/1129697692.db2.gz DYEGCSZCVOGKGV-CQSZACIVSA-N 1 2 255.352 3.841 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(C)=C(Br)C2)cs1 ZINC001169790614 1129757116 /nfs/dbraw/zinc/75/71/16/1129757116.db2.gz BYMPDDXQSKDJSQ-UHFFFAOYSA-N 1 2 286.238 3.931 20 0 CHADLO Cc1cc(C[N@H+]2CCC(C)=C(Br)C2)cs1 ZINC001169790614 1129757119 /nfs/dbraw/zinc/75/71/19/1129757119.db2.gz BYMPDDXQSKDJSQ-UHFFFAOYSA-N 1 2 286.238 3.931 20 0 CHADLO CN(C)c1cc(Cl)[nH+]c(N[C@H]2CCCC(F)(F)C2)c1 ZINC001169214870 1129708515 /nfs/dbraw/zinc/70/85/15/1129708515.db2.gz NADZQKLCLRWYPO-VIFPVBQESA-N 1 2 289.757 3.791 20 0 CHADLO CCCC(C)(C)c1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000650550766 1129713711 /nfs/dbraw/zinc/71/37/11/1129713711.db2.gz TWNXEIAAGMHPCJ-UHFFFAOYSA-N 1 2 298.390 3.694 20 0 CHADLO Cc1ccc(CCCNc2ccc(-n3cccc3)c[nH+]2)cn1 ZINC001169257132 1129713855 /nfs/dbraw/zinc/71/38/55/1129713855.db2.gz VEGXYBVCFPNSBS-UHFFFAOYSA-N 1 2 292.386 3.620 20 0 CHADLO Cc1ccnc(CCNc2cc3cc(F)ccc3c[nH+]2)c1 ZINC001169315351 1129716814 /nfs/dbraw/zinc/71/68/14/1129716814.db2.gz DSJBOVXZFIVAML-UHFFFAOYSA-N 1 2 281.334 3.732 20 0 CHADLO CCN(C)c1cc(N[C@@H]2C[C@H](C)c3ccccc32)[nH+]cn1 ZINC001169367071 1129720768 /nfs/dbraw/zinc/72/07/68/1129720768.db2.gz RJPFDZDQLVDYRX-SWLSCSKDSA-N 1 2 282.391 3.593 20 0 CHADLO CCN(C)c1cc(N[C@@H]2C[C@H](C)c3ccccc32)nc[nH+]1 ZINC001169367071 1129720770 /nfs/dbraw/zinc/72/07/70/1129720770.db2.gz RJPFDZDQLVDYRX-SWLSCSKDSA-N 1 2 282.391 3.593 20 0 CHADLO CC(C)(C)C[N@H+](C[C@@H]1C[C@@]12CCOC2)c1ccccc1 ZINC001169481012 1129728935 /nfs/dbraw/zinc/72/89/35/1129728935.db2.gz ABZBNGBUXZJHRY-MAUKXSAKSA-N 1 2 273.420 3.966 20 0 CHADLO CC(C)(C)C[N@@H+](C[C@@H]1C[C@@]12CCOC2)c1ccccc1 ZINC001169481012 1129728939 /nfs/dbraw/zinc/72/89/39/1129728939.db2.gz ABZBNGBUXZJHRY-MAUKXSAKSA-N 1 2 273.420 3.966 20 0 CHADLO c1cc2cc(NCCc3coc4ccccc34)[nH+]cc2[nH]1 ZINC001169590946 1129739498 /nfs/dbraw/zinc/73/94/98/1129739498.db2.gz AEWOXSCLFWWFAL-UHFFFAOYSA-N 1 2 277.327 3.964 20 0 CHADLO CCc1ccc(N(Cc2c[nH+]cn2C)CC(C)C)cc1 ZINC000796477586 1129740495 /nfs/dbraw/zinc/74/04/95/1129740495.db2.gz JNNXCTDQASQQRT-UHFFFAOYSA-N 1 2 271.408 3.645 20 0 CHADLO CC(C)c1[nH+]c(CN[C@@H]2CCC(F)(F)C2)c2ccccn21 ZINC000651737964 1129746917 /nfs/dbraw/zinc/74/69/17/1129746917.db2.gz WXLGFERJEJLUOJ-GFCCVEGCSA-N 1 2 293.361 3.735 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(Cl)ccc1Cl)c1cccnc1 ZINC000766843826 1129759318 /nfs/dbraw/zinc/75/93/18/1129759318.db2.gz KDVSXAIGIWOZOH-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(OC)c(F)c2)c1 ZINC000652330507 1129763364 /nfs/dbraw/zinc/76/33/64/1129763364.db2.gz IFPTURHFXMPXNJ-LLVKDONJSA-N 1 2 290.338 3.549 20 0 CHADLO CCOc1ccccc1[C@H](C)Nc1cc(COC)cc[nH+]1 ZINC000652330494 1129763661 /nfs/dbraw/zinc/76/36/61/1129763661.db2.gz HXYUEOCDEGAHQF-ZDUSSCGKSA-N 1 2 286.375 3.800 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2ccns2)c2ccccn2)cc1 ZINC001169952244 1129767654 /nfs/dbraw/zinc/76/76/54/1129767654.db2.gz BTVRQOGQFJHZQB-KRWDZBQOSA-N 1 2 295.411 3.726 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2c(F)cncc2F)cnc1Cl ZINC000796525256 1129771821 /nfs/dbraw/zinc/77/18/21/1129771821.db2.gz BIEHHBQMPAFZMW-SECBINFHSA-N 1 2 297.736 3.567 20 0 CHADLO Cc1nsc(C)c1C[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000653564831 1129784891 /nfs/dbraw/zinc/78/48/91/1129784891.db2.gz NOUXGNTYHWMRJQ-OAHLLOKOSA-N 1 2 276.380 3.846 20 0 CHADLO Cc1nsc(C)c1C[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000653564831 1129784894 /nfs/dbraw/zinc/78/48/94/1129784894.db2.gz NOUXGNTYHWMRJQ-OAHLLOKOSA-N 1 2 276.380 3.846 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC[C@@H](OC3CCC3)C2)o1 ZINC000653813527 1129796180 /nfs/dbraw/zinc/79/61/80/1129796180.db2.gz CATOZPRDWAYLPN-CQSZACIVSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC[C@@H](OC3CCC3)C2)o1 ZINC000653813527 1129796183 /nfs/dbraw/zinc/79/61/83/1129796183.db2.gz CATOZPRDWAYLPN-CQSZACIVSA-N 1 2 292.423 3.506 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(C)c(OC)c1)C2 ZINC000653834166 1129797132 /nfs/dbraw/zinc/79/71/32/1129797132.db2.gz JNHRNWKQUAETJP-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(C)c(OC)c1)C2 ZINC000653834166 1129797134 /nfs/dbraw/zinc/79/71/34/1129797134.db2.gz JNHRNWKQUAETJP-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO Cc1ncsc1C[NH2+][C@H](Cc1ccccc1)c1ncco1 ZINC000653913073 1129802158 /nfs/dbraw/zinc/80/21/58/1129802158.db2.gz OYCXVZIKGRIICW-CQSZACIVSA-N 1 2 299.399 3.513 20 0 CHADLO CN(C)c1cc(CSCCCOC(C)(C)C)cc[nH+]1 ZINC000767866883 1129816775 /nfs/dbraw/zinc/81/67/75/1129816775.db2.gz OXBNSPNVFUYCGE-UHFFFAOYSA-N 1 2 282.453 3.586 20 0 CHADLO CN(C)c1cc(CSCc2cc(F)ccc2F)cc[nH+]1 ZINC000767871573 1129819107 /nfs/dbraw/zinc/81/91/07/1129819107.db2.gz OKCXPWBBBGUNNE-UHFFFAOYSA-N 1 2 294.370 3.859 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCCC[N@@H+]1C/C=C\Cl ZINC000767901799 1129820086 /nfs/dbraw/zinc/82/00/86/1129820086.db2.gz YNRRCEBEVJJNRO-IZIDJEDMSA-N 1 2 289.810 3.853 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCCC[N@H+]1C/C=C\Cl ZINC000767901799 1129820091 /nfs/dbraw/zinc/82/00/91/1129820091.db2.gz YNRRCEBEVJJNRO-IZIDJEDMSA-N 1 2 289.810 3.853 20 0 CHADLO CC(C)c1ccc([C@H](NC(=O)Cc2c[nH]c[nH+]2)C(C)C)cc1 ZINC000900056234 1129859616 /nfs/dbraw/zinc/85/96/16/1129859616.db2.gz PJTHGGZJPBHFPC-GOSISDBHSA-N 1 2 299.418 3.589 20 0 CHADLO CCc1ccc(-c2ccc(C[NH+]3CCOCC3)cc2F)cc1 ZINC001239589825 1131403262 /nfs/dbraw/zinc/40/32/62/1131403262.db2.gz VLCWLYFKIUJSPP-UHFFFAOYSA-N 1 2 299.389 3.887 20 0 CHADLO CC(C)c1cccc(C(C)C)c1NC(=O)Cc1c[nH]c[nH+]1 ZINC000900307682 1129876630 /nfs/dbraw/zinc/87/66/30/1129876630.db2.gz QOWKRGCWLZDZLJ-UHFFFAOYSA-N 1 2 285.391 3.838 20 0 CHADLO COCC[C@H]1CCC[C@@H]1Nc1ccc([NH+](C)C)cc1C ZINC000800483656 1129877506 /nfs/dbraw/zinc/87/75/06/1129877506.db2.gz LQYOJWKYKLRQDS-PBHICJAKSA-N 1 2 276.424 3.678 20 0 CHADLO COCC[C@H]1CCC[C@@H]1[NH2+]c1ccc(N(C)C)cc1C ZINC000800483656 1129877512 /nfs/dbraw/zinc/87/75/12/1129877512.db2.gz LQYOJWKYKLRQDS-PBHICJAKSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1cc([C@H](C)Nc2ccc([NH+]3CCCC3)cc2)nn1C ZINC000800483626 1129878492 /nfs/dbraw/zinc/87/84/92/1129878492.db2.gz KYXFDDZOOYSHDB-AWEZNQCLSA-N 1 2 284.407 3.502 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(C)on1)c1ccccc1OC ZINC000800502498 1129880907 /nfs/dbraw/zinc/88/09/07/1129880907.db2.gz CGMPRLWYZUGTLL-JSGCOSHPSA-N 1 2 274.364 3.794 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000769319679 1129902532 /nfs/dbraw/zinc/90/25/32/1129902532.db2.gz WLDGPLLRQWFZAZ-MRXNPFEDSA-N 1 2 298.386 3.898 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@H+]1Cc1coc(C2CC2)n1 ZINC000769319679 1129902533 /nfs/dbraw/zinc/90/25/33/1129902533.db2.gz WLDGPLLRQWFZAZ-MRXNPFEDSA-N 1 2 298.386 3.898 20 0 CHADLO C/C(=C/C(=O)Nc1c(C)cc(C)[nH+]c1C)C(C)(C)C ZINC000744355438 1129903135 /nfs/dbraw/zinc/90/31/35/1129903135.db2.gz KQVCOSNFCSOTHD-LUAWRHEFSA-N 1 2 260.381 3.938 20 0 CHADLO Clc1ncc(COc2ccccc2-n2cc[nH+]c2)s1 ZINC000769385135 1129905279 /nfs/dbraw/zinc/90/52/79/1129905279.db2.gz NTTLJASOZKKXBN-UHFFFAOYSA-N 1 2 291.763 3.561 20 0 CHADLO Clc1ccc2[nH]cc(C[N@@H+]3CCOCC34CCC4)c2c1 ZINC001137714908 1131406619 /nfs/dbraw/zinc/40/66/19/1131406619.db2.gz ZXHFMMOJHGETCX-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1ccc2[nH]cc(C[N@H+]3CCOCC34CCC4)c2c1 ZINC001137714908 1131406622 /nfs/dbraw/zinc/40/66/22/1131406622.db2.gz ZXHFMMOJHGETCX-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@@H](F)c1ccccc1 ZINC000769448809 1129911264 /nfs/dbraw/zinc/91/12/64/1129911264.db2.gz XDDPAOBNWNQFAF-INIZCTEOSA-N 1 2 295.317 3.522 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+]Cc1nc(C(C)C)cs1 ZINC000769871588 1129930604 /nfs/dbraw/zinc/93/06/04/1129930604.db2.gz JFGCPRDVOJBRGM-JTQLQIEISA-N 1 2 293.436 3.976 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1n[nH]c2ccccc12 ZINC000770482332 1129949560 /nfs/dbraw/zinc/94/95/60/1129949560.db2.gz RZVRGZNVYOVXMG-UHFFFAOYSA-N 1 2 280.375 3.549 20 0 CHADLO c1ccc2c(CNc3ccc([NH+]4CCCC4)cc3)n[nH]c2c1 ZINC000770480986 1129949968 /nfs/dbraw/zinc/94/99/68/1129949968.db2.gz UCTWZGNZJFCSFL-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1occc1Br ZINC000770746180 1129958209 /nfs/dbraw/zinc/95/82/09/1129958209.db2.gz LJSXWDQZYPUJTL-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO Cc1cc(CNC(=O)c2ccccc2C2(C)CC2)cc(C)[nH+]1 ZINC000823056559 1131411074 /nfs/dbraw/zinc/41/10/74/1131411074.db2.gz CUOIDGYMVIROJY-UHFFFAOYSA-N 1 2 294.398 3.680 20 0 CHADLO Brc1ccoc1C[N@@H+]1CCc2ccccc2C1 ZINC000771925812 1129995047 /nfs/dbraw/zinc/99/50/47/1129995047.db2.gz CNKCXYWMUQCQOS-UHFFFAOYSA-N 1 2 292.176 3.600 20 0 CHADLO Brc1ccoc1C[N@H+]1CCc2ccccc2C1 ZINC000771925812 1129995049 /nfs/dbraw/zinc/99/50/49/1129995049.db2.gz CNKCXYWMUQCQOS-UHFFFAOYSA-N 1 2 292.176 3.600 20 0 CHADLO CC(F)(F)CCCn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000902304268 1129998566 /nfs/dbraw/zinc/99/85/66/1129998566.db2.gz JREWVIWJOQMKEC-UHFFFAOYSA-N 1 2 293.239 3.886 20 0 CHADLO C/C(=C/C(C)(C)C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000772023414 1130002922 /nfs/dbraw/zinc/00/29/22/1130002922.db2.gz ZWTWZOFBIPOQNO-QBFSEMIESA-N 1 2 283.375 3.803 20 0 CHADLO CC(C)c1ccc(-c2ccc(C[NH+]3CCOCC3)cn2)cc1 ZINC001239624525 1130018090 /nfs/dbraw/zinc/01/80/90/1130018090.db2.gz KRJWQPQVPVXARP-UHFFFAOYSA-N 1 2 296.414 3.704 20 0 CHADLO CC(=O)c1ccc(-c2cccc(-c3c[nH+]cn3C)c2)cc1 ZINC001239631277 1130024014 /nfs/dbraw/zinc/02/40/14/1130024014.db2.gz SEZBPRBYLFWPFW-UHFFFAOYSA-N 1 2 276.339 3.957 20 0 CHADLO CC(=O)c1cccc(-c2cc[nH+]c(N3CCCC3)c2)c1 ZINC001239635612 1130026518 /nfs/dbraw/zinc/02/65/18/1130026518.db2.gz NGNGKJLNSADTDU-UHFFFAOYSA-N 1 2 266.344 3.551 20 0 CHADLO Cc1c[nH+]c(CCSCCc2ccns2)c(C)c1 ZINC000902569156 1130026852 /nfs/dbraw/zinc/02/68/52/1130026852.db2.gz PNZPYAITGQNQDX-UHFFFAOYSA-N 1 2 278.446 3.673 20 0 CHADLO Brc1ccoc1C[N@@H+]1CCC[C@@H]1c1ccc[nH]1 ZINC000772597360 1130032034 /nfs/dbraw/zinc/03/20/34/1130032034.db2.gz DKCSCJKKYRZARA-GFCCVEGCSA-N 1 2 295.180 3.707 20 0 CHADLO Brc1ccoc1C[N@H+]1CCC[C@@H]1c1ccc[nH]1 ZINC000772597360 1130032039 /nfs/dbraw/zinc/03/20/39/1130032039.db2.gz DKCSCJKKYRZARA-GFCCVEGCSA-N 1 2 295.180 3.707 20 0 CHADLO Brc1ccoc1C[N@@H+]1CCC[C@H]1c1ccc[nH]1 ZINC000772597363 1130032242 /nfs/dbraw/zinc/03/22/42/1130032242.db2.gz DKCSCJKKYRZARA-LBPRGKRZSA-N 1 2 295.180 3.707 20 0 CHADLO Brc1ccoc1C[N@H+]1CCC[C@H]1c1ccc[nH]1 ZINC000772597363 1130032248 /nfs/dbraw/zinc/03/22/48/1130032248.db2.gz DKCSCJKKYRZARA-LBPRGKRZSA-N 1 2 295.180 3.707 20 0 CHADLO Cc1nn(C(C)C)c(C)c1CNc1[nH]c2cc(C)ccc2[nH+]1 ZINC000902645315 1130034366 /nfs/dbraw/zinc/03/43/66/1130034366.db2.gz SYZAGFRFXLWORM-UHFFFAOYSA-N 1 2 297.406 3.878 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCOC[C@H]2C)cc1)[C@H]1CC1(C)C ZINC000785496974 1130036945 /nfs/dbraw/zinc/03/69/45/1130036945.db2.gz PEGHXFXCBYJTLV-JKIFEVAISA-N 1 2 288.435 3.758 20 0 CHADLO Cc1cc(N(C)Cc2cncs2)[nH+]c2ccccc12 ZINC001157085145 1130044386 /nfs/dbraw/zinc/04/43/86/1130044386.db2.gz ROQJQIDQFAYTBA-UHFFFAOYSA-N 1 2 269.373 3.636 20 0 CHADLO Fc1ccc(-c2ccccc2Cn2cc[nH+]c2)c(F)c1 ZINC001239678233 1130049565 /nfs/dbraw/zinc/04/95/65/1130049565.db2.gz AMVZQYIBIOPVSW-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO Cc1cn2cc(-c3cccc(O)c3Cl)ccc2[nH+]1 ZINC001242800272 1130055444 /nfs/dbraw/zinc/05/54/44/1130055444.db2.gz LOUOSFHGYRTZCP-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO Fc1cccc(-c2ccc(Cn3cc[nH+]c3)cc2)c1F ZINC001239706477 1130063019 /nfs/dbraw/zinc/06/30/19/1130063019.db2.gz CCXQUCSZUSLQDM-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO Cc1cccc(-c2c[nH+]c(N3CCOCC3)c(C)c2)c1C ZINC001239714977 1130068882 /nfs/dbraw/zinc/06/88/82/1130068882.db2.gz CBRUHBFZTUROTJ-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO COc1ccc(F)cc1-c1ccccc1Cn1cc[nH+]c1 ZINC001239721521 1130074069 /nfs/dbraw/zinc/07/40/69/1130074069.db2.gz VCVUTOLTIJGENX-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO CCCn1cc(N[C@@H](C)c2cccc(-n3cc[nH+]c3)c2)cn1 ZINC000902916161 1130084413 /nfs/dbraw/zinc/08/44/13/1130084413.db2.gz IUINZKRELSNBMG-AWEZNQCLSA-N 1 2 295.390 3.652 20 0 CHADLO C/C(=C\C=C\[NH3+])c1cncc(Oc2ccccc2)c1 ZINC001239752262 1130091530 /nfs/dbraw/zinc/09/15/30/1130091530.db2.gz RUIXXDXWAAIPKK-PMHYKQBESA-N 1 2 252.317 3.750 20 0 CHADLO COc1ccc(C)cc1-c1cc(N)c(C(F)(F)F)c[nH+]1 ZINC001239766241 1130097438 /nfs/dbraw/zinc/09/74/38/1130097438.db2.gz TYMKALIJAAGASZ-UHFFFAOYSA-N 1 2 282.265 3.667 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc3c(c2)CCO3)c1 ZINC001239806807 1130116369 /nfs/dbraw/zinc/11/63/69/1130116369.db2.gz LOLSRULFGVDZDY-UHFFFAOYSA-N 1 2 276.339 3.689 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](c2ccccn2)C2CC2)c(F)c1 ZINC000903228627 1130132405 /nfs/dbraw/zinc/13/24/05/1130132405.db2.gz WLDQACJZCDSBFH-KRWDZBQOSA-N 1 2 288.341 3.909 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cccnc2OC)c1 ZINC001239860404 1130137085 /nfs/dbraw/zinc/13/70/85/1130137085.db2.gz OYBGRCOLKFZGEC-UHFFFAOYSA-N 1 2 270.376 3.912 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(C(=O)NC2CCCCC2)cc1 ZINC001239918034 1130152747 /nfs/dbraw/zinc/15/27/47/1130152747.db2.gz QIYLQFJIAKWTIQ-ACFHMISVSA-N 1 2 284.403 3.625 20 0 CHADLO CC1(C)Cc2occc2[C@@H]([NH2+][C@H](c2ncccn2)C2CC2)C1 ZINC000903324267 1130155078 /nfs/dbraw/zinc/15/50/78/1130155078.db2.gz CYYIUPDFWXHPNY-HOCLYGCPSA-N 1 2 297.402 3.824 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@H](c1ncccc1C)C(C)(C)C ZINC000903328181 1130156081 /nfs/dbraw/zinc/15/60/81/1130156081.db2.gz GVMWBOBXZSOJPM-XHDPSFHLSA-N 1 2 288.395 3.519 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@H](c1ncccn1)C1CC1 ZINC000903329867 1130156907 /nfs/dbraw/zinc/15/69/07/1130156907.db2.gz FOORTGKQKPSDEU-DYVFJYSZSA-N 1 2 297.402 3.677 20 0 CHADLO COCc1ccccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001239952172 1130168105 /nfs/dbraw/zinc/16/81/05/1130168105.db2.gz VPBYCMZWQLNBEE-UHFFFAOYSA-N 1 2 264.328 3.686 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(C)on1)c1cc(F)ccc1OC ZINC000903372274 1130168362 /nfs/dbraw/zinc/16/83/62/1130168362.db2.gz YGZDLLDZPHUPGR-BXUZGUMPSA-N 1 2 292.354 3.933 20 0 CHADLO COCc1ccccc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001239955928 1130170279 /nfs/dbraw/zinc/17/02/79/1130170279.db2.gz ZSWUHIUFFPLKKM-UHFFFAOYSA-N 1 2 282.318 3.825 20 0 CHADLO CC(C)Oc1cccc(-c2ccc(Cn3cc[nH+]c3)cn2)c1 ZINC001239967940 1130177693 /nfs/dbraw/zinc/17/76/93/1130177693.db2.gz IKLGOITXCRAFLB-UHFFFAOYSA-N 1 2 293.370 3.781 20 0 CHADLO Cc1cc(-c2ccc(C(=O)NC(C)(C)C)cc2)cc(C)[nH+]1 ZINC001239991368 1130183932 /nfs/dbraw/zinc/18/39/32/1130183932.db2.gz YELSTOQJRPVLQL-UHFFFAOYSA-N 1 2 282.387 3.894 20 0 CHADLO COc1ccc(-c2ccn3cc[nH+]c3c2)cc1Cl ZINC001240031192 1130199693 /nfs/dbraw/zinc/19/96/93/1130199693.db2.gz IEOQOYXZSPBAFT-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1cccc(C(=O)N2CCCCC2)c1 ZINC001240064855 1130208257 /nfs/dbraw/zinc/20/82/57/1130208257.db2.gz HBUGFXHKPSYWFJ-UHFFFAOYSA-N 1 2 294.398 3.992 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccc(Cl)nc1 ZINC000788982851 1130221401 /nfs/dbraw/zinc/22/14/01/1130221401.db2.gz IWBRWQJJRBPQLT-BDAKNGLRSA-N 1 2 267.785 3.603 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+][C@@H]1CCC12CCCC2 ZINC000788986135 1130221928 /nfs/dbraw/zinc/22/19/28/1130221928.db2.gz VZVXTTGEJXBXOU-QGZVFWFLSA-N 1 2 286.419 3.658 20 0 CHADLO CC[C@@H](C)C[N@H+](CC)Cn1nc(C2CC2)sc1=S ZINC000748262407 1130226557 /nfs/dbraw/zinc/22/65/57/1130226557.db2.gz PGQFUGFGOKDBPO-SNVBAGLBSA-N 1 2 285.482 3.877 20 0 CHADLO CC[C@@H](C)C[N@@H+](CC)Cn1nc(C2CC2)sc1=S ZINC000748262407 1130226562 /nfs/dbraw/zinc/22/65/62/1130226562.db2.gz PGQFUGFGOKDBPO-SNVBAGLBSA-N 1 2 285.482 3.877 20 0 CHADLO Cn1c[nH+]cc1-c1cc(C(F)(F)F)cc2[nH]ccc21 ZINC001243069761 1130227780 /nfs/dbraw/zinc/22/77/80/1130227780.db2.gz ACRQXWPMBYUNSF-UHFFFAOYSA-N 1 2 265.238 3.587 20 0 CHADLO c1c[nH+]c(-c2ccc(-c3ccc4n[nH]cc4c3)cc2)[nH]1 ZINC001240211981 1130231544 /nfs/dbraw/zinc/23/15/44/1130231544.db2.gz AVQCUVZNWYYZGE-UHFFFAOYSA-N 1 2 260.300 3.620 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@H](C)c3ccccn3)co2)cc1 ZINC000748438997 1130231885 /nfs/dbraw/zinc/23/18/85/1130231885.db2.gz ITKCNWPUPNBTEV-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1cc2c(ccnc2-c2ccc3[nH+]ccn3c2)s1 ZINC001240213539 1130232797 /nfs/dbraw/zinc/23/27/97/1130232797.db2.gz DIQCZRPXIHUCJH-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO COc1ccc2cccc(-c3ccc4[nH+]ccn4c3)c2n1 ZINC001240218317 1130235239 /nfs/dbraw/zinc/23/52/39/1130235239.db2.gz KQYQAUXRQLPHGD-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO COc1ccc2ccc(-c3ccc4[nH+]ccn4c3)cc2n1 ZINC001240218021 1130235903 /nfs/dbraw/zinc/23/59/03/1130235903.db2.gz WKBGMWMHENDSKO-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1ccc2nccn2c1 ZINC001240218061 1130236024 /nfs/dbraw/zinc/23/60/24/1130236024.db2.gz YQJCUTUODWQMMP-UHFFFAOYSA-N 1 2 292.386 3.695 20 0 CHADLO FC(F)(F)Oc1cccc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240217760 1130236279 /nfs/dbraw/zinc/23/62/79/1130236279.db2.gz OBVYXUVMWJPFDE-UHFFFAOYSA-N 1 2 278.233 3.900 20 0 CHADLO Cc1cc(C)c2ccc(-c3ccc4[nH+]ccn4c3)nc2n1 ZINC001240216656 1130236436 /nfs/dbraw/zinc/23/64/36/1130236436.db2.gz KMNOKKCWFRSBMQ-UHFFFAOYSA-N 1 2 274.327 3.561 20 0 CHADLO Cc1nc2cccc(-c3ccc4[nH+]ccn4c3)c2s1 ZINC001240219093 1130237499 /nfs/dbraw/zinc/23/74/99/1130237499.db2.gz YWRGLJCNJOSVOM-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO CC(C)[S@@](=O)c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240219163 1130237705 /nfs/dbraw/zinc/23/77/05/1130237705.db2.gz CSCDHOGEDMOCLW-HXUWFJFHSA-N 1 2 284.384 3.517 20 0 CHADLO CC(C)(C)c1ccc(OC(=O)CCCn2cc[nH+]c2)cc1 ZINC000748603611 1130237884 /nfs/dbraw/zinc/23/78/84/1130237884.db2.gz PCEZGKGCRCYADL-UHFFFAOYSA-N 1 2 286.375 3.566 20 0 CHADLO C=C(C(=O)OCC)c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240220762 1130238899 /nfs/dbraw/zinc/23/88/99/1130238899.db2.gz AHYNFZATQOSGLQ-UHFFFAOYSA-N 1 2 292.338 3.578 20 0 CHADLO CCCOc1ccc(-c2ccc3[nH+]ccn3c2)c(F)c1 ZINC001240221977 1130239416 /nfs/dbraw/zinc/23/94/16/1130239416.db2.gz RQMNAQRLWPQUEO-UHFFFAOYSA-N 1 2 270.307 3.929 20 0 CHADLO COc1ccc(-c2ccc3[nH+]ccn3c2)c2ccncc12 ZINC001240221213 1130239804 /nfs/dbraw/zinc/23/98/04/1130239804.db2.gz QDKKBEJEGVZDRP-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1cc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccccc2)no1 ZINC000748832514 1130251326 /nfs/dbraw/zinc/25/13/26/1130251326.db2.gz IFMHPLMQGDNWQO-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cc(C[N@H+]2CC(C)(C)[C@@H]2c2ccccc2)no1 ZINC000748832514 1130251331 /nfs/dbraw/zinc/25/13/31/1130251331.db2.gz IFMHPLMQGDNWQO-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(OCc2ccccc2F)c1 ZINC001240264153 1130251406 /nfs/dbraw/zinc/25/14/06/1130251406.db2.gz PWTNEYGBNVUZKD-UHFFFAOYSA-N 1 2 282.318 3.805 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc(C(F)(F)F)nc1 ZINC001240268416 1130253027 /nfs/dbraw/zinc/25/30/27/1130253027.db2.gz GJXOTCYOJQCKHI-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Cc1c(-c2ccc3ncsc3c2)ccc2[nH+]ccn21 ZINC001240347062 1130273884 /nfs/dbraw/zinc/27/38/84/1130273884.db2.gz FAQUPMSICYCCJM-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO CCOC[C@H]([NH2+]CC(F)(F)c1ccc(F)cc1)C(C)C ZINC001170118748 1130275757 /nfs/dbraw/zinc/27/57/57/1130275757.db2.gz SBHBUGYEDQAJTL-AWEZNQCLSA-N 1 2 289.341 3.568 20 0 CHADLO CC(C)n1cnc2cc(-c3c[nH+]c4c(c3)CCCN4)ccc21 ZINC001240372153 1130282085 /nfs/dbraw/zinc/28/20/85/1130282085.db2.gz LIPHWCFBMCCOKA-UHFFFAOYSA-N 1 2 292.386 3.680 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3ncc(C)cc3C2)c1Cl ZINC001137293925 1130300871 /nfs/dbraw/zinc/30/08/71/1130300871.db2.gz IFPXIRXMWALQQE-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1csc(C[N@H+]2CCc3ncc(C)cc3C2)c1Cl ZINC001137293925 1130300877 /nfs/dbraw/zinc/30/08/77/1130300877.db2.gz IFPXIRXMWALQQE-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(-c2cnn(CCC(C)C)c2)cn2cc[nH+]c12 ZINC001240420254 1130301988 /nfs/dbraw/zinc/30/19/88/1130301988.db2.gz MUONWQXERMAZBP-UHFFFAOYSA-N 1 2 268.364 3.552 20 0 CHADLO COc1ccc(F)c(F)c1-c1cc(C)c2[nH+]ccn2c1 ZINC001240435972 1130311784 /nfs/dbraw/zinc/31/17/84/1130311784.db2.gz RNKLDODQFQEYAT-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO CNc1cc(-c2ccc(C(=O)OC(C)(C)C)cc2)cc[nH+]1 ZINC001240440477 1130313899 /nfs/dbraw/zinc/31/38/99/1130313899.db2.gz LPGVHRXGTMHUJX-UHFFFAOYSA-N 1 2 284.359 3.746 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(C(=O)OC(C)(C)C)cc1 ZINC001240440659 1130314172 /nfs/dbraw/zinc/31/41/72/1130314172.db2.gz HRZZTKVGQXTMFG-YDWXAUTNSA-N 1 2 259.349 3.518 20 0 CHADLO CN(C)c1cc(CSCCCC2CCOCC2)cc[nH+]1 ZINC000790449839 1130314576 /nfs/dbraw/zinc/31/45/76/1130314576.db2.gz JVNDVSACSROGHY-UHFFFAOYSA-N 1 2 294.464 3.588 20 0 CHADLO CC(C)(C)C1=CCN(C(=O)Nc2ccc3[nH+]ccn3c2)CC1 ZINC000677617584 1130319474 /nfs/dbraw/zinc/31/94/74/1130319474.db2.gz FVBGAWOTULTJJY-UHFFFAOYSA-N 1 2 298.390 3.544 20 0 CHADLO FC(F)C[N@@H+]1CCCC[C@@H]1CCc1ccccc1 ZINC000677738838 1130328261 /nfs/dbraw/zinc/32/82/61/1130328261.db2.gz SOQKTDXCUWDRTD-CQSZACIVSA-N 1 2 253.336 3.739 20 0 CHADLO FC(F)C[N@@H+]1CCCC[C@H]1CCc1ccccc1 ZINC000677738837 1130328130 /nfs/dbraw/zinc/32/81/30/1130328130.db2.gz SOQKTDXCUWDRTD-AWEZNQCLSA-N 1 2 253.336 3.739 20 0 CHADLO FC(F)C[N@H+]1CCCC[C@H]1CCc1ccccc1 ZINC000677738837 1130328132 /nfs/dbraw/zinc/32/81/32/1130328132.db2.gz SOQKTDXCUWDRTD-AWEZNQCLSA-N 1 2 253.336 3.739 20 0 CHADLO COc1cnc(-c2ccn3cc[nH+]c3c2)c2ccccc12 ZINC001240496729 1130330196 /nfs/dbraw/zinc/33/01/96/1130330196.db2.gz HHKMOEXZHCIAMX-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO c1ccn(-c2cccc(-c3ccn4cc[nH+]c4c3)c2)c1 ZINC001240509306 1130334427 /nfs/dbraw/zinc/33/44/27/1130334427.db2.gz VGUSRIJXZLUODH-UHFFFAOYSA-N 1 2 259.312 3.792 20 0 CHADLO COc1ccc(-c2ccn3cc[nH+]c3c2)c2ccc(C)nc12 ZINC001240510476 1130335437 /nfs/dbraw/zinc/33/54/37/1130335437.db2.gz LQUQPOKCTRMGKO-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO Cc1cc2cccnc2c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240510563 1130335773 /nfs/dbraw/zinc/33/57/73/1130335773.db2.gz NXIMAIKSFNUVKE-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC(C)[S@@](=O)c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240510717 1130336555 /nfs/dbraw/zinc/33/65/55/1130336555.db2.gz PMWRIZVEIKVWTQ-HXUWFJFHSA-N 1 2 284.384 3.517 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)[C@@H](C)c1nc(C)no1 ZINC000677887064 1130338061 /nfs/dbraw/zinc/33/80/61/1130338061.db2.gz ZHIQACFZXLCSQT-JTQLQIEISA-N 1 2 279.771 3.615 20 0 CHADLO CC(C)Cc1ccccc1C(=O)Nc1cc(N(C)C)cc[nH+]1 ZINC000790878673 1130337712 /nfs/dbraw/zinc/33/77/12/1130337712.db2.gz VWMBPMXLMNYGQC-UHFFFAOYSA-N 1 2 297.402 3.598 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)[C@@H](C)c1nc(C)no1 ZINC000677887064 1130338067 /nfs/dbraw/zinc/33/80/67/1130338067.db2.gz ZHIQACFZXLCSQT-JTQLQIEISA-N 1 2 279.771 3.615 20 0 CHADLO CCSc1cccc(C(=O)Nc2ccc3[nH+]ccn3c2)c1 ZINC000677888366 1130337900 /nfs/dbraw/zinc/33/79/00/1130337900.db2.gz LUHSYJNYFWEXCS-UHFFFAOYSA-N 1 2 297.383 3.699 20 0 CHADLO COc1c(F)ccc(SC)c1-c1ccn2cc[nH+]c2c1 ZINC001240518023 1130340993 /nfs/dbraw/zinc/34/09/93/1130340993.db2.gz LFYDKRAUHSYYNX-UHFFFAOYSA-N 1 2 288.347 3.871 20 0 CHADLO CCOC(=O)c1cc(C)c(-c2ccn3cc[nH+]c3c2)cc1C ZINC001240517645 1130341317 /nfs/dbraw/zinc/34/13/17/1130341317.db2.gz ABXMTVFSVDDPAI-UHFFFAOYSA-N 1 2 294.354 3.795 20 0 CHADLO CCCC[C@@H](CC)C(=O)N[C@H](Cn1cc[nH+]c1)C(C)(C)C ZINC000791127928 1130351597 /nfs/dbraw/zinc/35/15/97/1130351597.db2.gz KIVFVOZYDKYJOF-HUUCEWRRSA-N 1 2 293.455 3.630 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1[nH]nc2ccccc21 ZINC000678135112 1130354540 /nfs/dbraw/zinc/35/45/40/1130354540.db2.gz HAGJRAFZSOFISU-LBPRGKRZSA-N 1 2 283.350 3.895 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1[nH]nc2ccccc21 ZINC000678135112 1130354544 /nfs/dbraw/zinc/35/45/44/1130354544.db2.gz HAGJRAFZSOFISU-LBPRGKRZSA-N 1 2 283.350 3.895 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1n[nH]c2ccccc21 ZINC000678135112 1130354553 /nfs/dbraw/zinc/35/45/53/1130354553.db2.gz HAGJRAFZSOFISU-LBPRGKRZSA-N 1 2 283.350 3.895 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1n[nH]c2ccccc21 ZINC000678135112 1130354555 /nfs/dbraw/zinc/35/45/55/1130354555.db2.gz HAGJRAFZSOFISU-LBPRGKRZSA-N 1 2 283.350 3.895 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cnc([C@@H]2CCCO2)s1 ZINC000678233711 1130375088 /nfs/dbraw/zinc/37/50/88/1130375088.db2.gz SFEUCMONGRGUMO-AWEZNQCLSA-N 1 2 292.404 3.610 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cnc([C@@H]2CCCO2)s1 ZINC000678233711 1130375094 /nfs/dbraw/zinc/37/50/94/1130375094.db2.gz SFEUCMONGRGUMO-AWEZNQCLSA-N 1 2 292.404 3.610 20 0 CHADLO CC[C@H](C)CC(=O)OCc1ccc(-n2cc[nH+]c2)cc1C ZINC000791498679 1130381046 /nfs/dbraw/zinc/38/10/46/1130381046.db2.gz OVLZLOOCIPALEH-ZDUSSCGKSA-N 1 2 286.375 3.660 20 0 CHADLO c1coc([C@H]2COCC[N@H+]2Cc2ccccc2C2CC2)c1 ZINC000678264509 1130382191 /nfs/dbraw/zinc/38/21/91/1130382191.db2.gz NNLJNGIGZKTIFF-QGZVFWFLSA-N 1 2 283.371 3.731 20 0 CHADLO c1coc([C@H]2COCC[N@@H+]2Cc2ccccc2C2CC2)c1 ZINC000678264509 1130382198 /nfs/dbraw/zinc/38/21/98/1130382198.db2.gz NNLJNGIGZKTIFF-QGZVFWFLSA-N 1 2 283.371 3.731 20 0 CHADLO Nc1[nH+]cccc1-c1ccc(-c2ccc(O)cc2)cc1 ZINC001240601970 1130382973 /nfs/dbraw/zinc/38/29/73/1130382973.db2.gz LWTAZCIZDHFOJG-UHFFFAOYSA-N 1 2 262.312 3.703 20 0 CHADLO CCCCC1CCC(C(=O)OCc2cc[nH+]c(N)c2)CC1 ZINC000791605152 1130388531 /nfs/dbraw/zinc/38/85/31/1130388531.db2.gz QKWVSMLBDKBZRQ-UHFFFAOYSA-N 1 2 290.407 3.704 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+]2Cc2[nH]nc3ccccc32)c1 ZINC000678283550 1130391003 /nfs/dbraw/zinc/39/10/03/1130391003.db2.gz NWDJRNYSLNMADN-KRWDZBQOSA-N 1 2 281.334 3.649 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+]2Cc2[nH]nc3ccccc32)c1 ZINC000678283550 1130391009 /nfs/dbraw/zinc/39/10/09/1130391009.db2.gz NWDJRNYSLNMADN-KRWDZBQOSA-N 1 2 281.334 3.649 20 0 CHADLO CC/C(=C\C(=O)OCc1cc[nH+]c(N)c1)c1ccc(C)cc1 ZINC000791945692 1130421402 /nfs/dbraw/zinc/42/14/02/1130421402.db2.gz VJLSNGYIZGJTTL-RVDMUPIBSA-N 1 2 296.370 3.509 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2nc3c(s2)CCC3)o1 ZINC000678497419 1130423279 /nfs/dbraw/zinc/42/32/79/1130423279.db2.gz OSUCBFVLYYEOES-PWSUYJOCSA-N 1 2 288.416 3.638 20 0 CHADLO Cc1c(-c2ccc(N)c(Cl)c2)ccc2[nH+]ccn21 ZINC001243375927 1130424620 /nfs/dbraw/zinc/42/46/20/1130424620.db2.gz CYYMBMPFHYKIQT-UHFFFAOYSA-N 1 2 257.724 3.545 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(C)c(C)c1 ZINC001240701983 1130427253 /nfs/dbraw/zinc/42/72/53/1130427253.db2.gz LRDBRLQLRTUQKG-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2nc(C)sc2C)c(F)c1 ZINC000678597410 1130436492 /nfs/dbraw/zinc/43/64/92/1130436492.db2.gz DDWKKQCEUSPUQL-SECBINFHSA-N 1 2 294.395 3.758 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cccc(F)c1C ZINC001240732632 1130445097 /nfs/dbraw/zinc/44/50/97/1130445097.db2.gz TZVMDTCWHNXWIT-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO CSc1cc(C)ccc1-c1cc[nH+]c(N(C)C)c1 ZINC001243386036 1130445873 /nfs/dbraw/zinc/44/58/73/1130445873.db2.gz IWZNGWYKIURRAZ-UHFFFAOYSA-N 1 2 258.390 3.845 20 0 CHADLO Fc1c[nH+]ccc1N1CCC[C@H](c2nc3ccccc3o2)C1 ZINC000678789291 1130455118 /nfs/dbraw/zinc/45/51/18/1130455118.db2.gz WYPLBTZXLVLXRR-LBPRGKRZSA-N 1 2 297.333 3.746 20 0 CHADLO COCOc1cc(C)ccc1-c1cc(C)cn2cc[nH+]c12 ZINC001240755276 1130456022 /nfs/dbraw/zinc/45/60/22/1130456022.db2.gz QFWUKYMVHPCGRM-UHFFFAOYSA-N 1 2 282.343 3.601 20 0 CHADLO C[N@H+](Cc1nc2c(s1)CCC2)Cc1cccc(Cl)c1 ZINC000678810943 1130457882 /nfs/dbraw/zinc/45/78/82/1130457882.db2.gz XGVUIWWQOZEYSE-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO C[N@@H+](Cc1nc2c(s1)CCC2)Cc1cccc(Cl)c1 ZINC000678810943 1130457885 /nfs/dbraw/zinc/45/78/85/1130457885.db2.gz XGVUIWWQOZEYSE-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO COC[C@@H]1CCC[N@@H+]1Cc1c(Cl)ccc(F)c1Cl ZINC001137353586 1130465236 /nfs/dbraw/zinc/46/52/36/1130465236.db2.gz ROGRRVMULVCDAX-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@@H]1CCC[N@H+]1Cc1c(Cl)ccc(F)c1Cl ZINC001137353586 1130465241 /nfs/dbraw/zinc/46/52/41/1130465241.db2.gz ROGRRVMULVCDAX-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO C[C@@H]1C[C@H]1[C@@H]([NH2+]CC(F)F)c1ccc(Cl)cc1 ZINC000678897596 1130469435 /nfs/dbraw/zinc/46/94/35/1130469435.db2.gz FUIGEGRBULQFSC-DYLAAIIWSA-N 1 2 259.727 3.892 20 0 CHADLO C[C@H](Cc1cccs1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000679005264 1130482269 /nfs/dbraw/zinc/48/22/69/1130482269.db2.gz QVGYBTMHTRIZSA-CYBMUJFWSA-N 1 2 287.432 3.786 20 0 CHADLO CC1(C)CC(Nc2cc3cc[nH]c3c[nH+]2)CC(C)(C)O1 ZINC001170358768 1130484482 /nfs/dbraw/zinc/48/44/82/1130484482.db2.gz SSJGDDPLYGUMMX-UHFFFAOYSA-N 1 2 273.380 3.711 20 0 CHADLO CC[C@H]([NH2+]Cc1cocn1)c1cc(Cl)ccc1OC ZINC000679047980 1130487041 /nfs/dbraw/zinc/48/70/41/1130487041.db2.gz LVTXTAKFRLBHCX-ZDUSSCGKSA-N 1 2 280.755 3.578 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1cc2cc(Cl)ccc2o1 ZINC000679049326 1130487189 /nfs/dbraw/zinc/48/71/89/1130487189.db2.gz SEDRKDLBAOUJEC-SECBINFHSA-N 1 2 276.723 3.925 20 0 CHADLO CC(C)c1ccc2c(c1)[C@H]([NH2+]Cc1cocn1)CCCO2 ZINC000679047762 1130487360 /nfs/dbraw/zinc/48/73/60/1130487360.db2.gz KWGFISSPILQLJY-MRXNPFEDSA-N 1 2 286.375 3.802 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1ccc(SCC(F)F)cc1 ZINC000679047449 1130487582 /nfs/dbraw/zinc/48/75/82/1130487582.db2.gz GRKIMYPIANEQBU-SNVBAGLBSA-N 1 2 298.358 3.883 20 0 CHADLO C[N@H+](C/C=C/Cl)[C@@]1(c2ccccc2)CCCCC1=O ZINC000792881434 1130503886 /nfs/dbraw/zinc/50/38/86/1130503886.db2.gz ZYVSNTLBIWBZBE-NYUWMOHMSA-N 1 2 277.795 3.709 20 0 CHADLO C[N@@H+](C/C=C/Cl)[C@@]1(c2ccccc2)CCCCC1=O ZINC000792881434 1130503893 /nfs/dbraw/zinc/50/38/93/1130503893.db2.gz ZYVSNTLBIWBZBE-NYUWMOHMSA-N 1 2 277.795 3.709 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@H+]1CC[C@H](C)[C@@H](F)C1 ZINC000679228222 1130504628 /nfs/dbraw/zinc/50/46/28/1130504628.db2.gz VSWZEGBEAOVXDW-WFASDCNBSA-N 1 2 288.366 3.830 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@@H+]1CC[C@H](C)[C@@H](F)C1 ZINC000679228222 1130504632 /nfs/dbraw/zinc/50/46/32/1130504632.db2.gz VSWZEGBEAOVXDW-WFASDCNBSA-N 1 2 288.366 3.830 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679227827 1130505653 /nfs/dbraw/zinc/50/56/53/1130505653.db2.gz TWJMLNHUDFPUQO-RULNRJAQSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679227827 1130505658 /nfs/dbraw/zinc/50/56/58/1130505658.db2.gz TWJMLNHUDFPUQO-RULNRJAQSA-N 1 2 257.299 3.706 20 0 CHADLO Cc1cc(-c2cccc3cccnc32)cn2cc[nH+]c12 ZINC001240894532 1130506790 /nfs/dbraw/zinc/50/67/90/1130506790.db2.gz HIHFNOZQLPEBJZ-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cc(-c2cc(Cl)ccc2N)c2[nH+]ccn2c1 ZINC001243484552 1130512338 /nfs/dbraw/zinc/51/23/38/1130512338.db2.gz NLGNPESCWIOXKF-UHFFFAOYSA-N 1 2 257.724 3.545 20 0 CHADLO COc1cccc(C[N@@H+]2CC[C@H](C)[C@H](F)C2)c1OC(C)C ZINC000679359952 1130521740 /nfs/dbraw/zinc/52/17/40/1130521740.db2.gz SXPJEKQWZYNHCS-DZGCQCFKSA-N 1 2 295.398 3.662 20 0 CHADLO COc1cccc(C[N@H+]2CC[C@H](C)[C@H](F)C2)c1OC(C)C ZINC000679359952 1130521744 /nfs/dbraw/zinc/52/17/44/1130521744.db2.gz SXPJEKQWZYNHCS-DZGCQCFKSA-N 1 2 295.398 3.662 20 0 CHADLO CC(C)(C)OCc1ccc(-c2nc3[nH]ccc3c[nH+]2)cc1 ZINC001240940657 1130526237 /nfs/dbraw/zinc/52/62/37/1130526237.db2.gz KGHGHTCGPJCBMF-UHFFFAOYSA-N 1 2 281.359 3.892 20 0 CHADLO Cc1cc(-c2cccc(C(=O)OC(C)C)c2)cc(C)[nH+]1 ZINC001240948020 1130529954 /nfs/dbraw/zinc/52/99/54/1130529954.db2.gz ONHFCRKJHDUCDU-UHFFFAOYSA-N 1 2 269.344 3.931 20 0 CHADLO Cc1cc(N2C[C@@H](C(F)(F)F)CC[C@H]2C)nc(C2CC2)[nH+]1 ZINC000679476906 1130531732 /nfs/dbraw/zinc/53/17/32/1130531732.db2.gz LVSWPRROXPGFRI-PWSUYJOCSA-N 1 2 299.340 3.830 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)cs1 ZINC000679546326 1130537900 /nfs/dbraw/zinc/53/79/00/1130537900.db2.gz OTTPBWGOHVNTHO-VHSXEESVSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)cs1 ZINC000679546326 1130537908 /nfs/dbraw/zinc/53/79/08/1130537908.db2.gz OTTPBWGOHVNTHO-VHSXEESVSA-N 1 2 292.370 3.868 20 0 CHADLO Fc1ncccc1C[NH2+]Cc1cccc(Cl)c1Cl ZINC000793285815 1130537983 /nfs/dbraw/zinc/53/79/83/1130537983.db2.gz XDUFRKIKHIFMLM-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000679541116 1130538371 /nfs/dbraw/zinc/53/83/71/1130538371.db2.gz IHDRUDVQDNJWJQ-KOLCDFICSA-N 1 2 288.313 3.715 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1ncc(C2CC2)o1 ZINC000679541116 1130538374 /nfs/dbraw/zinc/53/83/74/1130538374.db2.gz IHDRUDVQDNJWJQ-KOLCDFICSA-N 1 2 288.313 3.715 20 0 CHADLO Fc1cccc(Cl)c1C[N@H+]1CCC[C@H](OC(F)F)C1 ZINC000679676917 1130551013 /nfs/dbraw/zinc/55/10/13/1130551013.db2.gz PMWQKVNFZVVXSM-VIFPVBQESA-N 1 2 293.716 3.683 20 0 CHADLO Fc1cccc(Cl)c1C[N@@H+]1CCC[C@H](OC(F)F)C1 ZINC000679676917 1130551017 /nfs/dbraw/zinc/55/10/17/1130551017.db2.gz PMWQKVNFZVVXSM-VIFPVBQESA-N 1 2 293.716 3.683 20 0 CHADLO COc1cc([NH2+]CCC(C)(C)C)c(OC)c(C)c1OC ZINC000793396701 1130553125 /nfs/dbraw/zinc/55/31/25/1130553125.db2.gz YDDCFTGIWNMRQZ-UHFFFAOYSA-N 1 2 281.396 3.869 20 0 CHADLO Cc1ccncc1[C@H](C)Nc1nc(C)[nH+]c2c1CCCC2 ZINC000679839373 1130558876 /nfs/dbraw/zinc/55/88/76/1130558876.db2.gz SCGHHYFZSKSEIE-LBPRGKRZSA-N 1 2 282.391 3.540 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1ncc(-c2ccccc2)o1 ZINC000679942715 1130563843 /nfs/dbraw/zinc/56/38/43/1130563843.db2.gz OBJRWZCKOQEZSX-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1ncc(-c2cccs2)o1 ZINC000679939720 1130564235 /nfs/dbraw/zinc/56/42/35/1130564235.db2.gz HBNDJOPQBPIQHH-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2c(F)cccc2OC)c1 ZINC001241013038 1130566215 /nfs/dbraw/zinc/56/62/15/1130566215.db2.gz VAQOROCMBREJMC-UHFFFAOYSA-N 1 2 273.307 3.863 20 0 CHADLO COc1cccc(F)c1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001241014281 1130567215 /nfs/dbraw/zinc/56/72/15/1130567215.db2.gz VFRXGFKVBJGMBP-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO Cc1cnc(Nc2ccc([NH+]3CCCCC3)cc2)nc1 ZINC000758129920 1130599135 /nfs/dbraw/zinc/59/91/35/1130599135.db2.gz MIUHNHMFFAGFFF-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO C[C@@H]1C[N@H+](Cc2occc2Br)CCC1(F)F ZINC000811511460 1130618686 /nfs/dbraw/zinc/61/86/86/1130618686.db2.gz JFFCVVXTUJSNSI-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2occc2Br)CCC1(F)F ZINC000811511460 1130618688 /nfs/dbraw/zinc/61/86/88/1130618688.db2.gz JFFCVVXTUJSNSI-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H]2CCC(F)(F)C2)cc1 ZINC001234590365 1130659663 /nfs/dbraw/zinc/65/96/63/1130659663.db2.gz QVTSVZIYPOWJDB-GFCCVEGCSA-N 1 2 269.291 3.615 20 0 CHADLO CCCCOC[C@@H](C)Oc1ccc(C(=[NH2+])OCC)cc1 ZINC001234588286 1130659822 /nfs/dbraw/zinc/65/98/22/1130659822.db2.gz KTJIMVSEGXOYJN-CYBMUJFWSA-N 1 2 279.380 3.632 20 0 CHADLO Nc1ccc(N[C@@H](Cc2ccccc2)c2nccs2)c[nH+]1 ZINC001170661013 1130668196 /nfs/dbraw/zinc/66/81/96/1130668196.db2.gz MHBVXQABYTVBLA-AWEZNQCLSA-N 1 2 296.399 3.516 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)o1 ZINC000780386949 1130679042 /nfs/dbraw/zinc/67/90/42/1130679042.db2.gz QSKYOHSNOUWFIG-MNOVXSKESA-N 1 2 290.329 3.961 20 0 CHADLO CC(C)c1cnc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)o1 ZINC000780386949 1130679045 /nfs/dbraw/zinc/67/90/45/1130679045.db2.gz QSKYOHSNOUWFIG-MNOVXSKESA-N 1 2 290.329 3.961 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@H]1CCCOC1 ZINC000780737677 1130689151 /nfs/dbraw/zinc/68/91/51/1130689151.db2.gz AOBZBSFEJGMSGZ-HUUCEWRRSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@@H]1CCCOC1 ZINC000780737677 1130689153 /nfs/dbraw/zinc/68/91/53/1130689153.db2.gz AOBZBSFEJGMSGZ-HUUCEWRRSA-N 1 2 274.408 3.514 20 0 CHADLO CC1C[NH+](Cc2cccc(Br)c2Cl)C1 ZINC001235595023 1130757596 /nfs/dbraw/zinc/75/75/96/1130757596.db2.gz WRAMUTYGJHKWDM-UHFFFAOYSA-N 1 2 274.589 3.554 20 0 CHADLO Cc1nc2cc(Nc3[nH+]cnc4[nH]ccc43)ccc2s1 ZINC000781396646 1130716306 /nfs/dbraw/zinc/71/63/06/1130716306.db2.gz OXQQLDMQYCMKOD-UHFFFAOYSA-N 1 2 281.344 3.620 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CC[C@H](C(F)(F)F)C2)c(F)c1 ZINC001235203683 1130724265 /nfs/dbraw/zinc/72/42/65/1130724265.db2.gz VVULBSDICGYUAX-VIFPVBQESA-N 1 2 279.252 3.657 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CC[C@H](C(F)(F)F)C2)c(F)c1 ZINC001235203683 1130724268 /nfs/dbraw/zinc/72/42/68/1130724268.db2.gz VVULBSDICGYUAX-VIFPVBQESA-N 1 2 279.252 3.657 20 0 CHADLO FC(F)(F)c1ccc(Cl)nc1C[NH+]1C2CCC1CC2 ZINC001235235181 1130725315 /nfs/dbraw/zinc/72/53/15/1130725315.db2.gz UBBNPCJURAKGAM-UHFFFAOYSA-N 1 2 290.716 3.881 20 0 CHADLO Cc1ccc(NCc2cnc3ccccc3c2)c(C)[nH+]1 ZINC000772944546 1130730830 /nfs/dbraw/zinc/73/08/30/1130730830.db2.gz WQHWGWAWFVHVJV-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO CC1(C)CCC[N@@H+]1Cc1cc(F)c(F)c(F)c1F ZINC001235337828 1130733497 /nfs/dbraw/zinc/73/34/97/1130733497.db2.gz DTZJCNJKTJSDGT-UHFFFAOYSA-N 1 2 261.262 3.617 20 0 CHADLO CC1(C)CCC[N@H+]1Cc1cc(F)c(F)c(F)c1F ZINC001235337828 1130733503 /nfs/dbraw/zinc/73/35/03/1130733503.db2.gz DTZJCNJKTJSDGT-UHFFFAOYSA-N 1 2 261.262 3.617 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCC[C@H]3C[C@H]32)c(Br)c1 ZINC001235466770 1130746984 /nfs/dbraw/zinc/74/69/84/1130746984.db2.gz KFGXJUJBGXFDCC-IINYFYTJSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCC[C@H]3C[C@H]32)c(Br)c1 ZINC001235466770 1130746989 /nfs/dbraw/zinc/74/69/89/1130746989.db2.gz KFGXJUJBGXFDCC-IINYFYTJSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCc3ccncc3C2)c1 ZINC001235530686 1130752701 /nfs/dbraw/zinc/75/27/01/1130752701.db2.gz VFLRSICIZQQZDD-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCc3ccncc3C2)c1 ZINC001235530686 1130752707 /nfs/dbraw/zinc/75/27/07/1130752707.db2.gz VFLRSICIZQQZDD-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)[C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001133685846 1130755297 /nfs/dbraw/zinc/75/52/97/1130755297.db2.gz HKBFRYPQVJGBHI-IAGOWNOFSA-N 1 2 294.398 3.643 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2nccc(C)c2Cl)c1 ZINC001235579979 1130755337 /nfs/dbraw/zinc/75/53/37/1130755337.db2.gz KUCOINDDAHBFOX-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2nccc(C)c2Cl)c1 ZINC001235579979 1130755342 /nfs/dbraw/zinc/75/53/42/1130755342.db2.gz KUCOINDDAHBFOX-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CC[C@H](Cc1cccc(C)c1)C(=O)Nc1c(C)c[nH+]cc1C ZINC001133686201 1130755776 /nfs/dbraw/zinc/75/57/76/1130755776.db2.gz XGUPEMXPIRIGPC-QGZVFWFLSA-N 1 2 296.414 3.636 20 0 CHADLO CC[N@H+](Cc1cc(Cl)c(Cl)c(Cl)c1)C1COC1 ZINC001235598925 1130757301 /nfs/dbraw/zinc/75/73/01/1130757301.db2.gz SLXKXHALRDUPEF-UHFFFAOYSA-N 1 2 294.609 3.868 20 0 CHADLO CC[N@@H+](Cc1cc(Cl)c(Cl)c(Cl)c1)C1COC1 ZINC001235598925 1130757305 /nfs/dbraw/zinc/75/73/05/1130757305.db2.gz SLXKXHALRDUPEF-UHFFFAOYSA-N 1 2 294.609 3.868 20 0 CHADLO CC[N@H+](Cc1c(Br)ccc(C)c1F)C1CC1 ZINC001235741516 1130774994 /nfs/dbraw/zinc/77/49/94/1130774994.db2.gz HWBLPLDMNMQURE-UHFFFAOYSA-N 1 2 286.188 3.881 20 0 CHADLO CC[N@@H+](Cc1c(Br)ccc(C)c1F)C1CC1 ZINC001235741516 1130775001 /nfs/dbraw/zinc/77/50/01/1130775001.db2.gz HWBLPLDMNMQURE-UHFFFAOYSA-N 1 2 286.188 3.881 20 0 CHADLO c1cc(C[N@@H+]2CCc3ccc(-c4ccccc4)cc3C2)n[nH]1 ZINC001170712310 1130783608 /nfs/dbraw/zinc/78/36/08/1130783608.db2.gz RGMLXHDTTMRZMC-UHFFFAOYSA-N 1 2 289.382 3.635 20 0 CHADLO c1cc(C[N@H+]2CCc3ccc(-c4ccccc4)cc3C2)n[nH]1 ZINC001170712310 1130783615 /nfs/dbraw/zinc/78/36/15/1130783615.db2.gz RGMLXHDTTMRZMC-UHFFFAOYSA-N 1 2 289.382 3.635 20 0 CHADLO COc1nccc(C[N@@H+]2CC[C@H]2c2ccccc2)c1Cl ZINC001235839661 1130784749 /nfs/dbraw/zinc/78/47/49/1130784749.db2.gz PXPZWXCOKWLHNH-AWEZNQCLSA-N 1 2 288.778 3.691 20 0 CHADLO COc1nccc(C[N@H+]2CC[C@H]2c2ccccc2)c1Cl ZINC001235839661 1130784754 /nfs/dbraw/zinc/78/47/54/1130784754.db2.gz PXPZWXCOKWLHNH-AWEZNQCLSA-N 1 2 288.778 3.691 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCC23CCCC3)c(OC)c1 ZINC000694468889 1130787076 /nfs/dbraw/zinc/78/70/76/1130787076.db2.gz IVZLRCAAOGBSGU-OAHLLOKOSA-N 1 2 261.365 3.839 20 0 CHADLO CCCCCC[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000813855378 1130789300 /nfs/dbraw/zinc/78/93/00/1130789300.db2.gz OWOAOYZPYJUVCN-CQSZACIVSA-N 1 2 264.417 3.596 20 0 CHADLO Cc1cccc(C)c1CO[NH+]=C(N)Cc1cccc(F)c1 ZINC000783150984 1130798614 /nfs/dbraw/zinc/79/86/14/1130798614.db2.gz HGBDNOCYECEJAZ-UHFFFAOYSA-N 1 2 286.350 3.684 20 0 CHADLO Cc1cc(CO[NH+]=C(N)Cc2cccc(F)c2)c(C)s1 ZINC000783159581 1130799944 /nfs/dbraw/zinc/79/99/44/1130799944.db2.gz YRMVSNLPVLHYRT-UHFFFAOYSA-N 1 2 292.379 3.745 20 0 CHADLO COC[C@H](Nc1ccc([NH+](C)C)c(C)c1)C1CCCC1 ZINC000783286495 1130811370 /nfs/dbraw/zinc/81/13/70/1130811370.db2.gz YPDWXJVWHCGTOH-INIZCTEOSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1ccc(CSCC(=O)OC2CCCCC2)c(C)[nH+]1 ZINC000783468319 1130827992 /nfs/dbraw/zinc/82/79/92/1130827992.db2.gz ARMPUAMQKVFPJW-UHFFFAOYSA-N 1 2 293.432 3.808 20 0 CHADLO C[C@H](Nc1cc(N(C)C)cc(Cl)[nH+]1)c1cccc(N)c1 ZINC001170729332 1130830344 /nfs/dbraw/zinc/83/03/44/1130830344.db2.gz MBCNRIZRTGDPGG-JTQLQIEISA-N 1 2 290.798 3.556 20 0 CHADLO COc1cccc2[nH+]c(N[C@@H](C)c3cccc(N)c3)ccc21 ZINC001170728278 1130831970 /nfs/dbraw/zinc/83/19/70/1130831970.db2.gz WTJNQPJKTUDHQD-LBPRGKRZSA-N 1 2 293.370 3.999 20 0 CHADLO CC(C)CCC[NH2+]c1ccc(N2CCOC[C@H]2C)cc1 ZINC000783583603 1130840379 /nfs/dbraw/zinc/84/03/79/1130840379.db2.gz JEJXTIISFOVUJC-OAHLLOKOSA-N 1 2 276.424 3.760 20 0 CHADLO CC(C)CCC[NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1 ZINC000783585201 1130840472 /nfs/dbraw/zinc/84/04/72/1130840472.db2.gz QGAMUMILYVXDSS-HNNXBMFYSA-N 1 2 276.424 3.760 20 0 CHADLO CCC[C@H](CC1CCCC1)C(=O)OCCCn1cc[nH+]c1 ZINC000783669469 1130845587 /nfs/dbraw/zinc/84/55/87/1130845587.db2.gz INWYXPFMFMYYEG-MRXNPFEDSA-N 1 2 292.423 3.813 20 0 CHADLO C[C@H](Nc1cccc(-c2c[nH+]cn2C)c1)c1cccc(N)c1 ZINC001170734853 1130849284 /nfs/dbraw/zinc/84/92/84/1130849284.db2.gz GVKJNUTUYGGGCF-ZDUSSCGKSA-N 1 2 292.386 3.842 20 0 CHADLO Nc1ccc2c(c1)CC[N@@H+](Cc1cccc(F)c1Cl)C2 ZINC001236104902 1130864201 /nfs/dbraw/zinc/86/42/01/1130864201.db2.gz HPBDPXDTCGVKTL-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc2c(c1)CC[N@H+](Cc1cccc(F)c1Cl)C2 ZINC001236104902 1130864207 /nfs/dbraw/zinc/86/42/07/1130864207.db2.gz HPBDPXDTCGVKTL-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc2c(c1)CC[N@@H+](Cc1cccc(Cl)c1F)C2 ZINC001236105603 1130864233 /nfs/dbraw/zinc/86/42/33/1130864233.db2.gz QWJFKXHDIPDPRR-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc2c(c1)CC[N@H+](Cc1cccc(Cl)c1F)C2 ZINC001236105603 1130864236 /nfs/dbraw/zinc/86/42/36/1130864236.db2.gz QWJFKXHDIPDPRR-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Cc1ccccc1[C@@H](C)OC(=O)[C@H](c1ccccc1)[NH+](C)C ZINC000784601149 1130911844 /nfs/dbraw/zinc/91/18/44/1130911844.db2.gz JNKBEULKYSPGBB-QAPCUYQASA-N 1 2 297.398 3.902 20 0 CHADLO Cc1ccccc1[C@H](C)OC(=O)[C@@H](c1ccccc1)[NH+](C)C ZINC000784601145 1130911926 /nfs/dbraw/zinc/91/19/26/1130911926.db2.gz JNKBEULKYSPGBB-MAUKXSAKSA-N 1 2 297.398 3.902 20 0 CHADLO Nc1ccc(-c2cc(F)c(OC(F)(F)F)c(F)c2)c[nH+]1 ZINC001236313362 1130912398 /nfs/dbraw/zinc/91/23/98/1130912398.db2.gz PEILGXCSNNSODZ-UHFFFAOYSA-N 1 2 290.191 3.508 20 0 CHADLO Cc1c(C)c(-c2ccc(N)[nH+]c2)ccc1OC(C)C ZINC001236315948 1130913079 /nfs/dbraw/zinc/91/30/79/1130913079.db2.gz FKDHJHJMQSRWDE-UHFFFAOYSA-N 1 2 256.349 3.735 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(NC(=O)c2ccccc2)cc1 ZINC001236332635 1130915210 /nfs/dbraw/zinc/91/52/10/1130915210.db2.gz CBHZLAXRPJYANX-ACFHMISVSA-N 1 2 278.355 3.815 20 0 CHADLO CC(C)Oc1cc(-c2ccc[nH+]c2N)ccc1Cl ZINC001236411630 1130932348 /nfs/dbraw/zinc/93/23/48/1130932348.db2.gz YYADNOVNGGWNRM-UHFFFAOYSA-N 1 2 262.740 3.771 20 0 CHADLO CCC[C@](C)(CC)C(=O)OCc1cccc2[nH+]ccn21 ZINC000796783128 1130932400 /nfs/dbraw/zinc/93/24/00/1130932400.db2.gz GQOSXLPPYUTEIK-INIZCTEOSA-N 1 2 274.364 3.594 20 0 CHADLO Fc1cc(F)c(C[NH2+]Cc2cccc(F)c2F)c(F)c1 ZINC000815151693 1130961911 /nfs/dbraw/zinc/96/19/11/1130961911.db2.gz BLUFRTMVLPXQMZ-UHFFFAOYSA-N 1 2 287.231 3.672 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(COc3ccccc3)n2)[C@H]1C ZINC000815163945 1130963914 /nfs/dbraw/zinc/96/39/14/1130963914.db2.gz XUCFNVGARWNLEI-OLZOCXBDSA-N 1 2 288.416 3.562 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(COc3ccccc3)n2)[C@H]1C ZINC000815163945 1130963917 /nfs/dbraw/zinc/96/39/17/1130963917.db2.gz XUCFNVGARWNLEI-OLZOCXBDSA-N 1 2 288.416 3.562 20 0 CHADLO CC[C@H](C)[N@H+](C)Cc1c(Br)ccnc1Cl ZINC001236587414 1130981560 /nfs/dbraw/zinc/98/15/60/1130981560.db2.gz FAYDLQXMTXQJBT-QMMMGPOBSA-N 1 2 291.620 3.728 20 0 CHADLO CC[C@H](C)[N@@H+](C)Cc1c(Br)ccnc1Cl ZINC001236587414 1130981565 /nfs/dbraw/zinc/98/15/65/1130981565.db2.gz FAYDLQXMTXQJBT-QMMMGPOBSA-N 1 2 291.620 3.728 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2CCC3(CC3)C2)cnc1Cl ZINC001236629092 1130984538 /nfs/dbraw/zinc/98/45/38/1130984538.db2.gz OZEYCDGJPDFVSZ-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2CCC3(CC3)C2)cnc1Cl ZINC001236629092 1130984542 /nfs/dbraw/zinc/98/45/42/1130984542.db2.gz OZEYCDGJPDFVSZ-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CC[C@@H]2c2ccccc2)n1 ZINC001236642471 1130988471 /nfs/dbraw/zinc/98/84/71/1130988471.db2.gz GSVFXWLFXHKZFZ-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CC[C@@H]2c2ccccc2)n1 ZINC001236642471 1130988472 /nfs/dbraw/zinc/98/84/72/1130988472.db2.gz GSVFXWLFXHKZFZ-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO C[C@H]1COCC[N@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236656639 1130989952 /nfs/dbraw/zinc/98/99/52/1130989952.db2.gz RQHMXFPMYRTEAA-SECBINFHSA-N 1 2 292.181 3.601 20 0 CHADLO C[C@H]1COCC[N@@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236656639 1130989953 /nfs/dbraw/zinc/98/99/53/1130989953.db2.gz RQHMXFPMYRTEAA-SECBINFHSA-N 1 2 292.181 3.601 20 0 CHADLO c1cc2c(cccc2C[N@@H+]2Cc3cccnc3C2)s1 ZINC001236683932 1130994557 /nfs/dbraw/zinc/99/45/57/1130994557.db2.gz XRZHOVOHQVRWPN-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO c1cc2c(cccc2C[N@H+]2Cc3cccnc3C2)s1 ZINC001236683932 1130994559 /nfs/dbraw/zinc/99/45/59/1130994559.db2.gz XRZHOVOHQVRWPN-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cc(C)nc(Cl)c2)on1 ZINC000797589633 1130996408 /nfs/dbraw/zinc/99/64/08/1130996408.db2.gz DVDVMJPDRZFJBM-ZDUSSCGKSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cc(C)nc(Cl)c2)on1 ZINC000797589633 1130996411 /nfs/dbraw/zinc/99/64/11/1130996411.db2.gz DVDVMJPDRZFJBM-ZDUSSCGKSA-N 1 2 291.782 3.677 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cc(C)nc(Cl)c2)cs1 ZINC000797600466 1130997449 /nfs/dbraw/zinc/99/74/49/1130997449.db2.gz VCUPBYUWIYVEKZ-JTQLQIEISA-N 1 2 295.839 3.913 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+][C@H]1CCCC1(F)F ZINC000815627001 1131011054 /nfs/dbraw/zinc/01/10/54/1131011054.db2.gz QQRRASXWFJSFFZ-GWCFXTLKSA-N 1 2 257.299 3.973 20 0 CHADLO COc1c(C)cc([C@H](C)[NH2+]CC(C)(F)F)cc1Cl ZINC000815644427 1131015376 /nfs/dbraw/zinc/01/53/76/1131015376.db2.gz AHVBPPCSRKCVGB-VIFPVBQESA-N 1 2 277.742 3.963 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccsc2)c(F)cc1F ZINC001236835032 1131020000 /nfs/dbraw/zinc/02/00/00/1131020000.db2.gz NOJVRMQIGDSQKV-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccsc2)c(F)cc1F ZINC001236835032 1131020004 /nfs/dbraw/zinc/02/00/04/1131020004.db2.gz NOJVRMQIGDSQKV-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO CCOc1cncc(C[N@H+](CC)Cc2ccccc2F)c1 ZINC001236845294 1131021352 /nfs/dbraw/zinc/02/13/52/1131021352.db2.gz IJJVDJHRQDCMMB-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CCOc1cncc(C[N@@H+](CC)Cc2ccccc2F)c1 ZINC001236845294 1131021358 /nfs/dbraw/zinc/02/13/58/1131021358.db2.gz IJJVDJHRQDCMMB-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO Cc1cc(-c2ccc(OC(F)F)c(C)c2)c[nH+]c1N ZINC001245538956 1131027824 /nfs/dbraw/zinc/02/78/24/1131027824.db2.gz KVGDUIGEQDHCAU-UHFFFAOYSA-N 1 2 264.275 3.549 20 0 CHADLO Cc1ccc2cc(NCOc3ccccc3)[nH+]cc2c1 ZINC001170805565 1131031373 /nfs/dbraw/zinc/03/13/73/1131031373.db2.gz BPDLPRLSWRIQJM-UHFFFAOYSA-N 1 2 264.328 3.992 20 0 CHADLO CC(C)C[C@@H](C(=O)NC1CCCCCCC1)n1cc[nH+]c1 ZINC001135373564 1131051947 /nfs/dbraw/zinc/05/19/47/1131051947.db2.gz UJRAJSHDKNPZIT-INIZCTEOSA-N 1 2 291.439 3.699 20 0 CHADLO COc1cc(C)[nH+]cc1-c1cc2cc(C)ccc2[nH]1 ZINC001245573054 1131057444 /nfs/dbraw/zinc/05/74/44/1131057444.db2.gz YNOVDKDVFJVKAC-UHFFFAOYSA-N 1 2 252.317 3.855 20 0 CHADLO CNc1cc(-c2cc3c(cccc3Cl)[nH]2)cc[nH+]1 ZINC001245579700 1131059887 /nfs/dbraw/zinc/05/98/87/1131059887.db2.gz APKHKDGVGPEBAU-UHFFFAOYSA-N 1 2 257.724 3.925 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2ccc3nccc(Cl)c3c2)C1 ZINC001237267522 1131073818 /nfs/dbraw/zinc/07/38/18/1131073818.db2.gz AJXBAEWZDMDBHI-LBPRGKRZSA-N 1 2 278.758 3.822 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2ccc3nccc(Cl)c3c2)C1 ZINC001237267522 1131073821 /nfs/dbraw/zinc/07/38/21/1131073821.db2.gz AJXBAEWZDMDBHI-LBPRGKRZSA-N 1 2 278.758 3.822 20 0 CHADLO Cc1ccc(Cl)c(CN2CCn3c[nH+]cc3C2)c1Cl ZINC001237329292 1131085068 /nfs/dbraw/zinc/08/50/68/1131085068.db2.gz BUTBWYPHNBWPRN-UHFFFAOYSA-N 1 2 296.201 3.514 20 0 CHADLO CCc1cccc(C)c1C[N@H+](C)Cc1nccs1 ZINC001237330216 1131085763 /nfs/dbraw/zinc/08/57/63/1131085763.db2.gz NZMZTMFHKISNJT-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1cccc(C)c1C[N@@H+](C)Cc1nccs1 ZINC001237330216 1131085767 /nfs/dbraw/zinc/08/57/67/1131085767.db2.gz NZMZTMFHKISNJT-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO Cc1ccc(Cl)c(C[NH+]2CC3(CSC3)C2)c1Cl ZINC001237340393 1131089340 /nfs/dbraw/zinc/08/93/40/1131089340.db2.gz WXGKTMPSVGKNQW-UHFFFAOYSA-N 1 2 288.243 3.851 20 0 CHADLO Cn1ncc(C[N@@H+]2CCC[C@@H]2c2cccc(F)c2)c1Cl ZINC000816486617 1131098209 /nfs/dbraw/zinc/09/82/09/1131098209.db2.gz KXUZBNLEBOUNRH-CQSZACIVSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1ncc(C[N@H+]2CCC[C@@H]2c2cccc(F)c2)c1Cl ZINC000816486617 1131098213 /nfs/dbraw/zinc/09/82/13/1131098213.db2.gz KXUZBNLEBOUNRH-CQSZACIVSA-N 1 2 293.773 3.550 20 0 CHADLO CC1(C)CC[N@H+](Cc2cc(Cl)nc(C(F)(F)F)c2)C1 ZINC001237493167 1131104577 /nfs/dbraw/zinc/10/45/77/1131104577.db2.gz FUDYVIIKVYSLBU-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO CC1(C)CC[N@@H+](Cc2cc(Cl)nc(C(F)(F)F)c2)C1 ZINC001237493167 1131104580 /nfs/dbraw/zinc/10/45/80/1131104580.db2.gz FUDYVIIKVYSLBU-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cccc(C)c1OC)C2 ZINC001237508798 1131107845 /nfs/dbraw/zinc/10/78/45/1131107845.db2.gz SKQNZFJRAOPYKV-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cccc(C)c1OC)C2 ZINC001237508798 1131107846 /nfs/dbraw/zinc/10/78/46/1131107846.db2.gz SKQNZFJRAOPYKV-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO Fc1cccc2c(C[N@@H+]3Cc4cccnc4C3)cccc12 ZINC001237522017 1131109764 /nfs/dbraw/zinc/10/97/64/1131109764.db2.gz OCHPYIIGOTWONY-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1cccc2c(C[N@H+]3Cc4cccnc4C3)cccc12 ZINC001237522017 1131109768 /nfs/dbraw/zinc/10/97/68/1131109768.db2.gz OCHPYIIGOTWONY-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237536074 1131111543 /nfs/dbraw/zinc/11/15/43/1131111543.db2.gz XXDIPFGHZQHPKD-VIFPVBQESA-N 1 2 289.206 3.859 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1cc(Cl)nc(Cl)c1O ZINC001237536074 1131111545 /nfs/dbraw/zinc/11/15/45/1131111545.db2.gz XXDIPFGHZQHPKD-VIFPVBQESA-N 1 2 289.206 3.859 20 0 CHADLO Cc1cc(Cl)nc(CNc2[nH+]c3ccccc3n2C)c1 ZINC000799964815 1131117349 /nfs/dbraw/zinc/11/73/49/1131117349.db2.gz JKHGRZLQPBQGBZ-UHFFFAOYSA-N 1 2 286.766 3.542 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2cccnc2C)c(F)c1 ZINC001237633858 1131122259 /nfs/dbraw/zinc/12/22/59/1131122259.db2.gz XRBOWKPYJBDMJR-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2cccnc2C)c(F)c1 ZINC001237633858 1131122263 /nfs/dbraw/zinc/12/22/63/1131122263.db2.gz XRBOWKPYJBDMJR-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO Cc1cc(NC[C@H](O)C2CCCCC2)[nH+]c2ccccc12 ZINC001115971977 1131124318 /nfs/dbraw/zinc/12/43/18/1131124318.db2.gz IXNUPCPZFKJFMK-KRWDZBQOSA-N 1 2 284.403 3.896 20 0 CHADLO Cc1cc(C[NH+]2CC3(C2)CCOC3)c(Cl)cc1Cl ZINC001237703232 1131131551 /nfs/dbraw/zinc/13/15/51/1131131551.db2.gz ZQJLOFSHQLAQTO-UHFFFAOYSA-N 1 2 286.202 3.524 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2CCc3ncccc3C2)c1 ZINC001237704803 1131131579 /nfs/dbraw/zinc/13/15/79/1131131579.db2.gz RFKHBVKQHDQEOJ-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2CCc3ncccc3C2)c1 ZINC001237704803 1131131580 /nfs/dbraw/zinc/13/15/80/1131131580.db2.gz RFKHBVKQHDQEOJ-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO CON(Cc1cc(C)cc(C)[nH+]1)C[C@H]1CCC[C@H](C)C1 ZINC001170848110 1131131759 /nfs/dbraw/zinc/13/17/59/1131131759.db2.gz WDILYDXDFJQSTG-BBRMVZONSA-N 1 2 276.424 3.888 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC001237733871 1131135437 /nfs/dbraw/zinc/13/54/37/1131135437.db2.gz WKMPSEARUVRDIN-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC001237733871 1131135440 /nfs/dbraw/zinc/13/54/40/1131135440.db2.gz WKMPSEARUVRDIN-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO CC[C@H]1CCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237988371 1131158668 /nfs/dbraw/zinc/15/86/68/1131158668.db2.gz HKASTZFTLUNREF-JTQLQIEISA-N 1 2 257.736 3.559 20 0 CHADLO CC[C@H]1CCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237988371 1131158671 /nfs/dbraw/zinc/15/86/71/1131158671.db2.gz HKASTZFTLUNREF-JTQLQIEISA-N 1 2 257.736 3.559 20 0 CHADLO c1coc(C[N@H+](Cc2cnc(C3CC3)s2)C2CC2)c1 ZINC001237791895 1131141199 /nfs/dbraw/zinc/14/11/99/1131141199.db2.gz RNXFFIKWWMZHGZ-UHFFFAOYSA-N 1 2 274.389 3.778 20 0 CHADLO c1coc(C[N@@H+](Cc2cnc(C3CC3)s2)C2CC2)c1 ZINC001237791895 1131141202 /nfs/dbraw/zinc/14/12/02/1131141202.db2.gz RNXFFIKWWMZHGZ-UHFFFAOYSA-N 1 2 274.389 3.778 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1c(F)cc(C(=O)OC(C)(C)C)cc1F ZINC001237864857 1131144966 /nfs/dbraw/zinc/14/49/66/1131144966.db2.gz IQMVJICRUYRBOL-SNVBAGLBSA-N 1 2 297.345 3.514 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1c(F)cc(C(=O)OC(C)(C)C)cc1F ZINC001237864857 1131144969 /nfs/dbraw/zinc/14/49/69/1131144969.db2.gz IQMVJICRUYRBOL-SNVBAGLBSA-N 1 2 297.345 3.514 20 0 CHADLO Cc1[nH]ncc1C[N@@H+]1C[C@H]2CCCC[C@]21c1ccccc1 ZINC001116450040 1131146581 /nfs/dbraw/zinc/14/65/81/1131146581.db2.gz XMWXMQSQEMCDLG-MSOLQXFVSA-N 1 2 281.403 3.619 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+]1CCC[C@@H]1CF ZINC001237907680 1131149972 /nfs/dbraw/zinc/14/99/72/1131149972.db2.gz BMHRFHDZUAEPNN-CQSZACIVSA-N 1 2 269.335 3.548 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+]1CCC[C@@H]1CF ZINC001237907680 1131149974 /nfs/dbraw/zinc/14/99/74/1131149974.db2.gz BMHRFHDZUAEPNN-CQSZACIVSA-N 1 2 269.335 3.548 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@H+](C/C(Cl)=C/Cl)C1 ZINC000817281849 1131150356 /nfs/dbraw/zinc/15/03/56/1131150356.db2.gz QWGZNRRXBZWHFS-HXOPTJOXSA-N 1 2 276.129 3.970 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@@H+](C/C(Cl)=C/Cl)C1 ZINC000817281849 1131150358 /nfs/dbraw/zinc/15/03/58/1131150358.db2.gz QWGZNRRXBZWHFS-HXOPTJOXSA-N 1 2 276.129 3.970 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCC[C@](C)(F)C2)cc1F ZINC001237952418 1131155088 /nfs/dbraw/zinc/15/50/88/1131155088.db2.gz WGBJUVMVLNPQQJ-HNNXBMFYSA-N 1 2 269.335 3.548 20 0 CHADLO CCOc1ccc(C[N@H+]2CCC[C@](C)(F)C2)cc1F ZINC001237952418 1131155091 /nfs/dbraw/zinc/15/50/91/1131155091.db2.gz WGBJUVMVLNPQQJ-HNNXBMFYSA-N 1 2 269.335 3.548 20 0 CHADLO Cc1sccc1C[N@@H+]1CCc2nc(Cl)ccc2C1 ZINC001237972951 1131157071 /nfs/dbraw/zinc/15/70/71/1131157071.db2.gz VLLKOGCENGSFLZ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1sccc1C[N@H+]1CCc2nc(Cl)ccc2C1 ZINC001237972951 1131157074 /nfs/dbraw/zinc/15/70/74/1131157074.db2.gz VLLKOGCENGSFLZ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)c(Cl)c1)CC(C)(C)C ZINC001237989922 1131157613 /nfs/dbraw/zinc/15/76/13/1131157613.db2.gz OXAUGZXSKTVAPM-UHFFFAOYSA-N 1 2 259.752 3.663 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)c(Cl)c1)CC(C)(C)C ZINC001237989922 1131157615 /nfs/dbraw/zinc/15/76/15/1131157615.db2.gz OXAUGZXSKTVAPM-UHFFFAOYSA-N 1 2 259.752 3.663 20 0 CHADLO CC[C@@H]1CCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237988372 1131158179 /nfs/dbraw/zinc/15/81/79/1131158179.db2.gz HKASTZFTLUNREF-SNVBAGLBSA-N 1 2 257.736 3.559 20 0 CHADLO CC[C@@H]1CCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237988372 1131158182 /nfs/dbraw/zinc/15/81/82/1131158182.db2.gz HKASTZFTLUNREF-SNVBAGLBSA-N 1 2 257.736 3.559 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237984328 1131158353 /nfs/dbraw/zinc/15/83/53/1131158353.db2.gz NRWULHGTFZRIAV-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)CC1 ZINC001237984328 1131158356 /nfs/dbraw/zinc/15/83/56/1131158356.db2.gz NRWULHGTFZRIAV-JTQLQIEISA-N 1 2 271.763 3.807 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCC[C@H](F)CC2)cc1Cl ZINC001237985376 1131158901 /nfs/dbraw/zinc/15/89/01/1131158901.db2.gz WJJALRRYAMEJTR-JTQLQIEISA-N 1 2 275.726 3.509 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CCC[C@H](F)CC2)cc1Cl ZINC001237985376 1131158903 /nfs/dbraw/zinc/15/89/03/1131158903.db2.gz WJJALRRYAMEJTR-JTQLQIEISA-N 1 2 275.726 3.509 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCC[C@H]3CCC[C@@H]32)cc1Cl ZINC001237997634 1131159297 /nfs/dbraw/zinc/15/92/97/1131159297.db2.gz NFMBWCXUPAPIEE-RISCZKNCSA-N 1 2 283.774 3.949 20 0 CHADLO Cc1c([C@@H](C)Nc2ccc(C)[nH+]c2C)cnn1C(C)C ZINC001116742622 1131162447 /nfs/dbraw/zinc/16/24/47/1131162447.db2.gz ZJUWJEDTBBEPIN-GFCCVEGCSA-N 1 2 272.396 3.957 20 0 CHADLO COc1ncc(C2CC2)cc1C[N@H+](C)Cc1ccsc1 ZINC001238027509 1131163510 /nfs/dbraw/zinc/16/35/10/1131163510.db2.gz DCSZRRVXLMBLGY-UHFFFAOYSA-N 1 2 288.416 3.661 20 0 CHADLO COc1ncc(C2CC2)cc1C[N@@H+](C)Cc1ccsc1 ZINC001238027509 1131163513 /nfs/dbraw/zinc/16/35/13/1131163513.db2.gz DCSZRRVXLMBLGY-UHFFFAOYSA-N 1 2 288.416 3.661 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)Cc1cc2ccccc2[nH]1 ZINC001116863003 1131167705 /nfs/dbraw/zinc/16/77/05/1131167705.db2.gz MQDDCFKJEOICDL-UHFFFAOYSA-N 1 2 282.391 3.577 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)Cc1cc2ccccc2[nH]1 ZINC001116863003 1131167708 /nfs/dbraw/zinc/16/77/08/1131167708.db2.gz MQDDCFKJEOICDL-UHFFFAOYSA-N 1 2 282.391 3.577 20 0 CHADLO CCOc1cc(C[N@@H+]2CCC[C@@H]2c2ncccn2)ccc1C ZINC001238073430 1131168729 /nfs/dbraw/zinc/16/87/29/1131168729.db2.gz GLSSDEAZQMOIBB-MRXNPFEDSA-N 1 2 297.402 3.521 20 0 CHADLO CCOc1cc(C[N@H+]2CCC[C@@H]2c2ncccn2)ccc1C ZINC001238073430 1131168732 /nfs/dbraw/zinc/16/87/32/1131168732.db2.gz GLSSDEAZQMOIBB-MRXNPFEDSA-N 1 2 297.402 3.521 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116927938 1131170866 /nfs/dbraw/zinc/17/08/66/1131170866.db2.gz FTNGWAZFCCAHET-UWVGGRQHSA-N 1 2 259.802 3.573 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116927938 1131170868 /nfs/dbraw/zinc/17/08/68/1131170868.db2.gz FTNGWAZFCCAHET-UWVGGRQHSA-N 1 2 259.802 3.573 20 0 CHADLO CCC[C@@H](C)[N@@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116918658 1131170996 /nfs/dbraw/zinc/17/09/96/1131170996.db2.gz HXYZSEQLTNSGFH-GHMZBOCLSA-N 1 2 273.829 3.963 20 0 CHADLO CCC[C@@H](C)[N@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116918658 1131170998 /nfs/dbraw/zinc/17/09/98/1131170998.db2.gz HXYZSEQLTNSGFH-GHMZBOCLSA-N 1 2 273.829 3.963 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1cc(C)c(Cl)c(C)c1 ZINC001238094917 1131171378 /nfs/dbraw/zinc/17/13/78/1131171378.db2.gz GJCPYUMHTUATHK-OAHLLOKOSA-N 1 2 279.811 3.900 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1cc(C)c(Cl)c(C)c1 ZINC001238094917 1131171379 /nfs/dbraw/zinc/17/13/79/1131171379.db2.gz GJCPYUMHTUATHK-OAHLLOKOSA-N 1 2 279.811 3.900 20 0 CHADLO COC1([C@H](C)Nc2ccc([NH+](C)C)cc2)CCCC1 ZINC000817580534 1131171967 /nfs/dbraw/zinc/17/19/67/1131171967.db2.gz KRADMNLNQBATGJ-ZDUSSCGKSA-N 1 2 262.397 3.512 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+][C@H](C)c2ccncc2Cl)c1 ZINC000817585412 1131173353 /nfs/dbraw/zinc/17/33/53/1131173353.db2.gz LZNFKQDEHPNXPT-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO CCC[N@@H+](Cc1cc(Cl)c(O)cc1F)CC1CC1 ZINC001238144554 1131174324 /nfs/dbraw/zinc/17/43/24/1131174324.db2.gz VJNMVWCBCYXOEV-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO CCC[N@H+](Cc1cc(Cl)c(O)cc1F)CC1CC1 ZINC001238144554 1131174327 /nfs/dbraw/zinc/17/43/27/1131174327.db2.gz VJNMVWCBCYXOEV-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO FC(F)C1C[NH+](Cc2cccc(-c3cccs3)n2)C1 ZINC001238217172 1131181897 /nfs/dbraw/zinc/18/18/97/1131181897.db2.gz YSRPYFZUNBZUPM-UHFFFAOYSA-N 1 2 280.343 3.507 20 0 CHADLO c1csc(-c2cccc(C[N@@H+]3CCc4occc4C3)n2)c1 ZINC001238214388 1131181936 /nfs/dbraw/zinc/18/19/36/1131181936.db2.gz VQIPEKKPSMUHTD-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO c1csc(-c2cccc(C[N@H+]3CCc4occc4C3)n2)c1 ZINC001238214388 1131181937 /nfs/dbraw/zinc/18/19/37/1131181937.db2.gz VQIPEKKPSMUHTD-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO Cc1c(Cl)ccc(C[N@@H+]2CCc3ncsc3C2)c1C ZINC001238218071 1131183269 /nfs/dbraw/zinc/18/32/69/1131183269.db2.gz HLYUGQDPYTZWOS-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1c(Cl)ccc(C[N@H+]2CCc3ncsc3C2)c1C ZINC001238218071 1131183272 /nfs/dbraw/zinc/18/32/72/1131183272.db2.gz HLYUGQDPYTZWOS-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1c(Cl)ccc(C[NH+]2CC(OC(C)C)C2)c1C ZINC001238223588 1131184318 /nfs/dbraw/zinc/18/43/18/1131184318.db2.gz QWAUXNIBBAQJHH-UHFFFAOYSA-N 1 2 267.800 3.566 20 0 CHADLO CC(C)c1cc(C[NH+]2CCN(CCF)CC2)ccc1Cl ZINC001238228634 1131186328 /nfs/dbraw/zinc/18/63/28/1131186328.db2.gz MILOPXUOMFKUPX-UHFFFAOYSA-N 1 2 298.833 3.551 20 0 CHADLO COc1ccc(C[N@H+](Cc2cc(C)[nH]n2)C(C)(C)C)cc1 ZINC001117646448 1131190937 /nfs/dbraw/zinc/19/09/37/1131190937.db2.gz ZNJZQORXXKQMJQ-UHFFFAOYSA-N 1 2 287.407 3.527 20 0 CHADLO COc1ccc(C[N@@H+](Cc2cc(C)[nH]n2)C(C)(C)C)cc1 ZINC001117646448 1131190940 /nfs/dbraw/zinc/19/09/40/1131190940.db2.gz ZNJZQORXXKQMJQ-UHFFFAOYSA-N 1 2 287.407 3.527 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ccncc2)c(Cl)c1 ZINC001238394027 1131195642 /nfs/dbraw/zinc/19/56/42/1131195642.db2.gz GNZIQBDWGOCMSX-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ccncc2)c(Cl)c1 ZINC001238394027 1131195646 /nfs/dbraw/zinc/19/56/46/1131195646.db2.gz GNZIQBDWGOCMSX-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ccccn2)c(Cl)c1 ZINC001238392982 1131195929 /nfs/dbraw/zinc/19/59/29/1131195929.db2.gz AHMPJUBGCYVZIA-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ccccn2)c(Cl)c1 ZINC001238392982 1131195930 /nfs/dbraw/zinc/19/59/30/1131195930.db2.gz AHMPJUBGCYVZIA-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO Cc1sccc1CNc1[nH+]cccc1OC(C)C ZINC001117746007 1131196124 /nfs/dbraw/zinc/19/61/24/1131196124.db2.gz OJOXIWJLQQFYIT-UHFFFAOYSA-N 1 2 262.378 3.851 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+]C/C=C/C1CC1 ZINC001117749927 1131196599 /nfs/dbraw/zinc/19/65/99/1131196599.db2.gz DGSTWLQGWCZGCG-NFBGWVBBSA-N 1 2 265.347 3.809 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cc(C)ccc1Cl ZINC001238568130 1131216662 /nfs/dbraw/zinc/21/66/62/1131216662.db2.gz CKWHGSHCQLHUDX-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cc(C)ccc1Cl ZINC001238568130 1131216667 /nfs/dbraw/zinc/21/66/67/1131216667.db2.gz CKWHGSHCQLHUDX-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO CCCCc1ccc([C@H]([NH2+]Cc2nnc[nH]2)C(C)C)cc1 ZINC001118495773 1131229199 /nfs/dbraw/zinc/22/91/99/1131229199.db2.gz BZJAMQOQPXTWAR-QGZVFWFLSA-N 1 2 286.423 3.634 20 0 CHADLO CC[N@H+](C)Cc1c(Cl)cccc1Br ZINC001137810277 1131417042 /nfs/dbraw/zinc/41/70/42/1131417042.db2.gz ZHHZGDNWNWXPFF-UHFFFAOYSA-N 1 2 262.578 3.554 20 0 CHADLO CC[N@@H+](C)Cc1c(Cl)cccc1Br ZINC001137810277 1131417047 /nfs/dbraw/zinc/41/70/47/1131417047.db2.gz ZHHZGDNWNWXPFF-UHFFFAOYSA-N 1 2 262.578 3.554 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)cc1 ZINC000823434621 1131426251 /nfs/dbraw/zinc/42/62/51/1131426251.db2.gz GUTCTGLLQRYCAU-HZPDHXFCSA-N 1 2 297.402 3.511 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)cc1 ZINC000823434621 1131426256 /nfs/dbraw/zinc/42/62/56/1131426256.db2.gz GUTCTGLLQRYCAU-HZPDHXFCSA-N 1 2 297.402 3.511 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccnc(Cl)c2F)s1 ZINC000823432088 1131426350 /nfs/dbraw/zinc/42/63/50/1131426350.db2.gz CLXBUZFNUFSPKH-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccnc(Cl)c2F)s1 ZINC000823432088 1131426353 /nfs/dbraw/zinc/42/63/53/1131426353.db2.gz CLXBUZFNUFSPKH-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1CCC2(CC2)C1 ZINC001137877842 1131428131 /nfs/dbraw/zinc/42/81/31/1131428131.db2.gz AGPCIHVGGXMBRJ-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1CCC2(CC2)C1 ZINC001137877842 1131428133 /nfs/dbraw/zinc/42/81/33/1131428133.db2.gz AGPCIHVGGXMBRJ-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCC[C@H]3C[C@H]32)c1Cl ZINC001138006037 1131450097 /nfs/dbraw/zinc/45/00/97/1131450097.db2.gz UHWMIPLGWPGFFX-QPUJVOFHSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCC[C@H]3C[C@H]32)c1Cl ZINC001138006037 1131450100 /nfs/dbraw/zinc/45/01/00/1131450100.db2.gz UHWMIPLGWPGFFX-QPUJVOFHSA-N 1 2 257.711 3.603 20 0 CHADLO C[N@@H+](Cc1c(F)c(F)c(F)c(F)c1F)C(C)(C)C ZINC000830541108 1131461343 /nfs/dbraw/zinc/46/13/43/1131461343.db2.gz HLSGUMFGTLDYAX-UHFFFAOYSA-N 1 2 267.241 3.612 20 0 CHADLO C[N@H+](Cc1c(F)c(F)c(F)c(F)c1F)C(C)(C)C ZINC000830541108 1131461341 /nfs/dbraw/zinc/46/13/41/1131461341.db2.gz HLSGUMFGTLDYAX-UHFFFAOYSA-N 1 2 267.241 3.612 20 0 CHADLO Clc1cccc2[nH]c(C[N@@H+]3CCn4cccc4C3)cc21 ZINC001136860963 1131464821 /nfs/dbraw/zinc/46/48/21/1131464821.db2.gz JSTUYXJXLCMSHV-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Clc1cccc2[nH]c(C[N@H+]3CCn4cccc4C3)cc21 ZINC001136860963 1131464822 /nfs/dbraw/zinc/46/48/22/1131464822.db2.gz JSTUYXJXLCMSHV-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC[C@@H]1CC1CCCC1 ZINC000824383856 1131467268 /nfs/dbraw/zinc/46/72/68/1131467268.db2.gz MJXIUYORJYMMHB-OAHLLOKOSA-N 1 2 297.402 3.748 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1ccnc(Cl)c1F ZINC000824396722 1131467286 /nfs/dbraw/zinc/46/72/86/1131467286.db2.gz UGBSBWBHVWDQLG-ZDUSSCGKSA-N 1 2 293.773 3.852 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1ccnc(Cl)c1F ZINC000824396722 1131467288 /nfs/dbraw/zinc/46/72/88/1131467288.db2.gz UGBSBWBHVWDQLG-ZDUSSCGKSA-N 1 2 293.773 3.852 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](C)OC3(CCCC3)C2)c(Cl)n1 ZINC000830859794 1131471176 /nfs/dbraw/zinc/47/11/76/1131471176.db2.gz ITBFZSPKJCXBSG-ZDUSSCGKSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](C)OC3(CCCC3)C2)c(Cl)n1 ZINC000830859794 1131471177 /nfs/dbraw/zinc/47/11/77/1131471177.db2.gz ITBFZSPKJCXBSG-ZDUSSCGKSA-N 1 2 294.826 3.577 20 0 CHADLO Cc1ccc(-c2ccc(C[NH2+][C@H]3CCn4ccnc43)o2)cc1 ZINC000824521057 1131472344 /nfs/dbraw/zinc/47/23/44/1131472344.db2.gz FFGRNOOFBHMGSP-INIZCTEOSA-N 1 2 293.370 3.686 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc(N3CCCCC3)o2)C[C@H]1F ZINC001138172069 1131474417 /nfs/dbraw/zinc/47/44/17/1131474417.db2.gz KNLJWGBIWCUKML-GDBMZVCRSA-N 1 2 298.377 3.542 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc(N3CCCCC3)o2)C[C@H]1F ZINC001138172069 1131474420 /nfs/dbraw/zinc/47/44/20/1131474420.db2.gz KNLJWGBIWCUKML-GDBMZVCRSA-N 1 2 298.377 3.542 20 0 CHADLO C[N@H+](CCc1ccccc1)Cc1c(F)c(F)cc(F)c1F ZINC001138229401 1131479440 /nfs/dbraw/zinc/47/94/40/1131479440.db2.gz FPCNRRKUALESTQ-UHFFFAOYSA-N 1 2 297.295 3.918 20 0 CHADLO C[N@@H+](CCc1ccccc1)Cc1c(F)c(F)cc(F)c1F ZINC001138229401 1131479441 /nfs/dbraw/zinc/47/94/41/1131479441.db2.gz FPCNRRKUALESTQ-UHFFFAOYSA-N 1 2 297.295 3.918 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2c(F)c(F)cc(F)c2F)CC1 ZINC001138229729 1131479876 /nfs/dbraw/zinc/47/98/76/1131479876.db2.gz RCBYBLSUKLNDOB-CQSZACIVSA-N 1 2 293.279 3.957 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)CC1 ZINC001138229729 1131479877 /nfs/dbraw/zinc/47/98/77/1131479877.db2.gz RCBYBLSUKLNDOB-CQSZACIVSA-N 1 2 293.279 3.957 20 0 CHADLO Cc1ccc(NC(=O)Nc2ccn3cc[nH+]c3c2)cc1C ZINC001202817157 1131492881 /nfs/dbraw/zinc/49/28/81/1131492881.db2.gz IDTHCIPISAQNEE-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Clc1ccc(C2C[NH+](Cc3ccc(Cl)nc3)C2)cc1 ZINC000824988439 1131493863 /nfs/dbraw/zinc/49/38/63/1131493863.db2.gz LLGZEOHQTHFAMI-UHFFFAOYSA-N 1 2 293.197 3.988 20 0 CHADLO CCc1nc(C[NH2+]Cc2cc(Cl)cc(Cl)c2)co1 ZINC000825051215 1131496215 /nfs/dbraw/zinc/49/62/15/1131496215.db2.gz YPWNSBBSCDBYNU-UHFFFAOYSA-N 1 2 285.174 3.834 20 0 CHADLO Clc1cncc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)c1 ZINC001136997720 1131501966 /nfs/dbraw/zinc/50/19/66/1131501966.db2.gz LJVATSFIRBUQSW-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cncc(C[N@H+]2CCOC[C@H]2C2CCCCC2)c1 ZINC001136997720 1131501969 /nfs/dbraw/zinc/50/19/69/1131501969.db2.gz LJVATSFIRBUQSW-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO COc1ccc(C)cc1C[N@H+](C)Cc1cccc(F)c1F ZINC001138489133 1131512521 /nfs/dbraw/zinc/51/25/21/1131512521.db2.gz OLZSKCIBAUNFJI-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(C)cc1C[N@@H+](C)Cc1cccc(F)c1F ZINC001138489133 1131512525 /nfs/dbraw/zinc/51/25/25/1131512525.db2.gz OLZSKCIBAUNFJI-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO O=C(/C=C\C1CCCC1)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000843533031 1131518672 /nfs/dbraw/zinc/51/86/72/1131518672.db2.gz DSZITUFPGBHRAI-YVMONPNESA-N 1 2 299.349 3.696 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ncc(Cl)cc3C2)c(C)s1 ZINC001138570427 1131522034 /nfs/dbraw/zinc/52/20/34/1131522034.db2.gz WXQKXFGILJGFID-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ncc(Cl)cc3C2)c(C)s1 ZINC001138570427 1131522038 /nfs/dbraw/zinc/52/20/38/1131522038.db2.gz WXQKXFGILJGFID-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCOC[C@@H]2C2CC2)c1 ZINC001143684018 1131522141 /nfs/dbraw/zinc/52/21/41/1131522141.db2.gz XPFUDAMBAAYBCX-OAHLLOKOSA-N 1 2 299.336 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCOC[C@@H]2C2CC2)c1 ZINC001143684018 1131522145 /nfs/dbraw/zinc/52/21/45/1131522145.db2.gz XPFUDAMBAAYBCX-OAHLLOKOSA-N 1 2 299.336 3.625 20 0 CHADLO COc1ccc2c(C[N@@H+]3CCn4cccc4[C@@H]3C)c[nH]c2c1 ZINC001138590345 1131524991 /nfs/dbraw/zinc/52/49/91/1131524991.db2.gz PWHNISDYSPGRIN-ZDUSSCGKSA-N 1 2 295.386 3.555 20 0 CHADLO COc1ccc2c(C[N@H+]3CCn4cccc4[C@@H]3C)c[nH]c2c1 ZINC001138590345 1131524995 /nfs/dbraw/zinc/52/49/95/1131524995.db2.gz PWHNISDYSPGRIN-ZDUSSCGKSA-N 1 2 295.386 3.555 20 0 CHADLO CCCC[C@@H]1CCC[C@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001143703722 1131525814 /nfs/dbraw/zinc/52/58/14/1131525814.db2.gz RGUJVTZDRWDKND-TZMCWYRMSA-N 1 2 285.391 3.652 20 0 CHADLO COC(=O)c1cccc([C@H](C)Nc2cc3cc[nH]c3c[nH+]2)c1 ZINC001171097471 1131525921 /nfs/dbraw/zinc/52/59/21/1131525921.db2.gz CBOHHXJECBGMGP-NSHDSACASA-N 1 2 295.342 3.523 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@@H+]1CCc2cc(F)ccc2C1 ZINC001143769689 1131530336 /nfs/dbraw/zinc/53/03/36/1131530336.db2.gz RTFOSBFUVKTUJG-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@H+]1CCc2cc(F)ccc2C1 ZINC001143769689 1131530339 /nfs/dbraw/zinc/53/03/39/1131530339.db2.gz RTFOSBFUVKTUJG-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@@H+]1CCc2c(O)cccc2C1 ZINC001143758143 1131532659 /nfs/dbraw/zinc/53/26/59/1131532659.db2.gz YERWSPVGVBNQFM-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@H+]1CCc2c(O)cccc2C1 ZINC001143758143 1131532661 /nfs/dbraw/zinc/53/26/61/1131532661.db2.gz YERWSPVGVBNQFM-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO OCC[N@H+](Cc1cccs1)Cc1cc(F)ccc1Cl ZINC001138672713 1131535341 /nfs/dbraw/zinc/53/53/41/1131535341.db2.gz NEUVPPBKWCOMQY-UHFFFAOYSA-N 1 2 299.798 3.535 20 0 CHADLO OCC[N@@H+](Cc1cccs1)Cc1cc(F)ccc1Cl ZINC001138672713 1131535346 /nfs/dbraw/zinc/53/53/46/1131535346.db2.gz NEUVPPBKWCOMQY-UHFFFAOYSA-N 1 2 299.798 3.535 20 0 CHADLO Fc1ccc(Cl)c(C[NH+]2CC3(C2)C[C@@H](F)CS3)c1 ZINC001138675103 1131536286 /nfs/dbraw/zinc/53/62/86/1131536286.db2.gz MJGOSZMYPYKWTD-LLVKDONJSA-N 1 2 289.778 3.509 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001138718267 1131540494 /nfs/dbraw/zinc/54/04/94/1131540494.db2.gz KZBQEPXTVUEQTA-CJNGLKHVSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001138718267 1131540497 /nfs/dbraw/zinc/54/04/97/1131540497.db2.gz KZBQEPXTVUEQTA-CJNGLKHVSA-N 1 2 291.822 3.982 20 0 CHADLO CC(C)c1ccc(OC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000844294452 1131548323 /nfs/dbraw/zinc/54/83/23/1131548323.db2.gz FXHZHERGZURHBC-CYBMUJFWSA-N 1 2 272.348 3.563 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1c(Cl)ccc(F)c1F ZINC001143946887 1131558166 /nfs/dbraw/zinc/55/81/66/1131558166.db2.gz ZQZAOHZFCQFOQW-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1c(Cl)ccc(F)c1F ZINC001143946887 1131558171 /nfs/dbraw/zinc/55/81/71/1131558171.db2.gz ZQZAOHZFCQFOQW-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)C(c2ccccc2)c2ccccc2)n1 ZINC001138935517 1131562745 /nfs/dbraw/zinc/56/27/45/1131562745.db2.gz VAOBFTWYAFHNJH-UHFFFAOYSA-N 1 2 291.398 3.940 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)C(c2ccccc2)c2ccccc2)n1 ZINC001138935517 1131562749 /nfs/dbraw/zinc/56/27/49/1131562749.db2.gz VAOBFTWYAFHNJH-UHFFFAOYSA-N 1 2 291.398 3.940 20 0 CHADLO CCCCc1ccc(OC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000844445313 1131566431 /nfs/dbraw/zinc/56/64/31/1131566431.db2.gz DMZBBIILBXZCCS-AWEZNQCLSA-N 1 2 286.375 3.782 20 0 CHADLO Cc1cccc2[nH]cc(C[N@@H+]3CCC(F)(F)[C@@H](F)C3)c21 ZINC001139192393 1131594192 /nfs/dbraw/zinc/59/41/92/1131594192.db2.gz PRNZKMVWBTYIER-ZDUSSCGKSA-N 1 2 282.309 3.655 20 0 CHADLO Cc1cccc2[nH]cc(C[N@H+]3CCC(F)(F)[C@@H](F)C3)c21 ZINC001139192393 1131594195 /nfs/dbraw/zinc/59/41/95/1131594195.db2.gz PRNZKMVWBTYIER-ZDUSSCGKSA-N 1 2 282.309 3.655 20 0 CHADLO CCCC1CC[NH+](Cc2n[nH]c3ccc(F)cc32)CC1 ZINC001144197780 1131595766 /nfs/dbraw/zinc/59/57/66/1131595766.db2.gz XVPIAZPNPMPCDB-UHFFFAOYSA-N 1 2 275.371 3.714 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2ccc(Oc3ccccc3)nc2)C1 ZINC001139245461 1131598279 /nfs/dbraw/zinc/59/82/79/1131598279.db2.gz PGYSAKLPNMYYDG-OAHLLOKOSA-N 1 2 286.350 3.808 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2ccc(Oc3ccccc3)nc2)C1 ZINC001139245461 1131598283 /nfs/dbraw/zinc/59/82/83/1131598283.db2.gz PGYSAKLPNMYYDG-OAHLLOKOSA-N 1 2 286.350 3.808 20 0 CHADLO CC1(F)CC[NH+](Cc2cc(Cl)cnc2Cl)CC1 ZINC001139354627 1131604371 /nfs/dbraw/zinc/60/43/71/1131604371.db2.gz XWLSLMCACRBUDS-UHFFFAOYSA-N 1 2 277.170 3.712 20 0 CHADLO Clc1ccc(-c2ccc(C[N@@H+]3C[C@@H]4C[C@H]3CO4)o2)cc1 ZINC001139417407 1131608120 /nfs/dbraw/zinc/60/81/20/1131608120.db2.gz FDWRZBZGLSXVOV-ZFWWWQNUSA-N 1 2 289.762 3.573 20 0 CHADLO Clc1ccc(-c2ccc(C[N@H+]3C[C@@H]4C[C@H]3CO4)o2)cc1 ZINC001139417407 1131608122 /nfs/dbraw/zinc/60/81/22/1131608122.db2.gz FDWRZBZGLSXVOV-ZFWWWQNUSA-N 1 2 289.762 3.573 20 0 CHADLO C[C@@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1cccc(Cl)c1 ZINC000845282003 1131624607 /nfs/dbraw/zinc/62/46/07/1131624607.db2.gz PZZXQIZERQYDGQ-NWDGAFQWSA-N 1 2 292.766 3.792 20 0 CHADLO CCCCCC[C@H](C)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845448018 1131635302 /nfs/dbraw/zinc/63/53/02/1131635302.db2.gz AHZDOTKQKRDJNS-KBPBESRZSA-N 1 2 266.385 3.736 20 0 CHADLO CCC[C@@H](OC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000845458261 1131636346 /nfs/dbraw/zinc/63/63/46/1131636346.db2.gz DNGCPPUCORTATO-GDBMZVCRSA-N 1 2 286.375 3.919 20 0 CHADLO CC[N@H+](Cc1ccnnc1)Cc1ccc(Cl)cc1Cl ZINC001139986756 1131653551 /nfs/dbraw/zinc/65/35/51/1131653551.db2.gz QWHGSIKAYCHVSY-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC[N@@H+](Cc1ccnnc1)Cc1ccc(Cl)cc1Cl ZINC001139986756 1131653555 /nfs/dbraw/zinc/65/35/55/1131653555.db2.gz QWHGSIKAYCHVSY-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2cnc(Cl)nc2)cc1 ZINC001139987995 1131653843 /nfs/dbraw/zinc/65/38/43/1131653843.db2.gz LIOOJDMSPWDNPF-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2cnc(Cl)nc2)cc1 ZINC001139987995 1131653847 /nfs/dbraw/zinc/65/38/47/1131653847.db2.gz LIOOJDMSPWDNPF-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO C[N@H+](CCCc1ccccc1)Cc1c(F)cc(O)cc1F ZINC001144554985 1131655933 /nfs/dbraw/zinc/65/59/33/1131655933.db2.gz PRXLNNXTLLTMAC-UHFFFAOYSA-N 1 2 291.341 3.735 20 0 CHADLO C[N@@H+](CCCc1ccccc1)Cc1c(F)cc(O)cc1F ZINC001144554985 1131655937 /nfs/dbraw/zinc/65/59/37/1131655937.db2.gz PRXLNNXTLLTMAC-UHFFFAOYSA-N 1 2 291.341 3.735 20 0 CHADLO Oc1ccc(F)c(C[N@@H+]2CCCC[C@H]2c2cccnc2)c1 ZINC001140048568 1131658415 /nfs/dbraw/zinc/65/84/15/1131658415.db2.gz KRSAXWCZNKHKKC-KRWDZBQOSA-N 1 2 286.350 3.654 20 0 CHADLO Oc1ccc(F)c(C[N@H+]2CCCC[C@H]2c2cccnc2)c1 ZINC001140048568 1131658418 /nfs/dbraw/zinc/65/84/18/1131658418.db2.gz KRSAXWCZNKHKKC-KRWDZBQOSA-N 1 2 286.350 3.654 20 0 CHADLO c1csc([C@@H]2CCCN2Cc2[nH+]cn3ccccc23)c1 ZINC001144622823 1131668875 /nfs/dbraw/zinc/66/88/75/1131668875.db2.gz MRJJMYXZFOGSKE-HNNXBMFYSA-N 1 2 283.400 3.733 20 0 CHADLO CC(C)C1CC[NH+](Cc2cc(F)c(O)cc2F)CC1 ZINC001144657910 1131675538 /nfs/dbraw/zinc/67/55/38/1131675538.db2.gz MVLGCSZOLAPBGO-UHFFFAOYSA-N 1 2 269.335 3.538 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1cc(F)c(O)cc1F ZINC001144659501 1131678903 /nfs/dbraw/zinc/67/89/03/1131678903.db2.gz KXNWZIXZQZOPLD-NSHDSACASA-N 1 2 289.325 3.617 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1cc(F)c(O)cc1F ZINC001144659501 1131678904 /nfs/dbraw/zinc/67/89/04/1131678904.db2.gz KXNWZIXZQZOPLD-NSHDSACASA-N 1 2 289.325 3.617 20 0 CHADLO FC1(F)C[C@]12CC[N@H+](Cc1ccnc(Cl)c1Cl)C2 ZINC000846118786 1131688354 /nfs/dbraw/zinc/68/83/54/1131688354.db2.gz ZCQYWQHKAVTPDR-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@]12CC[N@@H+](Cc1ccnc(Cl)c1Cl)C2 ZINC000846118786 1131688358 /nfs/dbraw/zinc/68/83/58/1131688358.db2.gz ZCQYWQHKAVTPDR-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000846118180 1131688471 /nfs/dbraw/zinc/68/84/71/1131688471.db2.gz VELDBJSIEBEMEX-NEPJUHHUSA-N 1 2 288.313 3.761 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@H]3[C@@H](C2)C3(F)F)cc1C(F)(F)F ZINC000846113396 1131688665 /nfs/dbraw/zinc/68/86/65/1131688665.db2.gz XRXXLQJZYKYUPN-AOOOYVTPSA-N 1 2 295.226 3.541 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@H]3[C@@H](C2)C3(F)F)cc1C(F)(F)F ZINC000846113396 1131688669 /nfs/dbraw/zinc/68/86/69/1131688669.db2.gz XRXXLQJZYKYUPN-AOOOYVTPSA-N 1 2 295.226 3.541 20 0 CHADLO CCO[C@@H]1CCC[N@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144726513 1131692020 /nfs/dbraw/zinc/69/20/20/1131692020.db2.gz MSBZUWXROIONGR-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCO[C@@H]1CCC[N@@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144726513 1131692022 /nfs/dbraw/zinc/69/20/22/1131692022.db2.gz MSBZUWXROIONGR-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCSc3ccccc32)co1 ZINC000834901351 1131725010 /nfs/dbraw/zinc/72/50/10/1131725010.db2.gz SNJJNFZUJKRCHR-CYBMUJFWSA-N 1 2 274.389 3.564 20 0 CHADLO CS[C@H]1CCC[C@H]([NH2+]c2ccc(N(C)C)cc2)C1 ZINC000846750170 1131729289 /nfs/dbraw/zinc/72/92/89/1131729289.db2.gz NPRMBBXLHBZLIN-ZFWWWQNUSA-N 1 2 264.438 3.839 20 0 CHADLO CCCCCC[C@H](C)CC(=O)NCC(C)(C)n1cc[nH+]c1 ZINC001149212894 1131734587 /nfs/dbraw/zinc/73/45/87/1131734587.db2.gz FJPSRYJHMNPQAY-HNNXBMFYSA-N 1 2 293.455 3.731 20 0 CHADLO Fc1cc(C[N@@H+]2CC[C@@H](CC(F)(F)F)C2)cnc1Cl ZINC000846875703 1131753772 /nfs/dbraw/zinc/75/37/72/1131753772.db2.gz IWALQDBQNNZBOV-QMMMGPOBSA-N 1 2 296.695 3.648 20 0 CHADLO Fc1cc(C[N@H+]2CC[C@@H](CC(F)(F)F)C2)cnc1Cl ZINC000846875703 1131753776 /nfs/dbraw/zinc/75/37/76/1131753776.db2.gz IWALQDBQNNZBOV-QMMMGPOBSA-N 1 2 296.695 3.648 20 0 CHADLO CCOc1cccc(Cl)c1C[NH+]1CCC(F)(F)CC1 ZINC000846883806 1131755052 /nfs/dbraw/zinc/75/50/52/1131755052.db2.gz VNTZLADLHCSDIO-UHFFFAOYSA-N 1 2 289.753 3.970 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2cnsn2)C2CC2)cc1Cl ZINC000846952228 1131764313 /nfs/dbraw/zinc/76/43/13/1131764313.db2.gz WMZIPLGTRXKOMT-ZDUSSCGKSA-N 1 2 297.786 3.572 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCC(=O)CC23CCC3)cc1 ZINC000846993885 1131767047 /nfs/dbraw/zinc/76/70/47/1131767047.db2.gz NXGYQULSCOHFTK-UHFFFAOYSA-N 1 2 287.403 3.561 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCC(=O)CC23CCC3)cc1 ZINC000846993885 1131767049 /nfs/dbraw/zinc/76/70/49/1131767049.db2.gz NXGYQULSCOHFTK-UHFFFAOYSA-N 1 2 287.403 3.561 20 0 CHADLO c1ccc2c(c1)COC[C@@H]2Nc1ccc(C2CC2)c[nH+]1 ZINC001171293113 1131805174 /nfs/dbraw/zinc/80/51/74/1131805174.db2.gz ADZTUXPRXLHPQM-INIZCTEOSA-N 1 2 266.344 3.642 20 0 CHADLO CCC[C@@H](C)COC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835343789 1131822549 /nfs/dbraw/zinc/82/25/49/1131822549.db2.gz QPHLTEZZVYGINA-CQSZACIVSA-N 1 2 286.375 3.524 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](C)c3cccnc3F)cc2[nH+]1 ZINC001228328842 1131859334 /nfs/dbraw/zinc/85/93/34/1131859334.db2.gz JLZZPQPQJXMBBQ-VIFPVBQESA-N 1 2 271.295 3.545 20 0 CHADLO Cc1[nH]c2ccc(OCc3cccnc3OC(C)C)cc2[nH+]1 ZINC001228329191 1131859649 /nfs/dbraw/zinc/85/96/49/1131859649.db2.gz LZIBYVMNXVLJQM-UHFFFAOYSA-N 1 2 297.358 3.633 20 0 CHADLO Cc1cc(C)c(CNC(=S)Nc2cccc(C)c2C)c[nH+]1 ZINC000840826832 1131862553 /nfs/dbraw/zinc/86/25/53/1131862553.db2.gz WRNAHKDXKOGFGM-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1ccc(F)cc1Cl ZINC000348105885 1131880062 /nfs/dbraw/zinc/88/00/62/1131880062.db2.gz GBKGIYCRZXHXJL-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1ccc(F)cc1Cl ZINC000348105885 1131880078 /nfs/dbraw/zinc/88/00/78/1131880078.db2.gz GBKGIYCRZXHXJL-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140699527 1131880556 /nfs/dbraw/zinc/88/05/56/1131880556.db2.gz SUWCSLRDJRRIPI-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140699527 1131880565 /nfs/dbraw/zinc/88/05/65/1131880565.db2.gz SUWCSLRDJRRIPI-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2coc3ccccc23)no1 ZINC000282707219 1131883258 /nfs/dbraw/zinc/88/32/58/1131883258.db2.gz PHWPQOWYUATZAM-NSHDSACASA-N 1 2 256.305 3.580 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(Br)cc2)no1 ZINC000282736022 1131884534 /nfs/dbraw/zinc/88/45/34/1131884534.db2.gz RFTSQTFMDFGCJK-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO CCOC(=O)[C@@H](C)[N@H+](Cc1cccc(C(F)F)c1)C1CC1 ZINC000348174186 1131897542 /nfs/dbraw/zinc/89/75/42/1131897542.db2.gz BGEAKUGEHSDDCE-LLVKDONJSA-N 1 2 297.345 3.540 20 0 CHADLO CCOC(=O)[C@@H](C)[N@@H+](Cc1cccc(C(F)F)c1)C1CC1 ZINC000348174186 1131897545 /nfs/dbraw/zinc/89/75/45/1131897545.db2.gz BGEAKUGEHSDDCE-LLVKDONJSA-N 1 2 297.345 3.540 20 0 CHADLO O=C(OCC1=CCCCC1)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835817815 1131903643 /nfs/dbraw/zinc/90/36/43/1131903643.db2.gz WUEJPCYFYYMBOO-UHFFFAOYSA-N 1 2 296.370 3.589 20 0 CHADLO Cc1cc(Br)ccc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001140816097 1131933925 /nfs/dbraw/zinc/93/39/25/1131933925.db2.gz VGVXQEJZEQZEMY-UBHSHLNASA-N 1 2 298.199 3.547 20 0 CHADLO Cc1cc(Br)ccc1C[N@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001140816097 1131933930 /nfs/dbraw/zinc/93/39/30/1131933930.db2.gz VGVXQEJZEQZEMY-UBHSHLNASA-N 1 2 298.199 3.547 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(OC(F)(F)F)c(F)c2)C[C@@H]1F ZINC001140886175 1131956468 /nfs/dbraw/zinc/95/64/68/1131956468.db2.gz QNJZHRAYQXYAAC-KCJUWKMLSA-N 1 2 295.251 3.514 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(OC(F)(F)F)c(F)c2)C[C@@H]1F ZINC001140886175 1131956476 /nfs/dbraw/zinc/95/64/76/1131956476.db2.gz QNJZHRAYQXYAAC-KCJUWKMLSA-N 1 2 295.251 3.514 20 0 CHADLO CCCCc1ncc(C[N@H+](CC)Cc2ccc(F)cc2)[nH]1 ZINC001141071176 1132004555 /nfs/dbraw/zinc/00/45/55/1132004555.db2.gz FJCMLHWHZQBNJD-UHFFFAOYSA-N 1 2 289.398 3.914 20 0 CHADLO CCCCc1ncc(C[N@@H+](CC)Cc2ccc(F)cc2)[nH]1 ZINC001141071176 1132004563 /nfs/dbraw/zinc/00/45/63/1132004563.db2.gz FJCMLHWHZQBNJD-UHFFFAOYSA-N 1 2 289.398 3.914 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H](F)CC2CCCCC2)c[nH+]1 ZINC000837104811 1132037476 /nfs/dbraw/zinc/03/74/76/1132037476.db2.gz LIEHRCKROXQENB-INIZCTEOSA-N 1 2 292.398 3.623 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](Cc3ccccc3)C2)c(F)c1 ZINC001141195528 1132039080 /nfs/dbraw/zinc/03/90/80/1132039080.db2.gz RGXFUPAUOQUZSF-SFHVURJKSA-N 1 2 299.389 3.578 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](Cc3ccccc3)C2)c(F)c1 ZINC001141195528 1132039086 /nfs/dbraw/zinc/03/90/86/1132039086.db2.gz RGXFUPAUOQUZSF-SFHVURJKSA-N 1 2 299.389 3.578 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1ccc(Cl)c(C)c1)CC2 ZINC001141236869 1132054083 /nfs/dbraw/zinc/05/40/83/1132054083.db2.gz DGJJEAALBORZQJ-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1ccc(Cl)c(C)c1)CC2 ZINC001141236869 1132054089 /nfs/dbraw/zinc/05/40/89/1132054089.db2.gz DGJJEAALBORZQJ-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)ccc1Cl ZINC001141234671 1132054121 /nfs/dbraw/zinc/05/41/21/1132054121.db2.gz RQYZYEAMEKDJMG-HOCLYGCPSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)ccc1Cl ZINC001141234671 1132054125 /nfs/dbraw/zinc/05/41/25/1132054125.db2.gz RQYZYEAMEKDJMG-HOCLYGCPSA-N 1 2 291.822 3.982 20 0 CHADLO CC[C@@H]1CO[C@@H](CC)C[N@@H+]1Cc1ccc(F)cc1Cl ZINC001171778593 1132096370 /nfs/dbraw/zinc/09/63/70/1132096370.db2.gz OKKRLOIYEMSMGI-KGLIPLIRSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1CO[C@@H](CC)C[N@H+]1Cc1ccc(F)cc1Cl ZINC001171778593 1132096377 /nfs/dbraw/zinc/09/63/77/1132096377.db2.gz OKKRLOIYEMSMGI-KGLIPLIRSA-N 1 2 285.790 3.869 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cc(F)cc3c1OCOC3)C2 ZINC001141388281 1132110659 /nfs/dbraw/zinc/11/06/59/1132110659.db2.gz SAPAMPLPCOREKA-UHFFFAOYSA-N 1 2 299.345 3.516 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cc(F)cc3c1OCOC3)C2 ZINC001141388281 1132110662 /nfs/dbraw/zinc/11/06/62/1132110662.db2.gz SAPAMPLPCOREKA-UHFFFAOYSA-N 1 2 299.345 3.516 20 0 CHADLO c1cn(-c2ccc(O[C@@H]3COCc4ccccc43)cc2)c[nH+]1 ZINC001229954871 1132136932 /nfs/dbraw/zinc/13/69/32/1132136932.db2.gz VEBVFZKDQLMRQT-GOSISDBHSA-N 1 2 292.338 3.523 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccccn2)C(C)C)c(Cl)n1 ZINC000838794521 1132147411 /nfs/dbraw/zinc/14/74/11/1132147411.db2.gz WLRVEVSXHSXBEA-UHFFFAOYSA-N 1 2 289.810 3.849 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccccn2)C(C)C)c(Cl)n1 ZINC000838794521 1132147416 /nfs/dbraw/zinc/14/74/16/1132147416.db2.gz WLRVEVSXHSXBEA-UHFFFAOYSA-N 1 2 289.810 3.849 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccnc3ccccc13)C2 ZINC001204087519 1132150998 /nfs/dbraw/zinc/15/09/98/1132150998.db2.gz BITGDQBEHMFNKZ-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccnc3ccccc13)C2 ZINC001204087519 1132151002 /nfs/dbraw/zinc/15/10/02/1132151002.db2.gz BITGDQBEHMFNKZ-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO C[NH+]1CC(Oc2ccc(SCc3ccccc3)cc2)C1 ZINC001230064614 1132152018 /nfs/dbraw/zinc/15/20/18/1132152018.db2.gz LKTHZYLGOULCIY-UHFFFAOYSA-N 1 2 285.412 3.672 20 0 CHADLO O[C@@H](C[N@@H+]1Cc2ccc(Cl)cc2C1)c1ccccc1F ZINC000839194442 1132189697 /nfs/dbraw/zinc/18/96/97/1132189697.db2.gz QAELVCVUZYIPHO-INIZCTEOSA-N 1 2 291.753 3.528 20 0 CHADLO O[C@@H](C[N@H+]1Cc2ccc(Cl)cc2C1)c1ccccc1F ZINC000839194442 1132189701 /nfs/dbraw/zinc/18/97/01/1132189701.db2.gz QAELVCVUZYIPHO-INIZCTEOSA-N 1 2 291.753 3.528 20 0 CHADLO C[N@@H+](Cc1c[nH]c2cc(Cl)ccc12)Cc1nccs1 ZINC001141585961 1132191951 /nfs/dbraw/zinc/19/19/51/1132191951.db2.gz LMHJFMYEFNCPBW-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@H+](Cc1c[nH]c2cc(Cl)ccc12)Cc1nccs1 ZINC001141585961 1132191953 /nfs/dbraw/zinc/19/19/53/1132191953.db2.gz LMHJFMYEFNCPBW-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO CCc1ccccc1C[N@H+](C)Cc1c(C)nn(C)c1Cl ZINC000839586019 1132225281 /nfs/dbraw/zinc/22/52/81/1132225281.db2.gz XUURYXQYLPPNGK-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO CCc1ccccc1C[N@@H+](C)Cc1c(C)nn(C)c1Cl ZINC000839586019 1132225286 /nfs/dbraw/zinc/22/52/86/1132225286.db2.gz XUURYXQYLPPNGK-UHFFFAOYSA-N 1 2 291.826 3.576 20 0 CHADLO Cc1cccc2c1CC[C@H]2Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230915624 1132227428 /nfs/dbraw/zinc/22/74/28/1132227428.db2.gz SNLRZXJYPMLUHR-GOSISDBHSA-N 1 2 293.366 3.742 20 0 CHADLO C[C@@H]1CC[C@@H](C)[C@@H](Oc2cc3c(cc2O)C=[NH+]CC3)C1 ZINC001230919582 1132228005 /nfs/dbraw/zinc/22/80/05/1132228005.db2.gz DVBJQOIPYVWGSL-HSMVNMDESA-N 1 2 273.376 3.571 20 0 CHADLO Cc1ccc2c(c1)[C@H](Oc1cc3c(cc1O)C=[NH+]CC3)CC2 ZINC001230916493 1132228378 /nfs/dbraw/zinc/22/83/78/1132228378.db2.gz ZVGTZLWAGKPWJY-GOSISDBHSA-N 1 2 293.366 3.742 20 0 CHADLO Cc1c(Cl)cc(NC(=O)[C@H](C)n2cc[nH+]c2)cc1Cl ZINC001141709144 1132237573 /nfs/dbraw/zinc/23/75/73/1132237573.db2.gz AKBYEPZKTFIVJG-VIFPVBQESA-N 1 2 298.173 3.698 20 0 CHADLO CC[C@@H](Oc1ccc(-c2c[nH+]cn2C)cc1)C(C)C ZINC001231083643 1132253511 /nfs/dbraw/zinc/25/35/11/1132253511.db2.gz MLLDIIBNSQAWLU-MRXNPFEDSA-N 1 2 258.365 3.901 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2coc3ccc(F)cc23)C[C@@H]1F ZINC001141847064 1132256864 /nfs/dbraw/zinc/25/68/64/1132256864.db2.gz VTOBISGJWVLSSL-GJZGRUSLSA-N 1 2 283.293 3.844 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2coc3ccc(F)cc23)C[C@@H]1F ZINC001141847064 1132256867 /nfs/dbraw/zinc/25/68/67/1132256867.db2.gz VTOBISGJWVLSSL-GJZGRUSLSA-N 1 2 283.293 3.844 20 0 CHADLO FC1(F)C[C@@H]1[NH2+][C@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172536650 1132259427 /nfs/dbraw/zinc/25/94/27/1132259427.db2.gz FMUQVNHDXWGHSV-RDBSUJKOSA-N 1 2 251.320 3.710 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3occc3C2)cc1Cl ZINC001231424435 1132292642 /nfs/dbraw/zinc/29/26/42/1132292642.db2.gz SXJSCUMJJAFRDG-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3occc3C2)cc1Cl ZINC001231424435 1132292648 /nfs/dbraw/zinc/29/26/48/1132292648.db2.gz SXJSCUMJJAFRDG-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO COc1cc2[nH+]ccn2cc1/C=C/c1ccc(C)cc1 ZINC001206169093 1132292914 /nfs/dbraw/zinc/29/29/14/1132292914.db2.gz RNRMRPZCLIYAOO-BQYQJAHWSA-N 1 2 264.328 3.822 20 0 CHADLO CC(C)Oc1ccc(C[N@H+](C)Cc2ccccc2F)cn1 ZINC001231532521 1132313128 /nfs/dbraw/zinc/31/31/28/1132313128.db2.gz DYUOUGXCJKZNHE-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+](C)Cc2ccccc2F)cn1 ZINC001231532521 1132313133 /nfs/dbraw/zinc/31/31/33/1132313133.db2.gz DYUOUGXCJKZNHE-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO C[C@@H]1C[C@H](O)C[N@@H+]1Cc1cc(Cl)cc(Cl)c1Cl ZINC001231597675 1132319072 /nfs/dbraw/zinc/31/90/72/1132319072.db2.gz GGDCQFSPQPWBSO-XCBNKYQSSA-N 1 2 294.609 3.602 20 0 CHADLO C[C@@H]1C[C@H](O)C[N@H+]1Cc1cc(Cl)cc(Cl)c1Cl ZINC001231597675 1132319077 /nfs/dbraw/zinc/31/90/77/1132319077.db2.gz GGDCQFSPQPWBSO-XCBNKYQSSA-N 1 2 294.609 3.602 20 0 CHADLO COc1cc(F)ccc1C[N@H+](C)Cc1ccc(F)cc1F ZINC001231604502 1132325696 /nfs/dbraw/zinc/32/56/96/1132325696.db2.gz CHWWCTVCYLIUFO-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cc(F)ccc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC001231604502 1132325701 /nfs/dbraw/zinc/32/57/01/1132325701.db2.gz CHWWCTVCYLIUFO-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cc(C)c(C[N@H+](C)Cc2ccon2)cc1C(C)C ZINC001231629749 1132331700 /nfs/dbraw/zinc/33/17/00/1132331700.db2.gz YYMPIXNSCWJPKN-UHFFFAOYSA-N 1 2 288.391 3.747 20 0 CHADLO COc1cc(C)c(C[N@@H+](C)Cc2ccon2)cc1C(C)C ZINC001231629749 1132331709 /nfs/dbraw/zinc/33/17/09/1132331709.db2.gz YYMPIXNSCWJPKN-UHFFFAOYSA-N 1 2 288.391 3.747 20 0 CHADLO Cc1cccc2cc(C[NH+]3CCSCC3)c(Cl)nc12 ZINC001231651724 1132335665 /nfs/dbraw/zinc/33/56/65/1132335665.db2.gz UEEODDXIEGWNMT-UHFFFAOYSA-N 1 2 292.835 3.745 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[N@@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001142163166 1132336126 /nfs/dbraw/zinc/33/61/26/1132336126.db2.gz SIVJASKJGVLUIE-GOEBONIOSA-N 1 2 299.409 3.527 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[N@H+]1CC[C@@](C)(F)[C@@H](F)C1 ZINC001142163166 1132336133 /nfs/dbraw/zinc/33/61/33/1132336133.db2.gz SIVJASKJGVLUIE-GOEBONIOSA-N 1 2 299.409 3.527 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCCC(=O)[C@@H](C)C1 ZINC001172847780 1132337166 /nfs/dbraw/zinc/33/71/66/1132337166.db2.gz PNXBVSZROUQTHZ-RYUDHWBXSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCCC(=O)[C@@H](C)C1 ZINC001172847780 1132337170 /nfs/dbraw/zinc/33/71/70/1132337170.db2.gz PNXBVSZROUQTHZ-RYUDHWBXSA-N 1 2 297.801 3.711 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC001172849476 1132339232 /nfs/dbraw/zinc/33/92/32/1132339232.db2.gz MYVPFUQUTJCUBF-IJLUTSLNSA-N 1 2 285.790 3.519 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[N@@H+]1CCC12COC2 ZINC001231736433 1132349235 /nfs/dbraw/zinc/34/92/35/1132349235.db2.gz AMPCSWAKOICVCC-UHFFFAOYSA-N 1 2 297.398 3.602 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[N@H+]1CCC12COC2 ZINC001231736433 1132349244 /nfs/dbraw/zinc/34/92/44/1132349244.db2.gz AMPCSWAKOICVCC-UHFFFAOYSA-N 1 2 297.398 3.602 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[N@@H+]1CCO[C@H]2C[C@H]21 ZINC001231739261 1132355074 /nfs/dbraw/zinc/35/50/74/1132355074.db2.gz PAVWWRKMYVYUAJ-MJGOQNOKSA-N 1 2 297.398 3.600 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[N@H+]1CCO[C@H]2C[C@H]21 ZINC001231739261 1132355083 /nfs/dbraw/zinc/35/50/83/1132355083.db2.gz PAVWWRKMYVYUAJ-MJGOQNOKSA-N 1 2 297.398 3.600 20 0 CHADLO Cc1cc(N)c(F)cc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212699166 1132375248 /nfs/dbraw/zinc/37/52/48/1132375248.db2.gz QVRDJDCSEWBCNJ-UHFFFAOYSA-N 1 2 296.349 3.705 20 0 CHADLO CCCOc1ncccc1C[N@H+](C)Cc1cccc(F)c1 ZINC001231858809 1132381279 /nfs/dbraw/zinc/38/12/79/1132381279.db2.gz OQQCQXGAVCTVBJ-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CCCOc1ncccc1C[N@@H+](C)Cc1cccc(F)c1 ZINC001231858809 1132381286 /nfs/dbraw/zinc/38/12/86/1132381286.db2.gz OQQCQXGAVCTVBJ-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CC(C)c1ccccc1C[N@@H+]1CCOC[C@@H]1c1cccnc1 ZINC001231877347 1132386236 /nfs/dbraw/zinc/38/62/36/1132386236.db2.gz BMLYHCVPLVGLFL-LJQANCHMSA-N 1 2 296.414 3.779 20 0 CHADLO CC(C)c1ccccc1C[N@H+]1CCOC[C@@H]1c1cccnc1 ZINC001231877347 1132386245 /nfs/dbraw/zinc/38/62/45/1132386245.db2.gz BMLYHCVPLVGLFL-LJQANCHMSA-N 1 2 296.414 3.779 20 0 CHADLO C[N@H+](Cc1ccnc(C(F)F)c1)Cc1cccc(F)c1F ZINC001231891616 1132393813 /nfs/dbraw/zinc/39/38/13/1132393813.db2.gz JXTRIPDMXAOVMT-UHFFFAOYSA-N 1 2 298.283 3.929 20 0 CHADLO C[N@@H+](Cc1ccnc(C(F)F)c1)Cc1cccc(F)c1F ZINC001231891616 1132393820 /nfs/dbraw/zinc/39/38/20/1132393820.db2.gz JXTRIPDMXAOVMT-UHFFFAOYSA-N 1 2 298.283 3.929 20 0 CHADLO CC[N@H+](Cc1ccc(F)cc1)Cc1ncccc1Cl ZINC001231958942 1132403860 /nfs/dbraw/zinc/40/38/60/1132403860.db2.gz JGWNPVDHRDXDJC-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccc(F)cc1)Cc1ncccc1Cl ZINC001231958942 1132403865 /nfs/dbraw/zinc/40/38/65/1132403865.db2.gz JGWNPVDHRDXDJC-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(Cl)cnc2F)cc1 ZINC001231991323 1132407662 /nfs/dbraw/zinc/40/76/62/1132407662.db2.gz ZIYMJVJXRQOZJD-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(Cl)cnc2F)cc1 ZINC001231991323 1132407667 /nfs/dbraw/zinc/40/76/67/1132407667.db2.gz ZIYMJVJXRQOZJD-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cc3ncccc3[nH]1)CC2 ZINC001232064894 1132416026 /nfs/dbraw/zinc/41/60/26/1132416026.db2.gz YTAALTVPGJVGIE-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cc3ncccc3[nH]1)CC2 ZINC001232064894 1132416030 /nfs/dbraw/zinc/41/60/30/1132416030.db2.gz YTAALTVPGJVGIE-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO CCCCC[N@H+](C)Cc1c(F)cncc1Br ZINC001232123600 1132425996 /nfs/dbraw/zinc/42/59/96/1132425996.db2.gz AJFKMPRDEGJHOR-UHFFFAOYSA-N 1 2 289.192 3.605 20 0 CHADLO CCCCC[N@@H+](C)Cc1c(F)cncc1Br ZINC001232123600 1132425998 /nfs/dbraw/zinc/42/59/98/1132425998.db2.gz AJFKMPRDEGJHOR-UHFFFAOYSA-N 1 2 289.192 3.605 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nc(Cl)ccc1F ZINC001232162156 1132429583 /nfs/dbraw/zinc/42/95/83/1132429583.db2.gz BJFVITIPIJETOJ-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nc(Cl)ccc1F ZINC001232162156 1132429590 /nfs/dbraw/zinc/42/95/90/1132429590.db2.gz BJFVITIPIJETOJ-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)OC[C@@H](C)CC(F)F)c1ccccc1 ZINC000842439511 1132430794 /nfs/dbraw/zinc/43/07/94/1132430794.db2.gz PIIXAIOXTOWWAA-WFASDCNBSA-N 1 2 299.361 3.514 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)OC[C@@H](C)CC(F)F)c1ccccc1 ZINC000842439511 1132430800 /nfs/dbraw/zinc/43/08/00/1132430800.db2.gz PIIXAIOXTOWWAA-WFASDCNBSA-N 1 2 299.361 3.514 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccnc3c(F)cccc23)C[C@@H]1F ZINC001232209605 1132435492 /nfs/dbraw/zinc/43/54/92/1132435492.db2.gz IFVWKJYTLZLFGA-GOEBONIOSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccnc3c(F)cccc23)C[C@@H]1F ZINC001232209605 1132435497 /nfs/dbraw/zinc/43/54/97/1132435497.db2.gz IFVWKJYTLZLFGA-GOEBONIOSA-N 1 2 294.320 3.646 20 0 CHADLO Cc1c[nH+]c(CCSc2nccn2C2CC2)c(C)c1 ZINC000842533670 1132438288 /nfs/dbraw/zinc/43/82/88/1132438288.db2.gz UVHCRQQXYYIUNW-UHFFFAOYSA-N 1 2 273.405 3.565 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1csc(Cl)c1)C2 ZINC001142761694 1132442932 /nfs/dbraw/zinc/44/29/32/1132442932.db2.gz BBBPJRLQDUKKCL-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1csc(Cl)c1)C2 ZINC001142761694 1132442934 /nfs/dbraw/zinc/44/29/34/1132442934.db2.gz BBBPJRLQDUKKCL-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1nccc2ccccc21 ZINC001232252615 1132444515 /nfs/dbraw/zinc/44/45/15/1132444515.db2.gz UYTUCWHQJVDKQS-UHFFFAOYSA-N 1 2 290.366 3.550 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1nccc2ccccc21 ZINC001232252615 1132444520 /nfs/dbraw/zinc/44/45/20/1132444520.db2.gz UYTUCWHQJVDKQS-UHFFFAOYSA-N 1 2 290.366 3.550 20 0 CHADLO Cc1sc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)cc1Br ZINC001232291365 1132451194 /nfs/dbraw/zinc/45/11/94/1132451194.db2.gz JQXXBMOPGKCGKR-HQJQHLMTSA-N 1 2 292.217 3.609 20 0 CHADLO Cc1sc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)cc1Br ZINC001232291365 1132451199 /nfs/dbraw/zinc/45/11/99/1132451199.db2.gz JQXXBMOPGKCGKR-HQJQHLMTSA-N 1 2 292.217 3.609 20 0 CHADLO Cc1[nH]c(CN2CCC[C@@H]2c2ccc(Cl)s2)c[nH+]1 ZINC001232464163 1132472891 /nfs/dbraw/zinc/47/28/91/1132472891.db2.gz UKBZZVYPCNOUDF-LLVKDONJSA-N 1 2 281.812 3.770 20 0 CHADLO CCc1csc(C[N@H+](C)Cc2ccc(Cl)cc2)n1 ZINC001232473221 1132476407 /nfs/dbraw/zinc/47/64/07/1132476407.db2.gz JPYPBVPXZYZZMO-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO CCc1csc(C[N@@H+](C)Cc2ccc(Cl)cc2)n1 ZINC001232473221 1132476410 /nfs/dbraw/zinc/47/64/10/1132476410.db2.gz JPYPBVPXZYZZMO-UHFFFAOYSA-N 1 2 280.824 3.991 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1c(C)cccc1F)CC2 ZINC001232483446 1132476914 /nfs/dbraw/zinc/47/69/14/1132476914.db2.gz JDNQAWYNQOWHPP-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1c(C)cccc1F)CC2 ZINC001232483446 1132476919 /nfs/dbraw/zinc/47/69/19/1132476919.db2.gz JDNQAWYNQOWHPP-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCc2cc(F)c(F)cc2C1 ZINC001232486199 1132477554 /nfs/dbraw/zinc/47/75/54/1132477554.db2.gz JPBQMCALFYJBAS-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCc2cc(F)c(F)cc2C1 ZINC001232486199 1132477561 /nfs/dbraw/zinc/47/75/61/1132477561.db2.gz JPBQMCALFYJBAS-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCC[C@H]1c1nccs1 ZINC001232483127 1132477721 /nfs/dbraw/zinc/47/77/21/1132477721.db2.gz CCAHTAPIFDTNNI-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCC[C@H]1c1nccs1 ZINC001232483127 1132477725 /nfs/dbraw/zinc/47/77/25/1132477725.db2.gz CCAHTAPIFDTNNI-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1c(C)cccc1F)C2 ZINC001232484044 1132477945 /nfs/dbraw/zinc/47/79/45/1132477945.db2.gz UAWHUPBMRNAFMR-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1c(C)cccc1F)C2 ZINC001232484044 1132477950 /nfs/dbraw/zinc/47/79/50/1132477950.db2.gz UAWHUPBMRNAFMR-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1cn2cccc(Nc3cccnc3C3CC3)c2[nH+]1 ZINC001212736001 1132490866 /nfs/dbraw/zinc/49/08/66/1132490866.db2.gz CNQHEUHWUBBEHP-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO c1cc2ccc(C[NH+]3CC4(C3)CCCCO4)cc2s1 ZINC001232610122 1132493504 /nfs/dbraw/zinc/49/35/04/1132493504.db2.gz IGGHWGLJEPFHEZ-UHFFFAOYSA-N 1 2 273.401 3.656 20 0 CHADLO CO[C@@H](C)C1C[NH+](Cc2cc(F)c(Cl)cc2Cl)C1 ZINC001232702923 1132507269 /nfs/dbraw/zinc/50/72/69/1132507269.db2.gz LTVBPGUVYGYZET-QMMMGPOBSA-N 1 2 292.181 3.599 20 0 CHADLO CC[N@H+](C)Cc1c(F)ccc(Cl)c1Br ZINC001232692113 1132508421 /nfs/dbraw/zinc/50/84/21/1132508421.db2.gz PTVLAOIXAPNJOS-UHFFFAOYSA-N 1 2 280.568 3.693 20 0 CHADLO CC[N@@H+](C)Cc1c(F)ccc(Cl)c1Br ZINC001232692113 1132508426 /nfs/dbraw/zinc/50/84/26/1132508426.db2.gz PTVLAOIXAPNJOS-UHFFFAOYSA-N 1 2 280.568 3.693 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc3ccc(Cl)cc3[nH]2)CCC1=O ZINC001232728884 1132510892 /nfs/dbraw/zinc/51/08/92/1132510892.db2.gz WYACAONIAKSXMO-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc3ccc(Cl)cc3[nH]2)CCC1=O ZINC001232728884 1132510894 /nfs/dbraw/zinc/51/08/94/1132510894.db2.gz WYACAONIAKSXMO-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)cc(CO)c2)cc1 ZINC001212751663 1132511484 /nfs/dbraw/zinc/51/14/84/1132511484.db2.gz SLXTUOGGVLQHSI-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)cc(CO)c2)cc1 ZINC001212751663 1132511492 /nfs/dbraw/zinc/51/14/92/1132511492.db2.gz SLXTUOGGVLQHSI-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc3ncsc3c2)C[C@@H]1F ZINC001232736607 1132512661 /nfs/dbraw/zinc/51/26/61/1132512661.db2.gz HZDYQVYCDNQLGU-KBPBESRZSA-N 1 2 282.359 3.568 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc3ncsc3c2)C[C@@H]1F ZINC001232736607 1132512669 /nfs/dbraw/zinc/51/26/69/1132512669.db2.gz HZDYQVYCDNQLGU-KBPBESRZSA-N 1 2 282.359 3.568 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3c(O)cccc3C2)c(F)cc1F ZINC001232785255 1132521195 /nfs/dbraw/zinc/52/11/95/1132521195.db2.gz PQIZJGQXERPGGR-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cc(C[N@H+]2CCc3c(O)cccc3C2)c(F)cc1F ZINC001232785255 1132521200 /nfs/dbraw/zinc/52/12/00/1132521200.db2.gz PQIZJGQXERPGGR-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Brc1coc(C[NH+]2CC3(C2)CCCCC3)c1 ZINC001232857602 1132528717 /nfs/dbraw/zinc/52/87/17/1132528717.db2.gz SWLBNSSAOBXVFZ-UHFFFAOYSA-N 1 2 284.197 3.808 20 0 CHADLO Brc1cc(Nc2ccccc2)cn2cc[nH+]c12 ZINC001173792943 1132528781 /nfs/dbraw/zinc/52/87/81/1132528781.db2.gz VLOIOFBIKWKVOI-UHFFFAOYSA-N 1 2 288.148 3.840 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c(Cl)c1F ZINC001232875725 1132533242 /nfs/dbraw/zinc/53/32/42/1132533242.db2.gz BZBGYPOJZJDUPR-QXEWZRGKSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2C[C@@H]3F)c(Cl)c1F ZINC001232875725 1132533246 /nfs/dbraw/zinc/53/32/46/1132533246.db2.gz BZBGYPOJZJDUPR-QXEWZRGKSA-N 1 2 275.701 3.551 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875549 1132533458 /nfs/dbraw/zinc/53/34/58/1132533458.db2.gz AICBVWLAPAPALO-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO COCCCN(c1[nH+]cccc1N)c1c(C)cc(C)cc1C ZINC001173843093 1132545006 /nfs/dbraw/zinc/54/50/06/1132545006.db2.gz JFCCPAFNWSHACQ-UHFFFAOYSA-N 1 2 299.418 3.764 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(C)c1OCOC ZINC001214851023 1132555464 /nfs/dbraw/zinc/55/54/64/1132555464.db2.gz IXRNOLMYDZEBCR-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO Cc1cc(-c2cc(OC(C)C)ncc2F)c2[nH+]ccn2c1 ZINC001206635023 1132555694 /nfs/dbraw/zinc/55/56/94/1132555694.db2.gz UVRNTNOEWJSFAZ-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO Cc1csc(C[N@@H+]2CCCC[C@H]2c2cccnc2)n1 ZINC001204376548 1132556198 /nfs/dbraw/zinc/55/61/98/1132556198.db2.gz SJKFCABJDFPUBC-AWEZNQCLSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1csc(C[N@H+]2CCCC[C@H]2c2cccnc2)n1 ZINC001204376548 1132556202 /nfs/dbraw/zinc/55/62/02/1132556202.db2.gz SJKFCABJDFPUBC-AWEZNQCLSA-N 1 2 273.405 3.574 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1cc(O)c(F)c(F)c1 ZINC001232975953 1132556723 /nfs/dbraw/zinc/55/67/23/1132556723.db2.gz SADZHZIFJPVRGX-UHFFFAOYSA-N 1 2 283.343 3.754 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1cc(O)c(F)c(F)c1 ZINC001232975953 1132556730 /nfs/dbraw/zinc/55/67/30/1132556730.db2.gz SADZHZIFJPVRGX-UHFFFAOYSA-N 1 2 283.343 3.754 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]c(C)cn32)cc1 ZINC001173853202 1132557460 /nfs/dbraw/zinc/55/74/60/1132557460.db2.gz YADWIZHUMYEXEX-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO COc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC001173863606 1132565802 /nfs/dbraw/zinc/56/58/02/1132565802.db2.gz OSFCZPFONIQSEJ-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO COc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1F ZINC001173863844 1132566523 /nfs/dbraw/zinc/56/65/23/1132566523.db2.gz XAJCJBLXEDJQRE-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO CCc1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1 ZINC001173869112 1132571795 /nfs/dbraw/zinc/57/17/95/1132571795.db2.gz GOPJMVRPANKKPA-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC[C@H]1C1CCCCC1 ZINC001151971703 1132577185 /nfs/dbraw/zinc/57/71/85/1132577185.db2.gz NJWQPGWUAWJVLV-KRWDZBQOSA-N 1 2 297.402 3.748 20 0 CHADLO Fc1c(Cl)ccc(C[N@@H+]2CCC[C@H]3C[C@H]32)c1F ZINC001233211705 1132580298 /nfs/dbraw/zinc/58/02/98/1132580298.db2.gz DMIHIZINEFCDDT-GZMMTYOYSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1c(Cl)ccc(C[N@H+]2CCC[C@H]3C[C@H]32)c1F ZINC001233211705 1132580302 /nfs/dbraw/zinc/58/03/02/1132580302.db2.gz DMIHIZINEFCDDT-GZMMTYOYSA-N 1 2 257.711 3.603 20 0 CHADLO COC(=O)c1c(F)cc(Nc2ccc(C)[nH+]c2C)cc1F ZINC001203375896 1132582173 /nfs/dbraw/zinc/58/21/73/1132582173.db2.gz FOCMGIGMKZGKLK-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1csc(C[N@@H+]2CCSc3ccccc3C2)n1 ZINC001204380879 1132585856 /nfs/dbraw/zinc/58/58/56/1132585856.db2.gz OIADWIJHDHTCQB-UHFFFAOYSA-N 1 2 276.430 3.559 20 0 CHADLO Cc1csc(C[N@H+]2CCSc3ccccc3C2)n1 ZINC001204380879 1132585862 /nfs/dbraw/zinc/58/58/62/1132585862.db2.gz OIADWIJHDHTCQB-UHFFFAOYSA-N 1 2 276.430 3.559 20 0 CHADLO CC(=O)Nc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001173832056 1132586567 /nfs/dbraw/zinc/58/65/67/1132586567.db2.gz SOGVXLRWYFRVDI-UHFFFAOYSA-N 1 2 292.342 3.574 20 0 CHADLO Fc1cccc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001173832987 1132587768 /nfs/dbraw/zinc/58/77/68/1132587768.db2.gz IRPXTYCIHLGOBX-UHFFFAOYSA-N 1 2 271.339 3.955 20 0 CHADLO COc1ccccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001173840350 1132593246 /nfs/dbraw/zinc/59/32/46/1132593246.db2.gz CAISERRQEKOSNQ-UHFFFAOYSA-N 1 2 279.343 3.684 20 0 CHADLO CC(C)c1ccc(Nc2ccc(N3CC[C@H](O)C3)[nH+]c2)cc1 ZINC001173894135 1132598362 /nfs/dbraw/zinc/59/83/62/1132598362.db2.gz UGMSJUZVSGQQST-KRWDZBQOSA-N 1 2 297.402 3.520 20 0 CHADLO Cc1cnsc1C[N@@H+](C)Cc1ccccc1Cl ZINC001233320554 1132600369 /nfs/dbraw/zinc/60/03/69/1132600369.db2.gz FHSXDMPMQVTYCC-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CC[N@H+](Cc1sncc1C)Cc1cccc(F)c1F ZINC001233322013 1132601426 /nfs/dbraw/zinc/60/14/26/1132601426.db2.gz OGFXJRYLRKNBDZ-UHFFFAOYSA-N 1 2 282.359 3.752 20 0 CHADLO CC[N@@H+](Cc1sncc1C)Cc1cccc(F)c1F ZINC001233322013 1132601431 /nfs/dbraw/zinc/60/14/31/1132601431.db2.gz OGFXJRYLRKNBDZ-UHFFFAOYSA-N 1 2 282.359 3.752 20 0 CHADLO CC(=O)c1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1 ZINC001173897745 1132601438 /nfs/dbraw/zinc/60/14/38/1132601438.db2.gz HHOKVSGGFGIBJW-UHFFFAOYSA-N 1 2 291.354 3.878 20 0 CHADLO C[N@H+](Cc1cc(F)c(F)cc1N)Cc1ccccc1Cl ZINC001233380576 1132613834 /nfs/dbraw/zinc/61/38/34/1132613834.db2.gz VIMWXJVFPHVHMV-UHFFFAOYSA-N 1 2 296.748 3.832 20 0 CHADLO C[N@@H+](Cc1cc(F)c(F)cc1N)Cc1ccccc1Cl ZINC001233380576 1132613840 /nfs/dbraw/zinc/61/38/40/1132613840.db2.gz VIMWXJVFPHVHMV-UHFFFAOYSA-N 1 2 296.748 3.832 20 0 CHADLO Cc1cn2cccc(Nc3ccc(F)cc3F)c2[nH+]1 ZINC001173925874 1132618006 /nfs/dbraw/zinc/61/80/06/1132618006.db2.gz DFKUMUJXZHKBTC-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CC(C)(C)OC(=O)n1ccc(C[N@@H+]2CCC[C@@](C)(F)C2)c1 ZINC001233430029 1132622840 /nfs/dbraw/zinc/62/28/40/1132622840.db2.gz WITLJNPYGXHQCU-MRXNPFEDSA-N 1 2 296.386 3.595 20 0 CHADLO CC(C)(C)OC(=O)n1ccc(C[N@H+]2CCC[C@@](C)(F)C2)c1 ZINC001233430029 1132622842 /nfs/dbraw/zinc/62/28/42/1132622842.db2.gz WITLJNPYGXHQCU-MRXNPFEDSA-N 1 2 296.386 3.595 20 0 CHADLO CC(C)(C)c1ccc(Nc2[nH+]cccc2CCO)cc1 ZINC001173881056 1132623178 /nfs/dbraw/zinc/62/31/78/1132623178.db2.gz YGUGDNKNYQBUCB-UHFFFAOYSA-N 1 2 270.376 3.658 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OC)c(OC)c1 ZINC001173887456 1132626528 /nfs/dbraw/zinc/62/65/28/1132626528.db2.gz JXBRXQBXZOYTLA-UHFFFAOYSA-N 1 2 272.348 3.713 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2C(=O)C2CCCCCC2)[nH+]c1C ZINC001155174300 1132644774 /nfs/dbraw/zinc/64/47/74/1132644774.db2.gz LYHWFFBHKTZEHF-OAHLLOKOSA-N 1 2 289.423 3.660 20 0 CHADLO CCSc1cc[nH+]c(NCc2ccncc2C)c1 ZINC001155225566 1132648086 /nfs/dbraw/zinc/64/80/86/1132648086.db2.gz VIIVDCSRNFYLAK-UHFFFAOYSA-N 1 2 259.378 3.509 20 0 CHADLO CCOc1ccc[nH+]c1NCc1cn(C)c2ccccc12 ZINC001155286352 1132652324 /nfs/dbraw/zinc/65/23/24/1132652324.db2.gz CPGIRHPQOCRRJH-UHFFFAOYSA-N 1 2 281.359 3.584 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1cn(C)c2ccccc12 ZINC001155287112 1132652564 /nfs/dbraw/zinc/65/25/64/1132652564.db2.gz QDRJVGJOQNCFMM-UHFFFAOYSA-N 1 2 281.359 3.502 20 0 CHADLO Fc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1F ZINC001173946757 1132654105 /nfs/dbraw/zinc/65/41/05/1132654105.db2.gz CLJPDZPXMQJWSS-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO Cc1ccc(C[N@H+](C)CCF)c(OCc2ccccc2)c1 ZINC001233646160 1132655838 /nfs/dbraw/zinc/65/58/38/1132655838.db2.gz MZLMVMBAZGYUJR-UHFFFAOYSA-N 1 2 287.378 3.975 20 0 CHADLO Cc1ccc(C[N@@H+](C)CCF)c(OCc2ccccc2)c1 ZINC001233646160 1132655842 /nfs/dbraw/zinc/65/58/42/1132655842.db2.gz MZLMVMBAZGYUJR-UHFFFAOYSA-N 1 2 287.378 3.975 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(F)ccc2F)[nH+]1 ZINC001173948789 1132656540 /nfs/dbraw/zinc/65/65/40/1132656540.db2.gz REICAXJPDMFGMF-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO Fc1ccc(F)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001173950240 1132656952 /nfs/dbraw/zinc/65/69/52/1132656952.db2.gz TXVUDHHYEJAUHQ-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO CC1(C)CN(c2cc(N)cc(Cl)[nH+]2)Cc2ccccc21 ZINC001155468632 1132658874 /nfs/dbraw/zinc/65/88/74/1132658874.db2.gz ASFYKIGPEAWXFJ-UHFFFAOYSA-N 1 2 287.794 3.615 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cc(F)ccc1OC ZINC001173953506 1132661117 /nfs/dbraw/zinc/66/11/17/1132661117.db2.gz MUSHBEOOQYCIDE-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO CCOC(CCCNc1cc(CSC)cc[nH+]1)OCC ZINC001155725465 1132665286 /nfs/dbraw/zinc/66/52/86/1132665286.db2.gz HIJUWJZQYCSLSP-UHFFFAOYSA-N 1 2 298.452 3.536 20 0 CHADLO COc1ccc(C)cc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001173986511 1132665840 /nfs/dbraw/zinc/66/58/40/1132665840.db2.gz XHAJDDLGVGLRNG-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO CSc1cc(Nc2ccccc2NC(C)=O)cc[nH+]1 ZINC001173992980 1132666695 /nfs/dbraw/zinc/66/66/95/1132666695.db2.gz FAOBQCTXPQKKBL-UHFFFAOYSA-N 1 2 273.361 3.506 20 0 CHADLO CSc1cc[nH+]c(N(C)CCC(=O)c2cccs2)c1 ZINC001155964122 1132673713 /nfs/dbraw/zinc/67/37/13/1132673713.db2.gz TWKQRDWSEREXSV-UHFFFAOYSA-N 1 2 292.429 3.574 20 0 CHADLO CC(C)(Cc1ccc(F)cc1)Nc1ccc(Cl)c(N)[nH+]1 ZINC001155968544 1132673875 /nfs/dbraw/zinc/67/38/75/1132673875.db2.gz HZSHVLWHXVVXRX-UHFFFAOYSA-N 1 2 293.773 3.890 20 0 CHADLO CNc1ccc(CNc2cc(C)[nH+]c(C(C)C)n2)cc1 ZINC001156141998 1132683445 /nfs/dbraw/zinc/68/34/45/1132683445.db2.gz IAELWJPZATUWHC-UHFFFAOYSA-N 1 2 270.380 3.562 20 0 CHADLO COC(=O)c1cc(Cl)cc(Nc2ccc(C)[nH+]c2C)c1 ZINC001203376858 1132691165 /nfs/dbraw/zinc/69/11/65/1132691165.db2.gz VCRRCIXOMSUFHD-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO COC(C)(C)CNc1cc(C2CCCCC2)[nH+]c(C)n1 ZINC001156439074 1132696294 /nfs/dbraw/zinc/69/62/94/1132696294.db2.gz LCLIDRYPSYQRDB-UHFFFAOYSA-N 1 2 277.412 3.670 20 0 CHADLO F[C@@H]1C[N@H+](Cc2ccccc2Cl)CCCC1(F)F ZINC001203377828 1132700718 /nfs/dbraw/zinc/70/07/18/1132700718.db2.gz UHDXBRDYUOLMKI-GFCCVEGCSA-N 1 2 277.717 3.909 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2ccccc2Cl)CCCC1(F)F ZINC001203377828 1132700721 /nfs/dbraw/zinc/70/07/21/1132700721.db2.gz UHDXBRDYUOLMKI-GFCCVEGCSA-N 1 2 277.717 3.909 20 0 CHADLO CCSc1cc[nH+]c(NCc2c(F)cccc2OC)c1 ZINC001156750406 1132708201 /nfs/dbraw/zinc/70/82/01/1132708201.db2.gz VCDFHSJATGBTPZ-UHFFFAOYSA-N 1 2 292.379 3.953 20 0 CHADLO Nc1cc(CNc2cc(Cl)nc3ccsc32)cc[nH+]1 ZINC001156756388 1132708377 /nfs/dbraw/zinc/70/83/77/1132708377.db2.gz WYPDRCIQYVGOCQ-UHFFFAOYSA-N 1 2 290.779 3.539 20 0 CHADLO CC(C)c1ccccc1NC(=O)c1ccc2[nH+]c(N)[nH]c2c1 ZINC001156965257 1132718153 /nfs/dbraw/zinc/71/81/53/1132718153.db2.gz YUHSSYSCXTYNER-UHFFFAOYSA-N 1 2 294.358 3.521 20 0 CHADLO Cc1cn(-c2ccc(C)[nH+]c2C)c2cccc(N)c12 ZINC001203379644 1132720948 /nfs/dbraw/zinc/72/09/48/1132720948.db2.gz FABHISNIWKTKIF-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1nc(N2CCC[C@H]3C[C@H]32)cc(C2CCCCC2)[nH+]1 ZINC001157142135 1132723229 /nfs/dbraw/zinc/72/32/29/1132723229.db2.gz BGFKDKCNVDXLMC-GOEBONIOSA-N 1 2 271.408 3.821 20 0 CHADLO CCCNc1[nH+]cccc1OCc1ccc(F)cc1 ZINC001157363083 1132729139 /nfs/dbraw/zinc/72/91/39/1132729139.db2.gz SKIRZYXKYBUEAS-UHFFFAOYSA-N 1 2 260.312 3.622 20 0 CHADLO COc1cncc(Nc2c(C)cc[nH+]c2C(C)C)c1 ZINC001174090305 1132736033 /nfs/dbraw/zinc/73/60/33/1132736033.db2.gz MAJBQFIBHRQYSD-UHFFFAOYSA-N 1 2 257.337 3.661 20 0 CHADLO CC1(C)C[C@@H](CNc2[nH+]ccc3cc(Cl)ccc32)O1 ZINC001157662663 1132737316 /nfs/dbraw/zinc/73/73/16/1132737316.db2.gz LPYIEGDAOURGAB-LBPRGKRZSA-N 1 2 276.767 3.868 20 0 CHADLO Cc1cc(C)c(Nc2cnn(Cc3ccccc3)c2)c[nH+]1 ZINC001174132515 1132740701 /nfs/dbraw/zinc/74/07/01/1132740701.db2.gz CCDXBKAOQSRFOD-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO C=Cc1ccc(CNc2cc(N3CCCCC3)nc[nH+]2)cc1 ZINC001157778506 1132742558 /nfs/dbraw/zinc/74/25/58/1132742558.db2.gz YPBXDLAWZYFLPQ-UHFFFAOYSA-N 1 2 294.402 3.722 20 0 CHADLO C=Cc1ccc(CNc2cc(N3CCCCC3)[nH+]cn2)cc1 ZINC001157778506 1132742564 /nfs/dbraw/zinc/74/25/64/1132742564.db2.gz YPBXDLAWZYFLPQ-UHFFFAOYSA-N 1 2 294.402 3.722 20 0 CHADLO C=Cc1ccc(CNc2[nH+]cc(C)cc2C(OC)OC)cc1 ZINC001157778439 1132743045 /nfs/dbraw/zinc/74/30/45/1132743045.db2.gz VNURPRDSMZRUDT-UHFFFAOYSA-N 1 2 298.386 3.937 20 0 CHADLO CC1(C)OCCC12CCN(c1cccc(C3CC3)[nH+]1)CC2 ZINC001157806438 1132744212 /nfs/dbraw/zinc/74/42/12/1132744212.db2.gz XHYZWGUGVIGQMI-UHFFFAOYSA-N 1 2 286.419 3.745 20 0 CHADLO COc1ccc(Nc2cc[nH+]c(SC)c2)c(F)c1 ZINC001174145155 1132746557 /nfs/dbraw/zinc/74/65/57/1132746557.db2.gz MKZFKZWZXZGBDD-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO COc1ccc(Nc2[nH+]c(C)ccc2O)cc1Cl ZINC001174151788 1132749763 /nfs/dbraw/zinc/74/97/63/1132749763.db2.gz JLSSTYCKRAEOCL-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1[nH+]cc(C)cc1C(OC)OC ZINC001157886806 1132752539 /nfs/dbraw/zinc/75/25/39/1132752539.db2.gz KCEWZAMCWIWGEU-YPMHNXCESA-N 1 2 280.412 3.918 20 0 CHADLO Cc1cc(Cl)c(C)c(NC(C2CC2)C2CC2)[nH+]1 ZINC001157920946 1132754499 /nfs/dbraw/zinc/75/44/99/1132754499.db2.gz UIVVNTDJHGQJIR-UHFFFAOYSA-N 1 2 250.773 3.952 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H](C)CCCC(C)(C)O)c1 ZINC001157896425 1132754523 /nfs/dbraw/zinc/75/45/23/1132754523.db2.gz HWKZPFXVHDUNOU-LBPRGKRZSA-N 1 2 282.453 3.686 20 0 CHADLO CSc1cc[nH+]c(N[C@H](C)CCCC(C)(C)O)c1 ZINC001157898582 1132754953 /nfs/dbraw/zinc/75/49/53/1132754953.db2.gz XRPCAYVTTGFAAU-LLVKDONJSA-N 1 2 268.426 3.545 20 0 CHADLO c1cc2cc(NCCc3cn4ccccc4[nH+]3)ncc2s1 ZINC001158145303 1132764455 /nfs/dbraw/zinc/76/44/55/1132764455.db2.gz LZUVFEWMMUVXPB-UHFFFAOYSA-N 1 2 294.383 3.599 20 0 CHADLO CSCc1ccc(N[C@@H]2CCCC(F)(F)C2)[nH+]c1 ZINC001158338255 1132771827 /nfs/dbraw/zinc/77/18/27/1132771827.db2.gz LTACFFOALLSITM-LLVKDONJSA-N 1 2 272.364 3.934 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H]2CCCC(F)(F)C2)c1 ZINC001158337885 1132771986 /nfs/dbraw/zinc/77/19/86/1132771986.db2.gz DXXGFEMXWNMFMS-LLVKDONJSA-N 1 2 272.364 3.934 20 0 CHADLO COc1cc(CCNc2[nH+]c3ccccc3cc2C)ccn1 ZINC001158341558 1132772035 /nfs/dbraw/zinc/77/20/35/1132772035.db2.gz NSIUWLZWOOJYPQ-UHFFFAOYSA-N 1 2 293.370 3.601 20 0 CHADLO CCN(CC)c1cc(N[C@H]2C[C@@H](C)c3ccccc32)[nH+]cn1 ZINC001158470569 1132778592 /nfs/dbraw/zinc/77/85/92/1132778592.db2.gz CXZFUGCXRWFGFQ-CJNGLKHVSA-N 1 2 296.418 3.983 20 0 CHADLO CCN(CC)c1cc(N[C@H]2C[C@@H](C)c3ccccc32)nc[nH+]1 ZINC001158470569 1132778599 /nfs/dbraw/zinc/77/85/99/1132778599.db2.gz CXZFUGCXRWFGFQ-CJNGLKHVSA-N 1 2 296.418 3.983 20 0 CHADLO Cc1nc(N(C)[C@@H]2CCc3ccccc32)c(C)c(C)[nH+]1 ZINC001158920334 1132797692 /nfs/dbraw/zinc/79/76/92/1132797692.db2.gz KUGGQPXMGWMEBO-MRXNPFEDSA-N 1 2 267.376 3.526 20 0 CHADLO c1[nH]nc2cccc(Nc3ccc[nH+]c3N3CCCCC3)c12 ZINC001174343674 1132802055 /nfs/dbraw/zinc/80/20/55/1132802055.db2.gz YTSSNDOXWWTRFE-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO c1n[nH]c2cccc(Nc3ccc[nH+]c3N3CCCCC3)c12 ZINC001174343674 1132802062 /nfs/dbraw/zinc/80/20/62/1132802062.db2.gz YTSSNDOXWWTRFE-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO Cn1cnc2ccc(Nc3cc(Cl)c4[nH+]ccn4c3)cc21 ZINC001174347212 1132805964 /nfs/dbraw/zinc/80/59/64/1132805964.db2.gz FORWDGGLQHNKGY-UHFFFAOYSA-N 1 2 297.749 3.618 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)cc(C)c1OC(C)C ZINC001159282088 1132822746 /nfs/dbraw/zinc/82/27/46/1132822746.db2.gz MNTSTTCFPJFUPS-UHFFFAOYSA-N 1 2 271.364 3.811 20 0 CHADLO CCCCOc1cccc(Nc2ccc(N)[nH+]c2)c1 ZINC001159282013 1132822873 /nfs/dbraw/zinc/82/28/73/1132822873.db2.gz LENFFLCSWHTNHU-UHFFFAOYSA-N 1 2 257.337 3.586 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1Nc1ccc(N)[nH+]c1 ZINC001159281818 1132823234 /nfs/dbraw/zinc/82/32/34/1132823234.db2.gz CEEDEIIATTUSDD-UHFFFAOYSA-N 1 2 271.364 3.811 20 0 CHADLO Cc1ccc(OC(C)C)cc1Nc1ccc(N)[nH+]c1 ZINC001159282665 1132824881 /nfs/dbraw/zinc/82/48/81/1132824881.db2.gz ZWUCLBBNQGVUHW-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO COc1cc(Nc2ccc3cc(N)ccc3c2)cc(C)[nH+]1 ZINC001159288038 1132825462 /nfs/dbraw/zinc/82/54/62/1132825462.db2.gz KJWZKFUOOGFLNR-UHFFFAOYSA-N 1 2 279.343 3.878 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(-c3cnn(C)c3)cc2)c1 ZINC001174376041 1132825843 /nfs/dbraw/zinc/82/58/43/1132825843.db2.gz JUJMPFKILRNKKU-UHFFFAOYSA-N 1 2 264.332 3.534 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccc(F)c(CO)c3)ccc21 ZINC001174382029 1132828745 /nfs/dbraw/zinc/82/87/45/1132828745.db2.gz ZZFRZOWMCUWEOL-UHFFFAOYSA-N 1 2 299.349 3.992 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccc(CCO)cc3)ccc21 ZINC001174381206 1132829124 /nfs/dbraw/zinc/82/91/24/1132829124.db2.gz JUYHHYPFMREGLM-UHFFFAOYSA-N 1 2 295.386 3.896 20 0 CHADLO CCn1cnc(Nc2ccc3c(c2)[nH+]cn3C(C)C)c1 ZINC001174382260 1132830071 /nfs/dbraw/zinc/83/00/71/1132830071.db2.gz HCLYWPKBNZCNRW-UHFFFAOYSA-N 1 2 269.352 3.577 20 0 CHADLO CCC(=O)Nc1cccc(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174411154 1132848535 /nfs/dbraw/zinc/84/85/35/1132848535.db2.gz MHQRGIMBSSVYSN-UHFFFAOYSA-N 1 2 280.331 3.607 20 0 CHADLO O=c1[nH]c2ccc(Nc3cc[nH+]c4[nH]ccc43)cc2s1 ZINC001174411162 1132848760 /nfs/dbraw/zinc/84/87/60/1132848760.db2.gz MSUMOHFINSCKMS-UHFFFAOYSA-N 1 2 282.328 3.573 20 0 CHADLO CSc1cccc(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174410968 1132850055 /nfs/dbraw/zinc/85/00/55/1132850055.db2.gz BCIFGYNRJHGFAK-UHFFFAOYSA-N 1 2 255.346 3.980 20 0 CHADLO Cc1cc(C)c(Nc2cc[nH+]c3[nH]ccc32)c(C)c1N ZINC001174412341 1132851202 /nfs/dbraw/zinc/85/12/02/1132851202.db2.gz RJNRZXYDCBTZGB-UHFFFAOYSA-N 1 2 266.348 3.766 20 0 CHADLO CC(=O)c1cc(Nc2cc[nH+]c3[nH]ccc32)ccc1F ZINC001174412740 1132851536 /nfs/dbraw/zinc/85/15/36/1132851536.db2.gz YIUYPLIKCXHLCV-UHFFFAOYSA-N 1 2 269.279 3.600 20 0 CHADLO COc1cc(Nc2cc[nH+]c3[nH]ccc32)ccc1Cl ZINC001174412673 1132851796 /nfs/dbraw/zinc/85/17/96/1132851796.db2.gz XJZOLLJZPJGQCK-UHFFFAOYSA-N 1 2 273.723 3.920 20 0 CHADLO CNc1ccc(Nc2ccc(Br)cc2C)c[nH+]1 ZINC001159477469 1132852226 /nfs/dbraw/zinc/85/22/26/1132852226.db2.gz LLTIQUCQVHCTKM-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO O[C@H]1CCCc2ccc(Nc3cc[nH+]c4[nH]ccc43)cc21 ZINC001174415735 1132855038 /nfs/dbraw/zinc/85/50/38/1132855038.db2.gz TWRMVTLPUVBDID-INIZCTEOSA-N 1 2 279.343 3.628 20 0 CHADLO Cc1ccc[nH+]c1Nc1cccc(N2CCCC2)c1 ZINC001174485829 1132857773 /nfs/dbraw/zinc/85/77/73/1132857773.db2.gz VSACEBJBKDYDQE-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO CNc1ccc(Nc2ccc(Br)c(C)c2)c[nH+]1 ZINC001159640027 1132881342 /nfs/dbraw/zinc/88/13/42/1132881342.db2.gz BHUYKNWUKLJZMF-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)cc(F)c2)c[nH+]1 ZINC001174530304 1132892831 /nfs/dbraw/zinc/89/28/31/1132892831.db2.gz ZLPLQECQJSBFLZ-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO C[C@@H]1COCC[C@H]1Nc1cc(-c2ccccc2)cc[nH+]1 ZINC001159711403 1132897569 /nfs/dbraw/zinc/89/75/69/1132897569.db2.gz QAXPBEXAHFIHIE-CZUORRHYSA-N 1 2 268.360 3.586 20 0 CHADLO COc1cc(Nc2cccc(C3CCC3)[nH+]2)ccc1O ZINC001174541990 1132901859 /nfs/dbraw/zinc/90/18/59/1132901859.db2.gz OSSJMWDSVVIUHS-UHFFFAOYSA-N 1 2 270.332 3.807 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(-c2cc[nH]n2)c1 ZINC001174507685 1132905603 /nfs/dbraw/zinc/90/56/03/1132905603.db2.gz QIQBFULHRFUFAY-UHFFFAOYSA-N 1 2 280.331 3.614 20 0 CHADLO CNc1ccc(Nc2ccc3c(c2)CCCC3)c[nH+]1 ZINC001159783875 1132909081 /nfs/dbraw/zinc/90/90/81/1132909081.db2.gz MTQKVKJPXIGYFL-UHFFFAOYSA-N 1 2 253.349 3.746 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)c(F)n1 ZINC001174523132 1132913013 /nfs/dbraw/zinc/91/30/13/1132913013.db2.gz HPUZCZLPGCJZOQ-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO Cc1cc(Cl)c(C)c(NC2(C(F)(F)F)CC2)[nH+]1 ZINC001160824687 1132983857 /nfs/dbraw/zinc/98/38/57/1132983857.db2.gz VZIIUFKUMTYRDM-UHFFFAOYSA-N 1 2 264.678 3.859 20 0 CHADLO Cc1cc(O)ccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001174560329 1132923623 /nfs/dbraw/zinc/92/36/23/1132923623.db2.gz JHLXFKGGAIZSRV-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3sc(N)nc3c2)c1C ZINC001160006251 1132934294 /nfs/dbraw/zinc/93/42/94/1132934294.db2.gz TVBUZSCVPBFERZ-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cncc(C(C)(C)O)c2)cc1 ZINC001174600038 1132938694 /nfs/dbraw/zinc/93/86/94/1132938694.db2.gz QOGWZHDHSCBQKE-UHFFFAOYSA-N 1 2 285.391 3.509 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cncc(C(C)(C)O)c2)cc1 ZINC001174600038 1132938697 /nfs/dbraw/zinc/93/86/97/1132938697.db2.gz QOGWZHDHSCBQKE-UHFFFAOYSA-N 1 2 285.391 3.509 20 0 CHADLO COc1cc(F)cc(CNc2ccc(CSC)c[nH+]2)c1 ZINC001160217996 1132948003 /nfs/dbraw/zinc/94/80/03/1132948003.db2.gz IPRKOWSSDHGSGZ-UHFFFAOYSA-N 1 2 292.379 3.704 20 0 CHADLO c1nc2cccc(CNc3cc4ccccc4c[nH+]3)c2o1 ZINC001160252046 1132952698 /nfs/dbraw/zinc/95/26/98/1132952698.db2.gz SFWNELOTWZAHKD-UHFFFAOYSA-N 1 2 275.311 3.988 20 0 CHADLO COc1c(F)ccc(F)c1Nc1c[nH+]c(C)cc1C ZINC001174659982 1132952923 /nfs/dbraw/zinc/95/29/23/1132952923.db2.gz MUHAGPHWGYHSNO-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1ccc(Nc2ccc(Br)c(N)c2)c(C)[nH+]1 ZINC001160303554 1132958862 /nfs/dbraw/zinc/95/88/62/1132958862.db2.gz GVTQTKSDIZAKBS-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Nc1cc2ccn(-c3ccc4c(c3)CCC[NH2+]4)c2cc1F ZINC001160359688 1132964825 /nfs/dbraw/zinc/96/48/25/1132964825.db2.gz APEWYYOJTGTJTG-UHFFFAOYSA-N 1 2 281.334 3.710 20 0 CHADLO CCCc1nccnc1N[C@@H](C)c1c[nH+]c2c(C)cccn12 ZINC001160687280 1132978159 /nfs/dbraw/zinc/97/81/59/1132978159.db2.gz GQTVJDALXVVHCP-ZDUSSCGKSA-N 1 2 295.390 3.558 20 0 CHADLO COc1cc(C)c[nH+]c1NCCc1c[nH]c2ccccc12 ZINC001160780355 1132982148 /nfs/dbraw/zinc/98/21/48/1132982148.db2.gz RMEVSTAUNNIONZ-UHFFFAOYSA-N 1 2 281.359 3.535 20 0 CHADLO COc1c[nH+]c(NCc2nccc(Cl)c2Cl)c(C)c1 ZINC001160927270 1132987754 /nfs/dbraw/zinc/98/77/54/1132987754.db2.gz NZJYYCAVWBILEU-UHFFFAOYSA-N 1 2 298.173 3.713 20 0 CHADLO Cc1cc(NCCCc2cccc(N)c2)nc(C(C)(C)C)[nH+]1 ZINC001161012761 1132990102 /nfs/dbraw/zinc/99/01/02/1132990102.db2.gz PSRZCDBLSCJKJC-UHFFFAOYSA-N 1 2 298.434 3.709 20 0 CHADLO Fc1cccc(-n2nccc2Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213029157 1132992324 /nfs/dbraw/zinc/99/23/24/1132992324.db2.gz GSBADEJACCXYAM-UHFFFAOYSA-N 1 2 293.305 3.631 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)c(C)cc1O ZINC001213030743 1132994872 /nfs/dbraw/zinc/99/48/72/1132994872.db2.gz OXNJNLXUAYWQLY-UHFFFAOYSA-N 1 2 253.305 3.629 20 0 CHADLO Nc1ccc(Cl)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213031909 1132997706 /nfs/dbraw/zinc/99/77/06/1132997706.db2.gz RCKHVYNNXUCLDJ-UHFFFAOYSA-N 1 2 258.712 3.542 20 0 CHADLO CCc1ccc(Nc2cccc3[nH+]c[nH]c32)c(O)c1 ZINC001213032646 1132999853 /nfs/dbraw/zinc/99/98/53/1132999853.db2.gz QKQFEJDDNONAFH-UHFFFAOYSA-N 1 2 253.305 3.575 20 0 CHADLO CSc1c[nH+]c(NC[C@H]2CCOC(C)(C)C2)c(C)c1 ZINC001161429278 1133001472 /nfs/dbraw/zinc/00/14/72/1133001472.db2.gz SYAXPDOWEODOGD-LBPRGKRZSA-N 1 2 280.437 3.729 20 0 CHADLO COc1cnc2c(cccc2Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213033353 1133003953 /nfs/dbraw/zinc/00/39/53/1133003953.db2.gz BFQVIFKINWFCAE-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO CSc1cc[nH+]c(NCC(C)(C)c2cccc(C)n2)c1 ZINC001161643070 1133012636 /nfs/dbraw/zinc/01/26/36/1133012636.db2.gz ZJOVJWRKYNRRNA-UHFFFAOYSA-N 1 2 287.432 3.897 20 0 CHADLO Cc1cccc(C(C)(C)CNc2cc(C)[nH+]c(C3CC3)n2)n1 ZINC001161644192 1133013144 /nfs/dbraw/zinc/01/31/44/1133013144.db2.gz PDLJLRAGBXWINF-UHFFFAOYSA-N 1 2 296.418 3.756 20 0 CHADLO COC(=O)c1cc(C)c(C)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213034439 1133014363 /nfs/dbraw/zinc/01/43/63/1133014363.db2.gz KFEFOOFBCKUSDX-UHFFFAOYSA-N 1 2 295.342 3.710 20 0 CHADLO Cc1ccc(Cl)c(C)c1NCCCn1cc[nH+]c1 ZINC001161688245 1133015796 /nfs/dbraw/zinc/01/57/96/1133015796.db2.gz NBZYDNXIRWSZEM-UHFFFAOYSA-N 1 2 263.772 3.656 20 0 CHADLO Cc1cc(OC(F)(F)F)ccc1NCCCn1cc[nH+]c1 ZINC001161684839 1133015984 /nfs/dbraw/zinc/01/59/84/1133015984.db2.gz SIIRNNMJWBESNH-UHFFFAOYSA-N 1 2 299.296 3.592 20 0 CHADLO Cc1cc(NC2Cc3ccccc3C2)ccc1[NH+](C)C ZINC001161762360 1133025329 /nfs/dbraw/zinc/02/53/29/1133025329.db2.gz FRUUSLQIQZUZJW-UHFFFAOYSA-N 1 2 266.388 3.640 20 0 CHADLO Cc1ccc(CNc2cc(-c3ccncc3)cc[nH+]2)o1 ZINC001161765043 1133025930 /nfs/dbraw/zinc/02/59/30/1133025930.db2.gz JLQGPEWYSAKUTI-UHFFFAOYSA-N 1 2 265.316 3.657 20 0 CHADLO Cc1cc(N[C@H](c2cncc(F)c2)C2CC2)nc(C2CC2)[nH+]1 ZINC001161819604 1133031019 /nfs/dbraw/zinc/03/10/19/1133031019.db2.gz WHVYNJKKFWHUDP-INIZCTEOSA-N 1 2 298.365 3.760 20 0 CHADLO Cc1[nH+]c(C(C)(C)C)nc(NCCC(F)(F)F)c1C ZINC001161822834 1133032893 /nfs/dbraw/zinc/03/28/93/1133032893.db2.gz BYQXRKFUQYZZAA-UHFFFAOYSA-N 1 2 275.318 3.755 20 0 CHADLO CC(C)(CC1CCC1)Nc1ccc(Cl)c(N)[nH+]1 ZINC001161851759 1133036333 /nfs/dbraw/zinc/03/63/33/1133036333.db2.gz IHBXZRJVHBYADW-UHFFFAOYSA-N 1 2 253.777 3.698 20 0 CHADLO Fc1c[nH]c2nc[nH+]c(N[C@H](c3ccccc3)C3CC3)c12 ZINC001161954818 1133041460 /nfs/dbraw/zinc/04/14/60/1133041460.db2.gz GDYZSZORUKFYJV-CQSZACIVSA-N 1 2 282.322 3.660 20 0 CHADLO CN(C)c1ccc(N[C@H](c2ccccc2)C2CC2)[nH+]c1 ZINC001161963153 1133044206 /nfs/dbraw/zinc/04/42/06/1133044206.db2.gz VWFOMBHXKQQYFE-QGZVFWFLSA-N 1 2 267.376 3.711 20 0 CHADLO CCCCN(CC)c1nc2ccccc2n2c[nH+]cc12 ZINC001161970755 1133044742 /nfs/dbraw/zinc/04/47/42/1133044742.db2.gz OPVLKWUQOOFICY-UHFFFAOYSA-N 1 2 268.364 3.509 20 0 CHADLO CCc1c[nH]c2nc[nH+]c(Nc3ccc(C)c(C)c3)c12 ZINC001161975138 1133045583 /nfs/dbraw/zinc/04/55/83/1133045583.db2.gz OLQPTILKTJHAQC-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO CCc1cc(NCCc2c(F)cccc2F)nc(CC)[nH+]1 ZINC001162195478 1133058373 /nfs/dbraw/zinc/05/83/73/1133058373.db2.gz CYKGZOBNSOBCIT-UHFFFAOYSA-N 1 2 291.345 3.534 20 0 CHADLO Cc1cc(NC(C)(C)Cc2cccnc2)nc(C(C)C)[nH+]1 ZINC001162241877 1133063238 /nfs/dbraw/zinc/06/32/38/1133063238.db2.gz UFQCTJFMGOOSEG-UHFFFAOYSA-N 1 2 284.407 3.737 20 0 CHADLO Cc1ccc2cc(N[C@H]3CC[C@](C)(O)CC3)[nH+]cc2c1 ZINC001162354646 1133071618 /nfs/dbraw/zinc/07/16/18/1133071618.db2.gz GLXRLYUMRXHWET-JCNLHEQBSA-N 1 2 270.376 3.649 20 0 CHADLO COc1ccc2[nH+]c(N[C@@H]3C[C@@]34CCCOC4)c(C)cc2c1 ZINC001162370063 1133072903 /nfs/dbraw/zinc/07/29/03/1133072903.db2.gz ZWASNYHPJDJLTH-SJLPKXTDSA-N 1 2 298.386 3.533 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1C[C@]12CCCOC2 ZINC001162371457 1133073434 /nfs/dbraw/zinc/07/34/34/1133073434.db2.gz SAWVLYSYWYQWEF-WBVHZDCISA-N 1 2 268.360 3.524 20 0 CHADLO CCc1cc(NC[C@H]2CCc3ccccc32)nc(CC)[nH+]1 ZINC001162510185 1133082757 /nfs/dbraw/zinc/08/27/57/1133082757.db2.gz MFFKZGYBGIZBMZ-CQSZACIVSA-N 1 2 281.403 3.743 20 0 CHADLO CC(C)(Nc1ccc(F)c(-n2cc[nH+]c2)c1)C1CC1 ZINC001162524017 1133087382 /nfs/dbraw/zinc/08/73/82/1133087382.db2.gz JRMGGZFMBWGOEW-UHFFFAOYSA-N 1 2 259.328 3.612 20 0 CHADLO C[C@H](Nc1cc(N)cc(Cl)[nH+]1)c1cccc(N(C)C)c1 ZINC001162630390 1133095946 /nfs/dbraw/zinc/09/59/46/1133095946.db2.gz JUEORCUNNXNETB-JTQLQIEISA-N 1 2 290.798 3.556 20 0 CHADLO Cc1ccc([C@@H](CO)Nc2cc(C)c3ccccc3[nH+]2)cc1 ZINC001162945008 1133123501 /nfs/dbraw/zinc/12/35/01/1133123501.db2.gz QVXFBLVFNMNZRW-GOSISDBHSA-N 1 2 292.382 3.997 20 0 CHADLO CCc1cc(NC[C@H]2CCc3ccccc3C2)nc(CC)[nH+]1 ZINC001162943322 1133124064 /nfs/dbraw/zinc/12/40/64/1133124064.db2.gz LYTICMVMJLBWNF-AWEZNQCLSA-N 1 2 295.430 3.818 20 0 CHADLO COC1CC(CNc2[nH+]ccc3cccc(Cl)c32)C1 ZINC001162991776 1133125422 /nfs/dbraw/zinc/12/54/22/1133125422.db2.gz QNZGKDFQDLSBTH-UHFFFAOYSA-N 1 2 276.767 3.725 20 0 CHADLO COC1CC(CNc2cc(C3CCCCC3)[nH+]c(C)n2)C1 ZINC001162993772 1133126080 /nfs/dbraw/zinc/12/60/80/1133126080.db2.gz UJLIZBKSIWWOBK-UHFFFAOYSA-N 1 2 289.423 3.670 20 0 CHADLO Cc1cc(Cl)c(C)nc1NCc1ccc2[nH+]ccn2c1 ZINC001163139727 1133131354 /nfs/dbraw/zinc/13/13/54/1133131354.db2.gz VBAVAWKYAIQDEL-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CCc1cc(NCC2(OC)CCC(C)CC2)nc(CC)[nH+]1 ZINC001163218046 1133137821 /nfs/dbraw/zinc/13/78/21/1133137821.db2.gz WNCBMUQCPRNWNG-UHFFFAOYSA-N 1 2 291.439 3.609 20 0 CHADLO Cc1nc(N[C@@H](C)[C@@H]2CCCO2)cc(C2CCCCC2)[nH+]1 ZINC001163480735 1133152328 /nfs/dbraw/zinc/15/23/28/1133152328.db2.gz YLWXWGDKKKCVKV-LRDDRELGSA-N 1 2 289.423 3.812 20 0 CHADLO COCC1CCC(Nc2cc(C)[nH+]c(C3CCC3)n2)CC1 ZINC001163494248 1133152956 /nfs/dbraw/zinc/15/29/56/1133152956.db2.gz OAPTZNHYIZMLGL-UHFFFAOYSA-N 1 2 289.423 3.670 20 0 CHADLO Cc1cc(N2CC[C@H](CC(C)(C)C)C2)nc(C2CC2)[nH+]1 ZINC001163519732 1133155637 /nfs/dbraw/zinc/15/56/37/1133155637.db2.gz PCVAYKCMIRCWHD-CYBMUJFWSA-N 1 2 273.424 3.925 20 0 CHADLO Cc1nc(N(C)CC(F)(F)F)cc(C2CCCCC2)[nH+]1 ZINC001163710417 1133167786 /nfs/dbraw/zinc/16/77/86/1133167786.db2.gz IOFYIDKOKMOOLC-UHFFFAOYSA-N 1 2 287.329 3.831 20 0 CHADLO CC(C)c1ccc(N2CCC(=O)[C@H]3CCCC[C@H]32)[nH+]c1 ZINC001163797632 1133176751 /nfs/dbraw/zinc/17/67/51/1133176751.db2.gz YFGUWNJUGIKRST-LSDHHAIUSA-N 1 2 272.392 3.543 20 0 CHADLO Nc1ccccc1CNc1cc2c3c(c1)CCC[NH+]3CCC2 ZINC001163891064 1133183037 /nfs/dbraw/zinc/18/30/37/1133183037.db2.gz XFJIIGVMAVQGQS-UHFFFAOYSA-N 1 2 293.414 3.580 20 0 CHADLO CSc1cc(NCc2cc3ccccc3n2C)nc(C)[nH+]1 ZINC001163933421 1133185766 /nfs/dbraw/zinc/18/57/66/1133185766.db2.gz SYOGTHOXYDSIDV-UHFFFAOYSA-N 1 2 298.415 3.611 20 0 CHADLO Cc1cc(NCc2cc3ccccc3n2C)c[nH+]c1C ZINC001163947436 1133186871 /nfs/dbraw/zinc/18/68/71/1133186871.db2.gz ZETJJFGGPJXQPG-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO Cc1cc2cc(NCCOc3ccccc3F)[nH+]cc2[nH]1 ZINC001163950014 1133186978 /nfs/dbraw/zinc/18/69/78/1133186978.db2.gz BARZZFFELZPSLX-UHFFFAOYSA-N 1 2 285.322 3.501 20 0 CHADLO CCCc1cc(N2CCc3ccccc3[C@@H]2C)nc(C)[nH+]1 ZINC001163956364 1133188823 /nfs/dbraw/zinc/18/88/23/1133188823.db2.gz NYUVQGNVVFCFMF-ZDUSSCGKSA-N 1 2 281.403 3.861 20 0 CHADLO FC1(F)CCCN(c2cccc(C3CC3)[nH+]2)CC1 ZINC001163980732 1133190964 /nfs/dbraw/zinc/19/09/64/1133190964.db2.gz VGNWGVRRLDIWMZ-UHFFFAOYSA-N 1 2 252.308 3.585 20 0 CHADLO CC(C)c1ccc(N2CCC[C@@H](F)C(F)(F)C2)[nH+]c1 ZINC001164062522 1133195891 /nfs/dbraw/zinc/19/58/91/1133195891.db2.gz AMRQNKVLPDGWFS-GFCCVEGCSA-N 1 2 272.314 3.779 20 0 CHADLO Cc1cc(NCC[C@@H]2CC[C@@H]3C[C@@H]32)nc(C2CCC2)[nH+]1 ZINC001164152341 1133201708 /nfs/dbraw/zinc/20/17/08/1133201708.db2.gz PLBXTQJCXRERTB-NWANDNLSSA-N 1 2 271.408 3.901 20 0 CHADLO CNc1ccc(CNc2cc[nH+]c3c(OC)cccc23)cc1 ZINC001164155220 1133202054 /nfs/dbraw/zinc/20/20/54/1133202054.db2.gz BGCICMUOOVZLKL-UHFFFAOYSA-N 1 2 293.370 3.897 20 0 CHADLO Cc1cc(NCCSCc2ccco2)[nH+]c2cc[nH]c21 ZINC001164231241 1133208854 /nfs/dbraw/zinc/20/88/54/1133208854.db2.gz KTOHKZDZTATVHQ-UHFFFAOYSA-N 1 2 287.388 3.810 20 0 CHADLO Cc1cccc2c(C)cc(N3CC[C@H]4CCCO[C@@H]4C3)[nH+]c12 ZINC001165331649 1133239754 /nfs/dbraw/zinc/23/97/54/1133239754.db2.gz JSMUWRCRJZIBRZ-NVXWUHKLSA-N 1 2 296.414 3.857 20 0 CHADLO Nc1[nH+]cccc1CS[C@H]1CCCc2ccccc21 ZINC001165569781 1133245195 /nfs/dbraw/zinc/24/51/95/1133245195.db2.gz FUYAIFWXJAGZCG-HNNXBMFYSA-N 1 2 270.401 3.975 20 0 CHADLO Cc1cccc2c(C)cc(N3C[C@@H]4C[C@H]3C[C@H]4F)[nH+]c12 ZINC001166532083 1133268444 /nfs/dbraw/zinc/26/84/44/1133268444.db2.gz HAUJDUYABWABER-KCQAQPDRSA-N 1 2 270.351 3.788 20 0 CHADLO CN(Cc1ccc(Cl)cc1)c1ccc(Cl)c(N)[nH+]1 ZINC001166677053 1133281579 /nfs/dbraw/zinc/28/15/79/1133281579.db2.gz HECFBRSDYIZAHG-UHFFFAOYSA-N 1 2 282.174 3.607 20 0 CHADLO COC(=O)Cc1ccccc1Nc1c(C)cc[nH+]c1C(C)C ZINC001213058413 1133292178 /nfs/dbraw/zinc/29/21/78/1133292178.db2.gz IDXJRHNVWREWAJ-UHFFFAOYSA-N 1 2 298.386 3.973 20 0 CHADLO COc1cc[nH+]cc1NCc1ccccc1C1CC1 ZINC001167039598 1133300677 /nfs/dbraw/zinc/30/06/77/1133300677.db2.gz MSFQULLBWAMMAX-UHFFFAOYSA-N 1 2 254.333 3.580 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)OC(C)(C)O3)c(C)[nH+]1 ZINC001203372691 1133319786 /nfs/dbraw/zinc/31/97/86/1133319786.db2.gz DSEBGSCSRFDMPJ-UHFFFAOYSA-N 1 2 270.332 3.949 20 0 CHADLO Cc1ccc(Nc2ccccc2-n2ccnc2)c(C)[nH+]1 ZINC001203374097 1133319816 /nfs/dbraw/zinc/31/98/16/1133319816.db2.gz TVAALNXGFRWFNT-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)OC(C)(C)C(=O)N3)c(C)[nH+]1 ZINC001203374896 1133320057 /nfs/dbraw/zinc/32/00/57/1133320057.db2.gz MXSXJKHJHONGMZ-UHFFFAOYSA-N 1 2 297.358 3.552 20 0 CHADLO Cc1cc(C[NH2+][C@@H](CF)c2ccc(F)cc2)cc(C)n1 ZINC001203429032 1133328045 /nfs/dbraw/zinc/32/80/45/1133328045.db2.gz VEIREJYZBYJMOE-INIZCTEOSA-N 1 2 276.330 3.638 20 0 CHADLO CNc1ccc(Nc2cccc(Br)c2)c[nH+]1 ZINC001203456698 1133329958 /nfs/dbraw/zinc/32/99/58/1133329958.db2.gz RKQSCRMRAYYQME-UHFFFAOYSA-N 1 2 278.153 3.629 20 0 CHADLO CNc1ccc(Nc2cc(Cl)ccc2NC)c[nH+]1 ZINC001203459627 1133330459 /nfs/dbraw/zinc/33/04/59/1133330459.db2.gz MINSHNXFJJNYQE-UHFFFAOYSA-N 1 2 262.744 3.562 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)C(F)F)cc(C)c1OC(F)F ZINC001203470346 1133330645 /nfs/dbraw/zinc/33/06/45/1133330645.db2.gz FQXCFTXVIFBIOE-VIFPVBQESA-N 1 2 279.277 3.648 20 0 CHADLO CNc1ccc(Nc2c(F)cccc2OC(C)C)c[nH+]1 ZINC001203462089 1133331039 /nfs/dbraw/zinc/33/10/39/1133331039.db2.gz JHCFUMFOYMQLPQ-UHFFFAOYSA-N 1 2 275.327 3.793 20 0 CHADLO CNc1ccc(Nc2cc(Cl)c(F)cc2OC)c[nH+]1 ZINC001203462480 1133331051 /nfs/dbraw/zinc/33/10/51/1133331051.db2.gz UJFOCDAUNZQARJ-UHFFFAOYSA-N 1 2 281.718 3.668 20 0 CHADLO CNc1ccc(Nc2ccc3oc(C)cc3c2)c[nH+]1 ZINC001203459051 1133331190 /nfs/dbraw/zinc/33/11/90/1133331190.db2.gz WRFYPDBSAYQTJS-UHFFFAOYSA-N 1 2 253.305 3.922 20 0 CHADLO Cc1cc(NCc2ccc3c(c2)OCCCCO3)c[nH+]c1C ZINC001203486176 1133332612 /nfs/dbraw/zinc/33/26/12/1133332612.db2.gz WLOHWAHEKLOEFM-UHFFFAOYSA-N 1 2 298.386 3.862 20 0 CHADLO COCCn1c2ccccc2[nH+]c1NCC1CCCCC1 ZINC001203536241 1133336767 /nfs/dbraw/zinc/33/67/67/1133336767.db2.gz KRCLQWVWJMFPGF-UHFFFAOYSA-N 1 2 287.407 3.675 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cncc(C(F)(F)F)c2)c1 ZINC001203656005 1133349256 /nfs/dbraw/zinc/34/92/56/1133349256.db2.gz HDCFUOJUXQNUKR-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(F)c(F)nc(F)c2Cl)c1 ZINC001203654591 1133348484 /nfs/dbraw/zinc/34/84/84/1133348484.db2.gz LQNQWZCKLVEWGK-UHFFFAOYSA-N 1 2 287.672 3.908 20 0 CHADLO COc1cccc2ncc(Nc3cc(C)c[nH+]c3C)cc21 ZINC001203657627 1133348691 /nfs/dbraw/zinc/34/86/91/1133348691.db2.gz SEIWLTAZPNXXFW-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COC(=O)c1ccc(Nc2cc(C)c[nH+]c2C)c(C)c1 ZINC001203661295 1133348733 /nfs/dbraw/zinc/34/87/33/1133348733.db2.gz UFRLMVGISPMEJV-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO COc1c(F)cc(Nc2cc(C)c[nH+]c2C)cc1F ZINC001203663522 1133351513 /nfs/dbraw/zinc/35/15/13/1133351513.db2.gz OCNXEANITXBJEX-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(O)c(Cl)cc2F)c1 ZINC001203663699 1133351915 /nfs/dbraw/zinc/35/19/15/1133351915.db2.gz WQNGFAYTYSNGDE-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO Cc1cc(O)cnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203712605 1133357380 /nfs/dbraw/zinc/35/73/80/1133357380.db2.gz LOMLGJVXUNZVRR-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1conc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203713315 1133357892 /nfs/dbraw/zinc/35/78/92/1133357892.db2.gz XFDVXENRWSGHFO-UHFFFAOYSA-N 1 2 257.337 3.717 20 0 CHADLO OCc1ccccc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203718985 1133359172 /nfs/dbraw/zinc/35/91/72/1133359172.db2.gz OIBWAWQJGRFEKM-UHFFFAOYSA-N 1 2 282.387 3.913 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2[C@@H](C(F)(F)F)O3)c(C)c1 ZINC001203745980 1133364511 /nfs/dbraw/zinc/36/45/11/1133364511.db2.gz IKHPTUKLVYLAAH-QEJZJMRPSA-N 1 2 299.336 3.516 20 0 CHADLO Cc1cc(C)c(C[N@H+]2C[C@@H]3C[C@H]2[C@@H](C(F)(F)F)O3)c(C)c1 ZINC001203745980 1133364513 /nfs/dbraw/zinc/36/45/13/1133364513.db2.gz IKHPTUKLVYLAAH-QEJZJMRPSA-N 1 2 299.336 3.516 20 0 CHADLO F[C@H]1C[N@H+](Cc2cccc(Cl)c2)CCCC1(F)F ZINC001203795943 1133369837 /nfs/dbraw/zinc/36/98/37/1133369837.db2.gz ASWIFKQQVWGHII-LBPRGKRZSA-N 1 2 277.717 3.909 20 0 CHADLO F[C@H]1C[N@@H+](Cc2cccc(Cl)c2)CCCC1(F)F ZINC001203795943 1133369841 /nfs/dbraw/zinc/36/98/41/1133369841.db2.gz ASWIFKQQVWGHII-LBPRGKRZSA-N 1 2 277.717 3.909 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001203885032 1133379511 /nfs/dbraw/zinc/37/95/11/1133379511.db2.gz JKHCMNRIAQIVMK-BXKDBHETSA-N 1 2 261.262 3.638 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001203885032 1133379515 /nfs/dbraw/zinc/37/95/15/1133379515.db2.gz JKHCMNRIAQIVMK-BXKDBHETSA-N 1 2 261.262 3.638 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001203885034 1133380456 /nfs/dbraw/zinc/38/04/56/1133380456.db2.gz JKHCMNRIAQIVMK-SKDRFNHKSA-N 1 2 261.262 3.638 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001203885034 1133380460 /nfs/dbraw/zinc/38/04/60/1133380460.db2.gz JKHCMNRIAQIVMK-SKDRFNHKSA-N 1 2 261.262 3.638 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1ccc[nH]1 ZINC001204009423 1133398911 /nfs/dbraw/zinc/39/89/11/1133398911.db2.gz XTRIQJKBJUOSDJ-HZPDHXFCSA-N 1 2 264.413 3.778 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1ccc[nH]1 ZINC001204009423 1133398916 /nfs/dbraw/zinc/39/89/16/1133398916.db2.gz XTRIQJKBJUOSDJ-HZPDHXFCSA-N 1 2 264.413 3.778 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1ccc[nH]1 ZINC001204009424 1133399334 /nfs/dbraw/zinc/39/93/34/1133399334.db2.gz XTRIQJKBJUOSDJ-JKSUJKDBSA-N 1 2 264.413 3.778 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1ccc[nH]1 ZINC001204009424 1133399338 /nfs/dbraw/zinc/39/93/38/1133399338.db2.gz XTRIQJKBJUOSDJ-JKSUJKDBSA-N 1 2 264.413 3.778 20 0 CHADLO CC(C)c1ccc(C[N@@H+]2Cc3cccnc3C2)cc1 ZINC001204164960 1133413956 /nfs/dbraw/zinc/41/39/56/1133413956.db2.gz ICXUCCLLUIWIHB-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO CC(C)c1ccc(C[N@H+]2Cc3cccnc3C2)cc1 ZINC001204164960 1133413958 /nfs/dbraw/zinc/41/39/58/1133413958.db2.gz ICXUCCLLUIWIHB-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO COc1cc2c(cc1OC)C[NH+](Cc1ccc(C)cc1)C2 ZINC001204174850 1133414933 /nfs/dbraw/zinc/41/49/33/1133414933.db2.gz FEKSIICEBXAGDV-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2ccc(F)cc2F)C1 ZINC001204207802 1133419313 /nfs/dbraw/zinc/41/93/13/1133419313.db2.gz LMKYPQLXHIEBJA-CQSZACIVSA-N 1 2 257.299 3.679 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2ccc(F)cc2F)C1 ZINC001204207802 1133419317 /nfs/dbraw/zinc/41/93/17/1133419317.db2.gz LMKYPQLXHIEBJA-CQSZACIVSA-N 1 2 257.299 3.679 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1ccc(F)cc1Cl)C2 ZINC001204435412 1133447583 /nfs/dbraw/zinc/44/75/83/1133447583.db2.gz YOTPOPAJMIBYBK-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1ccc(F)cc1Cl)C2 ZINC001204435412 1133447585 /nfs/dbraw/zinc/44/75/85/1133447585.db2.gz YOTPOPAJMIBYBK-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cccc(F)c1C)C2 ZINC001204509928 1133455421 /nfs/dbraw/zinc/45/54/21/1133455421.db2.gz GSTUKVQMKXHKPO-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cccc(F)c1C)C2 ZINC001204509928 1133455424 /nfs/dbraw/zinc/45/54/24/1133455424.db2.gz GSTUKVQMKXHKPO-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Fc1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)cc(F)c1F ZINC001204526181 1133458308 /nfs/dbraw/zinc/45/83/08/1133458308.db2.gz ZIPFDGHIWNVCOD-AOOOYVTPSA-N 1 2 291.263 3.581 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)cc(F)c1F ZINC001204526181 1133458309 /nfs/dbraw/zinc/45/83/09/1133458309.db2.gz ZIPFDGHIWNVCOD-AOOOYVTPSA-N 1 2 291.263 3.581 20 0 CHADLO Cc1[nH+]c[nH]c1CN(C)Cc1c(Cl)cccc1Cl ZINC001204589931 1133464498 /nfs/dbraw/zinc/46/44/98/1133464498.db2.gz LOJHZKVWBQQSSS-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1ccc(-c2cccc(Cl)c2)o1 ZINC001204694852 1133476626 /nfs/dbraw/zinc/47/66/26/1133476626.db2.gz MICJJSHSXCTRDM-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1ccc(-c2cccc(Cl)c2)o1 ZINC001204694852 1133476630 /nfs/dbraw/zinc/47/66/30/1133476630.db2.gz MICJJSHSXCTRDM-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO Cc1nn(C)c2ccc(Nc3ccc4c(c3)[nH+]cn4C)cc12 ZINC001204869864 1133493938 /nfs/dbraw/zinc/49/39/38/1133493938.db2.gz BVVCCOMFSOBRIA-UHFFFAOYSA-N 1 2 291.358 3.512 20 0 CHADLO CCNc1ccnc(Cl)c1Nc1ccn2cc[nH+]c2c1 ZINC001204959621 1133507914 /nfs/dbraw/zinc/50/79/14/1133507914.db2.gz QYLPCKNFALRLOH-UHFFFAOYSA-N 1 2 287.754 3.558 20 0 CHADLO CC(C)c1ccc(Nc2ccn3cc[nH+]c3c2)cn1 ZINC001204959825 1133507924 /nfs/dbraw/zinc/50/79/24/1133507924.db2.gz WICGFRMBOFSXHL-UHFFFAOYSA-N 1 2 252.321 3.596 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](C(C)C)C2)cc1Cl ZINC001204974741 1133510865 /nfs/dbraw/zinc/51/08/65/1133510865.db2.gz BCVISNQNDFOUAH-OAHLLOKOSA-N 1 2 267.800 3.505 20 0 CHADLO c1cn2ccc(Nc3ccc(-c4ccccn4)nc3)cc2[nH+]1 ZINC001204970958 1133510267 /nfs/dbraw/zinc/51/02/67/1133510267.db2.gz CZHJTQIMQHXFPF-UHFFFAOYSA-N 1 2 287.326 3.535 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](C(C)C)C2)cc1Cl ZINC001204974741 1133510862 /nfs/dbraw/zinc/51/08/62/1133510862.db2.gz BCVISNQNDFOUAH-OAHLLOKOSA-N 1 2 267.800 3.505 20 0 CHADLO Brc1cccc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204978253 1133511427 /nfs/dbraw/zinc/51/14/27/1133511427.db2.gz RKTVWIVUQLOKKM-UHFFFAOYSA-N 1 2 288.148 3.840 20 0 CHADLO COc1cc(Nc2ccn3cc[nH+]c3c2)ccc1SC ZINC001204978573 1133511760 /nfs/dbraw/zinc/51/17/60/1133511760.db2.gz VKVGSKHSGLCFAF-UHFFFAOYSA-N 1 2 285.372 3.808 20 0 CHADLO Fc1cccc(Nc2ccn3cc[nH+]c3c2)c1Cl ZINC001204977131 1133511778 /nfs/dbraw/zinc/51/17/78/1133511778.db2.gz BZSZDWHBYVSMFL-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CC(C)(C)c1ccc(N)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204982825 1133512736 /nfs/dbraw/zinc/51/27/36/1133512736.db2.gz JPLOCUZPYZJHST-UHFFFAOYSA-N 1 2 280.375 3.958 20 0 CHADLO Oc1ccc(C(F)(F)F)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204983635 1133512980 /nfs/dbraw/zinc/51/29/80/1133512980.db2.gz XYMBJAFNUFZHCI-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO Cc1c(F)cc(Nc2ccn3cc[nH+]c3c2)cc1F ZINC001204991145 1133513683 /nfs/dbraw/zinc/51/36/83/1133513683.db2.gz LMSWYRBZVPVSNC-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CC(=O)c1ccc(Nc2ccn3cc[nH+]c3c2)cc1Cl ZINC001204997205 1133514677 /nfs/dbraw/zinc/51/46/77/1133514677.db2.gz GTWUFWMBDJQORL-UHFFFAOYSA-N 1 2 285.734 3.934 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1c(F)cc(F)c(F)c1F ZINC001205179319 1133541649 /nfs/dbraw/zinc/54/16/49/1133541649.db2.gz DUODUKGTKNEXNF-UHFFFAOYSA-N 1 2 280.224 3.866 20 0 CHADLO CCCC[C@@H]([NH2+]C[C@H](C)c1cccc(Cl)c1)C(=O)OC ZINC001205291966 1133556067 /nfs/dbraw/zinc/55/60/67/1133556067.db2.gz SYODMTBMRFTIDX-SWLSCSKDSA-N 1 2 297.826 3.765 20 0 CHADLO CC[C@@H]1C[NH+](C/C=C/c2ccc(F)cc2F)C[C@@H](CC)O1 ZINC001206351419 1133693884 /nfs/dbraw/zinc/69/38/84/1133693884.db2.gz VCLPTWLAJQPWCB-DOOVEFGSSA-N 1 2 295.373 3.867 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2CC(C)(C)C[C@H]2c2ccccc2)c1C ZINC001206385505 1133697693 /nfs/dbraw/zinc/69/76/93/1133697693.db2.gz VTEOIRLPIJHCEG-KRWDZBQOSA-N 1 2 283.419 4.000 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CC(C)(C)C[C@H]2c2ccccc2)c1C ZINC001206385505 1133697699 /nfs/dbraw/zinc/69/76/99/1133697699.db2.gz VTEOIRLPIJHCEG-KRWDZBQOSA-N 1 2 283.419 4.000 20 0 CHADLO C[C@@H]1C[C@@H]1CNc1ccc(Nc2ccccc2)c[nH+]1 ZINC001206726945 1133736313 /nfs/dbraw/zinc/73/63/13/1133736313.db2.gz DQKXKWAMKOWRGA-CHWSQXEVSA-N 1 2 253.349 3.893 20 0 CHADLO Fc1ccc(CNc2ccc(Nc3ccccc3)c[nH+]2)cn1 ZINC001206728926 1133736607 /nfs/dbraw/zinc/73/66/07/1133736607.db2.gz YAYFSCIFERCZMP-UHFFFAOYSA-N 1 2 294.333 3.971 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2ccccc2F)cs1 ZINC001206801991 1133751574 /nfs/dbraw/zinc/75/15/74/1133751574.db2.gz SYOBBYZGPHJOQD-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2ccccc2F)cs1 ZINC001206801991 1133751579 /nfs/dbraw/zinc/75/15/79/1133751579.db2.gz SYOBBYZGPHJOQD-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO c1cc2c(s1)C[N@H+](Cc1cc(-c3ccccc3)on1)CC2 ZINC001206843475 1133753500 /nfs/dbraw/zinc/75/35/00/1133753500.db2.gz BBWSZCUCHKVWKW-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO c1cc2c(s1)C[N@@H+](Cc1cc(-c3ccccc3)on1)CC2 ZINC001206843475 1133753505 /nfs/dbraw/zinc/75/35/05/1133753505.db2.gz BBWSZCUCHKVWKW-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO Fc1ccc(-c2cc(C[N@H+]3CCCC[C@H](F)C3)no2)cc1 ZINC001206831129 1133754722 /nfs/dbraw/zinc/75/47/22/1133754722.db2.gz LDAOWKQKAVZFDT-AWEZNQCLSA-N 1 2 292.329 3.805 20 0 CHADLO Fc1ccc(-c2cc(C[N@@H+]3CCCC[C@H](F)C3)no2)cc1 ZINC001206831129 1133754724 /nfs/dbraw/zinc/75/47/24/1133754724.db2.gz LDAOWKQKAVZFDT-AWEZNQCLSA-N 1 2 292.329 3.805 20 0 CHADLO CCC[N@H+](Cc1ccccc1)Cc1c(F)cncc1F ZINC001206858748 1133757694 /nfs/dbraw/zinc/75/76/94/1133757694.db2.gz KOIDALJTURWRGN-UHFFFAOYSA-N 1 2 276.330 3.772 20 0 CHADLO CCC[N@@H+](Cc1ccccc1)Cc1c(F)cncc1F ZINC001206858748 1133757699 /nfs/dbraw/zinc/75/76/99/1133757699.db2.gz KOIDALJTURWRGN-UHFFFAOYSA-N 1 2 276.330 3.772 20 0 CHADLO CC[N@H+](Cc1cccc(C(F)(F)F)c1)Cc1ncccn1 ZINC001207017824 1133760082 /nfs/dbraw/zinc/76/00/82/1133760082.db2.gz TUWHKBHGQASLDG-UHFFFAOYSA-N 1 2 295.308 3.518 20 0 CHADLO CC[N@@H+](Cc1cccc(C(F)(F)F)c1)Cc1ncccn1 ZINC001207017824 1133760088 /nfs/dbraw/zinc/76/00/88/1133760088.db2.gz TUWHKBHGQASLDG-UHFFFAOYSA-N 1 2 295.308 3.518 20 0 CHADLO CC(C)(C)C1CCN(Cc2[nH+]cc3ccccn32)CC1 ZINC001207048948 1133763364 /nfs/dbraw/zinc/76/33/64/1133763364.db2.gz LGFHGNNOOSBFKO-UHFFFAOYSA-N 1 2 271.408 3.592 20 0 CHADLO Cc1nccc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)s2)n1 ZINC001207166158 1133773333 /nfs/dbraw/zinc/77/33/33/1133773333.db2.gz BOXZNRPTKOLCSA-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1nccc(C[N@H+]2CCC[C@H]2c2ccc(Cl)s2)n1 ZINC001207166158 1133773339 /nfs/dbraw/zinc/77/33/39/1133773339.db2.gz BOXZNRPTKOLCSA-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO FC[C@H]1CC[N@H+](CCC2CCCCC2)CC1(F)F ZINC001207254778 1133782885 /nfs/dbraw/zinc/78/28/85/1133782885.db2.gz NEQCDTODKPYVHB-CYBMUJFWSA-N 1 2 263.347 3.884 20 0 CHADLO CCn1cnc(Cl)c1C[N@H+]1Cc2ccccc2[C@H](C)C1 ZINC001207447166 1133802588 /nfs/dbraw/zinc/80/25/88/1133802588.db2.gz ALIMQOITGNMFDX-GFCCVEGCSA-N 1 2 289.810 3.676 20 0 CHADLO CCn1cnc(Cl)c1C[N@@H+]1Cc2ccccc2[C@H](C)C1 ZINC001207447166 1133802597 /nfs/dbraw/zinc/80/25/97/1133802597.db2.gz ALIMQOITGNMFDX-GFCCVEGCSA-N 1 2 289.810 3.676 20 0 CHADLO CCOC1CC(Nc2ccc3[nH+]c(C)cc(C)c3c2)C1 ZINC001207552637 1133817132 /nfs/dbraw/zinc/81/71/32/1133817132.db2.gz CXUFFLJURGFSDX-UHFFFAOYSA-N 1 2 270.376 3.831 20 0 CHADLO FC1(C2CC2)C[NH+](CCCc2ccc(Cl)cc2)C1 ZINC001207593188 1133823832 /nfs/dbraw/zinc/82/38/32/1133823832.db2.gz PCQAUYCPXFHHDT-UHFFFAOYSA-N 1 2 267.775 3.707 20 0 CHADLO Cc1coc(C[N@H+](C)Cc2cc(-c3ccccc3)n[nH]2)c1 ZINC001207753110 1133844613 /nfs/dbraw/zinc/84/46/13/1133844613.db2.gz QNKVMBKJSZWZFL-UHFFFAOYSA-N 1 2 281.359 3.610 20 0 CHADLO Cc1coc(C[N@@H+](C)Cc2cc(-c3ccccc3)n[nH]2)c1 ZINC001207753110 1133844618 /nfs/dbraw/zinc/84/46/18/1133844618.db2.gz QNKVMBKJSZWZFL-UHFFFAOYSA-N 1 2 281.359 3.610 20 0 CHADLO CC(C)OC(=O)C(C)(C)[NH2+]C[C@@]1(C)C[C@@H]1c1ccccc1 ZINC001207752516 1133844936 /nfs/dbraw/zinc/84/49/36/1133844936.db2.gz BQGPCIFIOCVOGU-CRAIPNDOSA-N 1 2 289.419 3.500 20 0 CHADLO CC(C)(C)n1c2ccccc2[nH+]c1NCCC[C@H]1CCO1 ZINC001207873332 1133857714 /nfs/dbraw/zinc/85/77/14/1133857714.db2.gz RHGQXZGQXHJTLC-ZDUSSCGKSA-N 1 2 287.407 3.772 20 0 CHADLO Fc1cccc(F)c1CC[N@@H+]1CCCC(F)(F)[C@H](F)C1 ZINC001208112434 1133876607 /nfs/dbraw/zinc/87/66/07/1133876607.db2.gz MUYFPPXDTVPTMV-CYBMUJFWSA-N 1 2 293.279 3.577 20 0 CHADLO Fc1cccc(F)c1CC[N@H+]1CCCC(F)(F)[C@H](F)C1 ZINC001208112434 1133876612 /nfs/dbraw/zinc/87/66/12/1133876612.db2.gz MUYFPPXDTVPTMV-CYBMUJFWSA-N 1 2 293.279 3.577 20 0 CHADLO CC/C=C\CCC[NH+]1CCN(CC2CCCCC2)CC1 ZINC001208132602 1133882270 /nfs/dbraw/zinc/88/22/70/1133882270.db2.gz UVLCLEDXWSEFBA-ARJAWSKDSA-N 1 2 278.484 3.931 20 0 CHADLO CC/C=C\CCCN1CC2(CC[N@@H+]2Cc2ccccc2)C1 ZINC001208135797 1133882405 /nfs/dbraw/zinc/88/24/05/1133882405.db2.gz JYZCKMNICGLHGR-ARJAWSKDSA-N 1 2 284.447 3.693 20 0 CHADLO CC/C=C\CCCN1CC2(CC[N@H+]2Cc2ccccc2)C1 ZINC001208135797 1133882408 /nfs/dbraw/zinc/88/24/08/1133882408.db2.gz JYZCKMNICGLHGR-ARJAWSKDSA-N 1 2 284.447 3.693 20 0 CHADLO CS[C@H](C)CC[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001208147655 1133887270 /nfs/dbraw/zinc/88/72/70/1133887270.db2.gz KFOQKSPQVZGDOA-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO CS[C@H](C)CC[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001208147655 1133887273 /nfs/dbraw/zinc/88/72/73/1133887273.db2.gz KFOQKSPQVZGDOA-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO F[C@H]1C[N@H+](CCCc2ccccc2Cl)CCC1(F)F ZINC001208872242 1133957229 /nfs/dbraw/zinc/95/72/29/1133957229.db2.gz MWTLPXSFRNDPHO-ZDUSSCGKSA-N 1 2 291.744 3.952 20 0 CHADLO F[C@H]1C[N@@H+](CCCc2ccccc2Cl)CCC1(F)F ZINC001208872242 1133957231 /nfs/dbraw/zinc/95/72/31/1133957231.db2.gz MWTLPXSFRNDPHO-ZDUSSCGKSA-N 1 2 291.744 3.952 20 0 CHADLO Cc1cc(C)c(NC2=CCN(c3ccccc3)CC2)c[nH+]1 ZINC001209010139 1133970832 /nfs/dbraw/zinc/97/08/32/1133970832.db2.gz YTEWBRUTVUKMCP-UHFFFAOYSA-N 1 2 279.387 3.905 20 0 CHADLO CCOC(C)(C)C[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001209077041 1133973013 /nfs/dbraw/zinc/97/30/13/1133973013.db2.gz WVYKGDIGPMFCEP-OAHLLOKOSA-N 1 2 297.826 3.528 20 0 CHADLO CCOC(C)(C)C[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001209077041 1133973015 /nfs/dbraw/zinc/97/30/15/1133973015.db2.gz WVYKGDIGPMFCEP-OAHLLOKOSA-N 1 2 297.826 3.528 20 0 CHADLO C[N@H+](CCC(=O)c1ccccc1)Cc1cnc(Cl)s1 ZINC001209470787 1134026545 /nfs/dbraw/zinc/02/65/45/1134026545.db2.gz OFNIOTPHKMHDEM-UHFFFAOYSA-N 1 2 294.807 3.501 20 0 CHADLO C[N@@H+](CCC(=O)c1ccccc1)Cc1cnc(Cl)s1 ZINC001209470787 1134026551 /nfs/dbraw/zinc/02/65/51/1134026551.db2.gz OFNIOTPHKMHDEM-UHFFFAOYSA-N 1 2 294.807 3.501 20 0 CHADLO c1cc(Nc2ccc3c(c2)OCCN3)[nH+]c(C2CC2)c1 ZINC001209723041 1134056402 /nfs/dbraw/zinc/05/64/02/1134056402.db2.gz SQGGJWVOBKKRIV-UHFFFAOYSA-N 1 2 267.332 3.507 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ncccc3F)ccc12 ZINC001209811761 1134070695 /nfs/dbraw/zinc/07/06/95/1134070695.db2.gz RCKVOBABECIKQC-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1ccc2c(Nc3ccc(F)c(CO)c3)cccc2[nH+]1 ZINC001209880949 1134094335 /nfs/dbraw/zinc/09/43/35/1134094335.db2.gz OMBRBQZRCATBQF-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO CSc1cc(NC2=CCC(F)(F)CC2)cc[nH+]1 ZINC001210032153 1134127084 /nfs/dbraw/zinc/12/70/84/1134127084.db2.gz GTRJIZHWNOYICU-UHFFFAOYSA-N 1 2 256.321 3.919 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C)ccc1N(C)C ZINC001210043217 1134132434 /nfs/dbraw/zinc/13/24/34/1134132434.db2.gz KVLAIJHKHVQVNG-UHFFFAOYSA-N 1 2 280.375 3.691 20 0 CHADLO Cc1cc(Nc2ccc3oc(=O)[nH]c3c2)ccc1[NH+](C)C ZINC001210043396 1134132508 /nfs/dbraw/zinc/13/25/08/1134132508.db2.gz DWTPHCJBGZDPLI-UHFFFAOYSA-N 1 2 283.331 3.651 20 0 CHADLO O=C1CCCc2nc(Nc3ccc4c[nH+]ccc4c3)sc21 ZINC001210044371 1134132877 /nfs/dbraw/zinc/13/28/77/1134132877.db2.gz OYUAWHAWXJRNJW-UHFFFAOYSA-N 1 2 295.367 3.954 20 0 CHADLO Cc1cc(Nc2ccc(C3=NCCO3)cc2)ccc1[NH+](C)C ZINC001210043722 1134132991 /nfs/dbraw/zinc/13/29/91/1134132991.db2.gz REOHSSHEFDAZSS-UHFFFAOYSA-N 1 2 295.386 3.581 20 0 CHADLO Cc1nn(C)c2ccc(Nc3ccc([NH+](C)C)c(C)c3)cc12 ZINC001210044245 1134133004 /nfs/dbraw/zinc/13/30/04/1134133004.db2.gz VYVISUCPPZGGAM-UHFFFAOYSA-N 1 2 294.402 4.000 20 0 CHADLO COC(=O)Cc1cccc(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210044288 1134133112 /nfs/dbraw/zinc/13/31/12/1134133112.db2.gz WLKXAZZINYPITP-UHFFFAOYSA-N 1 2 298.386 3.520 20 0 CHADLO Cc1cc(Nc2c(Cl)cccc2CO)ccc1[NH+](C)C ZINC001210043466 1134133546 /nfs/dbraw/zinc/13/35/46/1134133546.db2.gz NTHHNKWGPWQUQC-UHFFFAOYSA-N 1 2 290.794 3.950 20 0 CHADLO Cc1cc(Nc2ccc3[nH]c(=O)ccc3c2)ccc1[NH+](C)C ZINC001210044265 1134133597 /nfs/dbraw/zinc/13/35/97/1134133597.db2.gz WGPXYKGMOCHIPV-UHFFFAOYSA-N 1 2 293.370 3.646 20 0 CHADLO c1nc(Nc2ccc3c[nH+]ccc3c2)cn1CC1CC1 ZINC001210046262 1134134227 /nfs/dbraw/zinc/13/42/27/1134134227.db2.gz BMLZOBMDTBKSRR-UHFFFAOYSA-N 1 2 264.332 3.585 20 0 CHADLO FC(F)(F)c1cnnc(Nc2ccc3c[nH+]ccc3c2)c1 ZINC001210047320 1134135301 /nfs/dbraw/zinc/13/53/01/1134135301.db2.gz XNKKNYJNPWGRGI-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(F)c(F)c1C ZINC001210131243 1134154015 /nfs/dbraw/zinc/15/40/15/1134154015.db2.gz ACJCZOAEYISPHO-UHFFFAOYSA-N 1 2 273.286 3.503 20 0 CHADLO Nc1cc(Cl)ncc1Nc1cccc2cc[nH+]cc21 ZINC001210223889 1134171028 /nfs/dbraw/zinc/17/10/28/1134171028.db2.gz HJBUXGYJQBVCSU-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO O=c1cc(Cl)[nH]cc1Nc1cccc2cc[nH+]cc21 ZINC001210224136 1134171902 /nfs/dbraw/zinc/17/19/02/1134171902.db2.gz FRLAAOBIJBIPOS-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO FC(F)Oc1ncccc1Nc1cccc2cc[nH+]cc21 ZINC001210225013 1134172332 /nfs/dbraw/zinc/17/23/32/1134172332.db2.gz ALGQINTVFDXSST-UHFFFAOYSA-N 1 2 287.269 3.975 20 0 CHADLO Cc1cc(Nc2cccc3cc[nH+]cc32)n(CC2CC2)n1 ZINC001210226439 1134172563 /nfs/dbraw/zinc/17/25/63/1134172563.db2.gz WDRFRRYZCOXXKS-UHFFFAOYSA-N 1 2 278.359 3.893 20 0 CHADLO CCOc1cncc(Nc2cccc3cc[nH+]cc32)c1 ZINC001210224477 1134172777 /nfs/dbraw/zinc/17/27/77/1134172777.db2.gz ONZKDTYJILMADC-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO Cc1cc(C)c(Nc2cccnc2C(F)(F)F)c[nH+]1 ZINC001210231927 1134173519 /nfs/dbraw/zinc/17/35/19/1134173519.db2.gz OFRIFUXXKMUHEA-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1ccc(O)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210230687 1134173997 /nfs/dbraw/zinc/17/39/97/1134173997.db2.gz LGAZLCFJYATSAD-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO c1cc2nnsc2c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210233394 1134174655 /nfs/dbraw/zinc/17/46/55/1134174655.db2.gz XRVSUJRNGMNTKD-UHFFFAOYSA-N 1 2 278.340 3.983 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1Nc1c[nH+]c(C)c(N)c1 ZINC001210287401 1134185270 /nfs/dbraw/zinc/18/52/70/1134185270.db2.gz SFZXVNZJDXZPHP-UHFFFAOYSA-N 1 2 283.162 3.726 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Cc3ccncc3)cc2)cc1N ZINC001210288233 1134186437 /nfs/dbraw/zinc/18/64/37/1134186437.db2.gz ODVFUDZCMOHXHM-UHFFFAOYSA-N 1 2 290.370 3.702 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)cc2C(C)C)cc1N ZINC001210289431 1134186657 /nfs/dbraw/zinc/18/66/57/1134186657.db2.gz LGGKRXPNWBMZOG-UHFFFAOYSA-N 1 2 259.328 3.978 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(C(F)(F)F)c2F)cc1N ZINC001210288551 1134186868 /nfs/dbraw/zinc/18/68/68/1134186868.db2.gz SGBWINTUHHXOJJ-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)c3ncccc3c2)cc1N ZINC001210290638 1134186982 /nfs/dbraw/zinc/18/69/82/1134186982.db2.gz BXDSXBSXUZQCCT-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO CSc1ccc(Nc2c[nH+]c(C)c(N)c2)c(F)c1 ZINC001210294370 1134187986 /nfs/dbraw/zinc/18/79/86/1134187986.db2.gz WWAJLSRNPWTNFC-UHFFFAOYSA-N 1 2 263.341 3.577 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(OC(F)F)cc3)ccc21 ZINC001210332827 1134194993 /nfs/dbraw/zinc/19/49/93/1134194993.db2.gz ICLRSVXBQSTOPQ-UHFFFAOYSA-N 1 2 289.285 3.918 20 0 CHADLO COc1c(F)cccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001210406915 1134210477 /nfs/dbraw/zinc/21/04/77/1134210477.db2.gz SIWPXJYYQBCFKG-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO COc1c(F)cccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001210404648 1134210659 /nfs/dbraw/zinc/21/06/59/1134210659.db2.gz YMXBZNDQUMSKSL-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO C[C@@H](O)c1cccc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001210508589 1134234451 /nfs/dbraw/zinc/23/44/51/1134234451.db2.gz VPMFKCVTOXREFT-SNVBAGLBSA-N 1 2 287.750 3.785 20 0 CHADLO COc1cc[nH+]c(Nc2cccc(F)c2Cl)c1 ZINC001210540282 1134242644 /nfs/dbraw/zinc/24/26/44/1134242644.db2.gz XAEANJCVZCFGPQ-UHFFFAOYSA-N 1 2 252.676 3.626 20 0 CHADLO CC(C)(O)c1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001210618516 1134262095 /nfs/dbraw/zinc/26/20/95/1134262095.db2.gz GEKBIFJPFFLYPK-UHFFFAOYSA-N 1 2 293.370 3.843 20 0 CHADLO CN(C)C(=O)Nc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001210633057 1134264352 /nfs/dbraw/zinc/26/43/52/1134264352.db2.gz PGXIPAXLWAKAMY-UHFFFAOYSA-N 1 2 296.374 3.796 20 0 CHADLO C[C@H](O)c1ccc(Nc2cc(OC(F)(F)F)cc[nH+]2)cc1 ZINC001210646561 1134267529 /nfs/dbraw/zinc/26/75/29/1134267529.db2.gz QGZSJMLNYOCHJK-VIFPVBQESA-N 1 2 298.264 3.777 20 0 CHADLO CCc1cc(OC)ccc1Nc1[nH+]cccc1N1CCCC1 ZINC001210712797 1134278448 /nfs/dbraw/zinc/27/84/48/1134278448.db2.gz GBTKAEMFXHRFLJ-UHFFFAOYSA-N 1 2 297.402 3.996 20 0 CHADLO COc1cc(Nc2snnc2-c2ccccc2)cc(C)[nH+]1 ZINC001210773667 1134293003 /nfs/dbraw/zinc/29/30/03/1134293003.db2.gz CRYZDDBODWOBPL-UHFFFAOYSA-N 1 2 298.371 3.661 20 0 CHADLO COc1cc(Nc2cc3ocnc3cc2Cl)cc(C)[nH+]1 ZINC001210780884 1134294911 /nfs/dbraw/zinc/29/49/11/1134294911.db2.gz RTEVHWLKWCGHQC-UHFFFAOYSA-N 1 2 289.722 3.937 20 0 CHADLO COc1cc(Nc2nc(Cl)ccc2Cl)cc(C)[nH+]1 ZINC001210779569 1134295367 /nfs/dbraw/zinc/29/53/67/1134295367.db2.gz SLQBUMGLMAGRGY-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc(Nc2ccccc2-c2cnco2)cc(C)[nH+]1 ZINC001210780527 1134295873 /nfs/dbraw/zinc/29/58/73/1134295873.db2.gz CNVFEBCVIBZJBR-UHFFFAOYSA-N 1 2 281.315 3.797 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2ccccc2n1 ZINC001210813604 1134301721 /nfs/dbraw/zinc/30/17/21/1134301721.db2.gz NOOQGEITXZWIFQ-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO Cc1ccc(C)c(Nc2ccc(OC(F)(F)F)nc2)[nH+]1 ZINC001210821432 1134304664 /nfs/dbraw/zinc/30/46/64/1134304664.db2.gz FCNIXLOQLBLTSX-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO CCc1ccc[nH+]c1Nc1cccc(OC2CC2)c1 ZINC001210859868 1134315724 /nfs/dbraw/zinc/31/57/24/1134315724.db2.gz CIMJLLPABGMIKV-UHFFFAOYSA-N 1 2 254.333 3.929 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)cc(F)c1OC ZINC001210867911 1134318785 /nfs/dbraw/zinc/31/87/85/1134318785.db2.gz VEHYBCLVPPCFDC-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc2c(c1)C(=O)CCC2 ZINC001210930806 1134328881 /nfs/dbraw/zinc/32/88/81/1134328881.db2.gz GMDQZWDEHRRICQ-UHFFFAOYSA-N 1 2 252.317 3.653 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c[nH]c2ncccc12 ZINC001210939209 1134333141 /nfs/dbraw/zinc/33/31/41/1134333141.db2.gz CHZXYPHJGICBFQ-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1ccc(Nc2cc(C(F)(F)F)ccc2N)[nH+]c1 ZINC001211010573 1134347476 /nfs/dbraw/zinc/34/74/76/1134347476.db2.gz IPXMGGASQXIZSX-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnc(OC)c(Cl)c1 ZINC001211047570 1134356078 /nfs/dbraw/zinc/35/60/78/1134356078.db2.gz RGSJKMOOZCCLMM-UHFFFAOYSA-N 1 2 277.755 3.753 20 0 CHADLO CCOc1ccc(F)c(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001211052628 1134357498 /nfs/dbraw/zinc/35/74/98/1134357498.db2.gz XLOJDXQOFZJKSL-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO CSc1ccc(Nc2cccc3[nH+]ccn32)cc1F ZINC001211108249 1134369954 /nfs/dbraw/zinc/36/99/54/1134369954.db2.gz AXABARFFKDYDLZ-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C)cc1OC ZINC001211115131 1134372428 /nfs/dbraw/zinc/37/24/28/1134372428.db2.gz FOXBOONYAGXUMY-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO Cc1ccc[nH+]c1Nc1cc(C(F)(F)F)ccc1N ZINC001211145217 1134379944 /nfs/dbraw/zinc/37/99/44/1134379944.db2.gz BVUNJVUMVNEJLR-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1c(CO)cccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001211161527 1134381319 /nfs/dbraw/zinc/38/13/19/1134381319.db2.gz AFRLPPPJUHWMAG-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Clc1ccncc1Nc1ccccc1-n1cc[nH+]c1 ZINC001211208697 1134391223 /nfs/dbraw/zinc/39/12/23/1134391223.db2.gz GYWFAFDNFMISEE-UHFFFAOYSA-N 1 2 270.723 3.664 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cccc(CO)c3F)ccc12 ZINC001211442179 1134417659 /nfs/dbraw/zinc/41/76/59/1134417659.db2.gz IKFSJLMPASBIQX-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO Cc1ccc(CO)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088000 1134424655 /nfs/dbraw/zinc/42/46/55/1134424655.db2.gz KMDMOTACNNFYPP-UHFFFAOYSA-N 1 2 279.343 3.621 20 0 CHADLO Cc1cnc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(Cl)n1 ZINC001213086044 1134424745 /nfs/dbraw/zinc/42/47/45/1134424745.db2.gz FEBKQBSRFOBJCN-UHFFFAOYSA-N 1 2 285.738 3.572 20 0 CHADLO Cn1ccc2cc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cnc21 ZINC001213086751 1134424828 /nfs/dbraw/zinc/42/48/28/1134424828.db2.gz YBYMCZUMIGBTFH-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO COc1cc(O)ccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213091073 1134425270 /nfs/dbraw/zinc/42/52/70/1134425270.db2.gz VJLVKLMSCTYIQN-UHFFFAOYSA-N 1 2 281.315 3.535 20 0 CHADLO C[C@@H](O)c1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001213089025 1134425768 /nfs/dbraw/zinc/42/57/68/1134425768.db2.gz PUSOVYACMJVUGZ-GFCCVEGCSA-N 1 2 279.343 3.874 20 0 CHADLO Oc1c(F)cc(F)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213091613 1134425963 /nfs/dbraw/zinc/42/59/63/1134425963.db2.gz AXORLMPRXZAEEV-UHFFFAOYSA-N 1 2 287.269 3.804 20 0 CHADLO Cc1cc(C)c(Nc2ncc(F)cc2Br)c[nH+]1 ZINC001213505375 1134454255 /nfs/dbraw/zinc/45/42/55/1134454255.db2.gz CSILDSNHVPUTHV-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nc2ccccc2nc1N ZINC001213495196 1134454387 /nfs/dbraw/zinc/45/43/87/1134454387.db2.gz VPEBPSHKZTWPBM-UHFFFAOYSA-N 1 2 291.358 3.536 20 0 CHADLO CC(=O)Nc1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1 ZINC001213499610 1134455008 /nfs/dbraw/zinc/45/50/08/1134455008.db2.gz RVVIUDFTDCRPIM-UHFFFAOYSA-N 1 2 281.359 3.969 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)C(=O)N(C)C2 ZINC001213499598 1134455220 /nfs/dbraw/zinc/45/52/20/1134455220.db2.gz REHFFWYUMRVHDS-UHFFFAOYSA-N 1 2 293.370 3.597 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cn(C2CCOCC2)cn1 ZINC001213497071 1134455500 /nfs/dbraw/zinc/45/55/00/1134455500.db2.gz GYRQZKJXKDDONU-UHFFFAOYSA-N 1 2 298.390 3.559 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)c(F)cc1N ZINC001213499723 1134456622 /nfs/dbraw/zinc/45/66/22/1134456622.db2.gz XTVVSAGFXXDQOZ-UHFFFAOYSA-N 1 2 275.302 3.871 20 0 CHADLO COC(=O)c1c(O)cccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213501115 1134456635 /nfs/dbraw/zinc/45/66/35/1134456635.db2.gz ITCWRKNNUOAFRW-UHFFFAOYSA-N 1 2 298.342 3.503 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1c[nH+]c(C)cc1C ZINC001213513523 1134457461 /nfs/dbraw/zinc/45/74/61/1134457461.db2.gz RYQZLPWAIXEQAN-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1cc(C)c(Nc2cccnc2-c2ccccn2)c[nH+]1 ZINC001213510856 1134457948 /nfs/dbraw/zinc/45/79/48/1134457948.db2.gz KHCKAPIJOPISNU-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1cc(C)c(-n2ccc3c2cc(N)cc3C)c[nH+]1 ZINC001213518606 1134458887 /nfs/dbraw/zinc/45/88/87/1134458887.db2.gz XXUNBXYQDWBTDO-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO COC(=O)c1cc(Nc2c[nH+]c(C)cc2C)c(F)cc1F ZINC001213515807 1134459209 /nfs/dbraw/zinc/45/92/09/1134459209.db2.gz MJLBCKOMPHWAMV-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1cc(C)c(Nc2ccc3[nH]c(=O)sc3c2)c[nH+]1 ZINC001213513602 1134459225 /nfs/dbraw/zinc/45/92/25/1134459225.db2.gz YMBPFDVRQKFAHT-UHFFFAOYSA-N 1 2 271.345 3.757 20 0 CHADLO COC(=O)c1ccc(C)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213515969 1134459557 /nfs/dbraw/zinc/45/95/57/1134459557.db2.gz VUBLXHVAJWHDCY-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1ccc(-n2nccc2Nc2c[nH+]cc(C)c2C)cc1 ZINC001213527169 1134460164 /nfs/dbraw/zinc/46/01/64/1134460164.db2.gz BGZYZZOBXGNUQG-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO COC(=O)c1cc(F)cc(F)c1Nc1c[nH+]c(C)cc1C ZINC001213521190 1134460516 /nfs/dbraw/zinc/46/05/16/1134460516.db2.gz YILCCRLVQNXPPB-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)CCC3=O)c1C ZINC001213532975 1134461341 /nfs/dbraw/zinc/46/13/41/1134461341.db2.gz ZDHOPLCFJNDSKU-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(-n3cccn3)cc2)c1C ZINC001213531283 1134461892 /nfs/dbraw/zinc/46/18/92/1134461892.db2.gz ZKIDVDCNTLGQBR-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO COC(=O)c1cccc(Nc2c[nH+]cc(C)c2C)c1C ZINC001213530620 1134462027 /nfs/dbraw/zinc/46/20/27/1134462027.db2.gz HJGMDKWJHJPYDV-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1cn(-c2c[nH+]cc(C)c2C)c2cc(N)ccc12 ZINC001213534387 1134462430 /nfs/dbraw/zinc/46/24/30/1134462430.db2.gz ZQVVAQXPQPQHHD-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO CCN(C)c1ccc(Nc2c[nH+]cc(C)c2C)cc1 ZINC001213536954 1134462911 /nfs/dbraw/zinc/46/29/11/1134462911.db2.gz UPJNKONHTFZYBS-UHFFFAOYSA-N 1 2 255.365 3.898 20 0 CHADLO CC(=O)c1ccc(C)cc1Nc1c[nH+]cc(C)c1C ZINC001213534111 1134463135 /nfs/dbraw/zinc/46/31/35/1134463135.db2.gz MZBITJSFMYDXRK-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO CCc1cccc(Nc2ccccc2N2CCCC2)[nH+]1 ZINC001213604275 1134467500 /nfs/dbraw/zinc/46/75/00/1134467500.db2.gz UENWUEGBFYPAHK-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO COc1cc(Nc2ccccc2N2CCCC2)cc(C)[nH+]1 ZINC001213603771 1134467839 /nfs/dbraw/zinc/46/78/39/1134467839.db2.gz DDQCIMWSCGCEFX-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO Nc1cc(Cl)ccc1Nc1cccc(C2CC2)[nH+]1 ZINC001213651003 1134473608 /nfs/dbraw/zinc/47/36/08/1134473608.db2.gz VEEREDYSMAYRMR-UHFFFAOYSA-N 1 2 259.740 3.938 20 0 CHADLO Nc1cc(Cl)ccc1Nc1[nH+]cccc1N1CCCC1 ZINC001213650463 1134473779 /nfs/dbraw/zinc/47/37/79/1134473779.db2.gz BMXKCWBPSROIHP-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO COc1cc[nH+]c(Nc2c(OC)cccc2C2CC2)c1 ZINC001213812318 1134487285 /nfs/dbraw/zinc/48/72/85/1134487285.db2.gz XDLLGTUHHPOUPD-UHFFFAOYSA-N 1 2 270.332 3.720 20 0 CHADLO Cc1ncc(C(F)(F)F)cc1Nc1cccn2cc[nH+]c12 ZINC001213824841 1134489274 /nfs/dbraw/zinc/48/92/74/1134489274.db2.gz NVQHLEKVDJXHTF-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO CCc1cccc(Nc2cccc3[nH]c(=O)ccc23)[nH+]1 ZINC001213972270 1134502996 /nfs/dbraw/zinc/50/29/96/1134502996.db2.gz IYVLNNZEDYGALZ-UHFFFAOYSA-N 1 2 265.316 3.641 20 0 CHADLO COc1cc(F)c(Nc2[nH+]cc(C)cc2N)cc1Cl ZINC001214060438 1134507606 /nfs/dbraw/zinc/50/76/06/1134507606.db2.gz QLKCXXDWOBFRIR-UHFFFAOYSA-N 1 2 281.718 3.517 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cc(F)ccc1N ZINC001214203971 1134521442 /nfs/dbraw/zinc/52/14/42/1134521442.db2.gz QZSBYNAMAQRAPO-UHFFFAOYSA-N 1 2 259.328 3.978 20 0 CHADLO Cc1ccc(Nc2cccc(C3CCC3)[nH+]2)c(CO)c1 ZINC001214455357 1134539201 /nfs/dbraw/zinc/53/92/01/1134539201.db2.gz OLEDOHFOFSJJPQ-UHFFFAOYSA-N 1 2 268.360 3.893 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(Cl)ccc(O)c1F ZINC001214555923 1134550789 /nfs/dbraw/zinc/55/07/89/1134550789.db2.gz ARUJHZVAJYNPGF-UHFFFAOYSA-N 1 2 282.702 3.722 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)c(C)ccc2OC)c[nH+]1 ZINC001214627048 1134558459 /nfs/dbraw/zinc/55/84/59/1134558459.db2.gz FZKMBZAIXZTKIA-UHFFFAOYSA-N 1 2 289.354 3.737 20 0 CHADLO Cc1ccc(Nc2ccc(CO)c(C(F)(F)F)c2)[nH+]c1 ZINC001214673357 1134564722 /nfs/dbraw/zinc/56/47/22/1134564722.db2.gz ZWKPWKYFNYHYKY-UHFFFAOYSA-N 1 2 282.265 3.645 20 0 CHADLO COc1c(F)cc(Nc2cccn3cc[nH+]c23)cc1Cl ZINC001214966581 1134589141 /nfs/dbraw/zinc/58/91/41/1134589141.db2.gz QQDWYYNELFBHPK-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO OCc1ccc(Nc2cccc3cc[nH+]cc32)c(F)c1F ZINC001215038489 1134595149 /nfs/dbraw/zinc/59/51/49/1134595149.db2.gz OOHTYTWTXRHZAL-UHFFFAOYSA-N 1 2 286.281 3.749 20 0 CHADLO Fc1ccc(Nc2ccccc2-n2cc[nH+]c2)c2c[nH]nc21 ZINC001215374844 1134620296 /nfs/dbraw/zinc/62/02/96/1134620296.db2.gz DLNFZJJZVQERNE-UHFFFAOYSA-N 1 2 293.305 3.631 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(F)c2n[nH]cc21 ZINC001215375603 1134620970 /nfs/dbraw/zinc/62/09/70/1134620970.db2.gz XCBULXDBTGAILG-UHFFFAOYSA-N 1 2 270.311 3.711 20 0 CHADLO CSc1ccc(F)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001215444760 1134628191 /nfs/dbraw/zinc/62/81/91/1134628191.db2.gz ZCQVJUZRHKQKAS-UHFFFAOYSA-N 1 2 277.368 3.752 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(F)c(OC(C)C)c1 ZINC001215495849 1134633464 /nfs/dbraw/zinc/63/34/64/1134633464.db2.gz ILIAJYCHECKGED-UHFFFAOYSA-N 1 2 276.311 3.766 20 0 CHADLO COCc1cc(C)c(Nc2ccn3cc[nH+]c3c2)c(C)c1 ZINC001215529754 1134638739 /nfs/dbraw/zinc/63/87/39/1134638739.db2.gz BBIFVXOGMCFSOA-UHFFFAOYSA-N 1 2 281.359 3.841 20 0 CHADLO COc1c(F)c(C)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001215601150 1134651076 /nfs/dbraw/zinc/65/10/76/1134651076.db2.gz GSMIJXMKDDXMRC-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1ccc(C)c(Nc2ccc(Cl)c(CO)c2F)[nH+]1 ZINC001215607028 1134653256 /nfs/dbraw/zinc/65/32/56/1134653256.db2.gz YIBASJPGUYBCRD-UHFFFAOYSA-N 1 2 280.730 3.727 20 0 CHADLO OCc1c(F)ccc(Nc2cccc3cc[nH+]cc32)c1F ZINC001215651442 1134656825 /nfs/dbraw/zinc/65/68/25/1134656825.db2.gz ADNWSJZOBCKGST-UHFFFAOYSA-N 1 2 286.281 3.749 20 0 CHADLO COc1cc(Nc2ccc3c(c2)[nH+]cn3C)cc(C)c1F ZINC001215704712 1134663095 /nfs/dbraw/zinc/66/30/95/1134663095.db2.gz SPJFUVDRXFNNLF-UHFFFAOYSA-N 1 2 285.322 3.773 20 0 CHADLO COc1cc(C)cc(F)c1Nc1ccc(C)[nH+]c1C ZINC001215705760 1134663433 /nfs/dbraw/zinc/66/34/33/1134663433.db2.gz JXMUIWNLRXOGMZ-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO Cc1cn2c(cccc2Nc2c(F)ccc(C)c2O)[nH+]1 ZINC001215735842 1134668741 /nfs/dbraw/zinc/66/87/41/1134668741.db2.gz IIBIEINSMHWDCV-UHFFFAOYSA-N 1 2 271.295 3.539 20 0 CHADLO CSc1cc(Nc2ccc(C)c3n[nH]cc32)cc[nH+]1 ZINC001215756602 1134674914 /nfs/dbraw/zinc/67/49/14/1134674914.db2.gz CQHXBRGMFLOXNQ-UHFFFAOYSA-N 1 2 270.361 3.732 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(SC)c1 ZINC001215794355 1134688171 /nfs/dbraw/zinc/68/81/71/1134688171.db2.gz MNIQIQAGSOTHOD-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO COc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1C ZINC001215799771 1134691008 /nfs/dbraw/zinc/69/10/08/1134691008.db2.gz POGXKNFWMYNQTA-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(F)c(C(=O)OC)c1 ZINC001215853749 1134703133 /nfs/dbraw/zinc/70/31/33/1134703133.db2.gz ONNFBNPFDKZAIO-UHFFFAOYSA-N 1 2 288.322 3.622 20 0 CHADLO CSc1cccc(Nc2cccn3cc[nH+]c23)c1F ZINC001215867347 1134707793 /nfs/dbraw/zinc/70/77/93/1134707793.db2.gz ZEOMJAZIMSISSG-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO Cc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(OC(C)C)c1 ZINC001215877092 1134711740 /nfs/dbraw/zinc/71/17/40/1134711740.db2.gz ILOQGOBVUJCKNZ-UHFFFAOYSA-N 1 2 285.391 3.987 20 0 CHADLO CSc1ccc(Nc2cccn3cc[nH+]c23)c(C)n1 ZINC001215908232 1134715832 /nfs/dbraw/zinc/71/58/32/1134715832.db2.gz KBJVYAQTOQNSMU-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO Cc1ccc(Nc2ccc(Cl)c3n[nH]cc32)c(C)[nH+]1 ZINC001215940891 1134726299 /nfs/dbraw/zinc/72/62/99/1134726299.db2.gz MVWBCQXBNBLVRE-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO CCc1ccc[nH+]c1Nc1cc(OC(F)(F)F)ccc1N ZINC001216014537 1134751363 /nfs/dbraw/zinc/75/13/63/1134751363.db2.gz VGEKFKJLQXTMRC-UHFFFAOYSA-N 1 2 297.280 3.868 20 0 CHADLO CCc1cc(Nc2cccn3cc(C)[nH+]c23)ccc1N ZINC001216037282 1134756041 /nfs/dbraw/zinc/75/60/41/1134756041.db2.gz XHEUTGHKBAFFQJ-UHFFFAOYSA-N 1 2 266.348 3.531 20 0 CHADLO Nc1ccc(Nc2ccn3cc[nH+]c3c2)cc1C(F)(F)F ZINC001216051624 1134761295 /nfs/dbraw/zinc/76/12/95/1134761295.db2.gz UFWCPBACOLOKRR-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N2CCCC2)cc(O)c1F ZINC001216078666 1134769020 /nfs/dbraw/zinc/76/90/20/1134769020.db2.gz OKFIBOLEWUASII-UHFFFAOYSA-N 1 2 287.338 3.579 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(C)c(O)c(Cl)c1 ZINC001216087283 1134772659 /nfs/dbraw/zinc/77/26/59/1134772659.db2.gz GMLIEHBRVMHYGS-UHFFFAOYSA-N 1 2 287.750 3.584 20 0 CHADLO CN(C)c1cc(F)cc(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001216161652 1134792670 /nfs/dbraw/zinc/79/26/70/1134792670.db2.gz IDDQFKMOQVOTKX-UHFFFAOYSA-N 1 2 284.338 3.522 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cncc(F)c2C)cc1 ZINC001216196254 1134807562 /nfs/dbraw/zinc/80/75/62/1134807562.db2.gz NLWJPTGGXBUDFF-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cncc(F)c2C)cc1 ZINC001216196254 1134807569 /nfs/dbraw/zinc/80/75/69/1134807569.db2.gz NLWJPTGGXBUDFF-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)cnc1SC ZINC001216241473 1134821196 /nfs/dbraw/zinc/82/11/96/1134821196.db2.gz TUKZSOSYXLSYCA-UHFFFAOYSA-N 1 2 275.377 3.649 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2C(C)C)cc1N ZINC001216256504 1134829046 /nfs/dbraw/zinc/82/90/46/1134829046.db2.gz GOJSQQFYYSKFEW-UHFFFAOYSA-N 1 2 257.337 3.539 20 0 CHADLO CCN(C)c1ccc(Nc2cnc(Cl)cc2C)c[nH+]1 ZINC001216279849 1134834589 /nfs/dbraw/zinc/83/45/89/1134834589.db2.gz XHFLFGBCNFIGSW-UHFFFAOYSA-N 1 2 276.771 3.638 20 0 CHADLO CCOc1cc(C)cc(F)c1Nc1c[nH+]ccc1OC ZINC001216367320 1134869784 /nfs/dbraw/zinc/86/97/84/1134869784.db2.gz FQEOPGITGDMMFO-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(OC)ncc1Cl ZINC001216385583 1134877971 /nfs/dbraw/zinc/87/79/71/1134877971.db2.gz WAZBZVIBZYZNEU-UHFFFAOYSA-N 1 2 277.755 3.753 20 0 CHADLO Oc1ccc(Nc2ccccc2-n2cc[nH+]c2)c(Cl)c1 ZINC001216428776 1134893827 /nfs/dbraw/zinc/89/38/27/1134893827.db2.gz YVKVCLHDECEDQD-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(O)cc3Cl)ccc21 ZINC001216429492 1134893919 /nfs/dbraw/zinc/89/39/19/1134893919.db2.gz VCXFZCKNAQAMBN-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(F)ccc1Cl ZINC001216478727 1134903319 /nfs/dbraw/zinc/90/33/19/1134903319.db2.gz ZTCFJFSSYYUJID-UHFFFAOYSA-N 1 2 275.714 3.709 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1ccc(C#N)c(F)c1 ZINC000404595070 1134947363 /nfs/dbraw/zinc/94/73/63/1134947363.db2.gz WQAFLMRSKRTVQI-UHFFFAOYSA-N 1 2 291.329 3.588 20 0 CHADLO Cc1ccc2ccccc2c1CO[C@H]1C[NH2+]CC(F)(F)C1 ZINC001217854355 1135009972 /nfs/dbraw/zinc/00/99/72/1135009972.db2.gz GHUBFWCVMGAIFY-CQSZACIVSA-N 1 2 291.341 3.662 20 0 CHADLO CC(C)=CCC/C(C)=C/CO[C@@H]1C[NH2+]CC(F)(F)C1 ZINC001217854329 1135010184 /nfs/dbraw/zinc/01/01/84/1135010184.db2.gz FWWNEGZGSPKADD-GUNGLEHYSA-N 1 2 273.367 3.693 20 0 CHADLO Cc1ccc(Cl)cc1[C@@H](C)Oc1cc(N)cc[nH+]1 ZINC001218299723 1135082015 /nfs/dbraw/zinc/08/20/15/1135082015.db2.gz GSRJGRKBYBWXIR-SNVBAGLBSA-N 1 2 262.740 3.766 20 0 CHADLO CC(C)C[C@@H](Cc1ccccc1)Oc1ccc[nH+]c1N ZINC001218357723 1135094975 /nfs/dbraw/zinc/09/49/75/1135094975.db2.gz KELXYDRKANTSLQ-HNNXBMFYSA-N 1 2 270.376 3.700 20 0 CHADLO Nc1ccc(Nc2cccc(OCc3ccccc3)c2)c[nH+]1 ZINC000309676774 1135096523 /nfs/dbraw/zinc/09/65/23/1135096523.db2.gz XFHOAIDYCQHFKU-UHFFFAOYSA-N 1 2 291.354 3.986 20 0 CHADLO Cc1cc(N2CCc3ccc(N)cc3C2)[nH+]c2ccccc12 ZINC000319962581 1135192234 /nfs/dbraw/zinc/19/22/34/1135192234.db2.gz DAFJUIIPGFKSBD-UHFFFAOYSA-N 1 2 289.382 3.688 20 0 CHADLO CC(C)(C)C1CCC(OCCn2cc[nH+]c2)CC1 ZINC001222134842 1135198402 /nfs/dbraw/zinc/19/84/02/1135198402.db2.gz PWKUXJYFDSBJDP-UHFFFAOYSA-N 1 2 250.386 3.505 20 0 CHADLO Cc1cc(NC[C@H]2Cc3ccccc3O2)[nH+]c2ccccc12 ZINC000320026784 1135200397 /nfs/dbraw/zinc/20/03/97/1135200397.db2.gz BJQIFFJAOVTYMP-OAHLLOKOSA-N 1 2 290.366 3.959 20 0 CHADLO CN(C)c1cc(-c2cccc(OC(F)(F)F)c2)cc[nH+]1 ZINC001222326679 1135227367 /nfs/dbraw/zinc/22/73/67/1135227367.db2.gz ASHVVNOIBVARMZ-UHFFFAOYSA-N 1 2 282.265 3.713 20 0 CHADLO Cc1cc(-c2cn(C)nc2-c2ccccc2)c2[nH+]ccn2c1 ZINC001222540124 1135249846 /nfs/dbraw/zinc/24/98/46/1135249846.db2.gz CPOYUFJNCDGKEC-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO Cc1ccc(C)c2c1CCC[C@H]2OCc1c[nH+]cn1C ZINC001222595356 1135254292 /nfs/dbraw/zinc/25/42/92/1135254292.db2.gz XRMHAPSZYHLHOG-MRXNPFEDSA-N 1 2 270.376 3.631 20 0 CHADLO C[NH+]1CC(O[C@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC001223121338 1135307851 /nfs/dbraw/zinc/30/78/51/1135307851.db2.gz IRANHKXNFMSWEF-QGZVFWFLSA-N 1 2 287.790 3.760 20 0 CHADLO Cc1cnc(F)c([C@@H](C)OCc2cc(C)[nH+]c(C)c2)c1 ZINC001223424120 1135343142 /nfs/dbraw/zinc/34/31/42/1135343142.db2.gz HKGTUTVMHHOHEI-CYBMUJFWSA-N 1 2 274.339 3.819 20 0 CHADLO Cc1cc(CO[C@H](C)COc2ccccc2)cc(C)[nH+]1 ZINC001223422634 1135343336 /nfs/dbraw/zinc/34/33/36/1135343336.db2.gz YHYYXNRPQHNIAG-OAHLLOKOSA-N 1 2 271.360 3.683 20 0 CHADLO CC(=O)[C@H](OCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC001223424978 1135343502 /nfs/dbraw/zinc/34/35/02/1135343502.db2.gz OIIZZFVUDMHHEF-KRWDZBQOSA-N 1 2 269.344 3.545 20 0 CHADLO Cc1cc(COC[C@@H]2CCc3ccccc3O2)cc(C)[nH+]1 ZINC001223421120 1135343559 /nfs/dbraw/zinc/34/35/59/1135343559.db2.gz NOBJEGMBLYSPCI-KRWDZBQOSA-N 1 2 283.371 3.609 20 0 CHADLO COc1ccc2c(c1)CC[C@@H](OCc1cc(C)[nH+]c(C)c1)C2 ZINC001223425452 1135344932 /nfs/dbraw/zinc/34/49/32/1135344932.db2.gz RXTZKMFQNPEZAR-LJQANCHMSA-N 1 2 297.398 3.781 20 0 CHADLO C[C@@H](OC1C[NH+](Cc2ccccc2)C1)c1ccccc1 ZINC001223450978 1135347194 /nfs/dbraw/zinc/34/71/94/1135347194.db2.gz YWVLDGNZOLWZPX-OAHLLOKOSA-N 1 2 267.372 3.649 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](C(C)=O)c1ccccc1 ZINC001224964711 1135497588 /nfs/dbraw/zinc/49/75/88/1135497588.db2.gz BYGQNOIDELPBJY-MRXNPFEDSA-N 1 2 277.364 3.517 20 0 CHADLO CC(C)CCOC(=O)[C@@H](Oc1cc[nH+]cc1)c1ccccc1 ZINC001225290784 1135536197 /nfs/dbraw/zinc/53/61/97/1135536197.db2.gz HTTHVKXMBBDDTM-KRWDZBQOSA-N 1 2 299.370 3.791 20 0 CHADLO COc1ccc(OC)c([C@H](C)Oc2c(C)cc[nH+]c2C)c1 ZINC001227266145 1135813188 /nfs/dbraw/zinc/81/31/88/1135813188.db2.gz XCRZQZDRIPNCDS-ZDUSSCGKSA-N 1 2 287.359 3.856 20 0 CHADLO Cc1cnc(F)c([C@@H](C)Oc2c(C)cc[nH+]c2C)c1 ZINC001227266129 1135813337 /nfs/dbraw/zinc/81/33/37/1135813337.db2.gz WXCHAKDFKRPASD-GFCCVEGCSA-N 1 2 260.312 3.681 20 0 CHADLO CC(C)(C)[NH+]1CC(Oc2ccc(Cl)cc2Cl)C1 ZINC001227512876 1135842090 /nfs/dbraw/zinc/84/20/90/1135842090.db2.gz HNPASYOKZPEESP-UHFFFAOYSA-N 1 2 274.191 3.855 20 0 CHADLO Cc1cc(-c2ccc(Cl)c(Cl)c2)c[nH+]c1N ZINC000082730075 178247337 /nfs/dbraw/zinc/24/73/37/178247337.db2.gz KJQAGDXPABAFLM-UHFFFAOYSA-N 1 2 253.132 3.946 20 0 CHADLO Cc1cc(-c2ccc(C(F)(F)F)cc2)c[nH+]c1N ZINC000082730065 178247498 /nfs/dbraw/zinc/24/74/98/178247498.db2.gz PIXWZKDBWYXZQZ-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO CC[C@@H](C)c1cc(-c2nc(Cc3ccc(C)[nH+]c3)no2)on1 ZINC000356110894 529428222 /nfs/dbraw/zinc/42/82/22/529428222.db2.gz MPDCLKQSCHZUNW-SNVBAGLBSA-N 1 2 298.346 3.532 20 0 CHADLO CCc1cc(N2C[C@H](C)CC[C@@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000301454646 529536826 /nfs/dbraw/zinc/53/68/26/529536826.db2.gz ROOWRWWJMGUAQW-KGLIPLIRSA-N 1 2 296.418 3.726 20 0 CHADLO CC[C@@H](C[C@H](C)CO)Nc1[nH+]ccc2ccc(F)cc21 ZINC000354547503 529538292 /nfs/dbraw/zinc/53/82/92/529538292.db2.gz WDWMWQFSAZZUEO-FZMZJTMJSA-N 1 2 276.355 3.583 20 0 CHADLO CCc1cc(N2CCC[C@@H](CC)C2)nc(-c2ccncc2)[nH+]1 ZINC000301191142 529531889 /nfs/dbraw/zinc/53/18/89/529531889.db2.gz WIFKJRIFYPXVPO-CQSZACIVSA-N 1 2 296.418 3.727 20 0 CHADLO CCc1cnc(C[NH2+]Cc2sccc2Cl)s1 ZINC000193130969 529652843 /nfs/dbraw/zinc/65/28/43/529652843.db2.gz BMOAGIHMHGVYSY-UHFFFAOYSA-N 1 2 272.826 3.710 20 0 CHADLO CC[C@H]([NH2+]Cc1nc2ccc(F)cc2o1)c1nccs1 ZINC000347924280 529637526 /nfs/dbraw/zinc/63/75/26/529637526.db2.gz VPCUEVLPVADBBZ-JTQLQIEISA-N 1 2 291.351 3.664 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000080265772 260179236 /nfs/dbraw/zinc/17/92/36/260179236.db2.gz GKEUHEWJXHURRW-RYUDHWBXSA-N 1 2 276.405 3.872 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H](C)C(C)(C)C)n1 ZINC000573438661 334937562 /nfs/dbraw/zinc/93/75/62/334937562.db2.gz VCUCPBZZNRVAAZ-LBPRGKRZSA-N 1 2 273.380 3.708 20 0 CHADLO Cc1nc(Cc2cn3ccccc3[nH+]2)sc1C(C)C ZINC000573444866 334938337 /nfs/dbraw/zinc/93/83/37/334938337.db2.gz HTPVNGKXEWKIHT-UHFFFAOYSA-N 1 2 271.389 3.813 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1cccc(OC)c1 ZINC000352554693 529730463 /nfs/dbraw/zinc/73/04/63/529730463.db2.gz UVYLFHKTXSJGRG-UHFFFAOYSA-N 1 2 257.333 3.540 20 0 CHADLO CCc1nnc(C[N@@H+](CC)Cc2ccc3ccccc3c2)[nH]1 ZINC000354847298 529735463 /nfs/dbraw/zinc/73/54/63/529735463.db2.gz ZIRNRRDZMOLXKL-UHFFFAOYSA-N 1 2 294.402 3.542 20 0 CHADLO CCc1nnc(C[N@H+](CC)Cc2ccc3ccccc3c2)[nH]1 ZINC000354847298 529735464 /nfs/dbraw/zinc/73/54/64/529735464.db2.gz ZIRNRRDZMOLXKL-UHFFFAOYSA-N 1 2 294.402 3.542 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cnc(C2CCC2)s1 ZINC000352653910 530013128 /nfs/dbraw/zinc/01/31/28/530013128.db2.gz GAZWVQZYZYTHBT-UHFFFAOYSA-N 1 2 262.378 3.636 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cnc(C2CCC2)s1 ZINC000352653910 530013129 /nfs/dbraw/zinc/01/31/29/530013129.db2.gz GAZWVQZYZYTHBT-UHFFFAOYSA-N 1 2 262.378 3.636 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)NC(C)(C)CC(C)(C)C)ccn12 ZINC000573739659 334962322 /nfs/dbraw/zinc/96/23/22/334962322.db2.gz AZMSFHVQRZQLNN-UHFFFAOYSA-N 1 2 287.407 3.587 20 0 CHADLO CC(C)C(C[NH2+][C@@H](C1CC1)C(F)(F)F)C(C)C ZINC000574082462 334990717 /nfs/dbraw/zinc/99/07/17/334990717.db2.gz UXZIYJOFCCSSJZ-LBPRGKRZSA-N 1 2 251.336 3.845 20 0 CHADLO Cc1cccc(CCSCCOc2ccccc2F)[nH+]1 ZINC000574277182 335006222 /nfs/dbraw/zinc/00/62/22/335006222.db2.gz MMFQMZGYXFCEKD-UHFFFAOYSA-N 1 2 291.391 3.884 20 0 CHADLO F[C@]1(c2ccccc2)CCN(c2[nH]c3ccccc3[nH+]2)C1 ZINC000574341167 335012435 /nfs/dbraw/zinc/01/24/35/335012435.db2.gz SGRVJNLLYNRHJO-QGZVFWFLSA-N 1 2 281.334 3.638 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccncc1Cl)C2 ZINC000361599063 261356047 /nfs/dbraw/zinc/35/60/47/261356047.db2.gz BMROSCYFUYKZMQ-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccncc1Cl)C2 ZINC000361599063 261356049 /nfs/dbraw/zinc/35/60/49/261356049.db2.gz BMROSCYFUYKZMQ-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO CC(C)C1CCC([N@H+](C)Cc2nnsc2Cl)CC1 ZINC000574626247 335034540 /nfs/dbraw/zinc/03/45/40/335034540.db2.gz JIIYLTMCTOHRRH-UHFFFAOYSA-N 1 2 287.860 3.838 20 0 CHADLO CC(C)C1CCC([N@@H+](C)Cc2nnsc2Cl)CC1 ZINC000574626247 335034541 /nfs/dbraw/zinc/03/45/41/335034541.db2.gz JIIYLTMCTOHRRH-UHFFFAOYSA-N 1 2 287.860 3.838 20 0 CHADLO COc1ccccc1[C@@H]1CCCN1c1cc[nH+]c(C2CC2)n1 ZINC000112555636 532984300 /nfs/dbraw/zinc/98/43/00/532984300.db2.gz HGXDXDYBPBMGHU-HNNXBMFYSA-N 1 2 295.386 3.704 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)cc2Cl)CC(C)(C)O1 ZINC000521311824 260042006 /nfs/dbraw/zinc/04/20/06/260042006.db2.gz QQKLOCXFVQUETI-SNVBAGLBSA-N 1 2 288.218 3.993 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2Cl)CC(C)(C)O1 ZINC000521311824 260042007 /nfs/dbraw/zinc/04/20/07/260042007.db2.gz QQKLOCXFVQUETI-SNVBAGLBSA-N 1 2 288.218 3.993 20 0 CHADLO C[C@H]1C[N@@H+](Cc2c(Cl)cccc2Cl)CC(C)(C)O1 ZINC000521308658 260041392 /nfs/dbraw/zinc/04/13/92/260041392.db2.gz CEDBJRXESSHULI-JTQLQIEISA-N 1 2 288.218 3.993 20 0 CHADLO COc1c2ccccc2oc1C[NH2+][C@H](C)c1cc(C)on1 ZINC000353596365 533451170 /nfs/dbraw/zinc/45/11/70/533451170.db2.gz NINNYOGJWCDBHI-LLVKDONJSA-N 1 2 286.331 3.589 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1C(F)(F)F)c1ccon1 ZINC000351995207 533459165 /nfs/dbraw/zinc/45/91/65/533459165.db2.gz PEYFIDMGSIXHCX-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccoc2)c(Cl)c1 ZINC000352652654 533544190 /nfs/dbraw/zinc/54/41/90/533544190.db2.gz PVRJTZGZIRUFDB-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccoc2)c(Cl)c1 ZINC000352652654 533544193 /nfs/dbraw/zinc/54/41/93/533544193.db2.gz PVRJTZGZIRUFDB-UHFFFAOYSA-N 1 2 265.740 3.574 20 0 CHADLO C[C@@H](Nc1[nH+]ccc2ccc(F)cc21)C1CCOCC1 ZINC000354882225 533566303 /nfs/dbraw/zinc/56/63/03/533566303.db2.gz SUYRAJPUJUGSCG-LLVKDONJSA-N 1 2 274.339 3.601 20 0 CHADLO Cc1ccc(C(C)(C)[NH2+]Cc2ncc(Cl)s2)cn1 ZINC000349699581 533635698 /nfs/dbraw/zinc/63/56/98/533635698.db2.gz HLNKNZYORNYHBB-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO Cc1nc(C[NH2+]C2(c3cccc(F)c3)CC2)c(C)s1 ZINC000341854406 130095393 /nfs/dbraw/zinc/09/53/93/130095393.db2.gz AUFSDESOIVNKFZ-UHFFFAOYSA-N 1 2 276.380 3.678 20 0 CHADLO Cc1noc(C[NH2+][C@@H](CC(C)C)c2ccc(Cl)cc2)n1 ZINC000341899577 130141034 /nfs/dbraw/zinc/14/10/34/130141034.db2.gz WCMUMHUMBFCLQL-AWEZNQCLSA-N 1 2 293.798 3.908 20 0 CHADLO CCc1nc(C[NH+]2CCC(CC(F)(F)F)CC2)cs1 ZINC000341980589 130167285 /nfs/dbraw/zinc/16/72/85/130167285.db2.gz AMURPOBWOQVMPX-UHFFFAOYSA-N 1 2 292.370 3.870 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccccc2C(F)(F)F)o1 ZINC000342017407 130201454 /nfs/dbraw/zinc/20/14/54/130201454.db2.gz XSAOHBQVVYALMQ-VIFPVBQESA-N 1 2 299.296 3.502 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2ccccc2OC)cc(C)[nH+]1 ZINC000342069878 130234514 /nfs/dbraw/zinc/23/45/14/130234514.db2.gz YSZDPZDYAIILNT-OAHLLOKOSA-N 1 2 297.402 3.698 20 0 CHADLO Cc1cccc(NCc2cn3c(cccc3C)[nH+]2)c1C ZINC000008415230 170335672 /nfs/dbraw/zinc/33/56/72/170335672.db2.gz PGSMIEDTWICKDQ-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO Cc1cc(NC(=O)c2ccc3c(c2)CCCC3)cc[nH+]1 ZINC000010165084 170399636 /nfs/dbraw/zinc/39/96/36/170399636.db2.gz NUEOUVVNDBRERD-UHFFFAOYSA-N 1 2 266.344 3.521 20 0 CHADLO Cc1cc(NC(=O)COc2ccc3ccccc3c2)cc[nH+]1 ZINC000010163314 170399666 /nfs/dbraw/zinc/39/96/66/170399666.db2.gz OSLAAEIRCAVCJT-UHFFFAOYSA-N 1 2 292.338 3.561 20 0 CHADLO CCC(=O)c1ccc(OCc2[nH+]cc(C)c(OC)c2C)cc1 ZINC000020260811 171117673 /nfs/dbraw/zinc/11/76/73/171117673.db2.gz XOJWHLLVDQJGTD-UHFFFAOYSA-N 1 2 299.370 3.879 20 0 CHADLO Cc1nccc(C[NH2+][C@H](C)c2cc(Cl)ccc2Cl)n1 ZINC000038037310 174037363 /nfs/dbraw/zinc/03/73/63/174037363.db2.gz WRYVJMRHTYFRNB-SECBINFHSA-N 1 2 296.201 3.943 20 0 CHADLO FC(F)(F)c1cc(Cn2cc[nH+]c2)cc(C(F)(F)F)c1 ZINC000040567350 174337832 /nfs/dbraw/zinc/33/78/32/174337832.db2.gz XITLEILYHLIBPC-UHFFFAOYSA-N 1 2 294.198 3.969 20 0 in-vivo CHADLO Cc1cc[nH+]c(C)c1NC(=O)c1ccc(C(F)(F)F)cc1 ZINC000334591240 225025524 /nfs/dbraw/zinc/02/55/24/225025524.db2.gz PMKYJTGATJNYEF-UHFFFAOYSA-N 1 2 294.276 3.970 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H](C)c3ccccc3)cc2[nH+]1 ZINC000344255710 225007039 /nfs/dbraw/zinc/00/70/39/225007039.db2.gz ITPOHWNBBWTUDA-LLVKDONJSA-N 1 2 279.343 3.614 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000344920204 225218712 /nfs/dbraw/zinc/21/87/12/225218712.db2.gz DJIWOZQZXXQLID-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccoc1C[N@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000344920204 225218716 /nfs/dbraw/zinc/21/87/16/225218716.db2.gz DJIWOZQZXXQLID-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2F)oc1C ZINC000334689109 225274380 /nfs/dbraw/zinc/27/43/80/225274380.db2.gz IQPIJXWHKSTPSZ-HNNXBMFYSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2F)oc1C ZINC000334689109 225274382 /nfs/dbraw/zinc/27/43/82/225274382.db2.gz IQPIJXWHKSTPSZ-HNNXBMFYSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H](C(F)(F)F)[C@@H]2C)s1 ZINC000334692096 225275211 /nfs/dbraw/zinc/27/52/11/225275211.db2.gz LMVLIBSSWNGTDI-UWVGGRQHSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H](C(F)(F)F)[C@@H]2C)s1 ZINC000334692096 225275215 /nfs/dbraw/zinc/27/52/15/225275215.db2.gz LMVLIBSSWNGTDI-UWVGGRQHSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](C(C)(C)C)C2)c(C)[nH+]1 ZINC000334706041 225281824 /nfs/dbraw/zinc/28/18/24/225281824.db2.gz QSFXTXYRIXSJOD-AWEZNQCLSA-N 1 2 289.423 3.907 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCC[C@H]3c3cccnc3)nc2c1 ZINC000346979579 226003940 /nfs/dbraw/zinc/00/39/40/226003940.db2.gz MFVQXZGIWNGMQK-HNNXBMFYSA-N 1 2 297.333 3.699 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCC[C@H]3c3cccnc3)nc2c1 ZINC000346979579 226003944 /nfs/dbraw/zinc/00/39/44/226003944.db2.gz MFVQXZGIWNGMQK-HNNXBMFYSA-N 1 2 297.333 3.699 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000347339759 226118015 /nfs/dbraw/zinc/11/80/15/226118015.db2.gz CCOIUDYZIGHTKS-HNNXBMFYSA-N 1 2 296.292 3.554 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2coc(-c3ccc(F)c(F)c3)n2)C1 ZINC000347339759 226118020 /nfs/dbraw/zinc/11/80/20/226118020.db2.gz CCOIUDYZIGHTKS-HNNXBMFYSA-N 1 2 296.292 3.554 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CC[C@@H](CC3CC3)C2)s1 ZINC000354526431 227022723 /nfs/dbraw/zinc/02/27/23/227022723.db2.gz ONOFCEFMABWYFG-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CC[C@@H](CC3CC3)C2)s1 ZINC000354526431 227022730 /nfs/dbraw/zinc/02/27/30/227022730.db2.gz ONOFCEFMABWYFG-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSC1CCOCC1 ZINC000191568067 227041091 /nfs/dbraw/zinc/04/10/91/227041091.db2.gz RTJFKODHPYQOKC-UHFFFAOYSA-N 1 2 288.416 3.593 20 0 CHADLO Cc1ccc(CCCNc2cnc3ccccc3c2)c[nH+]1 ZINC000354635529 227055904 /nfs/dbraw/zinc/05/59/04/227055904.db2.gz RKORDSKNDPLTNJ-UHFFFAOYSA-N 1 2 277.371 3.983 20 0 CHADLO CO[C@@H]1CC[C@H](Nc2[nH+]cccc2OCc2ccccc2)C1 ZINC000350699552 227057538 /nfs/dbraw/zinc/05/75/38/227057538.db2.gz HLORLIYYIFDLEW-JKSUJKDBSA-N 1 2 298.386 3.640 20 0 CHADLO Clc1sccc1C[N@@H+]1C[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000354710113 227078863 /nfs/dbraw/zinc/07/88/63/227078863.db2.gz QOQBZTLCQYXIDU-OCCSQVGLSA-N 1 2 283.824 3.545 20 0 CHADLO Clc1sccc1C[N@H+]1C[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000354710113 227078865 /nfs/dbraw/zinc/07/88/65/227078865.db2.gz QOQBZTLCQYXIDU-OCCSQVGLSA-N 1 2 283.824 3.545 20 0 CHADLO Cc1cc([C@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)c(C)s1 ZINC000354734638 227085695 /nfs/dbraw/zinc/08/56/95/227085695.db2.gz RBHWAPHJCNJTRY-NSHDSACASA-N 1 2 287.432 3.936 20 0 CHADLO Cc1cc(N[C@@H](c2ccccc2C)C2CC2)nc[nH+]1 ZINC000352784774 227220598 /nfs/dbraw/zinc/22/05/98/227220598.db2.gz WPJPTEQQWJTIHY-MRXNPFEDSA-N 1 2 253.349 3.657 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CCCN1c1[nH]c2ccccc2[nH+]1 ZINC000480309814 227187513 /nfs/dbraw/zinc/18/75/13/227187513.db2.gz LCVJRACGEXWIMZ-NXEZZACHSA-N 1 2 283.297 3.730 20 0 CHADLO Cc1cc(N[C@H](c2ccccc2C)C2CC2)nc[nH+]1 ZINC000352784773 227221175 /nfs/dbraw/zinc/22/11/75/227221175.db2.gz WPJPTEQQWJTIHY-INIZCTEOSA-N 1 2 253.349 3.657 20 0 CHADLO C[C@H]1c2cc(Cl)cc(Cl)c2CCN1Cc1c[nH+]c[nH]1 ZINC000355018805 227317069 /nfs/dbraw/zinc/31/70/69/227317069.db2.gz DVHHMDHDUDTFLS-VIFPVBQESA-N 1 2 296.201 3.836 20 0 CHADLO C[C@H]1c2cc(Cl)cc(Cl)c2CCN1Cc1c[nH]c[nH+]1 ZINC000355018805 227317071 /nfs/dbraw/zinc/31/70/71/227317071.db2.gz DVHHMDHDUDTFLS-VIFPVBQESA-N 1 2 296.201 3.836 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ncc(C(C)(C)C)o2)on1 ZINC000331382305 228096661 /nfs/dbraw/zinc/09/66/61/228096661.db2.gz GYHRGMUNXUZNCH-GFCCVEGCSA-N 1 2 289.379 3.606 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ncc(C(C)(C)C)o2)on1 ZINC000331382305 228096664 /nfs/dbraw/zinc/09/66/64/228096664.db2.gz GYHRGMUNXUZNCH-GFCCVEGCSA-N 1 2 289.379 3.606 20 0 CHADLO FC(F)(F)c1cscc1C[N@@H+]1CCO[C@H]2CCC[C@H]21 ZINC000356056531 228103224 /nfs/dbraw/zinc/10/32/24/228103224.db2.gz MOXRYRFRGUVUEY-NEPJUHHUSA-N 1 2 291.338 3.520 20 0 CHADLO FC(F)(F)c1cscc1C[N@H+]1CCO[C@H]2CCC[C@H]21 ZINC000356056531 228103226 /nfs/dbraw/zinc/10/32/26/228103226.db2.gz MOXRYRFRGUVUEY-NEPJUHHUSA-N 1 2 291.338 3.520 20 0 CHADLO COCc1ccc([C@H]2CCC[N@@H+]2[C@@H](C)c2ncc(C)o2)o1 ZINC000331462886 228104269 /nfs/dbraw/zinc/10/42/69/228104269.db2.gz ZGGGZJRIDOWMMV-GXTWGEPZSA-N 1 2 290.363 3.621 20 0 CHADLO COCc1ccc([C@H]2CCC[N@H+]2[C@@H](C)c2ncc(C)o2)o1 ZINC000331462886 228104270 /nfs/dbraw/zinc/10/42/70/228104270.db2.gz ZGGGZJRIDOWMMV-GXTWGEPZSA-N 1 2 290.363 3.621 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1ccc(F)cc1C(F)(F)F ZINC000353510705 228112194 /nfs/dbraw/zinc/11/21/94/228112194.db2.gz SAQBLMOGSCVDKP-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1ccc(F)cc1C(F)(F)F ZINC000353510705 228112197 /nfs/dbraw/zinc/11/21/97/228112197.db2.gz SAQBLMOGSCVDKP-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO CCc1nnc(C[NH2+][C@H]2CCc3ccc(Cl)cc32)s1 ZINC000353537422 228117288 /nfs/dbraw/zinc/11/72/88/228117288.db2.gz DPLLOPAVSPSTQN-LBPRGKRZSA-N 1 2 293.823 3.531 20 0 CHADLO C[C@H](c1cc(F)ccc1F)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000112964916 228037901 /nfs/dbraw/zinc/03/79/01/228037901.db2.gz DFPHNVYYJBWXDV-SNVBAGLBSA-N 1 2 289.329 3.830 20 0 CHADLO COc1ccc([C@H](C)N(C)c2cc[nH+]c(C3CC3)n2)cc1 ZINC000112964172 228038163 /nfs/dbraw/zinc/03/81/63/228038163.db2.gz CXJDOUJIIPLXTL-LBPRGKRZSA-N 1 2 283.375 3.560 20 0 CHADLO Cc1ccc(Cc2noc([C@@H](F)Cc3ccccc3)n2)c[nH+]1 ZINC000356115839 228126810 /nfs/dbraw/zinc/12/68/10/228126810.db2.gz UCSZROHIKHWOTO-HNNXBMFYSA-N 1 2 297.333 3.617 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1Cc1cnn(C(C)(C)C)c1 ZINC000353881217 228162239 /nfs/dbraw/zinc/16/22/39/228162239.db2.gz KWAOAQMDHNIKCF-ZDUSSCGKSA-N 1 2 269.392 3.715 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1Cc1cnn(C(C)(C)C)c1 ZINC000353881217 228162240 /nfs/dbraw/zinc/16/22/40/228162240.db2.gz KWAOAQMDHNIKCF-ZDUSSCGKSA-N 1 2 269.392 3.715 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCC1CCC(C)CC1 ZINC000351923090 228165526 /nfs/dbraw/zinc/16/55/26/228165526.db2.gz PMKQGMYSJPGSEV-UHFFFAOYSA-N 1 2 275.396 3.646 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncc(Cl)s2)CC(C)(C)C1 ZINC000351982523 228170838 /nfs/dbraw/zinc/17/08/38/228170838.db2.gz PKQLCFZKKLNCFX-SECBINFHSA-N 1 2 258.818 3.665 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncc(Cl)s2)CC(C)(C)C1 ZINC000351982523 228170839 /nfs/dbraw/zinc/17/08/39/228170839.db2.gz PKQLCFZKKLNCFX-SECBINFHSA-N 1 2 258.818 3.665 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1[C@@H](C(N)=O)c1ccccc1 ZINC000335989609 228175439 /nfs/dbraw/zinc/17/54/39/228175439.db2.gz IATQIIGDTCLDAJ-BLLLJJGKSA-N 1 2 266.344 3.840 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1[C@@H](C(N)=O)c1ccccc1 ZINC000335989609 228175440 /nfs/dbraw/zinc/17/54/40/228175440.db2.gz IATQIIGDTCLDAJ-BLLLJJGKSA-N 1 2 266.344 3.840 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccoc2C(F)(F)F)c(C)[nH+]1 ZINC000336029870 228179590 /nfs/dbraw/zinc/17/95/90/228179590.db2.gz BVLAXHRDCVUSEM-UHFFFAOYSA-N 1 2 298.264 3.871 20 0 CHADLO COc1cccc(C2([NH2+]Cc3ncc(Cl)s3)CC2)c1 ZINC000352196270 228195229 /nfs/dbraw/zinc/19/52/29/228195229.db2.gz XSSSDKZMGUEQAW-UHFFFAOYSA-N 1 2 294.807 3.584 20 0 CHADLO Cc1cc(C)c(NC(=O)N2Cc3ccccc3C2)c(C)[nH+]1 ZINC000336144971 228196916 /nfs/dbraw/zinc/19/69/16/228196916.db2.gz HBVZXBUNXWOTEI-UHFFFAOYSA-N 1 2 281.359 3.555 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CCCC(F)(F)C3)n2)c[nH+]1 ZINC000336174212 228203504 /nfs/dbraw/zinc/20/35/04/228203504.db2.gz VJHLYDUJABAOPI-GFCCVEGCSA-N 1 2 293.317 3.657 20 0 CHADLO C[C@H]1C[N@H+](Cc2c(Cl)cccc2Cl)CC(C)(C)O1 ZINC000521308658 260041391 /nfs/dbraw/zinc/04/13/91/260041391.db2.gz CEDBJRXESSHULI-JTQLQIEISA-N 1 2 288.218 3.993 20 0 CHADLO Fc1ccc(CNc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC000036939851 260053975 /nfs/dbraw/zinc/05/39/75/260053975.db2.gz IUBDGEJHHWEZKJ-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO c1cn(-c2cccc(NC[C@H]3CC=CCC3)c2)c[nH+]1 ZINC000036939767 260054479 /nfs/dbraw/zinc/05/44/79/260054479.db2.gz KUBQVQVVVDMFJE-AWEZNQCLSA-N 1 2 253.349 3.641 20 0 CHADLO COc1ccc2oc(C[N@@H+]3CCn4cccc4[C@H]3C)cc2c1 ZINC000179569644 260056457 /nfs/dbraw/zinc/05/64/57/260056457.db2.gz UBRXWSJBIJCYSF-CYBMUJFWSA-N 1 2 296.370 3.820 20 0 CHADLO COc1ccc2oc(C[N@H+]3CCn4cccc4[C@H]3C)cc2c1 ZINC000179569644 260056458 /nfs/dbraw/zinc/05/64/58/260056458.db2.gz UBRXWSJBIJCYSF-CYBMUJFWSA-N 1 2 296.370 3.820 20 0 CHADLO CCN(CC)c1ccc(NCc2ccccc2F)c[nH+]1 ZINC000037003762 260062373 /nfs/dbraw/zinc/06/23/73/260062373.db2.gz JXUBKWVEYPDEJO-UHFFFAOYSA-N 1 2 273.355 3.679 20 0 CHADLO Oc1cccc(C[N@H+](Cc2c(F)cccc2F)C2CC2)c1 ZINC000180095092 260092885 /nfs/dbraw/zinc/09/28/85/260092885.db2.gz GBPLTTTVQJCJHP-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Oc1cccc(C[N@@H+](Cc2c(F)cccc2F)C2CC2)c1 ZINC000180095092 260092887 /nfs/dbraw/zinc/09/28/87/260092887.db2.gz GBPLTTTVQJCJHP-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Cc1cccn2cc(CNc3cc(F)c(F)cc3F)[nH+]c12 ZINC000180408583 260101423 /nfs/dbraw/zinc/10/14/23/260101423.db2.gz CVOSOACUBWDKCC-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO C[C@H](Nc1cccc(C(F)(F)F)c1)c1[nH+]ccn1C ZINC000070443278 260157310 /nfs/dbraw/zinc/15/73/10/260157310.db2.gz PITNHNVODLDXJT-VIFPVBQESA-N 1 2 269.270 3.612 20 0 CHADLO COc1cc(CNc2c[nH+]ccc2C)cc(Cl)c1OC ZINC000071413743 260162349 /nfs/dbraw/zinc/16/23/49/260162349.db2.gz XYQYDCJYPZMQLM-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO Fc1ccc(Cn2c[nH+]c3cc(F)c(F)cc32)cc1 ZINC000071838771 260163158 /nfs/dbraw/zinc/16/31/58/260163158.db2.gz AIHKBZGOLOEUAB-UHFFFAOYSA-N 1 2 262.234 3.502 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccccc1OC(F)F)c1nccs1 ZINC000080264382 260178511 /nfs/dbraw/zinc/17/85/11/260178511.db2.gz OPGHVGFKCSXGOW-LLVKDONJSA-N 1 2 298.358 3.985 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cccc(SC)c2)c1 ZINC000214107693 260231190 /nfs/dbraw/zinc/23/11/90/260231190.db2.gz IWCCXUZJXWCXPA-UHFFFAOYSA-N 1 2 274.389 3.733 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccccc1OC(F)F ZINC000090207605 260239055 /nfs/dbraw/zinc/23/90/55/260239055.db2.gz XOMWEIHYMNNOLR-UHFFFAOYSA-N 1 2 281.306 3.678 20 0 CHADLO COc1c(C)ccc(NCc2c[nH+]cn2C(C)C)c1C ZINC000090208329 260239123 /nfs/dbraw/zinc/23/91/23/260239123.db2.gz IMLSLUSURXATIL-UHFFFAOYSA-N 1 2 273.380 3.702 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc(Cl)c1N(C)C ZINC000090208336 260239125 /nfs/dbraw/zinc/23/91/25/260239125.db2.gz NUESAKRVHXAEOC-UHFFFAOYSA-N 1 2 292.814 3.796 20 0 CHADLO CCOc1cc(C)ccc1NCc1c[nH+]cn1C(C)C ZINC000090208596 260239249 /nfs/dbraw/zinc/23/92/49/260239249.db2.gz XQACABBUXRUZQW-UHFFFAOYSA-N 1 2 273.380 3.783 20 0 CHADLO CCn1cccc1CNc1ccc(N2CCCCC2)[nH+]c1 ZINC000091024003 260265145 /nfs/dbraw/zinc/26/51/45/260265145.db2.gz BVLTWHSNNRDNTG-UHFFFAOYSA-N 1 2 284.407 3.505 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000187728794 260275945 /nfs/dbraw/zinc/27/59/45/260275945.db2.gz SESBNZUVZQWQGG-HZMBPMFUSA-N 1 2 271.763 3.651 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000187728794 260275946 /nfs/dbraw/zinc/27/59/46/260275946.db2.gz SESBNZUVZQWQGG-HZMBPMFUSA-N 1 2 271.763 3.651 20 0 CHADLO CCc1ccc(OCc2cc(OC)cc(C)[nH+]2)cc1 ZINC000214355041 260287905 /nfs/dbraw/zinc/28/79/05/260287905.db2.gz BYQFTUGPHYIKLH-UHFFFAOYSA-N 1 2 257.333 3.540 20 0 CHADLO Cc1[nH+]c(-c2ccncc2)nc(NC2CCCCCC2)c1C ZINC000517926651 260318719 /nfs/dbraw/zinc/31/87/19/260318719.db2.gz JEZFNBAXCCJEOR-UHFFFAOYSA-N 1 2 296.418 3.712 20 0 CHADLO CCOc1cccc(CNc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000126096201 260345515 /nfs/dbraw/zinc/34/55/15/260345515.db2.gz QWXQEWVLWGCNCO-UHFFFAOYSA-N 1 2 297.402 3.693 20 0 CHADLO COc1cc(OC)c([NH2+]C2CCC(OC)CC2)cc1Cl ZINC000129811678 260390115 /nfs/dbraw/zinc/39/01/15/260390115.db2.gz XRMJOMOKECAXDE-UHFFFAOYSA-N 1 2 299.798 3.727 20 0 CHADLO Fc1cc(F)c(CN2CCCC[C@H]2c2[nH]cc[nH+]2)cc1F ZINC000092729904 260499537 /nfs/dbraw/zinc/49/95/37/260499537.db2.gz MRGDQDYSXXZIMY-AWEZNQCLSA-N 1 2 295.308 3.554 20 0 CHADLO CCSCC[C@H](C)N(C)C(=O)Nc1ccc(C)[nH+]c1C ZINC000152365699 261063512 /nfs/dbraw/zinc/06/35/12/261063512.db2.gz DDEMVOKFBAJMED-LBPRGKRZSA-N 1 2 295.452 3.694 20 0 CHADLO CC[C@H](COC)Nc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000153556132 261080526 /nfs/dbraw/zinc/08/05/26/261080526.db2.gz DAYLUMAWNZMLIN-OAHLLOKOSA-N 1 2 299.418 3.723 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1ccco1 ZINC000156592000 261127311 /nfs/dbraw/zinc/12/73/11/261127311.db2.gz UCWOJZPVRWYAPF-IINYFYTJSA-N 1 2 281.302 3.596 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cc1F)c1nccs1 ZINC000157438835 261146288 /nfs/dbraw/zinc/14/62/88/261146288.db2.gz QQFRDJWXRUUPQY-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CCCOc1ccc(NCc2[nH]cc[nH+]2)cc1OCCC ZINC000157862886 261154062 /nfs/dbraw/zinc/15/40/62/261154062.db2.gz SPASUVCARKECCC-UHFFFAOYSA-N 1 2 289.379 3.599 20 0 CHADLO Cc1[nH+]cccc1NCc1cc(F)cc(Cl)c1 ZINC000160958195 261233019 /nfs/dbraw/zinc/23/30/19/261233019.db2.gz XCYMMJUBEBQEME-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1Br)c1cscn1 ZINC000161179085 261239583 /nfs/dbraw/zinc/23/95/83/261239583.db2.gz WIIFRCCNHQSATN-SECBINFHSA-N 1 2 297.221 3.756 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1cn2ccsc2n1)C1CC1 ZINC000175686996 261241186 /nfs/dbraw/zinc/24/11/86/261241186.db2.gz WADHMEFOHISHBU-NSHDSACASA-N 1 2 287.388 3.714 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1cn2ccsc2n1)C1CC1 ZINC000175686996 261241188 /nfs/dbraw/zinc/24/11/88/261241188.db2.gz WADHMEFOHISHBU-NSHDSACASA-N 1 2 287.388 3.714 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1cn2ccsc2n1)C1CC1 ZINC000175687011 261241325 /nfs/dbraw/zinc/24/13/25/261241325.db2.gz WADHMEFOHISHBU-LLVKDONJSA-N 1 2 287.388 3.714 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1cn2ccsc2n1)C1CC1 ZINC000175687011 261241327 /nfs/dbraw/zinc/24/13/27/261241327.db2.gz WADHMEFOHISHBU-LLVKDONJSA-N 1 2 287.388 3.714 20 0 CHADLO Cc1ccc(NC(=O)c2ccc3ncsc3c2)c(C)[nH+]1 ZINC000176190962 261268592 /nfs/dbraw/zinc/26/85/92/261268592.db2.gz DVARJWOCLCOZQK-UHFFFAOYSA-N 1 2 283.356 3.560 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(-n3cccc3)c2)c(C)[nH+]1 ZINC000176197678 261269757 /nfs/dbraw/zinc/26/97/57/261269757.db2.gz DDQXQXNANGBEHX-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CCCn1c(C[N@H+](C)Cc2ccco2)nc2ccccc21 ZINC000162150244 261271978 /nfs/dbraw/zinc/27/19/78/261271978.db2.gz CCXHQGZOLMUVAF-UHFFFAOYSA-N 1 2 283.375 3.671 20 0 CHADLO CCCn1c(C[N@@H+](C)Cc2ccco2)nc2ccccc21 ZINC000162150244 261271980 /nfs/dbraw/zinc/27/19/80/261271980.db2.gz CCXHQGZOLMUVAF-UHFFFAOYSA-N 1 2 283.375 3.671 20 0 CHADLO CCn1nccc1C[N@H+](Cc1ccco1)Cc1ccccc1 ZINC000162209208 261273457 /nfs/dbraw/zinc/27/34/57/261273457.db2.gz BADILDUFNRIDCT-UHFFFAOYSA-N 1 2 295.386 3.698 20 0 CHADLO CCn1nccc1C[N@@H+](Cc1ccco1)Cc1ccccc1 ZINC000162209208 261273459 /nfs/dbraw/zinc/27/34/59/261273459.db2.gz BADILDUFNRIDCT-UHFFFAOYSA-N 1 2 295.386 3.698 20 0 CHADLO Cc1ccc(NC(=O)c2cnc(-c3ccco3)s2)c(C)[nH+]1 ZINC000176296952 261274609 /nfs/dbraw/zinc/27/46/09/261274609.db2.gz WLDXFMLVKFWBBU-UHFFFAOYSA-N 1 2 299.355 3.667 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C)c(Cl)c2)cc[nH+]1 ZINC000103186430 261276273 /nfs/dbraw/zinc/27/62/73/261276273.db2.gz PUMIZAAGVSTYGM-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000162317850 261277046 /nfs/dbraw/zinc/27/70/46/261277046.db2.gz DPVARJVWHFXDIE-MNOVXSKESA-N 1 2 262.378 3.564 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2cn[nH]c2C)cc1 ZINC000103345659 261278758 /nfs/dbraw/zinc/27/87/58/261278758.db2.gz YELQEPXPRWDJEN-NSHDSACASA-N 1 2 275.421 3.681 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1csc(C2CC2)n1 ZINC000162426304 261281252 /nfs/dbraw/zinc/28/12/52/261281252.db2.gz IVNBUDQMIMSMKI-NSHDSACASA-N 1 2 273.405 3.609 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1csc(C2CC2)n1 ZINC000162426304 261281253 /nfs/dbraw/zinc/28/12/53/261281253.db2.gz IVNBUDQMIMSMKI-NSHDSACASA-N 1 2 273.405 3.609 20 0 CHADLO CCc1noc(C)c1C[N@H+](Cc1ccccc1F)C1CC1 ZINC000162605034 261288043 /nfs/dbraw/zinc/28/80/43/261288043.db2.gz AKBHAQUFWHQUST-UHFFFAOYSA-N 1 2 288.366 3.849 20 0 CHADLO CCc1noc(C)c1C[N@@H+](Cc1ccccc1F)C1CC1 ZINC000162605034 261288047 /nfs/dbraw/zinc/28/80/47/261288047.db2.gz AKBHAQUFWHQUST-UHFFFAOYSA-N 1 2 288.366 3.849 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC[C@H]2c2ccncc2)o1 ZINC000162759023 261293607 /nfs/dbraw/zinc/29/36/07/261293607.db2.gz ORKAEIPHOBZNPX-AWEZNQCLSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC[C@H]2c2ccncc2)o1 ZINC000162759023 261293610 /nfs/dbraw/zinc/29/36/10/261293610.db2.gz ORKAEIPHOBZNPX-AWEZNQCLSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)c1nc(NC[C@@H](c2cccnc2)C(C)C)cc[nH+]1 ZINC000176746536 261308172 /nfs/dbraw/zinc/30/81/72/261308172.db2.gz FSSFKJIYZGCFDB-OAHLLOKOSA-N 1 2 284.407 3.847 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1ccc2c(c1)OCO2 ZINC000301147306 261330438 /nfs/dbraw/zinc/33/04/38/261330438.db2.gz HKRYTSJHMYCKAY-UHFFFAOYSA-N 1 2 292.338 3.884 20 0 CHADLO Fc1ccc2c(c1)CC[C@@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000183150683 261478209 /nfs/dbraw/zinc/47/82/09/261478209.db2.gz QBIBPHFYHWAHFH-KRWDZBQOSA-N 1 2 297.377 3.920 20 0 CHADLO Cc1ccsc1[C@@H]1C[C@@H]1C(=O)Nc1ccc(C)[nH+]c1C ZINC000178752285 261759043 /nfs/dbraw/zinc/75/90/43/261759043.db2.gz TXNQMSBTQBOZRE-OLZOCXBDSA-N 1 2 286.400 3.811 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C)o1)c1ccc(F)cc1F ZINC000179629408 261804510 /nfs/dbraw/zinc/80/45/10/261804510.db2.gz ZXOHNRJXRBDUAI-CYBMUJFWSA-N 1 2 266.291 3.502 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cccc(OC(C)C)c2)o1 ZINC000179674242 261808318 /nfs/dbraw/zinc/80/83/18/261808318.db2.gz VZHQLGCBKVUCPR-ZDUSSCGKSA-N 1 2 274.364 3.621 20 0 CHADLO Cc1cccc(CSCC(=O)Nc2cc[nH+]cc2C)c1 ZINC000107288945 261882203 /nfs/dbraw/zinc/88/22/03/261882203.db2.gz DQNSZKBHIVLWLL-UHFFFAOYSA-N 1 2 286.400 3.570 20 0 CHADLO CCc1nc(C[NH2+][C@@H](CC(C)C)c2ccccc2)no1 ZINC000181793490 261911316 /nfs/dbraw/zinc/91/13/16/261911316.db2.gz MVPOFPVEANETLS-AWEZNQCLSA-N 1 2 273.380 3.509 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@H+](C)Cc1ccncc1 ZINC000184278391 262014928 /nfs/dbraw/zinc/01/49/28/262014928.db2.gz MNTAHQZPIIVJMT-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@@H+](C)Cc1ccncc1 ZINC000184278391 262014929 /nfs/dbraw/zinc/01/49/29/262014929.db2.gz MNTAHQZPIIVJMT-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2cc3ccccc3s2)o1 ZINC000184351804 262017349 /nfs/dbraw/zinc/01/73/49/262017349.db2.gz RMZZSQLQNYCNKG-SNVBAGLBSA-N 1 2 287.388 3.698 20 0 CHADLO CCCn1nc(C)c(CNc2ccc(C)[nH+]c2C)c1C ZINC000184897629 262032399 /nfs/dbraw/zinc/03/23/99/262032399.db2.gz UXFPIHYOTUVPQN-UHFFFAOYSA-N 1 2 272.396 3.534 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCC[C@@H](c3ccnn3C)C2)cc1 ZINC000363953229 262052366 /nfs/dbraw/zinc/05/23/66/262052366.db2.gz KVVDROJSVAWWTQ-UKRRQHHQSA-N 1 2 285.391 3.567 20 0 CHADLO COCCCCC[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000185614257 262062494 /nfs/dbraw/zinc/06/24/94/262062494.db2.gz ULGYKDZXMQTZIU-MRXNPFEDSA-N 1 2 297.826 3.530 20 0 CHADLO COCCCCC[N@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000185614257 262062495 /nfs/dbraw/zinc/06/24/95/262062495.db2.gz ULGYKDZXMQTZIU-MRXNPFEDSA-N 1 2 297.826 3.530 20 0 CHADLO COc1ccsc1[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000186264450 262086053 /nfs/dbraw/zinc/08/60/53/262086053.db2.gz AIZZQOYWZHZCDZ-LLVKDONJSA-N 1 2 276.405 3.996 20 0 CHADLO C[C@H]([NH2+]Cc1cc(COC(C)(C)C)on1)c1ccsc1 ZINC000186817864 262111252 /nfs/dbraw/zinc/11/12/52/262111252.db2.gz GYPZNCGTOIHNFK-NSHDSACASA-N 1 2 294.420 3.902 20 0 CHADLO Cc1c[nH+]cc(NCc2cc(Br)cs2)c1 ZINC000119574567 262167987 /nfs/dbraw/zinc/16/79/87/262167987.db2.gz RKBAENHBNIDZDS-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO Cc1cc(N)nc(S[C@H](C)c2ccc(F)c(Cl)c2)[nH+]1 ZINC000497661558 262186701 /nfs/dbraw/zinc/18/67/01/262186701.db2.gz URYVNLFWAQUTHH-MRVPVSSYSA-N 1 2 297.786 3.843 20 0 CHADLO Fc1cc2[nH+]cn(C[C@@H]3CCC(F)(F)C3)c2cc1F ZINC000311135807 262196364 /nfs/dbraw/zinc/19/63/64/262196364.db2.gz AMASKPDSBNJPRG-MRVPVSSYSA-N 1 2 272.245 3.750 20 0 CHADLO c1coc(CNc2ccc([N@@H+]3C[C@H]4CC[C@@H]3C4)cc2)c1 ZINC000192217715 262199894 /nfs/dbraw/zinc/19/98/94/262199894.db2.gz UBIZTZOIIDXXSV-XJKSGUPXSA-N 1 2 268.360 3.880 20 0 CHADLO c1coc(CNc2ccc([N@H+]3C[C@H]4CC[C@@H]3C4)cc2)c1 ZINC000192217715 262199896 /nfs/dbraw/zinc/19/98/96/262199896.db2.gz UBIZTZOIIDXXSV-XJKSGUPXSA-N 1 2 268.360 3.880 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]C/C(Cl)=C/Cl)s1 ZINC000183922223 262225316 /nfs/dbraw/zinc/22/53/16/262225316.db2.gz KAAOQZODLXNUBS-YWEYNIOJSA-N 1 2 265.209 3.595 20 0 CHADLO Cc1cc(N[C@@H](C)[C@H]2CCCOC2)c2cccc(F)c2[nH+]1 ZINC000503281251 262244566 /nfs/dbraw/zinc/24/45/66/262244566.db2.gz OICHXQDNHFGCJS-STQMWFEESA-N 1 2 288.366 3.909 20 0 CHADLO Cc1ccsc1C[NH2+][C@@H](c1ncc[nH]1)c1ccccc1 ZINC000446756919 262389671 /nfs/dbraw/zinc/38/96/71/262389671.db2.gz NZSQYFKGCJAEPA-OAHLLOKOSA-N 1 2 283.400 3.659 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](c1ccc(Cl)cc1F)C(C)C ZINC000272847911 263009334 /nfs/dbraw/zinc/00/93/34/263009334.db2.gz JSRZZYOAMUQNDS-CQSZACIVSA-N 1 2 297.761 3.657 20 0 CHADLO CC(C)[N@H+](Cc1c(Cl)cnn1C)Cc1cccc(F)c1 ZINC000437584881 263021026 /nfs/dbraw/zinc/02/10/26/263021026.db2.gz UPIBSODOZIBOJH-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO CC(C)[N@@H+](Cc1c(Cl)cnn1C)Cc1cccc(F)c1 ZINC000437584881 263021027 /nfs/dbraw/zinc/02/10/27/263021027.db2.gz UPIBSODOZIBOJH-UHFFFAOYSA-N 1 2 295.789 3.623 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H]2CC(C)(C)Cc3occc32)s1 ZINC000274275008 263022757 /nfs/dbraw/zinc/02/27/57/263022757.db2.gz XGRFYDHWVOXQGK-SKDRFNHKSA-N 1 2 291.420 3.804 20 0 CHADLO COc1cc(CNc2ccc3c(c2)CCC[NH2+]3)ccc1C ZINC000414853637 263071322 /nfs/dbraw/zinc/07/13/22/263071322.db2.gz SFHXZYZXVNSCKJ-UHFFFAOYSA-N 1 2 282.387 3.974 20 0 CHADLO FCCCCSCc1[nH+]ccn1Cc1ccccc1 ZINC000285137754 263090453 /nfs/dbraw/zinc/09/04/53/263090453.db2.gz QQOSPROISPKWHD-UHFFFAOYSA-N 1 2 278.396 3.914 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1cccc(C(F)(F)F)c1 ZINC000148703778 263103560 /nfs/dbraw/zinc/10/35/60/263103560.db2.gz CTRDIRFBRMMWHE-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cc(Cl)cs1 ZINC000293740652 263148745 /nfs/dbraw/zinc/14/87/45/263148745.db2.gz VSBDYBGDBKHAKA-UHFFFAOYSA-N 1 2 270.785 3.584 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cc(Cl)cs1 ZINC000293740652 263148746 /nfs/dbraw/zinc/14/87/46/263148746.db2.gz VSBDYBGDBKHAKA-UHFFFAOYSA-N 1 2 270.785 3.584 20 0 CHADLO CCC1(NC(=O)c2cccc(Oc3cc[nH+]cc3)c2)CC1 ZINC000295884150 263183265 /nfs/dbraw/zinc/18/32/65/263183265.db2.gz MGFDJAVKLIRYQE-UHFFFAOYSA-N 1 2 282.343 3.546 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(C)ccc1OC)C(F)F ZINC000453250636 263210022 /nfs/dbraw/zinc/21/00/22/263210022.db2.gz SBGXSJPWGBEKHL-ZYHUDNBSSA-N 1 2 257.324 3.698 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1nccc2ccccc21 ZINC000453293958 263221819 /nfs/dbraw/zinc/22/18/19/263221819.db2.gz PJQAAIJEMQVBOF-MNOVXSKESA-N 1 2 264.319 3.929 20 0 CHADLO Cc1cccc(CN(Cc2ccco2)Cc2[nH+]ccn2C)c1 ZINC000299577335 263248214 /nfs/dbraw/zinc/24/82/14/263248214.db2.gz ICBNTPACOWAPEN-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO CC(C)OCCNc1cc[nH+]c2c(Cl)cccc12 ZINC000342832543 263514540 /nfs/dbraw/zinc/51/45/40/263514540.db2.gz SXHVYQKBCAHOPN-UHFFFAOYSA-N 1 2 264.756 3.725 20 0 CHADLO Cc1sccc1C[N@@H+]1CCSC[C@@H]1c1nccs1 ZINC000334312049 263573601 /nfs/dbraw/zinc/57/36/01/263573601.db2.gz KOVMCKDFVYHGPY-GFCCVEGCSA-N 1 2 296.486 3.803 20 0 CHADLO Cc1sccc1C[N@H+]1CCSC[C@@H]1c1nccs1 ZINC000334312049 263573602 /nfs/dbraw/zinc/57/36/02/263573602.db2.gz KOVMCKDFVYHGPY-GFCCVEGCSA-N 1 2 296.486 3.803 20 0 CHADLO Cc1cc(C[NH2+]C2(c3cccc(C(F)(F)F)c3)CC2)no1 ZINC000343045276 263577407 /nfs/dbraw/zinc/57/74/07/263577407.db2.gz BUNRHQYQSKYEPC-UHFFFAOYSA-N 1 2 296.292 3.781 20 0 CHADLO Cn1ccc(C[NH2+][C@@H]2CCc3cc(Cl)cc(Cl)c32)n1 ZINC000334464770 263646315 /nfs/dbraw/zinc/64/63/15/263646315.db2.gz SVNIWLWBRXMRGI-CYBMUJFWSA-N 1 2 296.201 3.504 20 0 CHADLO CSc1cccc(N(C)Cc2c[nH+]cn2C(C)C)c1 ZINC000425344958 264016690 /nfs/dbraw/zinc/01/66/90/264016690.db2.gz UVYYIBLEBONGKI-UHFFFAOYSA-N 1 2 275.421 3.822 20 0 CHADLO C[C@H]1CO[C@H](c2cccc(Cl)c2)C[N@@H+]1Cc1ccco1 ZINC000188751756 264244249 /nfs/dbraw/zinc/24/42/49/264244249.db2.gz NIOCVWXGPBNQAL-LRDDRELGSA-N 1 2 291.778 3.895 20 0 CHADLO C[C@H]1CO[C@H](c2cccc(Cl)c2)C[N@H+]1Cc1ccco1 ZINC000188751756 264244251 /nfs/dbraw/zinc/24/42/51/264244251.db2.gz NIOCVWXGPBNQAL-LRDDRELGSA-N 1 2 291.778 3.895 20 0 CHADLO Cc1ccc(NCc2csc(C(C)(C)C)n2)c[nH+]1 ZINC000128082552 264279596 /nfs/dbraw/zinc/27/95/96/264279596.db2.gz QJYYCEGDNGRZSY-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nc(C(F)F)no2)cc1 ZINC000425409767 264285652 /nfs/dbraw/zinc/28/56/52/264285652.db2.gz VUJOYENQWNMQTM-JTQLQIEISA-N 1 2 281.306 3.509 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nc(C(F)F)no2)cc1 ZINC000425409767 264285656 /nfs/dbraw/zinc/28/56/56/264285656.db2.gz VUJOYENQWNMQTM-JTQLQIEISA-N 1 2 281.306 3.509 20 0 CHADLO COc1cccc2c(N(C)Cc3scnc3C)cc[nH+]c12 ZINC000189384134 264298642 /nfs/dbraw/zinc/29/86/42/264298642.db2.gz GYRUWAHPQAVJQJ-UHFFFAOYSA-N 1 2 299.399 3.645 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)cc1F ZINC000073985157 264301468 /nfs/dbraw/zinc/30/14/68/264301468.db2.gz OJGUOZPGMJEHFO-NSHDSACASA-N 1 2 291.370 3.665 20 0 CHADLO CCOc1cc(C)ccc1CNc1cc[nH+]c(C2CC2)n1 ZINC000128631713 264304427 /nfs/dbraw/zinc/30/44/27/264304427.db2.gz JCJYYFROJJDAGE-UHFFFAOYSA-N 1 2 283.375 3.673 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2cc3ccc(C)cc3o2)[nH]1 ZINC000426015111 264309048 /nfs/dbraw/zinc/30/90/48/264309048.db2.gz FJNHLKKJYPTXPW-NWDGAFQWSA-N 1 2 298.390 3.834 20 0 CHADLO FC(F)c1noc(C[N@@H+]2CCCC3(C2)CCCCCC3)n1 ZINC000425422961 264312942 /nfs/dbraw/zinc/31/29/42/264312942.db2.gz SXSSGMJSZDJGAZ-UHFFFAOYSA-N 1 2 299.365 3.944 20 0 CHADLO FC(F)c1noc(C[N@H+]2CCCC3(C2)CCCCCC3)n1 ZINC000425422961 264312945 /nfs/dbraw/zinc/31/29/45/264312945.db2.gz SXSSGMJSZDJGAZ-UHFFFAOYSA-N 1 2 299.365 3.944 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1cccc(Cl)c1 ZINC000425446994 264324781 /nfs/dbraw/zinc/32/47/81/264324781.db2.gz PTSGBKMTIHZIGN-SSDOTTSWSA-N 1 2 287.697 3.511 20 0 CHADLO CC(C)(C)c1nc(C[NH2+]Cc2ccsc2)cs1 ZINC000077170552 264349599 /nfs/dbraw/zinc/34/95/99/264349599.db2.gz KURVHYUHOCXKSU-UHFFFAOYSA-N 1 2 266.435 3.792 20 0 CHADLO Cc1[nH+]c2ccccn2c1CN(C)c1ccc2cc[nH]c2c1 ZINC000348326376 265150242 /nfs/dbraw/zinc/15/02/42/265150242.db2.gz IHBRHXRWMCHOFX-UHFFFAOYSA-N 1 2 290.370 3.760 20 0 CHADLO NC(=O)[C@@H]1CCC[N@H+](Cc2csc(Cl)c2Cl)C1 ZINC000335432051 265155016 /nfs/dbraw/zinc/15/50/16/265155016.db2.gz SMQZDZOQSOYUGU-SSDOTTSWSA-N 1 2 293.219 3.802 20 0 CHADLO NC(=O)[C@@H]1CCC[N@@H+](Cc2csc(Cl)c2Cl)C1 ZINC000335432051 265155018 /nfs/dbraw/zinc/15/50/18/265155018.db2.gz SMQZDZOQSOYUGU-SSDOTTSWSA-N 1 2 293.219 3.802 20 0 CHADLO c1cc2c(nc[nH+]c2SCCCc2ccccc2)[nH]1 ZINC000518921257 265220740 /nfs/dbraw/zinc/22/07/40/265220740.db2.gz OYXJJOQSQSVBBB-UHFFFAOYSA-N 1 2 269.373 3.683 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](CC(C)C)c2cccs2)no1 ZINC000351595566 265905363 /nfs/dbraw/zinc/90/53/63/265905363.db2.gz RGJYQDPIONJDSF-JQWIXIFHSA-N 1 2 279.409 3.878 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H](C)c2cc(C)ccn2)n1 ZINC000353504222 266039662 /nfs/dbraw/zinc/03/96/62/266039662.db2.gz QVMPXYILLQKWLI-NWDGAFQWSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N3C[C@@H](C)CCC[C@@H]3C)cc2n1C ZINC000356193541 266068322 /nfs/dbraw/zinc/06/83/22/266068322.db2.gz POQVHMZTSOILNF-STQMWFEESA-N 1 2 299.418 3.532 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N[C@@H](C)C3CCCCC3)cc2n1C ZINC000356199393 266070761 /nfs/dbraw/zinc/07/07/61/266070761.db2.gz FRRJAGUUWCBDPQ-LBPRGKRZSA-N 1 2 299.418 3.580 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cscc2C(F)(F)F)[C@H](C)[C@@H](C)O1 ZINC000356388029 266106308 /nfs/dbraw/zinc/10/63/08/266106308.db2.gz HBUSXPJEFBNWTQ-OPRDCNLKSA-N 1 2 293.354 3.765 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cscc2C(F)(F)F)[C@H](C)[C@@H](C)O1 ZINC000356388029 266106313 /nfs/dbraw/zinc/10/63/13/266106313.db2.gz HBUSXPJEFBNWTQ-OPRDCNLKSA-N 1 2 293.354 3.765 20 0 CHADLO CCCC1(CNC(=O)c2ccc3[nH+]c(C)n(C)c3c2)CCC1 ZINC000356423011 266110781 /nfs/dbraw/zinc/11/07/81/266110781.db2.gz HHVIIYUTHSJRHL-UHFFFAOYSA-N 1 2 299.418 3.582 20 0 CHADLO CCC1(CNC(=O)c2ccc3[nH+]c(C)n(C)c3c2)CCCC1 ZINC000356441930 266114439 /nfs/dbraw/zinc/11/44/39/266114439.db2.gz YFOSDVFMWZJOOF-UHFFFAOYSA-N 1 2 299.418 3.582 20 0 CHADLO Cc1ccc([C@H](C)c2noc(Cc3ccc(C)[nH+]c3)n2)cc1 ZINC000356500136 266126130 /nfs/dbraw/zinc/12/61/30/266126130.db2.gz CTCOYTSOLWJLSI-AWEZNQCLSA-N 1 2 293.370 3.824 20 0 CHADLO CC[N@H+](Cc1nc([C@H](C)c2ccc(C)cc2)no1)C1CC1 ZINC000356498560 266126693 /nfs/dbraw/zinc/12/66/93/266126693.db2.gz LDYHHHIYWCDEJH-CYBMUJFWSA-N 1 2 285.391 3.514 20 0 CHADLO CC[N@@H+](Cc1nc([C@H](C)c2ccc(C)cc2)no1)C1CC1 ZINC000356498560 266126695 /nfs/dbraw/zinc/12/66/95/266126695.db2.gz LDYHHHIYWCDEJH-CYBMUJFWSA-N 1 2 285.391 3.514 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1nc(C2CC2)cs1 ZINC000356832774 266183304 /nfs/dbraw/zinc/18/33/04/266183304.db2.gz FLYCGNXDQVDSGQ-LLVKDONJSA-N 1 2 287.432 3.829 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ncccc2CC)cs1 ZINC000356905077 266209431 /nfs/dbraw/zinc/20/94/31/266209431.db2.gz UIVJBKNVJIUTLF-NSHDSACASA-N 1 2 275.421 3.514 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+][C@@H](C)c1nc(C)cs1 ZINC000356906521 266210102 /nfs/dbraw/zinc/21/01/02/266210102.db2.gz WAUDBNVYYPMVOU-NEPJUHHUSA-N 1 2 275.421 3.821 20 0 CHADLO CC[C@@H](C)c1cc(C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)on1 ZINC000357036202 266236482 /nfs/dbraw/zinc/23/64/82/266236482.db2.gz WXJUNSIVDYBIKJ-SECBINFHSA-N 1 2 298.346 3.625 20 0 CHADLO CC[C@@H]1CC[C@H](C)N1c1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000357281218 266272134 /nfs/dbraw/zinc/27/21/34/266272134.db2.gz BEBOSYMTUYQSDT-DZGCQCFKSA-N 1 2 282.391 3.614 20 0 CHADLO Fc1ccc2nc(C[N@H+](Cc3cccnc3)C3CC3)oc2c1 ZINC000357387605 266288072 /nfs/dbraw/zinc/28/80/72/266288072.db2.gz IPHURLAAHYMOSQ-UHFFFAOYSA-N 1 2 297.333 3.527 20 0 CHADLO Fc1ccc2nc(C[N@@H+](Cc3cccnc3)C3CC3)oc2c1 ZINC000357387605 266288073 /nfs/dbraw/zinc/28/80/73/266288073.db2.gz IPHURLAAHYMOSQ-UHFFFAOYSA-N 1 2 297.333 3.527 20 0 CHADLO Cn1c(CCSc2ccc(O)cc2)[nH+]c2ccccc21 ZINC000357649352 266340425 /nfs/dbraw/zinc/34/04/25/266340425.db2.gz ZUZSGHVSXOWCGP-UHFFFAOYSA-N 1 2 284.384 3.614 20 0 CHADLO Cc1ccc(C[S@@](=O)[C@H](C)c2ccc(F)cc2)c(C)[nH+]1 ZINC000357785177 266361094 /nfs/dbraw/zinc/36/10/94/266361094.db2.gz GNEYTWBBKHTXKU-ZUOKHONESA-N 1 2 291.391 3.847 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(CC(C)C)no2)cc1C ZINC000357964587 266391080 /nfs/dbraw/zinc/39/10/80/266391080.db2.gz OEYSLWLIFAFTSE-UHFFFAOYSA-N 1 2 287.407 3.517 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(CC(C)C)no2)cc1C ZINC000357964587 266391082 /nfs/dbraw/zinc/39/10/82/266391082.db2.gz OEYSLWLIFAFTSE-UHFFFAOYSA-N 1 2 287.407 3.517 20 0 CHADLO CCC[C@H](C)c1nc(CCn2c(C)[nH+]c3ccccc32)no1 ZINC000359117734 266538321 /nfs/dbraw/zinc/53/83/21/266538321.db2.gz AUBLVHDMKLJKBY-LBPRGKRZSA-N 1 2 298.390 3.874 20 0 CHADLO CCOCc1ccc(NCc2ccc(N(C)CC)[nH+]c2)cc1 ZINC000359740477 266632802 /nfs/dbraw/zinc/63/28/02/266632802.db2.gz SLOQSCKRQGLEHE-UHFFFAOYSA-N 1 2 299.418 3.686 20 0 CHADLO Cc1ccc(C[N@H+]2[C@H](c3ccccc3)COC[C@@H]2C)c(C)n1 ZINC000360115362 266684369 /nfs/dbraw/zinc/68/43/69/266684369.db2.gz MEYOVZKAWWLDCE-KXBFYZLASA-N 1 2 296.414 3.660 20 0 CHADLO Cc1ccc(C[N@@H+]2[C@H](c3ccccc3)COC[C@@H]2C)c(C)n1 ZINC000360115362 266684371 /nfs/dbraw/zinc/68/43/71/266684371.db2.gz MEYOVZKAWWLDCE-KXBFYZLASA-N 1 2 296.414 3.660 20 0 CHADLO Cc1nc(N[C@H]2CCC[C@@H]2Cc2ccccc2)cc[nH+]1 ZINC000361060671 266776595 /nfs/dbraw/zinc/77/65/95/266776595.db2.gz NGDCDORACNKINQ-CVEARBPZSA-N 1 2 267.376 3.608 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCC[C@H]2CCC(C)C)[nH+]1 ZINC000362992736 267072006 /nfs/dbraw/zinc/07/20/06/267072006.db2.gz NTXVIQYUZPECLA-ZDUSSCGKSA-N 1 2 272.396 3.671 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)c3ccco3)c2)[nH+]c1C ZINC000363186382 267080234 /nfs/dbraw/zinc/08/02/34/267080234.db2.gz PKYNWDOHNGIMIO-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO COc1ccc([C@H]([NH2+][C@@H]2CCCc3[nH]ncc32)C(C)C)cc1 ZINC000367615248 267104495 /nfs/dbraw/zinc/10/44/95/267104495.db2.gz KVFCYRZTRNSLHA-SJLPKXTDSA-N 1 2 299.418 3.783 20 0 CHADLO CCCCC[C@@H](CC)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000367740953 267114471 /nfs/dbraw/zinc/11/44/71/267114471.db2.gz NREVEPGGHIRYKG-CABCVRRESA-N 1 2 291.439 3.722 20 0 CHADLO Clc1cccc2c1CC[C@H]2[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367742821 267114673 /nfs/dbraw/zinc/11/46/73/267114673.db2.gz WMODIVPQIBBNOZ-LSDHHAIUSA-N 1 2 287.794 3.718 20 0 CHADLO Cc1cc(NC(=O)c2cc3c(cc(F)cc3C)[nH]2)cc[nH+]1 ZINC000116761014 267175385 /nfs/dbraw/zinc/17/53/85/267175385.db2.gz NUPHKEPQQBSCPI-UHFFFAOYSA-N 1 2 283.306 3.571 20 0 CHADLO Fc1ccc(Cl)cc1CN1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000368882300 267210559 /nfs/dbraw/zinc/21/05/59/267210559.db2.gz MURKZPXFFDXBQT-ZDUSSCGKSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1ccc2c(c1)[nH]cc2C1=CC[N@H+](Cc2ccon2)CC1 ZINC000369583215 267251733 /nfs/dbraw/zinc/25/17/33/267251733.db2.gz HUGNTFLEZQBVBP-UHFFFAOYSA-N 1 2 293.370 3.754 20 0 CHADLO Cc1ccc2c(c1)[nH]cc2C1=CC[N@@H+](Cc2ccon2)CC1 ZINC000369583215 267251736 /nfs/dbraw/zinc/25/17/36/267251736.db2.gz HUGNTFLEZQBVBP-UHFFFAOYSA-N 1 2 293.370 3.754 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@@H+]1Cc1csc(-c2ccco2)n1 ZINC000118420049 267254142 /nfs/dbraw/zinc/25/41/42/267254142.db2.gz IQPILFKYUZNBRY-MNOVXSKESA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1[C@H](C)SCC[N@H+]1Cc1csc(-c2ccco2)n1 ZINC000118420049 267254145 /nfs/dbraw/zinc/25/41/45/267254145.db2.gz IQPILFKYUZNBRY-MNOVXSKESA-N 1 2 294.445 3.729 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](C)c2ccc(F)c(F)c2)cc[nH+]1 ZINC000119373777 267326315 /nfs/dbraw/zinc/32/63/15/267326315.db2.gz VGCDANKUBDXPLA-JTQLQIEISA-N 1 2 291.301 3.551 20 0 CHADLO CCCC[C@H](CC)CC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000370631893 267332743 /nfs/dbraw/zinc/33/27/43/267332743.db2.gz UJONPNLXSPEIPZ-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO CCCC[C@H](CC)CC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000370631893 267332745 /nfs/dbraw/zinc/33/27/45/267332745.db2.gz UJONPNLXSPEIPZ-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO CCN(Cc1cccc(F)c1)C(=O)Nc1cc[nH+]c(C)c1 ZINC000119443242 267334873 /nfs/dbraw/zinc/33/48/73/267334873.db2.gz WTMMASUSJWKDFJ-UHFFFAOYSA-N 1 2 287.338 3.583 20 0 CHADLO COc1cc[nH+]cc1NC(=O)C[C@@H]1C[C@@H](C)CC(C)(C)C1 ZINC000303175555 267604722 /nfs/dbraw/zinc/60/47/22/267604722.db2.gz SJKFBXSWNOUYSY-OLZOCXBDSA-N 1 2 290.407 3.881 20 0 CHADLO CC(C)(C)C[C@@H]([NH2+][C@H]1CCC12CCOCC2)C(F)(F)F ZINC000376635998 268003820 /nfs/dbraw/zinc/00/38/20/268003820.db2.gz CLKYUEMLCIFKIT-NWDGAFQWSA-N 1 2 293.373 3.902 20 0 CHADLO Cn1ccnc1C[N@@H+]1CCCC[C@@H]1c1cccc(Cl)c1 ZINC000376648021 268005683 /nfs/dbraw/zinc/00/56/83/268005683.db2.gz CQUKEOMQAAAQJQ-OAHLLOKOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1ccnc1C[N@H+]1CCCC[C@@H]1c1cccc(Cl)c1 ZINC000376648021 268005686 /nfs/dbraw/zinc/00/56/86/268005686.db2.gz CQUKEOMQAAAQJQ-OAHLLOKOSA-N 1 2 289.810 3.801 20 0 CHADLO CC[C@H]1CCCC[C@H]1C(=O)Nc1c(C)cc[nH+]c1C ZINC000425595629 268037073 /nfs/dbraw/zinc/03/70/73/268037073.db2.gz INKWCBWJBLHCOV-UONOGXRCSA-N 1 2 260.381 3.853 20 0 CHADLO CCCC[N@H+](Cc1noc(C)n1)[C@H](C)c1ccccc1 ZINC000123352934 268038526 /nfs/dbraw/zinc/03/85/26/268038526.db2.gz AQTHOFHPQGABFA-CYBMUJFWSA-N 1 2 273.380 3.741 20 0 CHADLO CCCC[N@@H+](Cc1noc(C)n1)[C@H](C)c1ccccc1 ZINC000123352934 268038530 /nfs/dbraw/zinc/03/85/30/268038530.db2.gz AQTHOFHPQGABFA-CYBMUJFWSA-N 1 2 273.380 3.741 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@H]2c2nccs2)c1 ZINC000377197163 268054569 /nfs/dbraw/zinc/05/45/69/268054569.db2.gz PLSCPKUYMACHOW-ZDUSSCGKSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@H]2c2nccs2)c1 ZINC000377197163 268054572 /nfs/dbraw/zinc/05/45/72/268054572.db2.gz PLSCPKUYMACHOW-ZDUSSCGKSA-N 1 2 262.353 3.619 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H](C)c2cn3ccccc3n2)n1 ZINC000570816125 327622143 /nfs/dbraw/zinc/62/21/43/327622143.db2.gz LEGMPSSWYLRISP-RYUDHWBXSA-N 1 2 286.404 3.511 20 0 CHADLO CC(C)n1cc[nH+]c1CN1c2ccccc2CC[C@@H]1C ZINC000170957818 327679809 /nfs/dbraw/zinc/67/98/09/327679809.db2.gz KBZCOEVAKNIIJA-AWEZNQCLSA-N 1 2 269.392 3.805 20 0 CHADLO CCc1cc(N)nc(S[C@H](C)c2ccccc2Cl)[nH+]1 ZINC000580153588 327712969 /nfs/dbraw/zinc/71/29/69/327712969.db2.gz ARCJTVHRLUSDNX-SECBINFHSA-N 1 2 293.823 3.958 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+]Cc2cc(C(C)(C)C)on2)cn1 ZINC000580260972 327750451 /nfs/dbraw/zinc/75/04/51/327750451.db2.gz MUMAOKAGUHCOMA-GFCCVEGCSA-N 1 2 290.411 3.600 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccc(Br)c(F)c1 ZINC000378806229 327758653 /nfs/dbraw/zinc/75/86/53/327758653.db2.gz YERCUKLBPYNHPP-ZETCQYMHSA-N 1 2 296.130 3.894 20 0 CHADLO Cc1ccccc1Cc1nc(C[NH+]2CCC(C)(C)CC2)no1 ZINC000531199560 327889441 /nfs/dbraw/zinc/88/94/41/327889441.db2.gz NSYHRFYRUGLBME-UHFFFAOYSA-N 1 2 299.418 3.591 20 0 CHADLO C[C@H](CC(=O)N[C@@H]1CCCC[C@@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000563210511 327965496 /nfs/dbraw/zinc/96/54/96/327965496.db2.gz GGHWHGSJDYJKOQ-QLFBSQMISA-N 1 2 291.439 3.555 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2cc(F)cc(F)c2)s1 ZINC000274266435 327980028 /nfs/dbraw/zinc/98/00/28/327980028.db2.gz VWKYCEWKCYZMEJ-SFYZADRCSA-N 1 2 283.347 3.537 20 0 CHADLO CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000567996266 328001765 /nfs/dbraw/zinc/00/17/65/328001765.db2.gz GEBHDVJQENFINP-PMPSAXMXSA-N 1 2 291.439 3.555 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCC[C@@H]3c3ccccn3)nc2c1 ZINC000531548543 328014849 /nfs/dbraw/zinc/01/48/49/328014849.db2.gz HHNXOCATTKXMMH-OAHLLOKOSA-N 1 2 297.333 3.699 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCC[C@@H]3c3ccccn3)nc2c1 ZINC000531548543 328014851 /nfs/dbraw/zinc/01/48/51/328014851.db2.gz HHNXOCATTKXMMH-OAHLLOKOSA-N 1 2 297.333 3.699 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)[C@H]1CC12CCCCC2 ZINC000531653287 328021881 /nfs/dbraw/zinc/02/18/81/328021881.db2.gz DQSHLODOPWWYAD-OAHLLOKOSA-N 1 2 295.386 3.986 20 0 CHADLO CSCC[N@@H+]1CCc2cc(Cl)cc(Cl)c2C1 ZINC000534108067 328035667 /nfs/dbraw/zinc/03/56/67/328035667.db2.gz LZIDFBHGHGRNJU-UHFFFAOYSA-N 1 2 276.232 3.715 20 0 CHADLO CSCC[N@H+]1CCc2cc(Cl)cc(Cl)c2C1 ZINC000534108067 328035669 /nfs/dbraw/zinc/03/56/69/328035669.db2.gz LZIDFBHGHGRNJU-UHFFFAOYSA-N 1 2 276.232 3.715 20 0 CHADLO Cc1cc(NC(=O)c2cccc(Cl)c2Cl)c(C)c[nH+]1 ZINC000534299693 328044101 /nfs/dbraw/zinc/04/41/01/328044101.db2.gz HXANYNYRBULGJC-UHFFFAOYSA-N 1 2 295.169 3.679 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CC[C@H]3CCCC[C@@H]3C2)c(C)c[nH+]1 ZINC000534299305 328044125 /nfs/dbraw/zinc/04/41/25/328044125.db2.gz UXESTZNDKOBWSE-BZUAXINKSA-N 1 2 286.419 3.665 20 0 CHADLO Cc1cc(NC(=O)N(C)C2CCC(C)(C)CC2)c(C)c[nH+]1 ZINC000534323895 328045741 /nfs/dbraw/zinc/04/57/41/328045741.db2.gz POMPYQWNYSBIDP-UHFFFAOYSA-N 1 2 289.423 3.553 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H]2c2ccccn2)cc(F)c1F ZINC000534331899 328046604 /nfs/dbraw/zinc/04/66/04/328046604.db2.gz OEPRBXOARQSIOW-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H]2c2ccccn2)cc(F)c1F ZINC000534331899 328046606 /nfs/dbraw/zinc/04/66/06/328046606.db2.gz OEPRBXOARQSIOW-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)c2cccc(C(C)(C)C)c2)c2[nH+]ccn21 ZINC000563364860 328059119 /nfs/dbraw/zinc/05/91/19/328059119.db2.gz XANWFQMLMRXJJK-IUODEOHRSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C=C2CCCC2)n1 ZINC000563510245 328070704 /nfs/dbraw/zinc/07/07/04/328070704.db2.gz DTGXXKFYRKIDLF-UHFFFAOYSA-N 1 2 269.348 3.516 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+]C2CC2)C(F)F)c1 ZINC000563671383 328083435 /nfs/dbraw/zinc/08/34/35/328083435.db2.gz VQVSBOQYJDYESK-CYBMUJFWSA-N 1 2 255.308 3.532 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+]C2CC2)C(F)F)c1 ZINC000563671384 328083457 /nfs/dbraw/zinc/08/34/57/328083457.db2.gz VQVSBOQYJDYESK-ZDUSSCGKSA-N 1 2 255.308 3.532 20 0 CHADLO Cc1cc(CNC(=O)[C@@H]2CCCC[C@@H]2C2CC2)cc(C)[nH+]1 ZINC000571089304 328085722 /nfs/dbraw/zinc/08/57/22/328085722.db2.gz QTRAKKTUBLVWRI-IAGOWNOFSA-N 1 2 286.419 3.531 20 0 CHADLO CSc1ccc(CNc2c[nH+]ccc2C)s1 ZINC000336669869 328090335 /nfs/dbraw/zinc/09/03/35/328090335.db2.gz GONLNGSOAKPDKF-UHFFFAOYSA-N 1 2 250.392 3.786 20 0 CHADLO CSCCCN(C)c1[nH+]c2ccccc2cc1C ZINC000571339626 328112153 /nfs/dbraw/zinc/11/21/53/328112153.db2.gz MHUKOGPSRWOMJE-UHFFFAOYSA-N 1 2 260.406 3.733 20 0 CHADLO CCc1cc2c(ncnc2NCCc2ccc(C)c[nH+]2)s1 ZINC000413351182 328143656 /nfs/dbraw/zinc/14/36/56/328143656.db2.gz LGSFIGPROUXRPP-UHFFFAOYSA-N 1 2 298.415 3.612 20 0 CHADLO CCCOc1cccc2c(NCc3cnc(C)o3)cc[nH+]c12 ZINC000413427343 328145073 /nfs/dbraw/zinc/14/50/73/328145073.db2.gz ZDFREFGNKWOMFT-UHFFFAOYSA-N 1 2 297.358 3.932 20 0 CHADLO C[C@@H]1C[C@H](CNc2[nH+]ccc3ccc(F)cc32)[C@H](C)O1 ZINC000413541294 328149219 /nfs/dbraw/zinc/14/92/19/328149219.db2.gz VTNVDAWDNKCHDI-NTZNESFSSA-N 1 2 274.339 3.599 20 0 CHADLO CC(C)c1cc(NCc2c[nH+]c3ccc(Cl)cn23)no1 ZINC000272283013 328199690 /nfs/dbraw/zinc/19/96/90/328199690.db2.gz UBGZCGBKCLEUKM-UHFFFAOYSA-N 1 2 290.754 3.711 20 0 CHADLO CSc1ccc(CSCc2[nH+]ccn2C)cc1 ZINC000279902831 328233563 /nfs/dbraw/zinc/23/35/63/328233563.db2.gz JCNRYOWRCYMHPO-UHFFFAOYSA-N 1 2 264.419 3.575 20 0 CHADLO Fc1ccc(F)c(CNc2ccc3c(c2)CCC[NH2+]3)c1 ZINC000414843773 328234021 /nfs/dbraw/zinc/23/40/21/328234021.db2.gz CUFSXFSLIXUPIA-UHFFFAOYSA-N 1 2 274.314 3.935 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cccc(Br)c2)no1 ZINC000282806764 328243430 /nfs/dbraw/zinc/24/34/30/328243430.db2.gz XOOWBUMCIWQLPJ-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@@H](CC(C)C)C1 ZINC000287501731 328251549 /nfs/dbraw/zinc/25/15/49/328251549.db2.gz LOKDFTREHBVDBJ-AWEZNQCLSA-N 1 2 275.396 3.598 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+]Cc2c(F)cc(F)cc2F)cn1 ZINC000340485129 328283364 /nfs/dbraw/zinc/28/33/64/328283364.db2.gz DRPFTCZACJPOSB-SNVBAGLBSA-N 1 2 297.324 3.732 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H](C)c1c(F)cccc1F ZINC000296200249 328289504 /nfs/dbraw/zinc/28/95/04/328289504.db2.gz YYNBINAINGJARC-WDEREUQCSA-N 1 2 279.334 3.593 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)N[C@@H](C)c1c(F)cccc1F ZINC000296200249 328289505 /nfs/dbraw/zinc/28/95/05/328289505.db2.gz YYNBINAINGJARC-WDEREUQCSA-N 1 2 279.334 3.593 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000534475386 328303167 /nfs/dbraw/zinc/30/31/67/328303167.db2.gz UVZAWNOSUFWBQD-ARFHVFGLSA-N 1 2 286.419 3.611 20 0 CHADLO COc1cc(C)[nH+]c(CSC2CCCCC2)c1 ZINC000534519396 328305111 /nfs/dbraw/zinc/30/51/11/328305111.db2.gz NGOAHQPZOLSJKE-UHFFFAOYSA-N 1 2 251.395 3.965 20 0 CHADLO COc1cc(C)[nH+]c(CN2C[C@@H](C)[C@H]2c2ccccc2)c1 ZINC000534551434 328305982 /nfs/dbraw/zinc/30/59/82/328305982.db2.gz IFRWYRJBSLNSRN-ACJLOTCBSA-N 1 2 282.387 3.592 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)[C@H](C)SC)cc2[nH+]1 ZINC000049486871 328363750 /nfs/dbraw/zinc/36/37/50/328363750.db2.gz RLZGXBYACFSEMW-JTQLQIEISA-N 1 2 291.420 3.596 20 0 CHADLO CCOCCN(CC)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000534879874 328367461 /nfs/dbraw/zinc/36/74/61/328367461.db2.gz WQXKTRWOQKZLHN-UHFFFAOYSA-N 1 2 279.428 3.586 20 0 CHADLO Cn1c2ccccc2[nH+]c1N[C@H]1CSc2ccccc21 ZINC000534979508 328372872 /nfs/dbraw/zinc/37/28/72/328372872.db2.gz GQCJMYMZRZGEQA-ZDUSSCGKSA-N 1 2 281.384 3.832 20 0 CHADLO Cc1ccccc1[C@@H]1CCN(c2[nH]c3ccccc3[nH+]2)C1 ZINC000534979905 328372920 /nfs/dbraw/zinc/37/29/20/328372920.db2.gz FTBNDHLOCQNATC-CQSZACIVSA-N 1 2 277.371 3.865 20 0 CHADLO CCC[C@@H](C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C)C(C)C ZINC000535009687 328376169 /nfs/dbraw/zinc/37/61/69/328376169.db2.gz SKKSREBLPFHKBQ-CQSZACIVSA-N 1 2 287.407 3.893 20 0 CHADLO CC[C@@H](C)[C@H]1CCCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535059210 328381906 /nfs/dbraw/zinc/38/19/06/328381906.db2.gz CAMZTQVTLXVMNV-MLGOLLRUSA-N 1 2 289.423 3.553 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@H]2c2ccccn2)cc1F ZINC000535067264 328383048 /nfs/dbraw/zinc/38/30/48/328383048.db2.gz LAPPLHZJDZWFFV-INIZCTEOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@H]2c2ccccn2)cc1F ZINC000535067264 328383049 /nfs/dbraw/zinc/38/30/49/328383049.db2.gz LAPPLHZJDZWFFV-INIZCTEOSA-N 1 2 292.304 3.836 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(F)cc1Br ZINC000227599537 329327816 /nfs/dbraw/zinc/32/78/16/329327816.db2.gz VZVRLZUMRXJFLG-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(C(F)(F)F)cc2C)n1 ZINC000342136773 328417430 /nfs/dbraw/zinc/41/74/30/328417430.db2.gz SIYOUWWZAOJIIO-VIFPVBQESA-N 1 2 299.296 3.556 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2c3ccccc3C[C@@H]2C)c(C)[nH+]1 ZINC000152289630 328443929 /nfs/dbraw/zinc/44/39/29/328443929.db2.gz ILQZIWYNQMHYAO-GTNSWQLSSA-N 1 2 295.386 3.753 20 0 CHADLO Cc1cc(N[C@H]2CCOc3c(F)cccc32)nc(C2CC2)[nH+]1 ZINC000189887290 328486018 /nfs/dbraw/zinc/48/60/18/328486018.db2.gz YOWAIMDZQZFXMD-AWEZNQCLSA-N 1 2 299.349 3.737 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1ccccc1 ZINC000425464049 328487509 /nfs/dbraw/zinc/48/75/09/328487509.db2.gz XAEPTQIRFRRIJA-LLVKDONJSA-N 1 2 281.306 3.638 20 0 CHADLO COc1ccccc1CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000035121320 326798213 /nfs/dbraw/zinc/79/82/13/326798213.db2.gz DUQHOAOINYMPCE-UHFFFAOYSA-N 1 2 271.364 3.529 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(C)(C)C)cc1)c1csnn1 ZINC000398243727 326876280 /nfs/dbraw/zinc/87/62/80/326876280.db2.gz QUSJLNLPRMWYEA-NSHDSACASA-N 1 2 275.421 3.686 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@H](C)c2csnn2)s1 ZINC000398250843 326876461 /nfs/dbraw/zinc/87/64/61/326876461.db2.gz WGRITYVRAUXPHO-BDAKNGLRSA-N 1 2 267.423 3.574 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(OC(F)F)cc1)c1csnn1 ZINC000398297996 326877926 /nfs/dbraw/zinc/87/79/26/326877926.db2.gz LJXWJJYWEJWGKY-IUCAKERBSA-N 1 2 299.346 3.551 20 0 CHADLO CCCC[C@H](COC)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000530691141 326886505 /nfs/dbraw/zinc/88/65/05/326886505.db2.gz ROEULUZZGUXZHB-LLVKDONJSA-N 1 2 276.302 3.718 20 0 CHADLO CC(C)CCN(CCC(C)C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000556688476 326958029 /nfs/dbraw/zinc/95/80/29/326958029.db2.gz UMJFNZIVCWGJKO-MRXNPFEDSA-N 1 2 293.455 3.755 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@H]2CCCC[C@@H]2C)n1 ZINC000557359387 327002624 /nfs/dbraw/zinc/00/26/24/327002624.db2.gz LXVVVXMQIZSWBD-GXTWGEPZSA-N 1 2 285.391 3.733 20 0 CHADLO CC(=O)Nc1ccc(CNc2[nH+]ccc(C)c2Cl)cc1 ZINC000557476495 327008014 /nfs/dbraw/zinc/00/80/14/327008014.db2.gz VVYLDCMFGXZOLH-UHFFFAOYSA-N 1 2 289.766 3.614 20 0 CHADLO CC(C)(C)/C=C\C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000255768372 327014013 /nfs/dbraw/zinc/01/40/13/327014013.db2.gz GYBUZXYBTHTLNO-FPLPWBNLSA-N 1 2 269.348 3.618 20 0 CHADLO CC(C)(C)C=CC(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000255768372 327014015 /nfs/dbraw/zinc/01/40/15/327014015.db2.gz GYBUZXYBTHTLNO-FPLPWBNLSA-N 1 2 269.348 3.618 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cccc(Cl)c2C)c1 ZINC000214068948 327015312 /nfs/dbraw/zinc/01/53/12/327015312.db2.gz KXXJROPSHVNQKC-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO Clc1cccc2c(N[C@@H]3C[C@H]4OCCC[C@H]34)cc[nH+]c12 ZINC000557659231 327019392 /nfs/dbraw/zinc/01/93/92/327019392.db2.gz FZQNROYXFXORIO-VCTAVGKDSA-N 1 2 288.778 3.868 20 0 CHADLO Cc1ccc(NC(=O)/C=C\SCc2ccco2)c(C)[nH+]1 ZINC000255907518 327027763 /nfs/dbraw/zinc/02/77/63/327027763.db2.gz UIWSZIRWRFRPEP-CLFYSBASSA-N 1 2 288.372 3.677 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1N1CCCCC1 ZINC000090169905 327031739 /nfs/dbraw/zinc/03/17/39/327031739.db2.gz PTZZEXDPWJIUTO-UHFFFAOYSA-N 1 2 284.407 3.505 20 0 CHADLO C[C@H]1C[C@@H]1CC(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000558474289 327097083 /nfs/dbraw/zinc/09/70/83/327097083.db2.gz FGWOMHJYCAHZRR-QWHCGFSZSA-N 1 2 297.402 3.747 20 0 CHADLO Cc1cnc(C[N@H+]2CCS[C@H](C)[C@@H]2c2ccccc2)o1 ZINC000075749119 327127576 /nfs/dbraw/zinc/12/75/76/327127576.db2.gz AWVGRFFMCFSDHI-CZUORRHYSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cnc(C[N@@H+]2CCS[C@H](C)[C@@H]2c2ccccc2)o1 ZINC000075749119 327127577 /nfs/dbraw/zinc/12/75/77/327127577.db2.gz AWVGRFFMCFSDHI-CZUORRHYSA-N 1 2 288.416 3.662 20 0 CHADLO CC(C)c1cc(N2CCC[C@H]2CF)nc(C(C)C)[nH+]1 ZINC000558978498 327136016 /nfs/dbraw/zinc/13/60/16/327136016.db2.gz GXJDTXJCURNEQD-LBPRGKRZSA-N 1 2 265.376 3.662 20 0 CHADLO CC(C)c1cc(N2CCC[C@@H]2CF)nc(C(C)C)[nH+]1 ZINC000558978493 327136020 /nfs/dbraw/zinc/13/60/20/327136020.db2.gz GXJDTXJCURNEQD-GFCCVEGCSA-N 1 2 265.376 3.662 20 0 CHADLO CC1C[NH+](Cc2cc(C(F)(F)F)ccc2Cl)C1 ZINC000559073760 327140272 /nfs/dbraw/zinc/14/02/72/327140272.db2.gz HXKSBQVCJISQJR-UHFFFAOYSA-N 1 2 263.690 3.811 20 0 CHADLO Fc1cccc(C[N@@H+]2CCOCC23CCCC3)c1Cl ZINC000559146063 327143406 /nfs/dbraw/zinc/14/34/06/327143406.db2.gz WYMGHKKYFDCSTN-UHFFFAOYSA-N 1 2 283.774 3.624 20 0 CHADLO Fc1cccc(C[N@H+]2CCOCC23CCCC3)c1Cl ZINC000559146063 327143408 /nfs/dbraw/zinc/14/34/08/327143408.db2.gz WYMGHKKYFDCSTN-UHFFFAOYSA-N 1 2 283.774 3.624 20 0 CHADLO CC1(C)C[N@H+](Cc2cccs2)C[C@](C)(C(F)(F)F)O1 ZINC000559313147 327158475 /nfs/dbraw/zinc/15/84/75/327158475.db2.gz RLDNFPZORMUCQZ-GFCCVEGCSA-N 1 2 293.354 3.680 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccs2)C[C@](C)(C(F)(F)F)O1 ZINC000559313147 327158476 /nfs/dbraw/zinc/15/84/76/327158476.db2.gz RLDNFPZORMUCQZ-GFCCVEGCSA-N 1 2 293.354 3.680 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@H](C)c1nccs1 ZINC000091729361 327176738 /nfs/dbraw/zinc/17/67/38/327176738.db2.gz MUAQAVWHMHVYMQ-NXEZZACHSA-N 1 2 280.368 3.703 20 0 CHADLO CC[C@H](c1nc([C@H]2C[C@@H]2C)no1)[N@H+](C)Cc1ccccc1 ZINC000569472459 327183144 /nfs/dbraw/zinc/18/31/44/327183144.db2.gz PIBAGMVEGDZGMK-AEGPPILISA-N 1 2 285.391 3.776 20 0 CHADLO CC[C@H](c1nc([C@H]2C[C@@H]2C)no1)[N@@H+](C)Cc1ccccc1 ZINC000569472459 327183145 /nfs/dbraw/zinc/18/31/45/327183145.db2.gz PIBAGMVEGDZGMK-AEGPPILISA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)no1 ZINC000569533132 327194579 /nfs/dbraw/zinc/19/45/79/327194579.db2.gz CYHIAFYQRPEJFF-SNVBAGLBSA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)no1 ZINC000569533132 327194581 /nfs/dbraw/zinc/19/45/81/327194581.db2.gz CYHIAFYQRPEJFF-SNVBAGLBSA-N 1 2 290.329 3.746 20 0 CHADLO Cc1cccnc1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000093172836 327196795 /nfs/dbraw/zinc/19/67/95/327196795.db2.gz SGPOMNBUNFKRBJ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccnc1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000093172836 327196796 /nfs/dbraw/zinc/19/67/96/327196796.db2.gz SGPOMNBUNFKRBJ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC[C@]1(C)COCC[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000536361806 327231812 /nfs/dbraw/zinc/23/18/12/327231812.db2.gz UOMZVFANBLZTTQ-CQSZACIVSA-N 1 2 287.325 3.706 20 0 CHADLO CC[C@]1(C)COCC[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000536361806 327231813 /nfs/dbraw/zinc/23/18/13/327231813.db2.gz UOMZVFANBLZTTQ-CQSZACIVSA-N 1 2 287.325 3.706 20 0 CHADLO COc1ccc2c(c1)[nH+]ccc2OCCCCCF ZINC000559950706 327273331 /nfs/dbraw/zinc/27/33/31/327273331.db2.gz UUGNZVZFRYVFLI-UHFFFAOYSA-N 1 2 263.312 3.762 20 0 CHADLO CCc1cc(N)nc(SCc2c(F)cccc2Cl)[nH+]1 ZINC000560028647 327278673 /nfs/dbraw/zinc/27/86/73/327278673.db2.gz NFEABMBVMYCDES-UHFFFAOYSA-N 1 2 297.786 3.536 20 0 CHADLO C[N@H+](CCC(F)(F)F)[C@]1(c2ccccc2)CCCCC1=O ZINC000560196987 327289536 /nfs/dbraw/zinc/28/95/36/327289536.db2.gz AMEUHTLYQAGLKD-HNNXBMFYSA-N 1 2 299.336 3.909 20 0 CHADLO C[N@@H+](CCC(F)(F)F)[C@]1(c2ccccc2)CCCCC1=O ZINC000560196987 327289537 /nfs/dbraw/zinc/28/95/37/327289537.db2.gz AMEUHTLYQAGLKD-HNNXBMFYSA-N 1 2 299.336 3.909 20 0 CHADLO CC[C@@H]([NH2+]C[C@@H]1C[C@@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC000560403746 327304798 /nfs/dbraw/zinc/30/47/98/327304798.db2.gz DUQLEAGRZBZDIE-ARFHVFGLSA-N 1 2 289.419 3.500 20 0 CHADLO CC[N@H+](Cc1csnn1)[C@H](C)c1cc2ccccc2o1 ZINC000119373995 327331896 /nfs/dbraw/zinc/33/18/96/327331896.db2.gz SCHSDEZJLAXHKO-LLVKDONJSA-N 1 2 287.388 3.867 20 0 CHADLO CC[N@@H+](Cc1csnn1)[C@H](C)c1cc2ccccc2o1 ZINC000119373995 327331897 /nfs/dbraw/zinc/33/18/97/327331897.db2.gz SCHSDEZJLAXHKO-LLVKDONJSA-N 1 2 287.388 3.867 20 0 CHADLO O=C(CC1CCCCCC1)Nc1ccn2cc[nH+]c2c1 ZINC000560669929 327335626 /nfs/dbraw/zinc/33/56/26/327335626.db2.gz BFMWGVAULGDXEV-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Cc1cccc(C[NH2+]Cc2cscc2Br)n1 ZINC000304499765 327340727 /nfs/dbraw/zinc/34/07/27/327340727.db2.gz CKMPOUPVADHGJC-UHFFFAOYSA-N 1 2 297.221 3.504 20 0 CHADLO FC(F)c1nccnc1Nc1ccc2c(c1)CCC[NH2+]2 ZINC000261802804 327346583 /nfs/dbraw/zinc/34/65/83/327346583.db2.gz RMEUHDQKJRSSQD-UHFFFAOYSA-N 1 2 276.290 3.516 20 0 CHADLO Cc1cc([N@H+]2CCCC[C@@H]2C)ccc1Nc1cccnn1 ZINC000561262456 327380832 /nfs/dbraw/zinc/38/08/32/327380832.db2.gz BFNRCRYPQUQJFF-AWEZNQCLSA-N 1 2 282.391 3.907 20 0 CHADLO Cc1cc([N@@H+]2CCCC[C@@H]2C)ccc1Nc1cccnn1 ZINC000561262456 327380833 /nfs/dbraw/zinc/38/08/33/327380833.db2.gz BFNRCRYPQUQJFF-AWEZNQCLSA-N 1 2 282.391 3.907 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(OC(F)F)c1)c1csnn1 ZINC000398327718 327394569 /nfs/dbraw/zinc/39/45/69/327394569.db2.gz ZKOSKWSOJYFQHY-IUCAKERBSA-N 1 2 299.346 3.551 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H]2C[C@@]2(C)C(C)C)n1 ZINC000566779573 327458221 /nfs/dbraw/zinc/45/82/21/327458221.db2.gz HDPUBXCBTPJVTB-GUYCJALGSA-N 1 2 285.391 3.708 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCc1cc2ccccc2o1 ZINC000562288055 327463821 /nfs/dbraw/zinc/46/38/21/327463821.db2.gz IFHSTJMDCPLTTE-UHFFFAOYSA-N 1 2 295.342 3.766 20 0 CHADLO CC1(C)CCCC[C@@H]1CC(=O)NCc1cn2ccccc2[nH+]1 ZINC000151648046 327485248 /nfs/dbraw/zinc/48/52/48/327485248.db2.gz PZYMQGSCGWOQJS-CQSZACIVSA-N 1 2 299.418 3.557 20 0 CHADLO CC(C)COC[C@H]([NH2+][C@H](C)c1ccco1)c1ccco1 ZINC000152614070 327563071 /nfs/dbraw/zinc/56/30/71/327563071.db2.gz MZMBTZSJGJAEPC-KGLIPLIRSA-N 1 2 277.364 3.937 20 0 CHADLO FC(F)(F)C1C[NH+]([C@@H]2CCc3ccc(Cl)cc32)C1 ZINC000570158920 327572868 /nfs/dbraw/zinc/57/28/68/327572868.db2.gz CMAOFKSXRUVYAG-GFCCVEGCSA-N 1 2 275.701 3.821 20 0 CHADLO C[C@@H]1Oc2cc(F)ccc2[C@H]1[NH2+]Cc1cc(F)ccc1F ZINC000583587673 328624384 /nfs/dbraw/zinc/62/43/84/328624384.db2.gz WYIUMHKDSOKUTA-FVMDXXJSSA-N 1 2 293.288 3.716 20 0 CHADLO C[C@H]1Oc2cc(F)ccc2[C@H]1[NH2+]Cc1cc(F)ccc1F ZINC000583587672 328624502 /nfs/dbraw/zinc/62/45/02/328624502.db2.gz WYIUMHKDSOKUTA-ABKXIKBNSA-N 1 2 293.288 3.716 20 0 CHADLO Cc1cc(N2C[C@H](C)CC(C)(C)C2)nc(-c2ccncc2)[nH+]1 ZINC000519466883 328682114 /nfs/dbraw/zinc/68/21/14/328682114.db2.gz RQBLTMBMFZIWOJ-CYBMUJFWSA-N 1 2 296.418 3.719 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+]Cc1cccc(Cl)c1Cl ZINC000526106829 328737964 /nfs/dbraw/zinc/73/79/64/328737964.db2.gz QCZXMXIUNPUMFA-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO CCS(=O)(=O)Nc1ccc([NH2+]C2CCCCCC2)cc1 ZINC000037784797 328738590 /nfs/dbraw/zinc/73/85/90/328738590.db2.gz BTVJMYQPGZXGTH-UHFFFAOYSA-N 1 2 296.436 3.583 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2CCOc3ccc(F)cc32)cs1 ZINC000336471090 328755725 /nfs/dbraw/zinc/75/57/25/328755725.db2.gz ZOOYEWYLSXRIOL-NOZJJQNGSA-N 1 2 292.379 3.765 20 0 CHADLO Cc1ccc(/C=C/C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)o1 ZINC000103966831 328774343 /nfs/dbraw/zinc/77/43/43/328774343.db2.gz ALDNYFTVIXXQHO-BQYQJAHWSA-N 1 2 293.326 3.630 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1cccc(F)c1C ZINC000043320137 328786591 /nfs/dbraw/zinc/78/65/91/328786591.db2.gz NCRADSDQWDRNAD-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1cc(C)cc(CNc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000043392901 328789548 /nfs/dbraw/zinc/78/95/48/328789548.db2.gz KUMXNCUGYKKDIV-UHFFFAOYSA-N 1 2 281.403 3.911 20 0 CHADLO CC[C@H](Nc1ccc(N2C[C@H](C)O[C@@H](C)C2)[nH+]c1)C(C)C ZINC000526991053 328819038 /nfs/dbraw/zinc/81/90/38/328819038.db2.gz BQVKCEOEYZOHKZ-DZKIICNBSA-N 1 2 291.439 3.542 20 0 CHADLO Cc1sccc1CC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000527037199 328821455 /nfs/dbraw/zinc/82/14/55/328821455.db2.gz UWIZJWKJIUBSOC-UHFFFAOYSA-N 1 2 297.383 3.628 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2ccc(Cl)cc2Cl)nn1 ZINC000396554185 328824278 /nfs/dbraw/zinc/82/42/78/328824278.db2.gz PXOAHWBFFFLIIT-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(-c2ccc3c(c2)CCC3)n1 ZINC000582232264 328825560 /nfs/dbraw/zinc/82/55/60/328825560.db2.gz QXIRNDBBAKMGKN-UHFFFAOYSA-N 1 2 291.354 3.520 20 0 CHADLO Cc1cc(Nc2ccc(OC(C)C)cc2)nc(C)[nH+]1 ZINC000301117028 328826350 /nfs/dbraw/zinc/82/63/50/328826350.db2.gz UXASHHNKJXAHAJ-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO c1ccc(CC2CCN(c3cc[nH+]c(C4CC4)n3)CC2)cc1 ZINC000301165825 328827229 /nfs/dbraw/zinc/82/72/29/328827229.db2.gz DMUQTLQPVWVRBT-UHFFFAOYSA-N 1 2 293.414 3.813 20 0 CHADLO CC(C)(C)c1nc(NC[C@@H]2CCOc3ccccc32)cc[nH+]1 ZINC000301565822 328828650 /nfs/dbraw/zinc/82/86/50/328828650.db2.gz ZCPLBGCSESJYGQ-ZDUSSCGKSA-N 1 2 297.402 3.752 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@H](C)C(C)(F)F)ccc1F ZINC000389483559 328851505 /nfs/dbraw/zinc/85/15/05/328851505.db2.gz JBFSLFVEHLWPSC-DTWKUNHWSA-N 1 2 261.287 3.529 20 0 CHADLO F[C@@H]1CCCN(c2[nH+]cccc2OCc2ccccc2)C1 ZINC000357693586 328874237 /nfs/dbraw/zinc/87/42/37/328874237.db2.gz SRCQACRMHBTWGM-OAHLLOKOSA-N 1 2 286.350 3.599 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000361430053 328925547 /nfs/dbraw/zinc/92/55/47/328925547.db2.gz LWNRWOIVNQNYBU-CABCVRRESA-N 1 2 291.439 3.722 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C(C)(C)C)c2)[nH+]c1C ZINC000363097306 328931626 /nfs/dbraw/zinc/93/16/26/328931626.db2.gz RPOIKTSKPRUYHV-UHFFFAOYSA-N 1 2 271.364 3.678 20 0 CHADLO Cc1ccc(C[C@@H](C)NC(=O)Nc2cc[nH+]c(C)c2)s1 ZINC000119501488 328952013 /nfs/dbraw/zinc/95/20/13/328952013.db2.gz PJIRKNQZWFNIEW-LLVKDONJSA-N 1 2 289.404 3.513 20 0 CHADLO Cc1ccnc([C@H](C)Nc2cccc(-c3[nH+]ccn3C)c2)c1 ZINC000377693872 329025380 /nfs/dbraw/zinc/02/53/80/329025380.db2.gz DAXCYELHIKTHBP-AWEZNQCLSA-N 1 2 292.386 3.964 20 0 CHADLO c1ncc(C[N@@H+]2CCCn3c(cc4ccccc43)C2)s1 ZINC000377860469 329032304 /nfs/dbraw/zinc/03/23/04/329032304.db2.gz MTQNMFPQAUABMZ-UHFFFAOYSA-N 1 2 283.400 3.504 20 0 CHADLO c1ncc(C[N@H+]2CCCn3c(cc4ccccc43)C2)s1 ZINC000377860469 329032305 /nfs/dbraw/zinc/03/23/05/329032305.db2.gz MTQNMFPQAUABMZ-UHFFFAOYSA-N 1 2 283.400 3.504 20 0 CHADLO CCCCC[C@H](C)CC(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000378078173 329038996 /nfs/dbraw/zinc/03/89/96/329038996.db2.gz NXFYAEBSMNMDQZ-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO CCCCC[C@H](C)CC(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000378078173 329038997 /nfs/dbraw/zinc/03/89/97/329038997.db2.gz NXFYAEBSMNMDQZ-AWEZNQCLSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2ccc(C)cc2)[nH+]1 ZINC000378172804 329042230 /nfs/dbraw/zinc/04/22/30/329042230.db2.gz LQZPVOBWSQJUQT-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1ncccc1C)CC3 ZINC000378381155 329047925 /nfs/dbraw/zinc/04/79/25/329047925.db2.gz UWLSPLACFGNNBX-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1ncccc1C)CC3 ZINC000378381155 329047926 /nfs/dbraw/zinc/04/79/26/329047926.db2.gz UWLSPLACFGNNBX-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO CCCCC[C@H](C)CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000378513548 329053680 /nfs/dbraw/zinc/05/36/80/329053680.db2.gz BPENEXXBXVGJGA-KBPBESRZSA-N 1 2 277.412 3.680 20 0 CHADLO COCc1nc(C[N@H+](C)[C@@H](C)c2ccc(C)cc2)cs1 ZINC000507207840 329059650 /nfs/dbraw/zinc/05/96/50/329059650.db2.gz CPXJOSYENGFLNW-ZDUSSCGKSA-N 1 2 290.432 3.791 20 0 CHADLO COCc1nc(C[N@@H+](C)[C@@H](C)c2ccc(C)cc2)cs1 ZINC000507207840 329059652 /nfs/dbraw/zinc/05/96/52/329059652.db2.gz CPXJOSYENGFLNW-ZDUSSCGKSA-N 1 2 290.432 3.791 20 0 CHADLO C=Cn1cc(C[N@@H+](C)Cc2cccc(Cl)c2Cl)cn1 ZINC000193612036 329059859 /nfs/dbraw/zinc/05/98/59/329059859.db2.gz YFRIFEDKQZHWOH-UHFFFAOYSA-N 1 2 296.201 3.922 20 0 CHADLO C=Cn1cc(C[N@H+](C)Cc2cccc(Cl)c2Cl)cn1 ZINC000193612036 329059861 /nfs/dbraw/zinc/05/98/61/329059861.db2.gz YFRIFEDKQZHWOH-UHFFFAOYSA-N 1 2 296.201 3.922 20 0 CHADLO C=Cn1cc(C[N@@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000193681493 329061020 /nfs/dbraw/zinc/06/10/20/329061020.db2.gz ZRWKANZIKMWSTC-DYVFJYSZSA-N 1 2 297.402 3.865 20 0 CHADLO C=Cn1cc(C[N@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000193681493 329061022 /nfs/dbraw/zinc/06/10/22/329061022.db2.gz ZRWKANZIKMWSTC-DYVFJYSZSA-N 1 2 297.402 3.865 20 0 CHADLO CC(C)C(=O)Nc1ccc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cc1 ZINC000393368122 329091972 /nfs/dbraw/zinc/09/19/72/329091972.db2.gz NCLXQDVYWGFINR-IUODEOHRSA-N 1 2 298.390 3.596 20 0 CHADLO CC(C)C[C@@H]1CCCCCN1C(=O)c1ccc2[nH+]ccn2c1 ZINC000172571726 329137528 /nfs/dbraw/zinc/13/75/28/329137528.db2.gz OIXPFADVVHIFHL-INIZCTEOSA-N 1 2 299.418 3.765 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1csc(-c2cccs2)n1 ZINC000172589361 329137638 /nfs/dbraw/zinc/13/76/38/329137638.db2.gz RFIQCQPIPAITIE-SNVBAGLBSA-N 1 2 296.486 3.809 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1csc(-c2cccs2)n1 ZINC000172589361 329137639 /nfs/dbraw/zinc/13/76/39/329137639.db2.gz RFIQCQPIPAITIE-SNVBAGLBSA-N 1 2 296.486 3.809 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000173354491 329146274 /nfs/dbraw/zinc/14/62/74/329146274.db2.gz VGLXHUNEYYIJRM-OAHLLOKOSA-N 1 2 291.439 3.653 20 0 CHADLO Oc1ccccc1SCc1[nH+]ccn1Cc1ccccc1 ZINC000177274886 329185811 /nfs/dbraw/zinc/18/58/11/329185811.db2.gz LERXNVGHBTXZGS-UHFFFAOYSA-N 1 2 296.395 3.929 20 0 CHADLO CCn1ccnc1C[N@H+]1CC=C(c2cc(C)cc(C)c2)CC1 ZINC000179772359 329212143 /nfs/dbraw/zinc/21/21/43/329212143.db2.gz MTBQLFPKLLTYNA-UHFFFAOYSA-N 1 2 295.430 3.809 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC=C(c2cc(C)cc(C)c2)CC1 ZINC000179772359 329212144 /nfs/dbraw/zinc/21/21/44/329212144.db2.gz MTBQLFPKLLTYNA-UHFFFAOYSA-N 1 2 295.430 3.809 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CCc3ccc(Cl)cc3C2)cn1 ZINC000180149793 329217942 /nfs/dbraw/zinc/21/79/42/329217942.db2.gz OASBTHRNWMSMBD-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1cc(C[N@H+]2CCc3ccc(Cl)cc3C2)cn1 ZINC000180149793 329217943 /nfs/dbraw/zinc/21/79/43/329217943.db2.gz OASBTHRNWMSMBD-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO Cc1cccc(-c2noc(C[NH2+][C@@H](C)c3ccccc3)n2)c1 ZINC000181375759 329232228 /nfs/dbraw/zinc/23/22/28/329232228.db2.gz KBIQOORZMTVNLG-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO CCC[C@@H](C)C[NH2+][C@@H](c1noc(C)n1)c1ccccc1F ZINC000182244390 329241371 /nfs/dbraw/zinc/24/13/71/329241371.db2.gz YXLIDKCDVUVNSG-IAQYHMDHSA-N 1 2 291.370 3.632 20 0 CHADLO CC(C)c1cnc(CN2CCCC[C@@H]2c2[nH]cc[nH+]2)s1 ZINC000183028725 329246297 /nfs/dbraw/zinc/24/62/97/329246297.db2.gz CPFHKWJWEHDOOZ-GFCCVEGCSA-N 1 2 290.436 3.717 20 0 CHADLO Cc1ccn2c(CNc3ccc(F)cc3F)c[nH+]c2c1 ZINC000183287560 329249237 /nfs/dbraw/zinc/24/92/37/329249237.db2.gz ZQUPZFXAMXNUKC-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO Cc1cccc(N(C)Cc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000184736330 329261051 /nfs/dbraw/zinc/26/10/51/329261051.db2.gz QZQXRGZLFWNRBO-UHFFFAOYSA-N 1 2 281.403 3.627 20 0 CHADLO Cc1[nH]c(CNc2cc(Cl)cc(Cl)c2)[nH+]c1C ZINC000582975185 329297703 /nfs/dbraw/zinc/29/77/03/329297703.db2.gz DWLPQDBRPJPDMZ-UHFFFAOYSA-N 1 2 270.163 3.945 20 0 CHADLO CC(=O)Nc1ccc(SCc2ccc(C)[nH+]c2C)cc1 ZINC000186401356 329341829 /nfs/dbraw/zinc/34/18/29/329341829.db2.gz VJVSLCACEALYFS-UHFFFAOYSA-N 1 2 286.400 3.949 20 0 CHADLO CC1(C)CC[C@H](C[NH+](Cc2ccco2)Cc2ccco2)O1 ZINC000186438391 329342403 /nfs/dbraw/zinc/34/24/03/329342403.db2.gz BTIFKDZESNWDCO-MRXNPFEDSA-N 1 2 289.375 3.832 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cc(COC(C)(C)C)on2)cc1 ZINC000186431005 329342462 /nfs/dbraw/zinc/34/24/62/329342462.db2.gz HIIUBHNWYYQMGK-UHFFFAOYSA-N 1 2 288.391 3.588 20 0 CHADLO CSCCCCCNc1cc[nH+]c(C(C)C)n1 ZINC000186362213 329343564 /nfs/dbraw/zinc/34/35/64/329343564.db2.gz AFRULAOGBPGBMI-UHFFFAOYSA-N 1 2 253.415 3.545 20 0 CHADLO Cc1cc(C)cc(C[S@](=O)Cc2ccc(C)[nH+]c2C)c1 ZINC000187076269 329352275 /nfs/dbraw/zinc/35/22/75/329352275.db2.gz OWMNLTXHAKLGRK-FQEVSTJZSA-N 1 2 287.428 3.764 20 0 CHADLO CCOc1ccccc1NCc1ccc(C)[nH+]c1C ZINC000187704971 329362565 /nfs/dbraw/zinc/36/25/65/329362565.db2.gz QTRGQEUBAPYRBW-UHFFFAOYSA-N 1 2 256.349 3.709 20 0 CHADLO COc1cc(C)ccc1NCc1ccc(C)[nH+]c1C ZINC000187724454 329362882 /nfs/dbraw/zinc/36/28/82/329362882.db2.gz JFCHDSLRKIXKCJ-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc(Br)cc1 ZINC000085711785 329369606 /nfs/dbraw/zinc/36/96/06/329369606.db2.gz NVTAOQJLEIZNEE-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO CC[C@H](C)CC(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000189844227 329389451 /nfs/dbraw/zinc/38/94/51/329389451.db2.gz QWZQUFWGNQRMNV-NSHDSACASA-N 1 2 285.391 3.825 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(Cl)c1F)c1ccn(C)n1 ZINC000459803977 329401306 /nfs/dbraw/zinc/40/13/06/329401306.db2.gz ZSCUBXWQSLAYKF-NXEZZACHSA-N 1 2 281.762 3.624 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2c3ccccc3[nH]c21)c1csnn1 ZINC000398304751 329441925 /nfs/dbraw/zinc/44/19/25/329441925.db2.gz OPNZPTCGNVIWFJ-YGRLFVJLSA-N 1 2 298.415 3.748 20 0 CHADLO CCc1cc(N)nc(SCc2cccc(Cl)c2F)[nH+]1 ZINC000584509738 329504794 /nfs/dbraw/zinc/50/47/94/329504794.db2.gz XPNJEHIKEXUMTD-UHFFFAOYSA-N 1 2 297.786 3.536 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H]1CCCc2sccc21 ZINC000123983087 329565385 /nfs/dbraw/zinc/56/53/85/329565385.db2.gz NAYCJFHDLOHZFJ-LBPRGKRZSA-N 1 2 272.373 3.510 20 0 CHADLO CC[C@@H](C)CSCc1ccc(-n2cc[nH+]c2)nc1 ZINC000124088436 329566614 /nfs/dbraw/zinc/56/66/14/329566614.db2.gz ACNOIIUNYBLBNZ-GFCCVEGCSA-N 1 2 261.394 3.547 20 0 CHADLO CO[C@@H](C)c1cccc(NCc2[nH+]ccn2C(C)C)c1 ZINC000124338928 329569422 /nfs/dbraw/zinc/56/94/22/329569422.db2.gz JJNGALSPVOGIRJ-ZDUSSCGKSA-N 1 2 273.380 3.784 20 0 CHADLO Cc1nc(N[C@@H](c2cccc(F)c2)c2ccccn2)cc[nH+]1 ZINC000124373850 329569583 /nfs/dbraw/zinc/56/95/83/329569583.db2.gz LSDZKOGJBGKZAP-KRWDZBQOSA-N 1 2 294.333 3.521 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)C1=CCCCCC1 ZINC000129049710 329614296 /nfs/dbraw/zinc/61/42/96/329614296.db2.gz AQTVJMHIJHDQRD-UHFFFAOYSA-N 1 2 281.359 3.701 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1nccc1-c1ccncc1 ZINC000418859540 329646313 /nfs/dbraw/zinc/64/63/13/329646313.db2.gz BVOZGPLZIYEJFF-UHFFFAOYSA-N 1 2 292.386 3.820 20 0 CHADLO Cc1cc[nH+]c(NCc2ccsc2)c1Br ZINC000235940229 329684067 /nfs/dbraw/zinc/68/40/67/329684067.db2.gz OMOOTAGXMFUNFM-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO CCc1ccc(N[C@H](c2[nH]cc[nH+]2)c2cccnc2)cc1 ZINC000195090037 329690512 /nfs/dbraw/zinc/69/05/12/329690512.db2.gz VDPLBNBCOANQBZ-INIZCTEOSA-N 1 2 278.359 3.569 20 0 CHADLO Fc1cccc(OCc2c[nH+]cn2Cc2ccccc2)c1 ZINC000527106491 329695982 /nfs/dbraw/zinc/69/59/82/329695982.db2.gz FBURUZHINXHSEI-UHFFFAOYSA-N 1 2 282.318 3.650 20 0 CHADLO Clc1ccc2[nH+]c(CSCC[C@@H]3CCOC3)cn2c1 ZINC000419428778 329728190 /nfs/dbraw/zinc/72/81/90/329728190.db2.gz ROLVJAXVIZELOM-NSHDSACASA-N 1 2 296.823 3.648 20 0 CHADLO COc1cc(C)[nH+]c(CSC[C@@H](CC(C)C)OC)c1 ZINC000419457064 329731187 /nfs/dbraw/zinc/73/11/87/329731187.db2.gz VEMDUXCCHLCZJF-OAHLLOKOSA-N 1 2 283.437 3.693 20 0 CHADLO CO[C@H](C)CSCc1ccc(C(C)C)[nH+]c1C ZINC000419462924 329731890 /nfs/dbraw/zinc/73/18/90/329731890.db2.gz ODDJBGBOSCJHHV-LLVKDONJSA-N 1 2 253.411 3.782 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@H](C)C(C)(C)C)n1 ZINC000420509497 329772534 /nfs/dbraw/zinc/77/25/34/329772534.db2.gz DOAFRPOMGNUDHY-NSHDSACASA-N 1 2 273.380 3.589 20 0 CHADLO Cc1cc(CNC(=O)CC(C)(C)c2ccccc2)cc(C)[nH+]1 ZINC000421578798 329843421 /nfs/dbraw/zinc/84/34/21/329843421.db2.gz RYFXISHMDDLYKN-UHFFFAOYSA-N 1 2 296.414 3.683 20 0 CHADLO CCc1cc(C(=O)NCc2cc(C)[nH+]c(C)c2)sc1C ZINC000421557768 329845964 /nfs/dbraw/zinc/84/59/64/329845964.db2.gz LVYDOTDUFLOUOT-UHFFFAOYSA-N 1 2 288.416 3.561 20 0 CHADLO Cc1cc(NC(=O)c2ccc(Cl)c3cccnc23)cc[nH+]1 ZINC000075696383 329878098 /nfs/dbraw/zinc/87/80/98/329878098.db2.gz FYFOGNTUKMRAPL-UHFFFAOYSA-N 1 2 297.745 3.844 20 0 CHADLO C[C@H](O)CNc1ccc2c(Cl)c3c([nH+]c2c1)CCCC3 ZINC000571698349 329910734 /nfs/dbraw/zinc/91/07/34/329910734.db2.gz PUYIRMAFTSVJEK-JTQLQIEISA-N 1 2 290.794 3.560 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCc2ccccc2)c1 ZINC000078591885 329967169 /nfs/dbraw/zinc/96/71/69/329967169.db2.gz RATWMEMKPVGRQL-UHFFFAOYSA-N 1 2 252.239 3.713 20 0 CHADLO CC[C@@H](C)Cc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000572283616 329970077 /nfs/dbraw/zinc/97/00/77/329970077.db2.gz QGODJMIQOBGMFA-GFCCVEGCSA-N 1 2 282.347 3.511 20 0 CHADLO C[C@@H]1[N@H+](Cc2ccc(C(F)(F)F)s2)CCOC1(C)C ZINC000432744164 330016183 /nfs/dbraw/zinc/01/61/83/330016183.db2.gz FEWJXISSBOXCSS-VIFPVBQESA-N 1 2 293.354 3.766 20 0 CHADLO C[C@@H]1[N@@H+](Cc2ccc(C(F)(F)F)s2)CCOC1(C)C ZINC000432744164 330016184 /nfs/dbraw/zinc/01/61/84/330016184.db2.gz FEWJXISSBOXCSS-VIFPVBQESA-N 1 2 293.354 3.766 20 0 CHADLO O=C(CC1CCC1)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000079837376 330062682 /nfs/dbraw/zinc/06/26/82/330062682.db2.gz IQIAQGSFFXXWPY-UHFFFAOYSA-N 1 2 289.766 3.654 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1ccncc1Cl)c1ccc(C)o1 ZINC000421828005 330083958 /nfs/dbraw/zinc/08/39/58/330083958.db2.gz AMMDBISCKORGDP-RISCZKNCSA-N 1 2 294.782 3.675 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cnc(Cl)s1 ZINC000276120967 330112298 /nfs/dbraw/zinc/11/22/98/330112298.db2.gz BDUDTABWFDTDAL-QMMMGPOBSA-N 1 2 298.761 3.959 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@H+]1Cc1cnc(Cl)s1 ZINC000276120967 330112300 /nfs/dbraw/zinc/11/23/00/330112300.db2.gz BDUDTABWFDTDAL-QMMMGPOBSA-N 1 2 298.761 3.959 20 0 CHADLO CC(=O)Nc1ccc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)cc1 ZINC000423208788 330177766 /nfs/dbraw/zinc/17/77/66/330177766.db2.gz DVVUMXSBQDAZCJ-LBPRGKRZSA-N 1 2 295.386 3.786 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)c(F)c1 ZINC000423213979 330178263 /nfs/dbraw/zinc/17/82/63/330178263.db2.gz KWCZDDFHRCIRSB-LLVKDONJSA-N 1 2 286.350 3.975 20 0 CHADLO CC(=O)Nc1cccc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)c1 ZINC000423217244 330178547 /nfs/dbraw/zinc/17/85/47/330178547.db2.gz PSCJGVXCYNKRGF-GFCCVEGCSA-N 1 2 295.386 3.786 20 0 CHADLO CCc1cccnc1[C@@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423221842 330179592 /nfs/dbraw/zinc/17/95/92/330179592.db2.gz YJWYQMOKTDSOEV-GFCCVEGCSA-N 1 2 267.376 3.785 20 0 CHADLO c1cc2c(o1)CCC[C@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423221690 330179841 /nfs/dbraw/zinc/17/98/41/330179841.db2.gz XQUDEQPRZLJQFU-OAHLLOKOSA-N 1 2 254.333 3.737 20 0 CHADLO CC[C@@]1(C)CCCN(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000081320054 330190988 /nfs/dbraw/zinc/19/09/88/330190988.db2.gz GEZVWNQWAGRYIB-SFHVURJKSA-N 1 2 297.402 3.525 20 0 CHADLO CC[C@@]1(C)CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000081321099 330191030 /nfs/dbraw/zinc/19/10/30/330191030.db2.gz BABFEMPVTFLPGE-SFHVURJKSA-N 1 2 297.402 3.525 20 0 CHADLO COc1c(C)cc(C[N@H+](C)Cc2nc(C)cs2)cc1C ZINC000081669948 330215096 /nfs/dbraw/zinc/21/50/96/330215096.db2.gz IYRZHUQGEYEVOF-UHFFFAOYSA-N 1 2 290.432 3.709 20 0 CHADLO COc1c(C)cc(C[N@@H+](C)Cc2nc(C)cs2)cc1C ZINC000081669948 330215098 /nfs/dbraw/zinc/21/50/98/330215098.db2.gz IYRZHUQGEYEVOF-UHFFFAOYSA-N 1 2 290.432 3.709 20 0 CHADLO Cc1ccc(CCNc2ccc3c(Cl)cccc3n2)c[nH+]1 ZINC000527202021 330235159 /nfs/dbraw/zinc/23/51/59/330235159.db2.gz DZPLVXKZLOBARM-UHFFFAOYSA-N 1 2 297.789 3.668 20 0 CHADLO FC1(CNc2ccc(-c3cn4c([nH+]3)CCCC4)cc2)CC1 ZINC000527222006 330237742 /nfs/dbraw/zinc/23/77/42/330237742.db2.gz IOSITGOEBXOIKQ-UHFFFAOYSA-N 1 2 285.366 3.800 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1ccc2c(c1)C=CCCC2 ZINC000527535457 330263247 /nfs/dbraw/zinc/26/32/47/330263247.db2.gz LFNDFPDVTGEPJZ-UHFFFAOYSA-N 1 2 295.386 3.652 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2ccncc2Cl)o1 ZINC000527640078 330268193 /nfs/dbraw/zinc/26/81/93/330268193.db2.gz BGUHMMPRUAOXAQ-GWCFXTLKSA-N 1 2 276.767 3.741 20 0 CHADLO C[C@H]([NH2+]Cc1ccc2cc[nH]c2c1)c1nc(C(C)(C)C)no1 ZINC000527667815 330269338 /nfs/dbraw/zinc/26/93/38/330269338.db2.gz DGZYVNCKYAXCPC-NSHDSACASA-N 1 2 298.390 3.699 20 0 CHADLO C[C@H](Nc1cccc(-c2[nH+]ccn2C)c1)[C@@H]1C[C@H]1C1CC1 ZINC000527675215 330270081 /nfs/dbraw/zinc/27/00/81/330270081.db2.gz CJGFWUWEZJEXTB-ZLIFDBKOSA-N 1 2 281.403 3.934 20 0 CHADLO CCC(F)(F)C(C)(C)CNc1c[nH+]ccc1OC ZINC000527680600 330270945 /nfs/dbraw/zinc/27/09/45/330270945.db2.gz FALXQZVMOVELFY-UHFFFAOYSA-N 1 2 258.312 3.574 20 0 CHADLO Cc1ncccc1C[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000527777673 330281145 /nfs/dbraw/zinc/28/11/45/330281145.db2.gz RFVNBHAJMPBOTC-INIZCTEOSA-N 1 2 290.357 3.746 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2n[nH]c3ccccc23)C2CCC2)nc1 ZINC000527770699 330281942 /nfs/dbraw/zinc/28/19/42/330281942.db2.gz GBBDJQMPJWBIAX-SFHVURJKSA-N 1 2 292.386 3.589 20 0 CHADLO CC[C@H](C)c1ccc([C@@H](C)[NH2+]Cc2ccn[nH]2)cc1 ZINC000527858299 330289145 /nfs/dbraw/zinc/28/91/45/330289145.db2.gz JIOIEBQIAXJQLZ-QWHCGFSZSA-N 1 2 257.381 3.774 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCOC2(CCCCC2)C1 ZINC000527906798 330290329 /nfs/dbraw/zinc/29/03/29/330290329.db2.gz BXHLNCREJLZCRU-UHFFFAOYSA-N 1 2 282.387 3.774 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2cc(F)c(Cl)cc21)c1csnn1 ZINC000527907878 330290499 /nfs/dbraw/zinc/29/04/99/330290499.db2.gz JBTJWKGUVRIABZ-KRTXAFLBSA-N 1 2 297.786 3.669 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@@]2(CCCOC2)C1 ZINC000527910634 330290631 /nfs/dbraw/zinc/29/06/31/330290631.db2.gz FZPZXQBWWUGBDA-GOSISDBHSA-N 1 2 282.387 3.632 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC([C@@H]2CCCO2)CC1 ZINC000527979258 330298210 /nfs/dbraw/zinc/29/82/10/330298210.db2.gz KDTNQEGIVLKXDV-KRWDZBQOSA-N 1 2 282.387 3.630 20 0 CHADLO Cc1ccncc1[C@@H]1CCN(c2[nH+]ccc3ccccc32)C1 ZINC000528034269 330302413 /nfs/dbraw/zinc/30/24/13/330302413.db2.gz UYECHKLFPIRZOK-MRXNPFEDSA-N 1 2 289.382 3.932 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2ncccc2F)c(C)s1 ZINC000528035364 330302568 /nfs/dbraw/zinc/30/25/68/330302568.db2.gz GRHWKKOIAOHACJ-IUCAKERBSA-N 1 2 279.384 3.706 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)[C@H]2CCCC23CC3)c1 ZINC000528054302 330306336 /nfs/dbraw/zinc/30/63/36/330306336.db2.gz PANBCBNCIXDJJZ-OAHLLOKOSA-N 1 2 295.386 3.606 20 0 CHADLO Cc1nc2ccc(NCc3c[nH+]cn3C(C)C)cc2o1 ZINC000424161959 330307316 /nfs/dbraw/zinc/30/73/16/330307316.db2.gz ZDVVTCMGPXGATP-UHFFFAOYSA-N 1 2 270.336 3.526 20 0 CHADLO Cc1cc(N2CC[C@H](c3cccc(F)c3)C2(C)C)nc[nH+]1 ZINC000528211835 330315349 /nfs/dbraw/zinc/31/53/49/330315349.db2.gz HPLLWJUWRUUTDO-OAHLLOKOSA-N 1 2 285.366 3.697 20 0 CHADLO Cc1c(NCc2c[nH+]cn2C(C)C)cnn1CCC(C)C ZINC000424177647 330317647 /nfs/dbraw/zinc/31/76/47/330317647.db2.gz QAWREPZDUJREEK-UHFFFAOYSA-N 1 2 289.427 3.627 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1ncccc1Cl ZINC000528360881 330322372 /nfs/dbraw/zinc/32/23/72/330322372.db2.gz RFXZXKRTZUVZBK-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1ncccc1Cl ZINC000528360881 330322373 /nfs/dbraw/zinc/32/23/73/330322373.db2.gz RFXZXKRTZUVZBK-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO CCC(CC)n1ccc(C[N@H+](C)Cc2cccc(O)c2)n1 ZINC000533013532 330324211 /nfs/dbraw/zinc/32/42/11/330324211.db2.gz OHWOWJANPUHZTC-UHFFFAOYSA-N 1 2 287.407 3.582 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+](C)Cc2cccc(O)c2)n1 ZINC000533013532 330324212 /nfs/dbraw/zinc/32/42/12/330324212.db2.gz OHWOWJANPUHZTC-UHFFFAOYSA-N 1 2 287.407 3.582 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2ccon2)c(Cl)c1 ZINC000424287587 330334241 /nfs/dbraw/zinc/33/42/41/330334241.db2.gz XUMCKYKZBUYGJB-ZJUUUORDSA-N 1 2 280.755 3.748 20 0 CHADLO O=C(Nc1ccc(Cl)cc1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000045096276 330338577 /nfs/dbraw/zinc/33/85/77/330338577.db2.gz BLLDQTKXJPKWBW-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)nc2ccccc12 ZINC000107896459 330351781 /nfs/dbraw/zinc/35/17/81/330351781.db2.gz BRYHJVPRTNZNPI-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)nc2ccccc12 ZINC000107896459 330351782 /nfs/dbraw/zinc/35/17/82/330351782.db2.gz BRYHJVPRTNZNPI-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO CCCc1ccc(C(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000119097187 330370153 /nfs/dbraw/zinc/37/01/53/330370153.db2.gz SIVVUSCKRADHST-UHFFFAOYSA-N 1 2 268.360 3.903 20 0 CHADLO Cc1cccc(NC(=O)C[N@@H+]([C@H](C)c2ccco2)C2CC2)c1 ZINC000119108804 330370289 /nfs/dbraw/zinc/37/02/89/330370289.db2.gz HCLNKQUZLOWNEP-CQSZACIVSA-N 1 2 298.386 3.752 20 0 CHADLO Cc1cccc(NC(=O)C[N@H+]([C@H](C)c2ccco2)C2CC2)c1 ZINC000119108804 330370290 /nfs/dbraw/zinc/37/02/90/330370290.db2.gz HCLNKQUZLOWNEP-CQSZACIVSA-N 1 2 298.386 3.752 20 0 CHADLO Cc1ccc(NC(=O)c2coc(-c3ccccc3)n2)c(C)[nH+]1 ZINC000119261781 330371504 /nfs/dbraw/zinc/37/15/04/330371504.db2.gz HNRSEJWASVUYQF-UHFFFAOYSA-N 1 2 293.326 3.606 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)CC(=O)c1cccn1C ZINC000058006030 330382029 /nfs/dbraw/zinc/38/20/29/330382029.db2.gz JUUBHNMJTKVCGZ-LBPRGKRZSA-N 1 2 290.794 3.554 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)CC(=O)c1cccn1C ZINC000058006030 330382030 /nfs/dbraw/zinc/38/20/30/330382030.db2.gz JUUBHNMJTKVCGZ-LBPRGKRZSA-N 1 2 290.794 3.554 20 0 CHADLO c1csc(-c2nc(CSCCn3cc[nH+]c3)co2)c1 ZINC000090200205 330391249 /nfs/dbraw/zinc/39/12/49/330391249.db2.gz KQWILYRFZDALDN-UHFFFAOYSA-N 1 2 291.401 3.533 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(-c2ccccc2)s1)c1ccco1 ZINC000090401680 330392410 /nfs/dbraw/zinc/39/24/10/330392410.db2.gz JUEIFWDEFCIPLB-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)c3cccc(C)c3F)ccc2n1C ZINC000120855736 330393042 /nfs/dbraw/zinc/39/30/42/330393042.db2.gz OJYWYRGTPGJDOL-UHFFFAOYSA-N 1 2 297.333 3.582 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1cccc2ccccc21 ZINC000537039967 330393611 /nfs/dbraw/zinc/39/36/11/330393611.db2.gz XYHWASFTVVIFAT-GFCCVEGCSA-N 1 2 293.370 3.951 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cc(C)cc(C)c2)o1 ZINC000223750796 330458747 /nfs/dbraw/zinc/45/87/47/330458747.db2.gz USWGSYDDUSFJFE-ZDUSSCGKSA-N 1 2 258.365 3.705 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)CC1CC(OC(C)(C)C)C1 ZINC000425598560 330495385 /nfs/dbraw/zinc/49/53/85/330495385.db2.gz WZJGMGSGZHMOAQ-UHFFFAOYSA-N 1 2 290.407 3.621 20 0 CHADLO C[C@@H]1CCC[C@]1(C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000448724849 330569554 /nfs/dbraw/zinc/56/95/54/330569554.db2.gz MNVOCMKSANHXSL-DYVFJYSZSA-N 1 2 283.375 3.637 20 0 CHADLO CSc1ccc(NCCSCc2ccccc2)[nH+]c1 ZINC000430775294 330573755 /nfs/dbraw/zinc/57/37/55/330573755.db2.gz JOXDGLUDFGJZFN-UHFFFAOYSA-N 1 2 290.457 3.571 20 0 CHADLO Cc1cc(N2CC3(CCC3)[C@@H]2c2ccccc2)nc[nH+]1 ZINC000432143109 330593895 /nfs/dbraw/zinc/59/38/95/330593895.db2.gz IDPPNMNVAWENDD-INIZCTEOSA-N 1 2 265.360 3.517 20 0 CHADLO Cc1ccc(NC(=O)N(C)[C@@H]2CCC(C)(C)C2)c(C)[nH+]1 ZINC000432220563 330595019 /nfs/dbraw/zinc/59/50/19/330595019.db2.gz HAYNGOLQPLTIAO-CYBMUJFWSA-N 1 2 275.396 3.741 20 0 CHADLO Cc1noc(C)c1C[NH2+]Cc1csc(Cl)c1Cl ZINC000432242191 330595690 /nfs/dbraw/zinc/59/56/90/330595690.db2.gz XFUWOLNRIZWRBQ-UHFFFAOYSA-N 1 2 291.203 3.950 20 0 CHADLO CO[C@H](C[NH2+][C@H](c1ccccc1)C(F)F)c1ccccc1 ZINC000433043529 330614076 /nfs/dbraw/zinc/61/40/76/330614076.db2.gz JHBSNJNVSGUACH-HZPDHXFCSA-N 1 2 291.341 3.970 20 0 CHADLO Cc1nc2[nH]ccc2c(N(C)[C@H](C)c2ccccc2F)[nH+]1 ZINC000433777852 330631146 /nfs/dbraw/zinc/63/11/46/330631146.db2.gz QLKDAPSAIIRFFW-SNVBAGLBSA-N 1 2 284.338 3.603 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2C[C@H](c3cccc(F)c3)C2)[nH+]1 ZINC000435585656 330657989 /nfs/dbraw/zinc/65/79/89/330657989.db2.gz UGTQCIUUCHWQLQ-MQMHXKEQSA-N 1 2 296.349 3.764 20 0 CHADLO Cc1cc[nH+]cc1NCc1cc2c(cccc2F)[nH]1 ZINC000436021775 330667512 /nfs/dbraw/zinc/66/75/12/330667512.db2.gz PZDVVJXBCIKBMW-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO CCCOc1cc(C)ccc1[NH2+]CC[C@H]1CCOC1 ZINC000438068274 330714721 /nfs/dbraw/zinc/71/47/21/330714721.db2.gz BZALPKDCPAAXPM-AWEZNQCLSA-N 1 2 263.381 3.622 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1cc(C)cc(C)c1)C(=O)OCC ZINC000439595517 330740902 /nfs/dbraw/zinc/74/09/02/330740902.db2.gz KDSIMJHQGQDDGX-ZBFHGGJFSA-N 1 2 277.408 3.686 20 0 CHADLO CCOC[C@H](Nc1cc[nH+]c2c(OC)cccc12)C(C)C ZINC000440644082 330753297 /nfs/dbraw/zinc/75/32/97/330753297.db2.gz CPFXASQNKBBJBZ-HNNXBMFYSA-N 1 2 288.391 3.716 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]Cc2cccc3ccccc32)no1 ZINC000441248908 330764162 /nfs/dbraw/zinc/76/41/62/330764162.db2.gz WROBBLIQJZAKSV-UHFFFAOYSA-N 1 2 295.386 3.810 20 0 CHADLO CC[C@@H](F)CSCc1cn2cccc(C)c2[nH+]1 ZINC000442544944 330776568 /nfs/dbraw/zinc/77/65/68/330776568.db2.gz PIBGDKSZJKBRBC-LLVKDONJSA-N 1 2 252.358 3.624 20 0 CHADLO COc1cc(Oc2ccccc2)ccc1[NH2+]CCC1(O)CC1 ZINC000442876066 330789711 /nfs/dbraw/zinc/78/97/11/330789711.db2.gz PGPCIPTURXNWKG-UHFFFAOYSA-N 1 2 299.370 3.814 20 0 CHADLO CC[C@H](CC(=O)N[C@@H](CC)c1[nH]cc[nH+]1)c1ccc(C)cc1 ZINC000443115329 330795405 /nfs/dbraw/zinc/79/54/05/330795405.db2.gz IAJIOKXRPKPKLT-ZBFHGGJFSA-N 1 2 299.418 3.869 20 0 CHADLO Cc1nn(C)cc1[C@H](C)Nc1[nH+]ccc2ccc(F)cc21 ZINC000443422165 330799551 /nfs/dbraw/zinc/79/95/51/330799551.db2.gz GPPJPXMVXNQJPU-JTQLQIEISA-N 1 2 284.338 3.589 20 0 CHADLO CCc1ccc(NCc2cn3cccc(C)c3[nH+]2)cc1F ZINC000443464847 330799963 /nfs/dbraw/zinc/79/99/63/330799963.db2.gz WFFSKIVCBFGTGW-UHFFFAOYSA-N 1 2 283.350 3.956 20 0 CHADLO Cc1cc(N2CC[C@@H](C)C[C@H](C)C2)nc(C2CC2)[nH+]1 ZINC000443881791 330807126 /nfs/dbraw/zinc/80/71/26/330807126.db2.gz SSXJXQGETLFYHW-NEPJUHHUSA-N 1 2 259.397 3.535 20 0 CHADLO C[C@@H]1C[C@H](C)CCN(c2cc[nH+]c3ccncc32)C1 ZINC000443893623 330807394 /nfs/dbraw/zinc/80/73/94/330807394.db2.gz FLLPQBCRAOMDBY-CHWSQXEVSA-N 1 2 255.365 3.502 20 0 CHADLO Cc1ccc(NC(=O)CC2CCCCCC2)c(C)[nH+]1 ZINC000446435281 330848879 /nfs/dbraw/zinc/84/88/79/330848879.db2.gz WRBAZZHBJVDIHI-UHFFFAOYSA-N 1 2 260.381 3.997 20 0 CHADLO COCc1cccc(CSc2[nH+]cc3ccccn32)c1 ZINC000446947129 330858564 /nfs/dbraw/zinc/85/85/64/330858564.db2.gz DIBMYDVDSVBTFQ-UHFFFAOYSA-N 1 2 284.384 3.773 20 0 CHADLO Cn1cc[nH+]c1CNc1ccccc1Oc1cccc(F)c1 ZINC000447084644 330861036 /nfs/dbraw/zinc/86/10/36/330861036.db2.gz ZRNWSSMENONDGS-UHFFFAOYSA-N 1 2 297.333 3.964 20 0 CHADLO Cc1ccc(NC(=O)NC[C@@H]2CCCC[C@H]2C)c(C)[nH+]1 ZINC000447169810 330862416 /nfs/dbraw/zinc/86/24/16/330862416.db2.gz RLKWMPTXIHNPKY-RISCZKNCSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nnc(C(C)C)s2)c(C)o1 ZINC000447572248 330870619 /nfs/dbraw/zinc/87/06/19/330870619.db2.gz AVAWYYIVLASRET-SNVBAGLBSA-N 1 2 279.409 3.722 20 0 CHADLO CC[C@H](CC(F)(F)F)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000448857269 330886088 /nfs/dbraw/zinc/88/60/88/330886088.db2.gz PIOILSMOVYWSOK-SNVBAGLBSA-N 1 2 299.296 3.560 20 0 CHADLO CC[C@H]([NH2+]Cc1ccnn1C1CCCC1)c1nccs1 ZINC000449159809 330902550 /nfs/dbraw/zinc/90/25/50/330902550.db2.gz IWMSAKYYTNLYHK-AWEZNQCLSA-N 1 2 290.436 3.696 20 0 CHADLO CC(=O)Nc1cccc([C@H](C)[NH2+][C@H](C)c2cscn2)c1 ZINC000162341044 330904225 /nfs/dbraw/zinc/90/42/25/330904225.db2.gz YBAXUYFNDXUERC-WDEREUQCSA-N 1 2 289.404 3.513 20 0 CHADLO C[C@H](Cc1ccoc1)[NH2+]CC(F)(F)c1ccccc1 ZINC000449278901 330911439 /nfs/dbraw/zinc/91/14/39/330911439.db2.gz MUEAHIWIJIHLPD-GFCCVEGCSA-N 1 2 265.303 3.592 20 0 CHADLO C[C@@H](Nc1ccc(Cn2cc[nH+]c2)cn1)[C@H]1CCCC[C@H]1C ZINC000449320732 330914375 /nfs/dbraw/zinc/91/43/75/330914375.db2.gz PAIKQVBPHOOPDE-INMHGKMJSA-N 1 2 298.434 3.953 20 0 CHADLO CC[C@H]([NH2+]C/C(C)=C\c1ccccc1COC)C(F)F ZINC000449358911 330918585 /nfs/dbraw/zinc/91/85/85/330918585.db2.gz GTDJERHKHNZVAC-LMRWQKIVSA-N 1 2 283.362 3.870 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCc2cc(OC)ccc21)C(F)F ZINC000449360447 330918724 /nfs/dbraw/zinc/91/87/24/330918724.db2.gz JGLJOFZRAXIDHG-UONOGXRCSA-N 1 2 269.335 3.706 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(F)c(Cl)c1)C(F)F ZINC000449364967 330919025 /nfs/dbraw/zinc/91/90/25/330919025.db2.gz UQEIXJVQNAJWCZ-JTQLQIEISA-N 1 2 251.679 3.612 20 0 CHADLO FCC(CF)[NH2+]Cc1cccc(-c2ccccc2)c1 ZINC000449380683 330920908 /nfs/dbraw/zinc/92/09/08/330920908.db2.gz OGFBWNKRGRDIMZ-UHFFFAOYSA-N 1 2 261.315 3.751 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2ccc(-c3ccccc3)o2)c2nccn21 ZINC000449573075 330938075 /nfs/dbraw/zinc/93/80/75/330938075.db2.gz PKHHPIPUFIDXDF-XJKSGUPXSA-N 1 2 293.370 3.939 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2cnc(C3CC3)o2)o1 ZINC000449699487 330944620 /nfs/dbraw/zinc/94/46/20/330944620.db2.gz RAGIPNMIXRQTBM-HZMBPMFUSA-N 1 2 272.348 3.558 20 0 CHADLO Fc1cc2[nH+]ccc(N3CCCC3)c2cc1Cl ZINC000450209672 330965895 /nfs/dbraw/zinc/96/58/95/330965895.db2.gz XMSPNDCIYHIDJJ-UHFFFAOYSA-N 1 2 250.704 3.628 20 0 CHADLO CSCCCCNc1[nH+]cnc2[nH]c3ccccc3c21 ZINC000450342751 330973673 /nfs/dbraw/zinc/97/36/73/330973673.db2.gz UJEBXCUOJXUOBA-UHFFFAOYSA-N 1 2 286.404 3.666 20 0 CHADLO FC(F)(F)c1ccc2c(cc[nH+]c2N[C@H]2CCSC2)c1 ZINC000450358134 330974487 /nfs/dbraw/zinc/97/44/87/330974487.db2.gz QHEPEHHXPVUJPX-NSHDSACASA-N 1 2 298.333 3.593 20 0 CHADLO COc1cccc2c1cc[nH+]c2N1CCC2(CCC2)C1 ZINC000450381664 330975435 /nfs/dbraw/zinc/97/54/35/330975435.db2.gz VNMLRMQTLZHFAA-UHFFFAOYSA-N 1 2 268.360 3.624 20 0 CHADLO C[C@@H](O)CCCNc1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450484247 330983252 /nfs/dbraw/zinc/98/32/52/330983252.db2.gz LOLOKPRCMSYCAG-SNVBAGLBSA-N 1 2 298.308 3.827 20 0 CHADLO Cc1cc2[nH+]cn(Cc3nc4cc(F)ccc4o3)c2cc1C ZINC000194645109 330985316 /nfs/dbraw/zinc/98/53/16/330985316.db2.gz VWHVFHFTYRUXGX-UHFFFAOYSA-N 1 2 295.317 3.982 20 0 CHADLO Cc1cc(N2[C@H](C)C[C@H](c3ccccc3)[C@@H]2C)nc[nH+]1 ZINC000450605015 330988941 /nfs/dbraw/zinc/98/89/41/330988941.db2.gz YCWRDXKYELOPQW-YCPHGPKFSA-N 1 2 267.376 3.556 20 0 CHADLO CO[C@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C[C@@H]1C ZINC000450749950 330997735 /nfs/dbraw/zinc/99/77/35/330997735.db2.gz AOXJESNUVYTDNI-NHYWBVRUSA-N 1 2 290.794 3.749 20 0 CHADLO Fc1ccc(F)c([C@H]([NH2+]CC2CC(F)(F)C2)C(F)F)c1 ZINC000450877990 331004235 /nfs/dbraw/zinc/00/42/35/331004235.db2.gz LDKJSSBBMJWRSV-NSHDSACASA-N 1 2 297.242 3.906 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(C2CC2)c1)c1csnn1 ZINC000451109627 331015690 /nfs/dbraw/zinc/01/56/90/331015690.db2.gz BIMRZLZSUYYUGS-GHMZBOCLSA-N 1 2 273.405 3.827 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC000451121339 331016425 /nfs/dbraw/zinc/01/64/25/331016425.db2.gz NZZMGUXWRAAQTL-QGZVFWFLSA-N 1 2 288.366 3.974 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC000451121339 331016426 /nfs/dbraw/zinc/01/64/26/331016426.db2.gz NZZMGUXWRAAQTL-QGZVFWFLSA-N 1 2 288.366 3.974 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@@H+]1CC[C@H](F)C1 ZINC000451187362 331019383 /nfs/dbraw/zinc/01/93/83/331019383.db2.gz NDOGBGPWWUTUGE-SKDRFNHKSA-N 1 2 272.161 3.554 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@H+]1CC[C@H](F)C1 ZINC000451187362 331019384 /nfs/dbraw/zinc/01/93/84/331019384.db2.gz NDOGBGPWWUTUGE-SKDRFNHKSA-N 1 2 272.161 3.554 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2ccc(C)c(C)c2)s1 ZINC000452041158 331051603 /nfs/dbraw/zinc/05/16/03/331051603.db2.gz IUHVLEKURKCVFR-LBPRGKRZSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2ccc(C)c(C)c2)s1 ZINC000452041158 331051604 /nfs/dbraw/zinc/05/16/04/331051604.db2.gz IUHVLEKURKCVFR-LBPRGKRZSA-N 1 2 275.421 3.656 20 0 CHADLO Cc1ccccc1[C@@H](C)CC(=O)Nc1c[nH+]c2n1CCCC2 ZINC000452332247 331062629 /nfs/dbraw/zinc/06/26/29/331062629.db2.gz GMNWXKKOKUJRGL-AWEZNQCLSA-N 1 2 297.402 3.660 20 0 CHADLO O=C(CC/C=C/c1ccccc1)Nc1c[nH+]c2n1CCCC2 ZINC000452336066 331062694 /nfs/dbraw/zinc/06/26/94/331062694.db2.gz STRYITZLHHIFHY-ONNFQVAWSA-N 1 2 295.386 3.652 20 0 CHADLO O=C(Nc1c[nH+]c2n1CCCC2)c1ccc(C2CCC2)cc1 ZINC000452335646 331062747 /nfs/dbraw/zinc/06/27/47/331062747.db2.gz QYPZONWCHFDZLN-UHFFFAOYSA-N 1 2 295.386 3.739 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000452555105 331068589 /nfs/dbraw/zinc/06/85/89/331068589.db2.gz YFERLKGPZKBLDB-OAHLLOKOSA-N 1 2 297.402 3.737 20 0 CHADLO CCCC[C@H](CC)C(=O)N1CC(C)(C)[C@@H]1c1[nH+]ccn1C ZINC000452842724 331079236 /nfs/dbraw/zinc/07/92/36/331079236.db2.gz ITRNBCWPXBVIOL-KBPBESRZSA-N 1 2 291.439 3.546 20 0 CHADLO COC1(CNc2ccc(-c3ccc(C)nc3)c[nH+]2)CCCC1 ZINC000453048858 331084738 /nfs/dbraw/zinc/08/47/38/331084738.db2.gz APAHLGOOSRACBV-UHFFFAOYSA-N 1 2 297.402 3.823 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CC[C@H](C)[C@@H](C)C3)n2)c[nH+]1 ZINC000453082896 331087447 /nfs/dbraw/zinc/08/74/47/331087447.db2.gz HOWZIAFVZHSOAW-SLEUVZQESA-N 1 2 285.391 3.904 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)cs1)c1ccncn1 ZINC000453091386 331088255 /nfs/dbraw/zinc/08/82/55/331088255.db2.gz CTCWMRTZQRKVFW-MNOVXSKESA-N 1 2 290.436 3.642 20 0 CHADLO Cc1ccc(CNc2ccc([C@@H]3CCOC3)cc2)c(C)[nH+]1 ZINC000453124955 331091759 /nfs/dbraw/zinc/09/17/59/331091759.db2.gz SHNJYMLIDSBGKR-QGZVFWFLSA-N 1 2 282.387 3.814 20 0 CHADLO CCOCc1ccc(N[C@H]2C[C@@H](C)n3cc[nH+]c32)cc1 ZINC000453162245 331095770 /nfs/dbraw/zinc/09/57/70/331095770.db2.gz GGQBBIOUUXTSTI-DOMZBBRYSA-N 1 2 271.364 3.538 20 0 CHADLO CO[C@H](C)c1cccc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)c1 ZINC000453183889 331098289 /nfs/dbraw/zinc/09/82/89/331098289.db2.gz HRYPGYGTPBEXBM-YWPYICTPSA-N 1 2 271.364 3.708 20 0 CHADLO CCOc1cc(N[C@H](C)c2[nH+]ccn2CC)ccc1C ZINC000453189546 331099221 /nfs/dbraw/zinc/09/92/21/331099221.db2.gz SVXJUVWKAXIIAH-CYBMUJFWSA-N 1 2 273.380 3.783 20 0 CHADLO C[C@@H]1C[C@@H](N[C@@H](CC(C)(C)C)C(F)(F)F)c2[nH+]ccn21 ZINC000453193601 331099738 /nfs/dbraw/zinc/09/97/38/331099738.db2.gz FLZAMLIMBAXZQJ-MXWKQRLJSA-N 1 2 289.345 3.846 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc3scnc3c2)c2[nH+]ccn21 ZINC000453209436 331101581 /nfs/dbraw/zinc/10/15/81/331101581.db2.gz LIGBXFJJLKCAAJ-SKDRFNHKSA-N 1 2 270.361 3.611 20 0 CHADLO C[C@@H](Cc1coc2ccccc12)[NH2+]C1(C(F)F)CC1 ZINC000453219038 331102854 /nfs/dbraw/zinc/10/28/54/331102854.db2.gz PYLJDFRVAMAWLL-JTQLQIEISA-N 1 2 265.303 3.751 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C[C@@H](C)CC(C)C)n1 ZINC000453225406 331103722 /nfs/dbraw/zinc/10/37/22/331103722.db2.gz OCMVBUDWELMFKV-ZDUSSCGKSA-N 1 2 287.407 3.783 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)C(F)F)c1ccc(OC)c(OC)c1 ZINC000453227778 331104042 /nfs/dbraw/zinc/10/40/42/331104042.db2.gz BISPNJYOTORWHA-NEPJUHHUSA-N 1 2 287.350 3.788 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nccc2ccccc21)C(F)F ZINC000453233189 331104917 /nfs/dbraw/zinc/10/49/17/331104917.db2.gz HKIYNJWGOOQNBE-GXFFZTMASA-N 1 2 264.319 3.929 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(-c2ccccc2)nn1C)C(F)F ZINC000453255337 331108065 /nfs/dbraw/zinc/10/80/65/331108065.db2.gz ZTXQIWDHIFYASK-DGCLKSJQSA-N 1 2 293.361 3.781 20 0 CHADLO COC[C@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(Cl)cc1 ZINC000453278138 331110332 /nfs/dbraw/zinc/11/03/32/331110332.db2.gz DXTFAIPZAHBKKF-SKDRFNHKSA-N 1 2 277.742 3.661 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1cc(-c2ccccc2)nn1C ZINC000453302358 331113299 /nfs/dbraw/zinc/11/32/99/331113299.db2.gz YUFIJXFAOSYBTG-VXGBXAGGSA-N 1 2 293.361 3.781 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@H]2[NH2+]Cc1nn(C)cc1Cl ZINC000453317060 331115724 /nfs/dbraw/zinc/11/57/24/331115724.db2.gz IKDKUWFADNXGTB-BXUZGUMPSA-N 1 2 289.810 3.720 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+]Cc1nn(C)cc1Cl)CCC2 ZINC000453332359 331117907 /nfs/dbraw/zinc/11/79/07/331117907.db2.gz YTEJKZZPRVYYQI-OAHLLOKOSA-N 1 2 289.810 3.549 20 0 CHADLO CC/C=C\C[NH2+][C@H](c1ccn(C)n1)c1ccc(Cl)cc1 ZINC000453337566 331118637 /nfs/dbraw/zinc/11/86/37/331118637.db2.gz YTWODBATBJLUJW-AWFCHZOYSA-N 1 2 289.810 3.719 20 0 CHADLO CCc1ccc(N[C@H](C)c2[nH+]ccn2CC)cc1OC ZINC000453347234 331119993 /nfs/dbraw/zinc/11/99/93/331119993.db2.gz FNPRSUUSZTUVDM-GFCCVEGCSA-N 1 2 273.380 3.647 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1cnn(C2CCCC2)c1 ZINC000453356202 331121670 /nfs/dbraw/zinc/12/16/70/331121670.db2.gz PAMBJBWEIGXLHP-DVOMOZLQSA-N 1 2 299.422 3.551 20 0 CHADLO CC[C@H]([NH2+]Cc1ncccc1OC)c1cc(F)ccc1F ZINC000453381109 331125724 /nfs/dbraw/zinc/12/57/24/331125724.db2.gz XWASMJDCMVSKHB-AWEZNQCLSA-N 1 2 292.329 3.609 20 0 CHADLO c1nc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)co1 ZINC000453620399 331136999 /nfs/dbraw/zinc/13/69/99/331136999.db2.gz VQHLFXYOCOKQDL-MRXNPFEDSA-N 1 2 268.360 3.792 20 0 CHADLO c1nc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)co1 ZINC000453620399 331137000 /nfs/dbraw/zinc/13/70/00/331137000.db2.gz VQHLFXYOCOKQDL-MRXNPFEDSA-N 1 2 268.360 3.792 20 0 CHADLO Cc1cccc(CC[C@@H](C)[NH2+][C@H](C)C(=O)OC(C)(C)C)c1 ZINC000470338179 331137215 /nfs/dbraw/zinc/13/72/15/331137215.db2.gz PKBBPKRUOOHIOU-HUUCEWRRSA-N 1 2 291.435 3.636 20 0 CHADLO Cc1cn2cc(NC(=O)C3(C4CCC4)CCC3)ccc2[nH+]1 ZINC000455292829 331164585 /nfs/dbraw/zinc/16/45/85/331164585.db2.gz MLPDNXQJXONTFV-UHFFFAOYSA-N 1 2 283.375 3.552 20 0 CHADLO CC[C@H](NC(=O)Nc1c(C)cc(C)[nH+]c1C)C(C)(C)C ZINC000455459938 331170250 /nfs/dbraw/zinc/17/02/50/331170250.db2.gz ACRWVDQFJRAETF-ZDUSSCGKSA-N 1 2 277.412 3.953 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)[C@@H](C)CC1CCCCC1 ZINC000456349863 331190539 /nfs/dbraw/zinc/19/05/39/331190539.db2.gz JOHYFKBGNRIKCR-KBPBESRZSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1cccc(C2CCC2)c1 ZINC000456371818 331191454 /nfs/dbraw/zinc/19/14/54/331191454.db2.gz YXMWTOOLKVTMGC-ZDUSSCGKSA-N 1 2 297.402 3.662 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C[C@@H]1CCCC(C)(C)C1 ZINC000456373549 331191574 /nfs/dbraw/zinc/19/15/74/331191574.db2.gz ZWKMVSWFOAJLHN-KGLIPLIRSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)CCCC1CCCCC1 ZINC000456381141 331191824 /nfs/dbraw/zinc/19/18/24/331191824.db2.gz JWQGEETZSVZMPI-CQSZACIVSA-N 1 2 291.439 3.831 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)c2ccc3sccc3c2)c2[nH+]ccn21 ZINC000456397825 331192174 /nfs/dbraw/zinc/19/21/74/331192174.db2.gz CFYMSDJNDHAWSO-GXFFZTMASA-N 1 2 297.383 3.534 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)NCc1c[nH+]c(C)cc1C ZINC000456827001 331206242 /nfs/dbraw/zinc/20/62/42/331206242.db2.gz KBAFXFZJIRFHHZ-HNNXBMFYSA-N 1 2 276.424 3.777 20 0 CHADLO CCC[C@H]1CCC[C@@H]1C(=O)NCc1c[nH+]c(C)cc1C ZINC000456833027 331206847 /nfs/dbraw/zinc/20/68/47/331206847.db2.gz GCKCAIKCRXATRN-HOCLYGCPSA-N 1 2 274.408 3.531 20 0 CHADLO CCC[C@H](C(=O)NCc1c[nH+]c(C)cc1C)c1ccccc1 ZINC000456838697 331207116 /nfs/dbraw/zinc/20/71/16/331207116.db2.gz CMNBCIJEUPPHPY-SFHVURJKSA-N 1 2 296.414 3.899 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@H]2CCC[C@H](C)C2)c[nH+]1 ZINC000456840723 331207208 /nfs/dbraw/zinc/20/72/08/331207208.db2.gz FADIRAKMPRCKAM-WFASDCNBSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@H](C)CC2CCCCC2)c[nH+]1 ZINC000456855112 331207534 /nfs/dbraw/zinc/20/75/34/331207534.db2.gz ZHDYQXUJMQHMIK-CQSZACIVSA-N 1 2 288.435 3.921 20 0 CHADLO CC[C@H](CC(F)F)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000457518846 331225620 /nfs/dbraw/zinc/22/56/20/331225620.db2.gz IAZYZWXHMXBFFY-SNVBAGLBSA-N 1 2 293.317 3.697 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[N@H+]1CC[C@](C)(F)C1 ZINC000459361512 331278233 /nfs/dbraw/zinc/27/82/33/331278233.db2.gz PIMWSJQPSMJJTP-HNNXBMFYSA-N 1 2 293.411 3.516 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[N@@H+]1CC[C@](C)(F)C1 ZINC000459361512 331278232 /nfs/dbraw/zinc/27/82/32/331278232.db2.gz PIMWSJQPSMJJTP-HNNXBMFYSA-N 1 2 293.411 3.516 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1CC1CCC(F)(F)CC1 ZINC000459426846 331280815 /nfs/dbraw/zinc/28/08/15/331280815.db2.gz FJZIIGBZLYVKDA-LLVKDONJSA-N 1 2 267.310 3.932 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1CC1CCC(F)(F)CC1 ZINC000459426846 331280816 /nfs/dbraw/zinc/28/08/16/331280816.db2.gz FJZIIGBZLYVKDA-LLVKDONJSA-N 1 2 267.310 3.932 20 0 CHADLO C[C@@H](COc1ccc(Cl)c(Cl)c1)[NH2+]CC(F)F ZINC000459551333 331287349 /nfs/dbraw/zinc/28/73/49/331287349.db2.gz VLEXYHOOYGLAEV-ZETCQYMHSA-N 1 2 284.133 3.615 20 0 CHADLO Cc1csc(C[NH+]2CC(Cc3ccccc3Cl)C2)n1 ZINC000459558166 331287808 /nfs/dbraw/zinc/28/78/08/331287808.db2.gz IOBBOIJHUAETCN-UHFFFAOYSA-N 1 2 292.835 3.779 20 0 CHADLO Cc1cnc(C[NH+]2CC(Cc3ccccc3Cl)C2)s1 ZINC000459565865 331288187 /nfs/dbraw/zinc/28/81/87/331288187.db2.gz SUJGLDZGNYGPOX-UHFFFAOYSA-N 1 2 292.835 3.779 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2C[C@]2(C)C(C)C)c(C)[nH+]1 ZINC000459572327 331288652 /nfs/dbraw/zinc/28/86/52/331288652.db2.gz AFZRRGBOURCYEG-CZUORRHYSA-N 1 2 275.396 3.563 20 0 CHADLO CCc1cccc(N(C)Cc2[nH+]ccn2C(C)C)c1 ZINC000459584871 331289420 /nfs/dbraw/zinc/28/94/20/331289420.db2.gz ANLDOEFMOLMOAI-UHFFFAOYSA-N 1 2 257.381 3.663 20 0 CHADLO COc1ccc(C[NH+]2CC(C)(CC(F)(F)F)C2)cc1F ZINC000459641810 331291785 /nfs/dbraw/zinc/29/17/85/331291785.db2.gz SBPACEAMIRHKOM-UHFFFAOYSA-N 1 2 291.288 3.609 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](C)c2nc3c(s2)CCC3)o1 ZINC000459645752 331291856 /nfs/dbraw/zinc/29/18/56/331291856.db2.gz HGNXDDVWAZVZSM-JTQLQIEISA-N 1 2 276.405 3.638 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+][C@@H](C)c2nc3c(s2)CCC3)c1 ZINC000459659805 331292691 /nfs/dbraw/zinc/29/26/91/331292691.db2.gz ZWIYONJISTUUNP-RYUDHWBXSA-N 1 2 287.432 3.747 20 0 CHADLO Cc1ccc(-c2ccc(C[NH2+][C@@H](C)c3ccn(C)n3)o2)cc1 ZINC000459750193 331298175 /nfs/dbraw/zinc/29/81/75/331298175.db2.gz KZVSFWLGLLMGKW-AWEZNQCLSA-N 1 2 295.386 3.839 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C(=O)OC)c1ccsc1)C1CCC1 ZINC000459814711 331302002 /nfs/dbraw/zinc/30/20/02/331302002.db2.gz XNBBACPAIYHIHN-UONOGXRCSA-N 1 2 281.421 3.521 20 0 CHADLO Cc1ccc(NC2CC(c3ccc(F)cc3)C2)c[nH+]1 ZINC000164803113 331305224 /nfs/dbraw/zinc/30/52/24/331305224.db2.gz VWCBHFFSRLVHAI-UHFFFAOYSA-N 1 2 256.324 3.887 20 0 CHADLO CO[C@](C)(CNc1cc(C)[nH+]c2c(F)cccc12)C1CC1 ZINC000461882032 331314986 /nfs/dbraw/zinc/31/49/86/331314986.db2.gz KPFZBYARAPPFBD-QGZVFWFLSA-N 1 2 288.366 3.909 20 0 CHADLO CCOC(=O)c1c[nH+]c2ccccc2c1N1CCC(C)(C)C1 ZINC000462936658 331335353 /nfs/dbraw/zinc/33/53/53/331335353.db2.gz ROPXVFRGWIKJLC-UHFFFAOYSA-N 1 2 298.386 3.648 20 0 CHADLO Cc1ccc(C(C)(C)CN(C)c2cc[nH+]c(C)n2)cc1 ZINC000463079166 331339863 /nfs/dbraw/zinc/33/98/63/331339863.db2.gz XHCACMDJDNRANO-UHFFFAOYSA-N 1 2 269.392 3.507 20 0 CHADLO Cc1cc(N2Cc3ccccc3C[C@H]2C)nc(C(C)C)[nH+]1 ZINC000463476110 331348361 /nfs/dbraw/zinc/34/83/61/331348361.db2.gz OPCDSDMFPSCBDQ-CQSZACIVSA-N 1 2 281.403 3.860 20 0 CHADLO CSc1ccc(N[C@@H]2CCC[C@@H]([C@@H]3CCOC3)C2)[nH+]c1 ZINC000467348246 331415711 /nfs/dbraw/zinc/41/57/11/331415711.db2.gz DZZSUAWMMAAQDO-MGPQQGTHSA-N 1 2 292.448 3.811 20 0 CHADLO COc1c(C)c[nH+]c(CSCCC(C)(C)OC)c1C ZINC000468642748 331438170 /nfs/dbraw/zinc/43/81/70/331438170.db2.gz LUCLJXBTVAMRMH-UHFFFAOYSA-N 1 2 283.437 3.755 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)C1CCC1)c1ccc2ccccc2c1 ZINC000474923195 331604147 /nfs/dbraw/zinc/60/41/47/331604147.db2.gz CBCYBGKNMBQIJH-FZKQIMNGSA-N 1 2 297.398 3.832 20 0 CHADLO CCC[C@@](C)(CC)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000476053907 331652164 /nfs/dbraw/zinc/65/21/64/331652164.db2.gz UMTGPKCATRRVMF-GOSISDBHSA-N 1 2 299.418 3.705 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000477181449 331678591 /nfs/dbraw/zinc/67/85/91/331678591.db2.gz SMRZLKOHIJEFRB-ZFWWWQNUSA-N 1 2 279.428 3.830 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC)c1ccccc1)C(=O)OC(C)(C)C ZINC000479460837 331731494 /nfs/dbraw/zinc/73/14/94/331731494.db2.gz LUTDDJVQBDCQGP-GJZGRUSLSA-N 1 2 277.408 3.848 20 0 CHADLO C[C@H]1CO[C@H](c2ccccc2)CN1c1[nH]c2ccccc2[nH+]1 ZINC000480063296 331756434 /nfs/dbraw/zinc/75/64/34/331756434.db2.gz SCQDFRYKBPSQTK-GUYCJALGSA-N 1 2 293.370 3.529 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@H](C)[C@@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000480392347 331771395 /nfs/dbraw/zinc/77/13/95/331771395.db2.gz GXSULKHNDUMGJI-DUVNUKRYSA-N 1 2 296.418 3.506 20 0 CHADLO Cc1cc(N[C@H]2CCSC2)c2cccc(F)c2[nH+]1 ZINC000480573275 331778583 /nfs/dbraw/zinc/77/85/83/331778583.db2.gz DTOYXXFXHPBSJF-JTQLQIEISA-N 1 2 262.353 3.600 20 0 CHADLO CC(C)c1ccc(C(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC000480994768 331793888 /nfs/dbraw/zinc/79/38/88/331793888.db2.gz UKRSHYPOJMCXKT-UHFFFAOYSA-N 1 2 279.343 3.710 20 0 CHADLO COc1cccc2c(N3CCC(C)=C(C)C3)cc[nH+]c12 ZINC000481081477 331797409 /nfs/dbraw/zinc/79/74/09/331797409.db2.gz ZQHXWXBLEAYDSV-UHFFFAOYSA-N 1 2 268.360 3.790 20 0 CHADLO CC(C)[C@@H]1CC[C@@H](C)C[C@H]1NC(=O)c1ccc2[nH+]ccn2c1 ZINC000482748659 331845385 /nfs/dbraw/zinc/84/53/85/331845385.db2.gz DHLZFZFKLMKXSR-VNQPRFMTSA-N 1 2 299.418 3.525 20 0 CHADLO CC[C@H](C[NH2+]CC(F)(F)C(F)F)c1ccccc1 ZINC000483422358 331873996 /nfs/dbraw/zinc/87/39/96/331873996.db2.gz WRTILLODTSVIIZ-SNVBAGLBSA-N 1 2 263.278 3.670 20 0 CHADLO Cc1cc(Cl)cc(C)c1CNc1cc[nH+]cc1F ZINC000483838057 331885932 /nfs/dbraw/zinc/88/59/32/331885932.db2.gz XWGSVNOIEYQRKY-UHFFFAOYSA-N 1 2 264.731 3.525 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCC1(C)CCCCC1 ZINC000485499281 331915692 /nfs/dbraw/zinc/91/56/92/331915692.db2.gz OQEYMOQDQKVMGW-UHFFFAOYSA-N 1 2 275.396 3.790 20 0 CHADLO Cc1cccc([C@@H](C)CNC(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000485522498 331916131 /nfs/dbraw/zinc/91/61/31/331916131.db2.gz SZJHVUPSKRMPOQ-AWEZNQCLSA-N 1 2 297.402 3.932 20 0 CHADLO Cc1[nH+]c2ccccc2c(NC(=O)CC2CC2)c1C(C)C ZINC000485657826 331919682 /nfs/dbraw/zinc/91/96/82/331919682.db2.gz BRAOEPZSZPEKPJ-UHFFFAOYSA-N 1 2 282.387 3.827 20 0 CHADLO CC(C)[C@@H]1C[C@@H](Nc2ccc(N3CCCC3)c[nH+]2)CS1 ZINC000488437807 332008453 /nfs/dbraw/zinc/00/84/53/332008453.db2.gz ZWEYDWIAOVPMKK-HIFRSBDPSA-N 1 2 291.464 3.624 20 0 CHADLO COc1cccc2c(NCC3CC(F)(F)C3)cc[nH+]c12 ZINC000488454680 332010946 /nfs/dbraw/zinc/01/09/46/332010946.db2.gz CYRWAXQNUJZORW-UHFFFAOYSA-N 1 2 278.302 3.701 20 0 CHADLO CC[C@H]1C[N@@H+]([C@@H](C)c2ccc(Br)cc2)CCO1 ZINC000488519372 332015199 /nfs/dbraw/zinc/01/51/99/332015199.db2.gz ISKCZAZIOHZCNM-FZMZJTMJSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@H]1C[N@H+]([C@@H](C)c2ccc(Br)cc2)CCO1 ZINC000488519372 332015200 /nfs/dbraw/zinc/01/52/00/332015200.db2.gz ISKCZAZIOHZCNM-FZMZJTMJSA-N 1 2 298.224 3.621 20 0 CHADLO Cc1cc(N[C@H]2CCCc3sc(Cl)cc32)nc[nH+]1 ZINC000488733094 332023117 /nfs/dbraw/zinc/02/31/17/332023117.db2.gz LHUHRZNWTOQWJP-JTQLQIEISA-N 1 2 279.796 3.989 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](CCCCC(F)(F)F)CCO2 ZINC000489166196 332042589 /nfs/dbraw/zinc/04/25/89/332042589.db2.gz ICNWWPSDMMMREM-UHFFFAOYSA-N 1 2 291.288 3.753 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](CCCCC(F)(F)F)CCO2 ZINC000489166196 332042590 /nfs/dbraw/zinc/04/25/90/332042590.db2.gz ICNWWPSDMMMREM-UHFFFAOYSA-N 1 2 291.288 3.753 20 0 CHADLO CC[C@@H]1CCCC[C@H]1Nc1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000489245386 332044182 /nfs/dbraw/zinc/04/41/82/332044182.db2.gz FVJLCMCVFYAFAF-GDBMZVCRSA-N 1 2 296.418 3.650 20 0 CHADLO CN(C(=O)c1cccc(Oc2cc[nH+]cc2)c1)[C@@H]1CC1(C)C ZINC000489401067 332050122 /nfs/dbraw/zinc/05/01/22/332050122.db2.gz PPASMCBERVZVIN-MRXNPFEDSA-N 1 2 296.370 3.744 20 0 CHADLO Cc1cc(N2CC[C@](F)(c3ccccc3)C2)nc(C2CC2)[nH+]1 ZINC000575349702 335096632 /nfs/dbraw/zinc/09/66/32/335096632.db2.gz PUOXRDKOMQFMRF-GOSISDBHSA-N 1 2 297.377 3.738 20 0 CHADLO c1ccc([C@@H]2OCC[C@H]2CNc2cc[nH+]c(C3CC3)n2)cc1 ZINC000192247645 335098556 /nfs/dbraw/zinc/09/85/56/335098556.db2.gz SHCILBHHMBQOKI-RDJZCZTQSA-N 1 2 295.386 3.544 20 0 CHADLO CC(C)Oc1ccc(C[NH+]2C[C@@H](C)O[C@H](C)C2)cc1Cl ZINC000494110216 332463740 /nfs/dbraw/zinc/46/37/40/332463740.db2.gz ZRIWXXCNTJPZTQ-CHWSQXEVSA-N 1 2 297.826 3.736 20 0 CHADLO CCCc1csc(C[NH2+][C@H](CC)c2c(C)noc2C)n1 ZINC000494187198 332465769 /nfs/dbraw/zinc/46/57/69/332465769.db2.gz ZAVZNRZMGYHYEP-CYBMUJFWSA-N 1 2 293.436 3.941 20 0 CHADLO Cc1cc(NCC2(C)CCOCC2)c2cccc(F)c2[nH+]1 ZINC000499674417 332591563 /nfs/dbraw/zinc/59/15/63/332591563.db2.gz ZFJBIECHWXTQMZ-UHFFFAOYSA-N 1 2 288.366 3.911 20 0 CHADLO c1ccc2[nH+]c(NCCCOC3CCCCC3)ccc2c1 ZINC000501963708 332644214 /nfs/dbraw/zinc/64/42/14/332644214.db2.gz VRIGYWJNVZETDH-UHFFFAOYSA-N 1 2 284.403 3.808 20 0 CHADLO CCCC[C@H](C)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000503165210 332683063 /nfs/dbraw/zinc/68/30/63/332683063.db2.gz KEVDTECHENGYAM-ZDUSSCGKSA-N 1 2 285.391 3.852 20 0 CHADLO CC[C@@H]1C[C@H](CNc2c[nH+]ccc2OC(C)(C)C)CCO1 ZINC000503582267 332693349 /nfs/dbraw/zinc/69/33/49/332693349.db2.gz XTBIPJUMBULDDI-ZIAGYGMSSA-N 1 2 292.423 3.876 20 0 CHADLO Cc1cc(NC(=O)N2CCCC[C@H]2CCC(C)C)cc[nH+]1 ZINC000504177274 332705444 /nfs/dbraw/zinc/70/54/44/332705444.db2.gz POICWSTXDMMJIZ-INIZCTEOSA-N 1 2 289.423 3.635 20 0 CHADLO Cc1cc(NC[C@H]2CCC[C@H](C)C2)nc(-c2cccnc2)[nH+]1 ZINC000505585435 332745146 /nfs/dbraw/zinc/74/51/46/332745146.db2.gz NITXXIIRWAGJPQ-ZFWWWQNUSA-N 1 2 296.418 3.507 20 0 CHADLO COc1ccc(C[NH2+]C2(C(F)F)CCCCC2)cc1OC ZINC000512864014 332990352 /nfs/dbraw/zinc/99/03/52/332990352.db2.gz IOONJYSVHYSLBE-UHFFFAOYSA-N 1 2 299.361 3.761 20 0 CHADLO CC(C)C(CNc1ccc(Cn2cc[nH+]c2)cn1)C(C)C ZINC000513100747 333004140 /nfs/dbraw/zinc/00/41/40/333004140.db2.gz MGKSIOGILNXVMR-UHFFFAOYSA-N 1 2 286.423 3.667 20 0 CHADLO Cc1ccc(Nc2[nH+]cnc3[nH]ccc32)cc1OC(F)F ZINC000513755112 333037706 /nfs/dbraw/zinc/03/77/06/333037706.db2.gz OARPAMAVZMOKOM-UHFFFAOYSA-N 1 2 290.273 3.611 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(C3CC3)cc2)o1 ZINC000516635331 333065895 /nfs/dbraw/zinc/06/58/95/333065895.db2.gz IWLHDVNHIRTOMS-VXGBXAGGSA-N 1 2 285.391 3.921 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@H+](C)Cc1cccnc1 ZINC000178079110 333067648 /nfs/dbraw/zinc/06/76/48/333067648.db2.gz RUWNKGZOKCXLAY-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@@H+](C)Cc1cccnc1 ZINC000178079110 333067649 /nfs/dbraw/zinc/06/76/49/333067649.db2.gz RUWNKGZOKCXLAY-LBPRGKRZSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc(N3CCCCC3)[nH+]c2)[C@H]1C ZINC000311617218 333070411 /nfs/dbraw/zinc/07/04/11/333070411.db2.gz GNSGUKOOFLFUSJ-VNHYZAJKSA-N 1 2 259.397 3.528 20 0 CHADLO CCc1cc(N)nc(S[C@H](C)c2cc(F)ccc2F)[nH+]1 ZINC000516709473 333081167 /nfs/dbraw/zinc/08/11/67/333081167.db2.gz NNWFLOGRBJWRFY-MRVPVSSYSA-N 1 2 295.358 3.583 20 0 CHADLO COc1cc[nH+]cc1COc1ccc(OCC(C)C)cc1 ZINC000516806706 333082933 /nfs/dbraw/zinc/08/29/33/333082933.db2.gz MFPZTUFYXHUOBA-UHFFFAOYSA-N 1 2 287.359 3.704 20 0 CHADLO CCCC[C@H](C)[C@H](C)[NH2+]c1ccc(NS(C)(=O)=O)cc1 ZINC000516963362 333088149 /nfs/dbraw/zinc/08/81/49/333088149.db2.gz XUOIIDWEAWYHIX-STQMWFEESA-N 1 2 298.452 3.685 20 0 CHADLO CCC[N@H+](Cc1ncnn1C(C)C)Cc1ccc(C)cc1 ZINC000517273648 333096525 /nfs/dbraw/zinc/09/65/25/333096525.db2.gz ZQOUAKFEGFSBEO-UHFFFAOYSA-N 1 2 286.423 3.580 20 0 CHADLO CCC[N@@H+](Cc1ncnn1C(C)C)Cc1ccc(C)cc1 ZINC000517273648 333096527 /nfs/dbraw/zinc/09/65/27/333096527.db2.gz ZQOUAKFEGFSBEO-UHFFFAOYSA-N 1 2 286.423 3.580 20 0 CHADLO CC(C)[C@@H](CCO)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000517344360 333097564 /nfs/dbraw/zinc/09/75/64/333097564.db2.gz ARQGMBOEUFHRRB-CYBMUJFWSA-N 1 2 278.783 3.707 20 0 CHADLO CC[N@H+](Cc1nc(CC(C)C)no1)Cc1ccc(C)cc1 ZINC000521222821 333115565 /nfs/dbraw/zinc/11/55/65/333115565.db2.gz SYNINBHAIQAJSO-UHFFFAOYSA-N 1 2 287.407 3.599 20 0 CHADLO CC[N@@H+](Cc1nc(CC(C)C)no1)Cc1ccc(C)cc1 ZINC000521222821 333115566 /nfs/dbraw/zinc/11/55/66/333115566.db2.gz SYNINBHAIQAJSO-UHFFFAOYSA-N 1 2 287.407 3.599 20 0 CHADLO Cn1c(NCc2ccsc2)[nH+]c2cccc(Cl)c21 ZINC000184311585 333126853 /nfs/dbraw/zinc/12/68/53/333126853.db2.gz JVOQDLVJOMVVRH-UHFFFAOYSA-N 1 2 277.780 3.900 20 0 CHADLO CC/C=C/CC[N@@H+](C)Cc1nc([C@@H](C)SCC)no1 ZINC000184626969 333130702 /nfs/dbraw/zinc/13/07/02/333130702.db2.gz XNOHUUJOYSWNGQ-ABZNLYFFSA-N 1 2 283.441 3.672 20 0 CHADLO CC/C=C/CC[N@H+](C)Cc1nc([C@@H](C)SCC)no1 ZINC000184626969 333130704 /nfs/dbraw/zinc/13/07/04/333130704.db2.gz XNOHUUJOYSWNGQ-ABZNLYFFSA-N 1 2 283.441 3.672 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@@H+]1Cc1nc(C(C)C)no1 ZINC000185801324 333149156 /nfs/dbraw/zinc/14/91/56/333149156.db2.gz KBLFJUPICOOZON-HNNXBMFYSA-N 1 2 285.391 3.530 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@H+]1Cc1nc(C(C)C)no1 ZINC000185801324 333149157 /nfs/dbraw/zinc/14/91/57/333149157.db2.gz KBLFJUPICOOZON-HNNXBMFYSA-N 1 2 285.391 3.530 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H]2CCC[C@@H]2C)c(C)[nH+]1 ZINC000186615648 333163760 /nfs/dbraw/zinc/16/37/60/333163760.db2.gz FFWQLHXLVYQOTD-HZMBPMFUSA-N 1 2 260.381 3.772 20 0 CHADLO C[C@H]1CCC[C@H]1CC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000186646213 333164147 /nfs/dbraw/zinc/16/41/47/333164147.db2.gz ZGGWMYDAFNZELC-KBPBESRZSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+]([C@@H](C)c1nc(C(C)C)no1)CC2 ZINC000186738035 333164832 /nfs/dbraw/zinc/16/48/32/333164832.db2.gz SKUFHKWQKLJHTB-AWEZNQCLSA-N 1 2 299.418 3.929 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+]([C@@H](C)c1nc(C(C)C)no1)CC2 ZINC000186738035 333164833 /nfs/dbraw/zinc/16/48/33/333164833.db2.gz SKUFHKWQKLJHTB-AWEZNQCLSA-N 1 2 299.418 3.929 20 0 CHADLO Cc1cc(Br)cc(N[C@H](C)c2[nH+]ccn2C)c1 ZINC000186788889 333165146 /nfs/dbraw/zinc/16/51/46/333165146.db2.gz HWRXXRJFKJEEBK-SNVBAGLBSA-N 1 2 294.196 3.664 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@@H+]1CCOC2(CCC2)C1 ZINC000187381903 333170074 /nfs/dbraw/zinc/17/00/74/333170074.db2.gz RENKWKIMQVCERN-LLVKDONJSA-N 1 2 283.774 3.795 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@H+]1CCOC2(CCC2)C1 ZINC000187381903 333170075 /nfs/dbraw/zinc/17/00/75/333170075.db2.gz RENKWKIMQVCERN-LLVKDONJSA-N 1 2 283.774 3.795 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)c(F)c2)o1 ZINC000220889793 333170286 /nfs/dbraw/zinc/17/02/86/333170286.db2.gz RKTDATSZWZCJII-VHSXEESVSA-N 1 2 266.291 3.673 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2ncc(C)o2)cc1F ZINC000220897031 333170306 /nfs/dbraw/zinc/17/03/06/333170306.db2.gz XDFKXNLTBDHGKL-WDEREUQCSA-N 1 2 278.327 3.543 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2ccc(OC(F)F)cc2)o1 ZINC000220901944 333170658 /nfs/dbraw/zinc/17/06/58/333170658.db2.gz QVOZXRDBWVBYRJ-WDEREUQCSA-N 1 2 296.317 3.996 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC(F)(F)[C@@H](C)C2)cs1 ZINC000521884737 333195616 /nfs/dbraw/zinc/19/56/16/333195616.db2.gz CCJCUFFCLXCKQM-NSHDSACASA-N 1 2 288.407 3.963 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC(F)(F)[C@@H](C)C2)cs1 ZINC000521884737 333195617 /nfs/dbraw/zinc/19/56/17/333195617.db2.gz CCJCUFFCLXCKQM-NSHDSACASA-N 1 2 288.407 3.963 20 0 CHADLO CCN(C)c1ccc(CNc2cc(C)cc(C)c2)c[nH+]1 ZINC000190813658 333211130 /nfs/dbraw/zinc/21/11/30/333211130.db2.gz KADWNDMXXNSTSH-UHFFFAOYSA-N 1 2 269.392 3.767 20 0 CHADLO CC(C)c1ccccc1OCCNc1cc[nH+]c(C(C)C)n1 ZINC000521959524 333228016 /nfs/dbraw/zinc/22/80/16/333228016.db2.gz FUGHDISARCCKFH-UHFFFAOYSA-N 1 2 299.418 3.636 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1cnc2ccsc2c1 ZINC000522019087 333230578 /nfs/dbraw/zinc/23/05/78/333230578.db2.gz RQGWEQHODNKXJE-MRVPVSSYSA-N 1 2 256.321 3.602 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3ccc4scnc4c3)n2c1 ZINC000192256951 333233677 /nfs/dbraw/zinc/23/36/77/333233677.db2.gz VBDFRZJPUOXWEU-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccc1F)c1nc(C(C)(C)C)no1 ZINC000192621909 333237123 /nfs/dbraw/zinc/23/71/23/333237123.db2.gz NPIVHCRSYKHXIU-GHMZBOCLSA-N 1 2 291.370 3.918 20 0 CHADLO Cc1ccc(C)c(C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000193181785 333244325 /nfs/dbraw/zinc/24/43/25/333244325.db2.gz GECWBNIJIASGAN-UHFFFAOYSA-N 1 2 268.360 3.876 20 0 CHADLO Cc1cc(C)c(NC(=O)Cc2ccc(Cl)s2)c(C)[nH+]1 ZINC000193298551 333247281 /nfs/dbraw/zinc/24/72/81/333247281.db2.gz WQHJQRKMRHAUGE-UHFFFAOYSA-N 1 2 294.807 3.903 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cc(Cl)cs1 ZINC000194303284 333268644 /nfs/dbraw/zinc/26/86/44/333268644.db2.gz SGTPMUAAIGYLKC-SNVBAGLBSA-N 1 2 266.797 3.780 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cc(Cl)cs1 ZINC000194303284 333268646 /nfs/dbraw/zinc/26/86/46/333268646.db2.gz SGTPMUAAIGYLKC-SNVBAGLBSA-N 1 2 266.797 3.780 20 0 CHADLO Cc1ccc(NCc2cc(F)c(F)cc2F)c(C)[nH+]1 ZINC000227600807 333286737 /nfs/dbraw/zinc/28/67/37/333286737.db2.gz KHAGNYFETMMEEZ-UHFFFAOYSA-N 1 2 266.266 3.728 20 0 CHADLO Fc1ccc(C[NH2+]CC(F)(F)c2ccccc2)cc1F ZINC000227900632 333290369 /nfs/dbraw/zinc/29/03/69/333290369.db2.gz FZJJMCUNTNDFAI-UHFFFAOYSA-N 1 2 283.268 3.846 20 0 CHADLO FC(F)(C[NH2+]Cc1cccs1)c1ccccc1 ZINC000227899120 333290391 /nfs/dbraw/zinc/29/03/91/333290391.db2.gz IESNPIZXGQTAFS-UHFFFAOYSA-N 1 2 253.317 3.630 20 0 CHADLO CCCOc1cccc(Nc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000522570928 333290578 /nfs/dbraw/zinc/29/05/78/333290578.db2.gz OTUKGWXTNAIYND-UHFFFAOYSA-N 1 2 283.375 3.617 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1ncc(C)s1 ZINC000228747230 333296549 /nfs/dbraw/zinc/29/65/49/333296549.db2.gz FAZNZQOTSJPTDI-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO CCCC[C@@H]([NH2+][C@H](C)c1cccc(Cl)c1)C(=O)OC ZINC000230488168 333318876 /nfs/dbraw/zinc/31/88/76/333318876.db2.gz VOHOCMZFDYBFDR-BXUZGUMPSA-N 1 2 283.799 3.722 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccc3ccccc3n2)s1 ZINC000230706478 333321335 /nfs/dbraw/zinc/32/13/35/333321335.db2.gz HEOMVTCWRNNSDI-UHFFFAOYSA-N 1 2 283.400 3.544 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3cccc(F)c3[C@H]2C)oc1C ZINC000523449473 333327599 /nfs/dbraw/zinc/32/75/99/333327599.db2.gz KLLVEGOAGQHTQO-LLVKDONJSA-N 1 2 274.339 3.550 20 0 CHADLO Cc1nc(C[N@H+]2CCc3cccc(F)c3[C@H]2C)oc1C ZINC000523449473 333327600 /nfs/dbraw/zinc/32/76/00/333327600.db2.gz KLLVEGOAGQHTQO-LLVKDONJSA-N 1 2 274.339 3.550 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2cc(F)ccc2F)C[C@@H](C)O1 ZINC000523607577 333333971 /nfs/dbraw/zinc/33/39/71/333333971.db2.gz KCYYWCUQAAYZPX-NQBHXWOUSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2cc(F)ccc2F)C[C@@H](C)O1 ZINC000523607577 333333972 /nfs/dbraw/zinc/33/39/72/333333972.db2.gz KCYYWCUQAAYZPX-NQBHXWOUSA-N 1 2 269.335 3.525 20 0 CHADLO CCC[C@@](C)([NH2+]Cc1ccc(C(C)(C)C)cc1)C(=O)OC ZINC000303419702 333341780 /nfs/dbraw/zinc/34/17/80/333341780.db2.gz XOHYPXZUTMEOKV-GOSISDBHSA-N 1 2 291.435 3.806 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2c(F)cccc2F)C[C@H](C)O1 ZINC000303445243 333342242 /nfs/dbraw/zinc/34/22/42/333342242.db2.gz GTDOHWJNFBEXGG-QJPTWQEYSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2c(F)cccc2F)C[C@H](C)O1 ZINC000303445243 333342244 /nfs/dbraw/zinc/34/22/44/333342244.db2.gz GTDOHWJNFBEXGG-QJPTWQEYSA-N 1 2 269.335 3.525 20 0 CHADLO CCCc1ccc(C(=O)Nc2ccc3[nH+]c(C)cn3c2)cc1 ZINC000524022383 333351948 /nfs/dbraw/zinc/35/19/48/333351948.db2.gz NPGBDXZRPFZWHC-UHFFFAOYSA-N 1 2 293.370 3.848 20 0 CHADLO Cn1c(CCSc2ccccc2)[nH+]c2ccccc21 ZINC000524034321 333352190 /nfs/dbraw/zinc/35/21/90/333352190.db2.gz XKGCKIOBLRXHLJ-UHFFFAOYSA-N 1 2 268.385 3.908 20 0 CHADLO CC[C@@H](CSC)Nc1[nH+]ccc(C)c1Br ZINC000233880966 333355673 /nfs/dbraw/zinc/35/56/73/333355673.db2.gz NEOIWGOVFASTCT-VIFPVBQESA-N 1 2 289.242 3.706 20 0 CHADLO Cn1cc(Cl)c(C[NH2+]Cc2ccc(C(C)(C)C)cc2)n1 ZINC000393371430 333362310 /nfs/dbraw/zinc/36/23/10/333362310.db2.gz NLZYNRBRWLIWQO-UHFFFAOYSA-N 1 2 291.826 3.661 20 0 CHADLO CO[C@H](Cn1c[nH+]c2cc(F)c(F)cc21)c1ccccc1 ZINC000338404497 335136316 /nfs/dbraw/zinc/13/63/16/335136316.db2.gz CTSFSTPUKBQRTD-MRXNPFEDSA-N 1 2 288.297 3.702 20 0 CHADLO Cc1cc[nH+]c(N[C@H](C)CC(F)(F)F)c1Br ZINC000235480553 333370062 /nfs/dbraw/zinc/37/00/62/333370062.db2.gz RKELXORSXHEGMF-SSDOTTSWSA-N 1 2 297.118 3.905 20 0 CHADLO Cc1cc[nH+]c(NCCCC(F)(F)F)c1Br ZINC000235789089 333372153 /nfs/dbraw/zinc/37/21/53/333372153.db2.gz KYTSHRMFWLMUCI-UHFFFAOYSA-N 1 2 297.118 3.907 20 0 CHADLO Cc1cc(NC(=O)c2ccc(F)c3ccccc23)cc[nH+]1 ZINC000235989451 333372894 /nfs/dbraw/zinc/37/28/94/333372894.db2.gz QTOLKWLPIQUBQL-UHFFFAOYSA-N 1 2 280.302 3.935 20 0 CHADLO C[C@H]1C[NH+](Cc2csc(C(C)(C)C)n2)C[C@H](C)S1 ZINC000525272985 333406682 /nfs/dbraw/zinc/40/66/82/333406682.db2.gz INLWLNFFVQFAAA-QWRGUYRKSA-N 1 2 284.494 3.766 20 0 CHADLO Cc1ccc2sc(C[NH2+][C@@H](C)c3nccs3)nc2c1 ZINC000528520681 333444033 /nfs/dbraw/zinc/44/40/33/333444033.db2.gz LNTYIHMYNMCANW-JTQLQIEISA-N 1 2 289.429 3.912 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@@H](C)c1cc(C)sc1C ZINC000036980112 333448620 /nfs/dbraw/zinc/44/86/20/333448620.db2.gz UYVFYXAVCDKKSP-SMDDNHRTSA-N 1 2 283.437 3.603 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+][C@H](C)c1ccc(SC)cc1 ZINC000036980560 333448629 /nfs/dbraw/zinc/44/86/29/333448629.db2.gz HVGWDSKQHHPAOH-DOMZBBRYSA-N 1 2 295.448 3.647 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@@H](C)c1ccc(SC)cc1 ZINC000036980558 333448636 /nfs/dbraw/zinc/44/86/36/333448636.db2.gz HVGWDSKQHHPAOH-SWLSCSKDSA-N 1 2 295.448 3.647 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CC[C@H]2c2ccc(F)cc2)c(C)[nH+]1 ZINC000528808824 333459488 /nfs/dbraw/zinc/45/94/88/333459488.db2.gz DKTRZGWPOYKOSC-HOTGVXAUSA-N 1 2 298.361 3.970 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OC(F)F)c1ncccc1F ZINC000528826660 333460660 /nfs/dbraw/zinc/46/06/60/333460660.db2.gz WFHHEEHXRVWHAR-JTQLQIEISA-N 1 2 296.292 3.673 20 0 CHADLO Clc1sccc1C[NH+]1CC(Cc2ccco2)C1 ZINC000529650312 333503838 /nfs/dbraw/zinc/50/38/38/333503838.db2.gz YUFHKEHTOJQDQS-UHFFFAOYSA-N 1 2 267.781 3.669 20 0 CHADLO C[C@H](CN(C)C(=O)c1ccc2[nH+]ccn2c1)C1CCCCC1 ZINC000530041811 333521247 /nfs/dbraw/zinc/52/12/47/333521247.db2.gz UZIGOUWBUDQTPC-CQSZACIVSA-N 1 2 299.418 3.623 20 0 CHADLO CCCC[C@H](CC)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000538070476 333572880 /nfs/dbraw/zinc/57/28/80/333572880.db2.gz LVAXZHRNRJXATR-HNNXBMFYSA-N 1 2 299.418 3.705 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1 ZINC000538218157 333579892 /nfs/dbraw/zinc/57/98/92/333579892.db2.gz MVIPXCVFEFNBNO-KGLIPLIRSA-N 1 2 277.408 3.684 20 0 CHADLO Cc1ccc(NC(=O)c2nc3ccccc3s2)c(C)[nH+]1 ZINC000126257568 333595487 /nfs/dbraw/zinc/59/54/87/333595487.db2.gz YOWBMERCTKZNRF-UHFFFAOYSA-N 1 2 283.356 3.560 20 0 CHADLO COC[C@@H](CC(C)C)Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000538526870 333604153 /nfs/dbraw/zinc/60/41/53/333604153.db2.gz FSHXURZPGJLZRA-OAHLLOKOSA-N 1 2 291.439 3.545 20 0 CHADLO CCOC(=O)[C@H](CC)[NH2+][C@H](C)c1cccc2ccccc21 ZINC000539244746 333663002 /nfs/dbraw/zinc/66/30/02/333663002.db2.gz XACIMXLQSUGHCR-DYVFJYSZSA-N 1 2 285.387 3.832 20 0 CHADLO Cc1cc(NC(=O)c2cc(Cl)ccc2C)cc[nH+]1 ZINC000128693878 333700425 /nfs/dbraw/zinc/70/04/25/333700425.db2.gz MZHUDPYWRFXFPM-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CCO[C@@H](C(C)C)C1 ZINC000540037991 333706524 /nfs/dbraw/zinc/70/65/24/333706524.db2.gz HTTSGZCTUAQZJS-NVXWUHKLSA-N 1 2 284.403 3.581 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1scnc1C ZINC000128902807 333725344 /nfs/dbraw/zinc/72/53/44/333725344.db2.gz VACGYPLEQYCDJC-UHFFFAOYSA-N 1 2 290.367 3.572 20 0 CHADLO Cc1[nH+]cc(-c2ccccc2)cc1NC[C@H]1CCCOC1 ZINC000540620286 333738767 /nfs/dbraw/zinc/73/87/67/333738767.db2.gz KOBKUGPPEBMETM-OAHLLOKOSA-N 1 2 282.387 3.896 20 0 CHADLO CCCC(CCC)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000541266999 333768762 /nfs/dbraw/zinc/76/87/62/333768762.db2.gz OREMJJROFDSXKG-UHFFFAOYSA-N 1 2 299.418 3.909 20 0 CHADLO CN(C)c1ccc(NC/C=C\c2ccc(F)cc2F)c[nH+]1 ZINC000541772757 333791775 /nfs/dbraw/zinc/79/17/75/333791775.db2.gz KXELTESMNATVAG-ARJAWSKDSA-N 1 2 289.329 3.551 20 0 CHADLO Cc1oncc1C[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000129951482 333800838 /nfs/dbraw/zinc/80/08/38/333800838.db2.gz LQCBLWHRMYGAGA-MRVPVSSYSA-N 1 2 268.719 3.626 20 0 CHADLO Cc1cc(NC(=O)c2ccc(-c3ccccc3)o2)c(C)c[nH+]1 ZINC000542225251 333817414 /nfs/dbraw/zinc/81/74/14/333817414.db2.gz FEFFPZMEKROMIE-UHFFFAOYSA-N 1 2 292.338 3.633 20 0 CHADLO CCc1ccc(NC2CC[NH+](CC(F)F)CC2)cc1C ZINC000543074831 333855533 /nfs/dbraw/zinc/85/55/33/333855533.db2.gz YIDDXNYWHCEHMI-UHFFFAOYSA-N 1 2 282.378 3.699 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@@H](CC)c2ccn(C)n2)on1 ZINC000543596933 333883117 /nfs/dbraw/zinc/88/31/17/333883117.db2.gz YMHONVIIQRTRPC-AWEZNQCLSA-N 1 2 290.411 3.553 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1C ZINC000072058397 333899155 /nfs/dbraw/zinc/89/91/55/333899155.db2.gz DDLBOBFBSBIJRS-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO CCc1cc(N2[C@H](C)C[C@H]3CCCC[C@@H]32)nc(C)[nH+]1 ZINC000543877715 333904241 /nfs/dbraw/zinc/90/42/41/333904241.db2.gz VQQGJSGTPIOJJO-KYOSRNDESA-N 1 2 259.397 3.505 20 0 CHADLO CC(C)(C)[C@H]1CCN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000544134657 333918072 /nfs/dbraw/zinc/91/80/72/333918072.db2.gz NKVNRYKDZZEZSE-NSHDSACASA-N 1 2 272.314 3.973 20 0 CHADLO Cc1ccc(-c2noc(C3=CCCC3)n2)c(N2CCCC2)[nH+]1 ZINC000544510931 333933976 /nfs/dbraw/zinc/93/39/76/333933976.db2.gz XQEBUBANDMGWTI-UHFFFAOYSA-N 1 2 296.374 3.608 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCOCC2(CCC2)C1 ZINC000544704963 333943545 /nfs/dbraw/zinc/94/35/45/333943545.db2.gz VZRCUPVAGULSEY-UHFFFAOYSA-N 1 2 282.387 3.550 20 0 CHADLO Cc1cc(NC(=O)Cc2cccc(C(F)(F)F)c2)cc[nH+]1 ZINC000072709747 333954189 /nfs/dbraw/zinc/95/41/89/333954189.db2.gz MSDCNFQJNGLIBD-UHFFFAOYSA-N 1 2 294.276 3.590 20 0 CHADLO CCCCc1nc(C[NH2+][C@H](C)c2c(C)cccc2C)no1 ZINC000545517528 333985631 /nfs/dbraw/zinc/98/56/31/333985631.db2.gz UICOTNDGUKSMSD-CQSZACIVSA-N 1 2 287.407 3.880 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCc3cccc(C)c3)cc2[nH+]1 ZINC000074819705 334014579 /nfs/dbraw/zinc/01/45/79/334014579.db2.gz WDSMDPCVAHJKBF-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(Cl)cn2)cs1 ZINC000564302622 334031381 /nfs/dbraw/zinc/03/13/81/334031381.db2.gz MFQWSWCKMYBZMP-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO CCOc1cc(F)ccc1NCc1cn2cc(C)ccc2[nH+]1 ZINC000133325835 334038309 /nfs/dbraw/zinc/03/83/09/334038309.db2.gz GOAMDYPZSOBCSZ-UHFFFAOYSA-N 1 2 299.349 3.793 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccncc2Cl)cc1C ZINC000075713637 334049152 /nfs/dbraw/zinc/04/91/52/334049152.db2.gz XMVOXQXMNMMAKD-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccncc2Cl)cc1C ZINC000075713637 334049153 /nfs/dbraw/zinc/04/91/53/334049153.db2.gz XMVOXQXMNMMAKD-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO C[C@@H]1CCC[C@@H](c2noc(Cc3cn4ccccc4[nH+]3)n2)C1 ZINC000547056396 334064272 /nfs/dbraw/zinc/06/42/72/334064272.db2.gz IQKRYXHDBKRSIM-CHWSQXEVSA-N 1 2 296.374 3.602 20 0 CHADLO CCc1nc(C[N@@H+]2CCCC[C@@H]2c2cccc(C)c2C)no1 ZINC000075999588 334065632 /nfs/dbraw/zinc/06/56/32/334065632.db2.gz WFNNRISVYXCIII-MRXNPFEDSA-N 1 2 299.418 3.976 20 0 CHADLO CCc1nc(C[N@H+]2CCCC[C@@H]2c2cccc(C)c2C)no1 ZINC000075999588 334065633 /nfs/dbraw/zinc/06/56/33/334065633.db2.gz WFNNRISVYXCIII-MRXNPFEDSA-N 1 2 299.418 3.976 20 0 CHADLO C[C@@H]1C[C@@H]1c1noc(/C=C/c2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000547182691 334071157 /nfs/dbraw/zinc/07/11/57/334071157.db2.gz ZVUOOVLGMDEYNI-FCPJTSCMSA-N 1 2 292.342 3.549 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1ccoc1 ZINC000133985576 334076473 /nfs/dbraw/zinc/07/64/73/334076473.db2.gz KVJFSOVKEDMBGV-LBPRGKRZSA-N 1 2 268.316 3.785 20 0 CHADLO CC(C)(C)C[N@H+](C[C@@H]1CCCOC1)c1ccccc1 ZINC000547623627 334103416 /nfs/dbraw/zinc/10/34/16/334103416.db2.gz OZJPSMLQJUCCTG-HNNXBMFYSA-N 1 2 261.409 3.966 20 0 CHADLO CC(C)(C)C[N@@H+](C[C@@H]1CCCOC1)c1ccccc1 ZINC000547623627 334103417 /nfs/dbraw/zinc/10/34/17/334103417.db2.gz OZJPSMLQJUCCTG-HNNXBMFYSA-N 1 2 261.409 3.966 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2nc3c(s2)CCC3)s1 ZINC000135033610 334113157 /nfs/dbraw/zinc/11/31/57/334113157.db2.gz AZCDYVYQUKYCGA-VIFPVBQESA-N 1 2 293.461 3.502 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2nc3c(s2)CCC3)sc1C ZINC000135043970 334113672 /nfs/dbraw/zinc/11/36/72/334113672.db2.gz DZZHYFAYOHSFBB-VIFPVBQESA-N 1 2 293.461 3.556 20 0 CHADLO C[C@@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)c1cccs1 ZINC000078077789 334123065 /nfs/dbraw/zinc/12/30/65/334123065.db2.gz NGXVCDXVLNKDDM-GFCCVEGCSA-N 1 2 297.383 3.676 20 0 CHADLO C[C@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)c1cccs1 ZINC000078077787 334123162 /nfs/dbraw/zinc/12/31/62/334123162.db2.gz NGXVCDXVLNKDDM-LBPRGKRZSA-N 1 2 297.383 3.676 20 0 CHADLO Cc1ccc(CSC[C@@H]2CCCCO2)c(C)[nH+]1 ZINC000548142155 334142283 /nfs/dbraw/zinc/14/22/83/334142283.db2.gz UVYWXRIOQFVFES-AWEZNQCLSA-N 1 2 251.395 3.501 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1csc(C2CCCC2)n1 ZINC000548096097 334139544 /nfs/dbraw/zinc/13/95/44/334139544.db2.gz FGNMZZJXOGYBNY-PHIMTYICSA-N 1 2 250.411 3.783 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1csc(C2CCCC2)n1 ZINC000548096097 334139545 /nfs/dbraw/zinc/13/95/45/334139545.db2.gz FGNMZZJXOGYBNY-PHIMTYICSA-N 1 2 250.411 3.783 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000136216375 334149803 /nfs/dbraw/zinc/14/98/03/334149803.db2.gz FBKOAWPJAFPEEW-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000136216375 334149804 /nfs/dbraw/zinc/14/98/04/334149804.db2.gz FBKOAWPJAFPEEW-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccc(CNc2cccc3c2ccn3C)c(C)[nH+]1 ZINC000548467637 334158140 /nfs/dbraw/zinc/15/81/40/334158140.db2.gz MAMOYRSKRINJHY-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO CCOc1ccc2cc(C(=O)Nc3cc[nH+]c(C)c3)[nH]c2c1 ZINC000079718530 334161046 /nfs/dbraw/zinc/16/10/46/334161046.db2.gz RTUNWTBSVCXONV-UHFFFAOYSA-N 1 2 295.342 3.522 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2CF)ccc1Br ZINC000550011473 334211495 /nfs/dbraw/zinc/21/14/95/334211495.db2.gz LTZCYRNOANSTNF-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2CF)ccc1Br ZINC000550011473 334211496 /nfs/dbraw/zinc/21/14/96/334211496.db2.gz LTZCYRNOANSTNF-LBPRGKRZSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cc(Br)ccc1C[N@@H+]1CCC[C@@H]1CF ZINC000550011856 334211406 /nfs/dbraw/zinc/21/14/06/334211406.db2.gz PBVNXEJEKUCNGA-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cc(Br)ccc1C[N@H+]1CCC[C@@H]1CF ZINC000550011856 334211407 /nfs/dbraw/zinc/21/14/07/334211407.db2.gz PBVNXEJEKUCNGA-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1cc(N2CCS[C@H]3CCCC[C@H]32)nc(C2CC2)[nH+]1 ZINC000245880861 334222848 /nfs/dbraw/zinc/22/28/48/334222848.db2.gz KDXDFNCVANKGAU-KGLIPLIRSA-N 1 2 289.448 3.527 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCc2ccc(OC(F)(F)F)cc21 ZINC000550874953 334231240 /nfs/dbraw/zinc/23/12/40/334231240.db2.gz MEWZHNSUTBXPKF-NSHDSACASA-N 1 2 295.251 3.817 20 0 CHADLO Fc1ccc([C@@H]([NH2+][C@@H]2CCC[C@@H]2F)c2ccccn2)cc1 ZINC000550930599 334233931 /nfs/dbraw/zinc/23/39/31/334233931.db2.gz JIJDWRPMVMLKOP-ZMSDIMECSA-N 1 2 288.341 3.790 20 0 CHADLO CCOc1cccc(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000551206933 334240847 /nfs/dbraw/zinc/24/08/47/334240847.db2.gz ODGMQHWKOOTGHA-UHFFFAOYSA-N 1 2 281.359 3.654 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCCOc2cc3c(cc21)CCC3 ZINC000551253605 334243249 /nfs/dbraw/zinc/24/32/49/334243249.db2.gz VLBVRYILICZFPP-CQSZACIVSA-N 1 2 281.346 3.634 20 0 CHADLO Cc1nc(-c2cccnc2)sc1[C@H](C)[NH2+]CC(C)(F)F ZINC000551264459 334243873 /nfs/dbraw/zinc/24/38/73/334243873.db2.gz ZOOOKTWHUDHELZ-VIFPVBQESA-N 1 2 297.374 3.819 20 0 CHADLO FCCCCC[N@@H+](Cc1ccccc1)CC(F)F ZINC000551699152 334258090 /nfs/dbraw/zinc/25/80/90/334258090.db2.gz NHBBCDJUMKUTRA-UHFFFAOYSA-N 1 2 259.315 3.894 20 0 CHADLO FCCCCC[N@H+](Cc1ccccc1)CC(F)F ZINC000551699152 334258091 /nfs/dbraw/zinc/25/80/91/334258091.db2.gz NHBBCDJUMKUTRA-UHFFFAOYSA-N 1 2 259.315 3.894 20 0 CHADLO CC[C@H](C)n1ncc(N[C@H](C)c2[nH]cc[nH+]2)c1C1CC1 ZINC000552066395 334284781 /nfs/dbraw/zinc/28/47/81/334284781.db2.gz ILBQLWZIEOMWDS-WDEREUQCSA-N 1 2 273.384 3.628 20 0 CHADLO CCCCC(=O)N1CCC(Cc2[nH]c3ccccc3[nH+]2)CC1 ZINC000552120988 334291497 /nfs/dbraw/zinc/29/14/97/334291497.db2.gz KAPHWIUFPDWIKY-UHFFFAOYSA-N 1 2 299.418 3.534 20 0 CHADLO CCCCc1noc(C[N@@H+]2C[C@H](C)[C@H]2c2ccccc2)n1 ZINC000248328097 334298323 /nfs/dbraw/zinc/29/83/23/334298323.db2.gz CRLIFMFUDKRVEP-GUYCJALGSA-N 1 2 285.391 3.605 20 0 CHADLO CCCCc1noc(C[N@H+]2C[C@H](C)[C@H]2c2ccccc2)n1 ZINC000248328097 334298325 /nfs/dbraw/zinc/29/83/25/334298325.db2.gz CRLIFMFUDKRVEP-GUYCJALGSA-N 1 2 285.391 3.605 20 0 CHADLO CCC1(CC)C[N@@H+]([C@H](C)c2cccc(F)c2)CC[S@@]1=O ZINC000249021014 334324972 /nfs/dbraw/zinc/32/49/72/334324972.db2.gz QQLXEMQGVUYRGF-XCLFUZPHSA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@H](C)c2cccc(F)c2)CC[S@@]1=O ZINC000249021014 334324973 /nfs/dbraw/zinc/32/49/73/334324973.db2.gz QQLXEMQGVUYRGF-XCLFUZPHSA-N 1 2 297.439 3.510 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000249393436 334333080 /nfs/dbraw/zinc/33/30/80/334333080.db2.gz SESBNZUVZQWQGG-QMTHXVAHSA-N 1 2 271.763 3.651 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000249393436 334333081 /nfs/dbraw/zinc/33/30/81/334333081.db2.gz SESBNZUVZQWQGG-QMTHXVAHSA-N 1 2 271.763 3.651 20 0 CHADLO Cc1cc[nH+]c(NC[C@H]2CCc3ccccc3N2)c1Cl ZINC000553040795 334358149 /nfs/dbraw/zinc/35/81/49/334358149.db2.gz NSXUSSUOBWIBIN-CYBMUJFWSA-N 1 2 287.794 3.882 20 0 CHADLO Clc1ccc(CCCSCCn2cc[nH+]c2)cc1 ZINC000090201620 334358693 /nfs/dbraw/zinc/35/86/93/334358693.db2.gz LDSSCILKQDHEBW-UHFFFAOYSA-N 1 2 280.824 3.903 20 0 CHADLO CCc1cc(N(CC)C2CCCC2)nc(-c2ccncc2)[nH+]1 ZINC000553255333 334371886 /nfs/dbraw/zinc/37/18/86/334371886.db2.gz ZBCFQISREJWWRV-UHFFFAOYSA-N 1 2 296.418 3.870 20 0 CHADLO CC[C@H](Cc1ccccc1)Nc1cc(N2CCCC2)nc[nH+]1 ZINC000553368323 334380818 /nfs/dbraw/zinc/38/08/18/334380818.db2.gz BQEPTDYOQKSZSN-MRXNPFEDSA-N 1 2 296.418 3.510 20 0 CHADLO CC[C@H](Cc1ccccc1)Nc1cc(N2CCCC2)[nH+]cn1 ZINC000553368323 334380820 /nfs/dbraw/zinc/38/08/20/334380820.db2.gz BQEPTDYOQKSZSN-MRXNPFEDSA-N 1 2 296.418 3.510 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)Cc2ccc(C(C)C)cc2)on1 ZINC000572539117 334398665 /nfs/dbraw/zinc/39/86/65/334398665.db2.gz WFPYLEUGBCETDE-UHFFFAOYSA-N 1 2 286.375 3.633 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)Cc2ccc(C(C)C)cc2)on1 ZINC000572539117 334398666 /nfs/dbraw/zinc/39/86/66/334398666.db2.gz WFPYLEUGBCETDE-UHFFFAOYSA-N 1 2 286.375 3.633 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(C)c1C)C(=O)OC(C)(C)C ZINC000554013723 334405972 /nfs/dbraw/zinc/40/59/72/334405972.db2.gz MPEAEYSFTCYWKH-OAHLLOKOSA-N 1 2 277.408 3.513 20 0 CHADLO c1cc(N[C@@H]2CC[C@@H]2C2CC2)[nH+]cc1N1CCCCC1 ZINC000554386445 334427569 /nfs/dbraw/zinc/42/75/69/334427569.db2.gz YKLIGVZCZNZPPY-HZPDHXFCSA-N 1 2 271.408 3.672 20 0 CHADLO C[C@@H](c1nnc(-c2ccccc2F)s1)[NH+]1[C@H](C)C[C@H]1C ZINC000554849441 334456087 /nfs/dbraw/zinc/45/60/87/334456087.db2.gz XJYQXCJHSHZZND-MXWKQRLJSA-N 1 2 291.395 3.888 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2ccc(Cl)cn2)C2CC2)n1 ZINC000554853680 334456372 /nfs/dbraw/zinc/45/63/72/334456372.db2.gz APYYJILNKQAKNL-CYBMUJFWSA-N 1 2 293.823 3.741 20 0 CHADLO CC(C)Cc1ncc(C[NH2+][C@H](C)c2cscn2)s1 ZINC000565556486 334574659 /nfs/dbraw/zinc/57/46/59/334574659.db2.gz NVBNAAKLKRLAFP-SNVBAGLBSA-N 1 2 281.450 3.649 20 0 CHADLO Cc1[nH]c(CNc2ccc(C)c(OC(F)F)c2)[nH+]c1C ZINC000565785619 334597180 /nfs/dbraw/zinc/59/71/80/334597180.db2.gz ALYZZFCALFQIHO-UHFFFAOYSA-N 1 2 281.306 3.548 20 0 CHADLO CC[C@@H](C)C[C@H]([NH2+]Cc1ccc(C(F)F)cc1)C(=O)OC ZINC000566248077 334638555 /nfs/dbraw/zinc/63/85/55/334638555.db2.gz KYTPUVHEAULURO-RISCZKNCSA-N 1 2 299.361 3.692 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(F)cc1Cl)c1cscn1 ZINC000566501934 334653284 /nfs/dbraw/zinc/65/32/84/334653284.db2.gz VECIFISFDCDDKZ-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CO[C@H](CNc1[nH+]ccc(C)c1Cl)C(C)(C)C ZINC000566754804 334663396 /nfs/dbraw/zinc/66/33/96/334663396.db2.gz LJSDNVMKCQOZPI-SNVBAGLBSA-N 1 2 256.777 3.516 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H]2CC[C@H](C)C2)c(C)[nH+]1 ZINC000153722306 334678326 /nfs/dbraw/zinc/67/83/26/334678326.db2.gz SMJSMCZLZBOQLN-HZMBPMFUSA-N 1 2 260.381 3.772 20 0 CHADLO Fc1cc(-c2ccco2)ccc1C[NH2+]Cc1cscn1 ZINC000567213692 334693045 /nfs/dbraw/zinc/69/30/45/334693045.db2.gz UXUSAJHGUDYIHW-UHFFFAOYSA-N 1 2 288.347 3.832 20 0 CHADLO Fc1ccc(SCc2c[nH+]c3ccccn23)cc1F ZINC000155911626 334731979 /nfs/dbraw/zinc/73/19/79/334731979.db2.gz BYSHNJKEVOCLGF-UHFFFAOYSA-N 1 2 276.311 3.905 20 0 CHADLO CC[C@H]([NH2+][C@H](COC)c1ccco1)c1ccc(F)cc1F ZINC000156643986 334746250 /nfs/dbraw/zinc/74/62/50/334746250.db2.gz MFECVDSSTZORIJ-LSDHHAIUSA-N 1 2 295.329 3.986 20 0 CHADLO CC(C)(C)[C@@H]1CN(c2[nH+]ccc3cc(F)ccc32)CCO1 ZINC000567747283 334757989 /nfs/dbraw/zinc/75/79/89/334757989.db2.gz BUTBKEYBTLBLRQ-HNNXBMFYSA-N 1 2 288.366 3.625 20 0 CHADLO FC(F)(F)c1ccccc1OCCCCn1cc[nH+]c1 ZINC000158755196 334813506 /nfs/dbraw/zinc/81/35/06/334813506.db2.gz HEURPZXIBLMZHJ-UHFFFAOYSA-N 1 2 284.281 3.761 20 0 CHADLO COC(=O)CCC[C@H]1CCC[C@@H](Nc2ccc(C)[nH+]c2)C1 ZINC000572861910 334885638 /nfs/dbraw/zinc/88/56/38/334885638.db2.gz GEXMECLLGPEPBK-HUUCEWRRSA-N 1 2 290.407 3.704 20 0 CHADLO CC[C@H]1CCC[C@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000165317794 334894494 /nfs/dbraw/zinc/89/44/94/334894494.db2.gz VLEKJYQLVBQUKV-DZGCQCFKSA-N 1 2 259.397 3.672 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000573105382 334907428 /nfs/dbraw/zinc/90/74/28/334907428.db2.gz UVRYQCBJSPWVBC-WDEREUQCSA-N 1 2 261.394 3.512 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)c2cccc(C(C)(C)C)c2)[nH+]1 ZINC000573137823 334912010 /nfs/dbraw/zinc/91/20/10/334912010.db2.gz ZQNIYLVYQFKYNG-UHFFFAOYSA-N 1 2 299.418 3.681 20 0 CHADLO CC(C)[C@H](CO)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000576608140 335226043 /nfs/dbraw/zinc/22/60/43/335226043.db2.gz KFLXFOKYVHOYEA-NSHDSACASA-N 1 2 278.783 3.565 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2ncc(-c3ccccc3)s2)C1 ZINC000576643043 335230137 /nfs/dbraw/zinc/23/01/37/335230137.db2.gz NXMCUAXSTWXOCF-ZDUSSCGKSA-N 1 2 276.380 3.744 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2ncc(-c3ccccc3)s2)C1 ZINC000576643043 335230139 /nfs/dbraw/zinc/23/01/39/335230139.db2.gz NXMCUAXSTWXOCF-ZDUSSCGKSA-N 1 2 276.380 3.744 20 0 CHADLO FC(F)Oc1ccc(C[N@@H+]2CCC[C@H](F)C2)cc1Cl ZINC000576830944 335253260 /nfs/dbraw/zinc/25/32/60/335253260.db2.gz YSJNIUQGMOGBIH-JTQLQIEISA-N 1 2 293.716 3.875 20 0 CHADLO FC(F)Oc1ccc(C[N@H+]2CCC[C@H](F)C2)cc1Cl ZINC000576830944 335253262 /nfs/dbraw/zinc/25/32/62/335253262.db2.gz YSJNIUQGMOGBIH-JTQLQIEISA-N 1 2 293.716 3.875 20 0 CHADLO C[C@H]1C[NH+](Cc2ncc(C(C)(C)C)s2)C[C@H](C)S1 ZINC000576908391 335262899 /nfs/dbraw/zinc/26/28/99/335262899.db2.gz BPEFYWPHJSAOIX-QWRGUYRKSA-N 1 2 284.494 3.766 20 0 CHADLO C[C@@H]1C[C@@H](N[C@H](c2ccco2)c2ccccc2)c2[nH+]ccn21 ZINC000345323819 335313401 /nfs/dbraw/zinc/31/34/01/335313401.db2.gz BBZONSUMAHYBQL-UNEWFSDZSA-N 1 2 293.370 3.861 20 0 CHADLO C[C@H](CC(=O)NCC1(C)CCC(C)(C)CC1)n1cc[nH+]c1 ZINC000577497513 335359709 /nfs/dbraw/zinc/35/97/09/335359709.db2.gz VZDGASHNBSEKME-CQSZACIVSA-N 1 2 291.439 3.557 20 0 CHADLO C/C=C\c1ccc(NC(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)cc1 ZINC000577710532 335391150 /nfs/dbraw/zinc/39/11/50/335391150.db2.gz OVBOOQBDFJWXMO-ZZMRCQPYSA-N 1 2 296.374 3.744 20 0 CHADLO CC[C@H](CC(F)F)c1nc(Cc2ccc(C)[nH+]c2)no1 ZINC000351231407 335584804 /nfs/dbraw/zinc/58/48/04/335584804.db2.gz VRKKMCJMVZHWNE-LLVKDONJSA-N 1 2 281.306 3.513 20 0 CHADLO CC[C@H](CC(F)F)c1nc(CCc2[nH+]cccc2C)no1 ZINC000351261916 335598005 /nfs/dbraw/zinc/59/80/05/335598005.db2.gz HZODOIDEEUAPGS-LLVKDONJSA-N 1 2 295.333 3.707 20 0 CHADLO CCC[C@@H]1C[C@H]1c1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000578691299 335663787 /nfs/dbraw/zinc/66/37/87/335663787.db2.gz ZFYINONHZNZOEC-TZMCWYRMSA-N 1 2 296.374 3.520 20 0 CHADLO CC[C@@H](c1ccccc1)N(CC)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000578871510 335682999 /nfs/dbraw/zinc/68/29/99/335682999.db2.gz LCIILUWBOYSZSA-WBVHZDCISA-N 1 2 299.418 3.834 20 0 CHADLO CC(C)c1nc(N(C)C2CCOCC2)cc(C(C)(C)C)[nH+]1 ZINC000578874486 335683241 /nfs/dbraw/zinc/68/32/41/335683241.db2.gz WQAFVYNHXKTJSJ-UHFFFAOYSA-N 1 2 291.439 3.513 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C(C)C)o1)c1ccc(C)cc1 ZINC000181699605 335779228 /nfs/dbraw/zinc/77/92/28/335779228.db2.gz HIZMTUILKNJTPL-AWEZNQCLSA-N 1 2 273.380 3.742 20 0 CHADLO Cc1ccc(N[C@H](C)c2cc(F)c(F)c(F)c2)c[nH+]1 ZINC000182911236 335849838 /nfs/dbraw/zinc/84/98/38/335849838.db2.gz URNMLRBDMCKUBV-SECBINFHSA-N 1 2 266.266 3.980 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nccn1C)c1ccc(F)cc1F ZINC000182996958 335855486 /nfs/dbraw/zinc/85/54/86/335855486.db2.gz VMIYREDPDSSRDW-HZMBPMFUSA-N 1 2 279.334 3.500 20 0 CHADLO CC[C@@H](Nc1cccc([C@@H](C)OC)c1)c1[nH+]ccn1C ZINC000183102743 335863031 /nfs/dbraw/zinc/86/30/31/335863031.db2.gz HJAPSUUCLRNAFN-IUODEOHRSA-N 1 2 273.380 3.691 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc2ncsc2c1 ZINC000581052850 336001347 /nfs/dbraw/zinc/00/13/47/336001347.db2.gz ARCKLAGNSDGECY-BDAKNGLRSA-N 1 2 290.417 3.560 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2cc[nH]n2)c2ccccc2)c(C)c1 ZINC000194294690 336014528 /nfs/dbraw/zinc/01/45/28/336014528.db2.gz AGEKXXQFHJTKAY-IBGZPJMESA-N 1 2 291.398 3.906 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2cscn2)c(Cl)c1 ZINC000581381067 336065806 /nfs/dbraw/zinc/06/58/06/336065806.db2.gz OLRBWNKQPZKPGE-JTQLQIEISA-N 1 2 266.797 3.956 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc2ccccc2s1)C(=O)OC ZINC000381767818 336072804 /nfs/dbraw/zinc/07/28/04/336072804.db2.gz ZXAWFMZDAZPTRO-CMPLNLGQSA-N 1 2 277.389 3.504 20 0 CHADLO COc1ccc(C)cc1NCCCc1c[nH+]ccc1C ZINC000581421773 336074434 /nfs/dbraw/zinc/07/44/34/336074434.db2.gz CIHDCUGFLGXFSE-UHFFFAOYSA-N 1 2 270.376 3.752 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccc(F)c(Br)c1 ZINC000382115253 336082024 /nfs/dbraw/zinc/08/20/24/336082024.db2.gz LUPPPOSALVHYCH-SSDOTTSWSA-N 1 2 296.130 3.894 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccc(Cl)c(F)c1 ZINC000382166852 336083482 /nfs/dbraw/zinc/08/34/82/336083482.db2.gz VDEKIKDQPKQPJB-SSDOTTSWSA-N 1 2 251.679 3.785 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccc(Br)s1 ZINC000382175256 336083847 /nfs/dbraw/zinc/08/38/47/336083847.db2.gz XRSDDPLIENGEMU-ZCFIWIBFSA-N 1 2 284.169 3.816 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccc(Cl)cc2)o1 ZINC000076292067 519887988 /nfs/dbraw/zinc/88/79/88/519887988.db2.gz PMLAVWISFSTFIU-JTQLQIEISA-N 1 2 279.771 3.697 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1cc(F)cc(Cl)c1 ZINC000128493415 520034579 /nfs/dbraw/zinc/03/45/79/520034579.db2.gz KNHRTFQDPLJNOG-UHFFFAOYSA-N 1 2 267.735 3.869 20 0 CHADLO C[C@@H]1CCC[C@H](C(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000332209955 533817976 /nfs/dbraw/zinc/81/79/76/533817976.db2.gz XVDZMEGHAAFHFI-CJNGLKHVSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@@H]1C[C@H](C[N@@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000352618258 533839095 /nfs/dbraw/zinc/83/90/95/533839095.db2.gz NXIHKCKIPBXTPA-UKRRQHHQSA-N 1 2 283.362 3.569 20 0 CHADLO C[C@@H]1C[C@H](C[N@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000352618258 533839104 /nfs/dbraw/zinc/83/91/04/533839104.db2.gz NXIHKCKIPBXTPA-UKRRQHHQSA-N 1 2 283.362 3.569 20 0 CHADLO COc1cccc2c(N[C@H]3CC[C@H](SC)C3)cc[nH+]c12 ZINC000302543007 533848997 /nfs/dbraw/zinc/84/89/97/533848997.db2.gz OJDOOUWVPSPDMB-RYUDHWBXSA-N 1 2 288.416 3.939 20 0 CHADLO Cc1c(N[C@H]2CCC[C@H](c3[nH+]cccc3C)C2)cnn1C ZINC000334617464 533927407 /nfs/dbraw/zinc/92/74/07/533927407.db2.gz YDEIBIMEUJIJHF-GJZGRUSLSA-N 1 2 284.407 3.570 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)c2ccc(C(C)(C)C)cc2)c2[nH+]ccn21 ZINC000334743732 533939425 /nfs/dbraw/zinc/93/94/25/533939425.db2.gz LVOJLSZKNBETRA-DOMZBBRYSA-N 1 2 297.402 3.616 20 0 CHADLO C[C@H]1C[NH+](Cc2c(Cl)oc3ccccc32)C[C@H](C)O1 ZINC000347641828 533947612 /nfs/dbraw/zinc/94/76/12/533947612.db2.gz BVTZRPSDQPNERC-QWRGUYRKSA-N 1 2 279.767 3.695 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2c(Cl)cccc21)c1csnn1 ZINC000334846006 534002852 /nfs/dbraw/zinc/00/28/52/534002852.db2.gz DWUGMEYRFBQPFO-ZANVPECISA-N 1 2 293.823 3.920 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3CC[C@@](C)(F)C3)cs2)o1 ZINC000347346919 534214871 /nfs/dbraw/zinc/21/48/71/534214871.db2.gz FXEIZOXRXJZNPK-CQSZACIVSA-N 1 2 280.368 3.645 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3CC[C@@](C)(F)C3)cs2)o1 ZINC000347346919 534214874 /nfs/dbraw/zinc/21/48/74/534214874.db2.gz FXEIZOXRXJZNPK-CQSZACIVSA-N 1 2 280.368 3.645 20 0 CHADLO OC[C@@H](Nc1[nH+]ccc2ccc(F)cc21)c1ccsc1 ZINC000351540888 534379987 /nfs/dbraw/zinc/37/99/87/534379987.db2.gz DXTXZWPXXULGEZ-CQSZACIVSA-N 1 2 288.347 3.581 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)Cc1ccsc1 ZINC000132144049 518896051 /nfs/dbraw/zinc/89/60/51/518896051.db2.gz YJNZAJFLPYTFAF-UHFFFAOYSA-N 1 2 290.388 3.502 20 0 CHADLO CC(C)(C)Sc1ccc(NCc2[nH]cc[nH+]2)cc1 ZINC000129108961 518914688 /nfs/dbraw/zinc/91/46/88/518914688.db2.gz BPIMCXJJGPBJAV-UHFFFAOYSA-N 1 2 261.394 3.912 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC[C@@H]2c2ccncc2)no1 ZINC000278532940 518996373 /nfs/dbraw/zinc/99/63/73/518996373.db2.gz FIXOYBAUSUMRFV-OAHLLOKOSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC[C@@H]2c2ccncc2)no1 ZINC000278532940 518996383 /nfs/dbraw/zinc/99/63/83/518996383.db2.gz FIXOYBAUSUMRFV-OAHLLOKOSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)C[C@H](C)CC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000263915705 519301032 /nfs/dbraw/zinc/30/10/32/519301032.db2.gz KAEJPWZZOYCWNI-KBPBESRZSA-N 1 2 277.412 3.536 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@H](C)c2ccc(Cl)cc2)n1 ZINC000073769223 519477777 /nfs/dbraw/zinc/47/77/77/519477777.db2.gz MOIQFALXQPIILA-LLVKDONJSA-N 1 2 293.798 3.772 20 0 CHADLO CC(C)C(C)(C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000275884334 519538871 /nfs/dbraw/zinc/53/88/71/519538871.db2.gz QYGHXNJVMFLIRC-UHFFFAOYSA-N 1 2 271.364 3.697 20 0 CHADLO CC(C)C1CCC([NH2+][C@@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000274882498 519567290 /nfs/dbraw/zinc/56/72/90/519567290.db2.gz ZTPSCQPPDDPGOF-BDVYOWHSSA-N 1 2 298.434 3.699 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1nc(C2CCCC2)no1 ZINC000336833145 519660729 /nfs/dbraw/zinc/66/07/29/519660729.db2.gz BTKVWRXROMAYSV-UHFFFAOYSA-N 1 2 289.379 3.731 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1nc(C2CCCC2)no1 ZINC000336833145 519660730 /nfs/dbraw/zinc/66/07/30/519660730.db2.gz BTKVWRXROMAYSV-UHFFFAOYSA-N 1 2 289.379 3.731 20 0 CHADLO CC(C)[N@@H+](Cc1cn(C(C)(C)C)nn1)Cc1cccs1 ZINC000276780250 519662252 /nfs/dbraw/zinc/66/22/52/519662252.db2.gz QMOKABISMNZVRR-UHFFFAOYSA-N 1 2 292.452 3.505 20 0 CHADLO CC(C)[N@H+](Cc1cn(C(C)(C)C)nn1)Cc1cccs1 ZINC000276780250 519662254 /nfs/dbraw/zinc/66/22/54/519662254.db2.gz QMOKABISMNZVRR-UHFFFAOYSA-N 1 2 292.452 3.505 20 0 CHADLO CC(=O)Nc1nc(C[N@H+](C)CCC2CCCCC2)cs1 ZINC000101565994 519752423 /nfs/dbraw/zinc/75/24/23/519752423.db2.gz XIEXFHDGDGYAFQ-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO CC(=O)Nc1nc(C[N@@H+](C)CCC2CCCCC2)cs1 ZINC000101565994 519752425 /nfs/dbraw/zinc/75/24/25/519752425.db2.gz XIEXFHDGDGYAFQ-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](C)c1nc(-c2ccncc2)cs1 ZINC000347834955 534448896 /nfs/dbraw/zinc/44/88/96/534448896.db2.gz HNHJWVQNIKVPNX-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CC(C)[C@@H](NC(=O)CCCSC(C)(C)C)c1[nH]cc[nH+]1 ZINC000276685926 519796928 /nfs/dbraw/zinc/79/69/28/519796928.db2.gz WSWIZEVPWRUTPQ-CYBMUJFWSA-N 1 2 297.468 3.535 20 0 CHADLO CC(C)[C@H](NC(=O)C1CCCCCCC1)c1[nH]cc[nH+]1 ZINC000279126231 519818247 /nfs/dbraw/zinc/81/82/47/519818247.db2.gz ASJDVAWFSMBHLI-AWEZNQCLSA-N 1 2 277.412 3.584 20 0 CHADLO CC(C)[C@H](Nc1[nH+]cnc(N)c1Cl)c1ccc(F)cc1 ZINC000336904810 519821737 /nfs/dbraw/zinc/82/17/37/519821737.db2.gz SQOKBHVUJXINKK-LBPRGKRZSA-N 1 2 294.761 3.661 20 0 CHADLO CC(C)[C@H](Nc1nc[nH+]c(N)c1Cl)c1ccc(F)cc1 ZINC000336904810 519821736 /nfs/dbraw/zinc/82/17/36/519821736.db2.gz SQOKBHVUJXINKK-LBPRGKRZSA-N 1 2 294.761 3.661 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+]Cc2cccnc2)cs1 ZINC000076900365 519866584 /nfs/dbraw/zinc/86/65/84/519866584.db2.gz QLMJVUXSPRWXBR-LLVKDONJSA-N 1 2 261.394 3.512 20 0 CHADLO CC(C)c1nnc(C[N@H+](Cc2ccccc2)C2CC2)s1 ZINC000296582309 519885794 /nfs/dbraw/zinc/88/57/94/519885794.db2.gz RGDRXAUFEOMIDB-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CC(C)c1nnc(C[N@@H+](Cc2ccccc2)C2CC2)s1 ZINC000296582309 519885795 /nfs/dbraw/zinc/88/57/95/519885795.db2.gz RGDRXAUFEOMIDB-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CCCn1ncnc1C[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000279611515 519892403 /nfs/dbraw/zinc/89/24/03/519892403.db2.gz XQMNHKNBHZMOKE-LBPRGKRZSA-N 1 2 292.814 3.535 20 0 CHADLO CCCn1ncnc1C[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000279611515 519892408 /nfs/dbraw/zinc/89/24/08/519892408.db2.gz XQMNHKNBHZMOKE-LBPRGKRZSA-N 1 2 292.814 3.535 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1cc(Cl)ccc1F)CC2 ZINC000351961277 534455161 /nfs/dbraw/zinc/45/51/61/534455161.db2.gz YWEIMSANUPIUSX-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1cc(Cl)ccc1F)CC2 ZINC000351961277 534455167 /nfs/dbraw/zinc/45/51/67/534455167.db2.gz YWEIMSANUPIUSX-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO CC(C)n1ncnc1C[N@@H+]1CCCCC[C@H]1c1ccco1 ZINC000279976216 520131128 /nfs/dbraw/zinc/13/11/28/520131128.db2.gz JKODSZNZYDKZSF-AWEZNQCLSA-N 1 2 288.395 3.569 20 0 CHADLO CC(C)n1ncnc1C[N@H+]1CCCCC[C@H]1c1ccco1 ZINC000279976216 520131135 /nfs/dbraw/zinc/13/11/35/520131135.db2.gz JKODSZNZYDKZSF-AWEZNQCLSA-N 1 2 288.395 3.569 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2)[C@@H]1c1cccnc1 ZINC000292823022 520482974 /nfs/dbraw/zinc/48/29/74/520482974.db2.gz QEAYQPRCHKSFTD-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2)[C@@H]1c1cccnc1 ZINC000292823022 520482982 /nfs/dbraw/zinc/48/29/82/520482982.db2.gz QEAYQPRCHKSFTD-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[C@H]([NH2+]Cc2nccs2)c2ccc(F)cc2O1 ZINC000128174118 520555124 /nfs/dbraw/zinc/55/51/24/520555124.db2.gz LPXKABLKKBFGNL-LBPRGKRZSA-N 1 2 292.379 3.674 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccc(Cl)o1)CC2 ZINC000353516341 534500720 /nfs/dbraw/zinc/50/07/20/534500720.db2.gz YEPDBGMAMPSKFA-UHFFFAOYSA-N 1 2 265.715 3.630 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccc(Cl)o1)CC2 ZINC000353516341 534500725 /nfs/dbraw/zinc/50/07/25/534500725.db2.gz YEPDBGMAMPSKFA-UHFFFAOYSA-N 1 2 265.715 3.630 20 0 CHADLO CCC[C@@H](C(=O)Nc1c[nH+]ccc1OC)c1ccccc1 ZINC000299528691 520599221 /nfs/dbraw/zinc/59/92/21/520599221.db2.gz YTULSYSJLAWDGB-CQSZACIVSA-N 1 2 284.359 3.613 20 0 CHADLO CCC[C@@H](C)C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000280008432 520615665 /nfs/dbraw/zinc/61/56/65/520615665.db2.gz LQNZSTFAAHESCN-GFCCVEGCSA-N 1 2 271.364 3.760 20 0 CHADLO CC1(C)SC[C@H]1Nc1[nH+]ccc2ccc(F)cc21 ZINC000338425846 520626265 /nfs/dbraw/zinc/62/62/65/520626265.db2.gz SHXZKWSOLFWROI-GFCCVEGCSA-N 1 2 262.353 3.680 20 0 CHADLO Fc1ccc2cc[nH+]c(N3CCc4ccsc4C3)c2c1 ZINC000354872880 534509743 /nfs/dbraw/zinc/50/97/43/534509743.db2.gz CQTGJCDOYZIYFC-UHFFFAOYSA-N 1 2 284.359 3.998 20 0 CHADLO CCC[C@@H](CC)[S@@](=O)Cc1[nH+]ccn1-c1ccccc1 ZINC000279972842 520694025 /nfs/dbraw/zinc/69/40/25/520694025.db2.gz JYIQEBLETQBJCC-QRWLVFNGSA-N 1 2 290.432 3.700 20 0 CHADLO CCC[C@@H]1CCCCN1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000290771118 520817987 /nfs/dbraw/zinc/81/79/87/520817987.db2.gz SHHIJKNGQLGBNO-CQSZACIVSA-N 1 2 286.379 3.521 20 0 CHADLO CCC[C@H](C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1)C(C)C ZINC000127690027 520971396 /nfs/dbraw/zinc/97/13/96/520971396.db2.gz GDZVPKUBJUGIQA-UONOGXRCSA-N 1 2 277.412 3.536 20 0 CHADLO CCC[C@H](C(=O)Nc1c[nH+]ccc1OC)c1ccccc1 ZINC000299528689 520989501 /nfs/dbraw/zinc/98/95/01/520989501.db2.gz YTULSYSJLAWDGB-AWEZNQCLSA-N 1 2 284.359 3.613 20 0 CHADLO CCCC[C@H](CC)C[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000275914628 521179515 /nfs/dbraw/zinc/17/95/15/521179515.db2.gz YBUVEZDNJIGILM-GOEBONIOSA-N 1 2 286.423 3.700 20 0 CHADLO Cc1cnc(C[N@@H+]2[C@H](C)Cc3cc(F)ccc3[C@@H]2C)o1 ZINC000354826918 534552857 /nfs/dbraw/zinc/55/28/57/534552857.db2.gz FLHIYZHKEDZCOO-PWSUYJOCSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1cnc(C[N@H+]2[C@H](C)Cc3cc(F)ccc3[C@@H]2C)o1 ZINC000354826918 534552866 /nfs/dbraw/zinc/55/28/66/534552866.db2.gz FLHIYZHKEDZCOO-PWSUYJOCSA-N 1 2 274.339 3.630 20 0 CHADLO CCC(=O)c1cccc(NCc2cc(OC)cc(C)[nH+]2)c1 ZINC000271220002 521257181 /nfs/dbraw/zinc/25/71/81/521257181.db2.gz OMUVWERDQUFCRU-UHFFFAOYSA-N 1 2 284.359 3.603 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)c3ccco3)cc2[nH+]1 ZINC000337009588 521312071 /nfs/dbraw/zinc/31/20/71/521312071.db2.gz DYQRCKSBOGMILW-UHFFFAOYSA-N 1 2 283.331 3.751 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)s1)Cc1cc(C)ncn1 ZINC000292239514 521313005 /nfs/dbraw/zinc/31/30/05/521313005.db2.gz SQNYGALNNFRJQY-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)s1)Cc1cc(C)ncn1 ZINC000292239514 521313013 /nfs/dbraw/zinc/31/30/13/521313013.db2.gz SQNYGALNNFRJQY-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO CC[N@H+](Cc1nc(C)c(C)o1)Cc1ccc(Cl)cc1 ZINC000299382239 521449959 /nfs/dbraw/zinc/44/99/59/521449959.db2.gz OECLQJAEGCAEML-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO CC[N@@H+](Cc1nc(C)c(C)o1)Cc1ccc(Cl)cc1 ZINC000299382239 521449962 /nfs/dbraw/zinc/44/99/62/521449962.db2.gz OECLQJAEGCAEML-UHFFFAOYSA-N 1 2 278.783 3.967 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1conc1Cc1ccccc1 ZINC000350684442 534565199 /nfs/dbraw/zinc/56/51/99/534565199.db2.gz YRNGUDYOTKFAID-UHFFFAOYSA-N 1 2 296.370 3.799 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1conc1Cc1ccccc1 ZINC000350684442 534565204 /nfs/dbraw/zinc/56/52/04/534565204.db2.gz YRNGUDYOTKFAID-UHFFFAOYSA-N 1 2 296.370 3.799 20 0 CHADLO CCC1(CC)C[NH+](Cc2csc(-c3ccccn3)n2)C1 ZINC000297359710 521690207 /nfs/dbraw/zinc/69/02/07/521690207.db2.gz GPAVRVRBAHRRLU-UHFFFAOYSA-N 1 2 287.432 3.827 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccc(F)nc2C)c1 ZINC000278795328 521719983 /nfs/dbraw/zinc/71/99/83/521719983.db2.gz QPBRMBQFACTOSE-UHFFFAOYSA-N 1 2 291.395 3.789 20 0 CHADLO CCS[C@@H]1CCC[C@H](NC(=O)Nc2cc[nH+]c(C)c2)C1 ZINC000119701370 521726002 /nfs/dbraw/zinc/72/60/02/521726002.db2.gz GYMGEAWHTHABOP-GXTWGEPZSA-N 1 2 293.436 3.576 20 0 CHADLO COCC[C@@H](C)SCc1[nH+]ccn1Cc1ccccc1 ZINC000268072167 521805489 /nfs/dbraw/zinc/80/54/89/521805489.db2.gz ZKXPPKNGQKCAHO-CQSZACIVSA-N 1 2 290.432 3.590 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2ccc(C)c(F)c2)[nH]1 ZINC000277163700 522053398 /nfs/dbraw/zinc/05/33/98/522053398.db2.gz HACLQDBCTHLLQO-JQWIXIFHSA-N 1 2 290.386 3.617 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H]2CCCc3occc32)[nH]1 ZINC000278812699 522056509 /nfs/dbraw/zinc/05/65/09/522056509.db2.gz RZOLHXDVVLTTLT-GMXVVIOVSA-N 1 2 288.395 3.639 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc(SC)ccc1C ZINC000265045532 522081592 /nfs/dbraw/zinc/08/15/92/522081592.db2.gz NLOATJJTWBYYAB-UHFFFAOYSA-N 1 2 286.400 3.927 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccc2ccccc2n1)c1ccc(C)o1 ZINC000080238930 522364409 /nfs/dbraw/zinc/36/44/09/522364409.db2.gz CUHBCEROXVFCTE-QGZVFWFLSA-N 1 2 296.370 3.614 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1nccs1)c1cccc(Cl)c1 ZINC000289834724 522379816 /nfs/dbraw/zinc/37/98/16/522379816.db2.gz APESWHVSZGTNMD-ZWNOBZJWSA-N 1 2 296.823 3.835 20 0 CHADLO CC[C@H]([NH2+]Cc1cn[nH]c1C)c1ccc(Cl)s1 ZINC000265191886 522637648 /nfs/dbraw/zinc/63/76/48/522637648.db2.gz YMEZQKRVDAWRLU-JTQLQIEISA-N 1 2 269.801 3.674 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1coc(-c2ccccc2)n1 ZINC000101731129 522695907 /nfs/dbraw/zinc/69/59/07/522695907.db2.gz QDDRVEDZCVIVCD-UHFFFAOYSA-N 1 2 284.281 3.726 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1coc(-c2ccccc2)n1 ZINC000101731129 522695916 /nfs/dbraw/zinc/69/59/16/522695916.db2.gz QDDRVEDZCVIVCD-UHFFFAOYSA-N 1 2 284.281 3.726 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1noc(C)n1)c1ccc(Cl)s1 ZINC000289569860 522700937 /nfs/dbraw/zinc/70/09/37/522700937.db2.gz QIEFNXJQCHVPHA-CBAPKCEASA-N 1 2 285.800 3.895 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(SC)cc2)o1 ZINC000337225637 522788302 /nfs/dbraw/zinc/78/83/02/522788302.db2.gz QCXFIZFQURIRCE-WDEREUQCSA-N 1 2 291.420 3.766 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2cc(C)sc2C)o1 ZINC000354206951 522789195 /nfs/dbraw/zinc/78/91/95/522789195.db2.gz LMOAYXPIHPUWNT-NXEZZACHSA-N 1 2 279.409 3.722 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2cc(C)sc2C)o1 ZINC000354206952 522792783 /nfs/dbraw/zinc/79/27/83/522792783.db2.gz LMOAYXPIHPUWNT-UWVGGRQHSA-N 1 2 279.409 3.722 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](C)c2ccc(SC)cc2)n1 ZINC000280915926 522908415 /nfs/dbraw/zinc/90/84/15/522908415.db2.gz CUHUIEWBYZMZGC-WDEREUQCSA-N 1 2 291.420 3.766 20 0 CHADLO CCc1noc([C@H](C)[N@H+](C)Cc2ccc(Cl)c(F)c2)n1 ZINC000127794756 522909642 /nfs/dbraw/zinc/90/96/42/522909642.db2.gz ZVVYVAKQAFJBOG-VIFPVBQESA-N 1 2 297.761 3.618 20 0 CHADLO CCc1noc([C@H](C)[N@@H+](C)Cc2ccc(Cl)c(F)c2)n1 ZINC000127794756 522909651 /nfs/dbraw/zinc/90/96/51/522909651.db2.gz ZVVYVAKQAFJBOG-VIFPVBQESA-N 1 2 297.761 3.618 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@@H+]2Cc2nc(C)cs2)o1 ZINC000131365081 523016497 /nfs/dbraw/zinc/01/64/97/523016497.db2.gz QSVBZQZOWPDEFD-ZDUSSCGKSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1ccc([C@@H]2CCC[N@H+]2Cc2nc(C)cs2)o1 ZINC000131365081 523016507 /nfs/dbraw/zinc/01/65/07/523016507.db2.gz QSVBZQZOWPDEFD-ZDUSSCGKSA-N 1 2 292.404 3.528 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1csc(-c2cccs2)n1 ZINC000292486049 523175054 /nfs/dbraw/zinc/17/50/54/523175054.db2.gz SOVIPGJMJORUOT-NSHDSACASA-N 1 2 264.419 3.856 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1csc(-c2cccs2)n1 ZINC000292486049 523175069 /nfs/dbraw/zinc/17/50/69/523175069.db2.gz SOVIPGJMJORUOT-NSHDSACASA-N 1 2 264.419 3.856 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1ccc(F)nc1C ZINC000278851902 523287946 /nfs/dbraw/zinc/28/79/46/523287946.db2.gz XLZPVYHLUDLYLD-UHFFFAOYSA-N 1 2 284.338 3.511 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+]Cc2ccccc2Cl)cs1 ZINC000267603837 523474046 /nfs/dbraw/zinc/47/40/46/523474046.db2.gz FNISCAWUASKKMG-JTQLQIEISA-N 1 2 296.823 3.794 20 0 CHADLO CCn1cc[nH+]c1CN(C)Cc1cccc(Cl)c1Cl ZINC000338582647 523526319 /nfs/dbraw/zinc/52/63/19/523526319.db2.gz IKZDLDBNWMXMLS-UHFFFAOYSA-N 1 2 298.217 3.842 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H](C)c1ccccc1Cl ZINC000296221232 523557193 /nfs/dbraw/zinc/55/71/93/523557193.db2.gz ZTGJFENZLIETGI-RYUDHWBXSA-N 1 2 277.799 3.968 20 0 CHADLO C[N@H+](Cc1noc(C2CC2)n1)Cc1cccc2ccccc21 ZINC000053610368 523617922 /nfs/dbraw/zinc/61/79/22/523617922.db2.gz BSZNHRPTKLVODW-UHFFFAOYSA-N 1 2 293.370 3.732 20 0 CHADLO C[N@@H+](Cc1noc(C2CC2)n1)Cc1cccc2ccccc21 ZINC000053610368 523617928 /nfs/dbraw/zinc/61/79/28/523617928.db2.gz BSZNHRPTKLVODW-UHFFFAOYSA-N 1 2 293.370 3.732 20 0 CHADLO CCc1c2ccccc2oc1[C@@H](C)[NH2+][C@H](C)c1ncnn1C ZINC000276726051 523731351 /nfs/dbraw/zinc/73/13/51/523731351.db2.gz YBOBWVIYUYBQOU-VXGBXAGGSA-N 1 2 298.390 3.536 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2oc(CC)nc2C)o1 ZINC000291788709 524047186 /nfs/dbraw/zinc/04/71/86/524047186.db2.gz AVZALFZDMJOVMT-JTQLQIEISA-N 1 2 262.353 3.552 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2Cl)nc1 ZINC000272011474 524074448 /nfs/dbraw/zinc/07/44/48/524074448.db2.gz JXVGECXKQNGFPY-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO COc1ccc(C[NH2+][C@H](c2ccco2)c2ccccc2)cn1 ZINC000192945464 524091888 /nfs/dbraw/zinc/09/18/88/524091888.db2.gz QPKOBRQDFFNIFN-SFHVURJKSA-N 1 2 294.354 3.562 20 0 CHADLO Cc1ccc(C)c(N(C)Cc2[nH+]ccn2CC(F)(F)F)c1 ZINC000103141141 524116336 /nfs/dbraw/zinc/11/63/36/524116336.db2.gz PVVUNIRZXNHRML-UHFFFAOYSA-N 1 2 297.324 3.699 20 0 CHADLO C[C@@H]1CCCC[C@@H]1CNc1ccc(Cn2cc[nH+]c2)cn1 ZINC000353145656 524124061 /nfs/dbraw/zinc/12/40/61/524124061.db2.gz WVYPEKSYGCBCMT-GDBMZVCRSA-N 1 2 284.407 3.565 20 0 CHADLO COc1cccc([C@H](C)NC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000268048115 524151240 /nfs/dbraw/zinc/15/12/40/524151240.db2.gz YIENJEQLASARLX-LBPRGKRZSA-N 1 2 299.374 3.590 20 0 CHADLO Cc1cccc(CN(C)C(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000286950905 524369460 /nfs/dbraw/zinc/36/94/60/524369460.db2.gz AEIONVSMBDNXIE-UHFFFAOYSA-N 1 2 283.375 3.671 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3ccccc3s2)o1 ZINC000058888728 524395095 /nfs/dbraw/zinc/39/50/95/524395095.db2.gz IPTJPVXZISTPIG-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3ccccc3s2)o1 ZINC000058888728 524395105 /nfs/dbraw/zinc/39/51/05/524395105.db2.gz IPTJPVXZISTPIG-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3ccccc3n2C)s1 ZINC000076342001 524395316 /nfs/dbraw/zinc/39/53/16/524395316.db2.gz KNNFNMDITQYHEE-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3ccccc3n2C)s1 ZINC000076342001 524395326 /nfs/dbraw/zinc/39/53/26/524395326.db2.gz KNNFNMDITQYHEE-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(F)c(F)cc2F)CCC1(F)F ZINC000291504931 524611861 /nfs/dbraw/zinc/61/18/61/524611861.db2.gz HTAHTMHZHKRBSS-QMMMGPOBSA-N 1 2 279.252 3.581 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(F)c(F)cc2F)CCC1(F)F ZINC000291504931 524611869 /nfs/dbraw/zinc/61/18/69/524611869.db2.gz HTAHTMHZHKRBSS-QMMMGPOBSA-N 1 2 279.252 3.581 20 0 CHADLO Cc1cccc(NCc2cn3c(cccc3C)[nH+]2)c1 ZINC000157269859 524688275 /nfs/dbraw/zinc/68/82/75/524688275.db2.gz VVMZUSBCCFVHES-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO C[C@H]1C[C@H](Nc2cc(F)cc(Cl)c2)c2[nH+]ccn21 ZINC000294264193 524930453 /nfs/dbraw/zinc/93/04/53/524930453.db2.gz XKJVXQUELWDLHM-UFBFGSQYSA-N 1 2 265.719 3.794 20 0 CHADLO C[C@@H]1C[N@H+](CCC(F)(F)F)C[C@H](c2ccc(F)cc2)O1 ZINC000266002791 524939168 /nfs/dbraw/zinc/93/91/68/524939168.db2.gz JRMLEHKKMRUNPC-ZWNOBZJWSA-N 1 2 291.288 3.540 20 0 CHADLO C[C@@H]1C[N@@H+](CCC(F)(F)F)C[C@H](c2ccc(F)cc2)O1 ZINC000266002791 524939178 /nfs/dbraw/zinc/93/91/78/524939178.db2.gz JRMLEHKKMRUNPC-ZWNOBZJWSA-N 1 2 291.288 3.540 20 0 CHADLO Cc1cc(F)ccc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000155936150 524980484 /nfs/dbraw/zinc/98/04/84/524980484.db2.gz WGPLFJCBSADEFV-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)c(F)cc2F)CCC1(F)F ZINC000291504924 524985595 /nfs/dbraw/zinc/98/55/95/524985595.db2.gz HTAHTMHZHKRBSS-MRVPVSSYSA-N 1 2 279.252 3.581 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(F)c(F)cc2F)CCC1(F)F ZINC000291504924 524985606 /nfs/dbraw/zinc/98/56/06/524985606.db2.gz HTAHTMHZHKRBSS-MRVPVSSYSA-N 1 2 279.252 3.581 20 0 CHADLO Cc1cc(N2CC[C@@H](c3ccccc3C)C2)nc(C2CC2)[nH+]1 ZINC000341465031 525092281 /nfs/dbraw/zinc/09/22/81/525092281.db2.gz SNKCWJRZNFXPEX-MRXNPFEDSA-N 1 2 293.414 3.965 20 0 CHADLO Cc1cc(N2Cc3ccccc3[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000340783498 525098850 /nfs/dbraw/zinc/09/88/50/525098850.db2.gz SUXMDSRFBQIFQN-LBPRGKRZSA-N 1 2 265.360 3.744 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccnc(Cl)c1Cl ZINC000290399580 525129037 /nfs/dbraw/zinc/12/90/37/525129037.db2.gz KFAFTICNNZLTRC-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccnc(Cl)c1Cl ZINC000290399580 525129047 /nfs/dbraw/zinc/12/90/47/525129047.db2.gz KFAFTICNNZLTRC-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO Cc1cc(NC(=O)N[C@H]2CCCc3sccc32)cc[nH+]1 ZINC000127486741 525201581 /nfs/dbraw/zinc/20/15/81/525201581.db2.gz UVZLODLQYSKTHC-ZDUSSCGKSA-N 1 2 287.388 3.651 20 0 CHADLO Cc1ccc(NC(=O)c2cc(F)c(F)c(F)c2F)c(C)[nH+]1 ZINC000119303413 525232752 /nfs/dbraw/zinc/23/27/52/525232752.db2.gz HGWKMTFRMXYCFH-UHFFFAOYSA-N 1 2 298.239 3.507 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc(N(C)C)c(Cl)c2)c2[nH+]ccn21 ZINC000293904473 525273729 /nfs/dbraw/zinc/27/37/29/525273729.db2.gz HMQNEBWMTFNLHP-ZWNOBZJWSA-N 1 2 290.798 3.720 20 0 CHADLO Cc1cc(N[C@H](CCCO)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000265804475 525303290 /nfs/dbraw/zinc/30/32/90/525303290.db2.gz CWOATMFLAPLQTQ-MRXNPFEDSA-N 1 2 297.402 3.588 20 0 CHADLO Cc1cc([C@@H](C)Nc2ccc(N3CCCCC3)[nH+]c2)no1 ZINC000290767872 525477509 /nfs/dbraw/zinc/47/75/09/525477509.db2.gz SCTVQLAMBQIJDB-CYBMUJFWSA-N 1 2 286.379 3.541 20 0 CHADLO C[C@H]([NH2+]Cc1ncc[nH]1)c1cc(Cl)ccc1Cl ZINC000070939292 525488212 /nfs/dbraw/zinc/48/82/12/525488212.db2.gz NMLJTMQNTMICFW-QMMMGPOBSA-N 1 2 270.163 3.567 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)n1C)c1ccccc1Cl ZINC000042224872 525489252 /nfs/dbraw/zinc/48/92/52/525489252.db2.gz PURLFHZGIORKKK-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(F)c(Cl)c2)no1 ZINC000282615982 525521305 /nfs/dbraw/zinc/52/13/05/525521305.db2.gz IQSXGGPUTPTWOA-VIFPVBQESA-N 1 2 268.719 3.626 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)cc1Cl)c1ncnn1C ZINC000276700643 525531949 /nfs/dbraw/zinc/53/19/49/525531949.db2.gz XKGZWVWLQZLRQZ-IUCAKERBSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccon1)c1csc(Cl)c1 ZINC000309543291 525537298 /nfs/dbraw/zinc/53/72/98/525537298.db2.gz GHLFTTNWYKXYNX-YUMQZZPRSA-N 1 2 256.758 3.801 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(Cl)cc1Cl ZINC000077247254 525546979 /nfs/dbraw/zinc/54/69/79/525546979.db2.gz STFHEVGWKRSJCG-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncnn1C)c1ccc(Cl)c(Cl)c1 ZINC000276700263 525623851 /nfs/dbraw/zinc/62/38/51/525623851.db2.gz XENODNNMVOBWNU-BDAKNGLRSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@@H]([NH2+][C@@H](CCO)c1ccc(Cl)cc1)c1cscn1 ZINC000287177013 525623948 /nfs/dbraw/zinc/62/39/48/525623948.db2.gz UYRZBFJJGADGRZ-MFKMUULPSA-N 1 2 296.823 3.571 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@@H](C)C2(CCC2)C1 ZINC000287650151 525638193 /nfs/dbraw/zinc/63/81/93/525638193.db2.gz WIKORTUJEAJNHX-CYBMUJFWSA-N 1 2 287.407 3.742 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)c1cccc(O)c1 ZINC000036982243 525656197 /nfs/dbraw/zinc/65/61/97/525656197.db2.gz GKEHSPLYGXAVGL-ZDUSSCGKSA-N 1 2 283.375 3.561 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1CCC[C@H](O)C1 ZINC000225358060 525656716 /nfs/dbraw/zinc/65/67/16/525656716.db2.gz BNIVWBDMJRMICN-HIFRSBDPSA-N 1 2 270.376 3.506 20 0 CHADLO C[C@@H](Nc1cc[nH+]c2c(Cl)cccc12)[C@H]1CCOC1 ZINC000287156451 525721603 /nfs/dbraw/zinc/72/16/03/525721603.db2.gz FKTFFUHJBKZDOZ-MNOVXSKESA-N 1 2 276.767 3.725 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@@H+]2Cc2csnn2)o1 ZINC000129041464 525796707 /nfs/dbraw/zinc/79/67/07/525796707.db2.gz CDTZPLIMOGHCCO-ZDUSSCGKSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1ccc([C@@H]2CCCCC[N@H+]2Cc2csnn2)o1 ZINC000129041464 525796714 /nfs/dbraw/zinc/79/67/14/525796714.db2.gz CDTZPLIMOGHCCO-ZDUSSCGKSA-N 1 2 277.393 3.557 20 0 CHADLO Cc1c(F)cccc1NC1CCN(c2cccc[nH+]2)CC1 ZINC000043320091 525813438 /nfs/dbraw/zinc/81/34/38/525813438.db2.gz MLNSQUDVJOCCCF-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@H](C)c3ccccn3)cs2)o1 ZINC000340845818 525814393 /nfs/dbraw/zinc/81/43/93/525814393.db2.gz JROGVVLAAYHJFI-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2cc(C)on2)o1 ZINC000076120858 525845019 /nfs/dbraw/zinc/84/50/19/525845019.db2.gz JPEOXYALFZIJFY-NHYWBVRUSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2cc(C)on2)o1 ZINC000076120858 525845033 /nfs/dbraw/zinc/84/50/33/525845033.db2.gz JPEOXYALFZIJFY-NHYWBVRUSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2ncc(C)o2)o1 ZINC000076120289 525845150 /nfs/dbraw/zinc/84/51/50/525845150.db2.gz JDZHAHMKERLKRI-FZMZJTMJSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2ncc(C)o2)o1 ZINC000076120289 525845159 /nfs/dbraw/zinc/84/51/59/525845159.db2.gz JDZHAHMKERLKRI-FZMZJTMJSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2[nH]ncc2C)o1 ZINC000290319112 525845829 /nfs/dbraw/zinc/84/58/29/525845829.db2.gz BJKXZWGYXMKJTF-NHYWBVRUSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2[nH]ncc2C)o1 ZINC000290319112 525845838 /nfs/dbraw/zinc/84/58/38/525845838.db2.gz BJKXZWGYXMKJTF-NHYWBVRUSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2[nH]ncc2C)o1 ZINC000290319104 525854367 /nfs/dbraw/zinc/85/43/67/525854367.db2.gz BJKXZWGYXMKJTF-ABAIWWIYSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2[nH]ncc2C)o1 ZINC000290319104 525854376 /nfs/dbraw/zinc/85/43/76/525854376.db2.gz BJKXZWGYXMKJTF-ABAIWWIYSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)Cc1csc2ccccc12 ZINC000290406137 526021441 /nfs/dbraw/zinc/02/14/41/526021441.db2.gz KWOWYMWIMCCGSC-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)Cc1csc2ccccc12 ZINC000290406137 526021446 /nfs/dbraw/zinc/02/14/46/526021446.db2.gz KWOWYMWIMCCGSC-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000290401104 526024922 /nfs/dbraw/zinc/02/49/22/526024922.db2.gz KIZNLFGGZRTPRD-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000290401104 526024929 /nfs/dbraw/zinc/02/49/29/526024929.db2.gz KIZNLFGGZRTPRD-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000290401104 526024932 /nfs/dbraw/zinc/02/49/32/526024932.db2.gz KIZNLFGGZRTPRD-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000290401104 526024940 /nfs/dbraw/zinc/02/49/40/526024940.db2.gz KIZNLFGGZRTPRD-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccc(F)cn1 ZINC000276398207 526035175 /nfs/dbraw/zinc/03/51/75/526035175.db2.gz TWPJTONMBNDANA-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccc(F)cn1 ZINC000276398207 526035180 /nfs/dbraw/zinc/03/51/80/526035180.db2.gz TWPJTONMBNDANA-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1nc(C2CC2)no1)C1CC1 ZINC000299370546 526094709 /nfs/dbraw/zinc/09/47/09/526094709.db2.gz KSVPJGXYSZERHR-GFCCVEGCSA-N 1 2 283.375 3.673 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1nc(C2CC2)no1)C1CC1 ZINC000299370546 526094715 /nfs/dbraw/zinc/09/47/15/526094715.db2.gz KSVPJGXYSZERHR-GFCCVEGCSA-N 1 2 283.375 3.673 20 0 CHADLO Cc1ccc2[nH]c([C@@H]3CCC[N@@H+]3Cc3ccncc3)nc2c1 ZINC000289427733 526103373 /nfs/dbraw/zinc/10/33/73/526103373.db2.gz VIHFJTBJEJJSCD-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2[nH]c([C@@H]3CCC[N@H+]3Cc3ccncc3)nc2c1 ZINC000289427733 526103380 /nfs/dbraw/zinc/10/33/80/526103380.db2.gz VIHFJTBJEJJSCD-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2nc([C@@H]3CCC[N@@H+]3Cc3ccncc3)[nH]c2c1 ZINC000289427733 526103389 /nfs/dbraw/zinc/10/33/89/526103389.db2.gz VIHFJTBJEJJSCD-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2nc([C@@H]3CCC[N@H+]3Cc3ccncc3)[nH]c2c1 ZINC000289427733 526103398 /nfs/dbraw/zinc/10/33/98/526103398.db2.gz VIHFJTBJEJJSCD-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278587814 526122667 /nfs/dbraw/zinc/12/26/67/526122667.db2.gz KTZLXXRGVFZEER-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278587814 526122678 /nfs/dbraw/zinc/12/26/78/526122678.db2.gz KTZLXXRGVFZEER-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000299391843 526134064 /nfs/dbraw/zinc/13/40/64/526134064.db2.gz RLKAZCUDVWVJHG-LBPRGKRZSA-N 1 2 283.331 3.523 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000299391843 526134070 /nfs/dbraw/zinc/13/40/70/526134070.db2.gz RLKAZCUDVWVJHG-LBPRGKRZSA-N 1 2 283.331 3.523 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1ccnc(Cl)c1Cl ZINC000279096467 526153171 /nfs/dbraw/zinc/15/31/71/526153171.db2.gz UTVKJVONRSHHJC-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1ccnc(Cl)c1Cl ZINC000279096467 526153161 /nfs/dbraw/zinc/15/31/61/526153161.db2.gz UTVKJVONRSHHJC-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccc(C(C)C)nc2C)o1 ZINC000339086400 526157940 /nfs/dbraw/zinc/15/79/40/526157940.db2.gz VITULGJHLUEHDJ-ZDUSSCGKSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C[C@@H]1CCc2ccccc2C1 ZINC000264798591 526239451 /nfs/dbraw/zinc/23/94/51/526239451.db2.gz BWDASCKKUBXJEY-CQSZACIVSA-N 1 2 280.371 3.524 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](C)CCc1cccc(F)c1 ZINC000080111599 526240583 /nfs/dbraw/zinc/24/05/83/526240583.db2.gz MDVXENLRXGPKMO-LBPRGKRZSA-N 1 2 286.350 3.737 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cc(C2CC2)cnc1Cl ZINC000280473915 526240680 /nfs/dbraw/zinc/24/06/80/526240680.db2.gz INUKIGSSQLRHPJ-UHFFFAOYSA-N 1 2 287.750 3.568 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccc([C@H]4C[C@@H]4C)o3)cn2c1 ZINC000264365207 526249204 /nfs/dbraw/zinc/24/92/04/526249204.db2.gz JQOBBZNVVNVGSP-BBRMVZONSA-N 1 2 295.386 3.649 20 0 CHADLO Cc1ccc2[nH+]c(CNCc3ccc([C@H]4C[C@@H]4C)o3)cn2c1 ZINC000264365207 526249206 /nfs/dbraw/zinc/24/92/06/526249206.db2.gz JQOBBZNVVNVGSP-BBRMVZONSA-N 1 2 295.386 3.649 20 0 CHADLO Fc1ccc(C[N@H+](Cc2cnc[nH]2)C2CCCC2)c(F)c1 ZINC000280548923 526330240 /nfs/dbraw/zinc/33/02/40/526330240.db2.gz UDLZUDLQFGHDCO-UHFFFAOYSA-N 1 2 291.345 3.633 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2cnc[nH]2)C2CCCC2)c(F)c1 ZINC000280548923 526330246 /nfs/dbraw/zinc/33/02/46/526330246.db2.gz UDLZUDLQFGHDCO-UHFFFAOYSA-N 1 2 291.345 3.633 20 0 CHADLO Fc1ccc(C[N@H+](Cc2c[nH]cn2)C2CCCC2)c(F)c1 ZINC000280548923 526330250 /nfs/dbraw/zinc/33/02/50/526330250.db2.gz UDLZUDLQFGHDCO-UHFFFAOYSA-N 1 2 291.345 3.633 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2c[nH]cn2)C2CCCC2)c(F)c1 ZINC000280548923 526330256 /nfs/dbraw/zinc/33/02/56/526330256.db2.gz UDLZUDLQFGHDCO-UHFFFAOYSA-N 1 2 291.345 3.633 20 0 CHADLO Cc1csc(C[N@H+](Cc2ccccc2F)C2CC2)n1 ZINC000081646040 526341956 /nfs/dbraw/zinc/34/19/56/526341956.db2.gz PCQLPLICUCALBK-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1csc(C[N@@H+](Cc2ccccc2F)C2CC2)n1 ZINC000081646040 526341959 /nfs/dbraw/zinc/34/19/59/526341959.db2.gz PCQLPLICUCALBK-UHFFFAOYSA-N 1 2 276.380 3.755 20 0 CHADLO Cc1csc(C[NH2+]Cc2c(F)cccc2Cl)n1 ZINC000037988383 526358913 /nfs/dbraw/zinc/35/89/13/526358913.db2.gz SUVKXRHXYQCLBA-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCC[C@@H]1c1ccc[nH]1 ZINC000127359666 526395085 /nfs/dbraw/zinc/39/50/85/526395085.db2.gz YHCGNJSXLFNCNL-OAHLLOKOSA-N 1 2 262.303 3.630 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCC[C@@H]1c1ccc[nH]1 ZINC000127359666 526395090 /nfs/dbraw/zinc/39/50/90/526395090.db2.gz YHCGNJSXLFNCNL-OAHLLOKOSA-N 1 2 262.303 3.630 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@H+]2Cc2cc(-c3ccco3)on2)c1 ZINC000264232545 526409107 /nfs/dbraw/zinc/40/91/07/526409107.db2.gz RYZAZSQVCBCQQP-AWEZNQCLSA-N 1 2 283.331 3.600 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@@H+]2Cc2cc(-c3ccco3)on2)c1 ZINC000264232545 526409116 /nfs/dbraw/zinc/40/91/16/526409116.db2.gz RYZAZSQVCBCQQP-AWEZNQCLSA-N 1 2 283.331 3.600 20 0 CHADLO c1cc(C2CCN(c3cc[nH+]c(C4CC4)n3)CC2)cs1 ZINC000274961282 526419040 /nfs/dbraw/zinc/41/90/40/526419040.db2.gz GMQWWRTZPUPERY-UHFFFAOYSA-N 1 2 285.416 3.800 20 0 CHADLO Cc1noc(C[NH2+][C@H](c2ccccc2C)C(C)(C)C)n1 ZINC000271683811 526621298 /nfs/dbraw/zinc/62/12/98/526621298.db2.gz COQQBOVURQKNNR-OAHLLOKOSA-N 1 2 273.380 3.563 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2cc(C)ccc2F)n1 ZINC000289799206 526651103 /nfs/dbraw/zinc/65/11/03/526651103.db2.gz MQRFFLSPWPXFQT-HNNXBMFYSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2cc(C)ccc2F)n1 ZINC000289799206 526651108 /nfs/dbraw/zinc/65/11/08/526651108.db2.gz MQRFFLSPWPXFQT-HNNXBMFYSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSc1ncccn1 ZINC000292543434 526668191 /nfs/dbraw/zinc/66/81/91/526668191.db2.gz AHCHNNCBJAIIGG-UHFFFAOYSA-N 1 2 259.378 3.596 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ccc(Cl)nc1Cl ZINC000234320023 526823142 /nfs/dbraw/zinc/82/31/42/526823142.db2.gz PSUHHCZQRQINAQ-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ccc(Cl)nc1Cl ZINC000234320023 526823149 /nfs/dbraw/zinc/82/31/49/526823149.db2.gz PSUHHCZQRQINAQ-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(Cl)s2)cs1 ZINC000061759558 526841275 /nfs/dbraw/zinc/84/12/75/526841275.db2.gz MAOYEDQKOXDIPM-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(Cl)s2)cs1 ZINC000061759558 526841278 /nfs/dbraw/zinc/84/12/78/526841278.db2.gz MAOYEDQKOXDIPM-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2ccco2)sc1C ZINC000088587159 526846451 /nfs/dbraw/zinc/84/64/51/526846451.db2.gz AZYPOWVBEAVJCR-SNVBAGLBSA-N 1 2 250.367 3.546 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2ccco2)sc1C ZINC000088587159 526846454 /nfs/dbraw/zinc/84/64/54/526846454.db2.gz AZYPOWVBEAVJCR-SNVBAGLBSA-N 1 2 250.367 3.546 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCSc3c(F)cccc32)cs1 ZINC000040421482 526892507 /nfs/dbraw/zinc/89/25/07/526892507.db2.gz XGARRIMKWDIHJQ-CYBMUJFWSA-N 1 2 294.420 3.917 20 0 CHADLO Clc1ccc(-c2cc(COc3cc[nH+]cc3)on2)cc1 ZINC000356098725 526933168 /nfs/dbraw/zinc/93/31/68/526933168.db2.gz QCUYFIJTIVSPRG-UHFFFAOYSA-N 1 2 286.718 3.969 20 0 CHADLO Clc1ccc([C@H]2C[N@@H+](C3CCCC3)CCO2)s1 ZINC000363472579 526965122 /nfs/dbraw/zinc/96/51/22/526965122.db2.gz RTTCJVYBEXTPHI-LLVKDONJSA-N 1 2 271.813 3.717 20 0 CHADLO Clc1ccc([C@H]2C[N@H+](C3CCCC3)CCO2)s1 ZINC000363472579 526965127 /nfs/dbraw/zinc/96/51/27/526965127.db2.gz RTTCJVYBEXTPHI-LLVKDONJSA-N 1 2 271.813 3.717 20 0 CHADLO Clc1cccc(C2([NH2+]Cc3ccn[nH]3)CCCC2)c1 ZINC000130454516 526974165 /nfs/dbraw/zinc/97/41/65/526974165.db2.gz SXUIUUIRXXXEJL-UHFFFAOYSA-N 1 2 275.783 3.622 20 0 CHADLO Clc1nc(C[N@@H+]2CCS[C@H]3CCCC[C@@H]32)cs1 ZINC000289994863 527003234 /nfs/dbraw/zinc/00/32/34/527003234.db2.gz KSSSXHGTPMSNML-QWRGUYRKSA-N 1 2 288.869 3.657 20 0 CHADLO Clc1nc(C[N@H+]2CCS[C@H]3CCCC[C@@H]32)cs1 ZINC000289994863 527003240 /nfs/dbraw/zinc/00/32/40/527003240.db2.gz KSSSXHGTPMSNML-QWRGUYRKSA-N 1 2 288.869 3.657 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccccc2OC(F)F)cs1 ZINC000036915322 527064400 /nfs/dbraw/zinc/06/44/00/527064400.db2.gz IBQHUHXKGNMADO-VIFPVBQESA-N 1 2 298.358 3.904 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2cccc(C)c2C)no1 ZINC000372839377 527073224 /nfs/dbraw/zinc/07/32/24/527073224.db2.gz RNYXMNAGJJFXJK-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2cccc(C)c2C)no1 ZINC000372839377 527073229 /nfs/dbraw/zinc/07/32/29/527073229.db2.gz RNYXMNAGJJFXJK-MRXNPFEDSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc2c(s1)[C@H]([N@H+](C)Cc1nc(C)c(C)o1)CCC2 ZINC000111311673 527110648 /nfs/dbraw/zinc/11/06/48/527110648.db2.gz YGVFPOHTKHPWTB-CYBMUJFWSA-N 1 2 291.420 3.566 20 0 CHADLO Cc1nc2c(s1)[C@H]([N@@H+](C)Cc1nc(C)c(C)o1)CCC2 ZINC000111311673 527110651 /nfs/dbraw/zinc/11/06/51/527110651.db2.gz YGVFPOHTKHPWTB-CYBMUJFWSA-N 1 2 291.420 3.566 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccoc1C1CC1 ZINC000336960385 527143245 /nfs/dbraw/zinc/14/32/45/527143245.db2.gz ZZOJJAHIOLDGAH-UHFFFAOYSA-N 1 2 293.326 3.799 20 0 CHADLO Cc1nc2ccccc2n1C1CCN(c2cccc[nH+]2)CC1 ZINC000280378816 527163225 /nfs/dbraw/zinc/16/32/25/527163225.db2.gz ZBDKMQNIOYUTCV-UHFFFAOYSA-N 1 2 292.386 3.581 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1cccc(C2CCC2)c1 ZINC000336958468 527389517 /nfs/dbraw/zinc/38/95/17/527389517.db2.gz ANXWEZPGRRWKGL-UHFFFAOYSA-N 1 2 291.354 3.854 20 0 CHADLO O=C(NC1CCCCC1)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264925124 527517739 /nfs/dbraw/zinc/51/77/39/527517739.db2.gz UTHJVKUOISPDTQ-UHFFFAOYSA-N 1 2 296.370 3.936 20 0 CHADLO C=Cc1ccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000336493591 527643992 /nfs/dbraw/zinc/64/39/92/527643992.db2.gz RZOJOVJDKIHKCJ-UHFFFAOYSA-N 1 2 266.344 3.902 20 0 CHADLO CC1=C(C(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)CCC(C)(C)C1 ZINC000334662594 528070562 /nfs/dbraw/zinc/07/05/62/528070562.db2.gz JPWSSHQDWLYHJI-CQSZACIVSA-N 1 2 287.407 3.600 20 0 CHADLO CC(C)c1ccc(C(=O)Nc2ccc[nH+]c2N(C)C)s1 ZINC000354802765 528081412 /nfs/dbraw/zinc/08/14/12/528081412.db2.gz YPNXMMRNVCWVFL-UHFFFAOYSA-N 1 2 289.404 3.585 20 0 CHADLO CC1=CCC[C@H](C)[C@@H]1CNc1ccc(Cn2cc[nH+]c2)cn1 ZINC000353141419 528108691 /nfs/dbraw/zinc/10/86/91/528108691.db2.gz QXMXFCAHCMNCTL-DOTOQJQBSA-N 1 2 296.418 3.731 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H](C)c2cncc(F)c2)s1 ZINC000344326248 528216471 /nfs/dbraw/zinc/21/64/71/528216471.db2.gz IJMRHUKSQOKZKN-JTQLQIEISA-N 1 2 279.384 3.651 20 0 CHADLO CC(C)c1nc(N2C[C@@H](C)C[C@@H]2c2cccnc2)cc[nH+]1 ZINC000302160826 528366760 /nfs/dbraw/zinc/36/67/60/528366760.db2.gz YGDQVYFAJLSUCU-DZGCQCFKSA-N 1 2 282.391 3.583 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCS[C@H]3CCCC[C@@H]32)s1 ZINC000336202684 528427943 /nfs/dbraw/zinc/42/79/43/528427943.db2.gz FVWAPJWDTROOHF-RYUDHWBXSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCS[C@H]3CCCC[C@@H]32)s1 ZINC000336202684 528427948 /nfs/dbraw/zinc/42/79/48/528427948.db2.gz FVWAPJWDTROOHF-RYUDHWBXSA-N 1 2 297.493 3.522 20 0 CHADLO CCN(Cc1c[nH+]c2cccc(C)n12)c1ccccc1 ZINC000347373415 528872133 /nfs/dbraw/zinc/87/21/33/528872133.db2.gz HLXOBVPSNUDGJF-UHFFFAOYSA-N 1 2 265.360 3.669 20 0 CHADLO CCCC[C@H](CC)CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000348002082 528903846 /nfs/dbraw/zinc/90/38/46/528903846.db2.gz SRHLBDXPDARBFJ-UONOGXRCSA-N 1 2 277.412 3.680 20 0 CHADLO CC1(C)CCCC[C@@H]1CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000335956670 528916715 /nfs/dbraw/zinc/91/67/15/528916715.db2.gz QLPWUVBSLUZSOF-KGLIPLIRSA-N 1 2 289.423 3.680 20 0 CHADLO CCOC[C@@H]1CCC[C@@H]1Nc1cc(CSCC)cc[nH+]1 ZINC000354561766 528926867 /nfs/dbraw/zinc/92/68/67/528926867.db2.gz FAHCJZZHJTVGDD-GJZGRUSLSA-N 1 2 294.464 3.952 20 0 CHADLO CCC(O)(CC)CCNc1[nH+]ccc2ccc(F)cc21 ZINC000350724269 528965829 /nfs/dbraw/zinc/96/58/29/528965829.db2.gz JMXVRXMDDKRMJV-UHFFFAOYSA-N 1 2 276.355 3.727 20 0 CHADLO CCS[C@H]1CCC[C@@H](Nc2cc[nH+]c(C3CC3)n2)C1 ZINC000301523330 529229398 /nfs/dbraw/zinc/22/93/98/529229398.db2.gz HUKXNVSWZVEJGG-OLZOCXBDSA-N 1 2 277.437 3.830 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(Cl)cc2)oc1C ZINC000049525531 567893556 /nfs/dbraw/zinc/89/35/56/567893556.db2.gz JUYVDPQBRHYWRL-SNVBAGLBSA-N 1 2 264.756 3.796 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(F)ccc(Cl)c2F)[C@H](C)C1 ZINC001137885440 1131429185 /nfs/dbraw/zinc/42/91/85/1131429185.db2.gz QOMXDWHQBXDYCK-NXEZZACHSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(F)ccc(Cl)c2F)[C@H](C)C1 ZINC001137885440 1131429186 /nfs/dbraw/zinc/42/91/86/1131429186.db2.gz QOMXDWHQBXDYCK-NXEZZACHSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1cc(C[NH+]2C[C@@H](C)O[C@H](C)C2)cc(C)c1Cl ZINC001238088793 1117773072 /nfs/dbraw/zinc/77/30/72/1117773072.db2.gz KXQMLQQONGOWLZ-CHWSQXEVSA-N 1 2 267.800 3.566 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2ccoc2)cs1 ZINC000178099491 1117885799 /nfs/dbraw/zinc/88/57/99/1117885799.db2.gz HLYLODVMIPOABM-VHSXEESVSA-N 1 2 250.367 3.710 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@H+]2[C@H](C)CCC[C@@H]2C)n1 ZINC000130710055 1125484924 /nfs/dbraw/zinc/48/49/24/1125484924.db2.gz DQRRUGIJPRPRCP-TUAOUCFPSA-N 1 2 283.441 3.647 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@@H+]2[C@H](C)CCC[C@@H]2C)n1 ZINC000130710055 1125484925 /nfs/dbraw/zinc/48/49/25/1125484925.db2.gz DQRRUGIJPRPRCP-TUAOUCFPSA-N 1 2 283.441 3.647 20 0 CHADLO Cc1[nH+]cc(Nc2c(F)cc(Cl)cc2F)cc1N ZINC001210289956 1118236036 /nfs/dbraw/zinc/23/60/36/1118236036.db2.gz CAONAGHPBCSAIL-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc(F)c(F)c(F)c1)c1ccon1 ZINC000349856891 1119323694 /nfs/dbraw/zinc/32/36/94/1119323694.db2.gz IDTYDOSUTYJGRU-YUMQZZPRSA-N 1 2 270.254 3.504 20 0 CHADLO CC(C)N(C)c1ccc(C[NH2+]CC(F)(F)C(C)(C)C)cn1 ZINC000657794089 1119414923 /nfs/dbraw/zinc/41/49/23/1119414923.db2.gz STDWGQVZVUXACI-UHFFFAOYSA-N 1 2 299.409 3.697 20 0 CHADLO COC(=O)[C@@](C)([NH2+]Cc1c(C)ccc2ccccc21)C1CC1 ZINC000536605647 1125494526 /nfs/dbraw/zinc/49/45/26/1125494526.db2.gz HRDKPRQOHIEVOZ-IBGZPJMESA-N 1 2 297.398 3.580 20 0 CHADLO Cc1csc(C[N@H+]2CCc3sccc3[C@@H]2C)n1 ZINC000081646451 1119518126 /nfs/dbraw/zinc/51/81/26/1119518126.db2.gz FNRCZDUWWYSIAG-JTQLQIEISA-N 1 2 264.419 3.632 20 0 CHADLO Fc1ccc(F)c2c1CC[N@H+](Cc1cccs1)C2 ZINC000093815720 1119572454 /nfs/dbraw/zinc/57/24/54/1119572454.db2.gz UBNZZJNMZZAQMB-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1ccc(F)c2c1CC[N@@H+](Cc1cccs1)C2 ZINC000093815720 1119572457 /nfs/dbraw/zinc/57/24/57/1119572457.db2.gz UBNZZJNMZZAQMB-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO CC[N@@H+](Cc1nc(C)sc1C)Cc1cccc(F)c1 ZINC000443623368 1119579688 /nfs/dbraw/zinc/57/96/88/1119579688.db2.gz LLGJMDXZZUXOMC-UHFFFAOYSA-N 1 2 278.396 3.921 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000114745980 1125499091 /nfs/dbraw/zinc/49/90/91/1125499091.db2.gz FLPONMXROSEXIP-QWHCGFSZSA-N 1 2 299.418 3.923 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1cccc(Cl)n1 ZINC000780385899 1119943957 /nfs/dbraw/zinc/94/39/57/1119943957.db2.gz VRYJVWBULFRYMF-NXEZZACHSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1cccc(Cl)n1 ZINC000780385899 1119943955 /nfs/dbraw/zinc/94/39/55/1119943955.db2.gz VRYJVWBULFRYMF-NXEZZACHSA-N 1 2 292.732 3.898 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)c(C)n1 ZINC000672621444 1120335203 /nfs/dbraw/zinc/33/52/03/1120335203.db2.gz XSAUUFIRJNPXNV-WCQYABFASA-N 1 2 254.324 3.537 20 0 CHADLO Cc1c[nH+]c(CCSCCn2cc(Cl)cn2)c(C)c1 ZINC000584720001 1120420026 /nfs/dbraw/zinc/42/00/26/1120420026.db2.gz CNMXSCCGUISBEA-UHFFFAOYSA-N 1 2 295.839 3.524 20 0 CHADLO CN(Cc1ccc(Br)cc1F)c1cccc[nH+]1 ZINC000673711278 1120663096 /nfs/dbraw/zinc/66/30/96/1120663096.db2.gz PGDMCJUKSTWLFI-UHFFFAOYSA-N 1 2 295.155 3.620 20 0 CHADLO CC(C)c1nc(C[NH+]2C[C@H](C)C(F)(F)[C@@H](C)C2)cs1 ZINC000625648652 1121045328 /nfs/dbraw/zinc/04/53/28/1121045328.db2.gz RRBVNMQZUNWQKL-QWRGUYRKSA-N 1 2 288.407 3.990 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)cccc1OC ZINC001174992745 1121121487 /nfs/dbraw/zinc/12/14/87/1121121487.db2.gz PVPYXTNCTZLXTR-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO CCOc1c(F)cccc1Nc1[nH+]cc(C)cc1C ZINC001212534246 1121252608 /nfs/dbraw/zinc/25/26/08/1121252608.db2.gz HERIIIDAFFWNEQ-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1[nH]c(CNc2ccc(C(F)F)cc2)[nH+]c1C ZINC000709015607 1121467341 /nfs/dbraw/zinc/46/73/41/1121467341.db2.gz XUMDJWGLFXHYQV-UHFFFAOYSA-N 1 2 251.280 3.576 20 0 CHADLO Cc1ccc(C[NH+]2CCC(C(F)(F)F)CC2)c(Cl)n1 ZINC000711195013 1121493852 /nfs/dbraw/zinc/49/38/52/1121493852.db2.gz GSJBQTNVNFUXNL-UHFFFAOYSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccsc3C2)c(Cl)n1 ZINC000711890467 1121585719 /nfs/dbraw/zinc/58/57/19/1121585719.db2.gz BZTWSORKKXNGOW-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccsc3C2)c(Cl)n1 ZINC000711890467 1121585726 /nfs/dbraw/zinc/58/57/26/1121585726.db2.gz BZTWSORKKXNGOW-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@@H](C)[C@H]2C)c1 ZINC001166613597 1121778251 /nfs/dbraw/zinc/77/82/51/1121778251.db2.gz GAXCNWANMUEZSI-VXGBXAGGSA-N 1 2 250.411 3.818 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncc(C(C)C)o2)s1 ZINC000733309334 1121973813 /nfs/dbraw/zinc/97/38/13/1121973813.db2.gz KLROHMIJEAINDT-UHFFFAOYSA-N 1 2 264.394 3.800 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncc(C(C)C)o2)s1 ZINC000733309334 1121973823 /nfs/dbraw/zinc/97/38/23/1121973823.db2.gz KLROHMIJEAINDT-UHFFFAOYSA-N 1 2 264.394 3.800 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCC[C@@H](CC(F)(F)F)C2)n1 ZINC000420954131 1122048057 /nfs/dbraw/zinc/04/80/57/1122048057.db2.gz UKFDUIRFSFCYQK-LBPRGKRZSA-N 1 2 289.345 3.628 20 0 CHADLO CCC(C)(C)CC[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC001183267513 1122216457 /nfs/dbraw/zinc/21/64/57/1122216457.db2.gz AKMRGUHFCAOXMG-AWEZNQCLSA-N 1 2 278.444 3.842 20 0 CHADLO CCOc1cc(Nc2ccc(Cl)nc2C)cc(C)[nH+]1 ZINC001250152396 1122280139 /nfs/dbraw/zinc/28/01/39/1122280139.db2.gz ZLXGDJMHJLHSQE-UHFFFAOYSA-N 1 2 277.755 3.889 20 0 CHADLO Cc1cc(NC(C)(C)/C=C/Cl)nc(C2CCC2)[nH+]1 ZINC001187663574 1122436166 /nfs/dbraw/zinc/43/61/66/1122436166.db2.gz ZWSXXCWOYKZMOH-BQYQJAHWSA-N 1 2 265.788 3.996 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cnc(CC(F)(F)F)s2)C1 ZINC000894658381 1122885526 /nfs/dbraw/zinc/88/55/26/1122885526.db2.gz GAOQXWWBNWFVTD-LLVKDONJSA-N 1 2 296.333 3.572 20 0 CHADLO CC[N@@H+](Cc1cc(Cl)n(C)n1)Cc1ccc(Cl)cc1 ZINC000859097738 1123684115 /nfs/dbraw/zinc/68/41/15/1123684115.db2.gz UMMIKQIEMKTPHW-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Br)cc1)C(C)(F)F ZINC000389464851 1124027480 /nfs/dbraw/zinc/02/74/80/1124027480.db2.gz HXGMWXWIZWYNCW-MRVPVSSYSA-N 1 2 278.140 3.582 20 0 CHADLO C[C@@H]1CO[C@@H](C)C[N@@H+]1CCCc1c(F)cccc1Cl ZINC000447733794 1124515549 /nfs/dbraw/zinc/51/55/49/1124515549.db2.gz QZQREHDCCHZNES-NEPJUHHUSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@@H]1CO[C@@H](C)C[N@H+]1CCCc1c(F)cccc1Cl ZINC000447733794 1124515559 /nfs/dbraw/zinc/51/55/59/1124515559.db2.gz QZQREHDCCHZNES-NEPJUHHUSA-N 1 2 285.790 3.521 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000528561636 1124689956 /nfs/dbraw/zinc/68/99/56/1124689956.db2.gz UOPJMSKKQWJZFP-GXJZLWQJSA-N 1 2 250.773 3.607 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000528561636 1124689957 /nfs/dbraw/zinc/68/99/57/1124689957.db2.gz UOPJMSKKQWJZFP-GXJZLWQJSA-N 1 2 250.773 3.607 20 0 CHADLO CC(C)(C)c1ncc(C[N@H+]2CCC[C@](C)(F)C2)s1 ZINC000449350816 1124698366 /nfs/dbraw/zinc/69/83/66/1124698366.db2.gz NNDWQSURGJBACF-AWEZNQCLSA-N 1 2 270.417 3.765 20 0 CHADLO CSc1ccc(C[NH2+]Cc2ncc(Cl)s2)s1 ZINC000873166187 1124745842 /nfs/dbraw/zinc/74/58/42/1124745842.db2.gz WJROJVHWZGUUTL-UHFFFAOYSA-N 1 2 290.866 3.870 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(C)c(Cl)n2)cs1 ZINC000878418251 1125152154 /nfs/dbraw/zinc/15/21/54/1125152154.db2.gz KDSRWGQUTMPGAN-SNVBAGLBSA-N 1 2 295.839 3.913 20 0 CHADLO Cc1csc([C@H]2CC[N@H+](Cc3csc(Cl)n3)C2)n1 ZINC000880012191 1125245065 /nfs/dbraw/zinc/24/50/65/1125245065.db2.gz NIXCUTVJTDJWJK-VIFPVBQESA-N 1 2 299.852 3.551 20 0 CHADLO Cc1csc([C@H]2CC[N@@H+](Cc3csc(Cl)n3)C2)n1 ZINC000880012191 1125245070 /nfs/dbraw/zinc/24/50/70/1125245070.db2.gz NIXCUTVJTDJWJK-VIFPVBQESA-N 1 2 299.852 3.551 20 0 CHADLO Cc1cc(NCc2ncc(C(C)C)s2)c[nH+]c1C ZINC000883340899 1125397623 /nfs/dbraw/zinc/39/76/23/1125397623.db2.gz BYUUQTQHIOEEMC-UHFFFAOYSA-N 1 2 261.394 3.890 20 0 CHADLO COc1c(Cl)cc(C[NH+]2CC3(CCC3)C2)cc1Cl ZINC001138475740 1131510098 /nfs/dbraw/zinc/51/00/98/1131510098.db2.gz OCDKEZKICBTTJE-UHFFFAOYSA-N 1 2 286.202 3.988 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1c(C)cccc1Cl ZINC001138721632 1131541483 /nfs/dbraw/zinc/54/14/83/1131541483.db2.gz BTLBVHCCVKNEPZ-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1c(C)cccc1Cl ZINC001138721632 1131541486 /nfs/dbraw/zinc/54/14/86/1131541486.db2.gz BTLBVHCCVKNEPZ-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)cnc2Cl)CCC1(F)F ZINC001138890230 1131557995 /nfs/dbraw/zinc/55/79/95/1131557995.db2.gz FNPZWHNHMHXEDH-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)cnc2Cl)CCC1(F)F ZINC001138890230 1131557998 /nfs/dbraw/zinc/55/79/98/1131557998.db2.gz FNPZWHNHMHXEDH-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CCOc1c(F)cc(C[NH+]2CCC(F)(F)CC2)cc1F ZINC001144021766 1131572590 /nfs/dbraw/zinc/57/25/90/1131572590.db2.gz JMCSWXHPMIRFDT-UHFFFAOYSA-N 1 2 291.288 3.595 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1cc(Cl)c(F)cc1F ZINC001144125782 1131588441 /nfs/dbraw/zinc/58/84/41/1131588441.db2.gz RKHFAQNXRAYIKE-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1cc(Cl)c(F)cc1F ZINC001144125782 1131588443 /nfs/dbraw/zinc/58/84/43/1131588443.db2.gz RKHFAQNXRAYIKE-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CC[N@@H+](CCN1CCCC1)Cc1ccccc1C(F)F ZINC001139798747 1131637381 /nfs/dbraw/zinc/63/73/81/1131637381.db2.gz CQQLEOOFRIVEGN-UHFFFAOYSA-N 1 2 282.378 3.542 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2sc(Cl)nc2Cl)C1 ZINC001139953797 1131653034 /nfs/dbraw/zinc/65/30/34/1131653034.db2.gz UDTJUGDXMITPIS-ZETCQYMHSA-N 1 2 265.209 3.682 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2sc(Cl)nc2Cl)C1 ZINC001139953797 1131653037 /nfs/dbraw/zinc/65/30/37/1131653037.db2.gz UDTJUGDXMITPIS-ZETCQYMHSA-N 1 2 265.209 3.682 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(OC)c(COC)c1)C(F)F ZINC000834828179 1131708361 /nfs/dbraw/zinc/70/83/61/1131708361.db2.gz QMAQYYYBCORZIZ-ZWNOBZJWSA-N 1 2 287.350 3.536 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1ccc(F)c(F)c1Cl ZINC001232874461 1132532214 /nfs/dbraw/zinc/53/22/14/1132532214.db2.gz TYGBLQKTQPALPR-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1ccc(F)c(F)c1Cl ZINC001232874461 1132532224 /nfs/dbraw/zinc/53/22/24/1132532224.db2.gz TYGBLQKTQPALPR-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCCC[NH+](CCCC)Cc1cc(Cl)ncc1O ZINC001233035174 1132564270 /nfs/dbraw/zinc/56/42/70/1132564270.db2.gz YYIPKFQABKEUIX-UHFFFAOYSA-N 1 2 270.804 3.843 20 0 CHADLO C[N@@H+](Cc1ccccc1Cl)Cc1cc(Cl)ncc1O ZINC001233035544 1132564442 /nfs/dbraw/zinc/56/44/42/1132564442.db2.gz FONSBADDPFJRGC-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cccc(Cl)c3C2)sn1 ZINC001233312791 1132596785 /nfs/dbraw/zinc/59/67/85/1132596785.db2.gz MCXFAVAAPFFGDL-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cccc(Cl)c3C2)sn1 ZINC001233312791 1132596787 /nfs/dbraw/zinc/59/67/87/1132596787.db2.gz MCXFAVAAPFFGDL-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CCC[C@@H]1CCCC[N@@H+]1Cc1c(Cl)ncnc1Cl ZINC001233409731 1132618928 /nfs/dbraw/zinc/61/89/28/1132618928.db2.gz KXSUXZSCZMVEOX-SNVBAGLBSA-N 1 2 288.222 3.938 20 0 CHADLO CCC[C@@H]1CCCC[N@H+]1Cc1c(Cl)ncnc1Cl ZINC001233409731 1132618933 /nfs/dbraw/zinc/61/89/33/1132618933.db2.gz KXSUXZSCZMVEOX-SNVBAGLBSA-N 1 2 288.222 3.938 20 0 CHADLO CCc1cc(N[C@@H](C)Cc2ccsc2)nc(CC)[nH+]1 ZINC001162232392 1133062306 /nfs/dbraw/zinc/06/23/06/1133062306.db2.gz USHISAAUNKAFCZ-NSHDSACASA-N 1 2 275.421 3.706 20 0 CHADLO CCN(Cc1ccncc1)c1[nH+]c(C)cc(Cl)c1C ZINC001163609282 1133162603 /nfs/dbraw/zinc/16/26/03/1133162603.db2.gz IKTHFQDTDQRQBT-UHFFFAOYSA-N 1 2 275.783 3.773 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(F)cnc2Br)c1 ZINC001203656592 1133348675 /nfs/dbraw/zinc/34/86/75/1133348675.db2.gz WIQIFHCFTPMFDB-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO F[C@@H]1C[N@@H+](CCc2ccc(Cl)cc2)CCC1(F)F ZINC001208737475 1133938631 /nfs/dbraw/zinc/93/86/31/1133938631.db2.gz ZIVXXAZDZLPJTP-GFCCVEGCSA-N 1 2 277.717 3.562 20 0 CHADLO COCc1ccc(Cl)c(Nc2cc(OC)cc[nH+]2)c1 ZINC001215594611 1134649469 /nfs/dbraw/zinc/64/94/69/1134649469.db2.gz XEGGIUMNLRLZIJ-UHFFFAOYSA-N 1 2 278.739 3.634 20 0 CHADLO Cc1cc(C(=O)Nc2ccccc2-n2cc[nH+]c2)sc1C ZINC000052909585 1125520480 /nfs/dbraw/zinc/52/04/80/1125520480.db2.gz ZOSZMXLCVCWHMC-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO Cc1csc(C[N@@H+](C)[C@H](C)c2ccc(F)cc2)n1 ZINC000118403352 1125522887 /nfs/dbraw/zinc/52/28/87/1125522887.db2.gz UPDFBXUOZDRPPJ-LLVKDONJSA-N 1 2 264.369 3.784 20 0 CHADLO Cc1csc(C[N@H+](C)[C@H](C)c2ccc(F)cc2)n1 ZINC000118403352 1125522891 /nfs/dbraw/zinc/52/28/91/1125522891.db2.gz UPDFBXUOZDRPPJ-LLVKDONJSA-N 1 2 264.369 3.784 20 0 CHADLO CCc1ccsc1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000056014006 1125535030 /nfs/dbraw/zinc/53/50/30/1125535030.db2.gz LDVVWZIHBKAKFU-UHFFFAOYSA-N 1 2 297.383 3.749 20 0 CHADLO COc1ccnc([C@H](C)[NH2+]Cc2nc(C(C)C)cs2)c1 ZINC000925283645 1125545726 /nfs/dbraw/zinc/54/57/26/1125545726.db2.gz SPWAMPCQDUDQQH-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ccc(F)c(C[NH+](C)C)c2)o1 ZINC000120974545 1125553438 /nfs/dbraw/zinc/55/34/38/1125553438.db2.gz JWNHREQSORMAAP-ZDUSSCGKSA-N 1 2 290.382 3.640 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+]Cc3ccc(C)cn3)co2)cc1 ZINC000134381800 1125560547 /nfs/dbraw/zinc/56/05/47/1125560547.db2.gz KQJBDZQNRQYLBW-UHFFFAOYSA-N 1 2 293.370 3.643 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)no1 ZINC000245480548 1125572498 /nfs/dbraw/zinc/57/24/98/1125572498.db2.gz XKIGCDSYNJGOMM-QWRGUYRKSA-N 1 2 293.798 3.655 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)[C@H](C)c2ccc(Cl)cc2)no1 ZINC000245480548 1125572508 /nfs/dbraw/zinc/57/25/08/1125572508.db2.gz XKIGCDSYNJGOMM-QWRGUYRKSA-N 1 2 293.798 3.655 20 0 CHADLO Clc1ccc(C2([NH2+]Cc3cscn3)CC2)cc1 ZINC000069878463 1125630918 /nfs/dbraw/zinc/63/09/18/1125630918.db2.gz RGMSQVCMCVCDKH-UHFFFAOYSA-N 1 2 264.781 3.575 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2CCSC(F)(F)F)cs1 ZINC000886142853 1125655630 /nfs/dbraw/zinc/65/56/30/1125655630.db2.gz MODXUBFVCODMGQ-SNVBAGLBSA-N 1 2 296.383 3.841 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2CCSC(F)(F)F)cs1 ZINC000886142853 1125655632 /nfs/dbraw/zinc/65/56/32/1125655632.db2.gz MODXUBFVCODMGQ-SNVBAGLBSA-N 1 2 296.383 3.841 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OCC(F)F)c1cscn1 ZINC000886158432 1125657269 /nfs/dbraw/zinc/65/72/69/1125657269.db2.gz ZCUHFUAPXWRQDY-JTQLQIEISA-N 1 2 298.358 3.638 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1ccc(Cl)cn1 ZINC000886188174 1125659731 /nfs/dbraw/zinc/65/97/31/1125659731.db2.gz MLWYBRPRYYIZOV-CVEARBPZSA-N 1 2 290.769 3.850 20 0 CHADLO Cc1cn2cccc(Nc3cc4ccccc4n3C)c2[nH+]1 ZINC001212043459 1125660628 /nfs/dbraw/zinc/66/06/28/1125660628.db2.gz WDAVRCGOBZHHIF-UHFFFAOYSA-N 1 2 276.343 3.878 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(OC(F)F)c3)ccc21 ZINC001212062579 1125678643 /nfs/dbraw/zinc/67/86/43/1125678643.db2.gz CTOHDBPCWIQXID-UHFFFAOYSA-N 1 2 289.285 3.918 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ncc(Cl)n2C)[C@@H]1c1ccccc1 ZINC000886545279 1125688549 /nfs/dbraw/zinc/68/85/49/1125688549.db2.gz KDUKWZRNFYFINY-LRDDRELGSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ncc(Cl)n2C)[C@@H]1c1ccccc1 ZINC000886545279 1125688551 /nfs/dbraw/zinc/68/85/51/1125688551.db2.gz KDUKWZRNFYFINY-LRDDRELGSA-N 1 2 289.810 3.657 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](C)[C@H]2c2ccccc2)no1 ZINC000886544839 1125688678 /nfs/dbraw/zinc/68/86/78/1125688678.db2.gz BOEABULJPWBGLS-BBRMVZONSA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](C)[C@H]2c2ccccc2)no1 ZINC000886544839 1125688682 /nfs/dbraw/zinc/68/86/82/1125688682.db2.gz BOEABULJPWBGLS-BBRMVZONSA-N 1 2 285.391 3.776 20 0 CHADLO CO[C@@H]1CCC[C@H](Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000886584595 1125694386 /nfs/dbraw/zinc/69/43/86/1125694386.db2.gz RUPUMCDPARILBY-WMLDXEAASA-N 1 2 285.391 3.632 20 0 CHADLO Cc1cc(C)c(Nc2ccc3cc[nH]c(=O)c3c2)c[nH+]1 ZINC001213954055 1125725209 /nfs/dbraw/zinc/72/52/09/1125725209.db2.gz OUHZEEKSLCWYJY-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Clc1ccncc1C[N@@H+]1CCCC[C@H]1c1cccnc1 ZINC001137235246 1125729423 /nfs/dbraw/zinc/72/94/23/1125729423.db2.gz HXXGMHXHKFXNNR-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1ccncc1C[N@H+]1CCCC[C@H]1c1cccnc1 ZINC001137235246 1125729425 /nfs/dbraw/zinc/72/94/25/1125729425.db2.gz HXXGMHXHKFXNNR-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO CC(C)(C)c1csc(CSCCn2cc[nH+]c2)n1 ZINC000090202224 1125740478 /nfs/dbraw/zinc/74/04/78/1125740478.db2.gz UXOJUEAXHBUAQF-UHFFFAOYSA-N 1 2 281.450 3.571 20 0 CHADLO C[C@H](SCCn1cc[nH+]c1)c1ccc(F)c(F)c1 ZINC000090200682 1125741054 /nfs/dbraw/zinc/74/10/54/1125741054.db2.gz OBNBVDPHRVJIKR-JTQLQIEISA-N 1 2 268.332 3.656 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2cnccc2Cl)cn1 ZINC001137237971 1125755919 /nfs/dbraw/zinc/75/59/19/1125755919.db2.gz MPNRXAPFXHXGJK-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2cnccc2Cl)cn1 ZINC001137237971 1125755925 /nfs/dbraw/zinc/75/59/25/1125755925.db2.gz MPNRXAPFXHXGJK-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(C[N@H+]2CC=C(c3cccc(C)c3)CC2)no1 ZINC000092822185 1125762451 /nfs/dbraw/zinc/76/24/51/1125762451.db2.gz RRFDFOONCSBGDK-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO Cc1cc(C[N@@H+]2CC=C(c3cccc(C)c3)CC2)no1 ZINC000092822185 1125762458 /nfs/dbraw/zinc/76/24/58/1125762458.db2.gz RRFDFOONCSBGDK-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3ncc(C)o3)CC2)cc1 ZINC000093262921 1125766665 /nfs/dbraw/zinc/76/66/65/1125766665.db2.gz GGVRULDQNBERGV-UHFFFAOYSA-N 1 2 282.387 3.835 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3ncc(C)o3)CC2)cc1 ZINC000093262921 1125766674 /nfs/dbraw/zinc/76/66/74/1125766674.db2.gz GGVRULDQNBERGV-UHFFFAOYSA-N 1 2 282.387 3.835 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2nccn2C(F)F)c(C)c1 ZINC000121737907 1125778855 /nfs/dbraw/zinc/77/88/55/1125778855.db2.gz MOPVOFZIOCYCNH-UHFFFAOYSA-N 1 2 293.361 3.835 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2nccn2C(F)F)c(C)c1 ZINC000121737907 1125778861 /nfs/dbraw/zinc/77/88/61/1125778861.db2.gz MOPVOFZIOCYCNH-UHFFFAOYSA-N 1 2 293.361 3.835 20 0 CHADLO CC1=CC[N@H+](Cc2cc(F)ccc2Br)CC1 ZINC000533762548 1125779247 /nfs/dbraw/zinc/77/92/47/1125779247.db2.gz YJLYDZOCGPUOIZ-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CC[N@@H+](Cc2cc(F)ccc2Br)CC1 ZINC000533762548 1125779253 /nfs/dbraw/zinc/77/92/53/1125779253.db2.gz YJLYDZOCGPUOIZ-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CCCc1nc(C[NH2+][C@H](C)c2cnc(C)s2)cs1 ZINC000639995111 1129343950 /nfs/dbraw/zinc/34/39/50/1129343950.db2.gz RBQRLWSECFILTI-SECBINFHSA-N 1 2 281.450 3.711 20 0 CHADLO CC1(c2ccccc2)C[NH+](Cc2csc(C3CC3)n2)C1 ZINC000621734627 1129188711 /nfs/dbraw/zinc/18/87/11/1129188711.db2.gz RENSNHHBAPXSPI-UHFFFAOYSA-N 1 2 284.428 3.794 20 0 CHADLO CCc1nocc1C[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000647970334 1129590054 /nfs/dbraw/zinc/59/00/54/1129590054.db2.gz MQDADWCDKWCAAV-VIFPVBQESA-N 1 2 282.746 3.880 20 0 CHADLO CCCC[C@@H](C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)C(C)C ZINC000621853076 1129199926 /nfs/dbraw/zinc/19/99/26/1129199926.db2.gz SFNPBISKISQXFS-LSDHHAIUSA-N 1 2 291.439 3.578 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cccc(Cl)n2)c1 ZINC000769910355 1129929695 /nfs/dbraw/zinc/92/96/95/1129929695.db2.gz TZBNSBVTOBVAPT-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cccc(Cl)n2)c1 ZINC000769910355 1129929697 /nfs/dbraw/zinc/92/96/97/1129929697.db2.gz TZBNSBVTOBVAPT-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CCCC[C@H](C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1)C(C)C ZINC000621854655 1129200098 /nfs/dbraw/zinc/20/00/98/1129200098.db2.gz CKNSBTIRLVVASB-CVEARBPZSA-N 1 2 291.439 3.509 20 0 CHADLO CC[C@@H]([NH2+]Cc1cn(C)cn1)c1ccc(Cl)cc1Cl ZINC000901683562 1129944080 /nfs/dbraw/zinc/94/40/80/1129944080.db2.gz OFHZEKUXKLGLBV-CQSZACIVSA-N 1 2 298.217 3.968 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccc(F)cc1Cl)c1ccco1 ZINC000136271186 1126724524 /nfs/dbraw/zinc/72/45/24/1126724524.db2.gz VVTCSHNPXUXNCU-CYBMUJFWSA-N 1 2 283.730 3.549 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc(F)cc1Cl)c1ccc(C)o1 ZINC000136270651 1126724863 /nfs/dbraw/zinc/72/48/63/1126724863.db2.gz VXIPBQJBKHQLFS-AWEZNQCLSA-N 1 2 297.757 3.858 20 0 CHADLO F[C@]1(c2cccc(Cl)c2)CC[N@H+](Cc2cccnc2)C1 ZINC000338367215 1126738753 /nfs/dbraw/zinc/73/87/53/1126738753.db2.gz AOVFLOMYSLBENX-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@]1(c2cccc(Cl)c2)CC[N@@H+](Cc2cccnc2)C1 ZINC000338367215 1126738758 /nfs/dbraw/zinc/73/87/58/1126738758.db2.gz AOVFLOMYSLBENX-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@@H](C)c1oc2ccccc2c1C ZINC000924773065 1126739880 /nfs/dbraw/zinc/73/98/80/1126739880.db2.gz LRDPNBGAVMTUOP-PWSUYJOCSA-N 1 2 285.347 3.844 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[NH+]1CC2(C1)CC(F)(F)C2 ZINC000677730121 1130325465 /nfs/dbraw/zinc/32/54/65/1130325465.db2.gz BYOQVLQALGNQPZ-SECBINFHSA-N 1 2 273.273 3.757 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1cc[nH]n1)c1ccc(F)cc1F ZINC000150864409 1126746726 /nfs/dbraw/zinc/74/67/26/1126746726.db2.gz FDHRQWIBPQOZAI-AWEZNQCLSA-N 1 2 279.334 3.565 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](C)Cc2ccc3ccccc3c2)[nH]1 ZINC000659820515 1126757389 /nfs/dbraw/zinc/75/73/89/1126757389.db2.gz HKQKXLREUPQTJP-CYBMUJFWSA-N 1 2 294.402 3.713 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)[nH]1 ZINC000659820515 1126757391 /nfs/dbraw/zinc/75/73/91/1126757391.db2.gz HKQKXLREUPQTJP-CYBMUJFWSA-N 1 2 294.402 3.713 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2cn3ccc(C)cc3n2)o1 ZINC000482489823 1126759831 /nfs/dbraw/zinc/75/98/31/1126759831.db2.gz VVKXAIVKHBXCDV-ZDUSSCGKSA-N 1 2 283.375 3.649 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2F)[N@H+](Cc2cscn2)C1 ZINC000174043561 1126766029 /nfs/dbraw/zinc/76/60/29/1126766029.db2.gz IOPNMICKOOQINU-NHYWBVRUSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2F)[N@@H+](Cc2cscn2)C1 ZINC000174043561 1126766031 /nfs/dbraw/zinc/76/60/31/1126766031.db2.gz IOPNMICKOOQINU-NHYWBVRUSA-N 1 2 276.380 3.865 20 0 CHADLO Clc1sccc1C[N@@H+]1CCOC2(CCCCC2)C1 ZINC000483277326 1126777551 /nfs/dbraw/zinc/77/75/51/1126777551.db2.gz DKGZLSINUYDRGF-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Clc1sccc1C[N@H+]1CCOC2(CCCCC2)C1 ZINC000483277326 1126777554 /nfs/dbraw/zinc/77/75/54/1126777554.db2.gz DKGZLSINUYDRGF-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1cccnc1Cl ZINC000175114067 1126777792 /nfs/dbraw/zinc/77/77/92/1126777792.db2.gz JEGAVTQEQLNBDR-OAHLLOKOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1cccnc1Cl ZINC000175114067 1126777795 /nfs/dbraw/zinc/77/77/95/1126777795.db2.gz JEGAVTQEQLNBDR-OAHLLOKOSA-N 1 2 289.810 3.801 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(F)c(F)c2)sc1C ZINC000176323750 1126790353 /nfs/dbraw/zinc/79/03/53/1126790353.db2.gz UNZIFJGLQOIKOX-UHFFFAOYSA-N 1 2 282.359 3.670 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(F)c(F)c2)sc1C ZINC000176323750 1126790358 /nfs/dbraw/zinc/79/03/58/1126790358.db2.gz UNZIFJGLQOIKOX-UHFFFAOYSA-N 1 2 282.359 3.670 20 0 CHADLO CCS[C@H](C)c1noc([C@H]2CC[N@@H+]2C2CCCC2)n1 ZINC000923951735 1126792771 /nfs/dbraw/zinc/79/27/71/1126792771.db2.gz AQKLIAWMJRQNEK-ZYHUDNBSSA-N 1 2 281.425 3.573 20 0 CHADLO CCS[C@H](C)c1noc([C@H]2CC[N@H+]2C2CCCC2)n1 ZINC000923951735 1126792777 /nfs/dbraw/zinc/79/27/77/1126792777.db2.gz AQKLIAWMJRQNEK-ZYHUDNBSSA-N 1 2 281.425 3.573 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCCC2(C)C)c(C)[nH+]1 ZINC000483963417 1126806928 /nfs/dbraw/zinc/80/69/28/1126806928.db2.gz SRDIVJVERXEYAQ-CYBMUJFWSA-N 1 2 260.381 3.772 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1C[C@H](C)[C@H]1c1ccccc1 ZINC000177824037 1126805254 /nfs/dbraw/zinc/80/52/54/1126805254.db2.gz IHEOGINJMZIXDU-YOEHRIQHSA-N 1 2 269.392 3.657 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1C[C@H](C)[C@H]1c1ccccc1 ZINC000177824037 1126805258 /nfs/dbraw/zinc/80/52/58/1126805258.db2.gz IHEOGINJMZIXDU-YOEHRIQHSA-N 1 2 269.392 3.657 20 0 CHADLO C[N@H+](Cc1nccn1C(F)F)[C@@H]1CCc2ccc(F)cc21 ZINC000177821988 1126805458 /nfs/dbraw/zinc/80/54/58/1126805458.db2.gz VWXLMIHMVFURQK-CYBMUJFWSA-N 1 2 295.308 3.537 20 0 CHADLO C[N@@H+](Cc1nccn1C(F)F)[C@@H]1CCc2ccc(F)cc21 ZINC000177821988 1126805460 /nfs/dbraw/zinc/80/54/60/1126805460.db2.gz VWXLMIHMVFURQK-CYBMUJFWSA-N 1 2 295.308 3.537 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H](OC(F)F)C2)ccc1Cl ZINC000679740722 1130554517 /nfs/dbraw/zinc/55/45/17/1130554517.db2.gz PRYLWYJADDWORC-SNVBAGLBSA-N 1 2 293.716 3.683 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H](OC(F)F)C2)ccc1Cl ZINC000679740722 1130554525 /nfs/dbraw/zinc/55/45/25/1130554525.db2.gz PRYLWYJADDWORC-SNVBAGLBSA-N 1 2 293.716 3.683 20 0 CHADLO Cc1cc(C)c(C(=O)N(CC(F)F)CC2CCC2)c(C)[nH+]1 ZINC000904076480 1126826422 /nfs/dbraw/zinc/82/64/22/1126826422.db2.gz SXCWBNMUSPZYBR-UHFFFAOYSA-N 1 2 296.361 3.514 20 0 CHADLO CC(C)n1cc(C[N@H+](C)Cc2ccccc2Cl)cn1 ZINC000179616493 1126830580 /nfs/dbraw/zinc/83/05/80/1126830580.db2.gz LSYNTHIRIPWYOH-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO CC(C)n1cc(C[N@@H+](C)Cc2ccccc2Cl)cn1 ZINC000179616493 1126830582 /nfs/dbraw/zinc/83/05/82/1126830582.db2.gz LSYNTHIRIPWYOH-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO Oc1cc(C[NH+]2CCC(F)(F)CC2)cc(C(F)(F)F)c1 ZINC000811499729 1130617917 /nfs/dbraw/zinc/61/79/17/1130617917.db2.gz RUMIUTUMWMAIPL-UHFFFAOYSA-N 1 2 295.251 3.642 20 0 CHADLO CC[C@@H](CC(C)C)c1nc(-c2ccc(N)[nH+]c2C)no1 ZINC000904263226 1126840349 /nfs/dbraw/zinc/84/03/49/1126840349.db2.gz UTCJEZYPCHEIBB-NSHDSACASA-N 1 2 274.368 3.562 20 0 CHADLO CCCCS[C@H](C)c1nc(-c2ccc(N)[nH+]c2C)no1 ZINC000904258293 1126841271 /nfs/dbraw/zinc/84/12/71/1126841271.db2.gz KYWRXKBPGJFYEK-SNVBAGLBSA-N 1 2 292.408 3.617 20 0 CHADLO Cc1nn(C)cc1[C@@H](C)[NH2+][C@H](C)c1csc(C(C)C)n1 ZINC000181016998 1126851159 /nfs/dbraw/zinc/85/11/59/1126851159.db2.gz MWDQCEQNYOXPLX-ZYHUDNBSSA-N 1 2 292.452 3.720 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](C)c2ccccn2)cs1 ZINC000181810579 1126861921 /nfs/dbraw/zinc/86/19/21/1126861921.db2.gz NSHDVLQHODFYEW-LBPRGKRZSA-N 1 2 275.421 3.732 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccccc1 ZINC000181781913 1126860664 /nfs/dbraw/zinc/86/06/64/1126860664.db2.gz CBCUXWVHMVTQES-AWEZNQCLSA-N 1 2 287.407 3.998 20 0 CHADLO CCCCC[C@@H]([NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC000181747956 1126860714 /nfs/dbraw/zinc/86/07/14/1126860714.db2.gz GLTREYYSIATVIQ-OAHLLOKOSA-N 1 2 273.380 3.789 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1cccnc1Cl ZINC000182492067 1126872905 /nfs/dbraw/zinc/87/29/05/1126872905.db2.gz QEDZZJUEKQXUAD-LLVKDONJSA-N 1 2 275.783 3.974 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2nc(C(C)C)no2)c1C ZINC000183713507 1126891005 /nfs/dbraw/zinc/89/10/05/1126891005.db2.gz ANMIGLVKJCJBKJ-ZDUSSCGKSA-N 1 2 273.380 3.661 20 0 CHADLO CCc1ccc(C[N@@H+]2CCC[C@H]2c2csc(C)n2)o1 ZINC000189919622 1126935894 /nfs/dbraw/zinc/93/58/94/1126935894.db2.gz YMYXFPBXWMICAV-HNNXBMFYSA-N 1 2 276.405 3.944 20 0 CHADLO CCc1ccc(C[N@H+]2CCC[C@H]2c2csc(C)n2)o1 ZINC000189919622 1126935895 /nfs/dbraw/zinc/93/58/95/1126935895.db2.gz YMYXFPBXWMICAV-HNNXBMFYSA-N 1 2 276.405 3.944 20 0 CHADLO Cc1noc(C)c1-c1ccc2[nH+]cc(C(C)(C)C)n2c1 ZINC000906164487 1126937286 /nfs/dbraw/zinc/93/72/86/1126937286.db2.gz KRKPRFCTNRDHKI-UHFFFAOYSA-N 1 2 269.348 3.904 20 0 CHADLO Cn1ncc(Cl)c1C[N@@H+]1CCC[C@@H]1c1cccc(F)c1 ZINC000189662821 1126933892 /nfs/dbraw/zinc/93/38/92/1126933892.db2.gz VVRVHDCDFQLKIL-CQSZACIVSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1ncc(Cl)c1C[N@H+]1CCC[C@@H]1c1cccc(F)c1 ZINC000189662821 1126933893 /nfs/dbraw/zinc/93/38/93/1126933893.db2.gz VVRVHDCDFQLKIL-CQSZACIVSA-N 1 2 293.773 3.550 20 0 CHADLO Fc1ccc(Cl)cc1CSCCn1cc[nH+]c1 ZINC000190189326 1126940215 /nfs/dbraw/zinc/94/02/15/1126940215.db2.gz YTWGDRBUWYYFOS-UHFFFAOYSA-N 1 2 270.760 3.609 20 0 CHADLO COc1ccc(CSC)cc1-c1c[nH+]c2n1CCCC2 ZINC000906195345 1126940695 /nfs/dbraw/zinc/94/06/95/1126940695.db2.gz ZJKNBKRQIZNZPK-UHFFFAOYSA-N 1 2 288.416 3.758 20 0 CHADLO CC(C)c1ccc(C[N@H+](Cc2cocn2)C2CC2)cc1 ZINC000191923124 1126956760 /nfs/dbraw/zinc/95/67/60/1126956760.db2.gz AIDJQOCVEJLTLA-UHFFFAOYSA-N 1 2 270.376 3.963 20 0 CHADLO CC(C)c1ccc(C[N@@H+](Cc2cocn2)C2CC2)cc1 ZINC000191923124 1126956763 /nfs/dbraw/zinc/95/67/63/1126956763.db2.gz AIDJQOCVEJLTLA-UHFFFAOYSA-N 1 2 270.376 3.963 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2cocn2)o1 ZINC000191949304 1126956999 /nfs/dbraw/zinc/95/69/99/1126956999.db2.gz JCSVNGIEQCNUGP-RISCZKNCSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2cocn2)o1 ZINC000191949304 1126957001 /nfs/dbraw/zinc/95/70/01/1126957001.db2.gz JCSVNGIEQCNUGP-RISCZKNCSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)C[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000906529600 1126957974 /nfs/dbraw/zinc/95/79/74/1126957974.db2.gz BWXLLFJXLTWVBJ-AWEZNQCLSA-N 1 2 296.414 3.883 20 0 CHADLO FC(F)(F)c1cccc(C2([NH2+]Cc3cocn3)CCC2)c1 ZINC000192319354 1126960306 /nfs/dbraw/zinc/96/03/06/1126960306.db2.gz FXWHPCHKJGJHFR-UHFFFAOYSA-N 1 2 296.292 3.862 20 0 CHADLO C[C@H]1CO[C@@H](c2ccccc2Cl)CN1c1cccc[nH+]1 ZINC000192660769 1126962943 /nfs/dbraw/zinc/96/29/43/1126962943.db2.gz FDVISFZLRITBKS-SWLSCSKDSA-N 1 2 288.778 3.701 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@H]2CSc3ccccc32)c(C)[nH+]1 ZINC000906730141 1126963106 /nfs/dbraw/zinc/96/31/06/1126963106.db2.gz AFAZISHDZAYCFO-AWEZNQCLSA-N 1 2 298.411 3.584 20 0 CHADLO C[N@H+](Cc1csc(Cl)c1Cl)CC1=CCCOC1 ZINC000668568690 1126964182 /nfs/dbraw/zinc/96/41/82/1126964182.db2.gz JQHJOUKTFFKOJW-UHFFFAOYSA-N 1 2 292.231 3.833 20 0 CHADLO C[N@@H+](Cc1csc(Cl)c1Cl)CC1=CCCOC1 ZINC000668568690 1126964187 /nfs/dbraw/zinc/96/41/87/1126964187.db2.gz JQHJOUKTFFKOJW-UHFFFAOYSA-N 1 2 292.231 3.833 20 0 CHADLO Cc1cc(OCC[C@@H]2CCCCO2)c2ccccc2[nH+]1 ZINC000486188141 1126974229 /nfs/dbraw/zinc/97/42/29/1126974229.db2.gz DNRLNURDZWEQLD-AWEZNQCLSA-N 1 2 271.360 3.881 20 0 CHADLO CCOc1cc(C[N@H+](CC)Cc2cccs2)c(F)cn1 ZINC001238733401 1131239178 /nfs/dbraw/zinc/23/91/78/1131239178.db2.gz ZKMAHWWSMXAUCP-UHFFFAOYSA-N 1 2 294.395 3.703 20 0 CHADLO CCOc1cc(C[N@@H+](CC)Cc2cccs2)c(F)cn1 ZINC001238733401 1131239182 /nfs/dbraw/zinc/23/91/82/1131239182.db2.gz ZKMAHWWSMXAUCP-UHFFFAOYSA-N 1 2 294.395 3.703 20 0 CHADLO CCCc1noc(C[N@H+]([C@H](C)c2ccccc2)C2CC2)n1 ZINC000266757714 1126987580 /nfs/dbraw/zinc/98/75/80/1126987580.db2.gz SDYUNQSOUHVVLL-CYBMUJFWSA-N 1 2 285.391 3.748 20 0 CHADLO CCCc1noc(C[N@@H+]([C@H](C)c2ccccc2)C2CC2)n1 ZINC000266757714 1126987584 /nfs/dbraw/zinc/98/75/84/1126987584.db2.gz SDYUNQSOUHVVLL-CYBMUJFWSA-N 1 2 285.391 3.748 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)N(c3cccc[nH+]3)C2)cc1 ZINC000486493419 1126985515 /nfs/dbraw/zinc/98/55/15/1126985515.db2.gz BPINEWPRGCXRBQ-GDBMZVCRSA-N 1 2 252.361 3.772 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1nc2c(s1)CCCC2 ZINC000267011987 1126991883 /nfs/dbraw/zinc/99/18/83/1126991883.db2.gz MVQKOYJEGMMELV-GFCCVEGCSA-N 1 2 287.432 3.610 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1nc2c(s1)CCCC2 ZINC000267011987 1126991885 /nfs/dbraw/zinc/99/18/85/1126991885.db2.gz MVQKOYJEGMMELV-GFCCVEGCSA-N 1 2 287.432 3.610 20 0 CHADLO CC(C)[N@H+](CCCOCCc1ccccc1)CC(F)F ZINC000486782370 1127001727 /nfs/dbraw/zinc/00/17/27/1127001727.db2.gz VMJNZHMVPVNLRH-UHFFFAOYSA-N 1 2 285.378 3.611 20 0 CHADLO CC(C)[N@@H+](CCCOCCc1ccccc1)CC(F)F ZINC000486782370 1127001729 /nfs/dbraw/zinc/00/17/29/1127001729.db2.gz VMJNZHMVPVNLRH-UHFFFAOYSA-N 1 2 285.378 3.611 20 0 CHADLO C[C@H]1C[C@H](c2noc([C@@H]3C[C@H]3c3c[nH]c[nH+]3)n2)C[C@@H](C)C1 ZINC000923959161 1127010823 /nfs/dbraw/zinc/01/08/23/1127010823.db2.gz HGASRRJNRYNPAL-KSSYENDESA-N 1 2 286.379 3.604 20 0 CHADLO C[N@H+](Cc1ccn(C2CCCC2)n1)Cc1cccc(F)c1 ZINC000268683415 1127021136 /nfs/dbraw/zinc/02/11/36/1127021136.db2.gz MVNVGLHHHKUQHD-UHFFFAOYSA-N 1 2 287.382 3.769 20 0 CHADLO C[N@@H+](Cc1ccn(C2CCCC2)n1)Cc1cccc(F)c1 ZINC000268683415 1127021140 /nfs/dbraw/zinc/02/11/40/1127021140.db2.gz MVNVGLHHHKUQHD-UHFFFAOYSA-N 1 2 287.382 3.769 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2cn3cc(C)ccc3n2)o1 ZINC000268956041 1127029856 /nfs/dbraw/zinc/02/98/56/1127029856.db2.gz YRPVVOFSSXYXMC-ZDUSSCGKSA-N 1 2 283.375 3.649 20 0 CHADLO CCC[N@H+](Cc1nc(C(C)C)no1)Cc1ccccc1 ZINC000042299133 1127037349 /nfs/dbraw/zinc/03/73/49/1127037349.db2.gz GUUQBYFCVFKCEH-UHFFFAOYSA-N 1 2 273.380 3.605 20 0 CHADLO CCC[N@@H+](Cc1nc(C(C)C)no1)Cc1ccccc1 ZINC000042299133 1127037353 /nfs/dbraw/zinc/03/73/53/1127037353.db2.gz GUUQBYFCVFKCEH-UHFFFAOYSA-N 1 2 273.380 3.605 20 0 CHADLO CC[N@H+](Cc1ncc(C2CC2)o1)[C@H](C)c1cccc(O)c1 ZINC000270467416 1127055586 /nfs/dbraw/zinc/05/55/86/1127055586.db2.gz BARXRIRQBSQVCE-GFCCVEGCSA-N 1 2 286.375 3.841 20 0 CHADLO CC[N@@H+](Cc1ncc(C2CC2)o1)[C@H](C)c1cccc(O)c1 ZINC000270467416 1127055592 /nfs/dbraw/zinc/05/55/92/1127055592.db2.gz BARXRIRQBSQVCE-GFCCVEGCSA-N 1 2 286.375 3.841 20 0 CHADLO COc1cccc([C@@H]2CCC[N@@H+]2Cc2ncc(C3CC3)o2)c1 ZINC000270687631 1127061243 /nfs/dbraw/zinc/06/12/43/1127061243.db2.gz ZSDLNMXGJOZGQV-INIZCTEOSA-N 1 2 298.386 3.898 20 0 CHADLO COc1cccc([C@@H]2CCC[N@H+]2Cc2ncc(C3CC3)o2)c1 ZINC000270687631 1127061245 /nfs/dbraw/zinc/06/12/45/1127061245.db2.gz ZSDLNMXGJOZGQV-INIZCTEOSA-N 1 2 298.386 3.898 20 0 CHADLO Cc1ccc2c(Nc3cnc4nccnc4c3)cccc2[nH+]1 ZINC001213225050 1127076021 /nfs/dbraw/zinc/07/60/21/1127076021.db2.gz HGQPYENOTHZIIR-UHFFFAOYSA-N 1 2 287.326 3.625 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2oc3ccccc3c2C)[nH]1 ZINC000271419512 1127079483 /nfs/dbraw/zinc/07/94/83/1127079483.db2.gz BSVZMBPHSLQPIX-NEPJUHHUSA-N 1 2 298.390 3.834 20 0 CHADLO CC(C)c1ccc(NC(=O)C[C@@H]([NH3+])C(F)F)c(C(C)C)c1 ZINC000912390900 1127098044 /nfs/dbraw/zinc/09/80/44/1127098044.db2.gz NJNBYRRGZDXYOI-CYBMUJFWSA-N 1 2 298.377 3.854 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CCC[C@@H]2c2cccs2)s1 ZINC000273974083 1127104615 /nfs/dbraw/zinc/10/46/15/1127104615.db2.gz GZWNBOSMGJONFP-GXSJLCMTSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CCC[C@@H]2c2cccs2)s1 ZINC000273974083 1127104616 /nfs/dbraw/zinc/10/46/16/1127104616.db2.gz GZWNBOSMGJONFP-GXSJLCMTSA-N 1 2 279.434 3.806 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[N@H+](C)Cc1cccs1 ZINC000274043247 1127106294 /nfs/dbraw/zinc/10/62/94/1127106294.db2.gz FWLACSRVWFZPGE-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[N@@H+](C)Cc1cccs1 ZINC000274043247 1127106297 /nfs/dbraw/zinc/10/62/97/1127106297.db2.gz FWLACSRVWFZPGE-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO Cc1cn2cc(NC(=O)c3cc(C)cc(C)c3)ccc2[nH+]1 ZINC000339126249 1127114452 /nfs/dbraw/zinc/11/44/52/1127114452.db2.gz VTEFXQLLTWTMNB-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1cn(C)nc1C(F)F ZINC000274440730 1127119342 /nfs/dbraw/zinc/11/93/42/1127119342.db2.gz BRXFFSZRDKVGNY-JTQLQIEISA-N 1 2 297.324 3.690 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1cn(C)nc1C(F)F ZINC000274440730 1127119345 /nfs/dbraw/zinc/11/93/45/1127119345.db2.gz BRXFFSZRDKVGNY-JTQLQIEISA-N 1 2 297.324 3.690 20 0 CHADLO Cc1cc(C)nc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC000913044353 1127120915 /nfs/dbraw/zinc/12/09/15/1127120915.db2.gz QTVXCRBNZBOZQA-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO C[C@@H]1CC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)[C@H](C)C1 ZINC000348837043 1127130117 /nfs/dbraw/zinc/13/01/17/1127130117.db2.gz ZNLBKKKPORXWQJ-FMKPAKJESA-N 1 2 284.407 3.563 20 0 CHADLO CCCC[C@H](CC)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348832932 1127130393 /nfs/dbraw/zinc/13/03/93/1127130393.db2.gz KOEALAZKLXZXCI-HNNXBMFYSA-N 1 2 272.396 3.707 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)CC(C)(C)C1 ZINC000348837431 1127130710 /nfs/dbraw/zinc/13/07/10/1127130710.db2.gz IEKVDFQQBCPESX-GDBMZVCRSA-N 1 2 298.434 3.953 20 0 CHADLO COc1cc[nH+]cc1NCc1cc2c(ccc(C)c2C)[nH]1 ZINC000349078137 1127138621 /nfs/dbraw/zinc/13/86/21/1127138621.db2.gz FTUULODQKWREFZ-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO CCCCCC[C@@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000913481757 1127134487 /nfs/dbraw/zinc/13/44/87/1127134487.db2.gz HHBZVNXGHKKFMR-OAHLLOKOSA-N 1 2 299.418 3.961 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC[C@H]2c2ccncc2)n1 ZINC000348937851 1127135344 /nfs/dbraw/zinc/13/53/44/1127135344.db2.gz WHWFKHBBWPLCBQ-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1csc(C[N@H+]2CCC[C@H]2c2ccncc2)n1 ZINC000348937851 1127135347 /nfs/dbraw/zinc/13/53/47/1127135347.db2.gz WHWFKHBBWPLCBQ-HNNXBMFYSA-N 1 2 287.432 3.828 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncc(C3CC3)o2)cc1C ZINC000349191199 1127142450 /nfs/dbraw/zinc/14/24/50/1127142450.db2.gz NYBRDRIXOPUZHP-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncc(C3CC3)o2)cc1C ZINC000349191199 1127142452 /nfs/dbraw/zinc/14/24/52/1127142452.db2.gz NYBRDRIXOPUZHP-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO CC(C)(CF)[NH2+]Cc1csc(-c2ccsc2)n1 ZINC000349828760 1127163522 /nfs/dbraw/zinc/16/35/22/1127163522.db2.gz MXWQBKQHYRWICT-UHFFFAOYSA-N 1 2 270.398 3.709 20 0 CHADLO CCC1(CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCCC1 ZINC000349984339 1127169792 /nfs/dbraw/zinc/16/97/92/1127169792.db2.gz NGZCXBIZJBBIMW-UHFFFAOYSA-N 1 2 297.402 3.573 20 0 CHADLO Cc1sccc1C[N@@H+]1CCO[C@@H](c2cccc(F)c2)C1 ZINC000351308553 1127187316 /nfs/dbraw/zinc/18/73/16/1127187316.db2.gz VOBBNLLQMJDAKE-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1sccc1C[N@H+]1CCO[C@@H](c2cccc(F)c2)C1 ZINC000351308553 1127187319 /nfs/dbraw/zinc/18/73/19/1127187319.db2.gz VOBBNLLQMJDAKE-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO C[C@H](CC(=O)Nc1ccn2cc[nH+]c2c1)C1CCCCC1 ZINC001274962054 1127209490 /nfs/dbraw/zinc/20/94/90/1127209490.db2.gz YDAKNRJXGMUIFK-CYBMUJFWSA-N 1 2 285.391 3.879 20 0 CHADLO Cc1nocc1C[N@@H+]1CCCC[C@@H]1c1ccc(F)cc1F ZINC000351806284 1127210264 /nfs/dbraw/zinc/21/02/64/1127210264.db2.gz DXBJMFKDWNMUBD-MRXNPFEDSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1nocc1C[N@H+]1CCCC[C@@H]1c1ccc(F)cc1F ZINC000351806284 1127210267 /nfs/dbraw/zinc/21/02/67/1127210267.db2.gz DXBJMFKDWNMUBD-MRXNPFEDSA-N 1 2 292.329 3.988 20 0 CHADLO CCc1cc(C[N@@H+]2C[C@@H](C)OC[C@H]2C)ccc1Cl ZINC001237739686 1131136709 /nfs/dbraw/zinc/13/67/09/1131136709.db2.gz GVHZWUJDASLCOB-VXGBXAGGSA-N 1 2 267.800 3.512 20 0 CHADLO CCc1cc(C[N@H+]2C[C@@H](C)OC[C@H]2C)ccc1Cl ZINC001237739686 1131136710 /nfs/dbraw/zinc/13/67/10/1131136710.db2.gz GVHZWUJDASLCOB-VXGBXAGGSA-N 1 2 267.800 3.512 20 0 CHADLO FCCCC[N@@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000285448134 1127228753 /nfs/dbraw/zinc/22/87/53/1127228753.db2.gz XSTJKRJRWGYRBX-AWEZNQCLSA-N 1 2 289.753 3.602 20 0 CHADLO FCCCC[N@H+]1CCO[C@H](c2ccc(F)cc2Cl)C1 ZINC000285448134 1127228754 /nfs/dbraw/zinc/22/87/54/1127228754.db2.gz XSTJKRJRWGYRBX-AWEZNQCLSA-N 1 2 289.753 3.602 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2CCC=C(c3ccco3)C2)n1 ZINC000285458395 1127229166 /nfs/dbraw/zinc/22/91/66/1127229166.db2.gz ZASWGDXDWFWTGQ-GFCCVEGCSA-N 1 2 287.363 3.636 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2CCC=C(c3ccco3)C2)n1 ZINC000285458395 1127229169 /nfs/dbraw/zinc/22/91/69/1127229169.db2.gz ZASWGDXDWFWTGQ-GFCCVEGCSA-N 1 2 287.363 3.636 20 0 CHADLO CC[C@@H]([NH2+]Cc1n[nH]c2ccccc21)c1ccc(OC)cc1 ZINC000589634997 1127231352 /nfs/dbraw/zinc/23/13/52/1127231352.db2.gz DTYHOKNJQVCOTM-MRXNPFEDSA-N 1 2 295.386 3.812 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2ccc(C(F)F)cc2)n1 ZINC000285717221 1127241717 /nfs/dbraw/zinc/24/17/17/1127241717.db2.gz PWWRIPAEHMLVBX-ZDUSSCGKSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2ccc(C(F)F)cc2)n1 ZINC000285717221 1127241720 /nfs/dbraw/zinc/24/17/20/1127241720.db2.gz PWWRIPAEHMLVBX-ZDUSSCGKSA-N 1 2 293.317 3.653 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCC[C@@](C)(F)C2)cc1F ZINC001237952420 1131155052 /nfs/dbraw/zinc/15/50/52/1131155052.db2.gz WGBJUVMVLNPQQJ-OAHLLOKOSA-N 1 2 269.335 3.548 20 0 CHADLO CCOc1ccc(C[N@H+]2CCC[C@@](C)(F)C2)cc1F ZINC001237952420 1131155056 /nfs/dbraw/zinc/15/50/56/1131155056.db2.gz WGBJUVMVLNPQQJ-OAHLLOKOSA-N 1 2 269.335 3.548 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CC=CC[C@H]1C ZINC000285825246 1127243777 /nfs/dbraw/zinc/24/37/77/1127243777.db2.gz FORGQWOWDMSCKR-IUODEOHRSA-N 1 2 295.386 3.926 20 0 CHADLO O[C@H]1CC[C@H](Nc2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000286056258 1127257274 /nfs/dbraw/zinc/25/72/74/1127257274.db2.gz BEKLGDFWUAIDHW-XYPYZODXSA-N 1 2 276.767 3.604 20 0 CHADLO Cc1csc(C2([NH2+][C@H](C)c3cc(C)ccn3)CCC2)n1 ZINC000286255872 1127264078 /nfs/dbraw/zinc/26/40/78/1127264078.db2.gz UXSLDYURZDRSDI-CYBMUJFWSA-N 1 2 287.432 3.885 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CCO[C@H](CC)C2)c1 ZINC000590647132 1127266597 /nfs/dbraw/zinc/26/65/97/1127266597.db2.gz MTEBMADSBCMXIL-UONOGXRCSA-N 1 2 280.437 3.704 20 0 CHADLO Cc1sccc1C[N@@H+]1CCOc2c(F)cccc2C1 ZINC000353308999 1127267368 /nfs/dbraw/zinc/26/73/68/1127267368.db2.gz MGQYRFJGNHPQRC-UHFFFAOYSA-N 1 2 277.364 3.590 20 0 CHADLO Cc1sccc1C[N@H+]1CCOc2c(F)cccc2C1 ZINC000353308999 1127267371 /nfs/dbraw/zinc/26/73/71/1127267371.db2.gz MGQYRFJGNHPQRC-UHFFFAOYSA-N 1 2 277.364 3.590 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cc(F)ccc1F ZINC000057667819 1127272317 /nfs/dbraw/zinc/27/23/17/1127272317.db2.gz OPHLZLSTUQFUSG-UHFFFAOYSA-N 1 2 276.330 3.951 20 0 CHADLO C=C(Br)C[N@@H+]1CCc2sccc2[C@@H]1CC ZINC000057753288 1127277918 /nfs/dbraw/zinc/27/79/18/1127277918.db2.gz JPCCZSCWRQFGQC-NSHDSACASA-N 1 2 286.238 3.966 20 0 CHADLO C=C(Br)C[N@H+]1CCc2sccc2[C@@H]1CC ZINC000057753288 1127277920 /nfs/dbraw/zinc/27/79/20/1127277920.db2.gz JPCCZSCWRQFGQC-NSHDSACASA-N 1 2 286.238 3.966 20 0 CHADLO C[C@H]1[N@H+](Cc2cnc(C3CCCC3)s2)CCOC1(C)C ZINC000353910067 1127278139 /nfs/dbraw/zinc/27/81/39/1127278139.db2.gz FHMDUQJTIJVOLA-GFCCVEGCSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@H]1[N@@H+](Cc2cnc(C3CCCC3)s2)CCOC1(C)C ZINC000353910067 1127278142 /nfs/dbraw/zinc/27/81/42/1127278142.db2.gz FHMDUQJTIJVOLA-GFCCVEGCSA-N 1 2 294.464 3.800 20 0 CHADLO Cc1c(Cl)c(Br)ccc1C[NH+]1CC=CC1 ZINC001238215881 1131182403 /nfs/dbraw/zinc/18/24/03/1131182403.db2.gz OIUMMHXQZQZRQP-UHFFFAOYSA-N 1 2 286.600 3.783 20 0 CHADLO Cc1c(Cl)ccc(C[N@H+](C)Cc2ccon2)c1C ZINC001238219324 1131183976 /nfs/dbraw/zinc/18/39/76/1131183976.db2.gz QZUNTMFLVVHRRU-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1c(Cl)ccc(C[N@@H+](C)Cc2ccon2)c1C ZINC001238219324 1131183979 /nfs/dbraw/zinc/18/39/79/1131183979.db2.gz QZUNTMFLVVHRRU-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO CC(C)c1cc(C[N@@H+]2CCOC3(CC3)C2)ccc1Cl ZINC001238225273 1131184559 /nfs/dbraw/zinc/18/45/59/1131184559.db2.gz KZENSKOFRUEHHX-UHFFFAOYSA-N 1 2 279.811 3.828 20 0 CHADLO CC(C)c1cc(C[N@H+]2CCOC3(CC3)C2)ccc1Cl ZINC001238225273 1131184564 /nfs/dbraw/zinc/18/45/64/1131184564.db2.gz KZENSKOFRUEHHX-UHFFFAOYSA-N 1 2 279.811 3.828 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1cc(C)ccn1 ZINC000287369142 1127302359 /nfs/dbraw/zinc/30/23/59/1127302359.db2.gz HRAWWRWAGKWOFJ-GFCCVEGCSA-N 1 2 290.794 3.903 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cccn1CCC(C)C ZINC000354357622 1127304865 /nfs/dbraw/zinc/30/48/65/1127304865.db2.gz DGFGEIVNDBICEW-UHFFFAOYSA-N 1 2 289.423 3.717 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cccn1CCC(C)C ZINC000354357622 1127304867 /nfs/dbraw/zinc/30/48/67/1127304867.db2.gz DGFGEIVNDBICEW-UHFFFAOYSA-N 1 2 289.423 3.717 20 0 CHADLO C[C@H]1COCC[C@@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000287566357 1127309833 /nfs/dbraw/zinc/30/98/33/1127309833.db2.gz CWOCOMJAINHEGF-GWCFXTLKSA-N 1 2 276.767 3.725 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2C[C@@H](F)C[C@H]2C)ccc1F ZINC001238453737 1131202459 /nfs/dbraw/zinc/20/24/59/1131202459.db2.gz DPYMLGQSMAEBTC-YPMHNXCESA-N 1 2 269.335 3.545 20 0 CHADLO Fc1ccc2c(c1F)C[N@@H+](Cc1ccccc1)CC2 ZINC000355205360 1127325017 /nfs/dbraw/zinc/32/50/17/1127325017.db2.gz JQIBRGPOTLWHHR-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1ccc2c(c1F)C[N@H+](Cc1ccccc1)CC2 ZINC000355205360 1127325021 /nfs/dbraw/zinc/32/50/21/1127325021.db2.gz JQIBRGPOTLWHHR-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3ccc(F)c(F)c3C2)cc1 ZINC000355219669 1127326423 /nfs/dbraw/zinc/32/64/23/1127326423.db2.gz YSCZEUNBRYDAKC-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3ccc(F)c(F)c3C2)cc1 ZINC000355219669 1127326425 /nfs/dbraw/zinc/32/64/25/1127326425.db2.gz YSCZEUNBRYDAKC-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[C@@H]1c2cc(F)cc(F)c2CC[N@H+]1Cc1cccc(O)c1 ZINC000355267418 1127330026 /nfs/dbraw/zinc/33/00/26/1127330026.db2.gz CWOCSSGFGSGCJF-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H]1c2cc(F)cc(F)c2CC[N@@H+]1Cc1cccc(O)c1 ZINC000355267418 1127330029 /nfs/dbraw/zinc/33/00/29/1127330029.db2.gz CWOCSSGFGSGCJF-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO CC(C)CC1CC[NH+](Cc2nc3ccccc3c(=O)[nH]2)CC1 ZINC000760920236 1127331151 /nfs/dbraw/zinc/33/11/51/1127331151.db2.gz LZJLLLUNPQGJDE-UHFFFAOYSA-N 1 2 299.418 3.594 20 0 CHADLO Fc1cc(NC[C@@H]2CC=CCC2)ccc1-n1cc[nH+]c1 ZINC000355479381 1127343875 /nfs/dbraw/zinc/34/38/75/1127343875.db2.gz LSLZBYUZRXFLAM-CYBMUJFWSA-N 1 2 271.339 3.780 20 0 CHADLO Fc1cc(NCc2ccc(Cl)o2)ccc1-n1cc[nH+]c1 ZINC000355498123 1127345812 /nfs/dbraw/zinc/34/58/12/1127345812.db2.gz DYZCUYQSWIZXCP-UHFFFAOYSA-N 1 2 291.713 3.870 20 0 CHADLO CSCc1cnc(CNc2cc(C)c[nH+]c2C)s1 ZINC000593221577 1127379665 /nfs/dbraw/zinc/37/96/65/1127379665.db2.gz DNBDERWGMBUCDX-UHFFFAOYSA-N 1 2 279.434 3.630 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC=C(c3ccco3)C2)no1 ZINC000289320756 1127380887 /nfs/dbraw/zinc/38/08/87/1127380887.db2.gz CGMHRUJGQWJTDO-UHFFFAOYSA-N 1 2 286.375 3.854 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC=C(c3ccco3)C2)no1 ZINC000289320756 1127380890 /nfs/dbraw/zinc/38/08/90/1127380890.db2.gz CGMHRUJGQWJTDO-UHFFFAOYSA-N 1 2 286.375 3.854 20 0 CHADLO CC(C)(C)CCCC[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000289323800 1127381108 /nfs/dbraw/zinc/38/11/08/1127381108.db2.gz UXOHEDHGLCSVRL-OAHLLOKOSA-N 1 2 286.423 3.700 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](c2ccccc2)C2CCC2)no1 ZINC000289365565 1127382730 /nfs/dbraw/zinc/38/27/30/1127382730.db2.gz NOELUSHFMZVKHL-NHYWBVRUSA-N 1 2 271.364 3.570 20 0 CHADLO CCOCCC[N@@H+]1C[C@H](c2ccccc2Cl)OC[C@H]1C ZINC000357694762 1127393562 /nfs/dbraw/zinc/39/35/62/1127393562.db2.gz DDNGIPMLJLYYLM-CZUORRHYSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCC[N@H+]1C[C@H](c2ccccc2Cl)OC[C@H]1C ZINC000357694762 1127393567 /nfs/dbraw/zinc/39/35/67/1127393567.db2.gz DDNGIPMLJLYYLM-CZUORRHYSA-N 1 2 297.826 3.528 20 0 CHADLO CCCCc1nc(C[N@@H+]2CC[C@H](C(F)(F)F)C2)cs1 ZINC000761043195 1127407406 /nfs/dbraw/zinc/40/74/06/1127407406.db2.gz BJTBSQXEDNPHPF-JTQLQIEISA-N 1 2 292.370 3.870 20 0 CHADLO CCCCc1nc(C[N@H+]2CC[C@H](C(F)(F)F)C2)cs1 ZINC000761043195 1127407407 /nfs/dbraw/zinc/40/74/07/1127407407.db2.gz BJTBSQXEDNPHPF-JTQLQIEISA-N 1 2 292.370 3.870 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@H](C)c1csc(C)n1 ZINC000594059170 1127408112 /nfs/dbraw/zinc/40/81/12/1127408112.db2.gz XFMVILMTEBDGNJ-LLVKDONJSA-N 1 2 276.405 3.619 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H]2CCc3cc(F)ccc32)s1 ZINC000358282757 1127412844 /nfs/dbraw/zinc/41/28/44/1127412844.db2.gz FWITZANJBQYXLL-CYBMUJFWSA-N 1 2 291.395 3.578 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2cccc(F)c2)s1 ZINC000358295202 1127413332 /nfs/dbraw/zinc/41/33/32/1127413332.db2.gz ZMKFWGZRVGPVHC-JTQLQIEISA-N 1 2 279.384 3.651 20 0 CHADLO COc1cccc([C@@H](C)[NH2+]Cc2nnc(C(C)C)s2)c1 ZINC000358302400 1127414387 /nfs/dbraw/zinc/41/43/87/1127414387.db2.gz QTRXEPBWNJMJBY-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO Cc1coc(SCc2c[nH+]cn2Cc2ccccc2)n1 ZINC000358510149 1127424777 /nfs/dbraw/zinc/42/47/77/1127424777.db2.gz BKYKIBLHBAEUCD-UHFFFAOYSA-N 1 2 285.372 3.520 20 0 CHADLO c1cnc2c(c1)CC[C@@H]2Nc1ccc([NH+]2CCCC2)cc1 ZINC000226810878 1127426190 /nfs/dbraw/zinc/42/61/90/1127426190.db2.gz PVLULDCSOPLZLO-KRWDZBQOSA-N 1 2 279.387 3.781 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1ccc(C(F)F)cc1 ZINC000358779087 1127434514 /nfs/dbraw/zinc/43/45/14/1127434514.db2.gz KUDDYOYNYHBHLP-UHFFFAOYSA-N 1 2 287.269 3.524 20 0 CHADLO Cc1cc(Nc2cc[nH+]c3ccc(Cl)cc23)cnc1F ZINC001212176041 1127430446 /nfs/dbraw/zinc/43/04/46/1127430446.db2.gz READACGSQLWWDN-UHFFFAOYSA-N 1 2 287.725 3.896 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@H+](Cc2ncc(C(C)(C)C)o2)C1 ZINC000358810087 1127435254 /nfs/dbraw/zinc/43/52/54/1127435254.db2.gz OGLPOXBVURJAOE-HIFRSBDPSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2ncc(C(C)(C)C)o2)C1 ZINC000358810087 1127435257 /nfs/dbraw/zinc/43/52/57/1127435257.db2.gz OGLPOXBVURJAOE-HIFRSBDPSA-N 1 2 299.418 3.950 20 0 CHADLO c1[nH+]cn(Cc2ccccc2)c1CSCC1CC1 ZINC000359181005 1127453273 /nfs/dbraw/zinc/45/32/73/1127453273.db2.gz XFOFWWUAURZHQJ-UHFFFAOYSA-N 1 2 258.390 3.575 20 0 CHADLO CCCCN(C(=O)CCc1[nH]cc[nH+]1)[C@H]1CCC[C@H](C)C1 ZINC000359320446 1127458695 /nfs/dbraw/zinc/45/86/95/1127458695.db2.gz DDHKAFMSKVKEQX-GJZGRUSLSA-N 1 2 291.439 3.550 20 0 CHADLO Cc1cc(N2CCCC3(CCC3)C2)nc(C(C)C)[nH+]1 ZINC000359712981 1127475023 /nfs/dbraw/zinc/47/50/23/1127475023.db2.gz ARRRXLVDBLHYJT-UHFFFAOYSA-N 1 2 259.397 3.679 20 0 CHADLO CCC[C@@H](Nc1cc(CC(=O)OC)cc[nH+]1)c1ccccc1 ZINC000596057138 1127481376 /nfs/dbraw/zinc/48/13/76/1127481376.db2.gz HHSFGRIGWDKELC-MRXNPFEDSA-N 1 2 298.386 3.750 20 0 CHADLO Cc1ccccc1C[NH2+][C@H](c1nnc[nH]1)C1CCCCC1 ZINC000293572690 1127505937 /nfs/dbraw/zinc/50/59/37/1127505937.db2.gz YDHJCSBNJBOXMQ-INIZCTEOSA-N 1 2 284.407 3.524 20 0 CHADLO C[C@H](C[NH2+][C@H](c1ncn[nH]1)C1CCCCC1)c1ccccc1 ZINC000293593068 1127507386 /nfs/dbraw/zinc/50/73/86/1127507386.db2.gz ZGZNPSKJGMTNTK-PBHICJAKSA-N 1 2 298.434 3.819 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(OC)ccc1F)c1nc(C)cs1 ZINC000293719401 1127515210 /nfs/dbraw/zinc/51/52/10/1127515210.db2.gz YJXQRKFQERWDLF-CQSZACIVSA-N 1 2 294.395 3.840 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc2cc[nH]c21)c1nc(C(C)(C)C)no1 ZINC000360924112 1127520744 /nfs/dbraw/zinc/52/07/44/1127520744.db2.gz GUQZANMTRWTZBG-LLVKDONJSA-N 1 2 298.390 3.699 20 0 CHADLO COc1cccc2c(NCCNc3ccccc3)cc[nH+]c12 ZINC000780545002 1127528362 /nfs/dbraw/zinc/52/83/62/1127528362.db2.gz KEWNQBCCUAYSLB-UHFFFAOYSA-N 1 2 293.370 3.767 20 0 CHADLO Cc1nc(N[C@@H]2CCC[C@H]2Cc2ccccc2)cc[nH+]1 ZINC000361060674 1127534712 /nfs/dbraw/zinc/53/47/12/1127534712.db2.gz NGDCDORACNKINQ-JKSUJKDBSA-N 1 2 267.376 3.608 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C)n1)c1ccccc1OC(F)F ZINC000361161933 1127543127 /nfs/dbraw/zinc/54/31/27/1127543127.db2.gz PEKSBVJTIALJRC-LBPRGKRZSA-N 1 2 293.317 3.950 20 0 CHADLO CC[N@H+](Cc1csc(Cl)c1Cl)[C@@H]1CCOC1 ZINC000361254394 1127550481 /nfs/dbraw/zinc/55/04/81/1127550481.db2.gz YABQXUCWSADTQK-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO CC[N@@H+](Cc1csc(Cl)c1Cl)[C@@H]1CCOC1 ZINC000361254394 1127550482 /nfs/dbraw/zinc/55/04/82/1127550482.db2.gz YABQXUCWSADTQK-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@H](C)c1nc(C)cs1 ZINC000361306740 1127555517 /nfs/dbraw/zinc/55/55/17/1127555517.db2.gz YBCYHVDJLSSOML-SNVBAGLBSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1ccccc1[C@H](CC(F)(F)F)[NH2+]Cc1cnc[nH]1 ZINC000361337442 1127558027 /nfs/dbraw/zinc/55/80/27/1127558027.db2.gz RSCCSZYLKVAFME-ZDUSSCGKSA-N 1 2 283.297 3.501 20 0 CHADLO CSCc1cnc(C[N@H+](C)Cc2ccc(C)cc2)s1 ZINC000294333880 1127565840 /nfs/dbraw/zinc/56/58/40/1127565840.db2.gz ACXQMYPTPHJEIE-UHFFFAOYSA-N 1 2 292.473 3.947 20 0 CHADLO CSCc1cnc(C[N@@H+](C)Cc2ccc(C)cc2)s1 ZINC000294333880 1127565841 /nfs/dbraw/zinc/56/58/41/1127565841.db2.gz ACXQMYPTPHJEIE-UHFFFAOYSA-N 1 2 292.473 3.947 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H]2c2cccs2)c(F)cn1 ZINC000294575650 1127583690 /nfs/dbraw/zinc/58/36/90/1127583690.db2.gz PIZKDSDPIOZQTB-GFCCVEGCSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H]2c2cccs2)c(F)cn1 ZINC000294575650 1127583693 /nfs/dbraw/zinc/58/36/93/1127583693.db2.gz PIZKDSDPIOZQTB-GFCCVEGCSA-N 1 2 280.343 3.758 20 0 CHADLO COCc1ccc(C[N@@H+]2CCc3cc(Cl)ccc3C2)o1 ZINC000361733021 1127585452 /nfs/dbraw/zinc/58/54/52/1127585452.db2.gz LRGCXCMFQZGMRX-UHFFFAOYSA-N 1 2 291.778 3.638 20 0 CHADLO COCc1ccc(C[N@H+]2CCc3cc(Cl)ccc3C2)o1 ZINC000361733021 1127585455 /nfs/dbraw/zinc/58/54/55/1127585455.db2.gz LRGCXCMFQZGMRX-UHFFFAOYSA-N 1 2 291.778 3.638 20 0 CHADLO C[C@H](CC(C)(C)C)[NH2+]c1ccc(N2CCC(O)CC2)cc1 ZINC000294811080 1127600896 /nfs/dbraw/zinc/60/08/96/1127600896.db2.gz MBOZDTMKCYCZBP-CQSZACIVSA-N 1 2 290.451 3.884 20 0 CHADLO Cc1csc(C(=O)Nc2cccc3[nH+]ccn32)c1Cl ZINC000361956322 1127602408 /nfs/dbraw/zinc/60/24/08/1127602408.db2.gz BIFUYODQQCDUIE-UHFFFAOYSA-N 1 2 291.763 3.610 20 0 CHADLO FC(F)(F)CC[N@@H+](Cc1csc(Cl)n1)CC1CC1 ZINC000294924158 1127608694 /nfs/dbraw/zinc/60/86/94/1127608694.db2.gz IQOWZQVZOMMRAC-UHFFFAOYSA-N 1 2 298.761 3.961 20 0 CHADLO FC(F)(F)CC[N@H+](Cc1csc(Cl)n1)CC1CC1 ZINC000294924158 1127608696 /nfs/dbraw/zinc/60/86/96/1127608696.db2.gz IQOWZQVZOMMRAC-UHFFFAOYSA-N 1 2 298.761 3.961 20 0 CHADLO Cc1ccc(C)c(C[NH2+]Cc2noc(-c3cccs3)n2)c1 ZINC000294925770 1127608768 /nfs/dbraw/zinc/60/87/68/1127608768.db2.gz FWITYUVDCPMQPU-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CCOC3(CCC3)C2)c1 ZINC000294949809 1127610198 /nfs/dbraw/zinc/61/01/98/1127610198.db2.gz DDWRDNLAQKBKAD-AWEZNQCLSA-N 1 2 292.448 3.848 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(F)cc(F)cc2Cl)[C@H](C)C1 ZINC001232100170 1127614256 /nfs/dbraw/zinc/61/42/56/1127614256.db2.gz LESKXVRYUSDJHE-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(F)cc(F)cc2Cl)[C@H](C)C1 ZINC001232100170 1127614258 /nfs/dbraw/zinc/61/42/58/1127614258.db2.gz LESKXVRYUSDJHE-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1cccc(C[NH2+]Cc2noc(-c3cccs3)n2)c1C ZINC000295087221 1127619603 /nfs/dbraw/zinc/61/96/03/1127619603.db2.gz SRCNOELDOSATQO-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO Cn1c[nH+]cc1CN1CCc2c1cccc2-c1ccccc1 ZINC000362248575 1127622509 /nfs/dbraw/zinc/62/25/09/1127622509.db2.gz GWNGFRDYNXKMIO-UHFFFAOYSA-N 1 2 289.382 3.650 20 0 CHADLO Clc1cccc(CC[N@@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000362294879 1127626353 /nfs/dbraw/zinc/62/63/53/1127626353.db2.gz JCCHWPRVLWPNSI-INIZCTEOSA-N 1 2 291.778 3.549 20 0 CHADLO Clc1cccc(CC[N@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000362294879 1127626355 /nfs/dbraw/zinc/62/63/55/1127626355.db2.gz JCCHWPRVLWPNSI-INIZCTEOSA-N 1 2 291.778 3.549 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2ccc(Cl)cc2Cl)c2nccn21 ZINC000295195917 1127626704 /nfs/dbraw/zinc/62/67/04/1127626704.db2.gz JQCDGIWLXORNEN-ZANVPECISA-N 1 2 296.201 3.986 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1nc(-c2ccccc2)c[nH]1 ZINC000362308128 1127627070 /nfs/dbraw/zinc/62/70/70/1127627070.db2.gz FOJHJRNEJQCJOR-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1nc(-c2ccccc2)c[nH]1 ZINC000362308128 1127627072 /nfs/dbraw/zinc/62/70/72/1127627072.db2.gz FOJHJRNEJQCJOR-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO Cc1ccc(CSC[C@@H]2CCO[C@H](C)C2)c(C)[nH+]1 ZINC000362461788 1127637797 /nfs/dbraw/zinc/63/77/97/1127637797.db2.gz YLEPTLZBKNMOOI-TZMCWYRMSA-N 1 2 265.422 3.747 20 0 CHADLO CC(C)c1nnc(C[N@H+](C)CCCc2ccccc2)s1 ZINC000295648137 1127648890 /nfs/dbraw/zinc/64/88/90/1127648890.db2.gz ZAUXEQIWTXPHNS-UHFFFAOYSA-N 1 2 289.448 3.726 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C)CCCc2ccccc2)s1 ZINC000295648137 1127648893 /nfs/dbraw/zinc/64/88/93/1127648893.db2.gz ZAUXEQIWTXPHNS-UHFFFAOYSA-N 1 2 289.448 3.726 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H](C)c1ccc(F)cc1F ZINC000295872180 1127655916 /nfs/dbraw/zinc/65/59/16/1127655916.db2.gz HDOAFZCYCSKURW-WDEREUQCSA-N 1 2 279.334 3.593 20 0 CHADLO CSC[C@@H]1CCCN(c2[nH+]ccc3ccccc32)C1 ZINC000599083734 1127655988 /nfs/dbraw/zinc/65/59/88/1127655988.db2.gz WLMLTGNQNRTXDD-CYBMUJFWSA-N 1 2 272.417 3.814 20 0 CHADLO COC[C@@H]([NH2+]Cc1cccc(C)c1F)c1ccc(C)o1 ZINC000295857508 1127656018 /nfs/dbraw/zinc/65/60/18/1127656018.db2.gz WROAGTYUMWCDGT-CQSZACIVSA-N 1 2 277.339 3.513 20 0 CHADLO CCn1cc([C@H](C)Nc2cc[nH+]c3c(OC)cccc23)cn1 ZINC000295895260 1127656611 /nfs/dbraw/zinc/65/66/11/1127656611.db2.gz DTRBJTUMGJUJKX-LBPRGKRZSA-N 1 2 296.374 3.633 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc2[nH+]ccn2c1)c1ccc(F)cc1 ZINC000603808897 1127672936 /nfs/dbraw/zinc/67/29/36/1127672936.db2.gz MMGQGCHYEHHUFK-LBPRGKRZSA-N 1 2 297.333 3.606 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2CSCc3ccccc32)no1 ZINC000669706462 1127686964 /nfs/dbraw/zinc/68/69/64/1127686964.db2.gz QQTXBGPFCYNRCR-ABAIWWIYSA-N 1 2 274.389 3.622 20 0 CHADLO CC(C)CCOc1ccccc1C[NH2+][C@H]1CCn2ccnc21 ZINC000297154013 1127689129 /nfs/dbraw/zinc/68/91/29/1127689129.db2.gz AYSBYCKROATUJN-INIZCTEOSA-N 1 2 299.418 3.543 20 0 CHADLO COc1cccc(C[N@@H+]2CCc3ccc(F)cc3C2)c1F ZINC000669708444 1127690325 /nfs/dbraw/zinc/69/03/25/1127690325.db2.gz CLQZEVUDTGVUCR-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc(C[N@H+]2CCc3ccc(F)cc3C2)c1F ZINC000669708444 1127690327 /nfs/dbraw/zinc/69/03/27/1127690327.db2.gz CLQZEVUDTGVUCR-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cc(C3CC3)ccc2F)nn1C ZINC000297419429 1127696216 /nfs/dbraw/zinc/69/62/16/1127696216.db2.gz UOYFYWMLCRBHQA-LBPRGKRZSA-N 1 2 287.382 3.596 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCn2ccnc21)c1cccc(Cl)c1Cl ZINC000297950830 1127712762 /nfs/dbraw/zinc/71/27/62/1127712762.db2.gz YQGCXLDYDIOMFE-BXKDBHETSA-N 1 2 296.201 3.986 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@@H](c2ccccc2)C(C)C)n1 ZINC000298143778 1127715210 /nfs/dbraw/zinc/71/52/10/1127715210.db2.gz UXAIDMQRFMPOTR-QGZVFWFLSA-N 1 2 287.407 3.755 20 0 CHADLO Cc1cc(N(C)C[C@@H](C)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000604757605 1127715551 /nfs/dbraw/zinc/71/55/51/1127715551.db2.gz KWTAMJAXLDULIH-CYBMUJFWSA-N 1 2 281.403 3.902 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+](C)Cc2ccccc2)n1 ZINC000298208649 1127716503 /nfs/dbraw/zinc/71/65/03/1127716503.db2.gz QOAIVUSTEMEYAF-CYBMUJFWSA-N 1 2 273.380 3.605 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+](C)Cc2ccccc2)n1 ZINC000298208649 1127716507 /nfs/dbraw/zinc/71/65/07/1127716507.db2.gz QOAIVUSTEMEYAF-CYBMUJFWSA-N 1 2 273.380 3.605 20 0 CHADLO C[C@]1([NH2+]Cc2n[nH]c3ccccc32)CCCc2ccccc21 ZINC000604767122 1127716774 /nfs/dbraw/zinc/71/67/74/1127716774.db2.gz DQIVUNHMYGVEJJ-IBGZPJMESA-N 1 2 291.398 3.904 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1CCC[C@@H]1c1cccs1 ZINC000298725163 1127729949 /nfs/dbraw/zinc/72/99/49/1127729949.db2.gz PZNPGXASHBHNNJ-CMPLNLGQSA-N 1 2 289.404 3.907 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1CCC[C@@H]1c1cccs1 ZINC000298725163 1127729953 /nfs/dbraw/zinc/72/99/53/1127729953.db2.gz PZNPGXASHBHNNJ-CMPLNLGQSA-N 1 2 289.404 3.907 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nc(C3CC3)no2)c(C)c1 ZINC000298862642 1127735884 /nfs/dbraw/zinc/73/58/84/1127735884.db2.gz SDIBZCPKAUQMRS-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nc(C3CC3)no2)c(C)c1 ZINC000298862642 1127735887 /nfs/dbraw/zinc/73/58/87/1127735887.db2.gz SDIBZCPKAUQMRS-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000298835050 1127734021 /nfs/dbraw/zinc/73/40/21/1127734021.db2.gz AXFGKCMONNQVGK-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000298835050 1127734024 /nfs/dbraw/zinc/73/40/24/1127734024.db2.gz AXFGKCMONNQVGK-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1nc(C2CC2)no1)c1ccccc1 ZINC000299068892 1127740352 /nfs/dbraw/zinc/74/03/52/1127740352.db2.gz OCYODFUHNCIKJL-OAHLLOKOSA-N 1 2 285.391 3.824 20 0 CHADLO CC[C@@H](CC(=O)Nc1cc[nH+]c(C)c1)c1ccc(C)cc1 ZINC000606370724 1127760189 /nfs/dbraw/zinc/76/01/89/1127760189.db2.gz VBYYMHWYKGEYEH-HNNXBMFYSA-N 1 2 282.387 3.643 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@H+](Cc2cnc(Cl)s2)C1 ZINC000370229624 1127761498 /nfs/dbraw/zinc/76/14/98/1127761498.db2.gz CRWZOFSDTVVHRP-ZWNOBZJWSA-N 1 2 293.823 3.775 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@@H+](Cc2cnc(Cl)s2)C1 ZINC000370229624 1127761501 /nfs/dbraw/zinc/76/15/01/1127761501.db2.gz CRWZOFSDTVVHRP-ZWNOBZJWSA-N 1 2 293.823 3.775 20 0 CHADLO Cc1cc(F)cc([C@@]2(F)CCN(c3cccc[nH+]3)C2)c1 ZINC000409669892 1127780153 /nfs/dbraw/zinc/78/01/53/1127780153.db2.gz PFKNOJAYMVJKCV-MRXNPFEDSA-N 1 2 274.314 3.604 20 0 CHADLO S=c1[nH]ccn1C[N@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000173312563 1127819421 /nfs/dbraw/zinc/81/94/21/1127819421.db2.gz HWLKWQCKFBFCKM-CYBMUJFWSA-N 1 2 293.823 3.994 20 0 CHADLO S=c1[nH]ccn1C[N@@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000173312563 1127819424 /nfs/dbraw/zinc/81/94/24/1127819424.db2.gz HWLKWQCKFBFCKM-CYBMUJFWSA-N 1 2 293.823 3.994 20 0 CHADLO CCC[C@H](C(=O)Nc1cccc2[nH+]ccn21)c1ccccc1 ZINC000607714829 1127824885 /nfs/dbraw/zinc/82/48/85/1127824885.db2.gz NLLCQVZQDGARRB-HNNXBMFYSA-N 1 2 293.370 3.857 20 0 CHADLO CCC[C@@]1(C)CCC[N@H+](Cn2nc(C)n(CC)c2=S)C1 ZINC000173536351 1127836735 /nfs/dbraw/zinc/83/67/35/1127836735.db2.gz OKBRMUANCWVFRV-HNNXBMFYSA-N 1 2 296.484 3.602 20 0 CHADLO CCC[C@@]1(C)CCC[N@@H+](Cn2nc(C)n(CC)c2=S)C1 ZINC000173536351 1127836739 /nfs/dbraw/zinc/83/67/39/1127836739.db2.gz OKBRMUANCWVFRV-HNNXBMFYSA-N 1 2 296.484 3.602 20 0 CHADLO S=c1sc(C2CC2)nn1C[N@@H+]1CCC2(CCCC2)C1 ZINC000173899429 1127859199 /nfs/dbraw/zinc/85/91/99/1127859199.db2.gz VKRKQCNZBIVHSO-UHFFFAOYSA-N 1 2 295.477 3.775 20 0 CHADLO S=c1sc(C2CC2)nn1C[N@H+]1CCC2(CCCC2)C1 ZINC000173899429 1127859203 /nfs/dbraw/zinc/85/92/03/1127859203.db2.gz VKRKQCNZBIVHSO-UHFFFAOYSA-N 1 2 295.477 3.775 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)[nH]1 ZINC000411421719 1127859760 /nfs/dbraw/zinc/85/97/60/1127859760.db2.gz BOJCJMHDMKSKNA-CQSZACIVSA-N 1 2 296.418 3.580 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)[nH]1 ZINC000411421719 1127859762 /nfs/dbraw/zinc/85/97/62/1127859762.db2.gz BOJCJMHDMKSKNA-CQSZACIVSA-N 1 2 296.418 3.580 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](CC1=CCCCC1)C2 ZINC000411951937 1127880876 /nfs/dbraw/zinc/88/08/76/1127880876.db2.gz NVPRWDDYCUYJCO-UHFFFAOYSA-N 1 2 255.361 3.705 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](CC1=CCCCC1)C2 ZINC000411951937 1127880880 /nfs/dbraw/zinc/88/08/80/1127880880.db2.gz NVPRWDDYCUYJCO-UHFFFAOYSA-N 1 2 255.361 3.705 20 0 CHADLO Cc1cccc([C@H](C)[N@H+]2C[C@H](C(F)(F)F)O[C@@H](C)C2)c1 ZINC000608749990 1127884291 /nfs/dbraw/zinc/88/42/91/1127884291.db2.gz PEDMUJJBCUOJOZ-SGMGOOAPSA-N 1 2 287.325 3.708 20 0 CHADLO Cc1cccc([C@H](C)[N@@H+]2C[C@H](C(F)(F)F)O[C@@H](C)C2)c1 ZINC000608749990 1127884296 /nfs/dbraw/zinc/88/42/96/1127884296.db2.gz PEDMUJJBCUOJOZ-SGMGOOAPSA-N 1 2 287.325 3.708 20 0 CHADLO CC[N@H+](Cc1nnc(-c2ccccc2)o1)Cc1occc1C ZINC000412024417 1127888281 /nfs/dbraw/zinc/88/82/81/1127888281.db2.gz BXXFHVQKTXDUFB-UHFFFAOYSA-N 1 2 297.358 3.660 20 0 CHADLO CC[N@@H+](Cc1nnc(-c2ccccc2)o1)Cc1occc1C ZINC000412024417 1127888290 /nfs/dbraw/zinc/88/82/90/1127888290.db2.gz BXXFHVQKTXDUFB-UHFFFAOYSA-N 1 2 297.358 3.660 20 0 CHADLO CCC[C@H](Nc1[nH+]cnc2c1cnn2C)C1CCCCC1 ZINC000509712332 1127905653 /nfs/dbraw/zinc/90/56/53/1127905653.db2.gz KKTZBUHDUBTPQG-AWEZNQCLSA-N 1 2 287.411 3.524 20 0 CHADLO C[C@H]([NH2+]Cc1ncccn1)c1ccccc1C(C)(C)C ZINC000516585588 1127906340 /nfs/dbraw/zinc/90/63/40/1127906340.db2.gz WGMOBVUVSMUMQW-ZDUSSCGKSA-N 1 2 269.392 3.625 20 0 CHADLO CC(C)CCc1noc(C[N@H+]2CC=C(C(C)(C)C)CC2)n1 ZINC000535376973 1127914388 /nfs/dbraw/zinc/91/43/88/1127914388.db2.gz NROITEYTQTZFNM-UHFFFAOYSA-N 1 2 291.439 3.836 20 0 CHADLO CC(C)CCc1noc(C[N@@H+]2CC=C(C(C)(C)C)CC2)n1 ZINC000535376973 1127914391 /nfs/dbraw/zinc/91/43/91/1127914391.db2.gz NROITEYTQTZFNM-UHFFFAOYSA-N 1 2 291.439 3.836 20 0 CHADLO Fc1cccc(Cl)c1CC[N@@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000374856456 1127917215 /nfs/dbraw/zinc/91/72/15/1127917215.db2.gz KZKMKZMXJYECQS-CQSZACIVSA-N 1 2 293.773 3.582 20 0 CHADLO Fc1cccc(Cl)c1CC[N@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000374856456 1127917218 /nfs/dbraw/zinc/91/72/18/1127917218.db2.gz KZKMKZMXJYECQS-CQSZACIVSA-N 1 2 293.773 3.582 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccsc2)nc(C2CC2)[nH+]1 ZINC000669827100 1127925351 /nfs/dbraw/zinc/92/53/51/1127925351.db2.gz NAJVVVWNLUYENN-JTQLQIEISA-N 1 2 259.378 3.897 20 0 CHADLO Cc1nc(N[C@H](C)c2ccsc2)c2c([nH+]1)CCCC2 ZINC000669827381 1127925513 /nfs/dbraw/zinc/92/55/13/1127925513.db2.gz OCYSJSAANKWXKP-SNVBAGLBSA-N 1 2 273.405 3.898 20 0 CHADLO F[C@H]1CCN(c2[nH+]ccc3cc(Br)ccc32)C1 ZINC000413334882 1127948228 /nfs/dbraw/zinc/94/82/28/1127948228.db2.gz SQKOVHWMMZTZFY-NSHDSACASA-N 1 2 295.155 3.546 20 0 CHADLO CC(C)n1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001203164797 1127949917 /nfs/dbraw/zinc/94/99/17/1127949917.db2.gz GYYKSATTWHXRAM-UHFFFAOYSA-N 1 2 266.348 3.998 20 0 CHADLO C[C@@]1(CNc2cccc(-n3cc[nH+]c3)c2)CCCS1 ZINC000327615119 1127971251 /nfs/dbraw/zinc/97/12/51/1127971251.db2.gz LHIFBHRUTWNSHQ-HNNXBMFYSA-N 1 2 273.405 3.570 20 0 CHADLO CC[C@]1(C)CC[N@H+](Cc2noc(Cc3ccccc3C)n2)C1 ZINC000468126804 1127993863 /nfs/dbraw/zinc/99/38/63/1127993863.db2.gz NGOXYGPXUGKYER-GOSISDBHSA-N 1 2 299.418 3.591 20 0 CHADLO CC[C@]1(C)CC[N@@H+](Cc2noc(Cc3ccccc3C)n2)C1 ZINC000468126804 1127993867 /nfs/dbraw/zinc/99/38/67/1127993867.db2.gz NGOXYGPXUGKYER-GOSISDBHSA-N 1 2 299.418 3.591 20 0 CHADLO CNc1ccc(Nc2cc(-c3cccs3)no2)c[nH+]1 ZINC001203450237 1128009942 /nfs/dbraw/zinc/00/99/42/1128009942.db2.gz YEODAOXUBVJEHS-UHFFFAOYSA-N 1 2 272.333 3.583 20 0 CHADLO c1cn(-c2ccccc2OCc2nc(C3CC3)cs2)c[nH+]1 ZINC000541289720 1128016391 /nfs/dbraw/zinc/01/63/91/1128016391.db2.gz FIIIYSYQUOCKGS-UHFFFAOYSA-N 1 2 297.383 3.785 20 0 CHADLO CCCCc1nc(C[N@@H+]2CC[C@@H](c3cccc(C)c3)C2)no1 ZINC000541392540 1128021949 /nfs/dbraw/zinc/02/19/49/1128021949.db2.gz GNXQFYAVXHWKLA-MRXNPFEDSA-N 1 2 299.418 3.710 20 0 CHADLO CCCCc1nc(C[N@H+]2CC[C@@H](c3cccc(C)c3)C2)no1 ZINC000541392540 1128021952 /nfs/dbraw/zinc/02/19/52/1128021952.db2.gz GNXQFYAVXHWKLA-MRXNPFEDSA-N 1 2 299.418 3.710 20 0 CHADLO c1cn(-c2cccc(-c3noc(C4CCCC4)n3)c2)c[nH+]1 ZINC000545693855 1128028860 /nfs/dbraw/zinc/02/88/60/1128028860.db2.gz FYUURIMBVMMDMM-UHFFFAOYSA-N 1 2 280.331 3.580 20 0 CHADLO C[C@H](COCC(F)(F)F)Nc1cc2ccccc2c[nH+]1 ZINC000631079590 1128035527 /nfs/dbraw/zinc/03/55/27/1128035527.db2.gz INZYBKMKXKDMLW-SNVBAGLBSA-N 1 2 284.281 3.614 20 0 CHADLO COc1cccc(/C=C\C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000491323012 1128033867 /nfs/dbraw/zinc/03/38/67/1128033867.db2.gz XULNZYJNAFQHDE-HJWRWDBZSA-N 1 2 296.370 3.667 20 0 CHADLO CC(C)(C)[N@@H+]1CC[C@H]1c1nnc(CC2CCCCC2)o1 ZINC001257032084 1128039074 /nfs/dbraw/zinc/03/90/74/1128039074.db2.gz UYEPMTRCJAKYII-ZDUSSCGKSA-N 1 2 277.412 3.738 20 0 CHADLO CC(C)(C)[N@H+]1CC[C@H]1c1nnc(CC2CCCCC2)o1 ZINC001257032084 1128039078 /nfs/dbraw/zinc/03/90/78/1128039078.db2.gz UYEPMTRCJAKYII-ZDUSSCGKSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470908477 1128079520 /nfs/dbraw/zinc/07/95/20/1128079520.db2.gz IPYNHKRUYOMHMD-OHUAYANFSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470908477 1128079524 /nfs/dbraw/zinc/07/95/24/1128079524.db2.gz IPYNHKRUYOMHMD-OHUAYANFSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470908581 1128080089 /nfs/dbraw/zinc/08/00/89/1128080089.db2.gz JIOGHVXCUAGTET-GDLVEWKHSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470908581 1128080093 /nfs/dbraw/zinc/08/00/93/1128080093.db2.gz JIOGHVXCUAGTET-GDLVEWKHSA-N 1 2 281.346 3.525 20 0 CHADLO Cc1cc(NC(=O)c2ccc(N3CCCCC3)cc2)cc[nH+]1 ZINC000174224304 1128098288 /nfs/dbraw/zinc/09/82/88/1128098288.db2.gz XFBJSIWOMIVCOW-UHFFFAOYSA-N 1 2 295.386 3.633 20 0 CHADLO C[C@H]([NH2+]Cc1ccns1)c1c(F)cccc1Cl ZINC000404301697 1128103293 /nfs/dbraw/zinc/10/32/93/1128103293.db2.gz ZPDUJVRSZNEYNW-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCSc2ccc(F)cc21)c1ncco1 ZINC000924811589 1128113758 /nfs/dbraw/zinc/11/37/58/1128113758.db2.gz XCJOTFOVFYMNGW-BXKDBHETSA-N 1 2 278.352 3.701 20 0 CHADLO Cc1csc(CC[NH2+][C@@H](C)C(F)(F)c2ccccc2)n1 ZINC000924642165 1128108834 /nfs/dbraw/zinc/10/88/34/1128108834.db2.gz BIGDKPHOCGMXJV-LBPRGKRZSA-N 1 2 296.386 3.764 20 0 CHADLO Cc1ccccc1Cc1nc(C[NH+](C(C)C)C(C)C)no1 ZINC000492936984 1128117013 /nfs/dbraw/zinc/11/70/13/1128117013.db2.gz PFBHWGPSQXPDEQ-UHFFFAOYSA-N 1 2 287.407 3.588 20 0 CHADLO FC1=CCC[N@H+](CCOc2ccc3ccccc3c2)C1 ZINC000492949643 1128117398 /nfs/dbraw/zinc/11/73/98/1128117398.db2.gz GUNIQLUINXHQNA-UHFFFAOYSA-N 1 2 271.335 3.778 20 0 CHADLO FC1=CCC[N@@H+](CCOc2ccc3ccccc3c2)C1 ZINC000492949643 1128117399 /nfs/dbraw/zinc/11/73/99/1128117399.db2.gz GUNIQLUINXHQNA-UHFFFAOYSA-N 1 2 271.335 3.778 20 0 CHADLO Cc1cccc(F)c1C[NH2+][C@@H](C)c1cn2c(n1)CCCC2 ZINC000925168724 1128124407 /nfs/dbraw/zinc/12/44/07/1128124407.db2.gz WMPSIOGKUPYTEC-ZDUSSCGKSA-N 1 2 287.382 3.518 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(N2CCCC2)c1)c1ncco1 ZINC000925257053 1128126874 /nfs/dbraw/zinc/12/68/74/1128126874.db2.gz KYUIDDWSCHOFEL-ZIAGYGMSSA-N 1 2 285.391 3.687 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC2(CCC2)Oc2ccccc21)c1ncco1 ZINC000925266635 1128126918 /nfs/dbraw/zinc/12/69/18/1128126918.db2.gz FSRNUWBEZQACNH-TZMCWYRMSA-N 1 2 284.359 3.772 20 0 CHADLO CSCc1cc(F)ccc1C[NH2+][C@@H](C)c1ncco1 ZINC000925249532 1128126927 /nfs/dbraw/zinc/12/69/27/1128126927.db2.gz OBMRSFFHBFNBDO-JTQLQIEISA-N 1 2 280.368 3.528 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C1(Cl)CC1)c1noc(-c2ccccc2)n1 ZINC000925273058 1128127354 /nfs/dbraw/zinc/12/73/54/1128127354.db2.gz COGKUGVVFFOQEW-GHMZBOCLSA-N 1 2 291.782 3.547 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@@H]2c2ccccn2)s1 ZINC000542467360 1128139922 /nfs/dbraw/zinc/13/99/22/1128139922.db2.gz SQRWDOYMVHZJFY-CQSZACIVSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@@H]2c2ccccn2)s1 ZINC000542467360 1128139925 /nfs/dbraw/zinc/13/99/25/1128139925.db2.gz SQRWDOYMVHZJFY-CQSZACIVSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@@H](C)c1cccc(F)c1F ZINC000925670497 1128136401 /nfs/dbraw/zinc/13/64/01/1128136401.db2.gz LNUHMCDRMJBKFM-ZANVPECISA-N 1 2 292.329 3.989 20 0 CHADLO Cc1cc([NH2+][C@H]2CCOC[C@@H]2C(C)C)ccc1N(C)C ZINC000926052369 1128151117 /nfs/dbraw/zinc/15/11/17/1128151117.db2.gz DZYLUCRDUHUTCY-CVEARBPZSA-N 1 2 276.424 3.534 20 0 CHADLO Cc1cc(N[C@H]2CCOC[C@@H]2C(C)C)ccc1[NH+](C)C ZINC000926052369 1128151121 /nfs/dbraw/zinc/15/11/21/1128151121.db2.gz DZYLUCRDUHUTCY-CVEARBPZSA-N 1 2 276.424 3.534 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](C)c2c(C)oc3ccccc32)s1 ZINC000926117979 1128155961 /nfs/dbraw/zinc/15/59/61/1128155961.db2.gz BERFSNDKBVJJIU-VIFPVBQESA-N 1 2 287.388 3.752 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+](C)Cc2cccc3[nH]ccc32)n1 ZINC000494114764 1128156382 /nfs/dbraw/zinc/15/63/82/1128156382.db2.gz CWDAHNGPPUFURS-GFCCVEGCSA-N 1 2 298.390 3.867 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+](C)Cc2cccc3[nH]ccc32)n1 ZINC000494114764 1128156383 /nfs/dbraw/zinc/15/63/83/1128156383.db2.gz CWDAHNGPPUFURS-GFCCVEGCSA-N 1 2 298.390 3.867 20 0 CHADLO CCCc1csc(C[NH2+][C@H](CCOC)c2ccco2)n1 ZINC000494182303 1128158700 /nfs/dbraw/zinc/15/87/00/1128158700.db2.gz IYHWSMGPRFKCAZ-CYBMUJFWSA-N 1 2 294.420 3.556 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+]Cc1ccc(Cl)cc1F ZINC000926220255 1128160937 /nfs/dbraw/zinc/16/09/37/1128160937.db2.gz VFRRNRYDDKMUPA-CYBMUJFWSA-N 1 2 294.757 3.943 20 0 CHADLO C[C@@H]1CC[N@H+](CCCOCCc2ccccc2)CC1(F)F ZINC000494235849 1128167448 /nfs/dbraw/zinc/16/74/48/1128167448.db2.gz ZIMZKLPVOBUCSY-OAHLLOKOSA-N 1 2 297.389 3.613 20 0 CHADLO C[C@@H]1CC[N@@H+](CCCOCCc2ccccc2)CC1(F)F ZINC000494235849 1128167452 /nfs/dbraw/zinc/16/74/52/1128167452.db2.gz ZIMZKLPVOBUCSY-OAHLLOKOSA-N 1 2 297.389 3.613 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@H](C)c1nonc1C ZINC000926356637 1128168778 /nfs/dbraw/zinc/16/87/78/1128168778.db2.gz OBWXMHMFYZWJRU-VHSXEESVSA-N 1 2 277.393 3.512 20 0 CHADLO C[C@H]([NH2+]CCC(C)(F)F)C(F)(F)c1ccccc1 ZINC000926495590 1128175372 /nfs/dbraw/zinc/17/53/72/1128175372.db2.gz RYZIBTLMFPBOPF-JTQLQIEISA-N 1 2 263.278 3.802 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2c(F)cccc21)c1ncco1 ZINC000926496306 1128175772 /nfs/dbraw/zinc/17/57/72/1128175772.db2.gz ALEUSTPLMRBGCF-QMTHXVAHSA-N 1 2 260.312 3.542 20 0 CHADLO COc1cc([C@@H](C)[NH2+]Cc2cc(C3CC3)ccc2F)on1 ZINC000926503567 1128176455 /nfs/dbraw/zinc/17/64/55/1128176455.db2.gz XIWHGNNDLANICO-SNVBAGLBSA-N 1 2 290.338 3.551 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cnc(CC)o1)c1ncccc1Cl ZINC000926539939 1128179080 /nfs/dbraw/zinc/17/90/80/1128179080.db2.gz YCRPNELOYFPPEF-CYBMUJFWSA-N 1 2 293.798 3.916 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cn(C(C)C)nn1)c1ccccc1F ZINC000926646341 1128185819 /nfs/dbraw/zinc/18/58/19/1128185819.db2.gz LEWMQNQKALNVAW-WFASDCNBSA-N 1 2 290.386 3.800 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2c(Cl)ccnc21)c1cscn1 ZINC000926693065 1128188661 /nfs/dbraw/zinc/18/86/61/1128188661.db2.gz QZKFJYYQEXPQSU-BXKDBHETSA-N 1 2 293.823 3.920 20 0 CHADLO COc1cccc2c1CC[C@H]2Nc1ccc([NH+](C)C)cc1 ZINC000777601488 1128190143 /nfs/dbraw/zinc/19/01/43/1128190143.db2.gz AMMXWQOUOYWSHH-QGZVFWFLSA-N 1 2 282.387 3.861 20 0 CHADLO CC(C)n1cc(C[NH2+][C@@H](C)c2c(F)cccc2F)cn1 ZINC000226241646 1128192348 /nfs/dbraw/zinc/19/23/48/1128192348.db2.gz AFICUPWCIPWVQQ-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO C[C@H]1CC(C)(C)c2cccc(NC(=O)CCc3c[nH]c[nH+]3)c21 ZINC000928585200 1128243927 /nfs/dbraw/zinc/24/39/27/1128243927.db2.gz FZCLANOGMFDTKB-LBPRGKRZSA-N 1 2 297.402 3.766 20 0 CHADLO C[C@H]1CC(C)(C)c2cccc(NC(=O)CCc3c[nH+]c[nH]3)c21 ZINC000928585200 1128243930 /nfs/dbraw/zinc/24/39/30/1128243930.db2.gz FZCLANOGMFDTKB-LBPRGKRZSA-N 1 2 297.402 3.766 20 0 CHADLO C/C=C/C[C@@H]1CCCN(C(=O)Nc2ccc3[nH+]ccn3c2)C1 ZINC000626394019 1128256256 /nfs/dbraw/zinc/25/62/56/1128256256.db2.gz QKKOZIAQCOZVMP-BAABZTOOSA-N 1 2 298.390 3.544 20 0 CHADLO CC(C)c1nsc(C[N@@H+]2CCC[C@H]2c2ccccc2)n1 ZINC000929109016 1128258176 /nfs/dbraw/zinc/25/81/76/1128258176.db2.gz YDUINASIVJTLAB-AWEZNQCLSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1nsc(C[N@H+]2CCC[C@H]2c2ccccc2)n1 ZINC000929109016 1128258178 /nfs/dbraw/zinc/25/81/78/1128258178.db2.gz YDUINASIVJTLAB-AWEZNQCLSA-N 1 2 287.432 3.999 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)[C@H](C)c1ncccn1 ZINC000929280557 1128267103 /nfs/dbraw/zinc/26/71/03/1128267103.db2.gz VJDLMYQIPSLJHR-GFCCVEGCSA-N 1 2 275.783 3.713 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)[C@H](C)c1ncccn1 ZINC000929280557 1128267105 /nfs/dbraw/zinc/26/71/05/1128267105.db2.gz VJDLMYQIPSLJHR-GFCCVEGCSA-N 1 2 275.783 3.713 20 0 CHADLO CCCC1(C[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000495080553 1128229006 /nfs/dbraw/zinc/22/90/06/1128229006.db2.gz QXUOLTFDUKVUOK-CQSZACIVSA-N 1 2 276.428 3.596 20 0 CHADLO COC[C@H](CC(C)C)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000777653262 1128234144 /nfs/dbraw/zinc/23/41/44/1128234144.db2.gz JWLQWJHWVNTENP-INIZCTEOSA-N 1 2 276.424 3.542 20 0 CHADLO COC[C@H](CC(C)C)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000777653262 1128234148 /nfs/dbraw/zinc/23/41/48/1128234148.db2.gz JWLQWJHWVNTENP-INIZCTEOSA-N 1 2 276.424 3.542 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1)C1CC1 ZINC000777659139 1128234265 /nfs/dbraw/zinc/23/42/65/1128234265.db2.gz JDJSGUNAACAFPD-QWQRMKEZSA-N 1 2 288.435 3.901 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)c(F)cc(F)c2F)[C@H](C)C1 ZINC001138235755 1128237732 /nfs/dbraw/zinc/23/77/32/1128237732.db2.gz HVABXBAHUOBOLM-RKDXNWHRSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)c(F)cc(F)c2F)[C@H](C)C1 ZINC001138235755 1128237736 /nfs/dbraw/zinc/23/77/36/1128237736.db2.gz HVABXBAHUOBOLM-RKDXNWHRSA-N 1 2 275.289 3.863 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H]2CCc3cc(Cl)ccc32)no1 ZINC000777784640 1128274156 /nfs/dbraw/zinc/27/41/56/1128274156.db2.gz LXWBJVPWLRUPDS-OAHLLOKOSA-N 1 2 276.767 3.756 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H]2CCc3cc(Cl)ccc32)no1 ZINC000777784640 1128274158 /nfs/dbraw/zinc/27/41/58/1128274158.db2.gz LXWBJVPWLRUPDS-OAHLLOKOSA-N 1 2 276.767 3.756 20 0 CHADLO CCc1cnc(C[N@H+](C)C[C@H]2CCCC3(CCC3)O2)s1 ZINC000929774097 1128286304 /nfs/dbraw/zinc/28/63/04/1128286304.db2.gz LZLMKALWHJYVIL-CYBMUJFWSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1cnc(C[N@@H+](C)C[C@H]2CCCC3(CCC3)O2)s1 ZINC000929774097 1128286306 /nfs/dbraw/zinc/28/63/06/1128286306.db2.gz LZLMKALWHJYVIL-CYBMUJFWSA-N 1 2 294.464 3.629 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCc2c3ccccc3[nH]c2C1 ZINC000930105743 1128300361 /nfs/dbraw/zinc/30/03/61/1128300361.db2.gz IUYIYUVPHURNCW-UHFFFAOYSA-N 1 2 278.346 3.961 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCc2c3ccccc3[nH]c2C1 ZINC000930105743 1128300366 /nfs/dbraw/zinc/30/03/66/1128300366.db2.gz IUYIYUVPHURNCW-UHFFFAOYSA-N 1 2 278.346 3.961 20 0 CHADLO C[C@H](Cc1cccc(F)c1)[NH+]1CC(OCc2ccccc2)C1 ZINC001168489996 1128297949 /nfs/dbraw/zinc/29/79/49/1128297949.db2.gz GJJGYGFRBMDCCR-OAHLLOKOSA-N 1 2 299.389 3.658 20 0 CHADLO CC(C)Oc1cccc([C@H](C)[NH2+][C@@H](C)c2ncccn2)c1 ZINC000930198226 1128306773 /nfs/dbraw/zinc/30/67/73/1128306773.db2.gz ONEHXHLGZISJFW-KBPBESRZSA-N 1 2 285.391 3.676 20 0 CHADLO CC(C)Oc1cccc([C@@H](C)[NH2+][C@@H](C)c2ncccn2)c1 ZINC000930198228 1128306843 /nfs/dbraw/zinc/30/68/43/1128306843.db2.gz ONEHXHLGZISJFW-KGLIPLIRSA-N 1 2 285.391 3.676 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(-c3ccccc3F)o2)on1 ZINC001649175420 1128311215 /nfs/dbraw/zinc/31/12/15/1128311215.db2.gz FSMZRDPVATVEBG-UHFFFAOYSA-N 1 2 286.306 3.672 20 0 CHADLO Cc1cc(C[N@@H+]([C@@H](C)c2ccco2)C2CC2)sn1 ZINC000637971724 1128313622 /nfs/dbraw/zinc/31/36/22/1128313622.db2.gz OKZWLXZSMKUDTR-NSHDSACASA-N 1 2 262.378 3.770 20 0 CHADLO Cc1cc(C[N@H+]([C@@H](C)c2ccco2)C2CC2)sn1 ZINC000637971724 1128313625 /nfs/dbraw/zinc/31/36/25/1128313625.db2.gz OKZWLXZSMKUDTR-NSHDSACASA-N 1 2 262.378 3.770 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1ncccn1 ZINC000930332258 1128314383 /nfs/dbraw/zinc/31/43/83/1128314383.db2.gz HQTURHHAHROGOD-NEPJUHHUSA-N 1 2 273.405 3.610 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2ccccc2CF)n1 ZINC000930476605 1128320685 /nfs/dbraw/zinc/32/06/85/1128320685.db2.gz MPGOUJFEBNWYPU-OAHLLOKOSA-N 1 2 289.354 3.575 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2ccccc2CF)n1 ZINC000930476605 1128320690 /nfs/dbraw/zinc/32/06/90/1128320690.db2.gz MPGOUJFEBNWYPU-OAHLLOKOSA-N 1 2 289.354 3.575 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC=C(c3cccnc3)C2)co1 ZINC000930485461 1128321033 /nfs/dbraw/zinc/32/10/33/1128321033.db2.gz CEYZNRQQHNWFNP-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC=C(c3cccnc3)C2)co1 ZINC000930485461 1128321038 /nfs/dbraw/zinc/32/10/38/1128321038.db2.gz CEYZNRQQHNWFNP-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@]3(C)C=CCC3)c2)[nH+]c1C ZINC000930550171 1128324306 /nfs/dbraw/zinc/32/43/06/1128324306.db2.gz XRMJMSIRCSSQGU-GOSISDBHSA-N 1 2 295.386 3.988 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](C[C@@H]1CCCC3(CCC3)O1)C2 ZINC000930717854 1128332278 /nfs/dbraw/zinc/33/22/78/1128332278.db2.gz ROIJKTCNHUBVSU-SFHVURJKSA-N 1 2 299.414 3.697 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](C[C@@H]1CCCC3(CCC3)O1)C2 ZINC000930717854 1128332283 /nfs/dbraw/zinc/33/22/83/1128332283.db2.gz ROIJKTCNHUBVSU-SFHVURJKSA-N 1 2 299.414 3.697 20 0 CHADLO Fc1cncc(F)c1C[N@@H+]1CCC[C@H](CC(F)(F)F)C1 ZINC000930747661 1128333392 /nfs/dbraw/zinc/33/33/92/1128333392.db2.gz FBJPJTZKVLGUBM-SECBINFHSA-N 1 2 294.267 3.524 20 0 CHADLO Fc1cncc(F)c1C[N@H+]1CCC[C@H](CC(F)(F)F)C1 ZINC000930747661 1128333397 /nfs/dbraw/zinc/33/33/97/1128333397.db2.gz FBJPJTZKVLGUBM-SECBINFHSA-N 1 2 294.267 3.524 20 0 CHADLO FC(F)c1ccc(C[NH+]2Cc3ccccc3C2)cn1 ZINC000638035611 1128349220 /nfs/dbraw/zinc/34/92/20/1128349220.db2.gz JGNQVBOTGCGAFQ-UHFFFAOYSA-N 1 2 260.287 3.535 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@@H+](Cc1ccccc1CF)C2 ZINC000931164489 1128350640 /nfs/dbraw/zinc/35/06/40/1128350640.db2.gz QEBXIGLDQZHUDK-UHFFFAOYSA-N 1 2 299.393 3.628 20 0 CHADLO CC(C)c1ncc2c(n1)CC[N@H+](Cc1ccccc1CF)C2 ZINC000931164489 1128350644 /nfs/dbraw/zinc/35/06/44/1128350644.db2.gz QEBXIGLDQZHUDK-UHFFFAOYSA-N 1 2 299.393 3.628 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1ncc(C2CC2)o1 ZINC000932560933 1128414519 /nfs/dbraw/zinc/41/45/19/1128414519.db2.gz XCHGVHFYVXOSGT-LLVKDONJSA-N 1 2 274.339 3.850 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(F)cc2C)oc1C ZINC000932557176 1128414715 /nfs/dbraw/zinc/41/47/15/1128414715.db2.gz ZBALWFGTCALABE-LLVKDONJSA-N 1 2 262.328 3.590 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000562084896 1128377763 /nfs/dbraw/zinc/37/77/63/1128377763.db2.gz XPAHKAQRPBVWRG-CYBMUJFWSA-N 1 2 288.395 3.671 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CCc3cccc(F)c3[C@H]2C)o1 ZINC000562376610 1128399023 /nfs/dbraw/zinc/39/90/23/1128399023.db2.gz PTJLRZXFNDZAGL-GFCCVEGCSA-N 1 2 288.366 3.804 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CCc3cccc(F)c3[C@H]2C)o1 ZINC000562376610 1128399027 /nfs/dbraw/zinc/39/90/27/1128399027.db2.gz PTJLRZXFNDZAGL-GFCCVEGCSA-N 1 2 288.366 3.804 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC2(CCC2)Oc2ccccc21)c1ncccn1 ZINC000932212947 1128400786 /nfs/dbraw/zinc/40/07/86/1128400786.db2.gz KUJTYOCRDZUDJU-UKRRQHHQSA-N 1 2 295.386 3.574 20 0 CHADLO CCCc1nc(C[NH2+][C@H](c2ccccn2)C2CC2)cs1 ZINC000932382772 1128406418 /nfs/dbraw/zinc/40/64/18/1128406418.db2.gz WQOXNZAKUHLKNP-INIZCTEOSA-N 1 2 287.432 3.732 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2ccc(Cl)cc21)c1csnn1 ZINC000562735975 1128419700 /nfs/dbraw/zinc/41/97/00/1128419700.db2.gz CHWNXVSUISIUPO-NOZJJQNGSA-N 1 2 293.823 3.920 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+]1CCc2c(ncn2CC)C1 ZINC000933258506 1128432205 /nfs/dbraw/zinc/43/22/05/1128432205.db2.gz GYABFHIFMIQABR-MRXNPFEDSA-N 1 2 287.382 3.552 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+]1CCc2c(ncn2CC)C1 ZINC000933258506 1128432207 /nfs/dbraw/zinc/43/22/07/1128432207.db2.gz GYABFHIFMIQABR-MRXNPFEDSA-N 1 2 287.382 3.552 20 0 CHADLO CC[C@H](c1ccc(F)cc1)N1CCc2c([nH+]cn2CC)C1 ZINC000933258506 1128432210 /nfs/dbraw/zinc/43/22/10/1128432210.db2.gz GYABFHIFMIQABR-MRXNPFEDSA-N 1 2 287.382 3.552 20 0 CHADLO Cc1cc(N)nc(S[C@@H](C)c2cccc(Cl)c2)[nH+]1 ZINC000124933233 1128446585 /nfs/dbraw/zinc/44/65/85/1128446585.db2.gz QORYGFJMJYJODK-VIFPVBQESA-N 1 2 279.796 3.874 20 0 CHADLO Cc1ccccc1[C@H](C(C)C)[N@H+](C)Cc1csnn1 ZINC000125120762 1128447609 /nfs/dbraw/zinc/44/76/09/1128447609.db2.gz YHILGAHIMLNBSE-HNNXBMFYSA-N 1 2 275.421 3.676 20 0 CHADLO Cc1ccccc1[C@H](C(C)C)[N@@H+](C)Cc1csnn1 ZINC000125120762 1128447611 /nfs/dbraw/zinc/44/76/11/1128447611.db2.gz YHILGAHIMLNBSE-HNNXBMFYSA-N 1 2 275.421 3.676 20 0 CHADLO CCC[C@@](C)([NH2+]Cc1nnc(C(C)C)o1)c1ccccc1 ZINC000934187204 1128453631 /nfs/dbraw/zinc/45/36/31/1128453631.db2.gz TVYLVMOFRGIWCD-QGZVFWFLSA-N 1 2 287.407 3.998 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](C)c2cncs2)cs1 ZINC000934202107 1128454651 /nfs/dbraw/zinc/45/46/51/1128454651.db2.gz OVYCIANRDODPMV-JTQLQIEISA-N 1 2 281.450 3.793 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1cscn1)C(C)(C)C ZINC000934292015 1128457051 /nfs/dbraw/zinc/45/70/51/1128457051.db2.gz FVWRSXPPQQPYTJ-AWEZNQCLSA-N 1 2 275.421 3.724 20 0 CHADLO COc1cc([C@@H](C)[NH2+]Cc2cnsn2)cc2ccccc21 ZINC000934631269 1128465181 /nfs/dbraw/zinc/46/51/81/1128465181.db2.gz SMDGNQAQSQWWTG-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO CC(C)CC[N@H+](C)Cc1c(F)c(F)c(F)c(F)c1F ZINC000917072544 1128475609 /nfs/dbraw/zinc/47/56/09/1128475609.db2.gz MAHHUNVUYJDOHY-UHFFFAOYSA-N 1 2 281.268 3.860 20 0 CHADLO CC(C)CC[N@@H+](C)Cc1c(F)c(F)c(F)c(F)c1F ZINC000917072544 1128475610 /nfs/dbraw/zinc/47/56/10/1128475610.db2.gz MAHHUNVUYJDOHY-UHFFFAOYSA-N 1 2 281.268 3.860 20 0 CHADLO Fc1cc(C[NH+]2CCC(C(F)(F)F)CC2)cc(F)c1F ZINC000127196672 1128474008 /nfs/dbraw/zinc/47/40/08/1128474008.db2.gz YQFXJBDEDVZJNF-UHFFFAOYSA-N 1 2 297.242 3.878 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)c(F)cc1OC(C)C ZINC001212222506 1128480316 /nfs/dbraw/zinc/48/03/16/1128480316.db2.gz RMXMGIWZBMTMJT-UHFFFAOYSA-N 1 2 294.301 3.899 20 0 CHADLO Clc1cccc([C@@H]([NH2+]Cc2cc[nH]n2)c2ccccc2)c1 ZINC000066990555 1128480353 /nfs/dbraw/zinc/48/03/53/1128480353.db2.gz LNQONIYNPSRDSI-KRWDZBQOSA-N 1 2 297.789 3.942 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OCc1cccc(OC(C)C)c1 ZINC000917392993 1128497216 /nfs/dbraw/zinc/49/72/16/1128497216.db2.gz ZSKXXBZDBHUNLU-UHFFFAOYSA-N 1 2 298.386 3.829 20 0 CHADLO CC(C)[C@@H]1C[C@@H]([NH2+]CC(F)(F)c2ccccc2)CS1 ZINC000564537112 1128510823 /nfs/dbraw/zinc/51/08/23/1128510823.db2.gz NVAWVIZFZSYJAO-KGLIPLIRSA-N 1 2 285.403 3.898 20 0 CHADLO Cc1ccc(C[S@@](=O)[C@H]2CCc3ccccc3C2)c(C)[nH+]1 ZINC000565231654 1128522693 /nfs/dbraw/zinc/52/26/93/1128522693.db2.gz AYFIXLOCVKSSKE-GHTZIAJQSA-N 1 2 299.439 3.505 20 0 CHADLO CC(C)Oc1cccc(CO[NH+]=C(N)Cc2ccccc2)c1 ZINC000918185897 1128539631 /nfs/dbraw/zinc/53/96/31/1128539631.db2.gz MHBGWDIMLSJXLK-UHFFFAOYSA-N 1 2 298.386 3.715 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1ccc(Cl)cn1 ZINC000566696107 1128549478 /nfs/dbraw/zinc/54/94/78/1128549478.db2.gz LSPVWCSKUOYEEW-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO CC[C@H]1CC[C@H](C)[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000566980279 1128554962 /nfs/dbraw/zinc/55/49/62/1128554962.db2.gz PUIZDNMDZKRRFT-IUCAKERBSA-N 1 2 278.343 3.925 20 0 CHADLO CC[C@H]1CC[C@H](C)[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000566980279 1128554964 /nfs/dbraw/zinc/55/49/64/1128554964.db2.gz PUIZDNMDZKRRFT-IUCAKERBSA-N 1 2 278.343 3.925 20 0 CHADLO Cc1ccc(CSc2nc3c(nccc3C)[nH]2)c(C)[nH+]1 ZINC000556257560 1128569747 /nfs/dbraw/zinc/56/97/47/1128569747.db2.gz LKJMGMASJNNNPU-UHFFFAOYSA-N 1 2 284.388 3.570 20 0 CHADLO CCC[N@H+](C)[C@H](C(=O)OC)c1ccc(Cl)c(Cl)c1 ZINC000556301467 1128575599 /nfs/dbraw/zinc/57/55/99/1128575599.db2.gz BIZZCIFYZOSGGH-LBPRGKRZSA-N 1 2 290.190 3.549 20 0 CHADLO CCC[N@@H+](C)[C@H](C(=O)OC)c1ccc(Cl)c(Cl)c1 ZINC000556301467 1128575601 /nfs/dbraw/zinc/57/56/01/1128575601.db2.gz BIZZCIFYZOSGGH-LBPRGKRZSA-N 1 2 290.190 3.549 20 0 CHADLO CC(C)=CCC[C@@H](C)CC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000568273943 1128581808 /nfs/dbraw/zinc/58/18/08/1128581808.db2.gz OLQCLIDDBVLBIW-OAHLLOKOSA-N 1 2 299.418 3.723 20 0 CHADLO CC(C)SCCn1c[nH+]c(Cc2ccccc2)c1 ZINC000919150862 1128592150 /nfs/dbraw/zinc/59/21/50/1128592150.db2.gz OBWKOZPNWITYIY-UHFFFAOYSA-N 1 2 260.406 3.616 20 0 CHADLO Cc1c[nH+]c(CCS[C@@H](C)c2cnc(C)cn2)c(C)c1 ZINC000568867378 1128593279 /nfs/dbraw/zinc/59/32/79/1128593279.db2.gz VEYDVQOXTXCRKG-AWEZNQCLSA-N 1 2 287.432 3.834 20 0 CHADLO c1nc(C2CC2)sc1C[N@H+](Cc1ccccn1)C1CC1 ZINC000568970783 1128595346 /nfs/dbraw/zinc/59/53/46/1128595346.db2.gz HNAMSAGGCUMTEN-UHFFFAOYSA-N 1 2 285.416 3.580 20 0 CHADLO c1nc(C2CC2)sc1C[N@@H+](Cc1ccccn1)C1CC1 ZINC000568970783 1128595347 /nfs/dbraw/zinc/59/53/47/1128595347.db2.gz HNAMSAGGCUMTEN-UHFFFAOYSA-N 1 2 285.416 3.580 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@H]1CCCc3[nH]ncc31)CCC2 ZINC000352609431 1128603883 /nfs/dbraw/zinc/60/38/83/1128603883.db2.gz UAXAGVUCWMSRHS-SJORKVTESA-N 1 2 281.403 3.763 20 0 CHADLO Cc1ccccc1[C@H](CC(F)(F)F)[NH2+]Cc1cnccn1 ZINC000569788289 1128608994 /nfs/dbraw/zinc/60/89/94/1128608994.db2.gz OXWKFJUHAQZCCY-AWEZNQCLSA-N 1 2 295.308 3.568 20 0 CHADLO CC[C@H]1CC[C@@H]1Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000570233360 1128619347 /nfs/dbraw/zinc/61/93/47/1128619347.db2.gz IHPLMJMJZAIFEV-FZMZJTMJSA-N 1 2 259.328 3.612 20 0 CHADLO CC[C@@H]1CC[C@@H]1Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000570233361 1128619371 /nfs/dbraw/zinc/61/93/71/1128619371.db2.gz IHPLMJMJZAIFEV-RISCZKNCSA-N 1 2 259.328 3.612 20 0 CHADLO Cc1ccc(NCc2c[nH+]c3ccc(C)cn23)c(C)c1 ZINC000155940407 1128619799 /nfs/dbraw/zinc/61/97/99/1128619799.db2.gz UCWKYJXNDFVGMQ-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO Cc1ncccc1C[N@@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000131595361 1128629389 /nfs/dbraw/zinc/62/93/89/1128629389.db2.gz BCCVUYDPXWLDHT-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ncccc1C[N@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000131595361 1128629390 /nfs/dbraw/zinc/62/93/90/1128629390.db2.gz BCCVUYDPXWLDHT-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO CCc1cccc2c(C[N@H+](C)Cc3nccs3)c[nH]c21 ZINC001138365947 1128635311 /nfs/dbraw/zinc/63/53/11/1128635311.db2.gz PCEKAWKWLQPMFT-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO CCc1cccc2c(C[N@@H+](C)Cc3nccs3)c[nH]c21 ZINC001138365947 1128635313 /nfs/dbraw/zinc/63/53/13/1128635313.db2.gz PCEKAWKWLQPMFT-UHFFFAOYSA-N 1 2 285.416 3.819 20 0 CHADLO Cc1c[nH+]c(CCSc2ncccc2C)c(C)c1 ZINC000570962682 1128635608 /nfs/dbraw/zinc/63/56/08/1128635608.db2.gz ASAXUDPOUHMIGO-UHFFFAOYSA-N 1 2 258.390 3.737 20 0 CHADLO Cc1nnc(C[NH2+][C@H](CCC(C)C)c2ccoc2)s1 ZINC000556893642 1128637020 /nfs/dbraw/zinc/63/70/20/1128637020.db2.gz WPSIBOOXARKUIY-CYBMUJFWSA-N 1 2 279.409 3.707 20 0 CHADLO Cc1ccccc1C[N@@H+](C)[C@@H](C)c1nc(C(C)(C)C)no1 ZINC000073858376 1128648879 /nfs/dbraw/zinc/64/88/79/1128648879.db2.gz GJMDKAUZWKPBFN-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccccc1C[N@H+](C)[C@@H](C)c1nc(C(C)(C)C)no1 ZINC000073858376 1128648880 /nfs/dbraw/zinc/64/88/80/1128648880.db2.gz GJMDKAUZWKPBFN-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO Fc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)c(F)c1 ZINC000574020671 1128660469 /nfs/dbraw/zinc/66/04/69/1128660469.db2.gz UCZSTYUVPXWMCK-UHFFFAOYSA-N 1 2 274.314 3.935 20 0 CHADLO CCc1nc(N2C[C@@H](C)[C@@H]2c2ccccc2)cc(C)[nH+]1 ZINC000574144613 1128662238 /nfs/dbraw/zinc/66/22/38/1128662238.db2.gz GZHUHNKSHBBLHU-SJKOYZFVSA-N 1 2 267.376 3.545 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@H+](C)[C@H](C)c1cccs1 ZINC000157800919 1128663050 /nfs/dbraw/zinc/66/30/50/1128663050.db2.gz QUVVKZBAHKPDLX-SNVBAGLBSA-N 1 2 297.855 3.890 20 0 CHADLO CCc1nn(C)c(Cl)c1C[N@@H+](C)[C@H](C)c1cccs1 ZINC000157800919 1128663052 /nfs/dbraw/zinc/66/30/52/1128663052.db2.gz QUVVKZBAHKPDLX-SNVBAGLBSA-N 1 2 297.855 3.890 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC[C@H](c2ccn[nH]2)C1 ZINC000649252213 1128690820 /nfs/dbraw/zinc/69/08/20/1128690820.db2.gz XDUNJDMXLCBJGL-ZDUSSCGKSA-N 1 2 289.810 3.751 20 0 CHADLO COc1c(F)cc(C[N@@H+]2CCCC[C@@](C)(F)C2)cc1F ZINC001138422011 1128694113 /nfs/dbraw/zinc/69/41/13/1128694113.db2.gz XPFJELJDFLAVTQ-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO COc1c(F)cc(C[N@H+]2CCCC[C@@](C)(F)C2)cc1F ZINC001138422011 1128694114 /nfs/dbraw/zinc/69/41/14/1128694114.db2.gz XPFJELJDFLAVTQ-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2cc(C)cnc2Cl)o1 ZINC000921490075 1128710253 /nfs/dbraw/zinc/71/02/53/1128710253.db2.gz YHMOZFABAYZSHO-LLVKDONJSA-N 1 2 264.756 3.796 20 0 CHADLO CCCc1csc(C[N@@H+]2CCCc3occc3C2)n1 ZINC000578343009 1128714763 /nfs/dbraw/zinc/71/47/63/1128714763.db2.gz XCHVIFZXKGRJHM-UHFFFAOYSA-N 1 2 276.405 3.637 20 0 CHADLO CCCc1csc(C[N@H+]2CCCc3occc3C2)n1 ZINC000578343009 1128714765 /nfs/dbraw/zinc/71/47/65/1128714765.db2.gz XCHVIFZXKGRJHM-UHFFFAOYSA-N 1 2 276.405 3.637 20 0 CHADLO Cc1ccncc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000135446682 1128719190 /nfs/dbraw/zinc/71/91/90/1128719190.db2.gz NGYACJKJBXHASD-UHFFFAOYSA-N 1 2 267.376 3.602 20 0 CHADLO CC[C@H](c1ccccc1)N(CC)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000578871507 1128731822 /nfs/dbraw/zinc/73/18/22/1128731822.db2.gz LCIILUWBOYSZSA-NVXWUHKLSA-N 1 2 299.418 3.834 20 0 CHADLO CCN(C(=O)c1ccn2c(C)c[nH+]c2c1)[C@H]1CCCC[C@H]1C ZINC000654699756 1117744446 /nfs/dbraw/zinc/74/44/46/1117744446.db2.gz OTLVTWPKPRDWBG-CJNGLKHVSA-N 1 2 299.418 3.684 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(-c2ccccc2)o1)c1ccsc1 ZINC000061362703 1117751052 /nfs/dbraw/zinc/75/10/52/1117751052.db2.gz YTULAGJZNOFWKG-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1-n1ccc2ccc(N)cc21 ZINC001213499703 1117762570 /nfs/dbraw/zinc/76/25/70/1117762570.db2.gz WUPUQUZTCAYXKW-UHFFFAOYSA-N 1 2 263.344 3.794 20 0 CHADLO CCCC1(c2noc(-c3ccn4c(C)c[nH+]c4c3)n2)CCC1 ZINC000579734187 1117768338 /nfs/dbraw/zinc/76/83/38/1117768338.db2.gz SLEAXSALIRMUKS-UHFFFAOYSA-N 1 2 296.374 3.915 20 0 CHADLO C[C@H]([NH2+]C/C=C/c1ccccc1)c1nc(C(C)(C)C)no1 ZINC000171840601 1117768402 /nfs/dbraw/zinc/76/84/02/1117768402.db2.gz YPTSVWJYJSGQPK-YKWSONSWSA-N 1 2 285.391 3.731 20 0 CHADLO CCn1nc(C[N@H+](C)Cc2ccc(C)o2)c2ccccc21 ZINC000274634620 1117776895 /nfs/dbraw/zinc/77/68/95/1117776895.db2.gz ZUDCTDSBZWOOEY-UHFFFAOYSA-N 1 2 283.375 3.590 20 0 CHADLO CCn1nc(C[N@@H+](C)Cc2ccc(C)o2)c2ccccc21 ZINC000274634620 1117776898 /nfs/dbraw/zinc/77/68/98/1117776898.db2.gz ZUDCTDSBZWOOEY-UHFFFAOYSA-N 1 2 283.375 3.590 20 0 CHADLO Cc1cccc(C)c1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000074245600 1117781153 /nfs/dbraw/zinc/78/11/53/1117781153.db2.gz HALBMWNBABIPMF-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CC1(C)[C@H](Oc2ccccc2)C[C@@H]1Nc1cccc[nH+]1 ZINC000579765423 1117782180 /nfs/dbraw/zinc/78/21/80/1117782180.db2.gz CMBLSNJLODGFCY-LSDHHAIUSA-N 1 2 268.360 3.740 20 0 CHADLO Cc1[nH]c(CNc2ccc(F)c(Br)c2)[nH+]c1C ZINC000579025813 1128735767 /nfs/dbraw/zinc/73/57/67/1128735767.db2.gz LZYGWDCFGOPUOF-UHFFFAOYSA-N 1 2 298.159 3.540 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1nc(-c2ccccc2)no1 ZINC000623121778 1117816516 /nfs/dbraw/zinc/81/65/16/1117816516.db2.gz KVMMIZZHIXCAFN-UHFFFAOYSA-N 1 2 299.296 3.511 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1nc(-c2ccccc2)no1 ZINC000623121778 1117816524 /nfs/dbraw/zinc/81/65/24/1117816524.db2.gz KVMMIZZHIXCAFN-UHFFFAOYSA-N 1 2 299.296 3.511 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cnc(C(C)(C)C)nc2)C2CC2)o1 ZINC000671305085 1117823552 /nfs/dbraw/zinc/82/35/52/1117823552.db2.gz QRUIQFRDZCNNAG-UHFFFAOYSA-N 1 2 299.418 3.840 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cnc(C(C)(C)C)nc2)C2CC2)o1 ZINC000671305085 1117823558 /nfs/dbraw/zinc/82/35/58/1117823558.db2.gz QRUIQFRDZCNNAG-UHFFFAOYSA-N 1 2 299.418 3.840 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[N@@H+]1CCCOCC1 ZINC001238263350 1117838701 /nfs/dbraw/zinc/83/87/01/1117838701.db2.gz OECONWOQDWLNHU-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[N@H+]1CCCOCC1 ZINC001238263350 1117838705 /nfs/dbraw/zinc/83/87/05/1117838705.db2.gz OECONWOQDWLNHU-UHFFFAOYSA-N 1 2 274.191 3.524 20 0 CHADLO O=C(CCc1ccc(Cl)cc1)Nc1cccc2[nH+]ccn21 ZINC000607714965 1117847426 /nfs/dbraw/zinc/84/74/26/1117847426.db2.gz SJQPWKNWUHTZKL-UHFFFAOYSA-N 1 2 299.761 3.559 20 0 CHADLO CC/C=C\CCCCC[N@@H+]1CCO[C@H](C(F)(F)F)C1 ZINC001208194594 1117868047 /nfs/dbraw/zinc/86/80/47/1117868047.db2.gz QMFGIKUJBBJOGB-SCOBNMCVSA-N 1 2 279.346 3.776 20 0 CHADLO CC/C=C\CCCCC[N@H+]1CCO[C@H](C(F)(F)F)C1 ZINC001208194594 1117868052 /nfs/dbraw/zinc/86/80/52/1117868052.db2.gz QMFGIKUJBBJOGB-SCOBNMCVSA-N 1 2 279.346 3.776 20 0 CHADLO Cc1cc(N2CC(c3cccnc3)C2)[nH+]c2ccccc12 ZINC001167067779 1117873028 /nfs/dbraw/zinc/87/30/28/1117873028.db2.gz OYUJKXYFVYZCKL-UHFFFAOYSA-N 1 2 275.355 3.542 20 0 CHADLO Cc1c(F)cc[nH+]c1N1CC[C@@H](C2CCCCC2)C1 ZINC001167068342 1117878299 /nfs/dbraw/zinc/87/82/99/1117878299.db2.gz SDEYPIBFHBYNOG-CQSZACIVSA-N 1 2 262.372 3.936 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C(F)(F)F)OC(C)(C)C2)s1 ZINC000430216429 1117884142 /nfs/dbraw/zinc/88/41/42/1117884142.db2.gz HHKPCFJKYIQYEM-NSHDSACASA-N 1 2 293.354 3.598 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C(F)(F)F)OC(C)(C)C2)s1 ZINC000430216429 1117884146 /nfs/dbraw/zinc/88/41/46/1117884146.db2.gz HHKPCFJKYIQYEM-NSHDSACASA-N 1 2 293.354 3.598 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3C[C@@H](C)[C@H]3C)cs2)o1 ZINC000339571153 1117885257 /nfs/dbraw/zinc/88/52/57/1117885257.db2.gz BGHWYQRDQATUCI-MWLCHTKSSA-N 1 2 262.378 3.552 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3C[C@@H](C)[C@H]3C)cs2)o1 ZINC000339571153 1117885262 /nfs/dbraw/zinc/88/52/62/1117885262.db2.gz BGHWYQRDQATUCI-MWLCHTKSSA-N 1 2 262.378 3.552 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cc(F)cc2cccnc21 ZINC000623680071 1117888488 /nfs/dbraw/zinc/88/84/88/1117888488.db2.gz WKLZFBDJJTVRAP-UHFFFAOYSA-N 1 2 268.282 3.509 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2cccnc2Cl)n1 ZINC000160248339 1117894574 /nfs/dbraw/zinc/89/45/74/1117894574.db2.gz PSQPYBFIOKIQOX-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2cccnc2Cl)n1 ZINC000160248339 1117894581 /nfs/dbraw/zinc/89/45/81/1117894581.db2.gz PSQPYBFIOKIQOX-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CC(C)C[C@H](C)C[C@H](C)N1CC([NH+]2CCC(F)CC2)C1 ZINC001258076491 1128743346 /nfs/dbraw/zinc/74/33/46/1128743346.db2.gz ZYSJSGWMZLIQSV-GJZGRUSLSA-N 1 2 284.463 3.565 20 0 CHADLO CCc1ccccc1NC(=O)C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000471175530 1117921749 /nfs/dbraw/zinc/92/17/49/1117921749.db2.gz GUYKLBQKALGAOZ-GOSISDBHSA-N 1 2 294.398 3.635 20 0 CHADLO CCc1ccccc1NC(=O)C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000471175530 1117921751 /nfs/dbraw/zinc/92/17/51/1117921751.db2.gz GUYKLBQKALGAOZ-GOSISDBHSA-N 1 2 294.398 3.635 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H]2COc3ccc(C)cc32)n1 ZINC000623920550 1117956577 /nfs/dbraw/zinc/95/65/77/1117956577.db2.gz QBNAHBMACWPBGY-DGCLKSJQSA-N 1 2 274.389 3.544 20 0 CHADLO COCC[C@@H]([NH2+][C@H](C)c1nc(C)cs1)c1ccc(C)o1 ZINC000623956804 1117961547 /nfs/dbraw/zinc/96/15/47/1117961547.db2.gz RINVULSRCVQNBZ-CHWSQXEVSA-N 1 2 294.420 3.781 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC001138480364 1128746886 /nfs/dbraw/zinc/74/68/86/1128746886.db2.gz QSIBWCZSDCQTKE-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CCC[C@](C)(F)C1 ZINC001138480364 1128746887 /nfs/dbraw/zinc/74/68/87/1128746887.db2.gz QSIBWCZSDCQTKE-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO CCSc1cc[nH+]c(N(C)C[C@H]2CC2(C)C)c1 ZINC001167090382 1117971202 /nfs/dbraw/zinc/97/12/02/1117971202.db2.gz QMOMCQUQHDPTQN-LLVKDONJSA-N 1 2 250.411 3.676 20 0 CHADLO FC(F)n1c2ccccc2n(C[NH+]2CCCC2)c1=S ZINC000073340807 1117983946 /nfs/dbraw/zinc/98/39/46/1117983946.db2.gz AIZOZXKAABOOMP-UHFFFAOYSA-N 1 2 283.347 3.621 20 0 CHADLO Cc1nnsc1C[N@@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000579430709 1128747933 /nfs/dbraw/zinc/74/79/33/1128747933.db2.gz XVUPGVRXYAGICA-SNVBAGLBSA-N 1 2 281.812 3.693 20 0 CHADLO Cc1nnsc1C[N@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000579430709 1128747936 /nfs/dbraw/zinc/74/79/36/1128747936.db2.gz XVUPGVRXYAGICA-SNVBAGLBSA-N 1 2 281.812 3.693 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](CC(C)C)c1ccncc1 ZINC000624148918 1117989754 /nfs/dbraw/zinc/98/97/54/1117989754.db2.gz RGCCMMFILXWPTA-CYBMUJFWSA-N 1 2 256.340 3.804 20 0 CHADLO CC1=C[C@H](C)C[N@@H+]([C@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000430284251 1117994405 /nfs/dbraw/zinc/99/44/05/1117994405.db2.gz LCIBWSXYEGAZRH-WCQYABFASA-N 1 2 292.810 3.565 20 0 CHADLO CC1=C[C@H](C)C[N@H+]([C@H](C)C(=O)Nc2ccccc2Cl)C1 ZINC000430284251 1117994409 /nfs/dbraw/zinc/99/44/09/1117994409.db2.gz LCIBWSXYEGAZRH-WCQYABFASA-N 1 2 292.810 3.565 20 0 CHADLO F[C@H]1CCC[N@H+](CCc2c(Cl)cccc2Cl)C1 ZINC001209113303 1117998429 /nfs/dbraw/zinc/99/84/29/1117998429.db2.gz WFTCNRAZHOYCNB-JTQLQIEISA-N 1 2 276.182 3.970 20 0 CHADLO F[C@H]1CCC[N@@H+](CCc2c(Cl)cccc2Cl)C1 ZINC001209113303 1117998431 /nfs/dbraw/zinc/99/84/31/1117998431.db2.gz WFTCNRAZHOYCNB-JTQLQIEISA-N 1 2 276.182 3.970 20 0 CHADLO Cc1nc(C[NH2+][C@@]2(c3ccccc3)CC2(C)C)c(C)o1 ZINC000662341274 1117998477 /nfs/dbraw/zinc/99/84/77/1117998477.db2.gz RWUKXZGNVBMEGQ-QGZVFWFLSA-N 1 2 270.376 3.706 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1ncc(Cl)cc1Cl ZINC000181991787 1118011485 /nfs/dbraw/zinc/01/14/85/1118011485.db2.gz OUBKGHNYCHITOQ-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1ncc(Cl)cc1Cl ZINC000181991787 1118011493 /nfs/dbraw/zinc/01/14/93/1118011493.db2.gz OUBKGHNYCHITOQ-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2ncc(C)o2)cc1 ZINC000182125859 1118013727 /nfs/dbraw/zinc/01/37/27/1118013727.db2.gz VJJPFPPDCRXGFK-GFCCVEGCSA-N 1 2 276.405 3.946 20 0 CHADLO Cc1cc2c(cn1)CN(c1cc(C)c3ccccc3[nH+]1)C2 ZINC001167110725 1118026159 /nfs/dbraw/zinc/02/61/59/1118026159.db2.gz WNZJFDNTNJVHJZ-UHFFFAOYSA-N 1 2 275.355 3.767 20 0 CHADLO COCc1ccccc1CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000037501509 1118028930 /nfs/dbraw/zinc/02/89/30/1118028930.db2.gz LHCCBUIVQABPOL-UHFFFAOYSA-N 1 2 285.391 3.667 20 0 CHADLO CCCc1noc(C[N@H+](C)[C@@H](C)c2ccc(C)cc2C)n1 ZINC000615463149 1128751084 /nfs/dbraw/zinc/75/10/84/1128751084.db2.gz BAUQICJQDLWSMK-AWEZNQCLSA-N 1 2 287.407 3.832 20 0 CHADLO CCCc1noc(C[N@@H+](C)[C@@H](C)c2ccc(C)cc2C)n1 ZINC000615463149 1128751086 /nfs/dbraw/zinc/75/10/86/1128751086.db2.gz BAUQICJQDLWSMK-AWEZNQCLSA-N 1 2 287.407 3.832 20 0 CHADLO CC[C@H](c1ccccc1)N(C)c1nc(C)[nH+]c(C)c1C ZINC001167129080 1118046048 /nfs/dbraw/zinc/04/60/48/1118046048.db2.gz WIUTUSUKJVHVGW-MRXNPFEDSA-N 1 2 269.392 3.989 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183718944 1118048243 /nfs/dbraw/zinc/04/82/43/1118048243.db2.gz KDYUVDVBVAALMK-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183718944 1118048247 /nfs/dbraw/zinc/04/82/47/1118048247.db2.gz KDYUVDVBVAALMK-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccncc1Cl)c1nc(C)cs1 ZINC000184354517 1118053789 /nfs/dbraw/zinc/05/37/89/1118053789.db2.gz DYWJBJRAVXHZTR-GFCCVEGCSA-N 1 2 281.812 3.741 20 0 CHADLO CC(C)Oc1cccc([C@@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)c1 ZINC000367629337 1118055924 /nfs/dbraw/zinc/05/59/24/1118055924.db2.gz BAOVWHKNWJEYNV-CXAGYDPISA-N 1 2 299.418 3.925 20 0 CHADLO CCCCc1noc(C[N@@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000046088311 1118057847 /nfs/dbraw/zinc/05/78/47/1118057847.db2.gz AZJYDHOKCPTFFK-ZDUSSCGKSA-N 1 2 291.420 3.811 20 0 CHADLO CCCCc1noc(C[N@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000046088311 1118057850 /nfs/dbraw/zinc/05/78/50/1118057850.db2.gz AZJYDHOKCPTFFK-ZDUSSCGKSA-N 1 2 291.420 3.811 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C(C)C)no1)c1ccc(C)cc1 ZINC000193235241 1118065577 /nfs/dbraw/zinc/06/55/77/1118065577.db2.gz BUUMPDALMGAEOV-CQSZACIVSA-N 1 2 273.380 3.742 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1ccccc1Cl)CC2 ZINC001203388122 1118071480 /nfs/dbraw/zinc/07/14/80/1118071480.db2.gz IJDKNMGSLWCVQZ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1ccccc1Cl)CC2 ZINC001203388122 1118071484 /nfs/dbraw/zinc/07/14/84/1118071484.db2.gz IJDKNMGSLWCVQZ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CN(C)c1ccccc1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC001209779905 1118090154 /nfs/dbraw/zinc/09/01/54/1118090154.db2.gz RUPAZVNAJZGBDW-UHFFFAOYSA-N 1 2 286.806 3.922 20 0 CHADLO CN(C)c1ccccc1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC001209779905 1118090160 /nfs/dbraw/zinc/09/01/60/1118090160.db2.gz RUPAZVNAJZGBDW-UHFFFAOYSA-N 1 2 286.806 3.922 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[NH+]1CCC(F)CC1 ZINC001204472747 1118112492 /nfs/dbraw/zinc/11/24/92/1118112492.db2.gz ORIJHNVTLUBHKN-UHFFFAOYSA-N 1 2 279.252 3.778 20 0 CHADLO C[C@H]1CC[N@H+](Cn2ncsc2=S)CC12CCCCC2 ZINC000764954352 1118126853 /nfs/dbraw/zinc/12/68/53/1118126853.db2.gz LXGIFPHLMDJAIU-LBPRGKRZSA-N 1 2 297.493 3.924 20 0 CHADLO C[C@H]1CC[N@@H+](Cn2ncsc2=S)CC12CCCCC2 ZINC000764954352 1118126857 /nfs/dbraw/zinc/12/68/57/1118126857.db2.gz LXGIFPHLMDJAIU-LBPRGKRZSA-N 1 2 297.493 3.924 20 0 CHADLO C[C@@H]([NH2+]Cc1ncoc1-c1ccccc1)c1ccncc1F ZINC000340265335 1118127298 /nfs/dbraw/zinc/12/72/98/1118127298.db2.gz PUUXCYZGEJBGTH-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO FC(F)(F)c1cc(Nc2ccc3c[nH+]ccc3c2)on1 ZINC001210043269 1118143071 /nfs/dbraw/zinc/14/30/71/1118143071.db2.gz BLMARZCFHMDDQH-UHFFFAOYSA-N 1 2 279.221 3.985 20 0 CHADLO CCc1ccc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000024050161 1118181355 /nfs/dbraw/zinc/18/13/55/1118181355.db2.gz MWETYYURKOUDJC-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)cc1C ZINC000024049599 1118181433 /nfs/dbraw/zinc/18/14/33/1118181433.db2.gz LXJUYJINVFQLFQ-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2ncc(C(F)(F)F)s2)c1 ZINC000650242245 1118188448 /nfs/dbraw/zinc/18/84/48/1118188448.db2.gz DXWHMJBVRLAEMD-UHFFFAOYSA-N 1 2 287.310 3.786 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(C3CC3)cc2)c1 ZINC000650242902 1118190915 /nfs/dbraw/zinc/19/09/15/1118190915.db2.gz BHMZEPLHRINWPJ-UHFFFAOYSA-N 1 2 268.360 3.718 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(OC)c(Cl)c2)c1 ZINC000650243913 1118192743 /nfs/dbraw/zinc/19/27/43/1118192743.db2.gz UXUWPPZTZYAEQK-UHFFFAOYSA-N 1 2 292.766 3.502 20 0 CHADLO COCc1cc[nH+]c(NCc2c(C)cc(C)cc2C)c1 ZINC000650244847 1118193844 /nfs/dbraw/zinc/19/38/44/1118193844.db2.gz RXZUBUFYTKFTGC-UHFFFAOYSA-N 1 2 270.376 3.765 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@@H]2CCc3c2cccc3F)n1 ZINC000921613568 1118206262 /nfs/dbraw/zinc/20/62/62/1118206262.db2.gz JQRCQDYXYSBIRO-CQSZACIVSA-N 1 2 288.366 3.888 20 0 CHADLO CC(C)[C@H](C)[NH2+]c1ccc(N2CCSCC2)cc1 ZINC000179900398 1128765435 /nfs/dbraw/zinc/76/54/35/1128765435.db2.gz GFIDOBGSCLOYQK-ZDUSSCGKSA-N 1 2 264.438 3.696 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](c2ccco2)c2ccccc2)n1 ZINC000051574093 1118224193 /nfs/dbraw/zinc/22/41/93/1118224193.db2.gz QSKXMEXEZNSRIN-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1csc(C[NH2+]C2(c3nc(C)cs3)CCCC2)n1 ZINC000172268882 1118227673 /nfs/dbraw/zinc/22/76/73/1118227673.db2.gz AEWCHFBSDPIFIM-UHFFFAOYSA-N 1 2 293.461 3.776 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nnc(C3CC3)o2)C2CC2)cc1C ZINC000077657327 1118231327 /nfs/dbraw/zinc/23/13/27/1118231327.db2.gz SCLYWZYROCGASI-UHFFFAOYSA-N 1 2 297.402 3.728 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nnc(C3CC3)o2)C2CC2)cc1C ZINC000077657327 1118231331 /nfs/dbraw/zinc/23/13/31/1118231331.db2.gz SCLYWZYROCGASI-UHFFFAOYSA-N 1 2 297.402 3.728 20 0 CHADLO C=Cc1ccc(CNc2[nH+]cc(OC)c3cc[nH]c32)cc1 ZINC001168573871 1118236457 /nfs/dbraw/zinc/23/64/57/1118236457.db2.gz FELUMDSFOSSISL-UHFFFAOYSA-N 1 2 279.343 3.827 20 0 CHADLO CC(=O)c1cc(Cl)c(F)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210290611 1118236445 /nfs/dbraw/zinc/23/64/45/1118236445.db2.gz ADUQORDSJVLLJA-UHFFFAOYSA-N 1 2 293.729 3.711 20 0 CHADLO Cc1cc([NH2+][C@@H](C2CC2)[C@H]2CCCOC2)ccc1N(C)C ZINC000926053084 1118241380 /nfs/dbraw/zinc/24/13/80/1118241380.db2.gz VKTRQUZRLODYMD-YJBOKZPZSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@@H](C2CC2)[C@H]2CCCOC2)ccc1[NH+](C)C ZINC000926053084 1118241384 /nfs/dbraw/zinc/24/13/84/1118241384.db2.gz VKTRQUZRLODYMD-YJBOKZPZSA-N 1 2 288.435 3.678 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2noc3ccccc23)o1 ZINC000655854736 1118243763 /nfs/dbraw/zinc/24/37/63/1118243763.db2.gz UKZUNNAKPYRNAQ-NSHDSACASA-N 1 2 270.332 3.834 20 0 CHADLO Fc1ccc([C@@H]2C[N@H+](Cc3cccc(F)c3)CCO2)cc1 ZINC000044736400 1118251218 /nfs/dbraw/zinc/25/12/18/1118251218.db2.gz LGTFCUCUMAXTMY-KRWDZBQOSA-N 1 2 289.325 3.538 20 0 CHADLO Fc1ccc([C@@H]2C[N@@H+](Cc3cccc(F)c3)CCO2)cc1 ZINC000044736400 1118251219 /nfs/dbraw/zinc/25/12/19/1118251219.db2.gz LGTFCUCUMAXTMY-KRWDZBQOSA-N 1 2 289.325 3.538 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1cccc(Cl)n1 ZINC000179906281 1128765790 /nfs/dbraw/zinc/76/57/90/1128765790.db2.gz ZWQIYXYSZAXIGI-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO CN(C)c1ccccc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC001648864050 1118266146 /nfs/dbraw/zinc/26/61/46/1118266146.db2.gz BMEIGUATYQLHGG-UHFFFAOYSA-N 1 2 292.386 3.550 20 0 CHADLO Cc1cccnc1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000071339260 1118276478 /nfs/dbraw/zinc/27/64/78/1118276478.db2.gz CJXMKSOVZPFALS-NSHDSACASA-N 1 2 262.303 3.519 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1nc2ccccc2s1 ZINC000057620235 1118282367 /nfs/dbraw/zinc/28/23/67/1118282367.db2.gz PSZLKXWCXYJELY-UHFFFAOYSA-N 1 2 274.311 3.681 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1nc2ccccc2s1 ZINC000057620235 1118282370 /nfs/dbraw/zinc/28/23/70/1118282370.db2.gz PSZLKXWCXYJELY-UHFFFAOYSA-N 1 2 274.311 3.681 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)C(C)C ZINC000179948935 1128768608 /nfs/dbraw/zinc/76/86/08/1128768608.db2.gz XLLSHOXIPNNZHX-PBHICJAKSA-N 1 2 276.424 3.758 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2nnc(-c3ccccc3)o2)o1 ZINC000061760928 1118309780 /nfs/dbraw/zinc/30/97/80/1118309780.db2.gz ABMRGCOPQGHPLN-ZDUSSCGKSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2nnc(-c3ccccc3)o2)o1 ZINC000061760928 1118309784 /nfs/dbraw/zinc/30/97/84/1118309784.db2.gz ABMRGCOPQGHPLN-ZDUSSCGKSA-N 1 2 297.358 3.831 20 0 CHADLO CC[C@H](Sc1nc(N)cc(C)[nH+]1)c1ccccc1 ZINC000064368070 1118324836 /nfs/dbraw/zinc/32/48/36/1118324836.db2.gz VYMLKRJCPXWWLJ-LBPRGKRZSA-N 1 2 259.378 3.611 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@@H+]1CCC[C@@H](F)C1 ZINC001655017057 1118330732 /nfs/dbraw/zinc/33/07/32/1118330732.db2.gz OUPPOTQHVSJQNX-ZWNOBZJWSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@H+]1CCC[C@@H](F)C1 ZINC001655017057 1118330736 /nfs/dbraw/zinc/33/07/36/1118330736.db2.gz OUPPOTQHVSJQNX-ZWNOBZJWSA-N 1 2 286.188 3.944 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nnc(C(C)C)[nH]2)c(Cl)c1 ZINC000565484727 1118354246 /nfs/dbraw/zinc/35/42/46/1118354246.db2.gz APNZLPPSCYEBFY-LLVKDONJSA-N 1 2 292.814 3.741 20 0 CHADLO COC1CCC(Nc2cc3cc(F)ccc3c[nH+]2)CC1 ZINC001168603394 1118368804 /nfs/dbraw/zinc/36/88/04/1118368804.db2.gz KESBULIEVHNXIM-UHFFFAOYSA-N 1 2 274.339 3.743 20 0 CHADLO C[C@H](COCc1ccccc1)C[N@H+](CC(F)F)C1CC1 ZINC001167350662 1118375746 /nfs/dbraw/zinc/37/57/46/1118375746.db2.gz NMVZWCZKCXUWGG-ZDUSSCGKSA-N 1 2 283.362 3.569 20 0 CHADLO C[C@H](COCc1ccccc1)C[N@@H+](CC(F)F)C1CC1 ZINC001167350662 1118375749 /nfs/dbraw/zinc/37/57/49/1118375749.db2.gz NMVZWCZKCXUWGG-ZDUSSCGKSA-N 1 2 283.362 3.569 20 0 CHADLO COc1cc(Nc2cc(Cl)nc(Cl)c2)cc(C)[nH+]1 ZINC001210777066 1118381735 /nfs/dbraw/zinc/38/17/35/1118381735.db2.gz VLYOHJRCKWEBFB-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CC[C@H](OC(F)F)C2)c(Cl)c1 ZINC000584098901 1118386430 /nfs/dbraw/zinc/38/64/30/1118386430.db2.gz JJHZMJFVJOUIPV-VIFPVBQESA-N 1 2 293.716 3.601 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CC[C@H](OC(F)F)C2)c(Cl)c1 ZINC000584098901 1118386432 /nfs/dbraw/zinc/38/64/32/1118386432.db2.gz JJHZMJFVJOUIPV-VIFPVBQESA-N 1 2 293.716 3.601 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCCC[C@H]2c2ccc(C)cc2)on1 ZINC000580209180 1118401497 /nfs/dbraw/zinc/40/14/97/1118401497.db2.gz WPRYTWZJMPWQRL-SFHVURJKSA-N 1 2 298.386 3.913 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCCC[C@H]2c2ccc(C)cc2)on1 ZINC000580209180 1118401499 /nfs/dbraw/zinc/40/14/99/1118401499.db2.gz WPRYTWZJMPWQRL-SFHVURJKSA-N 1 2 298.386 3.913 20 0 CHADLO Clc1cccc2c1CN(Cc1ccc3[nH+]ccn3c1)C2 ZINC001143034143 1118405312 /nfs/dbraw/zinc/40/53/12/1118405312.db2.gz XGSUBUZCJGFCKC-UHFFFAOYSA-N 1 2 283.762 3.504 20 0 CHADLO Cc1sc2ncnc(NCc3cc(C)[nH+]c(C)c3)c2c1C ZINC000580299567 1118415173 /nfs/dbraw/zinc/41/51/73/1118415173.db2.gz DVQRYTUNARMBDL-UHFFFAOYSA-N 1 2 298.415 3.932 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)c(N)c(F)c2)cc1 ZINC001210913189 1118419200 /nfs/dbraw/zinc/41/92/00/1118419200.db2.gz TWRDJJJBUMHQCK-UHFFFAOYSA-N 1 2 277.318 3.747 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)c(N)c(F)c2)cc1 ZINC001210913189 1118419202 /nfs/dbraw/zinc/41/92/02/1118419202.db2.gz TWRDJJJBUMHQCK-UHFFFAOYSA-N 1 2 277.318 3.747 20 0 CHADLO Cc1cc(C[N@@H+](CC(=O)c2ccccc2)C(C)C)oc1C ZINC001204533916 1118426201 /nfs/dbraw/zinc/42/62/01/1118426201.db2.gz UQYAOEBUQBSBRP-UHFFFAOYSA-N 1 2 285.387 3.990 20 0 CHADLO Cc1cc(C[N@H+](CC(=O)c2ccccc2)C(C)C)oc1C ZINC001204533916 1118426203 /nfs/dbraw/zinc/42/62/03/1118426203.db2.gz UQYAOEBUQBSBRP-UHFFFAOYSA-N 1 2 285.387 3.990 20 0 CHADLO C[C@@H]1CSCC[N@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000276879726 1118429923 /nfs/dbraw/zinc/42/99/23/1118429923.db2.gz MJSQJNRYOMVLTL-VIFPVBQESA-N 1 2 291.247 3.573 20 0 CHADLO C[C@@H]1CSCC[N@@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000276879726 1118429924 /nfs/dbraw/zinc/42/99/24/1118429924.db2.gz MJSQJNRYOMVLTL-VIFPVBQESA-N 1 2 291.247 3.573 20 0 CHADLO Clc1cccc(CNc2cc3cc[nH]c3c[nH+]2)c1 ZINC000683893454 1118431582 /nfs/dbraw/zinc/43/15/82/1118431582.db2.gz XHLAQIJXQARESV-UHFFFAOYSA-N 1 2 257.724 3.828 20 0 CHADLO Cc1ccc(Nc2cccc(-c3nc(CO)cs3)c2)[nH+]c1 ZINC001211012353 1118439186 /nfs/dbraw/zinc/43/91/86/1118439186.db2.gz BDCUZIAQXOPSAO-UHFFFAOYSA-N 1 2 297.383 3.749 20 0 CHADLO CCOC(=O)CCc1ccc(Nc2ccc(C)c[nH+]2)cc1 ZINC001211012361 1118439295 /nfs/dbraw/zinc/43/92/95/1118439295.db2.gz BTRQRFYSNOLYFC-UHFFFAOYSA-N 1 2 284.359 3.629 20 0 CHADLO Cc1nn(-c2ccc(C)cc2)cc1C[N@H+](C)Cc1ccoc1 ZINC000656250151 1118476605 /nfs/dbraw/zinc/47/66/05/1118476605.db2.gz KPIFZIUAIIWBET-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1nn(-c2ccc(C)cc2)cc1C[N@@H+](C)Cc1ccoc1 ZINC000656250151 1118476609 /nfs/dbraw/zinc/47/66/09/1118476609.db2.gz KPIFZIUAIIWBET-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1c2cccc(C)c2oc1C[N@H+](C)Cc1cocn1 ZINC000651973883 1118481369 /nfs/dbraw/zinc/48/13/69/1118481369.db2.gz IRFFNJPCAWOORN-UHFFFAOYSA-N 1 2 270.332 3.670 20 0 CHADLO Cc1c2cccc(C)c2oc1C[N@@H+](C)Cc1cocn1 ZINC000651973883 1118481371 /nfs/dbraw/zinc/48/13/71/1118481371.db2.gz IRFFNJPCAWOORN-UHFFFAOYSA-N 1 2 270.332 3.670 20 0 CHADLO CC[C@@H]1CC[C@@H](C)[N@@H+]1Cc1ncc(Br)s1 ZINC000683996392 1118482263 /nfs/dbraw/zinc/48/22/63/1118482263.db2.gz SODRTORWWMQTNK-RKDXNWHRSA-N 1 2 289.242 3.669 20 0 CHADLO CC[C@@H]1CC[C@@H](C)[N@H+]1Cc1ncc(Br)s1 ZINC000683996392 1118482266 /nfs/dbraw/zinc/48/22/66/1118482266.db2.gz SODRTORWWMQTNK-RKDXNWHRSA-N 1 2 289.242 3.669 20 0 CHADLO Oc1cc(F)c(-c2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC001211340474 1118505900 /nfs/dbraw/zinc/50/59/00/1118505900.db2.gz VRPLKQRAFCKWEI-UHFFFAOYSA-N 1 2 272.254 3.523 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3[C@H]2C)cc(C)c1F ZINC001143382046 1118517660 /nfs/dbraw/zinc/51/76/60/1118517660.db2.gz SVJBVZYPTCKINI-CQSZACIVSA-N 1 2 272.367 3.821 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3[C@H]2C)cc(C)c1F ZINC001143382046 1118517661 /nfs/dbraw/zinc/51/76/61/1118517661.db2.gz SVJBVZYPTCKINI-CQSZACIVSA-N 1 2 272.367 3.821 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1ncc(C(C)(C)C)s1 ZINC000684110177 1118521276 /nfs/dbraw/zinc/52/12/76/1118521276.db2.gz OJTIRGOQHYKOMX-VIFPVBQESA-N 1 2 293.436 3.896 20 0 CHADLO C=CC[N@@H+](CCc1ccccc1)CC(=C)Br ZINC000062364205 1118526357 /nfs/dbraw/zinc/52/63/57/1118526357.db2.gz HUFKIXQHBOPCPI-UHFFFAOYSA-N 1 2 280.209 3.626 20 0 CHADLO C=CC[N@H+](CCc1ccccc1)CC(=C)Br ZINC000062364205 1118526359 /nfs/dbraw/zinc/52/63/59/1118526359.db2.gz HUFKIXQHBOPCPI-UHFFFAOYSA-N 1 2 280.209 3.626 20 0 CHADLO Cc1cc(NC2CCC(OC(C)C)CC2)nc(C2CC2)[nH+]1 ZINC000130125646 1118534657 /nfs/dbraw/zinc/53/46/57/1118534657.db2.gz IATXKIKSTYIJCU-UHFFFAOYSA-N 1 2 289.423 3.811 20 0 CHADLO COC(=O)c1ccc(Nc2c[nH+]cc(C)c2C)cc1C ZINC001213528681 1118541960 /nfs/dbraw/zinc/54/19/60/1118541960.db2.gz LGFDENJONJNYLO-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO CCC(=O)Nc1cccc(Nc2c[nH+]cc(C)c2C)c1 ZINC001213531190 1118547724 /nfs/dbraw/zinc/54/77/24/1118547724.db2.gz VSNBXKUSFFAGBG-UHFFFAOYSA-N 1 2 269.348 3.791 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2cscc2C(F)F)c[nH+]1 ZINC000891370046 1118562426 /nfs/dbraw/zinc/56/24/26/1118562426.db2.gz IOTZANKCARWWPB-UHFFFAOYSA-N 1 2 296.342 3.628 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000341036725 1118592587 /nfs/dbraw/zinc/59/25/87/1118592587.db2.gz RBUXVDRMEFLWSY-STQMWFEESA-N 1 2 299.418 3.923 20 0 CHADLO Fc1cccc(OC(F)(F)F)c1C[NH2+]Cc1ccco1 ZINC000341131983 1118603683 /nfs/dbraw/zinc/60/36/83/1118603683.db2.gz OXISWVBTWFQQNQ-UHFFFAOYSA-N 1 2 289.228 3.607 20 0 CHADLO CCC1(C[NH2+]c2ccc(-n3ccc(C)n3)cc2)CCOCC1 ZINC000341209120 1118621924 /nfs/dbraw/zinc/62/19/24/1118621924.db2.gz CDBWBCQKEXDZAO-UHFFFAOYSA-N 1 2 299.418 3.799 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1ccc(C(F)(F)F)s1)C2 ZINC000656695903 1118639826 /nfs/dbraw/zinc/63/98/26/1118639826.db2.gz WTRHFWLNXGUVID-UHFFFAOYSA-N 1 2 279.302 3.701 20 0 CHADLO CSc1ccc(Cl)cc1NCc1[nH+]cc(C)n1C ZINC000341256220 1118642671 /nfs/dbraw/zinc/64/26/71/1118642671.db2.gz GJIDFLGOEMWCOO-UHFFFAOYSA-N 1 2 281.812 3.716 20 0 CHADLO Cc1c[nH+]c(CNc2cc(C(F)(F)F)ccc2C)n1C ZINC000341263845 1118644572 /nfs/dbraw/zinc/64/45/72/1118644572.db2.gz RUPORPIYGYVDTG-UHFFFAOYSA-N 1 2 283.297 3.668 20 0 CHADLO CC(C)n1c2ccccc2[nH+]c1NCc1ccn(C)c1 ZINC000656781267 1118654696 /nfs/dbraw/zinc/65/46/96/1118654696.db2.gz LHEYORSBKDMLPA-UHFFFAOYSA-N 1 2 268.364 3.568 20 0 CHADLO CO[C@@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)C12CCC2 ZINC000308388723 1118659691 /nfs/dbraw/zinc/65/96/91/1118659691.db2.gz HJHHKRRBGSFQPG-IAGOWNOFSA-N 1 2 286.419 3.656 20 0 CHADLO Clc1cccc2c(NCc3cccnc3)cc[nH+]c12 ZINC000341322460 1118664589 /nfs/dbraw/zinc/66/45/89/1118664589.db2.gz NZYNZDLQKYRVIY-UHFFFAOYSA-N 1 2 269.735 3.895 20 0 CHADLO CCOCc1cccc(NCc2c[nH+]c3c(C)cccn23)c1 ZINC000128913688 1118676016 /nfs/dbraw/zinc/67/60/16/1118676016.db2.gz SMDZXUGONGFCQR-UHFFFAOYSA-N 1 2 295.386 3.791 20 0 CHADLO Cc1nc(N2CC[C@H](c3ccccc3)C[C@H]2C)cc[nH+]1 ZINC000341367580 1118676192 /nfs/dbraw/zinc/67/61/92/1118676192.db2.gz FNZBLSUAOIPTDU-CJNGLKHVSA-N 1 2 267.376 3.558 20 0 CHADLO Cc1c[nH+]c(CNc2ccc(CCC(F)(F)F)cc2)n1C ZINC000341369523 1118676613 /nfs/dbraw/zinc/67/66/13/1118676613.db2.gz YXWNHQPWMFSMQX-UHFFFAOYSA-N 1 2 297.324 3.836 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1cnc(C)s1 ZINC000128917304 1118676910 /nfs/dbraw/zinc/67/69/10/1118676910.db2.gz PCIZFGGLEZBXKU-UHFFFAOYSA-N 1 2 290.367 3.572 20 0 CHADLO CC(C)([NH2+]Cc1cccc(C(F)(F)F)c1)C(F)F ZINC000684414867 1118687296 /nfs/dbraw/zinc/68/72/96/1118687296.db2.gz UISGHXYCKCINHJ-UHFFFAOYSA-N 1 2 267.241 3.839 20 0 CHADLO CC(C)([NH2+]Cc1cccc(-c2cccnc2)c1)C(F)F ZINC000684413990 1118688280 /nfs/dbraw/zinc/68/82/80/1118688280.db2.gz OHXKAAGVPUFHHL-UHFFFAOYSA-N 1 2 276.330 3.882 20 0 CHADLO COc1ccc2cc(C[NH2+]C(C)(C)C(F)F)ccc2c1 ZINC000684413857 1118688456 /nfs/dbraw/zinc/68/84/56/1118688456.db2.gz HSUVXATZIFUGQV-UHFFFAOYSA-N 1 2 279.330 3.982 20 0 CHADLO Cc1cc(N2CCC[C@@H](C(F)(F)F)C2)nc(C2CCC2)[nH+]1 ZINC000891480619 1118692526 /nfs/dbraw/zinc/69/25/26/1118692526.db2.gz CBKOAXQWZUDCLS-GFCCVEGCSA-N 1 2 299.340 3.831 20 0 CHADLO Cc1cc(N2CC[C@H](c3ccccc3)C2)nc(C2CC2)[nH+]1 ZINC000341476532 1118714782 /nfs/dbraw/zinc/71/47/82/1118714782.db2.gz FPTRKNXVLWCWTQ-INIZCTEOSA-N 1 2 279.387 3.656 20 0 CHADLO Cc1cc(NCc2ccc3ccccc3c2)nc(C2CC2)[nH+]1 ZINC000341499121 1118717317 /nfs/dbraw/zinc/71/73/17/1118717317.db2.gz MQNFKWQAJWUWCJ-UHFFFAOYSA-N 1 2 289.382 3.850 20 0 CHADLO CC[N@H+](Cc1nccn1C1CC1)Cc1ccc(C)cc1 ZINC000891620385 1118723800 /nfs/dbraw/zinc/72/38/00/1118723800.db2.gz XJWUAXBXQVNSHR-UHFFFAOYSA-N 1 2 269.392 3.549 20 0 CHADLO CC[N@@H+](Cc1nccn1C1CC1)Cc1ccc(C)cc1 ZINC000891620385 1118723803 /nfs/dbraw/zinc/72/38/03/1118723803.db2.gz XJWUAXBXQVNSHR-UHFFFAOYSA-N 1 2 269.392 3.549 20 0 CHADLO Clc1cccc(C[N@@H+]2CCOCC3(CC3)C2)c1Cl ZINC000341547779 1118734756 /nfs/dbraw/zinc/73/47/56/1118734756.db2.gz KUGFQHICLPVFNQ-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Clc1cccc(C[N@H+]2CCOCC3(CC3)C2)c1Cl ZINC000341547779 1118734759 /nfs/dbraw/zinc/73/47/59/1118734759.db2.gz KUGFQHICLPVFNQ-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1c(F)c(F)ccc1OC ZINC001143405065 1118741341 /nfs/dbraw/zinc/74/13/41/1118741341.db2.gz UEDFPMFEVCDQDF-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1c(F)c(F)ccc1OC ZINC001143405065 1118741345 /nfs/dbraw/zinc/74/13/45/1118741345.db2.gz UEDFPMFEVCDQDF-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@H+]1Cc1ccnn1C1CCC1 ZINC000891747004 1118756227 /nfs/dbraw/zinc/75/62/27/1118756227.db2.gz FCWUTRVAISIVAE-AWEZNQCLSA-N 1 2 297.402 3.564 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@@H+]1Cc1ccnn1C1CCC1 ZINC000891747004 1118756229 /nfs/dbraw/zinc/75/62/29/1118756229.db2.gz FCWUTRVAISIVAE-AWEZNQCLSA-N 1 2 297.402 3.564 20 0 CHADLO Cc1nc2ccc(Nc3cccc4[nH+]ccn43)cc2o1 ZINC001213063108 1118763506 /nfs/dbraw/zinc/76/35/06/1118763506.db2.gz UKWOHWVNFDIEAX-UHFFFAOYSA-N 1 2 264.288 3.528 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ccc(OC(F)F)c(F)c2)C1 ZINC000449352128 1118786458 /nfs/dbraw/zinc/78/64/58/1118786458.db2.gz SNQYWWMJSKZARH-CQSZACIVSA-N 1 2 291.288 3.751 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ccc(OC(F)F)c(F)c2)C1 ZINC000449352128 1118786460 /nfs/dbraw/zinc/78/64/60/1118786460.db2.gz SNQYWWMJSKZARH-CQSZACIVSA-N 1 2 291.288 3.751 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc2c(c1)OCCCO2)C(F)F ZINC000449356707 1118791262 /nfs/dbraw/zinc/79/12/62/1118791262.db2.gz APTBLPQFHSYHTL-JQWIXIFHSA-N 1 2 285.334 3.542 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3ccc(Cl)cc3C2)n1 ZINC000341677220 1118792630 /nfs/dbraw/zinc/79/26/30/1118792630.db2.gz AUDVANXNLFLDND-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3ccc(Cl)cc3C2)n1 ZINC000341677220 1118792631 /nfs/dbraw/zinc/79/26/31/1118792631.db2.gz AUDVANXNLFLDND-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1c(Cl)n[nH]c1C1CC1 ZINC000891860560 1118809799 /nfs/dbraw/zinc/80/97/99/1118809799.db2.gz CMHJPJVDHYTYLX-UHFFFAOYSA-N 1 2 295.736 3.715 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1c(Cl)n[nH]c1C1CC1 ZINC000891860560 1118809806 /nfs/dbraw/zinc/80/98/06/1118809806.db2.gz CMHJPJVDHYTYLX-UHFFFAOYSA-N 1 2 295.736 3.715 20 0 CHADLO CC[C@H]1CCCC[C@H]1N(C)C(=O)Nc1cc(C)[nH+]cc1C ZINC000535775018 1118884344 /nfs/dbraw/zinc/88/43/44/1118884344.db2.gz RHHDEXFPVVMAOJ-GOEBONIOSA-N 1 2 289.423 3.553 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc2ccccc2n1)c1cscn1 ZINC000535777883 1118884978 /nfs/dbraw/zinc/88/49/78/1118884978.db2.gz QSYWYRWPFWYPOQ-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO Clc1ccccc1C[N@H+](Cc1ccccn1)C1CC1 ZINC000181304658 1118911606 /nfs/dbraw/zinc/91/16/06/1118911606.db2.gz NKEJWZIOZGKLPV-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO Clc1ccccc1C[N@@H+](Cc1ccccn1)C1CC1 ZINC000181304658 1118911609 /nfs/dbraw/zinc/91/16/09/1118911609.db2.gz NKEJWZIOZGKLPV-UHFFFAOYSA-N 1 2 272.779 3.900 20 0 CHADLO Cc1oc(-c2ccsc2)nc1C[NH+]1CCC(F)(F)CC1 ZINC000684625744 1118912414 /nfs/dbraw/zinc/91/24/14/1118912414.db2.gz GSDLLVXOLFIWJM-UHFFFAOYSA-N 1 2 298.358 3.943 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+]Cc1csc(C)n1 ZINC000041010396 1118929614 /nfs/dbraw/zinc/92/96/14/1118929614.db2.gz AVZLVSUZQPWRLE-NSHDSACASA-N 1 2 276.405 3.701 20 0 CHADLO COc1ccccc1[C@@H]1CC[C@H](C)C[N@@H+]1Cc1cn[nH]c1C ZINC000348716669 1118937906 /nfs/dbraw/zinc/93/79/06/1118937906.db2.gz SPFITEQSMLUOKA-GUYCJALGSA-N 1 2 299.418 3.700 20 0 CHADLO COc1ccccc1[C@@H]1CC[C@H](C)C[N@H+]1Cc1cn[nH]c1C ZINC000348716669 1118937908 /nfs/dbraw/zinc/93/79/08/1118937908.db2.gz SPFITEQSMLUOKA-GUYCJALGSA-N 1 2 299.418 3.700 20 0 CHADLO Cc1cn2c(cccc2Nc2cnc(Cl)cc2C)[nH+]1 ZINC001216276656 1118946542 /nfs/dbraw/zinc/94/65/42/1118946542.db2.gz DUBOJPANPAXHPB-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO Oc1cccc(C[NH2+][C@@H](c2ccco2)c2ccccc2)c1 ZINC000041635586 1118950655 /nfs/dbraw/zinc/95/06/55/1118950655.db2.gz OFVGUCCSCITTTD-GOSISDBHSA-N 1 2 279.339 3.864 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)C[C@H](C)O1 ZINC000724299255 1118954963 /nfs/dbraw/zinc/95/49/63/1118954963.db2.gz FYRSZNYUTVFHOJ-FOLVSLTJSA-N 1 2 274.408 3.655 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]c2ccc(N3CCCC3)cc2)C[C@H](C)O1 ZINC000724299255 1118954965 /nfs/dbraw/zinc/95/49/65/1118954965.db2.gz FYRSZNYUTVFHOJ-FOLVSLTJSA-N 1 2 274.408 3.655 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@H](C)c1ncco1 ZINC000926355408 1118974619 /nfs/dbraw/zinc/97/46/19/1118974619.db2.gz GCYKLQHTQOMLEY-WDEREUQCSA-N 1 2 262.378 3.808 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2ccc(Cl)s2)n1 ZINC000724396001 1118986408 /nfs/dbraw/zinc/98/64/08/1118986408.db2.gz YLXFOWKWISERLU-UHFFFAOYSA-N 1 2 284.812 3.977 20 0 CHADLO C[N@H+](Cc1c(F)ccc(F)c1Cl)[C@@H]1CCSC1 ZINC000628132182 1128815352 /nfs/dbraw/zinc/81/53/52/1128815352.db2.gz YTPLSBQORSBQLG-MRVPVSSYSA-N 1 2 277.767 3.556 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(F)c1Cl)[C@@H]1CCSC1 ZINC000628132182 1128815359 /nfs/dbraw/zinc/81/53/59/1128815359.db2.gz YTPLSBQORSBQLG-MRVPVSSYSA-N 1 2 277.767 3.556 20 0 CHADLO CCCc1csc(C[NH2+][C@H]2c3ccccc3O[C@@H]2C)n1 ZINC000342413518 1119009306 /nfs/dbraw/zinc/00/93/06/1119009306.db2.gz GFNKJTXEHCJVHC-BDJLRTHQSA-N 1 2 288.416 3.707 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)c(OC(F)(F)F)c2)C[C@@H]1F ZINC001143436389 1119010736 /nfs/dbraw/zinc/01/07/36/1119010736.db2.gz OBHLQTIGOIZTBW-KCJUWKMLSA-N 1 2 295.251 3.514 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)c(OC(F)(F)F)c2)C[C@@H]1F ZINC001143436389 1119010739 /nfs/dbraw/zinc/01/07/39/1119010739.db2.gz OBHLQTIGOIZTBW-KCJUWKMLSA-N 1 2 295.251 3.514 20 0 CHADLO C[C@@H]1CSCCC[N@@H+]1Cc1ccc(Cl)nc1Cl ZINC000934299625 1119013760 /nfs/dbraw/zinc/01/37/60/1119013760.db2.gz XDKBORCLIFQYCQ-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CSCCC[N@H+]1Cc1ccc(Cl)nc1Cl ZINC000934299625 1119013764 /nfs/dbraw/zinc/01/37/64/1119013764.db2.gz XDKBORCLIFQYCQ-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(F)c(F)c1F)c1nccs1 ZINC000657370528 1119036052 /nfs/dbraw/zinc/03/60/52/1119036052.db2.gz IBIWZCSWTNRLMA-SNVBAGLBSA-N 1 2 286.322 3.801 20 0 CHADLO Clc1ccc2c(c1)[C@H](SCCn1cc[nH+]c1)CC2 ZINC000342554464 1119081351 /nfs/dbraw/zinc/08/13/51/1119081351.db2.gz HPXOLIJUBLAVBJ-CQSZACIVSA-N 1 2 278.808 3.957 20 0 CHADLO Cc1c[nH]c(C[NH2+]C(C)(C)c2cccc(Cl)c2F)n1 ZINC000657438999 1119091470 /nfs/dbraw/zinc/09/14/70/1119091470.db2.gz RZRLSKCXPCJZNT-UHFFFAOYSA-N 1 2 281.762 3.536 20 0 CHADLO CCc1noc(C[NH2+][C@H](CC(C)C)c2ccccc2)n1 ZINC000127740761 1119095721 /nfs/dbraw/zinc/09/57/21/1119095721.db2.gz FFUCZIBKWRWLDK-CQSZACIVSA-N 1 2 273.380 3.509 20 0 CHADLO Cc1cccc(O[C@H](C)C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000097040135 1119097725 /nfs/dbraw/zinc/09/77/25/1119097725.db2.gz DVXTYKOBMVDGKG-OAHLLOKOSA-N 1 2 298.386 3.721 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2cccc(F)c2)[nH]c1C ZINC000628180493 1128823408 /nfs/dbraw/zinc/82/34/08/1128823408.db2.gz RDAPRCDMKKZQHX-MEDUHNTESA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H](C)C[C@@H]2c2cccc(F)c2)[nH]c1C ZINC000628180493 1128823412 /nfs/dbraw/zinc/82/34/12/1128823412.db2.gz RDAPRCDMKKZQHX-MEDUHNTESA-N 1 2 287.382 3.749 20 0 CHADLO C[C@H](CC(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)(C)C ZINC000342705695 1119134510 /nfs/dbraw/zinc/13/45/10/1119134510.db2.gz PFFNBOOIUYTXKU-CYBMUJFWSA-N 1 2 285.391 3.883 20 0 CHADLO CCc1noc(C[N@@H+]2CCCCC[C@H]2c2ccc(C)o2)n1 ZINC000158669679 1119139202 /nfs/dbraw/zinc/13/92/02/1119139202.db2.gz ZABGAIAWIMFEAD-ZDUSSCGKSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1noc(C[N@H+]2CCCCC[C@H]2c2ccc(C)o2)n1 ZINC000158669679 1119139204 /nfs/dbraw/zinc/13/92/04/1119139204.db2.gz ZABGAIAWIMFEAD-ZDUSSCGKSA-N 1 2 289.379 3.651 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1nc(C2CC2)cs1 ZINC000342719982 1119139749 /nfs/dbraw/zinc/13/97/49/1119139749.db2.gz YLTXEKWHMXIILZ-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1nc(C2CC2)cs1 ZINC000342719982 1119139750 /nfs/dbraw/zinc/13/97/50/1119139750.db2.gz YLTXEKWHMXIILZ-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Oc1ccccc1SCc1ccc(-n2cc[nH+]c2)cc1 ZINC000050046581 1119141974 /nfs/dbraw/zinc/14/19/74/1119141974.db2.gz IZJQOOGDZWOIRZ-UHFFFAOYSA-N 1 2 282.368 3.870 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1nc(C2CC2)cs1 ZINC000342753195 1119155843 /nfs/dbraw/zinc/15/58/43/1119155843.db2.gz PQKQDLQQQWSTEL-LLVKDONJSA-N 1 2 288.416 3.919 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1nc(C2CC2)cs1 ZINC000342753195 1119155844 /nfs/dbraw/zinc/15/58/44/1119155844.db2.gz PQKQDLQQQWSTEL-LLVKDONJSA-N 1 2 288.416 3.919 20 0 CHADLO Cc1nc(N2CCc3sccc3[C@H]2C)c(C)c(C)[nH+]1 ZINC000348743067 1119160058 /nfs/dbraw/zinc/16/00/58/1119160058.db2.gz MDLVUUHALWOUDM-LLVKDONJSA-N 1 2 273.405 3.587 20 0 CHADLO CC(C)O[C@H](COc1cc[nH+]cc1)c1ccccc1 ZINC000431474026 1119160098 /nfs/dbraw/zinc/16/00/98/1119160098.db2.gz CHVAFGXBOMTVDH-MRXNPFEDSA-N 1 2 257.333 3.627 20 0 CHADLO CCCCN(Cc1[nH+]cc(C)n1C)Cc1ccccc1F ZINC000342795296 1119173220 /nfs/dbraw/zinc/17/32/20/1119173220.db2.gz FMRUATTWNQHVDK-UHFFFAOYSA-N 1 2 289.398 3.670 20 0 CHADLO Cc1c[nH+]c(CN(Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)n1C ZINC000342801217 1119176823 /nfs/dbraw/zinc/17/68/23/1119176823.db2.gz WNOCKWJTMLIJTB-MLGOLLRUSA-N 1 2 299.418 3.610 20 0 CHADLO COc1cccc(F)c1CNc1cc[nH+]c(OC(C)C)c1 ZINC001167606727 1119180561 /nfs/dbraw/zinc/18/05/61/1119180561.db2.gz GSLGPQAMUKSZNV-UHFFFAOYSA-N 1 2 290.338 3.629 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)cc1C ZINC000237480236 1119203506 /nfs/dbraw/zinc/20/35/06/1119203506.db2.gz PWGOLLLZILLBAE-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO CCc1cc(N[C@@H](C)c2nc(C)cs2)nc(CC)[nH+]1 ZINC000892301716 1119208588 /nfs/dbraw/zinc/20/85/88/1119208588.db2.gz BMRUDXZDXMJNAL-JTQLQIEISA-N 1 2 276.409 3.539 20 0 CHADLO Cc1cc(Cl)cc(C)c1NCc1cc[nH+]c(N)c1 ZINC001167617369 1119215043 /nfs/dbraw/zinc/21/50/43/1119215043.db2.gz KYBSPJJRQSEEEP-UHFFFAOYSA-N 1 2 261.756 3.546 20 0 CHADLO Cc1cc(NCc2cc[nH+]c(N)c2)ccc1C(F)(F)F ZINC001167617822 1119216485 /nfs/dbraw/zinc/21/64/85/1119216485.db2.gz WGYOHXXWTRTLJO-UHFFFAOYSA-N 1 2 281.281 3.603 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(-c3ncc[nH]3)cc2)c1 ZINC001213085642 1119222288 /nfs/dbraw/zinc/22/22/88/1119222288.db2.gz PDBZATNMMKZTLO-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO C[C@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1cncc(F)c1 ZINC000153414171 1119225836 /nfs/dbraw/zinc/22/58/36/1119225836.db2.gz AUHRISMKSYXOOO-JTQLQIEISA-N 1 2 293.411 3.826 20 0 CHADLO c1ccc(SC[C@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000078526185 1119231693 /nfs/dbraw/zinc/23/16/93/1119231693.db2.gz RGPDAMHRUKATKW-AWEZNQCLSA-N 1 2 270.401 3.700 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC(=O)[C@H](C)C2)cc(C(F)(F)F)c1 ZINC001143448079 1119234886 /nfs/dbraw/zinc/23/48/86/1119234886.db2.gz LCSYUAMASKWVMT-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1cc(C[N@H+]2CCCC(=O)[C@H](C)C2)cc(C(F)(F)F)c1 ZINC001143448079 1119234889 /nfs/dbraw/zinc/23/48/89/1119234889.db2.gz LCSYUAMASKWVMT-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1ccc(-c2nc(COc3cc[nH+]cc3)co2)cc1 ZINC000431496451 1119234920 /nfs/dbraw/zinc/23/49/20/1119234920.db2.gz UGQQCWFKUGPVOJ-UHFFFAOYSA-N 1 2 266.300 3.624 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CCC[C@@H](C(F)(F)F)C2)cc[nH+]1 ZINC000120343102 1119237454 /nfs/dbraw/zinc/23/74/54/1119237454.db2.gz OTJHJZNGARGNDN-WDEREUQCSA-N 1 2 286.297 3.697 20 0 CHADLO COC(=O)[C@H](CC(C)(C)C)[NH2+]C1CC(c2ccccc2)C1 ZINC000611727187 1119238675 /nfs/dbraw/zinc/23/86/75/1119238675.db2.gz REUJTXVFQOSIOT-GPANFISMSA-N 1 2 289.419 3.500 20 0 CHADLO COc1ccc(C[N@H+](Cc2cn[nH]c2C)[C@H](C)C2CC2)cc1 ZINC000120718905 1119246634 /nfs/dbraw/zinc/24/66/34/1119246634.db2.gz MLDSFNOYTOSAPU-CQSZACIVSA-N 1 2 299.418 3.527 20 0 CHADLO COc1ccc(C[N@@H+](Cc2cn[nH]c2C)[C@H](C)C2CC2)cc1 ZINC000120718905 1119246635 /nfs/dbraw/zinc/24/66/35/1119246635.db2.gz MLDSFNOYTOSAPU-CQSZACIVSA-N 1 2 299.418 3.527 20 0 CHADLO c1ccc([C@@H](Nc2cccc[nH+]2)c2ccccn2)cc1 ZINC000078783663 1119252021 /nfs/dbraw/zinc/25/20/21/1119252021.db2.gz MAINVJOJGRVMDY-QGZVFWFLSA-N 1 2 261.328 3.678 20 0 CHADLO CC(C)CC1CC[NH+](CC(=O)c2ccccc2F)CC1 ZINC001167636959 1119254342 /nfs/dbraw/zinc/25/43/42/1119254342.db2.gz ZTQXGGQXIUPKRG-UHFFFAOYSA-N 1 2 277.383 3.767 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)Cc2ccc(F)cc2F)s1 ZINC000349802075 1119255513 /nfs/dbraw/zinc/25/55/13/1119255513.db2.gz HVFOOUACQJYRRR-UHFFFAOYSA-N 1 2 282.359 3.670 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)Cc2ccc(F)cc2F)s1 ZINC000349802075 1119255516 /nfs/dbraw/zinc/25/55/16/1119255516.db2.gz HVFOOUACQJYRRR-UHFFFAOYSA-N 1 2 282.359 3.670 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@@H](C)c2cncs2)on1 ZINC000121155586 1119257177 /nfs/dbraw/zinc/25/71/77/1119257177.db2.gz HAHPFTXJPJQCQT-JTQLQIEISA-N 1 2 279.409 3.886 20 0 CHADLO Cc1c(Br)cccc1COc1cc[nH+]cc1 ZINC000431507566 1119260191 /nfs/dbraw/zinc/26/01/91/1119260191.db2.gz UHLAAKDXZDVUFQ-UHFFFAOYSA-N 1 2 278.149 3.732 20 0 CHADLO C[C@@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)C1CC(F)(F)C1 ZINC000628196493 1119278244 /nfs/dbraw/zinc/27/82/44/1119278244.db2.gz RJCZKWQBHDEHEY-SNVBAGLBSA-N 1 2 295.308 3.857 20 0 CHADLO CC1=CC[N@H+](Cc2csc(Cc3ccccc3)n2)CC1 ZINC000535964172 1119316743 /nfs/dbraw/zinc/31/67/43/1119316743.db2.gz PDKAWKVGXVSHOQ-UHFFFAOYSA-N 1 2 284.428 3.886 20 0 CHADLO CC1=CC[N@@H+](Cc2csc(Cc3ccccc3)n2)CC1 ZINC000535964172 1119316745 /nfs/dbraw/zinc/31/67/45/1119316745.db2.gz PDKAWKVGXVSHOQ-UHFFFAOYSA-N 1 2 284.428 3.886 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001236606601 1128837466 /nfs/dbraw/zinc/83/74/66/1128837466.db2.gz BRXHFHSGXSJEOS-RNCFNFMXSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1C[C@@H](C)[C@@H](F)C1 ZINC001236606601 1128837469 /nfs/dbraw/zinc/83/74/69/1128837469.db2.gz BRXHFHSGXSJEOS-RNCFNFMXSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1cc(NCc2ccn(C3CCCC3)n2)nc(C(C)C)[nH+]1 ZINC000154728208 1119318038 /nfs/dbraw/zinc/31/80/38/1119318038.db2.gz ZKVQYTCQXPHUOS-UHFFFAOYSA-N 1 2 299.422 3.832 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2c(C)noc2C)c(C)s1 ZINC000092327005 1119328032 /nfs/dbraw/zinc/32/80/32/1119328032.db2.gz RLUCUHFDUDDJOH-APPZFPTMSA-N 1 2 279.409 3.777 20 0 CHADLO Cc1ccc2oc(C[NH2+]Cc3ncccc3F)cc2c1 ZINC000126206510 1119344339 /nfs/dbraw/zinc/34/43/39/1119344339.db2.gz VCFMHCYFFPOGMM-UHFFFAOYSA-N 1 2 270.307 3.565 20 0 CHADLO COc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(C)cn1 ZINC001213088031 1119345127 /nfs/dbraw/zinc/34/51/27/1119345127.db2.gz MDPZKGLDIDFCSB-UHFFFAOYSA-N 1 2 280.331 3.532 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cnc(C)n1-c1ccccc1 ZINC000092935885 1119352802 /nfs/dbraw/zinc/35/28/02/1119352802.db2.gz JDHFDSYJFQPQGD-UHFFFAOYSA-N 1 2 295.386 3.796 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cnc(C)n1-c1ccccc1 ZINC000092935885 1119352805 /nfs/dbraw/zinc/35/28/05/1119352805.db2.gz JDHFDSYJFQPQGD-UHFFFAOYSA-N 1 2 295.386 3.796 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2ccc(Cl)o2)s1 ZINC000080346756 1119356095 /nfs/dbraw/zinc/35/60/95/1119356095.db2.gz LOVQVOOEPZEVFA-UHFFFAOYSA-N 1 2 270.785 3.723 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)[C@@H](C)c2ccc(F)cc2)c1 ZINC000536033714 1119360646 /nfs/dbraw/zinc/36/06/46/1119360646.db2.gz ALDDPKZOCDRUKC-ZDUSSCGKSA-N 1 2 288.366 3.731 20 0 CHADLO Cc1ccccc1C(F)(F)C[NH2+][C@@H]1CCCSC1 ZINC000657770440 1119376327 /nfs/dbraw/zinc/37/63/27/1119376327.db2.gz VHILZPCYIQTGIJ-GFCCVEGCSA-N 1 2 271.376 3.572 20 0 CHADLO C[C@@H]1Oc2cc(F)ccc2[C@@H]1[NH2+]Cc1cc(F)ccc1F ZINC000583587675 1119381341 /nfs/dbraw/zinc/38/13/41/1119381341.db2.gz WYIUMHKDSOKUTA-XXFAHNHDSA-N 1 2 293.288 3.716 20 0 CHADLO O=C(/C=C/c1cccs1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000161801584 1119388438 /nfs/dbraw/zinc/38/84/38/1119388438.db2.gz AVEAOUPLUUEDEJ-BQYQJAHWSA-N 1 2 295.367 3.790 20 0 CHADLO C[C@H]([NH2+]C/C(Cl)=C\Cl)c1ccc(F)cc1F ZINC000255574592 1119391777 /nfs/dbraw/zinc/39/17/77/1119391777.db2.gz KAORKIKJMRBTDX-QAZRXNLGSA-N 1 2 266.118 3.934 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(OC(C)C)c1F ZINC001212321486 1128845257 /nfs/dbraw/zinc/84/52/57/1128845257.db2.gz HDLFSRQDAJVSNP-UHFFFAOYSA-N 1 2 299.349 3.842 20 0 CHADLO Cc1cc(N2C[C@@H](C)CC(C)(C)C2)nc(C2CC2)[nH+]1 ZINC000343476744 1119429108 /nfs/dbraw/zinc/42/91/08/1119429108.db2.gz NRHHWUCVDGURJP-NSHDSACASA-N 1 2 259.397 3.535 20 0 CHADLO CCCCn1c(S[C@H](C)CC)nnc1[C@@H](CC)[NH+](C)C ZINC000151201671 1119435763 /nfs/dbraw/zinc/43/57/63/1119435763.db2.gz YOQOEKJFRUWWTR-CHWSQXEVSA-N 1 2 298.500 3.982 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2nc(C3CC3)cs2)CC1 ZINC000343547563 1119450744 /nfs/dbraw/zinc/45/07/44/1119450744.db2.gz HJPSJMNORRTCDD-UHFFFAOYSA-N 1 2 290.354 3.795 20 0 CHADLO Cn1ccc(C[N@@H+]2CCCc3c4cccc(F)c4[nH]c3C2)c1 ZINC000343652236 1119481172 /nfs/dbraw/zinc/48/11/72/1119481172.db2.gz CAWQATCWTDRAOS-UHFFFAOYSA-N 1 2 297.377 3.594 20 0 CHADLO Cn1ccc(C[N@H+]2CCCc3c4cccc(F)c4[nH]c3C2)c1 ZINC000343652236 1119481175 /nfs/dbraw/zinc/48/11/75/1119481175.db2.gz CAWQATCWTDRAOS-UHFFFAOYSA-N 1 2 297.377 3.594 20 0 CHADLO CC(C)C[C@@H](C(=O)N1CCC[C@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000635502055 1119481276 /nfs/dbraw/zinc/48/12/76/1119481276.db2.gz WIUWGZIGXWAWDI-GJZGRUSLSA-N 1 2 291.439 3.507 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628181047 1119490732 /nfs/dbraw/zinc/49/07/32/1119490732.db2.gz OGLCWTOSXIXZNX-HNNXBMFYSA-N 1 2 287.407 3.991 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628181047 1119490737 /nfs/dbraw/zinc/49/07/37/1119490737.db2.gz OGLCWTOSXIXZNX-HNNXBMFYSA-N 1 2 287.407 3.991 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cccc(F)c2F)cc1F ZINC000052916711 1119490977 /nfs/dbraw/zinc/49/09/77/1119490977.db2.gz BHPZHCGQXMQEOZ-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cccc(F)c2F)cc1F ZINC000052916711 1119490978 /nfs/dbraw/zinc/49/09/78/1119490978.db2.gz BHPZHCGQXMQEOZ-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO CC1(C)CCC(C[NH2+][C@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000343707493 1119491814 /nfs/dbraw/zinc/49/18/14/1119491814.db2.gz KSLLJDPQBGBGHS-INIZCTEOSA-N 1 2 298.434 3.700 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nccs2)[C@H](c2ccco2)C1 ZINC000135113407 1119494337 /nfs/dbraw/zinc/49/43/37/1119494337.db2.gz FJGXUWLBOOHNNY-NEPJUHHUSA-N 1 2 262.378 3.709 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nccs2)[C@H](c2ccco2)C1 ZINC000135113407 1119494340 /nfs/dbraw/zinc/49/43/40/1119494340.db2.gz FJGXUWLBOOHNNY-NEPJUHHUSA-N 1 2 262.378 3.709 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H](c2ccccc2)C2CC2)[nH+]1 ZINC000080945737 1119507286 /nfs/dbraw/zinc/50/72/86/1119507286.db2.gz HEXFMATXXVJULU-HNNXBMFYSA-N 1 2 278.359 3.830 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000343764719 1119513745 /nfs/dbraw/zinc/51/37/45/1119513745.db2.gz NDYLEVUNWXUWHE-UHFFFAOYSA-N 1 2 274.339 3.632 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000343764719 1119513748 /nfs/dbraw/zinc/51/37/48/1119513748.db2.gz NDYLEVUNWXUWHE-UHFFFAOYSA-N 1 2 274.339 3.632 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3sccc3[C@@H]2C)n1 ZINC000081646451 1119518123 /nfs/dbraw/zinc/51/81/23/1119518123.db2.gz FNRCZDUWWYSIAG-JTQLQIEISA-N 1 2 264.419 3.632 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3ccc(Cl)cc3)CC2)o1 ZINC000083422700 1119523806 /nfs/dbraw/zinc/52/38/06/1119523806.db2.gz UXJPMTGWUHRSHZ-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3ccc(Cl)cc3)CC2)o1 ZINC000083422700 1119523808 /nfs/dbraw/zinc/52/38/08/1119523808.db2.gz UXJPMTGWUHRSHZ-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2C[C@@H](C)CC[C@@H]2C)no1 ZINC000083216308 1119523891 /nfs/dbraw/zinc/52/38/91/1119523891.db2.gz QDRDFINDNRYKKV-ZFWWWQNUSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2C[C@@H](C)CC[C@@H]2C)no1 ZINC000083216308 1119523893 /nfs/dbraw/zinc/52/38/93/1119523893.db2.gz QDRDFINDNRYKKV-ZFWWWQNUSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2C[C@H](C)CC[C@@H]2C)no1 ZINC000083216306 1119524125 /nfs/dbraw/zinc/52/41/25/1119524125.db2.gz QDRDFINDNRYKKV-HIFRSBDPSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2C[C@H](C)CC[C@@H]2C)no1 ZINC000083216306 1119524126 /nfs/dbraw/zinc/52/41/26/1119524126.db2.gz QDRDFINDNRYKKV-HIFRSBDPSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1cn2cc(NC(=O)c3c(C)cc(C)cc3C)ccc2[nH+]1 ZINC000090508763 1119544150 /nfs/dbraw/zinc/54/41/50/1119544150.db2.gz RDRPXOXVBFVQGX-UHFFFAOYSA-N 1 2 293.370 3.820 20 0 CHADLO CC[C@H](CCO)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000090110005 1119545853 /nfs/dbraw/zinc/54/58/53/1119545853.db2.gz MNGBQCWKOIZYPJ-CYBMUJFWSA-N 1 2 279.428 3.544 20 0 CHADLO Cc1ncccc1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000093686289 1119571915 /nfs/dbraw/zinc/57/19/15/1119571915.db2.gz UACNEZUUTPWNTQ-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ncccc1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000093686289 1119571918 /nfs/dbraw/zinc/57/19/18/1119571918.db2.gz UACNEZUUTPWNTQ-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO CC[N@H+](Cc1nc(C)sc1C)Cc1cccc(F)c1 ZINC000443623368 1119579686 /nfs/dbraw/zinc/57/96/86/1119579686.db2.gz LLGJMDXZZUXOMC-UHFFFAOYSA-N 1 2 278.396 3.921 20 0 CHADLO Fc1ccc(C[N@@H+]2CCO[C@@H](CCc3ccccc3)C2)cc1 ZINC000206690092 1119587821 /nfs/dbraw/zinc/58/78/21/1119587821.db2.gz JZZPOYFHLLGSFY-IBGZPJMESA-N 1 2 299.389 3.659 20 0 CHADLO Fc1ccc(C[N@H+]2CCO[C@@H](CCc3ccccc3)C2)cc1 ZINC000206690092 1119587822 /nfs/dbraw/zinc/58/78/22/1119587822.db2.gz JZZPOYFHLLGSFY-IBGZPJMESA-N 1 2 299.389 3.659 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]Cc1coc(C2CC2)n1 ZINC000728595011 1119611475 /nfs/dbraw/zinc/61/14/75/1119611475.db2.gz KVWQBHDWWYDLGE-UHFFFAOYSA-N 1 2 286.375 3.586 20 0 CHADLO COc1ccc(-c2cc(C[N@H+](C)Cc3ccco3)on2)cc1 ZINC000685487245 1119614921 /nfs/dbraw/zinc/61/49/21/1119614921.db2.gz IQQDLYLUQBOTHH-UHFFFAOYSA-N 1 2 298.342 3.575 20 0 CHADLO COc1ccc(-c2cc(C[N@@H+](C)Cc3ccco3)on2)cc1 ZINC000685487245 1119614922 /nfs/dbraw/zinc/61/49/22/1119614922.db2.gz IQQDLYLUQBOTHH-UHFFFAOYSA-N 1 2 298.342 3.575 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)[N@H+](C)Cc1cncnc1 ZINC000131210835 1119629296 /nfs/dbraw/zinc/62/92/96/1119629296.db2.gz SGALZRPQCZNRPR-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)[N@@H+](C)Cc1cncnc1 ZINC000131210835 1119629297 /nfs/dbraw/zinc/62/92/97/1119629297.db2.gz SGALZRPQCZNRPR-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO Cc1ccc(-c2ccc(C[NH+]3CC4(C3)CCCO4)o2)cc1 ZINC000628426694 1128859093 /nfs/dbraw/zinc/85/90/93/1128859093.db2.gz GPSVNINVASFXNX-UHFFFAOYSA-N 1 2 283.371 3.620 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@H]2CCn3cc[nH+]c3C2)c1 ZINC000628431313 1128859801 /nfs/dbraw/zinc/85/98/01/1128859801.db2.gz SURPBYFCBPXBMC-ZFWWWQNUSA-N 1 2 297.402 3.598 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@H]2CCc3c[nH+]cn3C2)c1 ZINC000628431555 1128860651 /nfs/dbraw/zinc/86/06/51/1128860651.db2.gz YVJCRSBHGBTKNN-ZFWWWQNUSA-N 1 2 297.402 3.598 20 0 CHADLO Cc1ncc(C[N@H+]2CC=C(c3ccccc3Cl)CC2)o1 ZINC000628236131 1119666629 /nfs/dbraw/zinc/66/66/29/1119666629.db2.gz QSLVDFQZULMXGH-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1ncc(C[N@@H+]2CC=C(c3ccccc3Cl)CC2)o1 ZINC000628236131 1119666631 /nfs/dbraw/zinc/66/66/31/1119666631.db2.gz QSLVDFQZULMXGH-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccc(OC)c1F)c1ccccc1F ZINC000658220968 1119703970 /nfs/dbraw/zinc/70/39/70/1119703970.db2.gz CBPUTOGTMRFBBU-CYBMUJFWSA-N 1 2 292.329 3.609 20 0 CHADLO CCOc1cccc(C[N@@H+]2Cc3cccc(OC)c3C2)c1 ZINC000628462007 1128863642 /nfs/dbraw/zinc/86/36/42/1128863642.db2.gz AAYXLRTTWSAJSG-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO CCOc1cccc(C[N@H+]2Cc3cccc(OC)c3C2)c1 ZINC000628462007 1128863649 /nfs/dbraw/zinc/86/36/49/1128863649.db2.gz AAYXLRTTWSAJSG-UHFFFAOYSA-N 1 2 283.371 3.610 20 0 CHADLO CCc1ccc(C2CC[NH+](Cc3cn[nH]c3C)CC2)cc1 ZINC000628475642 1128863841 /nfs/dbraw/zinc/86/38/41/1128863841.db2.gz AMVCRIZNDMIBGD-UHFFFAOYSA-N 1 2 283.419 3.660 20 0 CHADLO CCn1c2ccccc2nc1[C@@H]1CCC[N@@H+]1C/C=C\Cl ZINC000255673628 1119710866 /nfs/dbraw/zinc/71/08/66/1119710866.db2.gz UDDNXELUBLPPBB-MLBQELRYSA-N 1 2 289.810 3.946 20 0 CHADLO CCn1c2ccccc2nc1[C@@H]1CCC[N@H+]1C/C=C\Cl ZINC000255673628 1119710870 /nfs/dbraw/zinc/71/08/70/1119710870.db2.gz UDDNXELUBLPPBB-MLBQELRYSA-N 1 2 289.810 3.946 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nccn1C)c1csc(Cl)c1 ZINC000658335797 1119726552 /nfs/dbraw/zinc/72/65/52/1119726552.db2.gz GRSCBXGJNMHGOG-RKDXNWHRSA-N 1 2 269.801 3.547 20 0 CHADLO Cc1cc(N2CC[C@@H](c3ccco3)C2)nc(C2CCC2)[nH+]1 ZINC000892455365 1119743311 /nfs/dbraw/zinc/74/33/11/1119743311.db2.gz ZVCHEBVXQHOXKH-CQSZACIVSA-N 1 2 283.375 3.639 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)OCc1cccc2[nH+]ccn21 ZINC000755744600 1119745199 /nfs/dbraw/zinc/74/51/99/1119745199.db2.gz JVMFJOJAKFLDPX-ZDUSSCGKSA-N 1 2 288.391 3.840 20 0 CHADLO COC[C@@H]([NH2+]Cc1sccc1Cl)c1ccc(C)o1 ZINC000193135948 1119746783 /nfs/dbraw/zinc/74/67/83/1119746783.db2.gz JDNDRHYIDUNSQF-LLVKDONJSA-N 1 2 285.796 3.780 20 0 CHADLO CCCCN(C(=O)CCc1c[nH]c[nH+]1)[C@H]1CCC[C@@H](C)C1 ZINC000359272457 1119747820 /nfs/dbraw/zinc/74/78/20/1119747820.db2.gz ZDBKMKFJSBURBF-ZBFHGGJFSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCN(C(=O)CCc1c[nH+]c[nH]1)[C@H]1CCC[C@@H](C)C1 ZINC000359272457 1119747823 /nfs/dbraw/zinc/74/78/23/1119747823.db2.gz ZDBKMKFJSBURBF-ZBFHGGJFSA-N 1 2 291.439 3.550 20 0 CHADLO CCn1ccnc1C[NH2+][C@H](C)c1cc2ccccc2o1 ZINC000051922564 1119763206 /nfs/dbraw/zinc/76/32/06/1119763206.db2.gz USRKYKRWBIMKHD-GFCCVEGCSA-N 1 2 269.348 3.500 20 0 CHADLO C[N@H+](Cn1c(-c2ccccc2)csc1=S)C1CC1 ZINC000177134805 1119764269 /nfs/dbraw/zinc/76/42/69/1119764269.db2.gz QKPWASBCKMCBGA-UHFFFAOYSA-N 1 2 276.430 3.998 20 0 CHADLO C[N@@H+](Cn1c(-c2ccccc2)csc1=S)C1CC1 ZINC000177134805 1119764270 /nfs/dbraw/zinc/76/42/70/1119764270.db2.gz QKPWASBCKMCBGA-UHFFFAOYSA-N 1 2 276.430 3.998 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1ccc(C)cc1Cl ZINC000584474176 1119777463 /nfs/dbraw/zinc/77/74/63/1119777463.db2.gz GRHJZMLHGHIQMO-LBPRGKRZSA-N 1 2 277.799 3.716 20 0 CHADLO Cc1c[nH+]c(CCSc2cccc(O)c2)c(C)c1 ZINC000401174028 1119891494 /nfs/dbraw/zinc/89/14/94/1119891494.db2.gz PUFLDQNBGXJABC-UHFFFAOYSA-N 1 2 259.374 3.739 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc2c(c1)CCCC2)c1ncco1 ZINC000924573430 1119908482 /nfs/dbraw/zinc/90/84/82/1119908482.db2.gz PKPNPZBYOMTIOJ-QWHCGFSZSA-N 1 2 270.376 3.965 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H](C)c1cc(C)ccc1OC ZINC000414132838 1119910620 /nfs/dbraw/zinc/91/06/20/1119910620.db2.gz AAIYUYYCCLRJMF-ZIAGYGMSSA-N 1 2 287.407 3.632 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000414227706 1119949065 /nfs/dbraw/zinc/94/90/65/1119949065.db2.gz WUENDWLJRAAWHZ-MNOVXSKESA-N 1 2 261.394 3.512 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1csc(Cl)c1 ZINC000414320705 1119981677 /nfs/dbraw/zinc/98/16/77/1119981677.db2.gz CJHWJWMSVXFPSN-ZETCQYMHSA-N 1 2 299.852 3.981 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](C)c2c(F)cccc2F)o1 ZINC000892633156 1119997019 /nfs/dbraw/zinc/99/70/19/1119997019.db2.gz UCBPPCDBOLDXAE-SNVBAGLBSA-N 1 2 281.302 3.807 20 0 CHADLO Cc1ccc(C)c([S@@](=O)Cc2ccc(C)[nH+]c2C)c1 ZINC000187061132 1120009916 /nfs/dbraw/zinc/00/99/16/1120009916.db2.gz YDTYKWFUBJIANL-IBGZPJMESA-N 1 2 273.401 3.623 20 0 CHADLO Fc1ccc(C[N@H+](C/C=C/Cl)C2CC2)c(F)c1 ZINC000052076281 1120030342 /nfs/dbraw/zinc/03/03/42/1120030342.db2.gz LKUWYBPDAQEGLU-LZCJLJQNSA-N 1 2 257.711 3.682 20 0 CHADLO Fc1ccc(C[N@@H+](C/C=C/Cl)C2CC2)c(F)c1 ZINC000052076281 1120030347 /nfs/dbraw/zinc/03/03/47/1120030347.db2.gz LKUWYBPDAQEGLU-LZCJLJQNSA-N 1 2 257.711 3.682 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@@H](F)C(C)C)cc2)[nH+]c1C ZINC000921659229 1120057266 /nfs/dbraw/zinc/05/72/66/1120057266.db2.gz KXUUURGPIOTIIK-AWEZNQCLSA-N 1 2 289.354 3.626 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C3CC(C)C3)cc2)[nH+]c1C ZINC000921662223 1120058116 /nfs/dbraw/zinc/05/81/16/1120058116.db2.gz UYOVYJGXLROIHI-UHFFFAOYSA-N 1 2 283.375 3.678 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)[C@H]3[C@@H]4CCC[C@@H]43)cc2)[nH+]c1C ZINC000921660087 1120058348 /nfs/dbraw/zinc/05/83/48/1120058348.db2.gz JOSCRAHWNQAFRM-ZSHCYNCHSA-N 1 2 295.386 3.678 20 0 CHADLO CC(C)=CC(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921667103 1120062126 /nfs/dbraw/zinc/06/21/26/1120062126.db2.gz ALTKCPOCCSXRPD-UHFFFAOYSA-N 1 2 269.348 3.598 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(OC(C)C)cc2)oc1C ZINC000179532363 1120093215 /nfs/dbraw/zinc/09/32/15/1120093215.db2.gz BJDDSEOWVWZUFW-CYBMUJFWSA-N 1 2 288.391 3.929 20 0 CHADLO Cc1occc1C[NH2+][C@H](C)c1nc(C(F)(F)F)cs1 ZINC000343838187 1120105799 /nfs/dbraw/zinc/10/57/99/1120105799.db2.gz JPSMYASGLSWUNB-SSDOTTSWSA-N 1 2 290.310 3.914 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1cccc(C(F)(F)F)c1 ZINC000182070944 1120114164 /nfs/dbraw/zinc/11/41/64/1120114164.db2.gz FEAYIDYMKOXWGA-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnc2ccsc2c1)c1ccon1 ZINC000542698118 1120122132 /nfs/dbraw/zinc/12/21/32/1120122132.db2.gz DCEYLYRPTSSEDL-VHSXEESVSA-N 1 2 273.361 3.696 20 0 CHADLO c1coc(C[NH2+][C@@H](c2ccccc2)c2cccnc2)c1 ZINC000171440427 1120125814 /nfs/dbraw/zinc/12/58/14/1120125814.db2.gz QUHOFRGGRJWYGU-KRWDZBQOSA-N 1 2 264.328 3.554 20 0 CHADLO c1ccn(-c2ccc[nH+]c2N[C@H]2CCNc3ccccc32)c1 ZINC001168640696 1120127813 /nfs/dbraw/zinc/12/78/13/1120127813.db2.gz MBFAOLWKENSLSL-INIZCTEOSA-N 1 2 290.370 3.841 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cc(C)cc(C)c2)oc1C ZINC000182932521 1120128131 /nfs/dbraw/zinc/12/81/31/1120128131.db2.gz GPPUVAFJVXZUJU-CYBMUJFWSA-N 1 2 258.365 3.759 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](C)Cc2ccc(C(C)C)cc2)[nH]1 ZINC000659832682 1120129659 /nfs/dbraw/zinc/12/96/59/1120129659.db2.gz AVGNYBLPBQLPOW-CYBMUJFWSA-N 1 2 286.423 3.684 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](C)Cc2ccc(C(C)C)cc2)[nH]1 ZINC000659832682 1120129662 /nfs/dbraw/zinc/12/96/62/1120129662.db2.gz AVGNYBLPBQLPOW-CYBMUJFWSA-N 1 2 286.423 3.684 20 0 CHADLO CCn1nc(C)c([C@@H](C)[NH2+][C@@H](C)c2ccc(Cl)s2)n1 ZINC000924607785 1120155027 /nfs/dbraw/zinc/15/50/27/1120155027.db2.gz BHLODOGLRDYWOQ-DTWKUNHWSA-N 1 2 298.843 3.733 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@@H]2CCc3ccc(F)cc32)n1 ZINC000921704208 1120155524 /nfs/dbraw/zinc/15/55/24/1120155524.db2.gz JGBNHSYRYVZDJD-CQSZACIVSA-N 1 2 288.366 3.888 20 0 CHADLO COc1ccccc1C[N@H+](Cc1cc(C2CC2)no1)C1CC1 ZINC000659846852 1120180806 /nfs/dbraw/zinc/18/08/06/1120180806.db2.gz JQVLSSSADGKODY-UHFFFAOYSA-N 1 2 298.386 3.725 20 0 CHADLO COc1ccccc1C[N@@H+](Cc1cc(C2CC2)no1)C1CC1 ZINC000659846852 1120180810 /nfs/dbraw/zinc/18/08/10/1120180810.db2.gz JQVLSSSADGKODY-UHFFFAOYSA-N 1 2 298.386 3.725 20 0 CHADLO CC(C)=CCC[N@@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000659850955 1120191704 /nfs/dbraw/zinc/19/17/04/1120191704.db2.gz XGOIOEPOVCEQLE-AWEZNQCLSA-N 1 2 275.396 3.830 20 0 CHADLO CC(C)=CCC[N@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000659850955 1120191707 /nfs/dbraw/zinc/19/17/07/1120191707.db2.gz XGOIOEPOVCEQLE-AWEZNQCLSA-N 1 2 275.396 3.830 20 0 CHADLO Cc1cc2cc(N[C@@H]3CCCc4cccnc43)[nH+]cc2[nH]1 ZINC001168657294 1120191738 /nfs/dbraw/zinc/19/17/38/1120191738.db2.gz YQXJVTZRQUWPEF-CQSZACIVSA-N 1 2 278.359 3.756 20 0 CHADLO CC(C)CC[C@H]1CCCC[N@@H+]1Cc1noc(C(C)C)n1 ZINC000472839762 1120203611 /nfs/dbraw/zinc/20/36/11/1120203611.db2.gz RJRZRLAIFKRUBT-CQSZACIVSA-N 1 2 279.428 3.984 20 0 CHADLO CC(C)CC[C@H]1CCCC[N@H+]1Cc1noc(C(C)C)n1 ZINC000472839762 1120203616 /nfs/dbraw/zinc/20/36/16/1120203616.db2.gz RJRZRLAIFKRUBT-CQSZACIVSA-N 1 2 279.428 3.984 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cccc2cc[nH]c21 ZINC000460677326 1120212081 /nfs/dbraw/zinc/21/20/81/1120212081.db2.gz YODCCNPVRXNOEV-UHFFFAOYSA-N 1 2 290.370 3.572 20 0 CHADLO COc1c(C)[nH+]c(C2CC2)nc1N[C@@H](C)C(C)(C)C ZINC001168683346 1120241221 /nfs/dbraw/zinc/24/12/21/1120241221.db2.gz GHGZDZNPVCOBNX-JTQLQIEISA-N 1 2 263.385 3.518 20 0 CHADLO c1cc2c(s1)CCC[C@H]2[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000353673135 1128901923 /nfs/dbraw/zinc/90/19/23/1128901923.db2.gz RGWPFOJBTCOECQ-OLZOCXBDSA-N 1 2 273.405 3.516 20 0 CHADLO Cc1cc(NC[C@@H](C)c2nccs2)nc(C2CCC2)[nH+]1 ZINC000893162870 1120250412 /nfs/dbraw/zinc/25/04/12/1120250412.db2.gz QAXXDIJLZABTTG-SNVBAGLBSA-N 1 2 288.420 3.725 20 0 CHADLO c1cc2cc(NCc3csc(C4CC4)n3)[nH+]cc2[nH]1 ZINC000672214949 1120255890 /nfs/dbraw/zinc/25/58/90/1120255890.db2.gz PSERTUCZVSRZCV-UHFFFAOYSA-N 1 2 270.361 3.509 20 0 CHADLO Cc1nc([C@H]([NH2+][C@@H](C)C(C)C)c2ccc(Cl)cc2)no1 ZINC000179893239 1120256919 /nfs/dbraw/zinc/25/69/19/1120256919.db2.gz DOAMEIASJHNVKB-IINYFYTJSA-N 1 2 293.798 3.755 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1ccn3ccnc3c1)CC2 ZINC001141046538 1120262252 /nfs/dbraw/zinc/26/22/52/1120262252.db2.gz FCZIDVSGTPWBGX-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1ccn3ccnc3c1)CC2 ZINC001141046538 1120262256 /nfs/dbraw/zinc/26/22/56/1120262256.db2.gz FCZIDVSGTPWBGX-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1cc(C2(C)OCCO2)cc[nH+]1 ZINC001168691789 1120264201 /nfs/dbraw/zinc/26/42/01/1120264201.db2.gz DOIRAAYVUJCLCW-STQMWFEESA-N 1 2 278.396 3.538 20 0 CHADLO CC[C@@H](C)C[C@@H](C)[NH2+]c1ccc2c(c1)N(C)CCO2 ZINC001168692687 1120269625 /nfs/dbraw/zinc/26/96/25/1120269625.db2.gz JDTVFDMEZIPWOW-CHWSQXEVSA-N 1 2 262.397 3.752 20 0 CHADLO CCCCNc1cc(N[C@H](C)c2ccsc2)nc(N)[nH+]1 ZINC000672277242 1120272292 /nfs/dbraw/zinc/27/22/92/1120272292.db2.gz CFEFWNPLKNRGBZ-SNVBAGLBSA-N 1 2 291.424 3.505 20 0 CHADLO CCCCNc1cc(N[C@H](C)c2ccsc2)[nH+]c(N)n1 ZINC000672277242 1120272296 /nfs/dbraw/zinc/27/22/96/1120272296.db2.gz CFEFWNPLKNRGBZ-SNVBAGLBSA-N 1 2 291.424 3.505 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CCC[C@@H]2C[C@@H]21 ZINC000672322582 1120288215 /nfs/dbraw/zinc/28/82/15/1120288215.db2.gz FAEMXSLTFDDDOY-OCCSQVGLSA-N 1 2 268.360 3.541 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)s1 ZINC000172788411 1120293492 /nfs/dbraw/zinc/29/34/92/1120293492.db2.gz AKJXDCOUUSLSDT-UHFFFAOYSA-N 1 2 297.427 3.800 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCc3[nH]c4ccccc4c3C2)s1 ZINC000172788411 1120293494 /nfs/dbraw/zinc/29/34/94/1120293494.db2.gz AKJXDCOUUSLSDT-UHFFFAOYSA-N 1 2 297.427 3.800 20 0 CHADLO c1cn2cc(-c3cccc(OC4CC4)c3)ccc2[nH+]1 ZINC001240217074 1120301948 /nfs/dbraw/zinc/30/19/48/1120301948.db2.gz AAJVCPJTGJYHII-UHFFFAOYSA-N 1 2 250.301 3.543 20 0 CHADLO Cc1cccc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)c1Cl ZINC001236851502 1128907147 /nfs/dbraw/zinc/90/71/47/1128907147.db2.gz LIHGOEFRDZRQHD-OCCSQVGLSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cccc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)c1Cl ZINC001236851502 1128907150 /nfs/dbraw/zinc/90/71/50/1128907150.db2.gz LIHGOEFRDZRQHD-OCCSQVGLSA-N 1 2 273.754 3.920 20 0 CHADLO Fc1ccc(C2([NH2+]C/C(Cl)=C\Cl)CC2)cc1 ZINC000309522302 1120319488 /nfs/dbraw/zinc/31/94/88/1120319488.db2.gz BOPYXVIHMWPWPJ-JXMROGBWSA-N 1 2 260.139 3.723 20 0 CHADLO FC(F)Oc1cccc(Cl)c1C[NH2+]Cc1ccccn1 ZINC000113311738 1120319708 /nfs/dbraw/zinc/31/97/08/1120319708.db2.gz UQHLNQMUYCSVBW-UHFFFAOYSA-N 1 2 298.720 3.626 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]C(C)(C)c2nccs2)o1 ZINC000116380832 1120332670 /nfs/dbraw/zinc/33/26/70/1120332670.db2.gz JCGFOIPWPIDEQB-CMPLNLGQSA-N 1 2 276.405 3.884 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]C(C)(C)c2nccs2)o1 ZINC000116380829 1120332775 /nfs/dbraw/zinc/33/27/75/1120332775.db2.gz JCGFOIPWPIDEQB-ZYHUDNBSSA-N 1 2 276.405 3.884 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)c(C)c1OC ZINC000672621956 1120335818 /nfs/dbraw/zinc/33/58/18/1120335818.db2.gz PGXFJJWGMNYZMV-RISCZKNCSA-N 1 2 299.361 3.851 20 0 CHADLO COc1cc(C)c([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1OC ZINC000672622569 1120335981 /nfs/dbraw/zinc/33/59/81/1120335981.db2.gz MZUKSZKSAPAREO-IAQYHMDHSA-N 1 2 299.361 3.851 20 0 CHADLO C[C@H](c1ccccc1)N1CC[C@H]([NH2+][C@@H]2CCCC2(F)F)C1 ZINC000672622240 1120336523 /nfs/dbraw/zinc/33/65/23/1120336523.db2.gz DJTASVCARFRAOI-VNQPRFMTSA-N 1 2 294.389 3.599 20 0 CHADLO Oc1c(F)cc(F)cc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001212481869 1120362919 /nfs/dbraw/zinc/36/29/19/1120362919.db2.gz NQUBKBMDAJBZCF-UHFFFAOYSA-N 1 2 295.676 3.715 20 0 CHADLO C[C@H](C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)c1ccsc1 ZINC000078053664 1120363443 /nfs/dbraw/zinc/36/34/43/1120363443.db2.gz UTIYCLHHDVZGBF-LBPRGKRZSA-N 1 2 297.383 3.676 20 0 CHADLO CCc1nocc1C[N@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000660097885 1120376334 /nfs/dbraw/zinc/37/63/34/1120376334.db2.gz RNPJNDCHBUJPBY-NSHDSACASA-N 1 2 260.337 3.556 20 0 CHADLO CCc1nocc1C[N@@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000660097885 1120376339 /nfs/dbraw/zinc/37/63/39/1120376339.db2.gz RNPJNDCHBUJPBY-NSHDSACASA-N 1 2 260.337 3.556 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1nsc2ccccc12 ZINC000660139551 1120394576 /nfs/dbraw/zinc/39/45/76/1120394576.db2.gz CGZDKBNSBLVXPO-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1nsc2ccccc12 ZINC000660139551 1120394580 /nfs/dbraw/zinc/39/45/80/1120394580.db2.gz CGZDKBNSBLVXPO-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO Cc1cc(N2CC[C@@H](CC3CC3)C2)nc(C(C)C)[nH+]1 ZINC000338805276 1120402440 /nfs/dbraw/zinc/40/24/40/1120402440.db2.gz LTUMHCZIWLUHIR-AWEZNQCLSA-N 1 2 259.397 3.535 20 0 CHADLO CC[C@@H]1CC[C@H](C)[N@H+]1Cc1ncsc1Br ZINC000660168922 1120411187 /nfs/dbraw/zinc/41/11/87/1120411187.db2.gz HETXAHRBMDJRJI-DTWKUNHWSA-N 1 2 289.242 3.669 20 0 CHADLO CC[C@@H]1CC[C@H](C)[N@@H+]1Cc1ncsc1Br ZINC000660168922 1120411184 /nfs/dbraw/zinc/41/11/84/1120411184.db2.gz HETXAHRBMDJRJI-DTWKUNHWSA-N 1 2 289.242 3.669 20 0 CHADLO Clc1ccc([C@@H]2CC[N@@H+]2Cc2cnc3ccccn23)cc1 ZINC000511609881 1120412198 /nfs/dbraw/zinc/41/21/98/1120412198.db2.gz JOVAJHMTVGSIIU-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccc([C@@H]2CC[N@H+]2Cc2cnc3ccccn23)cc1 ZINC000511609881 1120412199 /nfs/dbraw/zinc/41/21/99/1120412199.db2.gz JOVAJHMTVGSIIU-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO CC[C@H]([NH2+]Cc1coc(C)n1)c1ccccc1OC(F)F ZINC000660182629 1120421667 /nfs/dbraw/zinc/42/16/67/1120421667.db2.gz DTRLGPMNZPCSJH-ZDUSSCGKSA-N 1 2 296.317 3.825 20 0 CHADLO Cc1nc(N2CC=C(c3ccccc3)C2)c2c([nH+]1)CCCC2 ZINC000359732967 1120425855 /nfs/dbraw/zinc/42/58/55/1120425855.db2.gz UTTUPARRUPWNHY-UHFFFAOYSA-N 1 2 291.398 3.567 20 0 CHADLO C[C@@H](Cc1ccco1)[NH2+][C@H](C(=O)OC(C)(C)C)C(C)(C)C ZINC001258182227 1128915762 /nfs/dbraw/zinc/91/57/62/1128915762.db2.gz MLOSAFODGCIDPG-GXTWGEPZSA-N 1 2 295.423 3.557 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000079287693 1120432554 /nfs/dbraw/zinc/43/25/54/1120432554.db2.gz QTBIQASEOZCZFP-DTWKUNHWSA-N 1 2 299.296 3.809 20 0 CHADLO O=C(Nc1ccccc1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000079816502 1120448458 /nfs/dbraw/zinc/44/84/58/1120448458.db2.gz TVIRRONEDBFLJA-UHFFFAOYSA-N 1 2 278.315 3.516 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)n(C)n1 ZINC000417708363 1120475160 /nfs/dbraw/zinc/47/51/60/1120475160.db2.gz VTEBMQRAXXDDKH-YVEFUNNKSA-N 1 2 299.418 3.610 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)n(C)n1 ZINC000417708363 1120475163 /nfs/dbraw/zinc/47/51/63/1120475163.db2.gz VTEBMQRAXXDDKH-YVEFUNNKSA-N 1 2 299.418 3.610 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2cccc(C(C)(C)C)c2)o1 ZINC000660273146 1120478008 /nfs/dbraw/zinc/47/80/08/1120478008.db2.gz VVOOGZONXMVVEY-NSHDSACASA-N 1 2 273.380 3.526 20 0 CHADLO CC[C@H]1[C@H](C)CC[N@@H+]1Cc1ncsc1Br ZINC000660317486 1120494711 /nfs/dbraw/zinc/49/47/11/1120494711.db2.gz PZMOOBHPAXNYIM-SCZZXKLOSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@H]1[C@H](C)CC[N@H+]1Cc1ncsc1Br ZINC000660317486 1120494713 /nfs/dbraw/zinc/49/47/13/1120494713.db2.gz PZMOOBHPAXNYIM-SCZZXKLOSA-N 1 2 289.242 3.526 20 0 CHADLO FC[C@H]([NH2+]Cc1cnn(C2CCC2)c1)c1ccc(F)cc1 ZINC000922317526 1120509517 /nfs/dbraw/zinc/50/95/17/1120509517.db2.gz GYRFHBKEYITDQL-INIZCTEOSA-N 1 2 291.345 3.548 20 0 CHADLO CCc1cc(N2CC=C(c3ccccc3C)C2)nc(C)[nH+]1 ZINC000359726478 1120522493 /nfs/dbraw/zinc/52/24/93/1120522493.db2.gz IZQBHQLUWJMRRO-UHFFFAOYSA-N 1 2 279.387 3.559 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(N2CCCC2)cc1F ZINC001213845975 1120527580 /nfs/dbraw/zinc/52/75/80/1120527580.db2.gz KOPGXAFCRVESPU-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO COC(=[NH2+])c1cccc(-c2ccc(C)c(F)c2OC)c1 ZINC001222314776 1120534842 /nfs/dbraw/zinc/53/48/42/1120534842.db2.gz DPNPDNZVMLBIHD-UHFFFAOYSA-N 1 2 273.307 3.781 20 0 CHADLO CC(C)Oc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000397986469 1120540937 /nfs/dbraw/zinc/54/09/37/1120540937.db2.gz GXXOKBCIWXUIRS-AWEZNQCLSA-N 1 2 269.335 3.751 20 0 CHADLO COc1ccccc1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000019903177 1120547366 /nfs/dbraw/zinc/54/73/66/1120547366.db2.gz CYYHPJUKUXDQLG-NSHDSACASA-N 1 2 277.314 3.824 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)ccc1F ZINC000398010723 1120553371 /nfs/dbraw/zinc/55/33/71/1120553371.db2.gz SNBRACZSUBEEKH-RNCFNFMXSA-N 1 2 273.298 3.673 20 0 CHADLO Fc1cc(Cl)cc(C[NH2+][C@@H](c2ncccn2)C2CC2)c1 ZINC000922579799 1120554210 /nfs/dbraw/zinc/55/42/10/1120554210.db2.gz VJNFIMTZKGSCJR-CQSZACIVSA-N 1 2 291.757 3.510 20 0 CHADLO Fc1ccc(C[NH2+][C@@H](c2ncccn2)C2CC2)cc1Cl ZINC000922577405 1120555119 /nfs/dbraw/zinc/55/51/19/1120555119.db2.gz AFZCQHXVEIJOOK-CQSZACIVSA-N 1 2 291.757 3.510 20 0 CHADLO FC(F)(F)Oc1cccc(C[NH2+][C@H]2CCCC2(F)F)c1 ZINC000398025162 1120556471 /nfs/dbraw/zinc/55/64/71/1120556471.db2.gz DPMKSQRWZKADBO-NSHDSACASA-N 1 2 295.251 3.863 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)cs1)c1cc(F)ccc1OC ZINC000922621395 1120564790 /nfs/dbraw/zinc/56/47/90/1120564790.db2.gz LCVNFLFRKMKOHM-CYBMUJFWSA-N 1 2 294.395 3.840 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCC1(F)F)c1ccc2c(c1)OCCCO2 ZINC000398128932 1120573010 /nfs/dbraw/zinc/57/30/10/1120573010.db2.gz SJNDJTRZCNFYRJ-ABAIWWIYSA-N 1 2 297.345 3.686 20 0 CHADLO Cc1cn2cccc(Nc3cn(C)c4ccccc34)c2[nH+]1 ZINC001203416116 1120574171 /nfs/dbraw/zinc/57/41/71/1120574171.db2.gz WATBUXHOPKLLQR-UHFFFAOYSA-N 1 2 276.343 3.878 20 0 CHADLO Nc1[nH+]ccc(F)c1NCCc1ccc2ccccc2c1 ZINC001168734304 1120592504 /nfs/dbraw/zinc/59/25/04/1120592504.db2.gz XSSFBRWEFUENGD-UHFFFAOYSA-N 1 2 281.334 3.611 20 0 CHADLO Cc1nc2ccc(C[NH2+][C@@H]3CCCC3(F)F)cc2s1 ZINC000673618509 1120599502 /nfs/dbraw/zinc/59/95/02/1120599502.db2.gz LUEKWWUOACBEGK-CYBMUJFWSA-N 1 2 282.359 3.882 20 0 CHADLO FC(F)COc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000673618569 1120601825 /nfs/dbraw/zinc/60/18/25/1120601825.db2.gz RLEQRFVHDHRSDM-GFCCVEGCSA-N 1 2 291.288 3.608 20 0 CHADLO CCCOc1cccc2c(NCc3cc(C)no3)cc[nH+]c12 ZINC000194707470 1120604954 /nfs/dbraw/zinc/60/49/54/1120604954.db2.gz OFLPRXCMPPKFIH-UHFFFAOYSA-N 1 2 297.358 3.932 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1ccncc1Cl ZINC000673753812 1120668507 /nfs/dbraw/zinc/66/85/07/1120668507.db2.gz QWOXHINPANMGOF-UHFFFAOYSA-N 1 2 266.694 3.509 20 0 CHADLO Oc1cccc(C[NH2+][C@H]2CCCc3cccnc32)c1Cl ZINC000922883338 1120618255 /nfs/dbraw/zinc/61/82/55/1120618255.db2.gz HVBNWNMUCYVULE-ZDUSSCGKSA-N 1 2 288.778 3.608 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1nsc2ccccc12 ZINC000660450451 1120621585 /nfs/dbraw/zinc/62/15/85/1120621585.db2.gz HARCMOXVQOJPOF-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1nsc2ccccc12 ZINC000660450451 1120621586 /nfs/dbraw/zinc/62/15/86/1120621586.db2.gz HARCMOXVQOJPOF-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@@H+]1Cc1noc(C2CCC2)n1 ZINC000625090980 1120631462 /nfs/dbraw/zinc/63/14/62/1120631462.db2.gz XJNYFRCUUZBTLT-INIZCTEOSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccccc1[C@@H]1CCC[N@H+]1Cc1noc(C2CCC2)n1 ZINC000625090980 1120631465 /nfs/dbraw/zinc/63/14/65/1120631465.db2.gz XJNYFRCUUZBTLT-INIZCTEOSA-N 1 2 297.402 3.983 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2cc(C3CC3)no2)C1 ZINC000660485338 1120638076 /nfs/dbraw/zinc/63/80/76/1120638076.db2.gz FMDJXQQYMXOJHU-QGZVFWFLSA-N 1 2 286.350 3.623 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2cc(C3CC3)no2)C1 ZINC000660485338 1120638077 /nfs/dbraw/zinc/63/80/77/1120638077.db2.gz FMDJXQQYMXOJHU-QGZVFWFLSA-N 1 2 286.350 3.623 20 0 CHADLO C(c1noc(C2CCC2)n1)[N@@H+]1CCCC2(CCCCC2)C1 ZINC000625162306 1120640970 /nfs/dbraw/zinc/64/09/70/1120640970.db2.gz OVHDUMFDSDNEIP-UHFFFAOYSA-N 1 2 289.423 3.883 20 0 CHADLO C(c1noc(C2CCC2)n1)[N@H+]1CCCC2(CCCCC2)C1 ZINC000625162306 1120640971 /nfs/dbraw/zinc/64/09/71/1120640971.db2.gz OVHDUMFDSDNEIP-UHFFFAOYSA-N 1 2 289.423 3.883 20 0 CHADLO CC[C@H](C)n1cc(-c2cc(F)cc(Cn3cc[nH+]c3)c2)cn1 ZINC000629732035 1128930174 /nfs/dbraw/zinc/93/01/74/1128930174.db2.gz RRSQAAIPGMHSRE-ZDUSSCGKSA-N 1 2 298.365 3.905 20 0 CHADLO Cc1cc(C)c(NC(=O)c2coc(C(F)F)c2)c(C)[nH+]1 ZINC000636582079 1120646360 /nfs/dbraw/zinc/64/63/60/1120646360.db2.gz TVQHZZUAWXCDAM-UHFFFAOYSA-N 1 2 280.274 3.790 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625272154 1120658742 /nfs/dbraw/zinc/65/87/42/1120658742.db2.gz QNMIGSTWRNRLDW-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625272154 1120658745 /nfs/dbraw/zinc/65/87/45/1120658745.db2.gz QNMIGSTWRNRLDW-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1ccncc1Cl ZINC000673753812 1120668506 /nfs/dbraw/zinc/66/85/06/1120668506.db2.gz QWOXHINPANMGOF-UHFFFAOYSA-N 1 2 266.694 3.509 20 0 CHADLO FC(F)[C@H]([NH2+]C[C@@H]1C[C@H]2C[C@H]2C1)c1ccccc1 ZINC000660624674 1120669855 /nfs/dbraw/zinc/66/98/55/1120669855.db2.gz FJFQTGKEURMZBS-YXCITZCRSA-N 1 2 251.320 3.629 20 0 CHADLO CCC[C@H](C)CCC[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000625358735 1120672209 /nfs/dbraw/zinc/67/22/09/1120672209.db2.gz AZNVWDYKJHHBMV-GOEBONIOSA-N 1 2 286.423 3.700 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2ccccc2OC(F)F)no1 ZINC000282454869 1120683962 /nfs/dbraw/zinc/68/39/62/1120683962.db2.gz FPJQIZRPBJWZRB-WDEREUQCSA-N 1 2 296.317 3.996 20 0 CHADLO C[C@@H]1CCCC[C@H]1C[N@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660768692 1120686094 /nfs/dbraw/zinc/68/60/94/1120686094.db2.gz UBIBXKOIWGLDHC-HYVNUMGLSA-N 1 2 298.434 3.652 20 0 CHADLO C[C@@H]1CCCC[C@H]1C[N@@H+](C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000660768692 1120686099 /nfs/dbraw/zinc/68/60/99/1120686099.db2.gz UBIBXKOIWGLDHC-HYVNUMGLSA-N 1 2 298.434 3.652 20 0 CHADLO CC(C)(C)C[C@H]1CC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625466074 1120687108 /nfs/dbraw/zinc/68/71/08/1120687108.db2.gz WFQUWXCQYCKCPT-GFCCVEGCSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)(C)C[C@H]1CC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625466074 1120687111 /nfs/dbraw/zinc/68/71/11/1120687111.db2.gz WFQUWXCQYCKCPT-GFCCVEGCSA-N 1 2 277.412 3.595 20 0 CHADLO CCC(C)(C)CC[N@H+](C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660764363 1120687265 /nfs/dbraw/zinc/68/72/65/1120687265.db2.gz NUIIUKVJHOSXTL-HNNXBMFYSA-N 1 2 286.423 3.652 20 0 CHADLO CCC(C)(C)CC[N@@H+](C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660764363 1120687267 /nfs/dbraw/zinc/68/72/67/1120687267.db2.gz NUIIUKVJHOSXTL-HNNXBMFYSA-N 1 2 286.423 3.652 20 0 CHADLO C[C@H]([NH2+]Cc1csc(N(C)C)n1)c1ccccc1Cl ZINC000673894769 1120689463 /nfs/dbraw/zinc/68/94/63/1120689463.db2.gz NWHNNCPIJXRDIT-JTQLQIEISA-N 1 2 295.839 3.713 20 0 CHADLO CC(C)c1cccc(C[NH2+][C@@H](C)c2csnn2)c1 ZINC000660807179 1120690452 /nfs/dbraw/zinc/69/04/52/1120690452.db2.gz HRYQKEDFFYMQKM-NSHDSACASA-N 1 2 261.394 3.512 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)c1C ZINC000334408511 1120699064 /nfs/dbraw/zinc/69/90/64/1120699064.db2.gz LNSHBCKFZNVCTF-XJKSGUPXSA-N 1 2 269.392 3.755 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)ccc1F ZINC000334521088 1120708712 /nfs/dbraw/zinc/70/87/12/1120708712.db2.gz IDKZVPTXUZWINB-ABAIWWIYSA-N 1 2 273.355 3.585 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191477547 1128934898 /nfs/dbraw/zinc/93/48/98/1128934898.db2.gz BOEXGHQXJGZVIK-JTQLQIEISA-N 1 2 294.757 3.773 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191477547 1128934900 /nfs/dbraw/zinc/93/49/00/1128934900.db2.gz BOEXGHQXJGZVIK-JTQLQIEISA-N 1 2 294.757 3.773 20 0 CHADLO Clc1cscc1C[N@@H+]1CCOC2(CCCCC2)C1 ZINC000678279888 1120714941 /nfs/dbraw/zinc/71/49/41/1120714941.db2.gz MWDYTTPQSRYBJX-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Clc1cscc1C[N@H+]1CCOC2(CCCCC2)C1 ZINC000678279888 1120714945 /nfs/dbraw/zinc/71/49/45/1120714945.db2.gz MWDYTTPQSRYBJX-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO CCc1ncc(C[NH2+][C@H]2CCc3ccc(F)c(Cl)c32)o1 ZINC000651823852 1120754293 /nfs/dbraw/zinc/75/42/93/1120754293.db2.gz ZDFGGYXGSVGPLB-LBPRGKRZSA-N 1 2 294.757 3.807 20 0 CHADLO Cc1cccc2c1N(C(=O)CCCn1cc[nH+]c1)CC[C@H]2C ZINC000661387860 1120761106 /nfs/dbraw/zinc/76/11/06/1120761106.db2.gz HVPICTDLXGNKAU-CQSZACIVSA-N 1 2 297.402 3.512 20 0 CHADLO Cc1nocc1C[N@@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661434206 1120765200 /nfs/dbraw/zinc/76/52/00/1120765200.db2.gz KBJPTOAZTICNTH-OAHLLOKOSA-N 1 2 272.348 3.693 20 0 CHADLO Cc1nocc1C[N@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661434206 1120765202 /nfs/dbraw/zinc/76/52/02/1120765202.db2.gz KBJPTOAZTICNTH-OAHLLOKOSA-N 1 2 272.348 3.693 20 0 CHADLO COc1ccncc1C[N@@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661431920 1120765614 /nfs/dbraw/zinc/76/56/14/1120765614.db2.gz AEPAINPSYDDANL-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ccncc1C[N@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661431920 1120765617 /nfs/dbraw/zinc/76/56/17/1120765617.db2.gz AEPAINPSYDDANL-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO c1coc([C@H]2[N@H+](Cc3cncs3)CC23CCCC3)c1 ZINC000661435560 1120765966 /nfs/dbraw/zinc/76/59/66/1120765966.db2.gz PGFIQLPRMLEGNT-CQSZACIVSA-N 1 2 274.389 3.853 20 0 CHADLO c1coc([C@H]2[N@@H+](Cc3cncs3)CC23CCCC3)c1 ZINC000661435560 1120765968 /nfs/dbraw/zinc/76/59/68/1120765968.db2.gz PGFIQLPRMLEGNT-CQSZACIVSA-N 1 2 274.389 3.853 20 0 CHADLO C[C@@H](O)[C@H]([NH2+]Cc1cscc1Cl)c1ccccc1F ZINC000651892855 1120771594 /nfs/dbraw/zinc/77/15/94/1120771594.db2.gz POUIPEGUZGJCPG-OTYXRUKQSA-N 1 2 299.798 3.752 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@H]2c2ccc(F)cc2)cc1F ZINC000661513266 1120773178 /nfs/dbraw/zinc/77/31/78/1120773178.db2.gz NNPUASNTLLVTBZ-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@H]2c2ccc(F)cc2)cc1F ZINC000661513266 1120773182 /nfs/dbraw/zinc/77/31/82/1120773182.db2.gz NNPUASNTLLVTBZ-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2ccc([C@@H]3C[C@@H]3C)o2)o1 ZINC000291704751 1120790901 /nfs/dbraw/zinc/79/09/01/1120790901.db2.gz KNTLALJMQOCPDM-GXFFZTMASA-N 1 2 274.364 3.552 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@@H]1C[C@@H](C)C[C@H](c2ccccc2)C1 ZINC000313812532 1120790991 /nfs/dbraw/zinc/79/09/91/1120790991.db2.gz IWMWKCWMRKMMOH-NXNVCVFFSA-N 1 2 289.419 3.500 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2ccc([C@@H]3C[C@H]3C)o2)o1 ZINC000291704759 1120803186 /nfs/dbraw/zinc/80/31/86/1120803186.db2.gz KNTLALJMQOCPDM-ZWNOBZJWSA-N 1 2 274.364 3.552 20 0 CHADLO C[C@]1(c2ccccc2)CC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000473143899 1120813563 /nfs/dbraw/zinc/81/35/63/1120813563.db2.gz OFFDQKMQPGZBLE-HNNXBMFYSA-N 1 2 292.835 3.960 20 0 CHADLO C[C@]1(c2ccccc2)CC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000473143899 1120813567 /nfs/dbraw/zinc/81/35/67/1120813567.db2.gz OFFDQKMQPGZBLE-HNNXBMFYSA-N 1 2 292.835 3.960 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccccc2C)c1 ZINC000652330825 1120828743 /nfs/dbraw/zinc/82/87/43/1120828743.db2.gz QKDMLELUXCLXLK-ZDUSSCGKSA-N 1 2 256.349 3.710 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCOc3ccccc32)c1 ZINC000652330739 1120828962 /nfs/dbraw/zinc/82/89/62/1120828962.db2.gz NXVQDTOFFJCLPS-OAHLLOKOSA-N 1 2 284.359 3.554 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCc3cc(Cl)ccc32)c1 ZINC000652330987 1120829709 /nfs/dbraw/zinc/82/97/09/1120829709.db2.gz USPAZSLKKHTAAZ-OAHLLOKOSA-N 1 2 288.778 3.981 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(OC)cc2C)c1 ZINC000652331241 1120829736 /nfs/dbraw/zinc/82/97/36/1120829736.db2.gz ASBSFULFTMVNEE-ZDUSSCGKSA-N 1 2 286.375 3.718 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000248791714 1120859517 /nfs/dbraw/zinc/85/95/17/1120859517.db2.gz IVHBLCKKYMMKMR-QMTHXVAHSA-N 1 2 274.364 3.640 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000248791714 1120859518 /nfs/dbraw/zinc/85/95/18/1120859518.db2.gz IVHBLCKKYMMKMR-QMTHXVAHSA-N 1 2 274.364 3.640 20 0 CHADLO FC(F)(F)c1cccc(-c2c[nH+]c3n2CCCC3)c1 ZINC000630050452 1128945444 /nfs/dbraw/zinc/94/54/44/1128945444.db2.gz QQJRTBPHUUEVDW-UHFFFAOYSA-N 1 2 266.266 3.905 20 0 CHADLO Cc1cc(C)n(-c2cccc(-c3c[nH+]c4n3CCCC4)c2)n1 ZINC000630053157 1128946547 /nfs/dbraw/zinc/94/65/47/1128946547.db2.gz LBHYVBGQAPDUGY-UHFFFAOYSA-N 1 2 292.386 3.689 20 0 CHADLO Cc1cc(C)c(/C=C\C[NH2+][C@H](C)c2csnn2)cc1C ZINC000631158883 1120898887 /nfs/dbraw/zinc/89/88/87/1120898887.db2.gz JDGULBMLFHFWRR-GEXIGZQTSA-N 1 2 287.432 3.827 20 0 CHADLO CCCn1nccc1CNc1[nH+]c2ccccc2n1CCC ZINC000663257801 1120902125 /nfs/dbraw/zinc/90/21/25/1120902125.db2.gz ZOUMUIFHFALXKB-UHFFFAOYSA-N 1 2 297.406 3.665 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+][C@@]2(c3ccccc3)CC2(C)C)[nH]1 ZINC000662339640 1120928203 /nfs/dbraw/zinc/92/82/03/1120928203.db2.gz BDOKOGYDQPWAAX-GOSISDBHSA-N 1 2 298.434 3.517 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@H+](Cc2nc(C(C)(C)C)co2)C1 ZINC000893571103 1120935601 /nfs/dbraw/zinc/93/56/01/1120935601.db2.gz JOOJBSRQIPAHIH-HIFRSBDPSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2nc(C(C)(C)C)co2)C1 ZINC000893571103 1120935607 /nfs/dbraw/zinc/93/56/07/1120935607.db2.gz JOOJBSRQIPAHIH-HIFRSBDPSA-N 1 2 299.418 3.950 20 0 CHADLO CC(C)[C@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC000078608904 1128951165 /nfs/dbraw/zinc/95/11/65/1128951165.db2.gz WZMGSHCXHZTEHW-SJLPKXTDSA-N 1 2 288.435 3.902 20 0 CHADLO CC(C)[C@H]1C[C@H]([NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC000078608904 1128951169 /nfs/dbraw/zinc/95/11/69/1128951169.db2.gz WZMGSHCXHZTEHW-SJLPKXTDSA-N 1 2 288.435 3.902 20 0 CHADLO Cc1cccc2ncc(C[N@@H+](C)Cc3ccccc3Cl)n21 ZINC000350119985 1120957965 /nfs/dbraw/zinc/95/79/65/1120957965.db2.gz HNXRSFWZYQOCBT-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1cccc2ncc(C[N@H+](C)Cc3ccccc3Cl)n21 ZINC000350119985 1120957968 /nfs/dbraw/zinc/95/79/68/1120957968.db2.gz HNXRSFWZYQOCBT-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO CC[C@@H](OC(=O)c1ccn2c(C)c[nH+]c2c1)c1ccccc1 ZINC001127680526 1128955349 /nfs/dbraw/zinc/95/53/49/1128955349.db2.gz NCWZKOOOUZUIOM-MRXNPFEDSA-N 1 2 294.354 3.951 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)O[C@@H]3CC[C@@H](C)C[C@H]3C)ccn12 ZINC001126302338 1121014713 /nfs/dbraw/zinc/01/47/13/1121014713.db2.gz BPFIKHMPXCEHPO-LALPHHSUSA-N 1 2 286.375 3.624 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OC(C)C)nc1 ZINC001174763100 1121021960 /nfs/dbraw/zinc/02/19/60/1121021960.db2.gz WHDZGFREADOXHP-UHFFFAOYSA-N 1 2 271.364 3.878 20 0 CHADLO Cc1ncc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)s1 ZINC000674009613 1121036250 /nfs/dbraw/zinc/03/62/50/1121036250.db2.gz KNLCANFILQOSLQ-CQSZACIVSA-N 1 2 262.353 3.538 20 0 CHADLO Cc1ncc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)s1 ZINC000674009613 1121036258 /nfs/dbraw/zinc/03/62/58/1121036258.db2.gz KNLCANFILQOSLQ-CQSZACIVSA-N 1 2 262.353 3.538 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2N2CCCC2)cc1C ZINC001174829081 1121047546 /nfs/dbraw/zinc/04/75/46/1121047546.db2.gz WSECEVYXGAJZGZ-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO C(=C\c1ccccc1)\CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000432402120 1121049193 /nfs/dbraw/zinc/04/91/93/1121049193.db2.gz PAMFDGJRTMOWFW-DAXSKMNVSA-N 1 2 275.355 3.998 20 0 CHADLO Fc1ccccc1C[N@@H+]1CCO[C@@H](CCc2ccccc2)C1 ZINC000543558308 1121051091 /nfs/dbraw/zinc/05/10/91/1121051091.db2.gz JCGBQOKIRUQBNV-SFHVURJKSA-N 1 2 299.389 3.659 20 0 CHADLO Fc1ccccc1C[N@H+]1CCO[C@@H](CCc2ccccc2)C1 ZINC000543558308 1121051099 /nfs/dbraw/zinc/05/10/99/1121051099.db2.gz JCGBQOKIRUQBNV-SFHVURJKSA-N 1 2 299.389 3.659 20 0 CHADLO C[C@@H]1CCCN(CCCn2cc[nH+]c2)c2ccccc21 ZINC000348818719 1121063127 /nfs/dbraw/zinc/06/31/27/1121063127.db2.gz HFMWXAIYQYZEFE-OAHLLOKOSA-N 1 2 269.392 3.677 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+]1Cc2ccc(O)cc2C1 ZINC000625726457 1121069590 /nfs/dbraw/zinc/06/95/90/1121069590.db2.gz KCOPTBDFSDWRBY-LLVKDONJSA-N 1 2 257.308 3.608 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+]1Cc2ccc(O)cc2C1 ZINC000625726457 1121069597 /nfs/dbraw/zinc/06/95/97/1121069597.db2.gz KCOPTBDFSDWRBY-LLVKDONJSA-N 1 2 257.308 3.608 20 0 CHADLO C[C@H](c1ccc(C(C)(C)C)cc1)[N@@H+]1CCn2nccc2C1 ZINC001174863304 1121071405 /nfs/dbraw/zinc/07/14/05/1121071405.db2.gz LHIVAPBFAABOSY-CQSZACIVSA-N 1 2 283.419 3.757 20 0 CHADLO C[C@H](c1ccc(C(C)(C)C)cc1)[N@H+]1CCn2nccc2C1 ZINC001174863304 1121071412 /nfs/dbraw/zinc/07/14/12/1121071412.db2.gz LHIVAPBFAABOSY-CQSZACIVSA-N 1 2 283.419 3.757 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1 ZINC001174877483 1121076478 /nfs/dbraw/zinc/07/64/78/1121076478.db2.gz DPRBLHALTKGPCW-UHFFFAOYSA-N 1 2 286.766 3.797 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cccc(O)c3)ccc12 ZINC001174894495 1121083064 /nfs/dbraw/zinc/08/30/64/1121083064.db2.gz NQCFKFZRGCFNFU-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO FC(F)Oc1ccc(C[NH2+]C2(C(F)F)CCCC2)cc1 ZINC000674135229 1121095031 /nfs/dbraw/zinc/09/50/31/1121095031.db2.gz IFINVEBDFZEUAC-UHFFFAOYSA-N 1 2 291.288 3.956 20 0 CHADLO Cc1cn2cccc(Nc3ccc(F)c(F)c3F)c2[nH+]1 ZINC001174970313 1121120137 /nfs/dbraw/zinc/12/01/37/1121120137.db2.gz YSOCGCXZBXRAQX-UHFFFAOYSA-N 1 2 277.249 3.804 20 0 CHADLO CCN(Cc1ccc(-n2cc[nH+]c2)cc1)[C@H](C)C(F)(F)F ZINC001174994889 1121123051 /nfs/dbraw/zinc/12/30/51/1121123051.db2.gz YKDPYHHWKLWJPU-GFCCVEGCSA-N 1 2 297.324 3.645 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+](C)Cc1noc2c1CCCC2 ZINC000171730825 1121127269 /nfs/dbraw/zinc/12/72/69/1121127269.db2.gz LYQRQHIIYPYIGR-GFCCVEGCSA-N 1 2 288.366 3.886 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1noc2c1CCCC2 ZINC000171730825 1121127274 /nfs/dbraw/zinc/12/72/74/1121127274.db2.gz LYQRQHIIYPYIGR-GFCCVEGCSA-N 1 2 288.366 3.886 20 0 CHADLO CCn1cc(C(=O)Nc2cc[nH+]c(C)c2)c2ccccc21 ZINC000171860528 1121133059 /nfs/dbraw/zinc/13/30/59/1121133059.db2.gz BNMINFMVQDTREX-UHFFFAOYSA-N 1 2 279.343 3.617 20 0 CHADLO COc1cc(Nc2[nH+]cccc2N2CCCC2)ccc1C ZINC001175016381 1121135790 /nfs/dbraw/zinc/13/57/90/1121135790.db2.gz IWUJWJKGCSDQTG-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO COc1ccc(Nc2[nH+]c(C)ccc2O)cc1C(F)(F)F ZINC001175068642 1121148870 /nfs/dbraw/zinc/14/88/70/1121148870.db2.gz IPQNYZQZJVWUGE-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO CCOc1ccc(F)c(Nc2ccc(N(C)C)[nH+]c2)c1F ZINC001175040144 1121154869 /nfs/dbraw/zinc/15/48/69/1121154869.db2.gz GOISVGJZFJNHNN-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)c(OCC)cc1F ZINC001175054537 1121163462 /nfs/dbraw/zinc/16/34/62/1121163462.db2.gz GIUVWZRGJXJCIS-UHFFFAOYSA-N 1 2 294.301 3.901 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(OC)cc(OC)c1 ZINC001175107537 1121167027 /nfs/dbraw/zinc/16/70/27/1121167027.db2.gz ABEHFXAXKHSMBR-UHFFFAOYSA-N 1 2 272.348 3.713 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(OC)cc(OC)c2)cc1 ZINC001175112993 1121169475 /nfs/dbraw/zinc/16/94/75/1121169475.db2.gz OCYZDLCJHGIORS-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(OC)cc(OC)c2)cc1 ZINC001175112993 1121169479 /nfs/dbraw/zinc/16/94/79/1121169479.db2.gz OCYZDLCJHGIORS-UHFFFAOYSA-N 1 2 286.375 3.904 20 0 CHADLO Cc1csc([C@@H](C)Nc2ccc([NH+](C)C)cc2)n1 ZINC000701966398 1121175973 /nfs/dbraw/zinc/17/59/73/1121175973.db2.gz LNBZEFRYBNYULZ-LLVKDONJSA-N 1 2 261.394 3.691 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)c(F)cc1F ZINC001175083778 1121176326 /nfs/dbraw/zinc/17/63/26/1121176326.db2.gz AJBPHBQTSOLVLS-UHFFFAOYSA-N 1 2 268.238 3.641 20 0 CHADLO Fc1cccc(CC[N@@H+](CC(F)F)C2CCCC2)c1 ZINC000674912098 1121197923 /nfs/dbraw/zinc/19/79/23/1121197923.db2.gz QOUGJIJWZABXBS-UHFFFAOYSA-N 1 2 271.326 3.878 20 0 CHADLO Fc1cccc(CC[N@H+](CC(F)F)C2CCCC2)c1 ZINC000674912098 1121197929 /nfs/dbraw/zinc/19/79/29/1121197929.db2.gz QOUGJIJWZABXBS-UHFFFAOYSA-N 1 2 271.326 3.878 20 0 CHADLO COc1ccc(CNc2ccc(C)[nH+]c2C)cc1Cl ZINC000094664678 1121219655 /nfs/dbraw/zinc/21/96/55/1121219655.db2.gz DFFSVQZBIXEYLY-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO Cc1ccc(NCc2ccc(OC(F)F)cc2)c(C)[nH+]1 ZINC000094664868 1121219777 /nfs/dbraw/zinc/21/97/77/1121219777.db2.gz CSRVKQZXDFVDSA-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO FCCC1CC[NH+](Cc2nc(Cl)ccc2Cl)CC1 ZINC001175182670 1121221711 /nfs/dbraw/zinc/22/17/11/1121221711.db2.gz BKPPJBKTGBAYLW-UHFFFAOYSA-N 1 2 291.197 3.960 20 0 CHADLO CCOc1cccc(F)c1Nc1ccc(N(C)CC)[nH+]c1 ZINC001175223648 1121230352 /nfs/dbraw/zinc/23/03/52/1121230352.db2.gz GDBAEHZFZFTQMY-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO CCOc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)c(C)c1 ZINC001175243675 1121243674 /nfs/dbraw/zinc/24/36/74/1121243674.db2.gz CVORTNHPQBREBL-UHFFFAOYSA-N 1 2 281.359 3.623 20 0 CHADLO CC(C)OC(=O)c1ccc(Nc2cccc3[nH+]ccn32)cc1 ZINC001175257904 1121264282 /nfs/dbraw/zinc/26/42/82/1121264282.db2.gz SBHQVAONNMUVQS-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO CCc1ccc(CC[NH2+]C(C)(C)C(=O)OC(C)(C)C)cc1 ZINC001175267541 1121267170 /nfs/dbraw/zinc/26/71/70/1121267170.db2.gz HNYLHAOQVWDIPH-UHFFFAOYSA-N 1 2 291.435 3.501 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001175270606 1121270534 /nfs/dbraw/zinc/27/05/34/1121270534.db2.gz JLCKDYFIISMRNY-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)cs2)c[nH+]1 ZINC001175343147 1121272544 /nfs/dbraw/zinc/27/25/44/1121272544.db2.gz YUOAORPJYDVTID-UHFFFAOYSA-N 1 2 253.758 3.606 20 0 CHADLO CN(C)c1ccc(Nc2nc(Cl)ccc2Cl)c[nH+]1 ZINC001175342389 1121272935 /nfs/dbraw/zinc/27/29/35/1121272935.db2.gz UBDIVNRJMMQQCU-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1Cl ZINC001175346103 1121274263 /nfs/dbraw/zinc/27/42/63/1121274263.db2.gz MWOPJPJLAFMQBI-UHFFFAOYSA-N 1 2 289.766 3.747 20 0 CHADLO CN(C)c1ccc(Nc2cc(C(C)(C)C)ccc2O)c[nH+]1 ZINC001175344211 1121274673 /nfs/dbraw/zinc/27/46/73/1121274673.db2.gz AYHYQZPKMVRSHW-UHFFFAOYSA-N 1 2 285.391 3.894 20 0 CHADLO CC(=O)c1cc(Cl)ccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175344850 1121274710 /nfs/dbraw/zinc/27/47/10/1121274710.db2.gz JRHKMLFJUHFIRT-UHFFFAOYSA-N 1 2 289.766 3.747 20 0 CHADLO C[NH+](C)c1ccc(N[C@H]2CCc3ccc(F)cc32)cc1 ZINC000182490557 1128975537 /nfs/dbraw/zinc/97/55/37/1128975537.db2.gz COERBNDWQKHXAS-KRWDZBQOSA-N 1 2 270.351 3.991 20 0 CHADLO CC(=O)c1ccsc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175350036 1121292093 /nfs/dbraw/zinc/29/20/93/1121292093.db2.gz SBEXZOCKLZWZGR-UHFFFAOYSA-N 1 2 287.388 3.690 20 0 CHADLO c1cc(Nc2ccc(N3CCCC3)[nH+]c2)c2c(c1)OCCC2 ZINC001175351847 1121293360 /nfs/dbraw/zinc/29/33/60/1121293360.db2.gz CTVFKARTLUFZCA-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO CCc1ccc(O)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175352367 1121293419 /nfs/dbraw/zinc/29/34/19/1121293419.db2.gz NVWDXCLCDVJKSF-UHFFFAOYSA-N 1 2 283.375 3.693 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccccc1N(C)C ZINC000182489248 1128975806 /nfs/dbraw/zinc/97/58/06/1128975806.db2.gz VKPUJRYDMVYQSP-CQSZACIVSA-N 1 2 283.419 3.992 20 0 CHADLO c1cnc2ccc(Nc3ccc[nH+]c3N3CCCC3)cc2c1 ZINC001175373620 1121302828 /nfs/dbraw/zinc/30/28/28/1121302828.db2.gz AMZXLWYSPNHPSN-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO COc1cc(Nc2cccc3[nH+]c(C)cn32)ccc1F ZINC001175392925 1121311385 /nfs/dbraw/zinc/31/13/85/1121311385.db2.gz FIMKYIOPKNGCTE-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)c1nccn1C)c1cc(F)ccc1F ZINC000658339663 1121315975 /nfs/dbraw/zinc/31/59/75/1121315975.db2.gz UHUCMHWVRFRZTF-XHDPSFHLSA-N 1 2 293.361 3.746 20 0 CHADLO CCC(CC)(CC)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000675481283 1121317383 /nfs/dbraw/zinc/31/73/83/1121317383.db2.gz AOZAKIUUPIXEAR-GJZGRUSLSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1c2c[nH]nc2ccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175465308 1121330281 /nfs/dbraw/zinc/33/02/81/1121330281.db2.gz AKDUYKJNLVWQCH-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2n[nH]cc2c1C ZINC001175466455 1121330475 /nfs/dbraw/zinc/33/04/75/1121330475.db2.gz XOISREZLBWUHKS-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO CCc1cc(OCC[C@H]2CCOC2)c2ccccc2[nH+]1 ZINC000418920710 1121337224 /nfs/dbraw/zinc/33/72/24/1121337224.db2.gz HXZCNPTUGJNREV-CYBMUJFWSA-N 1 2 271.360 3.603 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc([S@](C)=O)cc1 ZINC001175481938 1121338318 /nfs/dbraw/zinc/33/83/18/1121338318.db2.gz OTASJXPUYWWVHI-FQEVSTJZSA-N 1 2 288.416 3.994 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H]2COc3ccc(Cl)cc32)n1 ZINC000675810871 1121366668 /nfs/dbraw/zinc/36/66/68/1121366668.db2.gz VPCWERNWAHSLHG-SKDRFNHKSA-N 1 2 294.807 3.889 20 0 CHADLO CC[C@@H]1CCC[C@H](NC(=O)c2c(C)cc(C)[nH+]c2C)C1 ZINC001126345158 1121373917 /nfs/dbraw/zinc/37/39/17/1121373917.db2.gz CEWRFDANFGBKMB-CABCVRRESA-N 1 2 274.408 3.705 20 0 CHADLO Cn1cc2c(cccc2Nc2cccc(-n3cc[nH+]c3)c2)n1 ZINC001175590644 1121374801 /nfs/dbraw/zinc/37/48/01/1121374801.db2.gz FVUVBYSAKCXAMM-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO COc1cccc(/C=[NH+]/C[C@H]2CCCc3ccccc32)c1O ZINC000028185404 1121375549 /nfs/dbraw/zinc/37/55/49/1121375549.db2.gz BRZVOUJMFCAPRS-OOWUWCJESA-N 1 2 295.382 3.940 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+]1C[C@@H](C)O[C@@H](C(F)(F)F)C1 ZINC000675891864 1121376415 /nfs/dbraw/zinc/37/64/15/1121376415.db2.gz DABSGZNZKINVEZ-MBNYWOFBSA-N 1 2 287.325 3.708 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+]1C[C@@H](C)O[C@@H](C(F)(F)F)C1 ZINC000675891864 1121376421 /nfs/dbraw/zinc/37/64/21/1121376421.db2.gz DABSGZNZKINVEZ-MBNYWOFBSA-N 1 2 287.325 3.708 20 0 CHADLO CCCOc1cccc(Nc2[nH+]cccc2N(C)C)c1 ZINC001175600381 1121381125 /nfs/dbraw/zinc/38/11/25/1121381125.db2.gz CMFUFRHEDMRIDX-UHFFFAOYSA-N 1 2 271.364 3.680 20 0 CHADLO C[N@@H+]1CCCC(=Nc2cc(-c3ccccc3Cl)no2)C1 ZINC001175574807 1121393473 /nfs/dbraw/zinc/39/34/73/1121393473.db2.gz DTJGNOQZKLVVML-UHFFFAOYSA-N 1 2 289.766 3.626 20 0 CHADLO C[N@H+]1CCCC(=Nc2cc(-c3ccccc3Cl)no2)C1 ZINC001175574807 1121393480 /nfs/dbraw/zinc/39/34/80/1121393480.db2.gz DTJGNOQZKLVVML-UHFFFAOYSA-N 1 2 289.766 3.626 20 0 CHADLO CCc1cc(NCc2c[nH+]c3ccc(C)cn23)ccc1F ZINC000512492603 1121401326 /nfs/dbraw/zinc/40/13/26/1121401326.db2.gz VFSKFSUFIVDGNR-UHFFFAOYSA-N 1 2 283.350 3.956 20 0 CHADLO COCC[C@@H](C)[N@@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000447385798 1121416085 /nfs/dbraw/zinc/41/60/85/1121416085.db2.gz PFLCDGIMIVHMKD-GFCCVEGCSA-N 1 2 292.810 3.535 20 0 CHADLO COCC[C@@H](C)[N@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000447385798 1121416089 /nfs/dbraw/zinc/41/60/89/1121416089.db2.gz PFLCDGIMIVHMKD-GFCCVEGCSA-N 1 2 292.810 3.535 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc2c(c1)n[nH]c2C ZINC001175658254 1121423659 /nfs/dbraw/zinc/42/36/59/1121423659.db2.gz VFYPWIYHEFTPAO-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(C)n[nH]c2c1 ZINC001175661863 1121426213 /nfs/dbraw/zinc/42/62/13/1121426213.db2.gz VOUUVLCIVIQZQS-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(OC(F)(F)F)ccc2F)C[C@@H]1F ZINC001143538493 1121429113 /nfs/dbraw/zinc/42/91/13/1121429113.db2.gz NLMYWXFSXHWESN-PELKAZGASA-N 1 2 295.251 3.514 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(OC(F)(F)F)ccc2F)C[C@@H]1F ZINC001143538493 1121429117 /nfs/dbraw/zinc/42/91/17/1121429117.db2.gz NLMYWXFSXHWESN-PELKAZGASA-N 1 2 295.251 3.514 20 0 CHADLO CC(C)c1cncc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175711937 1121434657 /nfs/dbraw/zinc/43/46/57/1121434657.db2.gz AQCUPDOZOMKHRA-UHFFFAOYSA-N 1 2 296.296 3.945 20 0 CHADLO Cc1cccc(F)c1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175713102 1121434952 /nfs/dbraw/zinc/43/49/52/1121434952.db2.gz PTMKSGSSRSGZHV-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1cc(Nc2c[nH+]c(N)cc2C(F)(F)F)c(C)cc1O ZINC001175712670 1121435269 /nfs/dbraw/zinc/43/52/69/1121435269.db2.gz GBMOROAUODPESE-UHFFFAOYSA-N 1 2 297.280 3.749 20 0 CHADLO CCc1cccc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175712604 1121435408 /nfs/dbraw/zinc/43/54/08/1121435408.db2.gz DYPLQTIIBBDJBG-UHFFFAOYSA-N 1 2 281.281 3.989 20 0 CHADLO Cc1cc(N2CCC(F)(F)[C@@H](C)C2)nc(C2CCC2)[nH+]1 ZINC000893905769 1121438132 /nfs/dbraw/zinc/43/81/32/1121438132.db2.gz SUHVVFOGSZPXTF-JTQLQIEISA-N 1 2 281.350 3.534 20 0 CHADLO CN(C)c1[nH+]ccc2cc(NC(=O)c3ccsc3)ccc21 ZINC001129231432 1121447731 /nfs/dbraw/zinc/44/77/31/1121447731.db2.gz PTKLFXIGANJOOQ-UHFFFAOYSA-N 1 2 297.383 3.615 20 0 CHADLO CC(C)c1cc(Nc2c[nH+]c(N)cc2C(F)(F)F)on1 ZINC001175710190 1121449990 /nfs/dbraw/zinc/44/99/90/1121449990.db2.gz YBIJKBDHBQNAPS-UHFFFAOYSA-N 1 2 286.257 3.538 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nccn1C1CC1 ZINC000894023575 1121457391 /nfs/dbraw/zinc/45/73/91/1121457391.db2.gz JXEFZZUFMYVCDS-QINSGFPZSA-N 1 2 281.403 3.753 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nccn1C1CC1 ZINC000894023575 1121457395 /nfs/dbraw/zinc/45/73/95/1121457395.db2.gz JXEFZZUFMYVCDS-QINSGFPZSA-N 1 2 281.403 3.753 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3[nH]c4ccc(C)cc4c3C2)nc1 ZINC000676705702 1121458126 /nfs/dbraw/zinc/45/81/26/1121458126.db2.gz YEMPJCPSNVIEQF-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3[nH]c4ccc(C)cc4c3C2)nc1 ZINC000676705702 1121458128 /nfs/dbraw/zinc/45/81/28/1121458128.db2.gz YEMPJCPSNVIEQF-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO C[C@H]1COCCC[N@@H+]1Cc1ccc(Cl)cc1Cl ZINC000676714145 1121458939 /nfs/dbraw/zinc/45/89/39/1121458939.db2.gz UESCRGMEHTYBNN-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@H]1COCCC[N@H+]1Cc1ccc(Cl)cc1Cl ZINC000676714145 1121458943 /nfs/dbraw/zinc/45/89/43/1121458943.db2.gz UESCRGMEHTYBNN-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO COc1cc(Nc2ccn3cc[nH+]c3c2)ccc1Cl ZINC001175771342 1121460758 /nfs/dbraw/zinc/46/07/58/1121460758.db2.gz AVFBTDAKKALLOG-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO COc1cc(Nc2ccc3c(c2)[nH+]cn3C)ccc1Cl ZINC001175773417 1121462150 /nfs/dbraw/zinc/46/21/50/1121462150.db2.gz NLSIJNTWHFMNJU-UHFFFAOYSA-N 1 2 287.750 3.979 20 0 CHADLO Cc1noc2ncc(C[N@@H+]3CC[C@H]3c3cccc(F)c3)cc12 ZINC000894062559 1121463350 /nfs/dbraw/zinc/46/33/50/1121463350.db2.gz BCNOIESBLNSQAC-INIZCTEOSA-N 1 2 297.333 3.617 20 0 CHADLO Cc1noc2ncc(C[N@H+]3CC[C@H]3c3cccc(F)c3)cc12 ZINC000894062559 1121463354 /nfs/dbraw/zinc/46/33/54/1121463354.db2.gz BCNOIESBLNSQAC-INIZCTEOSA-N 1 2 297.333 3.617 20 0 CHADLO COc1c(F)cc(F)cc1C[N@@H+]1CCCC[C@@](C)(F)C1 ZINC001143551931 1121468141 /nfs/dbraw/zinc/46/81/41/1121468141.db2.gz NOWULDBZXLNADK-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO COc1c(F)cc(F)cc1C[N@H+]1CCCC[C@@](C)(F)C1 ZINC001143551931 1121468148 /nfs/dbraw/zinc/46/81/48/1121468148.db2.gz NOWULDBZXLNADK-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO C/C(=C/c1ccccc1OC(F)F)C[NH2+]CC(C)(F)F ZINC000549862982 1121470651 /nfs/dbraw/zinc/47/06/51/1121470651.db2.gz KOLFYYVJJSFQBD-YFHOEESVSA-N 1 2 291.288 3.936 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1Cl)c1cn(C)cn1 ZINC000710143710 1121473379 /nfs/dbraw/zinc/47/33/79/1121473379.db2.gz CZKHVXYFCRQWOR-VHSXEESVSA-N 1 2 281.762 3.624 20 0 CHADLO C[C@@H]([NH2+]Cc1c(Cl)oc2ccccc21)c1cn(C)cn1 ZINC000710168361 1121474582 /nfs/dbraw/zinc/47/45/82/1121474582.db2.gz JDCGGHNJSHLKHU-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2c(F)cncc2F)c(F)c1 ZINC000631134621 1128986931 /nfs/dbraw/zinc/98/69/31/1128986931.db2.gz GTHZNTAJNQVCIN-JTQLQIEISA-N 1 2 280.293 3.658 20 0 CHADLO Cc1ncsc1CN(C)c1cc(C)c2ccccc2[nH+]1 ZINC000066467565 1121478435 /nfs/dbraw/zinc/47/84/35/1121478435.db2.gz BXPAOMXWZBXKQG-UHFFFAOYSA-N 1 2 283.400 3.945 20 0 CHADLO CC1(CO)CC[NH+](Cc2csc(Cl)c2Cl)CC1 ZINC000432919959 1121481533 /nfs/dbraw/zinc/48/15/33/1121481533.db2.gz SLBFTOVIZQUHFZ-UHFFFAOYSA-N 1 2 294.247 3.649 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(COC(C)(C)C)c1 ZINC000922915524 1121488278 /nfs/dbraw/zinc/48/82/78/1121488278.db2.gz VNYHUZFWPDRKSM-UHFFFAOYSA-N 1 2 287.407 3.830 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc2scnc2c1 ZINC001175914699 1121498098 /nfs/dbraw/zinc/49/80/98/1121498098.db2.gz PCUNLIZRUIHUOO-UHFFFAOYSA-N 1 2 280.356 3.526 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1cc(Cl)cc2c1OCC2 ZINC000922986733 1121505233 /nfs/dbraw/zinc/50/52/33/1121505233.db2.gz KOKJHEIVOHJJFO-VIFPVBQESA-N 1 2 294.807 3.582 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1cc(Br)ccc1F ZINC000429300108 1121516852 /nfs/dbraw/zinc/51/68/52/1121516852.db2.gz ZFJBYMYUJLCRSQ-LLVKDONJSA-N 1 2 272.161 3.573 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1cc(Br)ccc1F ZINC000429300108 1121516854 /nfs/dbraw/zinc/51/68/54/1121516854.db2.gz ZFJBYMYUJLCRSQ-LLVKDONJSA-N 1 2 272.161 3.573 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1ccn(C)n1 ZINC000543606858 1121519042 /nfs/dbraw/zinc/51/90/42/1121519042.db2.gz BEVHTPAUKHBQPB-ZDUSSCGKSA-N 1 2 298.217 3.968 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000711469004 1121535148 /nfs/dbraw/zinc/53/51/48/1121535148.db2.gz GCWWRYRTHSVZGO-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2nc(Cl)ccc2Cl)C1 ZINC000711469004 1121535156 /nfs/dbraw/zinc/53/51/56/1121535156.db2.gz GCWWRYRTHSVZGO-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO COc1cccc2[nH]c(Nc3cccc4[nH+]ccn43)cc21 ZINC001176060075 1121543488 /nfs/dbraw/zinc/54/34/88/1121543488.db2.gz RJFAOCWGECKTCT-UHFFFAOYSA-N 1 2 278.315 3.568 20 0 CHADLO CCc1ccc[nH+]c1Nc1cc2c(cccc2OC)[nH]1 ZINC001176062146 1121544006 /nfs/dbraw/zinc/54/40/06/1121544006.db2.gz PELFBYXXMHJTLJ-UHFFFAOYSA-N 1 2 267.332 3.878 20 0 CHADLO COc1cc(Nc2ccc3c(c2)[nH+]cn3C)c(F)cc1F ZINC001176108691 1121553137 /nfs/dbraw/zinc/55/31/37/1121553137.db2.gz DBEFOAANRQHXDI-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)ccc2ccc(C)nc21 ZINC001176113680 1121555255 /nfs/dbraw/zinc/55/52/55/1121555255.db2.gz MDGAQNQUCAIFQB-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)cc(Br)c1 ZINC001176120559 1121556171 /nfs/dbraw/zinc/55/61/71/1121556171.db2.gz DXNDYSWCLIKCOH-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(F)(F)F)c(OC)c1 ZINC001176120645 1121557432 /nfs/dbraw/zinc/55/74/32/1121557432.db2.gz HNVUPXKPVTWBMU-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1nc2cc(F)ccc2o1 ZINC000429383538 1121557863 /nfs/dbraw/zinc/55/78/63/1121557863.db2.gz QWOOLSBVRQRPMC-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1nc2cc(F)ccc2o1 ZINC000429383538 1121557868 /nfs/dbraw/zinc/55/78/68/1121557868.db2.gz QWOOLSBVRQRPMC-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(-n2cccc2)cc1 ZINC001176121786 1121557855 /nfs/dbraw/zinc/55/78/55/1121557855.db2.gz PWEQVJNSSPFNEJ-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(-c2ccccc2C)nn1C ZINC001176122031 1121558544 /nfs/dbraw/zinc/55/85/44/1121558544.db2.gz ZZSIJVOHVRMQHL-UHFFFAOYSA-N 1 2 294.358 3.543 20 0 CHADLO CNc1ccc(C(F)(F)F)cc1Nc1c[nH+]ccc1OC ZINC001176123211 1121558602 /nfs/dbraw/zinc/55/86/02/1121558602.db2.gz PZRDUWNTYRDVCP-UHFFFAOYSA-N 1 2 297.280 3.894 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(F)(F)F)ccc1O ZINC001176123184 1121558682 /nfs/dbraw/zinc/55/86/82/1121558682.db2.gz OQGJDCARCPPCRV-UHFFFAOYSA-N 1 2 284.237 3.558 20 0 CHADLO COc1cc[nH+]cc1Nc1cncc(C(C)(C)C)c1 ZINC001176121916 1121559069 /nfs/dbraw/zinc/55/90/69/1121559069.db2.gz RPAIYLGOJWBNRG-UHFFFAOYSA-N 1 2 257.337 3.526 20 0 CHADLO c1ccc2cc(N3CCC([C@@H]4CCCO4)CC3)[nH+]cc2c1 ZINC000631233226 1128993527 /nfs/dbraw/zinc/99/35/27/1128993527.db2.gz IWGDFWNGTYGWCH-KRWDZBQOSA-N 1 2 282.387 3.630 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(-c2ccc(C)cc2)nn1C ZINC001176121951 1121559196 /nfs/dbraw/zinc/55/91/96/1121559196.db2.gz UXFJVFGRGISSTL-UHFFFAOYSA-N 1 2 294.358 3.543 20 0 CHADLO COc1cc[nH+]cc1Nc1c(C)c(C)c(N)c(C)c1C ZINC001176125045 1121560726 /nfs/dbraw/zinc/56/07/26/1121560726.db2.gz XRKRCVKLLMPDBQ-UHFFFAOYSA-N 1 2 271.364 3.650 20 0 CHADLO Cc1cc(N)nc(S[C@@H]2CCCc3ccc(F)cc32)[nH+]1 ZINC000512732395 1121562632 /nfs/dbraw/zinc/56/26/32/1121562632.db2.gz FFCISDVQUQCZJO-CYBMUJFWSA-N 1 2 289.379 3.506 20 0 CHADLO Cc1ccc(C)c(Nc2cnn(Cc3cccs3)c2)[nH+]1 ZINC001176159515 1121572501 /nfs/dbraw/zinc/57/25/01/1121572501.db2.gz PSOJPFMYGCFDMV-UHFFFAOYSA-N 1 2 284.388 3.748 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CCO[C@@H](CCF)C1 ZINC000626002926 1121572554 /nfs/dbraw/zinc/57/25/54/1121572554.db2.gz WXGBHZMOOYZXRV-LSDHHAIUSA-N 1 2 285.790 3.852 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CCO[C@@H](CCF)C1 ZINC000626002926 1121572556 /nfs/dbraw/zinc/57/25/56/1121572556.db2.gz WXGBHZMOOYZXRV-LSDHHAIUSA-N 1 2 285.790 3.852 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2ncoc2C(C)C)n1 ZINC000092801848 1121574861 /nfs/dbraw/zinc/57/48/61/1121574861.db2.gz QTQNLOWZGCCBHH-MRXNPFEDSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2ncoc2C(C)C)n1 ZINC000092801848 1121574868 /nfs/dbraw/zinc/57/48/68/1121574868.db2.gz QTQNLOWZGCCBHH-MRXNPFEDSA-N 1 2 285.391 3.839 20 0 CHADLO O=C1CCc2cc(Nc3cccc(-n4cc[nH+]c4)c3)ccc21 ZINC001176232255 1121582237 /nfs/dbraw/zinc/58/22/37/1121582237.db2.gz QAVYIXRLMKAGII-UHFFFAOYSA-N 1 2 289.338 3.745 20 0 CHADLO CSCc1cc[nH+]c(N(C)Cc2ccsc2)c1 ZINC000092827277 1121591736 /nfs/dbraw/zinc/59/17/36/1121591736.db2.gz CSBPVZCCUULIRB-UHFFFAOYSA-N 1 2 264.419 3.643 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(Cl)s2)cs1 ZINC000067185696 1121596887 /nfs/dbraw/zinc/59/68/87/1121596887.db2.gz HJROBIHIEXOSQB-UHFFFAOYSA-N 1 2 272.826 3.710 20 0 CHADLO COCc1ccc(COc2ccc3c(c2)[nH+]c(C)n3C)cc1 ZINC000664046764 1121604650 /nfs/dbraw/zinc/60/46/50/1121604650.db2.gz OUXWZACMCIEAKZ-UHFFFAOYSA-N 1 2 296.370 3.607 20 0 CHADLO CO[C@H](COc1ccc2c(c1)[nH+]c(C)n2C)c1ccccc1 ZINC000664047078 1121607609 /nfs/dbraw/zinc/60/76/09/1121607609.db2.gz WNPICTVBSRQCDR-GOSISDBHSA-N 1 2 296.370 3.648 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2cc(O)ccc2c1 ZINC001176386213 1121608396 /nfs/dbraw/zinc/60/83/96/1121608396.db2.gz FWMXUDPRFZXAJQ-UHFFFAOYSA-N 1 2 266.300 3.693 20 0 CHADLO Oc1ccc(Nc2ccc[nH+]c2N2CCCCC2)c(F)c1 ZINC001176409919 1121625515 /nfs/dbraw/zinc/62/55/15/1121625515.db2.gz AOWVJUMJXRWZEX-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Oc1ccc(Nc2cccc3cc[nH+]cc32)c(F)c1 ZINC001176413887 1121627843 /nfs/dbraw/zinc/62/78/43/1121627843.db2.gz MZJZXGNNGHSANV-UHFFFAOYSA-N 1 2 254.264 3.823 20 0 CHADLO FC[C@H]1C[N@H+](Cc2cc(Cl)ccc2Cl)CCCO1 ZINC000411864671 1121628857 /nfs/dbraw/zinc/62/88/57/1121628857.db2.gz VARUYIUMBNOOJW-LBPRGKRZSA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@H]1C[N@@H+](Cc2cc(Cl)ccc2Cl)CCCO1 ZINC000411864671 1121628859 /nfs/dbraw/zinc/62/88/59/1121628859.db2.gz VARUYIUMBNOOJW-LBPRGKRZSA-N 1 2 292.181 3.554 20 0 CHADLO Fc1cc(Nc2cccc3[nH+]ccn32)cc(N2CCCC2)c1 ZINC001176417724 1121629502 /nfs/dbraw/zinc/62/95/02/1121629502.db2.gz ZOBFHPGEKLIAJO-UHFFFAOYSA-N 1 2 296.349 3.817 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1/C=C/c1ccc(C)cc1 ZINC001176422787 1121630521 /nfs/dbraw/zinc/63/05/21/1121630521.db2.gz QXWXHIGAXYSFRP-MDZDMXLPSA-N 1 2 263.344 3.863 20 0 CHADLO Cc1c[nH+]c(CCNc2nc3ccc(N)cc3s2)c(C)c1 ZINC000664073244 1121661289 /nfs/dbraw/zinc/66/12/89/1121661289.db2.gz FMCXCZXGFWPQLC-UHFFFAOYSA-N 1 2 298.415 3.545 20 0 CHADLO c1ccc2c(c1)CC[C@@H](Nc1ccc(N3CCCC3)c[nH+]1)C2 ZINC000513008466 1121662762 /nfs/dbraw/zinc/66/27/62/1121662762.db2.gz GMGLMSANEXCQHU-QGZVFWFLSA-N 1 2 293.414 3.651 20 0 CHADLO Oc1cc(Cl)cc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001176625465 1121664334 /nfs/dbraw/zinc/66/43/34/1121664334.db2.gz XLFGOLHXVWTBCT-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Clc1ccc2c(Nc3cnc4nonc4c3)cc[nH+]c2c1 ZINC001176688797 1121675133 /nfs/dbraw/zinc/67/51/33/1121675133.db2.gz GNYSQDAOIYXWRB-UHFFFAOYSA-N 1 2 297.705 3.563 20 0 CHADLO CCCCc1nc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2)no1 ZINC000513265440 1121676326 /nfs/dbraw/zinc/67/63/26/1121676326.db2.gz FLYUDUXWXDOPGA-GOEBONIOSA-N 1 2 299.418 3.995 20 0 CHADLO CCCCc1nc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2)no1 ZINC000513265440 1121676331 /nfs/dbraw/zinc/67/63/31/1121676331.db2.gz FLYUDUXWXDOPGA-GOEBONIOSA-N 1 2 299.418 3.995 20 0 CHADLO C[N@@H+](Cc1c(Cl)cccc1Cl)C[C@H]1CCCO1 ZINC000052102259 1121679305 /nfs/dbraw/zinc/67/93/05/1121679305.db2.gz SRDCTLHEYZBUHN-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[N@H+](Cc1c(Cl)cccc1Cl)C[C@H]1CCCO1 ZINC000052102259 1121679310 /nfs/dbraw/zinc/67/93/10/1121679310.db2.gz SRDCTLHEYZBUHN-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO CCCc1ccnc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001176748820 1121686936 /nfs/dbraw/zinc/68/69/36/1121686936.db2.gz CNRQYLYLOMRDGA-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)cnc1Cl ZINC001176743437 1121687006 /nfs/dbraw/zinc/68/70/06/1121687006.db2.gz KONPKTPNPVVFAY-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1ccc[nH+]c1N1CCCC1 ZINC001176748850 1121687100 /nfs/dbraw/zinc/68/71/00/1121687100.db2.gz DXYNSCFMUJYOQR-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO COC1CC(CCNc2[nH+]c3ccccc3cc2C)C1 ZINC000664140723 1121687383 /nfs/dbraw/zinc/68/73/83/1121687383.db2.gz DOOYLHHMCSNJPK-UHFFFAOYSA-N 1 2 270.376 3.770 20 0 CHADLO Cc1cccc(Nc2ccc[nH+]c2N2CCCC2)c1F ZINC001176750685 1121688179 /nfs/dbraw/zinc/68/81/79/1121688179.db2.gz HNAIGJITMYCOIM-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO CCCn1c(C[NH2+]Cc2occc2C)nc2ccccc21 ZINC000291790663 1121694298 /nfs/dbraw/zinc/69/42/98/1121694298.db2.gz WBWVKRHMYWBONY-UHFFFAOYSA-N 1 2 283.375 3.638 20 0 CHADLO CC(C)c1ccc2c(c1)CC[N@@H+](Cc1cncc(F)c1)C2 ZINC001177027074 1121707589 /nfs/dbraw/zinc/70/75/89/1121707589.db2.gz CZPISLWCWPBYJN-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO CC(C)c1ccc2c(c1)CC[N@H+](Cc1cncc(F)c1)C2 ZINC001177027074 1121707598 /nfs/dbraw/zinc/70/75/98/1121707598.db2.gz CZPISLWCWPBYJN-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO O=C(CCCCc1cccs1)Nc1ccn2cc[nH+]c2c1 ZINC001177485627 1121732581 /nfs/dbraw/zinc/73/25/81/1121732581.db2.gz COZRTKXLJBZJJW-UHFFFAOYSA-N 1 2 299.399 3.747 20 0 CHADLO CCc1cccc(F)c1CN[C@@H]1C[N@H+](C)Cc2ccccc21 ZINC000527523769 1121733379 /nfs/dbraw/zinc/73/33/79/1121733379.db2.gz COUQCTGINQWIJE-LJQANCHMSA-N 1 2 298.405 3.664 20 0 CHADLO CCc1cccc(F)c1CN[C@@H]1C[N@@H+](C)Cc2ccccc21 ZINC000527523769 1121733386 /nfs/dbraw/zinc/73/33/86/1121733386.db2.gz COUQCTGINQWIJE-LJQANCHMSA-N 1 2 298.405 3.664 20 0 CHADLO CC(C)(C)[C@H]1CN(C(=O)CCc2c[nH]c[nH+]2)c2ccccc21 ZINC000281458203 1121737954 /nfs/dbraw/zinc/73/79/54/1121737954.db2.gz CWJWBTMNTXTIPQ-HNNXBMFYSA-N 1 2 297.402 3.519 20 0 CHADLO CC(C)(C)[C@H]1CN(C(=O)CCc2c[nH+]c[nH]2)c2ccccc21 ZINC000281458203 1121737962 /nfs/dbraw/zinc/73/79/62/1121737962.db2.gz CWJWBTMNTXTIPQ-HNNXBMFYSA-N 1 2 297.402 3.519 20 0 CHADLO CC[C@@H](C)c1ccc(OCc2ccc[nH+]c2N)cc1 ZINC000114249582 1121742932 /nfs/dbraw/zinc/74/29/32/1121742932.db2.gz MWBNMUWZTFRWBA-GFCCVEGCSA-N 1 2 256.349 3.756 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+][C@@H](C)c1nonc1C ZINC000924894777 1121756541 /nfs/dbraw/zinc/75/65/41/1121756541.db2.gz ARMHKSQUCADCGZ-FZMZJTMJSA-N 1 2 289.379 3.579 20 0 CHADLO CCCOc1cc(C)ccc1C[NH2+]Cc1nccs1 ZINC001177981241 1121762575 /nfs/dbraw/zinc/76/25/75/1121762575.db2.gz JTYYAHMRSNVLRB-UHFFFAOYSA-N 1 2 276.405 3.530 20 0 CHADLO CC(C)CCOc1ccccc1C[NH2+][C@@H](C)c1ncc[nH]1 ZINC000286224659 1121767164 /nfs/dbraw/zinc/76/71/64/1121767164.db2.gz SNGVZQTXKNIKAJ-AWEZNQCLSA-N 1 2 287.407 3.685 20 0 CHADLO CC(C)c1cc(C[NH2+][C@@H](C)c2ccc(F)cc2F)on1 ZINC000169227857 1121773147 /nfs/dbraw/zinc/77/31/47/1121773147.db2.gz PDJCRZQDDOKVCR-JTQLQIEISA-N 1 2 280.318 3.927 20 0 CHADLO CC(C)c1cc(C[NH2+][C@@H](C)c2ccccc2F)on1 ZINC000169203281 1121773744 /nfs/dbraw/zinc/77/37/44/1121773744.db2.gz GLTWQMUKZUHJPG-NSHDSACASA-N 1 2 262.328 3.788 20 0 CHADLO Cc1ccc(NCc2nc3c(s2)C[C@@H](C)CC3)c(C)[nH+]1 ZINC001178259517 1121778577 /nfs/dbraw/zinc/77/85/77/1121778577.db2.gz QSEFDNKYDNBNNS-JTQLQIEISA-N 1 2 287.432 3.892 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1cccc(Cl)c1N ZINC001178504878 1121790565 /nfs/dbraw/zinc/79/05/65/1121790565.db2.gz HVWPXJNYSULPLX-UHFFFAOYSA-N 1 2 291.782 3.717 20 0 CHADLO c1nc(N2CCCC2)cc(NC2CCCCCCC2)[nH+]1 ZINC000730106971 1121792297 /nfs/dbraw/zinc/79/22/97/1121792297.db2.gz WNJGYABWTAOELB-UHFFFAOYSA-N 1 2 274.412 3.602 20 0 CHADLO c1nc(NC2CCCCCCC2)cc(N2CCCC2)[nH+]1 ZINC000730106971 1121792301 /nfs/dbraw/zinc/79/23/01/1121792301.db2.gz WNJGYABWTAOELB-UHFFFAOYSA-N 1 2 274.412 3.602 20 0 CHADLO CC(C)[C@@H](C)N(C(=O)CCCn1cc[nH+]c1)c1ccccc1 ZINC000894221905 1121800997 /nfs/dbraw/zinc/80/09/97/1121800997.db2.gz RNKOEYYAXBMNHO-MRXNPFEDSA-N 1 2 299.418 3.741 20 0 CHADLO CC(C)=CCC[C@@H](C)[NH2+]c1ccc(N(C)CCO)cc1 ZINC000182952674 1129012567 /nfs/dbraw/zinc/01/25/67/1129012567.db2.gz CPYFDCBCKAHZRF-OAHLLOKOSA-N 1 2 276.424 3.662 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)C2(C)CCCCCC2)[nH+]1 ZINC001179092044 1121850207 /nfs/dbraw/zinc/85/02/07/1121850207.db2.gz GXZCLHROYBAHTF-UHFFFAOYSA-N 1 2 277.412 3.510 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)C2(C)CCCCCC2)c[nH+]1 ZINC001179092044 1121850214 /nfs/dbraw/zinc/85/02/14/1121850214.db2.gz GXZCLHROYBAHTF-UHFFFAOYSA-N 1 2 277.412 3.510 20 0 CHADLO Fc1ccc(-c2csc(/C=C/c3[nH]cc[nH+]3)n2)cc1 ZINC000731155140 1121851408 /nfs/dbraw/zinc/85/14/08/1121851408.db2.gz AMJHONUBDYSCIH-AATRIKPKSA-N 1 2 271.320 3.843 20 0 CHADLO C[C@H]([NH2+]Cc1cccnc1Cl)c1c(F)cccc1F ZINC000731450962 1121868337 /nfs/dbraw/zinc/86/83/37/1121868337.db2.gz VOJQZJXXHWMHQE-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO CCc1cc(OC)ccc1CNc1c[nH+]c(C)c(C)c1 ZINC001179447363 1121877557 /nfs/dbraw/zinc/87/75/57/1121877557.db2.gz DNFRTHHORKPMOP-UHFFFAOYSA-N 1 2 270.376 3.882 20 0 CHADLO c1ccc2c(c1)[nH+]c(NC[C@@H]1CCCOC1)n2C1CCCC1 ZINC001179479475 1121883351 /nfs/dbraw/zinc/88/33/51/1121883351.db2.gz MJWUQRWVYORTRR-AWEZNQCLSA-N 1 2 299.418 3.990 20 0 CHADLO CC[C@@H](C)C[N@H+](C)Cn1nc(-c2cccs2)oc1=S ZINC000732183252 1121910979 /nfs/dbraw/zinc/91/09/79/1121910979.db2.gz NNBJRBSMEWKSMR-SNVBAGLBSA-N 1 2 297.449 3.869 20 0 CHADLO CC[C@@H](C)C[N@@H+](C)Cn1nc(-c2cccs2)oc1=S ZINC000732183252 1121910985 /nfs/dbraw/zinc/91/09/85/1121910985.db2.gz NNBJRBSMEWKSMR-SNVBAGLBSA-N 1 2 297.449 3.869 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@H+](C)Cc2ncccc2F)s1 ZINC001179906561 1121928798 /nfs/dbraw/zinc/92/87/98/1121928798.db2.gz YGBBZJMOGBOVDW-NSHDSACASA-N 1 2 293.411 3.741 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@@H+](C)Cc2ncccc2F)s1 ZINC001179906561 1121928806 /nfs/dbraw/zinc/92/88/06/1121928806.db2.gz YGBBZJMOGBOVDW-NSHDSACASA-N 1 2 293.411 3.741 20 0 CHADLO C[C@H]([NH2+]CC(C)(C)F)c1nc(C(F)(F)F)cs1 ZINC000631664863 1129019082 /nfs/dbraw/zinc/01/90/82/1129019082.db2.gz VALKBQPGUUBNBC-LURJTMIESA-N 1 2 270.295 3.561 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(O)c(F)c2F)[C@H]1c1ccccc1 ZINC001180141595 1121951147 /nfs/dbraw/zinc/95/11/47/1121951147.db2.gz GWPDBBHYRZYHNL-PIGZYNQJSA-N 1 2 289.325 3.863 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(O)c(F)c2F)[C@H]1c1ccccc1 ZINC001180141595 1121951151 /nfs/dbraw/zinc/95/11/51/1121951151.db2.gz GWPDBBHYRZYHNL-PIGZYNQJSA-N 1 2 289.325 3.863 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@H](C)C(C)C)c2)[nH+]c1C ZINC000733141042 1121964444 /nfs/dbraw/zinc/96/44/44/1121964444.db2.gz TWTNJBCJBFMTAG-LLVKDONJSA-N 1 2 285.391 3.924 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccc3c(c2)CCC3)cc1 ZINC000070498511 1121969448 /nfs/dbraw/zinc/96/94/48/1121969448.db2.gz ZIIDSUMRHYOGIF-UHFFFAOYSA-N 1 2 266.388 3.853 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccco2)Cc2ccccc2)o1 ZINC001180359384 1121978384 /nfs/dbraw/zinc/97/83/84/1121978384.db2.gz KEVSSMZTHFUQQL-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccco2)Cc2ccccc2)o1 ZINC001180359384 1121978391 /nfs/dbraw/zinc/97/83/91/1121978391.db2.gz KEVSSMZTHFUQQL-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CCOc2ccccc2C1 ZINC000615346787 1129023285 /nfs/dbraw/zinc/02/32/85/1129023285.db2.gz AVLCCLVIXAVUFU-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CCOc2ccccc2C1 ZINC000615346787 1129023288 /nfs/dbraw/zinc/02/32/88/1129023288.db2.gz AVLCCLVIXAVUFU-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1cc(CN2CCc3c2cccc3F)c2c([nH+]1)CCCC2 ZINC001180613452 1122000925 /nfs/dbraw/zinc/00/09/25/1122000925.db2.gz PYYUQKBJUSMAIV-UHFFFAOYSA-N 1 2 296.389 3.971 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2ncc(-c3ccccc3)n2C)o1 ZINC000631730028 1129025495 /nfs/dbraw/zinc/02/54/95/1129025495.db2.gz MIWYDSQGHBIFEQ-CQSZACIVSA-N 1 2 295.386 3.839 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nc(C)cs1)c1cccc(OC)n1 ZINC000924925448 1122022690 /nfs/dbraw/zinc/02/26/90/1122022690.db2.gz LPVISLSRVVAKSM-RYUDHWBXSA-N 1 2 291.420 3.657 20 0 CHADLO Cc1cc(CNC(=O)N[C@H](C)c2ccccc2C)cc(C)[nH+]1 ZINC000420840211 1122037955 /nfs/dbraw/zinc/03/79/55/1122037955.db2.gz AGFATDPSZPNDFR-OAHLLOKOSA-N 1 2 297.402 3.567 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H](C)c2cccc3c2OCO3)n1 ZINC000924927588 1122038724 /nfs/dbraw/zinc/03/87/24/1122038724.db2.gz WWTMTUKSACHKJZ-WDEREUQCSA-N 1 2 290.388 3.592 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCC[C@@H](CC(F)(F)F)C2)n1 ZINC000420954131 1122048054 /nfs/dbraw/zinc/04/80/54/1122048054.db2.gz UKFDUIRFSFCYQK-LBPRGKRZSA-N 1 2 289.345 3.628 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@@H](CC(F)(F)F)C1 ZINC000420953567 1122049392 /nfs/dbraw/zinc/04/93/92/1122049392.db2.gz SVJKXRAEBMNMSQ-LBPRGKRZSA-N 1 2 289.345 3.628 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@@H](CC(F)(F)F)C1 ZINC000420953567 1122049401 /nfs/dbraw/zinc/04/94/01/1122049401.db2.gz SVJKXRAEBMNMSQ-LBPRGKRZSA-N 1 2 289.345 3.628 20 0 CHADLO C[C@@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1cccnc1 ZINC000631798604 1129029095 /nfs/dbraw/zinc/02/90/95/1129029095.db2.gz WDCQAUYCRLYATM-IAQYHMDHSA-N 1 2 262.303 3.582 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+][C@@H](CF)c2ccc(F)cc2)c(C)n1 ZINC000631798548 1129029308 /nfs/dbraw/zinc/02/93/08/1129029308.db2.gz VBLHRIISHWJMHM-BZNIZROVSA-N 1 2 291.345 3.594 20 0 CHADLO C[C@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1cncs1 ZINC000631794975 1129029460 /nfs/dbraw/zinc/02/94/60/1129029460.db2.gz CCNHQZOZHJNKSD-CABZTGNLSA-N 1 2 268.332 3.644 20 0 CHADLO CCC1(CC)C[C@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001181878741 1122087887 /nfs/dbraw/zinc/08/78/87/1122087887.db2.gz NTKLQMZCYCAPGR-HNNXBMFYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H](C)c2ccc(Cl)cc2)nn1C ZINC000421332214 1122092757 /nfs/dbraw/zinc/09/27/57/1122092757.db2.gz LDFIIUOMUZUTMP-VIFPVBQESA-N 1 2 298.217 3.886 20 0 CHADLO Fc1ccc(C[N@@H+]2CC3(CCC3)[C@@H]2C2CC2)c(F)c1F ZINC001182185061 1122117401 /nfs/dbraw/zinc/11/74/01/1122117401.db2.gz XVTSVFXSVLVCDM-HNNXBMFYSA-N 1 2 281.321 3.868 20 0 CHADLO Fc1ccc(C[N@H+]2CC3(CCC3)[C@@H]2C2CC2)c(F)c1F ZINC001182185061 1122117402 /nfs/dbraw/zinc/11/74/02/1122117402.db2.gz XVTSVFXSVLVCDM-HNNXBMFYSA-N 1 2 281.321 3.868 20 0 CHADLO Cc1ccc(Nc2cnccc2Br)c(C)[nH+]1 ZINC001203370464 1122120565 /nfs/dbraw/zinc/12/05/65/1122120565.db2.gz LQWWDVOEIGOJSB-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1ccc(Nc2ccnn2Cc2cccc(F)c2)c(C)[nH+]1 ZINC001203370382 1122120800 /nfs/dbraw/zinc/12/08/00/1122120800.db2.gz KYMVNPPEMSMRNG-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO CCc1ccc(CCC(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000421553061 1122135388 /nfs/dbraw/zinc/13/53/88/1122135388.db2.gz DBKGFVPNXPEVHV-UHFFFAOYSA-N 1 2 296.414 3.510 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1CCCc1ccsc1 ZINC000502837606 1122151384 /nfs/dbraw/zinc/15/13/84/1122151384.db2.gz BOPXFWVFHSZSMK-LBPRGKRZSA-N 1 2 259.365 3.800 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1CCCc1ccsc1 ZINC000502837606 1122151390 /nfs/dbraw/zinc/15/13/90/1122151390.db2.gz BOPXFWVFHSZSMK-LBPRGKRZSA-N 1 2 259.365 3.800 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(C(C)(C)C)o1)c1ccccc1 ZINC000271704861 1122171518 /nfs/dbraw/zinc/17/15/18/1122171518.db2.gz OINHUTRWBPOYBO-LBPRGKRZSA-N 1 2 258.365 3.823 20 0 CHADLO FC(F)(F)c1csc(C[NH2+]C2(c3ccccc3)CC2)n1 ZINC000271818375 1122176347 /nfs/dbraw/zinc/17/63/47/1122176347.db2.gz NEYIWURSPDPBSM-UHFFFAOYSA-N 1 2 298.333 3.941 20 0 CHADLO CC[C@H](C)C[C@@H]([NH2+][C@@H](C)CCc1ccsc1)C(=O)OC ZINC001182575316 1122177435 /nfs/dbraw/zinc/17/74/35/1122177435.db2.gz YXRYMUSXERFMII-KCQAQPDRSA-N 1 2 297.464 3.637 20 0 CHADLO Cc1csc(C2([NH2+][C@H](C)c3ccccn3)CCC2)n1 ZINC000271940904 1122183348 /nfs/dbraw/zinc/18/33/48/1122183348.db2.gz HKMUFHYLGAHPDG-GFCCVEGCSA-N 1 2 273.405 3.577 20 0 CHADLO CC[C@@H](Nc1cccc[nH+]1)c1ccc(OC)c(OC)c1 ZINC000271949409 1122183367 /nfs/dbraw/zinc/18/33/67/1122183367.db2.gz OICQDXAIMGNSNZ-CYBMUJFWSA-N 1 2 272.348 3.662 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCOc2cc(F)ccc21)c1cscn1 ZINC000271991266 1122185991 /nfs/dbraw/zinc/18/59/91/1122185991.db2.gz IVWUWXJTDHOLFP-MFKMUULPSA-N 1 2 292.379 3.847 20 0 CHADLO COC1(C[C@@H](C)Nc2c[nH+]cc3c2CCCC3)CCC1 ZINC001182845033 1122190110 /nfs/dbraw/zinc/19/01/10/1122190110.db2.gz QXIKLPUAWQXMDT-CYBMUJFWSA-N 1 2 274.408 3.720 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2cnc(C)nc2C)c[nH+]1 ZINC000272185686 1122193335 /nfs/dbraw/zinc/19/33/35/1122193335.db2.gz GKTXLIWNLYDTIA-CYBMUJFWSA-N 1 2 299.422 3.508 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)C1CC1 ZINC000272324865 1122197270 /nfs/dbraw/zinc/19/72/70/1122197270.db2.gz ZSDYQUWJJSTJMX-GUYCJALGSA-N 1 2 274.408 3.512 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCOC[C@H]2C)cc1)C1CC1 ZINC000272324862 1122197313 /nfs/dbraw/zinc/19/73/13/1122197313.db2.gz ZSDYQUWJJSTJMX-CXAGYDPISA-N 1 2 274.408 3.512 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(OC(C)(C)C)nc1)c1cscn1 ZINC000272349979 1122198144 /nfs/dbraw/zinc/19/81/44/1122198144.db2.gz QLQOSBITKGZXFM-NSHDSACASA-N 1 2 291.420 3.566 20 0 CHADLO COCC[C@@H]([NH2+]Cc1cc(F)c(F)cc1F)c1ccco1 ZINC000272519226 1122205172 /nfs/dbraw/zinc/20/51/72/1122205172.db2.gz IQOZBFQPWOSCCP-CQSZACIVSA-N 1 2 299.292 3.564 20 0 CHADLO COCC[C@H]([NH2+][C@@H](C)c1csc(C)n1)c1ccc(C)o1 ZINC000272582524 1122206667 /nfs/dbraw/zinc/20/66/67/1122206667.db2.gz GVYXJHDKQAGOOE-AAEUAGOBSA-N 1 2 294.420 3.781 20 0 CHADLO C[C@@]1(C(F)(F)F)C[N@H+](Cc2cccc3ccoc32)CCO1 ZINC001183420156 1122222017 /nfs/dbraw/zinc/22/20/17/1122222017.db2.gz XGTKVRGMKQEHGF-AWEZNQCLSA-N 1 2 299.292 3.586 20 0 CHADLO C[C@@]1(C(F)(F)F)C[N@@H+](Cc2cccc3ccoc32)CCO1 ZINC001183420156 1122222022 /nfs/dbraw/zinc/22/20/22/1122222022.db2.gz XGTKVRGMKQEHGF-AWEZNQCLSA-N 1 2 299.292 3.586 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)ccc1OC)c1nc(C)cs1 ZINC000273505370 1122236586 /nfs/dbraw/zinc/23/65/86/1122236586.db2.gz NNOZNLSJRVHOCL-ZDUSSCGKSA-N 1 2 294.395 3.840 20 0 CHADLO c1cn(Cc2ccc(NC3Cc4ccccc4C3)cc2)c[nH+]1 ZINC000153173001 1122237442 /nfs/dbraw/zinc/23/74/42/1122237442.db2.gz WIAPNESPUAWLAY-UHFFFAOYSA-N 1 2 289.382 3.511 20 0 CHADLO CC(C)CCc1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000273665630 1122243817 /nfs/dbraw/zinc/24/38/17/1122243817.db2.gz AUJSLSJESOMJPW-UHFFFAOYSA-N 1 2 296.374 3.570 20 0 CHADLO CCCCCc1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000273696426 1122244279 /nfs/dbraw/zinc/24/42/79/1122244279.db2.gz FWRMIUVIIXONMP-UHFFFAOYSA-N 1 2 296.374 3.714 20 0 CHADLO CCC(CC)CCCN(C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000620200544 1129041503 /nfs/dbraw/zinc/04/15/03/1129041503.db2.gz MGRAJBYQCPMZMD-CQSZACIVSA-N 1 2 279.428 3.509 20 0 CHADLO FC(F)(F)[C@H](NCCCCn1cc[nH+]c1)c1ccccc1 ZINC000070397357 1122267175 /nfs/dbraw/zinc/26/71/75/1122267175.db2.gz GIICFANQTQRHHE-CQSZACIVSA-N 1 2 297.324 3.557 20 0 CHADLO Fc1ccc(/C=C/C[NH+]2CC(Oc3ccccc3)C2)cc1 ZINC001184428738 1122277524 /nfs/dbraw/zinc/27/75/24/1122277524.db2.gz PYFSVBVFCVKOKK-SNAWJCMRSA-N 1 2 283.346 3.602 20 0 CHADLO CC(=O)c1ccc(F)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001184965151 1122303541 /nfs/dbraw/zinc/30/35/41/1122303541.db2.gz SNMLWQCPUFVWRE-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO Cc1cc(F)cc(Nc2ccc[nH+]c2N2CCCC2)c1O ZINC001184968968 1122304811 /nfs/dbraw/zinc/30/48/11/1122304811.db2.gz RRYGROHHTWGGMD-UHFFFAOYSA-N 1 2 287.338 3.579 20 0 CHADLO COc1cccc(Nc2ccc[nH+]c2N2CCCC2)c1C ZINC001184968982 1122304902 /nfs/dbraw/zinc/30/49/02/1122304902.db2.gz SGBNYRPSCRMJFU-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO CCc1ccc(OC)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001185042787 1122314053 /nfs/dbraw/zinc/31/40/53/1122314053.db2.gz JCJSHPFRIMWGDU-UHFFFAOYSA-N 1 2 297.402 3.996 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2C[C@]2(F)c2ccccc2)c(C)[nH+]1 ZINC000414800213 1122316263 /nfs/dbraw/zinc/31/62/63/1122316263.db2.gz YXKIAHCLXJZEBE-QAPCUYQASA-N 1 2 298.361 3.830 20 0 CHADLO Cc1cc(NCCCNc2ccccc2)nc(C2CCC2)[nH+]1 ZINC001185180732 1122323858 /nfs/dbraw/zinc/32/38/58/1122323858.db2.gz RNWYYOPYQUMJEM-UHFFFAOYSA-N 1 2 296.418 3.967 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccccc1 ZINC000774846598 1122328707 /nfs/dbraw/zinc/32/87/07/1122328707.db2.gz INESMLFQIILYRT-CYBMUJFWSA-N 1 2 273.380 3.608 20 0 CHADLO CC1(C)CCC(CC(=O)Nc2ccn3cc[nH+]c3c2)CC1 ZINC001185972946 1122369248 /nfs/dbraw/zinc/36/92/48/1122369248.db2.gz JNLFMOZQKSQEMD-UHFFFAOYSA-N 1 2 285.391 3.879 20 0 CHADLO CCc1cc(NCCc2cc(C)ccc2F)nc(CC)[nH+]1 ZINC001186461771 1122394526 /nfs/dbraw/zinc/39/45/26/1122394526.db2.gz HWMZOVYYUUPHLF-UHFFFAOYSA-N 1 2 287.382 3.704 20 0 CHADLO Cc1cc(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)co1 ZINC000366591971 1122401132 /nfs/dbraw/zinc/40/11/32/1122401132.db2.gz XIECYFZSNYIIPG-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Cc1nc(NCC2(Cc3cccc(Cl)c3)CC2)cc[nH+]1 ZINC001186669169 1122401589 /nfs/dbraw/zinc/40/15/89/1122401589.db2.gz CNGRWKLEMOLNBC-UHFFFAOYSA-N 1 2 287.794 3.873 20 0 CHADLO CCC[C@H](C)[C@H](CO)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001186914876 1122413975 /nfs/dbraw/zinc/41/39/75/1122413975.db2.gz ROWQGFVEAFGWGZ-ZFWWWQNUSA-N 1 2 293.455 3.932 20 0 CHADLO CC(C)[C@@]1(C)C[C@@H]1C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000775078338 1122418352 /nfs/dbraw/zinc/41/83/52/1122418352.db2.gz RBUHMOZRQHVKOV-SJLPKXTDSA-N 1 2 298.386 3.598 20 0 CHADLO C[C@@H]1CCCC[N@H+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000434981349 1122426426 /nfs/dbraw/zinc/42/64/26/1122426426.db2.gz FLSVSYFWTUJKKJ-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO C[C@@H]1CCCC[N@@H+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000434981349 1122426428 /nfs/dbraw/zinc/42/64/28/1122426428.db2.gz FLSVSYFWTUJKKJ-SECBINFHSA-N 1 2 278.343 3.784 20 0 CHADLO Cc1nc(N2CC([C@H]3CCC[C@@H](C)C3)C2)c2c([nH+]1)CCCC2 ZINC001187391842 1122428147 /nfs/dbraw/zinc/42/81/47/1122428147.db2.gz PVUWEBSOSDAWCD-HIFRSBDPSA-N 1 2 299.462 3.926 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@@H+]1Cc1cc(C)ccc1OC(F)F ZINC000505598299 1122432203 /nfs/dbraw/zinc/43/22/03/1122432203.db2.gz WDGMPSGJYNBZJX-JSGCOSHPSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@H+]1Cc1cc(C)ccc1OC(F)F ZINC000505598299 1122432206 /nfs/dbraw/zinc/43/22/06/1122432206.db2.gz WDGMPSGJYNBZJX-JSGCOSHPSA-N 1 2 299.361 3.596 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnc(CC(C)C)s1 ZINC000430132869 1122439949 /nfs/dbraw/zinc/43/99/49/1122439949.db2.gz MSAAAWDWKUWDFH-UHFFFAOYSA-N 1 2 278.421 3.875 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnc(CC(C)C)s1 ZINC000430132869 1122439950 /nfs/dbraw/zinc/43/99/50/1122439950.db2.gz MSAAAWDWKUWDFH-UHFFFAOYSA-N 1 2 278.421 3.875 20 0 CHADLO Cc1ccc2oc(NCc3c[nH]c(C(C)C)[nH+]3)nc2c1 ZINC001187724928 1122440186 /nfs/dbraw/zinc/44/01/86/1122440186.db2.gz UUQMRSZAGZZPOS-UHFFFAOYSA-N 1 2 270.336 3.595 20 0 CHADLO Cc1ccc2oc(NCc3c[nH+]c(C(C)C)[nH]3)nc2c1 ZINC001187724928 1122440189 /nfs/dbraw/zinc/44/01/89/1122440189.db2.gz UUQMRSZAGZZPOS-UHFFFAOYSA-N 1 2 270.336 3.595 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)CC(C)(C)NC3=O)c1 ZINC001203669385 1122445445 /nfs/dbraw/zinc/44/54/45/1122445445.db2.gz QYMRXDNKQXYSDM-UHFFFAOYSA-N 1 2 295.386 3.507 20 0 CHADLO Cc1cc(N2CC(F)(F)CC[C@H]2C)nc(C2CCC2)[nH+]1 ZINC001187880844 1122446294 /nfs/dbraw/zinc/44/62/94/1122446294.db2.gz RIPCMBBABYLOHG-LLVKDONJSA-N 1 2 281.350 3.677 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCn3cccc3[C@H]2C)c1 ZINC000505638016 1122446409 /nfs/dbraw/zinc/44/64/09/1122446409.db2.gz NMPIZLSAJSFWRQ-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCn3cccc3[C@H]2C)c1 ZINC000505638016 1122446410 /nfs/dbraw/zinc/44/64/10/1122446410.db2.gz NMPIZLSAJSFWRQ-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)c(F)c1 ZINC000425329231 1122455451 /nfs/dbraw/zinc/45/54/51/1122455451.db2.gz VSSPBNCQRPPKQZ-INIZCTEOSA-N 1 2 276.330 3.938 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCC[C@H]2c2ccc[nH]2)c(F)c1 ZINC000425329231 1122455457 /nfs/dbraw/zinc/45/54/57/1122455457.db2.gz VSSPBNCQRPPKQZ-INIZCTEOSA-N 1 2 276.330 3.938 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000163189916 1129056163 /nfs/dbraw/zinc/05/61/63/1129056163.db2.gz NKWGEGKWWQPYBP-JTQLQIEISA-N 1 2 274.295 3.753 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000163189916 1129056167 /nfs/dbraw/zinc/05/61/67/1129056167.db2.gz NKWGEGKWWQPYBP-JTQLQIEISA-N 1 2 274.295 3.753 20 0 CHADLO Cc1cc(N)nc(SCCC2CCCCCC2)[nH+]1 ZINC001188407948 1122464681 /nfs/dbraw/zinc/46/46/81/1122464681.db2.gz HODNWBNRADWBGE-UHFFFAOYSA-N 1 2 265.426 3.820 20 0 CHADLO Cc1nc(N[C@H]2C[C@H](c3cccc(Cl)c3)C2)cc[nH+]1 ZINC000435586773 1122476542 /nfs/dbraw/zinc/47/65/42/1122476542.db2.gz YWLPPBPGXCQKHH-MQMHXKEQSA-N 1 2 273.767 3.797 20 0 CHADLO CC(C)(C)c1cccc(C(=O)Nc2cccc3[nH+]ccn32)c1 ZINC001188969138 1122482651 /nfs/dbraw/zinc/48/26/51/1122482651.db2.gz DABZBBUMHGEOOR-UHFFFAOYSA-N 1 2 293.370 3.884 20 0 CHADLO Fc1c(Cl)cc(Cl)c(F)c1CCn1cc[nH+]c1 ZINC001250320801 1122492958 /nfs/dbraw/zinc/49/29/58/1122492958.db2.gz QDFDOXMUGMHVMH-UHFFFAOYSA-N 1 2 277.101 3.711 20 0 CHADLO Cc1ccccc1C[NH+]1CC(Oc2ccc(F)c(F)c2)C1 ZINC001203706829 1122508127 /nfs/dbraw/zinc/50/81/27/1122508127.db2.gz ROVMNZXUYOATMC-UHFFFAOYSA-N 1 2 289.325 3.536 20 0 CHADLO FC(F)c1noc(C[NH2+]C2(c3ccccc3)CCCC2)n1 ZINC000425465055 1122513825 /nfs/dbraw/zinc/51/38/25/1122513825.db2.gz YVMIMKFPBUIIHP-UHFFFAOYSA-N 1 2 293.317 3.566 20 0 CHADLO CCc1cn(CC(=O)c2ccc([C@H](C)CC)cc2)c[nH+]1 ZINC001190103933 1122524712 /nfs/dbraw/zinc/52/47/12/1122524712.db2.gz MYLMMGYJLIMRDG-CYBMUJFWSA-N 1 2 270.376 3.842 20 0 CHADLO Cc1c[nH]c(=O)c(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001203718224 1122531376 /nfs/dbraw/zinc/53/13/76/1122531376.db2.gz WUYAETCRELSENO-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC001190392955 1122535542 /nfs/dbraw/zinc/53/55/42/1122535542.db2.gz VYBZYKGJODDSGX-GFCCVEGCSA-N 1 2 295.810 3.646 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC001190392955 1122535545 /nfs/dbraw/zinc/53/55/45/1122535545.db2.gz VYBZYKGJODDSGX-GFCCVEGCSA-N 1 2 295.810 3.646 20 0 CHADLO C[C@H]1CC[C@H](C[N@H+](C)Cc2c(Cl)cccc2Cl)O1 ZINC001190560606 1122543629 /nfs/dbraw/zinc/54/36/29/1122543629.db2.gz LZMJXLKMKIVHOI-WDEREUQCSA-N 1 2 288.218 3.993 20 0 CHADLO C[C@H]1CC[C@H](C[N@@H+](C)Cc2c(Cl)cccc2Cl)O1 ZINC001190560606 1122543635 /nfs/dbraw/zinc/54/36/35/1122543635.db2.gz LZMJXLKMKIVHOI-WDEREUQCSA-N 1 2 288.218 3.993 20 0 CHADLO COc1nscc1C[N@H+](Cc1ccc(F)cc1)C(C)C ZINC001190582233 1122546179 /nfs/dbraw/zinc/54/61/79/1122546179.db2.gz SYFAXSAVQIANHU-UHFFFAOYSA-N 1 2 294.395 3.701 20 0 CHADLO COc1nscc1C[N@@H+](Cc1ccc(F)cc1)C(C)C ZINC001190582233 1122546182 /nfs/dbraw/zinc/54/61/82/1122546182.db2.gz SYFAXSAVQIANHU-UHFFFAOYSA-N 1 2 294.395 3.701 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)cn1 ZINC000505822210 1122549913 /nfs/dbraw/zinc/54/99/13/1122549913.db2.gz WKMDXEJBUVYDNC-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)cn1 ZINC000505822210 1122549914 /nfs/dbraw/zinc/54/99/14/1122549914.db2.gz WKMDXEJBUVYDNC-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO CC(C)c1ccc(-c2noc(C[C@@H](C)n3cc[nH+]c3)n2)cc1 ZINC000516185557 1122577757 /nfs/dbraw/zinc/57/77/57/1122577757.db2.gz DQNISDLBHZXARJ-CYBMUJFWSA-N 1 2 296.374 3.860 20 0 CHADLO CC1C[C@H]2CC[C@@H](C1)N2c1[nH+]ccc2c(N)cccc21 ZINC000664593464 1122597058 /nfs/dbraw/zinc/59/70/58/1122597058.db2.gz OFRDGZLFCBVTAM-YHWZYXNKSA-N 1 2 267.376 3.584 20 0 CHADLO COc1ccc(C[C@@H]2CC[N@@H+]2C/C(Cl)=C/Cl)cc1 ZINC001191497890 1122602289 /nfs/dbraw/zinc/60/22/89/1122602289.db2.gz VVWUHOOTKWBURJ-SUIFULHWSA-N 1 2 286.202 3.631 20 0 CHADLO COc1ccc(C[C@@H]2CC[N@H+]2C/C(Cl)=C/Cl)cc1 ZINC001191497890 1122602293 /nfs/dbraw/zinc/60/22/93/1122602293.db2.gz VVWUHOOTKWBURJ-SUIFULHWSA-N 1 2 286.202 3.631 20 0 CHADLO COc1c(F)cc(F)cc1C[NH+]1CC2(C1)CCCCC2 ZINC001143562184 1122606252 /nfs/dbraw/zinc/60/62/52/1122606252.db2.gz CNFURISYFKZNBE-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO CC1(C[N@@H+]2CCO[C@@H](c3ccc(F)cc3F)C2)CCC1 ZINC000516530060 1122608471 /nfs/dbraw/zinc/60/84/71/1122608471.db2.gz HRJYRVSJEYUPKP-OAHLLOKOSA-N 1 2 281.346 3.528 20 0 CHADLO CC1(C[N@H+]2CCO[C@@H](c3ccc(F)cc3F)C2)CCC1 ZINC000516530060 1122608473 /nfs/dbraw/zinc/60/84/73/1122608473.db2.gz HRJYRVSJEYUPKP-OAHLLOKOSA-N 1 2 281.346 3.528 20 0 CHADLO Cc1ccc(C)c([N@@H+](C[C@H]2C[C@]23CCOC3)C(C)C)c1 ZINC001191960226 1122625116 /nfs/dbraw/zinc/62/51/16/1122625116.db2.gz IEGJXHJHWRLPFS-AEFFLSMTSA-N 1 2 273.420 3.945 20 0 CHADLO Cc1ccc(C)c([N@H+](C[C@H]2C[C@]23CCOC3)C(C)C)c1 ZINC001191960226 1122625118 /nfs/dbraw/zinc/62/51/18/1122625118.db2.gz IEGJXHJHWRLPFS-AEFFLSMTSA-N 1 2 273.420 3.945 20 0 CHADLO COc1cccc(CNc2cc(C)[nH+]c(C3CC3)n2)c1C ZINC000664632439 1122633252 /nfs/dbraw/zinc/63/32/52/1122633252.db2.gz WXBZFNZKBUBVRB-UHFFFAOYSA-N 1 2 283.375 3.592 20 0 CHADLO C[C@H]1COc2ccccc2C[N@@H+]1Cc1ccc(F)cc1F ZINC001193312101 1122683249 /nfs/dbraw/zinc/68/32/49/1122683249.db2.gz UOOZBRMRPLHZRE-LBPRGKRZSA-N 1 2 289.325 3.748 20 0 CHADLO C[C@H]1COc2ccccc2C[N@H+]1Cc1ccc(F)cc1F ZINC001193312101 1122683250 /nfs/dbraw/zinc/68/32/50/1122683250.db2.gz UOOZBRMRPLHZRE-LBPRGKRZSA-N 1 2 289.325 3.748 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2nnc(C3CC3)o2)cc1 ZINC000578303410 1122697161 /nfs/dbraw/zinc/69/71/61/1122697161.db2.gz CMZRCIKLUMDGCH-SNVBAGLBSA-N 1 2 289.404 3.520 20 0 CHADLO CCCc1csc(C[N@H+](C)Cc2cccc(OC)c2)n1 ZINC000426059179 1122709814 /nfs/dbraw/zinc/70/98/14/1122709814.db2.gz NYXSCVCEWJKOGB-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCCc1csc(C[N@@H+](C)Cc2cccc(OC)c2)n1 ZINC000426059179 1122709818 /nfs/dbraw/zinc/70/98/18/1122709818.db2.gz NYXSCVCEWJKOGB-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCCCCOC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001193731423 1122711360 /nfs/dbraw/zinc/71/13/60/1122711360.db2.gz HXDIBLQPYQPQLU-UHFFFAOYSA-N 1 2 273.336 3.611 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2cc(Cl)ccc2Cl)nn1C ZINC000426110775 1122718169 /nfs/dbraw/zinc/71/81/69/1122718169.db2.gz GVQMLBPWXLZRPG-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO CCCCCOC(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001193768368 1122718896 /nfs/dbraw/zinc/71/88/96/1122718896.db2.gz KDVYYUUKYNINLK-UHFFFAOYSA-N 1 2 287.363 3.670 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(-c3ccccc3Cl)o2)CCO1 ZINC000506325990 1122719913 /nfs/dbraw/zinc/71/99/13/1122719913.db2.gz OTJFZWNPMFLEFQ-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(-c3ccccc3Cl)o2)CCO1 ZINC000506325990 1122719916 /nfs/dbraw/zinc/71/99/16/1122719916.db2.gz OTJFZWNPMFLEFQ-GFCCVEGCSA-N 1 2 291.778 3.821 20 0 CHADLO CCCC[C@@H](CC)COC(=O)NCc1c[nH+]cn1C(C)C ZINC001193983968 1122734252 /nfs/dbraw/zinc/73/42/52/1122734252.db2.gz PKFBOTYEJOQWLZ-CQSZACIVSA-N 1 2 295.427 3.907 20 0 CHADLO CCCn1c(C[NH2+]Cc2ccc(C)o2)nc2ccccc21 ZINC000053036835 1122737223 /nfs/dbraw/zinc/73/72/23/1122737223.db2.gz BNYDVWBFSRLRAB-UHFFFAOYSA-N 1 2 283.375 3.638 20 0 CHADLO CCCc1csc(C[N@@H+]2CCCC[C@H]2c2cn[nH]c2)n1 ZINC000426413509 1122787122 /nfs/dbraw/zinc/78/71/22/1122787122.db2.gz XDYRXSODGDBDCW-AWEZNQCLSA-N 1 2 290.436 3.546 20 0 CHADLO CCCc1csc(C[N@H+]2CCCC[C@H]2c2cn[nH]c2)n1 ZINC000426413509 1122787126 /nfs/dbraw/zinc/78/71/26/1122787126.db2.gz XDYRXSODGDBDCW-AWEZNQCLSA-N 1 2 290.436 3.546 20 0 CHADLO CC(C)c1cnc(SCc2c[nH+]cn2C)n1C(C)C ZINC000439535739 1122787557 /nfs/dbraw/zinc/78/75/57/1122787557.db2.gz IZGMOZUPOQJPPP-UHFFFAOYSA-N 1 2 278.425 3.613 20 0 CHADLO CC(=O)c1ccc(Cl)c(Cl)c1OCc1c[nH+]cn1C ZINC000439553511 1122790574 /nfs/dbraw/zinc/79/05/74/1122790574.db2.gz UPISNBNHVFFSKP-UHFFFAOYSA-N 1 2 299.157 3.509 20 0 CHADLO CC(C)c1nccc(Nc2ccc(NC3CCCC3)[nH+]c2)n1 ZINC000518898931 1122801900 /nfs/dbraw/zinc/80/19/00/1122801900.db2.gz KDZUHLXLGQJCFW-UHFFFAOYSA-N 1 2 297.406 3.515 20 0 CHADLO CCCC(C)(C)CC(=O)NCCCCNc1cccc[nH+]1 ZINC000426482648 1122802745 /nfs/dbraw/zinc/80/27/45/1122802745.db2.gz GDTFUIAHOGBOIB-UHFFFAOYSA-N 1 2 291.439 3.606 20 0 CHADLO CC[C@H](C)C[C@@H](C)NC(=O)c1cccc(-c2c[nH+]cn2C)c1 ZINC001194917770 1122821762 /nfs/dbraw/zinc/82/17/62/1122821762.db2.gz RBDVKJXKHRBJFI-UONOGXRCSA-N 1 2 299.418 3.642 20 0 CHADLO Cn1cccc1C[N@H+](C)Cc1ccc(Cl)cc1Cl ZINC000506768633 1122826515 /nfs/dbraw/zinc/82/65/15/1122826515.db2.gz WJTPZLPYLURXAO-UHFFFAOYSA-N 1 2 283.202 3.964 20 0 CHADLO Cn1cccc1C[N@@H+](C)Cc1ccc(Cl)cc1Cl ZINC000506768633 1122826522 /nfs/dbraw/zinc/82/65/22/1122826522.db2.gz WJTPZLPYLURXAO-UHFFFAOYSA-N 1 2 283.202 3.964 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nonc1C)c1ccc(Cl)s1 ZINC000925066977 1122828695 /nfs/dbraw/zinc/82/86/95/1122828695.db2.gz KMSVMKDPVPLVRI-APPZFPTMSA-N 1 2 285.800 3.895 20 0 CHADLO CC/C=C(/C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000101821423 1122857695 /nfs/dbraw/zinc/85/76/95/1122857695.db2.gz BDQVHHSSBPZSMJ-WCIBSUBMSA-N 1 2 289.766 3.821 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2C[C@H](C)C[C@H]2c2cccnc2)n1 ZINC000894462566 1122857905 /nfs/dbraw/zinc/85/79/05/1122857905.db2.gz YBRACUIFKWFLOH-CJNGLKHVSA-N 1 2 284.407 3.511 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2C[C@H](C)C[C@H]2c2cccnc2)n1 ZINC000894462566 1122857911 /nfs/dbraw/zinc/85/79/11/1122857911.db2.gz YBRACUIFKWFLOH-CJNGLKHVSA-N 1 2 284.407 3.511 20 0 CHADLO Cc1nsc(C)c1C[N@@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000664817952 1122862407 /nfs/dbraw/zinc/86/24/07/1122862407.db2.gz FUWQMLFYYUOERR-OAHLLOKOSA-N 1 2 287.432 3.738 20 0 CHADLO Cc1nsc(C)c1C[N@H+]1CC(C)(C)[C@H]1c1cccnc1 ZINC000664817952 1122862414 /nfs/dbraw/zinc/86/24/14/1122862414.db2.gz FUWQMLFYYUOERR-OAHLLOKOSA-N 1 2 287.432 3.738 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)nc1 ZINC000664828210 1122870892 /nfs/dbraw/zinc/87/08/92/1122870892.db2.gz CYILCUACPCRBCA-QGZVFWFLSA-N 1 2 281.403 3.622 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)nc1 ZINC000664828210 1122870894 /nfs/dbraw/zinc/87/08/94/1122870894.db2.gz CYILCUACPCRBCA-QGZVFWFLSA-N 1 2 281.403 3.622 20 0 CHADLO COc1cccc(C[NH2+]C(C)(C)c2nc(C)cs2)c1F ZINC000192685645 1122875677 /nfs/dbraw/zinc/87/56/77/1122875677.db2.gz YNYSEKQKPOKOBI-UHFFFAOYSA-N 1 2 294.395 3.624 20 0 CHADLO CCc1nnc(C[NH2+]Cc2ccc(C(C)(F)F)cc2)s1 ZINC000894611096 1122880688 /nfs/dbraw/zinc/88/06/88/1122880688.db2.gz QJVJXWGUFWZUCP-UHFFFAOYSA-N 1 2 297.374 3.502 20 0 CHADLO Cc1ccc2ncc(C[N@@H+]3CCC=C(Cl)C3)cc2c1 ZINC001195685868 1122881566 /nfs/dbraw/zinc/88/15/66/1122881566.db2.gz AGYUBHQMTKXJTM-UHFFFAOYSA-N 1 2 272.779 3.872 20 0 CHADLO Cc1ccc2ncc(C[N@H+]3CCC=C(Cl)C3)cc2c1 ZINC001195685868 1122881569 /nfs/dbraw/zinc/88/15/69/1122881569.db2.gz AGYUBHQMTKXJTM-UHFFFAOYSA-N 1 2 272.779 3.872 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cnc(CC(F)(F)F)s2)C1 ZINC000894658381 1122885525 /nfs/dbraw/zinc/88/55/25/1122885525.db2.gz GAOQXWWBNWFVTD-LLVKDONJSA-N 1 2 296.333 3.572 20 0 CHADLO CC[C@@H](C)C[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000163228870 1129086123 /nfs/dbraw/zinc/08/61/23/1129086123.db2.gz GGLUPAUAGKIHAS-GFCCVEGCSA-N 1 2 265.401 3.595 20 0 CHADLO CC[C@@H](C)C[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000163228870 1129086129 /nfs/dbraw/zinc/08/61/29/1129086129.db2.gz GGLUPAUAGKIHAS-GFCCVEGCSA-N 1 2 265.401 3.595 20 0 CHADLO CN(CCc1cccc2ccccc21)c1cccc[nH+]1 ZINC000507029948 1122920391 /nfs/dbraw/zinc/92/03/91/1122920391.db2.gz LZOKRCBMVXKXAQ-UHFFFAOYSA-N 1 2 262.356 3.914 20 0 CHADLO COc1cccc(C)c1C[NH2+]Cc1ccn(C2CCCC2)n1 ZINC000638761430 1122920439 /nfs/dbraw/zinc/92/04/39/1122920439.db2.gz BEEKGOVGMIREQK-UHFFFAOYSA-N 1 2 299.418 3.605 20 0 CHADLO Cc1ccccc1[C@H]1CC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000507184809 1122935361 /nfs/dbraw/zinc/93/53/61/1122935361.db2.gz WYHLWFRJSRMUBY-AWEZNQCLSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1ccccc1[C@H]1CC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000507184809 1122935366 /nfs/dbraw/zinc/93/53/66/1122935366.db2.gz WYHLWFRJSRMUBY-AWEZNQCLSA-N 1 2 299.418 3.665 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccccc1SC)C2 ZINC001196942454 1122940136 /nfs/dbraw/zinc/94/01/36/1122940136.db2.gz BZLYCIYRHSZHFN-UHFFFAOYSA-N 1 2 285.412 3.933 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccccc1SC)C2 ZINC001196942454 1122940140 /nfs/dbraw/zinc/94/01/40/1122940140.db2.gz BZLYCIYRHSZHFN-UHFFFAOYSA-N 1 2 285.412 3.933 20 0 CHADLO CC[C@@H](C)[N@H+](C)Cc1c(F)c(F)c(F)c(F)c1F ZINC000729473160 1122943185 /nfs/dbraw/zinc/94/31/85/1122943185.db2.gz PZIKCILPRJQCGU-ZCFIWIBFSA-N 1 2 267.241 3.612 20 0 CHADLO CC[C@@H](C)[N@@H+](C)Cc1c(F)c(F)c(F)c(F)c1F ZINC000729473160 1122943189 /nfs/dbraw/zinc/94/31/89/1122943189.db2.gz PZIKCILPRJQCGU-ZCFIWIBFSA-N 1 2 267.241 3.612 20 0 CHADLO CCc1cccnc1[C@H](C)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000507485125 1122959793 /nfs/dbraw/zinc/95/97/93/1122959793.db2.gz KXGHKPGPODLTBL-AWEZNQCLSA-N 1 2 296.418 3.812 20 0 CHADLO CC(=O)NCCCCC[N@H+](c1ccccc1)[C@H](C)C(C)C ZINC001197719002 1122965743 /nfs/dbraw/zinc/96/57/43/1122965743.db2.gz CMCFWQSKLRGOHA-MRXNPFEDSA-N 1 2 290.451 3.844 20 0 CHADLO CC(=O)NCCCCC[N@@H+](c1ccccc1)[C@H](C)C(C)C ZINC001197719002 1122965745 /nfs/dbraw/zinc/96/57/45/1122965745.db2.gz CMCFWQSKLRGOHA-MRXNPFEDSA-N 1 2 290.451 3.844 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCCc2nccs2)c1 ZINC000267363542 1129089532 /nfs/dbraw/zinc/08/95/32/1129089532.db2.gz ILNMIGCJVAPUFJ-UHFFFAOYSA-N 1 2 287.310 3.602 20 0 CHADLO CCn1cnc2c1CC[N@H+](Cc1ccc(CC(C)C)cc1)C2 ZINC001198086064 1122980946 /nfs/dbraw/zinc/98/09/46/1122980946.db2.gz XKUMFPJTJBFFPS-UHFFFAOYSA-N 1 2 297.446 3.660 20 0 CHADLO CCn1cnc2c1CC[N@@H+](Cc1ccc(CC(C)C)cc1)C2 ZINC001198086064 1122980948 /nfs/dbraw/zinc/98/09/48/1122980948.db2.gz XKUMFPJTJBFFPS-UHFFFAOYSA-N 1 2 297.446 3.660 20 0 CHADLO Oc1cccc2c1CCC[C@H]2[NH2+]Cc1ncc(Cl)s1 ZINC000334332932 1122987314 /nfs/dbraw/zinc/98/73/14/1122987314.db2.gz SAQODMFLTYSASQ-LLVKDONJSA-N 1 2 294.807 3.669 20 0 CHADLO CC(C)c1ccccc1COC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000909413414 1122992424 /nfs/dbraw/zinc/99/24/24/1122992424.db2.gz RROLRWVJLPJOPA-AWEZNQCLSA-N 1 2 286.375 3.701 20 0 CHADLO Cc1cc(NC(=S)Nc2ccc(C(C)C)cc2)cc[nH+]1 ZINC001198588168 1123000232 /nfs/dbraw/zinc/00/02/32/1123000232.db2.gz QXMOTLIBTDXFDR-UHFFFAOYSA-N 1 2 285.416 3.744 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1ccc(Cl)cc1)CC2 ZINC001203922036 1123015670 /nfs/dbraw/zinc/01/56/70/1123015670.db2.gz ITELNSQXOXRZFR-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1ccc(Cl)cc1)CC2 ZINC001203922036 1123015676 /nfs/dbraw/zinc/01/56/76/1123015676.db2.gz ITELNSQXOXRZFR-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(/C=C/CC(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000440632362 1123022961 /nfs/dbraw/zinc/02/29/61/1123022961.db2.gz CCUWTFJQVDDNOH-DUXPYHPUSA-N 1 2 291.354 3.685 20 0 CHADLO COc1cccc2c(N[C@@H](C)[C@@H]3CCCCO3)cc[nH+]c12 ZINC000440664970 1123027201 /nfs/dbraw/zinc/02/72/01/1123027201.db2.gz MIMKLZLPDWGQMO-WFASDCNBSA-N 1 2 286.375 3.613 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCCC[C@@H]1c1nc(C)cs1 ZINC000440689457 1123028038 /nfs/dbraw/zinc/02/80/38/1123028038.db2.gz BYPDSYMSDNEIJT-VXGBXAGGSA-N 1 2 256.390 3.727 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCCC[C@@H]1c1nc(C)cs1 ZINC000440689457 1123028043 /nfs/dbraw/zinc/02/80/43/1123028043.db2.gz BYPDSYMSDNEIJT-VXGBXAGGSA-N 1 2 256.390 3.727 20 0 CHADLO CC[C@@H](F)C[N@@H+]1C[C@H](c2ccccc2)OCC1(C)C ZINC000440688485 1123028513 /nfs/dbraw/zinc/02/85/13/1123028513.db2.gz ABZLDGURKQMWRO-HUUCEWRRSA-N 1 2 265.372 3.587 20 0 CHADLO CC[C@@H](F)C[N@H+]1C[C@H](c2ccccc2)OCC1(C)C ZINC000440688485 1123028519 /nfs/dbraw/zinc/02/85/19/1123028519.db2.gz ABZLDGURKQMWRO-HUUCEWRRSA-N 1 2 265.372 3.587 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCCC[C@@H]1c1nc(C)cs1 ZINC000440689455 1123028563 /nfs/dbraw/zinc/02/85/63/1123028563.db2.gz BYPDSYMSDNEIJT-NWDGAFQWSA-N 1 2 256.390 3.727 20 0 CHADLO CC[C@H](F)C[N@H+]1CCCC[C@@H]1c1nc(C)cs1 ZINC000440689455 1123028568 /nfs/dbraw/zinc/02/85/68/1123028568.db2.gz BYPDSYMSDNEIJT-NWDGAFQWSA-N 1 2 256.390 3.727 20 0 CHADLO CSc1ccc(C)c(NC(=O)c2cccc3[nH+]ccn32)c1 ZINC000775969718 1123030216 /nfs/dbraw/zinc/03/02/16/1123030216.db2.gz RBIRYUVYRANOOE-UHFFFAOYSA-N 1 2 297.383 3.617 20 0 CHADLO CCC[C@H](C)CCNC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC001199767809 1123039893 /nfs/dbraw/zinc/03/98/93/1123039893.db2.gz MNILPMVBGGZPPF-LBPRGKRZSA-N 1 2 288.395 3.591 20 0 CHADLO FC(F)(F)Cc1ccccc1C[NH+]1CC(C(F)(F)F)C1 ZINC000894890265 1123042032 /nfs/dbraw/zinc/04/20/32/1123042032.db2.gz GNKXJFQYHIBFHY-UHFFFAOYSA-N 1 2 297.242 3.786 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]Cc1cccnc1Cl ZINC000105420394 1123052620 /nfs/dbraw/zinc/05/26/20/1123052620.db2.gz TVNYNHVROPVKIE-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO CCc1ccc(C[NH2+]Cc2c(Cl)cccc2OC)o1 ZINC000188280174 1123056904 /nfs/dbraw/zinc/05/69/04/1123056904.db2.gz ZQIKPLOGPOHVJX-UHFFFAOYSA-N 1 2 279.767 3.794 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)[C@H](C)[C@@H]2C)c(C)[nH+]1 ZINC000417148215 1123078932 /nfs/dbraw/zinc/07/89/32/1123078932.db2.gz GPNLGRMKLVBXTO-JKOKRWQUSA-N 1 2 275.396 3.515 20 0 CHADLO Nc1ccc(Nc2cccc(C(F)(F)F)c2F)c[nH+]1 ZINC001201284718 1123080778 /nfs/dbraw/zinc/08/07/78/1123080778.db2.gz XOUZMJKWVPPXTN-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO Nc1ccc(Nc2ccccc2Oc2cccnc2)c[nH+]1 ZINC001201286835 1123081182 /nfs/dbraw/zinc/08/11/82/1123081182.db2.gz CSPIAJSNOYSKBG-UHFFFAOYSA-N 1 2 278.315 3.595 20 0 CHADLO CCc1nc(C[N@H+](C)CCO[C@H]2CCCC[C@H]2C)cs1 ZINC000520392099 1123083319 /nfs/dbraw/zinc/08/33/19/1123083319.db2.gz JVQGROYIWRSCAD-HIFRSBDPSA-N 1 2 296.480 3.733 20 0 CHADLO CCc1nc(C[N@@H+](C)CCO[C@H]2CCCC[C@H]2C)cs1 ZINC000520392099 1123083323 /nfs/dbraw/zinc/08/33/23/1123083323.db2.gz JVQGROYIWRSCAD-HIFRSBDPSA-N 1 2 296.480 3.733 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)ccc1OC(F)(F)F ZINC001201292965 1123084311 /nfs/dbraw/zinc/08/43/11/1123084311.db2.gz VEXOUZRIQOTPQK-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO Nc1ccc(Nc2ccc(F)c(Cl)c2Cl)c[nH+]1 ZINC001201292015 1123084476 /nfs/dbraw/zinc/08/44/76/1123084476.db2.gz UGBTVBLDGSPHFB-UHFFFAOYSA-N 1 2 272.110 3.853 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CC[C@@H](C3CCC3)C2)cs1 ZINC000894946769 1123090131 /nfs/dbraw/zinc/09/01/31/1123090131.db2.gz BJVFKHMGGZDXNV-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CC[C@@H](C3CCC3)C2)cs1 ZINC000894946769 1123090134 /nfs/dbraw/zinc/09/01/34/1123090134.db2.gz BJVFKHMGGZDXNV-SNVBAGLBSA-N 1 2 290.354 3.784 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccsc1)CC1CCCCC1 ZINC001201400413 1123092590 /nfs/dbraw/zinc/09/25/90/1123092590.db2.gz QBWLKKXAMGPOGV-UHFFFAOYSA-N 1 2 295.448 3.694 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccsc1)CC1CCCCC1 ZINC001201400413 1123092593 /nfs/dbraw/zinc/09/25/93/1123092593.db2.gz QBWLKKXAMGPOGV-UHFFFAOYSA-N 1 2 295.448 3.694 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1cc2cc(Cl)ccc2o1 ZINC000776162785 1123096403 /nfs/dbraw/zinc/09/64/03/1123096403.db2.gz LSKJKSNVNNYUHP-SECBINFHSA-N 1 2 276.723 3.925 20 0 CHADLO FC1(F)C[N@H+](C[C@H]2CC=CCC2)CC[C@H]1N1CCCCC1 ZINC001201426638 1123099492 /nfs/dbraw/zinc/09/94/92/1123099492.db2.gz PEMQQOLQXDQOJM-JKSUJKDBSA-N 1 2 298.421 3.538 20 0 CHADLO FC1(F)C[N@@H+](C[C@H]2CC=CCC2)CC[C@H]1N1CCCCC1 ZINC001201426638 1123099493 /nfs/dbraw/zinc/09/94/93/1123099493.db2.gz PEMQQOLQXDQOJM-JKSUJKDBSA-N 1 2 298.421 3.538 20 0 CHADLO COc1ncc(F)cc1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201488349 1123107355 /nfs/dbraw/zinc/10/73/55/1123107355.db2.gz FHFZJUWZHVPYCY-UHFFFAOYSA-N 1 2 275.327 3.793 20 0 CHADLO COc1cc(F)ncc1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201491780 1123109379 /nfs/dbraw/zinc/10/93/79/1123109379.db2.gz UHRKEKKEBCCBPK-UHFFFAOYSA-N 1 2 275.327 3.793 20 0 CHADLO CCCCC[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001201737475 1123143332 /nfs/dbraw/zinc/14/33/32/1123143332.db2.gz PBHRAUPHRCBUNG-OAHLLOKOSA-N 1 2 267.800 3.904 20 0 CHADLO CCCCC[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001201737475 1123143333 /nfs/dbraw/zinc/14/33/33/1123143333.db2.gz PBHRAUPHRCBUNG-OAHLLOKOSA-N 1 2 267.800 3.904 20 0 CHADLO O=C(Nc1ccccc1)Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001201954716 1123162639 /nfs/dbraw/zinc/16/26/39/1123162639.db2.gz KTVSASUWQWRIII-UHFFFAOYSA-N 1 2 292.342 3.575 20 0 CHADLO Cc1ccccc1[C@H](C)[NH2+]Cc1nc(C2CCCC2)no1 ZINC000181565197 1123167251 /nfs/dbraw/zinc/16/72/51/1123167251.db2.gz YMBYEAJMJKFGPC-ZDUSSCGKSA-N 1 2 285.391 3.886 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1ccc(Cl)nc1 ZINC001202031023 1123171952 /nfs/dbraw/zinc/17/19/52/1123171952.db2.gz BFAHAFJIRGCELF-UHFFFAOYSA-N 1 2 277.755 3.529 20 0 CHADLO CC(C)(C)C[N@@H+]1Cc2ccc(Br)cc2C1 ZINC000713297429 1123182275 /nfs/dbraw/zinc/18/22/75/1123182275.db2.gz LHZMCJAXUKWPAG-UHFFFAOYSA-N 1 2 268.198 3.811 20 0 CHADLO CC(C)(C)C[N@H+]1Cc2ccc(Br)cc2C1 ZINC000713297429 1123182277 /nfs/dbraw/zinc/18/22/77/1123182277.db2.gz LHZMCJAXUKWPAG-UHFFFAOYSA-N 1 2 268.198 3.811 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+](C)C[C@@H]1CCC[NH+](C)C1 ZINC000152362746 1123182901 /nfs/dbraw/zinc/18/29/01/1123182901.db2.gz GRATUCOPKOSWEC-CXAGYDPISA-N 1 2 296.405 3.690 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc3cc(F)ccc3n2)C[C@@H]1F ZINC001141640427 1123200003 /nfs/dbraw/zinc/20/00/03/1123200003.db2.gz ZDUROTZXJQOARI-HOTGVXAUSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc3cc(F)ccc3n2)C[C@@H]1F ZINC001141640427 1123200005 /nfs/dbraw/zinc/20/00/05/1123200005.db2.gz ZDUROTZXJQOARI-HOTGVXAUSA-N 1 2 294.320 3.646 20 0 CHADLO CC(C)(C)OC(=O)[C@@H]1CCCC[N@@H+]1CCCC(F)(F)F ZINC001202424726 1123205972 /nfs/dbraw/zinc/20/59/72/1123205972.db2.gz NKAHDLWCKPBTKU-NSHDSACASA-N 1 2 295.345 3.525 20 0 CHADLO CC(C)(C)OC(=O)[C@@H]1CCCC[N@H+]1CCCC(F)(F)F ZINC001202424726 1123205976 /nfs/dbraw/zinc/20/59/76/1123205976.db2.gz NKAHDLWCKPBTKU-NSHDSACASA-N 1 2 295.345 3.525 20 0 CHADLO c1cn(-c2ccc(NCc3cnc(C4CC4)s3)cc2)c[nH+]1 ZINC000155935377 1129107749 /nfs/dbraw/zinc/10/77/49/1129107749.db2.gz CKAPHJMIJLNNKN-UHFFFAOYSA-N 1 2 296.399 3.818 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CC3(CCC3)Oc3ccccc32)[nH]c1C ZINC000850186416 1123245010 /nfs/dbraw/zinc/24/50/10/1123245010.db2.gz PGBKEOSIEVZUFV-OAHLLOKOSA-N 1 2 297.402 3.563 20 0 CHADLO Cc1ncsc1-c1ccc(C[NH2+]C(CF)CF)cc1 ZINC000850313148 1123253448 /nfs/dbraw/zinc/25/34/48/1123253448.db2.gz QCPIDSFRCFEOQP-UHFFFAOYSA-N 1 2 282.359 3.516 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1cnc(C2CC2)nc1 ZINC000334566666 1123260286 /nfs/dbraw/zinc/26/02/86/1123260286.db2.gz UGMRUCQMXRRHRF-LLVKDONJSA-N 1 2 285.416 3.535 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cnc(C2CC2)nc1 ZINC000334566666 1123260290 /nfs/dbraw/zinc/26/02/90/1123260290.db2.gz UGMRUCQMXRRHRF-LLVKDONJSA-N 1 2 285.416 3.535 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2cc3cnccc3o2)no1 ZINC000850467752 1123271797 /nfs/dbraw/zinc/27/17/97/1123271797.db2.gz SSTYCRFSNITGPM-GHMZBOCLSA-N 1 2 271.320 3.536 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cscn1)c1cnn(-c2ccccc2)c1 ZINC000850511670 1123277628 /nfs/dbraw/zinc/27/76/28/1123277628.db2.gz KWVKGKRZLLAFJQ-CHWSQXEVSA-N 1 2 298.415 3.741 20 0 CHADLO CCCc1nc(C)c(C[N@H+](C)Cc2cc(Cl)cn2C)o1 ZINC000428223731 1123280538 /nfs/dbraw/zinc/28/05/38/1123280538.db2.gz CDNXPYGRMQNCMX-UHFFFAOYSA-N 1 2 295.814 3.559 20 0 CHADLO CCCc1nc(C)c(C[N@@H+](C)Cc2cc(Cl)cn2C)o1 ZINC000428223731 1123280539 /nfs/dbraw/zinc/28/05/39/1123280539.db2.gz CDNXPYGRMQNCMX-UHFFFAOYSA-N 1 2 295.814 3.559 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3CCOC(C)(C)C3)cc2)c1C ZINC000639197098 1123289302 /nfs/dbraw/zinc/28/93/02/1123289302.db2.gz HPXFQMZCBDEVAM-MRXNPFEDSA-N 1 2 299.418 3.859 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H](C)[C@H]3CC3(F)F)cc2)c1C ZINC000639198069 1123289404 /nfs/dbraw/zinc/28/94/04/1123289404.db2.gz NGVLDVWRSUXICR-IAQYHMDHSA-N 1 2 291.345 3.945 20 0 CHADLO Cc1cc(CNC(=O)c2c(C)cccc2Cl)cc(C)[nH+]1 ZINC000335058206 1123290194 /nfs/dbraw/zinc/29/01/94/1123290194.db2.gz ZISDTONNXKKLNY-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1ccc(F)cc1Cl ZINC000345093738 1123292020 /nfs/dbraw/zinc/29/20/20/1123292020.db2.gz VIZCEBAAWYDLNK-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1ccc(F)cc1Cl ZINC000345093738 1123292022 /nfs/dbraw/zinc/29/20/22/1123292022.db2.gz VIZCEBAAWYDLNK-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@H]1CCC[C@H](F)C1 ZINC000335060531 1123293437 /nfs/dbraw/zinc/29/34/37/1123293437.db2.gz CKCRMUGJBWRFDB-OLZOCXBDSA-N 1 2 287.338 3.544 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccc1C(F)(F)F)c1ncccn1 ZINC000930225647 1123295910 /nfs/dbraw/zinc/29/59/10/1123295910.db2.gz IXOMXYOLJQKRHF-WDEREUQCSA-N 1 2 295.308 3.907 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@@H+](C)Cc2nnc(C(C)C)[nH]2)c1 ZINC000428247324 1123309539 /nfs/dbraw/zinc/30/95/39/1123309539.db2.gz MYYQOBVIDQFWOC-CQSZACIVSA-N 1 2 286.423 3.738 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@H+](C)Cc2nnc(C(C)C)[nH]2)c1 ZINC000428247324 1123309542 /nfs/dbraw/zinc/30/95/42/1123309542.db2.gz MYYQOBVIDQFWOC-CQSZACIVSA-N 1 2 286.423 3.738 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)C2CCCCC2)c(C)[nH+]1 ZINC000335158704 1123311596 /nfs/dbraw/zinc/31/15/96/1123311596.db2.gz PHUABXPNXOJLCB-UHFFFAOYSA-N 1 2 275.396 3.803 20 0 CHADLO CCc1nnc([C@H](C)[N@H+]2CC=C(c3ccc(C)cc3)CC2)[nH]1 ZINC000851714421 1123373613 /nfs/dbraw/zinc/37/36/13/1123373613.db2.gz RBBCTLVTXQXUGF-AWEZNQCLSA-N 1 2 296.418 3.526 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+]2CC=C(c3ccc(C)cc3)CC2)[nH]1 ZINC000851714421 1123373617 /nfs/dbraw/zinc/37/36/17/1123373617.db2.gz RBBCTLVTXQXUGF-AWEZNQCLSA-N 1 2 296.418 3.526 20 0 CHADLO O=C(c1ccccc1)C1CC[NH+](CC=C(Cl)Cl)CC1 ZINC000851715563 1123374629 /nfs/dbraw/zinc/37/46/29/1123374629.db2.gz YMPZNEGXYXNBTK-UHFFFAOYSA-N 1 2 298.213 3.900 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)CC=C(Cl)Cl ZINC000851878656 1123385329 /nfs/dbraw/zinc/38/53/29/1123385329.db2.gz PLLNLJZMVOGNGQ-NSHDSACASA-N 1 2 259.180 3.784 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)CC=C(Cl)Cl ZINC000851878656 1123385333 /nfs/dbraw/zinc/38/53/33/1123385333.db2.gz PLLNLJZMVOGNGQ-NSHDSACASA-N 1 2 259.180 3.784 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@H+](Cc2cccc(F)n2)C1 ZINC000852014446 1123393569 /nfs/dbraw/zinc/39/35/69/1123393569.db2.gz CPEMBGPXSMTVQQ-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@@H+](Cc2cccc(F)n2)C1 ZINC000852014446 1123393577 /nfs/dbraw/zinc/39/35/77/1123393577.db2.gz CPEMBGPXSMTVQQ-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1oncc1C[N@@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000852426291 1123404275 /nfs/dbraw/zinc/40/42/75/1123404275.db2.gz JOJUVSNXVFBFDH-OAHLLOKOSA-N 1 2 272.348 3.693 20 0 CHADLO Cc1oncc1C[N@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000852426291 1123404278 /nfs/dbraw/zinc/40/42/78/1123404278.db2.gz JOJUVSNXVFBFDH-OAHLLOKOSA-N 1 2 272.348 3.693 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2c2nc3ccccc3s2)[nH+]c1C ZINC000895194165 1123404997 /nfs/dbraw/zinc/40/49/97/1123404997.db2.gz XEVYFOQZTKKLCB-ZDUSSCGKSA-N 1 2 298.415 3.978 20 0 CHADLO Cl/C=C/C[N@@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000852426809 1123405503 /nfs/dbraw/zinc/40/55/03/1123405503.db2.gz OOAVUTHYSXLMQJ-GBVBUYCNSA-N 1 2 251.757 3.949 20 0 CHADLO Cl/C=C/C[N@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000852426809 1123405504 /nfs/dbraw/zinc/40/55/04/1123405504.db2.gz OOAVUTHYSXLMQJ-GBVBUYCNSA-N 1 2 251.757 3.949 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1csnn1)c1ccc(C)cc1 ZINC000398448817 1123405679 /nfs/dbraw/zinc/40/56/79/1123405679.db2.gz CVBRGTHVZVOFLT-DGCLKSJQSA-N 1 2 261.394 3.648 20 0 CHADLO CC(C)(C)SCC[N@@H+]1CCOC[C@@H]1c1cccc(F)c1 ZINC000852550230 1123408082 /nfs/dbraw/zinc/40/80/82/1123408082.db2.gz WXCSEOHCRBYYIM-OAHLLOKOSA-N 1 2 297.439 3.731 20 0 CHADLO CC(C)(C)SCC[N@H+]1CCOC[C@@H]1c1cccc(F)c1 ZINC000852550230 1123408084 /nfs/dbraw/zinc/40/80/84/1123408084.db2.gz WXCSEOHCRBYYIM-OAHLLOKOSA-N 1 2 297.439 3.731 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1N[C@H]1CCC12CCCC2 ZINC000474065771 1123408914 /nfs/dbraw/zinc/40/89/14/1123408914.db2.gz KVOXBBSZGUXWRV-HNNXBMFYSA-N 1 2 271.408 3.817 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1N[C@@H]1CCC12CCCC2 ZINC000474065772 1123409513 /nfs/dbraw/zinc/40/95/13/1123409513.db2.gz KVOXBBSZGUXWRV-OAHLLOKOSA-N 1 2 271.408 3.817 20 0 CHADLO Cc1cc([NH2+][C@H](C)Cc2ccccc2F)ccc1N ZINC000853248537 1123430188 /nfs/dbraw/zinc/43/01/88/1123430188.db2.gz VLPKACSAPGGAOC-GFCCVEGCSA-N 1 2 258.340 3.759 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1cc(F)ccc1F ZINC000051372098 1123449659 /nfs/dbraw/zinc/44/96/59/1123449659.db2.gz XGPPSRRKPBAGQE-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1cc(F)ccc1F ZINC000051372098 1123449660 /nfs/dbraw/zinc/44/96/60/1123449660.db2.gz XGPPSRRKPBAGQE-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO C[N@H+](Cc1cc[nH]n1)[C@H]1CCc2c1cc(Cl)cc2Cl ZINC000443633958 1123450516 /nfs/dbraw/zinc/45/05/16/1123450516.db2.gz WRTYRZIBATUHMV-AWEZNQCLSA-N 1 2 296.201 3.836 20 0 CHADLO C[N@@H+](Cc1cc[nH]n1)[C@H]1CCc2c1cc(Cl)cc2Cl ZINC000443633958 1123450519 /nfs/dbraw/zinc/45/05/19/1123450519.db2.gz WRTYRZIBATUHMV-AWEZNQCLSA-N 1 2 296.201 3.836 20 0 CHADLO CCc1cccc(CC)c1NC(=O)c1cccc2[nH+]ccn21 ZINC000776737239 1123484404 /nfs/dbraw/zinc/48/44/04/1123484404.db2.gz YTEPERSAEABOBV-UHFFFAOYSA-N 1 2 293.370 3.711 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2ccnc(Cl)c2Cl)C1 ZINC000895543638 1123490049 /nfs/dbraw/zinc/49/00/49/1123490049.db2.gz DNFYUCLTQAOVCF-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2ccnc(Cl)c2Cl)C1 ZINC000895543638 1123490054 /nfs/dbraw/zinc/49/00/54/1123490054.db2.gz DNFYUCLTQAOVCF-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]C2=CC=C[CH]2)C[C@H](C)[N@H+]1Cc1ccccc1 ZINC001168030702 1123490403 /nfs/dbraw/zinc/49/04/03/1123490403.db2.gz WQURUOJPLCZAPE-HGKCTWBKSA-N 1 2 281.423 3.676 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]C2=CC=C[CH]2)C[C@H](C)[N@@H+]1Cc1ccccc1 ZINC001168030702 1123490404 /nfs/dbraw/zinc/49/04/04/1123490404.db2.gz WQURUOJPLCZAPE-HGKCTWBKSA-N 1 2 281.423 3.676 20 0 CHADLO CC(C)(C)c1coc(C[NH+]2CC(Cc3cccs3)C2)n1 ZINC000895660952 1123502527 /nfs/dbraw/zinc/50/25/27/1123502527.db2.gz AAOPUFWZHNFAMH-UHFFFAOYSA-N 1 2 290.432 3.708 20 0 CHADLO C[C@@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000895762785 1123511523 /nfs/dbraw/zinc/51/15/23/1123511523.db2.gz XBYPBEQFLFLQMR-LLVKDONJSA-N 1 2 276.767 3.503 20 0 CHADLO CCC[C@@H]1C[N@@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000536175098 1123516006 /nfs/dbraw/zinc/51/60/06/1123516006.db2.gz NISSILWBKOJHBW-CHWSQXEVSA-N 1 2 267.800 3.902 20 0 CHADLO CCC[C@@H]1C[N@H+]([C@H](C)c2ccccc2Cl)CCO1 ZINC000536175098 1123516010 /nfs/dbraw/zinc/51/60/10/1123516010.db2.gz NISSILWBKOJHBW-CHWSQXEVSA-N 1 2 267.800 3.902 20 0 CHADLO Cc1cc(C)c2cc(N[C@@H]3CCCOCC3)ccc2[nH+]1 ZINC000895873875 1123524022 /nfs/dbraw/zinc/52/40/22/1123524022.db2.gz CDZPIDYBZJMKSD-CQSZACIVSA-N 1 2 270.376 3.833 20 0 CHADLO Cc1cc(C)c2cc(N[C@@H]3CCOC[C@H]3C)ccc2[nH+]1 ZINC000895879715 1123524302 /nfs/dbraw/zinc/52/43/02/1123524302.db2.gz UVTBRAGBUAFUOT-MLGOLLRUSA-N 1 2 270.376 3.689 20 0 CHADLO Cc1cc(C)c2cc(N[C@@H]3CCO[C@H](C)C3)ccc2[nH+]1 ZINC000895873992 1123524458 /nfs/dbraw/zinc/52/44/58/1123524458.db2.gz DIQSAYNXYODEHD-UKRRQHHQSA-N 1 2 270.376 3.831 20 0 CHADLO Cc1cc(C)c2cc(N[C@H]3CCO[C@H](C)C3)ccc2[nH+]1 ZINC000895873987 1123524506 /nfs/dbraw/zinc/52/45/06/1123524506.db2.gz DIQSAYNXYODEHD-HIFRSBDPSA-N 1 2 270.376 3.831 20 0 CHADLO Cc1cc(N)ccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001214108017 1123535836 /nfs/dbraw/zinc/53/58/36/1123535836.db2.gz NMCITPMLGIGCSL-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO Oc1cccc(C[N@@H+]2CC[C@](F)(c3ccccc3F)C2)c1 ZINC000338996507 1123563020 /nfs/dbraw/zinc/56/30/20/1123563020.db2.gz BFDVIDQLJMPUJC-QGZVFWFLSA-N 1 2 289.325 3.602 20 0 CHADLO Oc1cccc(C[N@H+]2CC[C@](F)(c3ccccc3F)C2)c1 ZINC000338996507 1123563022 /nfs/dbraw/zinc/56/30/22/1123563022.db2.gz BFDVIDQLJMPUJC-QGZVFWFLSA-N 1 2 289.325 3.602 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2oc3ccccc3c2CC)[nH]1 ZINC000274596515 1129132023 /nfs/dbraw/zinc/13/20/23/1129132023.db2.gz JLXLOSMQUCQYNS-LLVKDONJSA-N 1 2 298.390 3.527 20 0 CHADLO CC(C)n1c2ccccc2nc1[C@@H]1CCC[N@@H+]1CCF ZINC000759344099 1123612571 /nfs/dbraw/zinc/61/25/71/1123612571.db2.gz BWHOOSZYNDMBFM-HNNXBMFYSA-N 1 2 275.371 3.724 20 0 CHADLO CC(C)n1c2ccccc2nc1[C@@H]1CCC[N@H+]1CCF ZINC000759344099 1123612575 /nfs/dbraw/zinc/61/25/75/1123612575.db2.gz BWHOOSZYNDMBFM-HNNXBMFYSA-N 1 2 275.371 3.724 20 0 CHADLO Clc1ccccc1CCC[N@@H+]1Cc2ccncc2C1 ZINC000857878691 1123624180 /nfs/dbraw/zinc/62/41/80/1123624180.db2.gz KRXVJLUNSMADQY-UHFFFAOYSA-N 1 2 272.779 3.683 20 0 CHADLO Clc1ccccc1CCC[N@H+]1Cc2ccncc2C1 ZINC000857878691 1123624183 /nfs/dbraw/zinc/62/41/83/1123624183.db2.gz KRXVJLUNSMADQY-UHFFFAOYSA-N 1 2 272.779 3.683 20 0 CHADLO CCCCCC[C@@](C)(CC)C(=O)N[C@@H]1CCn2c[nH+]cc21 ZINC000857983724 1123627725 /nfs/dbraw/zinc/62/77/25/1123627725.db2.gz CPFOWOQMBZLBDF-RHSMWYFYSA-N 1 2 291.439 3.831 20 0 CHADLO Cc1cc(C[NH2+][C@H](CC(F)(F)F)c2ccccc2)ncn1 ZINC000428312535 1123648689 /nfs/dbraw/zinc/64/86/89/1123648689.db2.gz ODCDUZHSHQUVNY-CQSZACIVSA-N 1 2 295.308 3.568 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+](Cc1ccccn1)C1CC1 ZINC000428313974 1123649745 /nfs/dbraw/zinc/64/97/45/1123649745.db2.gz YMZDYYJNIPJMGI-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+](Cc1ccccn1)C1CC1 ZINC000428313974 1123649750 /nfs/dbraw/zinc/64/97/50/1123649750.db2.gz YMZDYYJNIPJMGI-UHFFFAOYSA-N 1 2 285.391 3.666 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCCc3scnc32)cc1 ZINC000858398430 1123650168 /nfs/dbraw/zinc/65/01/68/1123650168.db2.gz XFILNTTYXJSMSR-CYBMUJFWSA-N 1 2 273.405 3.699 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(F)c(F)c1OC ZINC001211889066 1123659527 /nfs/dbraw/zinc/65/95/27/1123659527.db2.gz BQGYOGDAVWGHPS-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CC(C)[N@@H+](Cc1cc(Cl)n(C)n1)Cc1cccs1 ZINC000859091052 1123683171 /nfs/dbraw/zinc/68/31/71/1123683171.db2.gz ZEJVWNOEMQUZID-UHFFFAOYSA-N 1 2 283.828 3.546 20 0 CHADLO CC(C)[N@H+](Cc1cc(Cl)n(C)n1)Cc1cccs1 ZINC000859091052 1123683173 /nfs/dbraw/zinc/68/31/73/1123683173.db2.gz ZEJVWNOEMQUZID-UHFFFAOYSA-N 1 2 283.828 3.546 20 0 CHADLO c1cn(-c2cccc(-c3noc(C4=CCCCC4)n3)c2)c[nH+]1 ZINC000346388578 1123683313 /nfs/dbraw/zinc/68/33/13/1123683313.db2.gz MCLONMJLXFYMMI-UHFFFAOYSA-N 1 2 292.342 3.880 20 0 CHADLO CC[N@H+](Cc1cc(Cl)n(C)n1)Cc1ccc(Cl)cc1 ZINC000859097738 1123684113 /nfs/dbraw/zinc/68/41/13/1123684113.db2.gz UMMIKQIEMKTPHW-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncccc1Cl)c1ccccc1OC ZINC000859227580 1123691746 /nfs/dbraw/zinc/69/17/46/1123691746.db2.gz DTMHBBSWZQJJBK-CQSZACIVSA-N 1 2 290.794 3.985 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@H+](Cc2ccnc(F)c2)C1 ZINC000859295412 1123693905 /nfs/dbraw/zinc/69/39/05/1123693905.db2.gz UKUYOGXXUADVNI-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@@H+](Cc2ccnc(F)c2)C1 ZINC000859295412 1123693906 /nfs/dbraw/zinc/69/39/06/1123693906.db2.gz UKUYOGXXUADVNI-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccc2sc(C[N@@H+]3CCC[C@@](C)(F)C3)nc2c1 ZINC000859318072 1123695165 /nfs/dbraw/zinc/69/51/65/1123695165.db2.gz BBOPVRIYYJZVTD-OAHLLOKOSA-N 1 2 278.396 3.929 20 0 CHADLO Cc1ccc2sc(C[N@H+]3CCC[C@@](C)(F)C3)nc2c1 ZINC000859318072 1123695167 /nfs/dbraw/zinc/69/51/67/1123695167.db2.gz BBOPVRIYYJZVTD-OAHLLOKOSA-N 1 2 278.396 3.929 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)C1(C)CC1 ZINC000346572855 1123696567 /nfs/dbraw/zinc/69/65/67/1123696567.db2.gz LRSFJDAWXDUYDE-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO FC1(F)C[C@H]2CC[C@@H](C1)[N@H+]2C/C(Cl)=C/Cl ZINC000859431247 1123697463 /nfs/dbraw/zinc/69/74/63/1123697463.db2.gz SMHHJNNXVPGXHW-YUGKURJKSA-N 1 2 256.123 3.568 20 0 CHADLO FC1(F)C[C@H]2CC[C@@H](C1)[N@@H+]2C/C(Cl)=C/Cl ZINC000859431247 1123697468 /nfs/dbraw/zinc/69/74/68/1123697468.db2.gz SMHHJNNXVPGXHW-YUGKURJKSA-N 1 2 256.123 3.568 20 0 CHADLO O=C(CCn1cc[nH+]c1)Nc1ccccc1-c1cccs1 ZINC000346607333 1123700060 /nfs/dbraw/zinc/70/00/60/1123700060.db2.gz MHUNKVOHYMJYLQ-UHFFFAOYSA-N 1 2 297.383 3.640 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccccc2F)CC(C)C)no1 ZINC000346782121 1123711758 /nfs/dbraw/zinc/71/17/58/1123711758.db2.gz SVUFIELWDOYTFW-UHFFFAOYSA-N 1 2 276.355 3.780 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccccc2F)CC(C)C)no1 ZINC000346782121 1123711761 /nfs/dbraw/zinc/71/17/61/1123711761.db2.gz SVUFIELWDOYTFW-UHFFFAOYSA-N 1 2 276.355 3.780 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2cccnc2)c(F)c1 ZINC000347002515 1123739057 /nfs/dbraw/zinc/73/90/57/1123739057.db2.gz VHJGKBCPOFMJRM-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2cccnc2)c(F)c1 ZINC000347002515 1123739061 /nfs/dbraw/zinc/73/90/61/1123739061.db2.gz VHJGKBCPOFMJRM-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)cc(Cl)n1 ZINC000320451875 1123748093 /nfs/dbraw/zinc/74/80/93/1123748093.db2.gz LMHKVHOMIKQNBU-NSHDSACASA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)cc(Cl)n1 ZINC000320451875 1123748097 /nfs/dbraw/zinc/74/80/97/1123748097.db2.gz LMHKVHOMIKQNBU-NSHDSACASA-N 1 2 292.732 3.818 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@H](c3ccncc3)C2)s1 ZINC000336264963 1123754053 /nfs/dbraw/zinc/75/40/53/1123754053.db2.gz SLUHUPGTYCMNNW-LBPRGKRZSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@H](c3ccncc3)C2)s1 ZINC000336264963 1123754055 /nfs/dbraw/zinc/75/40/55/1123754055.db2.gz SLUHUPGTYCMNNW-LBPRGKRZSA-N 1 2 293.823 3.571 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1CCOC2(CCCC2)C1 ZINC000158365475 1129143175 /nfs/dbraw/zinc/14/31/75/1129143175.db2.gz IZMDCWQWSPXHNK-LBPRGKRZSA-N 1 2 281.346 3.671 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1CCOC2(CCCC2)C1 ZINC000158365475 1129143178 /nfs/dbraw/zinc/14/31/78/1129143178.db2.gz IZMDCWQWSPXHNK-LBPRGKRZSA-N 1 2 281.346 3.671 20 0 CHADLO CC(C)c1cnc(N2CC[C@@H](C)[C@@H](n3cc[nH+]c3)C2)s1 ZINC000336398124 1123768393 /nfs/dbraw/zinc/76/83/93/1123768393.db2.gz UQAFCEZVZVKLQR-OLZOCXBDSA-N 1 2 290.436 3.551 20 0 CHADLO CCc1nc(C[N@@H+](CC)Cc2ccccc2)cs1 ZINC000042626076 1123788156 /nfs/dbraw/zinc/78/81/56/1123788156.db2.gz POAJOEQEZSKLTO-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO CCc1nc(C[N@H+](CC)Cc2ccccc2)cs1 ZINC000042626076 1123788161 /nfs/dbraw/zinc/78/81/61/1123788161.db2.gz POAJOEQEZSKLTO-UHFFFAOYSA-N 1 2 260.406 3.728 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)nc1 ZINC000339033260 1123790414 /nfs/dbraw/zinc/79/04/14/1123790414.db2.gz LGWBKMARYQYXJH-UHFFFAOYSA-N 1 2 291.398 3.684 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3[nH]c4ccccc4c3C2)nc1 ZINC000339033260 1123790417 /nfs/dbraw/zinc/79/04/17/1123790417.db2.gz LGWBKMARYQYXJH-UHFFFAOYSA-N 1 2 291.398 3.684 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3cnc(C4CC4)o3)ccc21 ZINC000862297102 1123799193 /nfs/dbraw/zinc/79/91/93/1123799193.db2.gz NUCOKBRFDPFOSV-UHFFFAOYSA-N 1 2 283.375 3.547 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3cnc(C4CC4)o3)ccc21 ZINC000862297102 1123799197 /nfs/dbraw/zinc/79/91/97/1123799197.db2.gz NUCOKBRFDPFOSV-UHFFFAOYSA-N 1 2 283.375 3.547 20 0 CHADLO C[N@H+](Cc1ncc(C2CC2)o1)Cc1ccc2cc[nH]c2c1 ZINC000862369806 1123804780 /nfs/dbraw/zinc/80/47/80/1123804780.db2.gz YCBRXUJFNKHQMI-UHFFFAOYSA-N 1 2 281.359 3.665 20 0 CHADLO C[N@@H+](Cc1ncc(C2CC2)o1)Cc1ccc2cc[nH]c2c1 ZINC000862369806 1123804783 /nfs/dbraw/zinc/80/47/83/1123804783.db2.gz YCBRXUJFNKHQMI-UHFFFAOYSA-N 1 2 281.359 3.665 20 0 CHADLO CCC(CC)(CCO)CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000339054029 1123857149 /nfs/dbraw/zinc/85/71/49/1123857149.db2.gz LLYAZSRZGZRXDI-UHFFFAOYSA-N 1 2 290.329 3.701 20 0 CHADLO CC(C)Oc1cccc(CN(C)c2cccc[nH+]2)c1 ZINC000301424275 1123900014 /nfs/dbraw/zinc/90/00/14/1123900014.db2.gz BARJTTWMLWMELR-UHFFFAOYSA-N 1 2 256.349 3.505 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(CN(c2cccc[nH+]2)C2CC2)o1 ZINC000301511929 1123907238 /nfs/dbraw/zinc/90/72/38/1123907238.db2.gz IRBIFTSOGJGROC-SWLSCSKDSA-N 1 2 268.360 3.967 20 0 CHADLO Cc1cc(NCc2cccc3c2CCOC3)ccc1[NH+](C)C ZINC000864003153 1123908417 /nfs/dbraw/zinc/90/84/17/1123908417.db2.gz FBEFGPOKRXYNSU-UHFFFAOYSA-N 1 2 296.414 3.746 20 0 CHADLO Cc1cc(N(C)[C@H](C)c2ccccn2)nc(C(C)C)[nH+]1 ZINC000301581996 1123914542 /nfs/dbraw/zinc/91/45/42/1123914542.db2.gz TXFJPIGHURJBBD-CYBMUJFWSA-N 1 2 270.380 3.501 20 0 CHADLO Cn1c[nH+]cc1COC(=O)c1sccc1-c1ccccc1 ZINC000084503151 1129153273 /nfs/dbraw/zinc/15/32/73/1129153273.db2.gz PLXYVTCBIGEBEK-UHFFFAOYSA-N 1 2 298.367 3.506 20 0 CHADLO Cc1cc(NCc2cccc(N(C)C)c2)nc(C(C)C)[nH+]1 ZINC000301673235 1123922676 /nfs/dbraw/zinc/92/26/76/1123922676.db2.gz ZVVRPFMZIUAAPH-UHFFFAOYSA-N 1 2 284.407 3.587 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc(Cl)cc2)C2CC2)o1 ZINC000173543877 1123923300 /nfs/dbraw/zinc/92/33/00/1123923300.db2.gz ATBWWHKGFPRCPE-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc(Cl)cc2)C2CC2)o1 ZINC000173543877 1123923302 /nfs/dbraw/zinc/92/33/02/1123923302.db2.gz ATBWWHKGFPRCPE-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1ccc([C@@H](O)CNc2[nH+]c3ccccc3cc2C)cc1 ZINC000301682022 1123923451 /nfs/dbraw/zinc/92/34/51/1123923451.db2.gz GHVVLSXXOXUAOW-SFHVURJKSA-N 1 2 292.382 3.997 20 0 CHADLO Cc1cc(N(C)Cc2cccc(N(C)C)c2)nc(C(C)C)[nH+]1 ZINC000301751575 1123930252 /nfs/dbraw/zinc/93/02/52/1123930252.db2.gz ISQYHPMFFHJFCF-UHFFFAOYSA-N 1 2 298.434 3.611 20 0 CHADLO CC(C)c1cc(N(C)C[C@@H]2CCCCO2)nc(C(C)C)[nH+]1 ZINC000301797818 1123934156 /nfs/dbraw/zinc/93/41/56/1123934156.db2.gz AXNYVCIMEOUXMK-AWEZNQCLSA-N 1 2 291.439 3.729 20 0 CHADLO CCC(CC)(CO)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301812710 1123934646 /nfs/dbraw/zinc/93/46/46/1123934646.db2.gz HMAYSHALKCCYAT-UHFFFAOYSA-N 1 2 279.428 3.686 20 0 CHADLO Cc1cc(N[C@H](C)[C@H]2COc3ccccc32)nc(C(C)C)[nH+]1 ZINC000301813754 1123935467 /nfs/dbraw/zinc/93/54/67/1123935467.db2.gz CKWSCOHUAFLCAZ-UKRRQHHQSA-N 1 2 297.402 3.885 20 0 CHADLO CC(C)c1cc(NCCc2ccccn2)nc(C(C)C)[nH+]1 ZINC000301836094 1123936294 /nfs/dbraw/zinc/93/62/94/1123936294.db2.gz XBFAYAXXWDKYQG-UHFFFAOYSA-N 1 2 284.407 3.773 20 0 CHADLO CC[C@@H](C)[C@@H](O)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301867824 1123938908 /nfs/dbraw/zinc/93/89/08/1123938908.db2.gz NUTVSRXBXCEDMR-OCCSQVGLSA-N 1 2 279.428 3.542 20 0 CHADLO CCCCCCNc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000301882806 1123940809 /nfs/dbraw/zinc/94/08/09/1123940809.db2.gz KTHNIHZARVPCRS-UHFFFAOYSA-N 1 2 275.400 3.574 20 0 CHADLO CC(C)CC[C@H](C)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000301895896 1123941934 /nfs/dbraw/zinc/94/19/34/1123941934.db2.gz QDSGMVBWHOOYOO-LBPRGKRZSA-N 1 2 289.427 3.818 20 0 CHADLO Cc1nc(N2C[C@@H](C)[C@@H]2c2ccccc2)c2c([nH+]1)CCCC2 ZINC000302083408 1123954258 /nfs/dbraw/zinc/95/42/58/1123954258.db2.gz JZCPCFLRRGQHSF-FZKQIMNGSA-N 1 2 293.414 3.861 20 0 CHADLO COc1cccc2c(N(C)Cc3ccoc3C)cc[nH+]c12 ZINC000302409485 1123967524 /nfs/dbraw/zinc/96/75/24/1123967524.db2.gz GLTHRLWYKFSXJF-UHFFFAOYSA-N 1 2 282.343 3.781 20 0 CHADLO COc1ccccc1CNc1cc[nH+]c2c(OC)cccc12 ZINC000302479556 1123969426 /nfs/dbraw/zinc/96/94/26/1123969426.db2.gz WEUQVJDSYJSQCP-UHFFFAOYSA-N 1 2 294.354 3.864 20 0 CHADLO COc1cccc2c(NC[C@H]3CCCS3)cc[nH+]c12 ZINC000302527888 1123971397 /nfs/dbraw/zinc/97/13/97/1123971397.db2.gz KPQNMJUXDKYXST-LLVKDONJSA-N 1 2 274.389 3.551 20 0 CHADLO Cn1cc(CCNc2cccc[nH+]2)c2ccc(Cl)cc21 ZINC000302540039 1123972278 /nfs/dbraw/zinc/97/22/78/1123972278.db2.gz NLLWSBXPCSFDOF-UHFFFAOYSA-N 1 2 285.778 3.881 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1C[C@@H]2OCCC[C@@H]12 ZINC000302582393 1123974024 /nfs/dbraw/zinc/97/40/24/1123974024.db2.gz UFMWRTGAOUXFEM-IMJJTQAJSA-N 1 2 268.360 3.523 20 0 CHADLO CCc1cccc(C)c1NC(=O)Cn1c[nH+]c(C(C)(C)C)c1 ZINC000865375532 1123976246 /nfs/dbraw/zinc/97/62/46/1123976246.db2.gz YJUAFYHZHSPMLB-UHFFFAOYSA-N 1 2 299.418 3.690 20 0 CHADLO Cc1cc(Cn2c[nH+]c(C(C)(C)C)c2)cc(Cl)n1 ZINC000865376547 1123977225 /nfs/dbraw/zinc/97/72/25/1123977225.db2.gz SEEBVERKGKABIA-UHFFFAOYSA-N 1 2 263.772 3.586 20 0 CHADLO Cc1nc(N[C@H](C)c2ccc(C(F)(F)F)cc2)cc[nH+]1 ZINC000302779198 1123982640 /nfs/dbraw/zinc/98/26/40/1123982640.db2.gz QNBBYOMSKZJQTC-SECBINFHSA-N 1 2 281.281 3.977 20 0 CHADLO CCc1noc(C)c1C[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC000865578989 1123996103 /nfs/dbraw/zinc/99/61/03/1123996103.db2.gz KIIJJALZHIPHFA-UHFFFAOYSA-N 1 2 293.436 3.589 20 0 CHADLO CCCC(CCC)C(=O)NCc1[nH+]cc(C)c(OC)c1C ZINC000120650878 1124000081 /nfs/dbraw/zinc/00/00/81/1124000081.db2.gz BYDIVKBNGWDJLG-UHFFFAOYSA-N 1 2 292.423 3.540 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3ccccc3OCCF)n2c1 ZINC001202518903 1124002007 /nfs/dbraw/zinc/00/20/07/1124002007.db2.gz BHDHEEIPCJICKI-UHFFFAOYSA-N 1 2 299.349 3.603 20 0 CHADLO Clc1cnn(C[N@@H+]2CCC[C@@H]2/C=C\c2ccccc2)c1 ZINC000779890541 1129160122 /nfs/dbraw/zinc/16/01/22/1129160122.db2.gz WVEZNMGSXFTREM-HLRDLLSLSA-N 1 2 287.794 3.672 20 0 CHADLO Clc1cnn(C[N@H+]2CCC[C@@H]2/C=C\c2ccccc2)c1 ZINC000779890541 1129160124 /nfs/dbraw/zinc/16/01/24/1129160124.db2.gz WVEZNMGSXFTREM-HLRDLLSLSA-N 1 2 287.794 3.672 20 0 CHADLO CC[N@H+](CC(=O)OCc1ccccc1)Cc1ccccc1C ZINC000303386203 1124003938 /nfs/dbraw/zinc/00/39/38/1124003938.db2.gz NSDHUTWDBWUTAB-UHFFFAOYSA-N 1 2 297.398 3.560 20 0 CHADLO CC[N@@H+](CC(=O)OCc1ccccc1)Cc1ccccc1C ZINC000303386203 1124003942 /nfs/dbraw/zinc/00/39/42/1124003942.db2.gz NSDHUTWDBWUTAB-UHFFFAOYSA-N 1 2 297.398 3.560 20 0 CHADLO CCCCn1nc(C)c(C[NH2+]Cc2ccc(C)o2)c1Cl ZINC000865724041 1124007766 /nfs/dbraw/zinc/00/77/66/1124007766.db2.gz DXOMJGULQKEHTH-UHFFFAOYSA-N 1 2 295.814 3.836 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C(C)(C)CC(F)(F)F)cc2[nH+]1 ZINC000665796237 1124014359 /nfs/dbraw/zinc/01/43/59/1124014359.db2.gz RMFLGKMYUADZIX-UHFFFAOYSA-N 1 2 299.296 3.788 20 0 CHADLO CC(=O)c1cc(F)ccc1NCc1c[nH+]c(C)cc1C ZINC000865862303 1124022365 /nfs/dbraw/zinc/02/23/65/1124022365.db2.gz JEMXRXQWINKLDD-UHFFFAOYSA-N 1 2 272.323 3.652 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@](C)(CC)c2nccs2)o1 ZINC000428365229 1124023901 /nfs/dbraw/zinc/02/39/01/1124023901.db2.gz BLLHYWFQUBOCMZ-HNNXBMFYSA-N 1 2 293.436 3.807 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc2c(c1)OCCCO2 ZINC000389471648 1124029423 /nfs/dbraw/zinc/02/94/23/1124029423.db2.gz RWPHKHAAEBLETJ-GHMZBOCLSA-N 1 2 285.334 3.542 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2OC[C@H]1F)c1cccc(F)c1 ZINC000866213346 1124037553 /nfs/dbraw/zinc/03/75/53/1124037553.db2.gz PLAQRLXYJQDYEJ-CXMBCZLWSA-N 1 2 289.325 3.948 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+][C@H]1CCc2ccccc21 ZINC000866221791 1124039009 /nfs/dbraw/zinc/03/90/09/1124039009.db2.gz VCBOUPPSWAWBRO-RYRKJORJSA-N 1 2 283.346 3.735 20 0 CHADLO C[N@H+](Cc1cc[nH]n1)Cc1ccc(-c2cccs2)cc1 ZINC000444654371 1124047856 /nfs/dbraw/zinc/04/78/56/1124047856.db2.gz YKWXUHBTIITFHU-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO C[N@@H+](Cc1cc[nH]n1)Cc1ccc(-c2cccs2)cc1 ZINC000444654371 1124047858 /nfs/dbraw/zinc/04/78/58/1124047858.db2.gz YKWXUHBTIITFHU-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(F)c(C)c2)c(C)s1 ZINC000444738699 1124057387 /nfs/dbraw/zinc/05/73/87/1124057387.db2.gz CSYHMELXGUEOOX-UHFFFAOYSA-N 1 2 278.396 3.839 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(F)c(C)c2)c(C)s1 ZINC000444738699 1124057389 /nfs/dbraw/zinc/05/73/89/1124057389.db2.gz CSYHMELXGUEOOX-UHFFFAOYSA-N 1 2 278.396 3.839 20 0 CHADLO CC(C)[C@H]1CCC[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000444753107 1124059695 /nfs/dbraw/zinc/05/96/95/1124059695.db2.gz XTPXSRHIWRNSJR-INIZCTEOSA-N 1 2 299.418 3.528 20 0 CHADLO CC(C)[C@H]1CCC[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000444753107 1124059697 /nfs/dbraw/zinc/05/96/97/1124059697.db2.gz XTPXSRHIWRNSJR-INIZCTEOSA-N 1 2 299.418 3.528 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000444764073 1124060853 /nfs/dbraw/zinc/06/08/53/1124060853.db2.gz AQQZBNHJWIJVJZ-OAHLLOKOSA-N 1 2 291.439 3.985 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000444764073 1124060857 /nfs/dbraw/zinc/06/08/57/1124060857.db2.gz AQQZBNHJWIJVJZ-OAHLLOKOSA-N 1 2 291.439 3.985 20 0 CHADLO Cn1ccc(C[N@@H+]2CCCC[C@@H]2c2cccc(Cl)c2)n1 ZINC000444805795 1124063921 /nfs/dbraw/zinc/06/39/21/1124063921.db2.gz VOOPKDLLBYCYOM-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1ccc(C[N@H+]2CCCC[C@@H]2c2cccc(Cl)c2)n1 ZINC000444805795 1124063923 /nfs/dbraw/zinc/06/39/23/1124063923.db2.gz VOOPKDLLBYCYOM-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Clc1cccc2c1cc1n2CCN(c2cccc[nH+]2)C1 ZINC000444868735 1124068205 /nfs/dbraw/zinc/06/82/05/1124068205.db2.gz GXONRTBKYKYROR-UHFFFAOYSA-N 1 2 283.762 3.710 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2noc3ccc(F)cc32)o1 ZINC000866510390 1124075269 /nfs/dbraw/zinc/07/52/69/1124075269.db2.gz BSKXOYDSSXWBCG-JTQLQIEISA-N 1 2 288.322 3.973 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2ccc(C(C)(C)C)o2)c2nccn21 ZINC000866573310 1124084383 /nfs/dbraw/zinc/08/43/83/1124084383.db2.gz HPYQGBDHKCFTGG-DGCLKSJQSA-N 1 2 273.380 3.569 20 0 CHADLO CCCC[N@H+](Cc1nnc(C)o1)[C@@H](C)c1ccc(C)o1 ZINC000621318386 1129167039 /nfs/dbraw/zinc/16/70/39/1129167039.db2.gz BYTRULDFSDFAMU-LBPRGKRZSA-N 1 2 277.368 3.643 20 0 CHADLO CCCC[N@@H+](Cc1nnc(C)o1)[C@@H](C)c1ccc(C)o1 ZINC000621318386 1129167041 /nfs/dbraw/zinc/16/70/41/1129167041.db2.gz BYTRULDFSDFAMU-LBPRGKRZSA-N 1 2 277.368 3.643 20 0 CHADLO Cc1ccccc1[C@H]1C[N@H+](Cc2cccc(F)c2)CCO1 ZINC000525126543 1124116461 /nfs/dbraw/zinc/11/64/61/1124116461.db2.gz ANKPWHTXXLYWJN-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccccc1[C@H]1C[N@@H+](Cc2cccc(F)c2)CCO1 ZINC000525126543 1124116462 /nfs/dbraw/zinc/11/64/62/1124116462.db2.gz ANKPWHTXXLYWJN-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CC[C@@](C)(c3ccccc3)C2)no1 ZINC000446739130 1124121673 /nfs/dbraw/zinc/12/16/73/1124121673.db2.gz QMLVCEOPHYRGOH-GOSISDBHSA-N 1 2 299.418 3.531 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CC[C@@](C)(c3ccccc3)C2)no1 ZINC000446739130 1124121677 /nfs/dbraw/zinc/12/16/77/1124121677.db2.gz QMLVCEOPHYRGOH-GOSISDBHSA-N 1 2 299.418 3.531 20 0 CHADLO Clc1ccc2cc3n(c2c1)CC[N@@H+](CC1CC1)C3 ZINC000447079590 1124131973 /nfs/dbraw/zinc/13/19/73/1124131973.db2.gz ZZAFURNAUUFLKP-UHFFFAOYSA-N 1 2 260.768 3.520 20 0 CHADLO Clc1ccc2cc3n(c2c1)CC[N@H+](CC1CC1)C3 ZINC000447079590 1124131974 /nfs/dbraw/zinc/13/19/74/1124131974.db2.gz ZZAFURNAUUFLKP-UHFFFAOYSA-N 1 2 260.768 3.520 20 0 CHADLO Cc1ccc(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)cc1F ZINC000635090421 1129172641 /nfs/dbraw/zinc/17/26/41/1129172641.db2.gz VUHHIONAYUDBCE-HNNXBMFYSA-N 1 2 289.354 3.557 20 0 CHADLO Cc1cc(F)ccc1NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000635101050 1129172793 /nfs/dbraw/zinc/17/27/93/1129172793.db2.gz VJMLHWUOFLFRTA-OAHLLOKOSA-N 1 2 289.354 3.557 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)c(F)c1 ZINC000635147637 1129173614 /nfs/dbraw/zinc/17/36/14/1129173614.db2.gz AULFNANLDGEOQW-OAHLLOKOSA-N 1 2 289.354 3.557 20 0 CHADLO C[C@H]([NH2+][C@H](C(F)F)C1CCCCC1)c1ccncc1 ZINC000621404175 1129173931 /nfs/dbraw/zinc/17/39/31/1129173931.db2.gz LCOIMDMJSGSFQS-FZMZJTMJSA-N 1 2 268.351 3.946 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+](C)Cc1ccccn1 ZINC001238781235 1131246549 /nfs/dbraw/zinc/24/65/49/1131246549.db2.gz OEGWNQJUVQBQQX-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+](C)Cc1ccccn1 ZINC001238781235 1131246551 /nfs/dbraw/zinc/24/65/51/1131246551.db2.gz OEGWNQJUVQBQQX-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001238781917 1131246668 /nfs/dbraw/zinc/24/66/68/1131246668.db2.gz WXTBEUGJXAQMMX-YMTOWFKASA-N 1 2 273.754 3.967 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001238781917 1131246672 /nfs/dbraw/zinc/24/66/72/1131246672.db2.gz WXTBEUGJXAQMMX-YMTOWFKASA-N 1 2 273.754 3.967 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2CCCC[C@](C)(F)C2)c(F)cn1 ZINC001238794179 1131249228 /nfs/dbraw/zinc/24/92/28/1131249228.db2.gz MWYXLQGJGXJDHB-INIZCTEOSA-N 1 2 298.377 3.722 20 0 CHADLO CC(C)Oc1cc(C[N@H+]2CCCC[C@](C)(F)C2)c(F)cn1 ZINC001238794179 1131249232 /nfs/dbraw/zinc/24/92/32/1131249232.db2.gz MWYXLQGJGXJDHB-INIZCTEOSA-N 1 2 298.377 3.722 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2scnc2Cl)C2CC2)s1 ZINC001119252339 1131249430 /nfs/dbraw/zinc/24/94/30/1131249430.db2.gz BJBMVRPCRASMBJ-SNVBAGLBSA-N 1 2 299.852 3.802 20 0 CHADLO Cc1cc(N2CCOCC2)[nH+]cc1-c1ccc(C2CC2)cc1 ZINC001238833236 1131252423 /nfs/dbraw/zinc/25/24/23/1131252423.db2.gz DXBYLRKLUXTGRF-UHFFFAOYSA-N 1 2 294.398 3.771 20 0 CHADLO COc1cccc([C@H]2CCCN2c2cc[nH+]cc2F)c1 ZINC001119338940 1131251753 /nfs/dbraw/zinc/25/17/53/1131251753.db2.gz MOLWGAYGBXFLKT-OAHLLOKOSA-N 1 2 272.323 3.571 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@]12C[C@@H]1CCCC2 ZINC000867943310 1124450793 /nfs/dbraw/zinc/45/07/93/1124450793.db2.gz RCUWUDKVTIQZNE-KSSFIOAISA-N 1 2 295.386 3.904 20 0 CHADLO Cn1ncc(Cl)c1C[N@H+](C)[C@@H]1CCCc2ccccc21 ZINC000525865216 1124473761 /nfs/dbraw/zinc/47/37/61/1124473761.db2.gz HSLUPGYOZUYUMU-OAHLLOKOSA-N 1 2 289.810 3.583 20 0 CHADLO Cn1ncc(Cl)c1C[N@@H+](C)[C@@H]1CCCc2ccccc21 ZINC000525865216 1124473764 /nfs/dbraw/zinc/47/37/64/1124473764.db2.gz HSLUPGYOZUYUMU-OAHLLOKOSA-N 1 2 289.810 3.583 20 0 CHADLO Cc1ccc2c(c1)C[N@H+]([C@@H](C)C(=O)Nc1cccc(C)c1)C2 ZINC000361601681 1124478480 /nfs/dbraw/zinc/47/84/80/1124478480.db2.gz KOQHATKRJVTYQM-HNNXBMFYSA-N 1 2 294.398 3.646 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+]([C@@H](C)C(=O)Nc1cccc(C)c1)C2 ZINC000361601681 1124478485 /nfs/dbraw/zinc/47/84/85/1124478485.db2.gz KOQHATKRJVTYQM-HNNXBMFYSA-N 1 2 294.398 3.646 20 0 CHADLO CC[C@]1(C)C[N@H+](Cc2cc(C)ccc2OC(F)F)CCO1 ZINC000428485979 1124479502 /nfs/dbraw/zinc/47/95/02/1124479502.db2.gz VTUIGUBPGWYLGH-MRXNPFEDSA-N 1 2 299.361 3.597 20 0 CHADLO CC[C@]1(C)C[N@@H+](Cc2cc(C)ccc2OC(F)F)CCO1 ZINC000428485979 1124479506 /nfs/dbraw/zinc/47/95/06/1124479506.db2.gz VTUIGUBPGWYLGH-MRXNPFEDSA-N 1 2 299.361 3.597 20 0 CHADLO Cc1cn2c(cccc2-c2cc3ccccc3[nH]c2=O)[nH+]1 ZINC001238880500 1131262883 /nfs/dbraw/zinc/26/28/83/1131262883.db2.gz GDKDKXCIZFOQHG-UHFFFAOYSA-N 1 2 275.311 3.564 20 0 CHADLO Cc1cncc([C@H](C)[NH2+]Cc2c(F)c(F)cc(F)c2F)c1 ZINC000681052534 1124487935 /nfs/dbraw/zinc/48/79/35/1124487935.db2.gz AAGNMVVNDCCVGU-VIFPVBQESA-N 1 2 298.283 3.797 20 0 CHADLO COc1cc[nH+]cc1NCc1ccc(C(F)F)cc1 ZINC000526042943 1124502905 /nfs/dbraw/zinc/50/29/05/1124502905.db2.gz YMKYZOUAZPUOLL-UHFFFAOYSA-N 1 2 264.275 3.640 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@H+](C)CC1(F)CC1 ZINC000526970123 1124534773 /nfs/dbraw/zinc/53/47/73/1124534773.db2.gz NOCNSGGIPCIYEZ-SNVBAGLBSA-N 1 2 264.369 3.791 20 0 CHADLO C[C@H](c1nc2ccccc2s1)[N@@H+](C)CC1(F)CC1 ZINC000526970123 1124534780 /nfs/dbraw/zinc/53/47/80/1124534780.db2.gz NOCNSGGIPCIYEZ-SNVBAGLBSA-N 1 2 264.369 3.791 20 0 CHADLO Cc1cc(-c2cc(OC(C)C)cnc2F)c2[nH+]ccn2c1 ZINC001238893408 1131267351 /nfs/dbraw/zinc/26/73/51/1131267351.db2.gz QYCLVRKKADPUGJ-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO Cc1ccc(CNc2ccc3c(c2)CCC[N@H+]3C)o1 ZINC000123334384 1124588575 /nfs/dbraw/zinc/58/85/75/1124588575.db2.gz MQAGNOLXSQWYDU-UHFFFAOYSA-N 1 2 256.349 3.583 20 0 CHADLO Cc1ccc(CNc2ccc3c(c2)CCC[N@@H+]3C)o1 ZINC000123334384 1124588580 /nfs/dbraw/zinc/58/85/80/1124588580.db2.gz MQAGNOLXSQWYDU-UHFFFAOYSA-N 1 2 256.349 3.583 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cc(C)on2)cc2ccccc21 ZINC000449014617 1124630591 /nfs/dbraw/zinc/63/05/91/1124630591.db2.gz QSWJTSPMHPDCAT-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cc(C)on2)cc2ccccc21 ZINC000449014617 1124630597 /nfs/dbraw/zinc/63/05/97/1124630597.db2.gz QSWJTSPMHPDCAT-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@H+](C)Cc2ccncc2F)s1 ZINC000449026783 1124634945 /nfs/dbraw/zinc/63/49/45/1124634945.db2.gz GMUCBGULTMWFSA-NSHDSACASA-N 1 2 293.411 3.741 20 0 CHADLO CCc1nc(C)c([C@H](C)[N@@H+](C)Cc2ccncc2F)s1 ZINC000449026783 1124634949 /nfs/dbraw/zinc/63/49/49/1124634949.db2.gz GMUCBGULTMWFSA-NSHDSACASA-N 1 2 293.411 3.741 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2cccc3ccoc32)o1 ZINC000449029046 1124635066 /nfs/dbraw/zinc/63/50/66/1124635066.db2.gz NNSWRHDTKTUWPP-INIZCTEOSA-N 1 2 297.354 3.908 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2cccc3ccoc32)o1 ZINC000449029046 1124635068 /nfs/dbraw/zinc/63/50/68/1124635068.db2.gz NNSWRHDTKTUWPP-INIZCTEOSA-N 1 2 297.354 3.908 20 0 CHADLO COc1ccc(-c2cccc3[nH+]ccn32)cc1OC(C)C ZINC001238932336 1131273959 /nfs/dbraw/zinc/27/39/59/1131273959.db2.gz NSFZZOHVLWCCJL-UHFFFAOYSA-N 1 2 282.343 3.797 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC[C@H]2c2ccccc2)cs1 ZINC000449030987 1124636194 /nfs/dbraw/zinc/63/61/94/1124636194.db2.gz TURSNQKDPYJOIO-INIZCTEOSA-N 1 2 273.401 3.630 20 0 CHADLO Cc1cc(C[N@H+]2CCOC[C@H]2c2ccccc2)cs1 ZINC000449030987 1124636196 /nfs/dbraw/zinc/63/61/96/1124636196.db2.gz TURSNQKDPYJOIO-INIZCTEOSA-N 1 2 273.401 3.630 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccnn1C1CCCC1)CC2 ZINC000449036987 1124637679 /nfs/dbraw/zinc/63/76/79/1124637679.db2.gz WQVKYTICBVCLKF-UHFFFAOYSA-N 1 2 299.393 3.696 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccnn1C1CCCC1)CC2 ZINC000449036987 1124637684 /nfs/dbraw/zinc/63/76/84/1124637684.db2.gz WQVKYTICBVCLKF-UHFFFAOYSA-N 1 2 299.393 3.696 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2c(F)cccc2OC)o1 ZINC001120365544 1131275200 /nfs/dbraw/zinc/27/52/00/1131275200.db2.gz QNESRLVRYRZGKH-LLVKDONJSA-N 1 2 277.339 3.841 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1ncccc1Cl ZINC000528419281 1124658265 /nfs/dbraw/zinc/65/82/65/1124658265.db2.gz ONNNVXNMHTTWOC-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1ncccc1Cl ZINC000528419281 1124658269 /nfs/dbraw/zinc/65/82/69/1124658269.db2.gz ONNNVXNMHTTWOC-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO CC1(C)C[C@@H]([NH2+]Cc2cocn2)c2ccccc2S1 ZINC000872055050 1124667363 /nfs/dbraw/zinc/66/73/63/1124667363.db2.gz FEFADZPQWMZZPA-CYBMUJFWSA-N 1 2 274.389 3.780 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+][C@H](C)c2cc(O)ccc2F)c1 ZINC000872071095 1124674655 /nfs/dbraw/zinc/67/46/55/1124674655.db2.gz YXIHLNJQQBFBJX-SNVBAGLBSA-N 1 2 294.757 3.739 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449242747 1124679020 /nfs/dbraw/zinc/67/90/20/1124679020.db2.gz YYIIMCPPLAVPEL-CQSZACIVSA-N 1 2 284.407 3.586 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449242747 1124679023 /nfs/dbraw/zinc/67/90/23/1124679023.db2.gz YYIIMCPPLAVPEL-CQSZACIVSA-N 1 2 284.407 3.586 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CCC[C@H]1c1nc2ccccc2[nH]1 ZINC000528516549 1124681175 /nfs/dbraw/zinc/68/11/75/1124681175.db2.gz FTYPYMJGGIHKHO-MSKHEQNASA-N 1 2 275.783 3.842 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CCC[C@H]1c1nc2ccccc2[nH]1 ZINC000528516549 1124681183 /nfs/dbraw/zinc/68/11/83/1124681183.db2.gz FTYPYMJGGIHKHO-MSKHEQNASA-N 1 2 275.783 3.842 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncccc2Cl)cc1C ZINC000528518111 1124681341 /nfs/dbraw/zinc/68/13/41/1124681341.db2.gz PQMZJEWOBRRWQT-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncccc2Cl)cc1C ZINC000528518111 1124681345 /nfs/dbraw/zinc/68/13/45/1124681345.db2.gz PQMZJEWOBRRWQT-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CCC[C@H]1c1nc2ccccc2[nH]1 ZINC000528516553 1124681647 /nfs/dbraw/zinc/68/16/47/1124681647.db2.gz FTYPYMJGGIHKHO-ZHZWZMEUSA-N 1 2 275.783 3.842 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CCC[C@H]1c1nc2ccccc2[nH]1 ZINC000528516553 1124681648 /nfs/dbraw/zinc/68/16/48/1124681648.db2.gz FTYPYMJGGIHKHO-ZHZWZMEUSA-N 1 2 275.783 3.842 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000528561639 1124689580 /nfs/dbraw/zinc/68/95/80/1124689580.db2.gz UOPJMSKKQWJZFP-TUOYRWMMSA-N 1 2 250.773 3.607 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000528561639 1124689584 /nfs/dbraw/zinc/68/95/84/1124689584.db2.gz UOPJMSKKQWJZFP-TUOYRWMMSA-N 1 2 250.773 3.607 20 0 CHADLO CC[C@@H](C)c1ccc([C@@H](C)[NH2+]Cc2nonc2C)cc1 ZINC000872134229 1124692993 /nfs/dbraw/zinc/69/29/93/1124692993.db2.gz ALCKRHDTHCTHGW-VXGBXAGGSA-N 1 2 273.380 3.742 20 0 CHADLO CO[C@@H](C)C[N@H+](C)Cc1cc(Cl)sc1Cl ZINC000449320186 1124693972 /nfs/dbraw/zinc/69/39/72/1124693972.db2.gz MTGUPRZCFVTBKH-ZETCQYMHSA-N 1 2 268.209 3.522 20 0 CHADLO CO[C@@H](C)C[N@@H+](C)Cc1cc(Cl)sc1Cl ZINC000449320186 1124693975 /nfs/dbraw/zinc/69/39/75/1124693975.db2.gz MTGUPRZCFVTBKH-ZETCQYMHSA-N 1 2 268.209 3.522 20 0 CHADLO CC(C)(C)c1ncc(C[N@@H+]2CCC[C@](C)(F)C2)s1 ZINC000449350816 1124698362 /nfs/dbraw/zinc/69/83/62/1124698362.db2.gz NNDWQSURGJBACF-AWEZNQCLSA-N 1 2 270.417 3.765 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc2c(ccc(C)c2C)[nH]1)C(F)F ZINC000449360871 1124711234 /nfs/dbraw/zinc/71/12/34/1124711234.db2.gz JUTNBRYDCJJTHC-CYBMUJFWSA-N 1 2 266.335 3.918 20 0 CHADLO CC1(F)CC[NH+](CN2c3cccc4cccc(c34)C2=O)CC1 ZINC000872690169 1124724880 /nfs/dbraw/zinc/72/48/80/1124724880.db2.gz DBEOVRULPKQZGG-UHFFFAOYSA-N 1 2 298.361 3.582 20 0 CHADLO CCOc1cccc(F)c1C[NH2+]Cc1ccccc1OC ZINC000873006682 1124734174 /nfs/dbraw/zinc/73/41/74/1124734174.db2.gz OWSZENMATHSHAW-UHFFFAOYSA-N 1 2 289.350 3.523 20 0 CHADLO CCOc1cc(C)c(-c2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001238977248 1131283205 /nfs/dbraw/zinc/28/32/05/1131283205.db2.gz ZGFSFORQWMWZDD-UHFFFAOYSA-N 1 2 279.343 3.641 20 0 CHADLO Cc1cc(C[NH2+][C@@H](Cc2ccccc2C)c2ccco2)on1 ZINC000820810011 1131302281 /nfs/dbraw/zinc/30/22/81/1131302281.db2.gz NAWQSNSHAFJULF-KRWDZBQOSA-N 1 2 296.370 3.958 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]Cc2cc3cc(F)ccc3o2)c2nccn21 ZINC000449573754 1124807833 /nfs/dbraw/zinc/80/78/33/1124807833.db2.gz RZHRPFAXIWBXCP-YGRLFVJLSA-N 1 2 285.322 3.564 20 0 CHADLO CCCc1noc(C[NH2+][C@H](CC)c2cc(C)ccc2C)n1 ZINC000528798880 1124809880 /nfs/dbraw/zinc/80/98/80/1124809880.db2.gz HTEPUZGDCSPMAQ-OAHLLOKOSA-N 1 2 287.407 3.880 20 0 CHADLO c1coc([C@@H]2[N@H+](Cc3cccc4c3OCO4)CC23CCC3)c1 ZINC000449631738 1124827386 /nfs/dbraw/zinc/82/73/86/1124827386.db2.gz LJYLDVMWPSOKQE-KRWDZBQOSA-N 1 2 297.354 3.736 20 0 CHADLO c1coc([C@@H]2[N@@H+](Cc3cccc4c3OCO4)CC23CCC3)c1 ZINC000449631738 1124827395 /nfs/dbraw/zinc/82/73/95/1124827395.db2.gz LJYLDVMWPSOKQE-KRWDZBQOSA-N 1 2 297.354 3.736 20 0 CHADLO CC(C)n1cc(C[N@H+](CC(=O)c2ccccc2)C(C)C)cn1 ZINC001137080355 1124831841 /nfs/dbraw/zinc/83/18/41/1124831841.db2.gz DPLZZZTVYZRNGR-UHFFFAOYSA-N 1 2 299.418 3.557 20 0 CHADLO CC(C)n1cc(C[N@@H+](CC(=O)c2ccccc2)C(C)C)cn1 ZINC001137080355 1124831849 /nfs/dbraw/zinc/83/18/49/1124831849.db2.gz DPLZZZTVYZRNGR-UHFFFAOYSA-N 1 2 299.418 3.557 20 0 CHADLO CCCC1(CCC)CCCN1C(=O)CCCn1cc[nH+]c1 ZINC000874626700 1124846061 /nfs/dbraw/zinc/84/60/61/1124846061.db2.gz GITMIXXTUWTRER-UHFFFAOYSA-N 1 2 291.439 3.625 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccc(F)cc2)s1 ZINC000358282099 1124925852 /nfs/dbraw/zinc/92/58/52/1124925852.db2.gz BGLCHTJYHJYJFR-SNVBAGLBSA-N 1 2 279.384 3.651 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000120519181 1124946863 /nfs/dbraw/zinc/94/68/63/1124946863.db2.gz YMXCDJLWCFUDDA-CYBMUJFWSA-N 1 2 268.360 3.749 20 0 CHADLO CCCn1cc(C[N@H+](Cc2ccccc2F)C2CC2)cn1 ZINC000120565826 1124950195 /nfs/dbraw/zinc/95/01/95/1124950195.db2.gz KTMPFCIIQUTMMX-UHFFFAOYSA-N 1 2 287.382 3.597 20 0 CHADLO CCCn1cc(C[N@@H+](Cc2ccccc2F)C2CC2)cn1 ZINC000120565826 1124950202 /nfs/dbraw/zinc/95/02/02/1124950202.db2.gz KTMPFCIIQUTMMX-UHFFFAOYSA-N 1 2 287.382 3.597 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)nn2)cc1 ZINC000876559292 1124958895 /nfs/dbraw/zinc/95/88/95/1124958895.db2.gz XVNCNESOFJMUGG-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)nn2)cc1 ZINC000876559292 1124958904 /nfs/dbraw/zinc/95/89/04/1124958904.db2.gz XVNCNESOFJMUGG-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO Cc1cc(CNC(=O)[C@@H](C)Cc2ccccc2C)cc(C)[nH+]1 ZINC000529497480 1124976533 /nfs/dbraw/zinc/97/65/33/1124976533.db2.gz OQZVCZCICSCBLS-AWEZNQCLSA-N 1 2 296.414 3.502 20 0 CHADLO C[C@H](Nc1cccc(-n2cc[nH+]c2)c1)C1CC(F)(F)C1 ZINC000529515491 1124979291 /nfs/dbraw/zinc/97/92/91/1124979291.db2.gz HGCMWNUTDBODAG-NSHDSACASA-N 1 2 277.318 3.718 20 0 CHADLO C[C@@H](c1ccc(C[N@H+](C)Cc2cc[nH]n2)cc1)C(F)(F)F ZINC000450399342 1125011005 /nfs/dbraw/zinc/01/10/05/1125011005.db2.gz CSIIWGANJHGMKZ-NSHDSACASA-N 1 2 297.324 3.708 20 0 CHADLO C[C@@H](c1ccc(C[N@@H+](C)Cc2cc[nH]n2)cc1)C(F)(F)F ZINC000450399342 1125011013 /nfs/dbraw/zinc/01/10/13/1125011013.db2.gz CSIIWGANJHGMKZ-NSHDSACASA-N 1 2 297.324 3.708 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)[C@H]1CC1(F)F ZINC000877159429 1125015010 /nfs/dbraw/zinc/01/50/10/1125015010.db2.gz FPSJJDAQZKZFJW-GXFFZTMASA-N 1 2 266.335 3.525 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)[C@H]1CC1(F)F ZINC000877159429 1125015018 /nfs/dbraw/zinc/01/50/18/1125015018.db2.gz FPSJJDAQZKZFJW-GXFFZTMASA-N 1 2 266.335 3.525 20 0 CHADLO CSCCC[N@H+](C)Cc1c(Cl)cncc1Cl ZINC000877501506 1125037437 /nfs/dbraw/zinc/03/74/37/1125037437.db2.gz POCAVZWJRUFGSP-UHFFFAOYSA-N 1 2 279.236 3.573 20 0 CHADLO CSCCC[N@@H+](C)Cc1c(Cl)cncc1Cl ZINC000877501506 1125037446 /nfs/dbraw/zinc/03/74/46/1125037446.db2.gz POCAVZWJRUFGSP-UHFFFAOYSA-N 1 2 279.236 3.573 20 0 CHADLO Clc1csc(C[NH+]2CCC3(CCC3)CC2)n1 ZINC000877519075 1125038493 /nfs/dbraw/zinc/03/84/93/1125038493.db2.gz HHDQGMDOIUZYRY-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO Fc1cc(C[N@@H+]2CC3(CCC3)[C@@H]2C2CC2)cnc1Cl ZINC000877538829 1125041278 /nfs/dbraw/zinc/04/12/78/1125041278.db2.gz DZAHGXITSKIMKJ-ZDUSSCGKSA-N 1 2 280.774 3.639 20 0 CHADLO Fc1cc(C[N@H+]2CC3(CCC3)[C@@H]2C2CC2)cnc1Cl ZINC000877538829 1125041286 /nfs/dbraw/zinc/04/12/86/1125041286.db2.gz DZAHGXITSKIMKJ-ZDUSSCGKSA-N 1 2 280.774 3.639 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc3c(c2)CCC3)c(C)[nH+]1 ZINC000121114387 1125048837 /nfs/dbraw/zinc/04/88/37/1125048837.db2.gz WQEMFLHPPZQYEO-UHFFFAOYSA-N 1 2 280.371 3.748 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)Nc3c(C)cc(C)cc3C)ccn12 ZINC001124169780 1131328195 /nfs/dbraw/zinc/32/81/95/1131328195.db2.gz ZCGXSWKZNCXJHV-UHFFFAOYSA-N 1 2 293.370 3.820 20 0 CHADLO CO[C@@H](C)CN(C)c1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450537788 1125055793 /nfs/dbraw/zinc/05/57/93/1125055793.db2.gz XNWBJUROGCHQCD-JTQLQIEISA-N 1 2 298.308 3.725 20 0 CHADLO CC(=O)CCCCCSc1[nH+]cc2ccccn21 ZINC000450700566 1125090583 /nfs/dbraw/zinc/09/05/83/1125090583.db2.gz DSYHELCOBSVFKM-UHFFFAOYSA-N 1 2 262.378 3.576 20 0 CHADLO FC(F)Cn1ccnc1C[N@@H+]1CCC[C@H]1c1cccs1 ZINC000877903126 1125097966 /nfs/dbraw/zinc/09/79/66/1125097966.db2.gz KHSFATHIEHCYKT-NSHDSACASA-N 1 2 297.374 3.547 20 0 CHADLO FC(F)Cn1ccnc1C[N@H+]1CCC[C@H]1c1cccs1 ZINC000877903126 1125097974 /nfs/dbraw/zinc/09/79/74/1125097974.db2.gz KHSFATHIEHCYKT-NSHDSACASA-N 1 2 297.374 3.547 20 0 CHADLO Cc1conc1C[N@@H+](C)Cc1ccc(C(F)(F)F)cc1 ZINC000877905519 1125099625 /nfs/dbraw/zinc/09/96/25/1125099625.db2.gz NHAJGLNKHXNBLS-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1conc1C[N@H+](C)Cc1ccc(C(F)(F)F)cc1 ZINC000877905519 1125099633 /nfs/dbraw/zinc/09/96/33/1125099633.db2.gz NHAJGLNKHXNBLS-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO CO[C@@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C[C@H]1C ZINC000450757127 1125104032 /nfs/dbraw/zinc/10/40/32/1125104032.db2.gz KSZIUJVTWNJWDY-UKRRQHHQSA-N 1 2 291.439 3.585 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2CCCSC(C)(C)C)no1 ZINC000877981107 1125110302 /nfs/dbraw/zinc/11/03/02/1125110302.db2.gz QBZOJTHKKQTEJO-ZDUSSCGKSA-N 1 2 297.468 3.827 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2CCCSC(C)(C)C)no1 ZINC000877981107 1125110305 /nfs/dbraw/zinc/11/03/05/1125110305.db2.gz QBZOJTHKKQTEJO-ZDUSSCGKSA-N 1 2 297.468 3.827 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](C)c2ccc3ccccc3c2)o1 ZINC000053642960 1125111277 /nfs/dbraw/zinc/11/12/77/1125111277.db2.gz NSLONHMCZVXBHM-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](C)c2ccc3ccccc3c2)o1 ZINC000053642960 1125111278 /nfs/dbraw/zinc/11/12/78/1125111278.db2.gz NSLONHMCZVXBHM-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1conc1C[N@H+]1CC[C@H](C)C[C@@H]1c1ccco1 ZINC000878153933 1125125772 /nfs/dbraw/zinc/12/57/72/1125125772.db2.gz ZBHOSDAQJGRVHB-SMDDNHRTSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1conc1C[N@@H+]1CC[C@H](C)C[C@@H]1c1ccco1 ZINC000878153933 1125125774 /nfs/dbraw/zinc/12/57/74/1125125774.db2.gz ZBHOSDAQJGRVHB-SMDDNHRTSA-N 1 2 260.337 3.549 20 0 CHADLO c1[nH+]cn(Cc2ccccc2)c1CSC1CCC1 ZINC000450987707 1125127615 /nfs/dbraw/zinc/12/76/15/1125127615.db2.gz XMKXXXXXZKSYGZ-UHFFFAOYSA-N 1 2 258.390 3.717 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccc(F)cc2)cc1F ZINC001239477992 1131336495 /nfs/dbraw/zinc/33/64/95/1131336495.db2.gz RSPUKYNPEOQPIU-UHFFFAOYSA-N 1 2 265.278 3.833 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2c2cccnc2)nc1Cl ZINC000878309281 1125138288 /nfs/dbraw/zinc/13/82/88/1125138288.db2.gz NTKDMAHYGILYFJ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2c2cccnc2)nc1Cl ZINC000878309281 1125138292 /nfs/dbraw/zinc/13/82/92/1125138292.db2.gz NTKDMAHYGILYFJ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(C)c(Cl)n2)cs1 ZINC000878418250 1125152093 /nfs/dbraw/zinc/15/20/93/1125152093.db2.gz KDSRWGQUTMPGAN-JTQLQIEISA-N 1 2 295.839 3.913 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2c(C)ccc3cc(C)ccc32)n1 ZINC000878416395 1125152117 /nfs/dbraw/zinc/15/21/17/1125152117.db2.gz ACZZXPYGJUJWHS-ZDUSSCGKSA-N 1 2 295.386 3.999 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCC2(F)CCOCC2)c1 ZINC001168129436 1125152840 /nfs/dbraw/zinc/15/28/40/1125152840.db2.gz HYVPRKFVRRNBRQ-UHFFFAOYSA-N 1 2 294.414 3.772 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@H+](Cc2nc3c(o2)CCCC3)C1 ZINC000878428508 1125153173 /nfs/dbraw/zinc/15/31/73/1125153173.db2.gz OUBLTEQAASTWPC-XJKSGUPXSA-N 1 2 297.402 3.532 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@@H+](Cc2nc3c(o2)CCCC3)C1 ZINC000878428508 1125153176 /nfs/dbraw/zinc/15/31/76/1125153176.db2.gz OUBLTEQAASTWPC-XJKSGUPXSA-N 1 2 297.402 3.532 20 0 CHADLO Cc1[nH]c(CN[C@H](C)c2ncc(-c3ccccc3)o2)[nH+]c1C ZINC000878422270 1125154010 /nfs/dbraw/zinc/15/40/10/1125154010.db2.gz IXSFRUPOUVYZEL-CYBMUJFWSA-N 1 2 296.374 3.532 20 0 CHADLO Clc1ccc(Cl)c(C[NH+]2CCSCC2)c1 ZINC000303957801 1125155171 /nfs/dbraw/zinc/15/51/71/1125155171.db2.gz YCCDZXNSODMCBK-UHFFFAOYSA-N 1 2 262.205 3.542 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)[C@H](C)[N@@H+]1CCC=C(C)C1 ZINC000878548733 1125161567 /nfs/dbraw/zinc/16/15/67/1125161567.db2.gz QMFXCVJZTWVKPW-ZDUSSCGKSA-N 1 2 292.810 3.627 20 0 CHADLO Cc1cccc(Cl)c1NC(=O)[C@H](C)[N@H+]1CCC=C(C)C1 ZINC000878548733 1125161570 /nfs/dbraw/zinc/16/15/70/1125161570.db2.gz QMFXCVJZTWVKPW-ZDUSSCGKSA-N 1 2 292.810 3.627 20 0 CHADLO Cc1noc(C[N@@H+](C)Cc2c(C)ccc3cc(C)ccc32)n1 ZINC000878848180 1125181783 /nfs/dbraw/zinc/18/17/83/1125181783.db2.gz JMLUEZFUHTXCSH-UHFFFAOYSA-N 1 2 295.386 3.780 20 0 CHADLO Cc1noc(C[N@H+](C)Cc2c(C)ccc3cc(C)ccc32)n1 ZINC000878848180 1125181786 /nfs/dbraw/zinc/18/17/86/1125181786.db2.gz JMLUEZFUHTXCSH-UHFFFAOYSA-N 1 2 295.386 3.780 20 0 CHADLO Cc1csc([C@H]2CC[N@H+](C/C(Cl)=C/Cl)C2)n1 ZINC000880009825 1125245032 /nfs/dbraw/zinc/24/50/32/1125245032.db2.gz PUGHOWMPYZMLFN-SGRPLGENSA-N 1 2 277.220 3.560 20 0 CHADLO Cc1csc([C@H]2CC[N@@H+](C/C(Cl)=C/Cl)C2)n1 ZINC000880009825 1125245039 /nfs/dbraw/zinc/24/50/39/1125245039.db2.gz PUGHOWMPYZMLFN-SGRPLGENSA-N 1 2 277.220 3.560 20 0 CHADLO c1coc(-c2cc(C[N@@H+]3CCC[C@@H]3c3ccccn3)no2)c1 ZINC000531439965 1125219063 /nfs/dbraw/zinc/21/90/63/1125219063.db2.gz KDNPGMQYHZAGEI-OAHLLOKOSA-N 1 2 295.342 3.667 20 0 CHADLO c1coc(-c2cc(C[N@H+]3CCC[C@@H]3c3ccccn3)no2)c1 ZINC000531439965 1125219068 /nfs/dbraw/zinc/21/90/68/1125219068.db2.gz KDNPGMQYHZAGEI-OAHLLOKOSA-N 1 2 295.342 3.667 20 0 CHADLO C[C@@H]([NH2+]C/C(Cl)=C\Cl)c1cc2cnccc2o1 ZINC000879713856 1125226468 /nfs/dbraw/zinc/22/64/68/1125226468.db2.gz CQWBVRVFSXZIBF-LLIKBPFYSA-N 1 2 271.147 3.797 20 0 CHADLO CC(C)c1cnc(C[N@H+](C)Cc2ccc3cc[nH]c3c2)o1 ZINC000879727007 1125228758 /nfs/dbraw/zinc/22/87/58/1125228758.db2.gz VFQVDYIPZSLJPL-UHFFFAOYSA-N 1 2 283.375 3.911 20 0 CHADLO CC(C)c1cnc(C[N@@H+](C)Cc2ccc3cc[nH]c3c2)o1 ZINC000879727007 1125228761 /nfs/dbraw/zinc/22/87/61/1125228761.db2.gz VFQVDYIPZSLJPL-UHFFFAOYSA-N 1 2 283.375 3.911 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2cccnc2Cl)c1 ZINC000879747958 1125229998 /nfs/dbraw/zinc/22/99/98/1125229998.db2.gz VGJCUWYLLVICLE-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2cccnc2Cl)c1 ZINC000879747958 1125230001 /nfs/dbraw/zinc/23/00/01/1125230001.db2.gz VGJCUWYLLVICLE-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2ncccc2Cl)c1 ZINC000879752907 1125231623 /nfs/dbraw/zinc/23/16/23/1125231623.db2.gz VZOKMNMPBHTFOC-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2ncccc2Cl)c1 ZINC000879752907 1125231626 /nfs/dbraw/zinc/23/16/26/1125231626.db2.gz VZOKMNMPBHTFOC-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO CC1(C)C[C@H](Nc2cccc[nH+]2)c2ccc(F)cc2O1 ZINC000532221745 1125242133 /nfs/dbraw/zinc/24/21/33/1125242133.db2.gz YGWUNBBKDWEHKW-ZDUSSCGKSA-N 1 2 272.323 3.935 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCC[C@H]3c3ccccn3)oc2c1 ZINC000532326360 1125249663 /nfs/dbraw/zinc/24/96/63/1125249663.db2.gz MKFZPKSBMPVZEM-HNNXBMFYSA-N 1 2 297.333 3.699 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCC[C@H]3c3ccccn3)oc2c1 ZINC000532326360 1125249667 /nfs/dbraw/zinc/24/96/67/1125249667.db2.gz MKFZPKSBMPVZEM-HNNXBMFYSA-N 1 2 297.333 3.699 20 0 CHADLO Cc1ccc2sc(C[NH2+][C@H](C)c3nccnc3C)nc2c1 ZINC000880110453 1125251612 /nfs/dbraw/zinc/25/16/12/1125251612.db2.gz QVZNXOYSSQZCNU-GFCCVEGCSA-N 1 2 298.415 3.554 20 0 CHADLO COCCCC[NH2+][C@H](c1cccc(Cl)c1)C(F)F ZINC000880533273 1125277992 /nfs/dbraw/zinc/27/79/92/1125277992.db2.gz BYXRHFRKRFFQTA-GFCCVEGCSA-N 1 2 277.742 3.662 20 0 CHADLO CCOCCC[N@H+](C)[C@@H](CCc1ccccc1)C(F)F ZINC000626135625 1125297829 /nfs/dbraw/zinc/29/78/29/1125297829.db2.gz FGFXUPDAWSHXOL-HNNXBMFYSA-N 1 2 285.378 3.611 20 0 CHADLO CCOCCC[N@@H+](C)[C@@H](CCc1ccccc1)C(F)F ZINC000626135625 1125297831 /nfs/dbraw/zinc/29/78/31/1125297831.db2.gz FGFXUPDAWSHXOL-HNNXBMFYSA-N 1 2 285.378 3.611 20 0 CHADLO CSc1ccc(-c2ccc(-n3c[nH+]c(C)c3C)nn2)cc1 ZINC001239497187 1131347877 /nfs/dbraw/zinc/34/78/77/1131347877.db2.gz YWFDKHKYXCCEEI-UHFFFAOYSA-N 1 2 296.399 3.668 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C3CCC3)cc2)cc[nH+]1 ZINC000191633177 1125325897 /nfs/dbraw/zinc/32/58/97/1125325897.db2.gz YGEBEPOXVSCVDE-UHFFFAOYSA-N 1 2 266.344 3.910 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CCc3cc(Cl)ccc3C2)cn1 ZINC000191611980 1125326018 /nfs/dbraw/zinc/32/60/18/1125326018.db2.gz KBDBDDBSJIYRTP-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1cc(C[N@H+]2CCc3cc(Cl)ccc3C2)cn1 ZINC000191611980 1125326021 /nfs/dbraw/zinc/32/60/21/1125326021.db2.gz KBDBDDBSJIYRTP-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO Cc1ncsc1C[N@@H+]1CCc2cc(Cl)ccc2C1 ZINC000191612626 1125326030 /nfs/dbraw/zinc/32/60/30/1125326030.db2.gz PRKTYPHYMWDDDN-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ncsc1C[N@H+]1CCc2cc(Cl)ccc2C1 ZINC000191612626 1125326033 /nfs/dbraw/zinc/32/60/33/1125326033.db2.gz PRKTYPHYMWDDDN-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](Cc1ncccn1)C1CC1 ZINC000192088403 1125330163 /nfs/dbraw/zinc/33/01/63/1125330163.db2.gz ODSILYWTFQPFSF-LBPRGKRZSA-N 1 2 287.794 3.856 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](Cc1ncccn1)C1CC1 ZINC000192088403 1125330166 /nfs/dbraw/zinc/33/01/66/1125330166.db2.gz ODSILYWTFQPFSF-LBPRGKRZSA-N 1 2 287.794 3.856 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2scnc2C)c1 ZINC000192016172 1125330712 /nfs/dbraw/zinc/33/07/12/1125330712.db2.gz JAVZPPIUGIOTTM-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2scnc2C)c1 ZINC000192016172 1125330714 /nfs/dbraw/zinc/33/07/14/1125330714.db2.gz JAVZPPIUGIOTTM-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO CC(C)CCOc1ccc([C@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000192155280 1125331197 /nfs/dbraw/zinc/33/11/97/1125331197.db2.gz SAXVXAKSWWIEIP-HNNXBMFYSA-N 1 2 299.418 3.752 20 0 CHADLO Fc1c[nH+]ccc1NCc1cccc(-c2ccccn2)c1 ZINC000192150882 1125331571 /nfs/dbraw/zinc/33/15/71/1125331571.db2.gz ZJDWHAQSUOQMBN-UHFFFAOYSA-N 1 2 279.318 3.895 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(SC)cc1 ZINC001239498864 1131349274 /nfs/dbraw/zinc/34/92/74/1131349274.db2.gz NSSMQAJGILOQOU-UHFFFAOYSA-N 1 2 270.357 3.732 20 0 CHADLO Cc1cc(NCC2(C)CC(F)(F)C2)nc(C2CC2)[nH+]1 ZINC000882776712 1125356359 /nfs/dbraw/zinc/35/63/59/1125356359.db2.gz JNWYOFROCUZAGR-UHFFFAOYSA-N 1 2 267.323 3.510 20 0 CHADLO COc1cccc2c(NC3CCC4(COC4)CC3)cc[nH+]c12 ZINC000882995467 1125366600 /nfs/dbraw/zinc/36/66/00/1125366600.db2.gz ZPPWVNNKUYUOHM-UHFFFAOYSA-N 1 2 298.386 3.615 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2ccc(C3CC3)cc2F)n1 ZINC000883126230 1125373000 /nfs/dbraw/zinc/37/30/00/1125373000.db2.gz JVMDXUMOZSFVQP-UHFFFAOYSA-N 1 2 287.382 3.839 20 0 CHADLO CC(C)C1CC[NH+](Cc2c(Cl)nc(Cl)n2C)CC1 ZINC000131120615 1125373875 /nfs/dbraw/zinc/37/38/75/1125373875.db2.gz VQCCGTHYICTPBV-UHFFFAOYSA-N 1 2 290.238 3.595 20 0 CHADLO COc1cccc(CSCc2cc[nH+]c(N(C)C)c2)c1 ZINC000131193152 1125375876 /nfs/dbraw/zinc/37/58/76/1125375876.db2.gz AOMGTKKBQQFEOZ-UHFFFAOYSA-N 1 2 288.416 3.590 20 0 CHADLO Fc1cc(CNc2[nH+]c3ccccc3n2C2CC2)ccn1 ZINC000883219250 1125379382 /nfs/dbraw/zinc/37/93/82/1125379382.db2.gz OWUVUDKRDUEBIP-UHFFFAOYSA-N 1 2 282.322 3.517 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc[nH]n1)c1ccc(Cl)cc1Cl ZINC000041015009 1125388462 /nfs/dbraw/zinc/38/84/62/1125388462.db2.gz DAQBQTXIFFFLIS-CYBMUJFWSA-N 1 2 284.190 3.957 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000883267048 1125389526 /nfs/dbraw/zinc/38/95/26/1125389526.db2.gz RIGYDTKSHVNXCF-WBMJQRKESA-N 1 2 297.402 3.565 20 0 CHADLO C[C@H]([NH2+]C1(c2ncccn2)CCC1)c1cccc(Cl)c1 ZINC000883314142 1125394444 /nfs/dbraw/zinc/39/44/44/1125394444.db2.gz WWGOCPUBRRPDHK-LBPRGKRZSA-N 1 2 287.794 3.860 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cc(C)sc2C)o1 ZINC000230615176 1125395123 /nfs/dbraw/zinc/39/51/23/1125395123.db2.gz HBQITWPCQUCREB-SNVBAGLBSA-N 1 2 264.394 3.766 20 0 CHADLO c1n[nH]c([C@H]([NH2+][C@@H]2C[C@@H]3CCCC[C@H]23)C2CCCCC2)n1 ZINC000883321411 1125396401 /nfs/dbraw/zinc/39/64/01/1125396401.db2.gz BXONQBQOLJUQTD-CAOSSQGBSA-N 1 2 288.439 3.594 20 0 CHADLO CSCc1cnc(CNc2c[nH+]c(C)c(C)c2)s1 ZINC000883341004 1125397908 /nfs/dbraw/zinc/39/79/08/1125397908.db2.gz FOSGMFBFWOUSRB-UHFFFAOYSA-N 1 2 279.434 3.630 20 0 CHADLO Cc1cc(N[C@H](C)c2cccc(O)c2F)c[nH+]c1C ZINC000883342662 1125398319 /nfs/dbraw/zinc/39/83/19/1125398319.db2.gz HJIXJYMFICECLR-LLVKDONJSA-N 1 2 260.312 3.716 20 0 CHADLO Cc1cc(N[C@H](C)c2cnn(C(C)C)c2)c[nH+]c1C ZINC000883342449 1125398434 /nfs/dbraw/zinc/39/84/34/1125398434.db2.gz YLNGJZXFISLTSJ-CYBMUJFWSA-N 1 2 258.369 3.649 20 0 CHADLO Cc1nc([C@H](C)Nc2c[nH+]c(C)c(C)c2)c(C)s1 ZINC000883342334 1125398875 /nfs/dbraw/zinc/39/88/75/1125398875.db2.gz SUWLEUKNMDXDJA-JTQLQIEISA-N 1 2 261.394 3.945 20 0 CHADLO CC(=O)N1CC[C@@H](Nc2c[nH+]c(C)c(C)c2)c2ccccc21 ZINC000883343050 1125398882 /nfs/dbraw/zinc/39/88/82/1125398882.db2.gz YASCMNLYSOAVGT-QGZVFWFLSA-N 1 2 295.386 3.608 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@@H]1F)c1ccco1 ZINC000883376441 1125403959 /nfs/dbraw/zinc/40/39/59/1125403959.db2.gz LDVOXOADIHXIJN-HZUKXOBISA-N 1 2 259.324 3.956 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2CC[C@@H]1F)c1ccc(F)cn1 ZINC000883376425 1125404428 /nfs/dbraw/zinc/40/44/28/1125404428.db2.gz KLSUVWKKIVPLPQ-XNJJOIOASA-N 1 2 288.341 3.897 20 0 CHADLO CSC1(C[N@@H+]2CCO[C@@H](c3cccc(Cl)c3)C2)CC1 ZINC000348226388 1125409670 /nfs/dbraw/zinc/40/96/70/1125409670.db2.gz ZPNUEQIDPIIITK-CQSZACIVSA-N 1 2 297.851 3.609 20 0 CHADLO CSC1(C[N@H+]2CCO[C@@H](c3cccc(Cl)c3)C2)CC1 ZINC000348226388 1125409672 /nfs/dbraw/zinc/40/96/72/1125409672.db2.gz ZPNUEQIDPIIITK-CQSZACIVSA-N 1 2 297.851 3.609 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H]2c3ccccc3NC2(C)C)o1 ZINC000883464395 1125411589 /nfs/dbraw/zinc/41/15/89/1125411589.db2.gz MNTYBOZRTDBKSQ-MRXNPFEDSA-N 1 2 285.391 3.833 20 0 CHADLO Cc1ccc2c(Nc3cncnc3Cl)cccc2[nH+]1 ZINC001212794879 1125413456 /nfs/dbraw/zinc/41/34/56/1125413456.db2.gz AXMUTOYSZVTNNQ-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO Cc1ccc2c(Nc3nn(C)c4ncccc34)cccc2[nH+]1 ZINC001212794919 1125414225 /nfs/dbraw/zinc/41/42/25/1125414225.db2.gz DFIRFEHPEISHAP-UHFFFAOYSA-N 1 2 289.342 3.569 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCc3ccccc3C2)n1 ZINC000532564134 1125414399 /nfs/dbraw/zinc/41/43/99/1125414399.db2.gz POVKOXSOHRGVTF-UHFFFAOYSA-N 1 2 283.419 3.803 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCc3ccccc3C2)n1 ZINC000532564134 1125414401 /nfs/dbraw/zinc/41/44/01/1125414401.db2.gz POVKOXSOHRGVTF-UHFFFAOYSA-N 1 2 283.419 3.803 20 0 CHADLO Cc1ccc2c(Nc3cnc(Cl)cn3)cccc2[nH+]1 ZINC001212795251 1125415155 /nfs/dbraw/zinc/41/51/55/1125415155.db2.gz XFAYMJXLINPWMW-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO Cc1ccc2c(Nc3ccnn3CC3CC3)cccc2[nH+]1 ZINC001212795925 1125418525 /nfs/dbraw/zinc/41/85/25/1125418525.db2.gz JIWXRPGPHZBTOK-UHFFFAOYSA-N 1 2 278.359 3.893 20 0 CHADLO COc1ccccc1[C@@H](C)CC(=O)Nc1cc[nH+]c(C)c1 ZINC000103186463 1125429475 /nfs/dbraw/zinc/42/94/75/1125429475.db2.gz VMZWYMQUZNNPER-LBPRGKRZSA-N 1 2 284.359 3.531 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CC[C@H](C(F)(F)F)[C@H]2C)n1 ZINC000348248771 1125432140 /nfs/dbraw/zinc/43/21/40/1125432140.db2.gz PZQNKMKQQWRVPW-UTLUCORTSA-N 1 2 291.317 3.527 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CC[C@H](C(F)(F)F)[C@H]2C)n1 ZINC000348248771 1125432143 /nfs/dbraw/zinc/43/21/43/1125432143.db2.gz PZQNKMKQQWRVPW-UTLUCORTSA-N 1 2 291.317 3.527 20 0 CHADLO Cc1ccc2c(Nc3ccc4c(c3)CNC4=O)cccc2[nH+]1 ZINC001212797910 1125447940 /nfs/dbraw/zinc/44/79/40/1125447940.db2.gz RJTQMHMJZPFWIQ-UHFFFAOYSA-N 1 2 289.338 3.530 20 0 CHADLO O=C(NC1CCCCCCC1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000029436566 1125455201 /nfs/dbraw/zinc/45/52/01/1125455201.db2.gz RKOUELLLKXKPLX-UHFFFAOYSA-N 1 2 297.402 3.715 20 0 CHADLO CC(C)Cc1noc(C[N@H+](C)[C@H]2CCCc3ccccc32)n1 ZINC000635530314 1129184717 /nfs/dbraw/zinc/18/47/17/1129184717.db2.gz HNGFUTPCNSDRAM-INIZCTEOSA-N 1 2 299.418 3.778 20 0 CHADLO CC(C)Cc1noc(C[N@@H+](C)[C@H]2CCCc3ccccc32)n1 ZINC000635530314 1129184719 /nfs/dbraw/zinc/18/47/19/1129184719.db2.gz HNGFUTPCNSDRAM-INIZCTEOSA-N 1 2 299.418 3.778 20 0 CHADLO CC(C)C[C@H](C(=O)N1CCCC[C@@H]1C(C)C)n1cc[nH+]c1 ZINC000635574774 1129185655 /nfs/dbraw/zinc/18/56/55/1129185655.db2.gz GWSCRUUHTWBOSZ-HZPDHXFCSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1c(F)cccc1NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000635636203 1129187731 /nfs/dbraw/zinc/18/77/31/1129187731.db2.gz YQVGCQKNKMKILK-HNNXBMFYSA-N 1 2 289.354 3.557 20 0 CHADLO CC(C)C[C@@H](C(=O)N1Cc2ccccc2[C@H]1C)n1cc[nH+]c1 ZINC000635639139 1129187743 /nfs/dbraw/zinc/18/77/43/1129187743.db2.gz QMAPVNGELYPOQU-PBHICJAKSA-N 1 2 297.402 3.574 20 0 CHADLO Fc1ccc(C[NH+]2CC(Cc3ccsc3)C2)c(F)c1F ZINC000621741249 1129190413 /nfs/dbraw/zinc/19/04/13/1129190413.db2.gz JLGCUNBXYKUKLI-UHFFFAOYSA-N 1 2 297.345 3.840 20 0 CHADLO CC(C)C[C@H](C(=O)Nc1cccc2cc[nH]c21)n1cc[nH+]c1 ZINC000635816167 1129191557 /nfs/dbraw/zinc/19/15/57/1129191557.db2.gz LCEYVPCCQXMTLS-OAHLLOKOSA-N 1 2 296.374 3.590 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1ccco1 ZINC000163930300 1129204793 /nfs/dbraw/zinc/20/47/93/1129204793.db2.gz ZFAPGAAKFOCXKQ-LBPRGKRZSA-N 1 2 268.316 3.785 20 0 CHADLO c1c(C[NH2+]Cc2ccccc2OCC2CC2)onc1C1CC1 ZINC000638643086 1129264528 /nfs/dbraw/zinc/26/45/28/1129264528.db2.gz KLBLDJPNDIUDNV-UHFFFAOYSA-N 1 2 298.386 3.631 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(F)c(Cl)c2)no1 ZINC000282615978 1129273553 /nfs/dbraw/zinc/27/35/53/1129273553.db2.gz IQSXGGPUTPTWOA-SECBINFHSA-N 1 2 268.719 3.626 20 0 CHADLO Cc1ccc(NCc2ccc(-c3cnn(C)c3)s2)c(C)[nH+]1 ZINC000282647214 1129275131 /nfs/dbraw/zinc/27/51/31/1129275131.db2.gz QFRXSQGSOGEPED-UHFFFAOYSA-N 1 2 298.415 3.773 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(OC(F)(F)F)cc1 ZINC000090207515 1129275132 /nfs/dbraw/zinc/27/51/32/1129275132.db2.gz HPAHWJIKGFZRBK-UHFFFAOYSA-N 1 2 299.296 3.975 20 0 CHADLO C[C@H](CCC1CC1)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000639052077 1129278592 /nfs/dbraw/zinc/27/85/92/1129278592.db2.gz BJCIEJUPCXFANA-DOMZBBRYSA-N 1 2 276.428 3.594 20 0 CHADLO CC(C)O[C@H](C[NH2+]C(C)(C)C(F)F)c1ccccc1 ZINC000639118265 1129283731 /nfs/dbraw/zinc/28/37/31/1129283731.db2.gz CLFMZBQYNXBBBK-CYBMUJFWSA-N 1 2 271.351 3.786 20 0 CHADLO C[C@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)c2nccn21 ZINC000379173994 1129285668 /nfs/dbraw/zinc/28/56/68/1129285668.db2.gz PYGCGFMCWOBSTL-BBRMVZONSA-N 1 2 282.391 3.601 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccccn2)C2CC2)c(F)c1 ZINC000639177951 1129289902 /nfs/dbraw/zinc/28/99/02/1129289902.db2.gz DLBUMVUFKORCKU-UHFFFAOYSA-N 1 2 270.351 3.694 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccccn2)C2CC2)c(F)c1 ZINC000639177951 1129289905 /nfs/dbraw/zinc/28/99/05/1129289905.db2.gz DLBUMVUFKORCKU-UHFFFAOYSA-N 1 2 270.351 3.694 20 0 CHADLO Cc1ncc([C@H](C)[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)c(C)n1 ZINC000639200217 1129292424 /nfs/dbraw/zinc/29/24/24/1129292424.db2.gz WULJUHGXHCEAMR-SUMWQHHRSA-N 1 2 296.418 3.633 20 0 CHADLO Cc1ncc([C@H](C)[N@H+]2CC(C)(C)[C@H]2c2cccnc2)c(C)n1 ZINC000639200217 1129292428 /nfs/dbraw/zinc/29/24/28/1129292428.db2.gz WULJUHGXHCEAMR-SUMWQHHRSA-N 1 2 296.418 3.633 20 0 CHADLO Cc1cn2cc(NC(=O)C=C3CCC(C)CC3)ccc2[nH+]1 ZINC000734102234 1129294229 /nfs/dbraw/zinc/29/42/29/1129294229.db2.gz RMHXVHZXAUKXCT-UHFFFAOYSA-N 1 2 283.375 3.718 20 0 CHADLO Nc1c(F)cccc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000639235522 1129295769 /nfs/dbraw/zinc/29/57/69/1129295769.db2.gz RYEPYSIUFSMBAR-MRXNPFEDSA-N 1 2 286.350 3.728 20 0 CHADLO Nc1c(F)cccc1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000639235522 1129295771 /nfs/dbraw/zinc/29/57/71/1129295771.db2.gz RYEPYSIUFSMBAR-MRXNPFEDSA-N 1 2 286.350 3.728 20 0 CHADLO CCn1nc(C)c(C[N@H+](C)Cc2cccc(C)c2F)c1C ZINC000639361235 1129306983 /nfs/dbraw/zinc/30/69/83/1129306983.db2.gz VAZHCGLIHPNOOP-UHFFFAOYSA-N 1 2 289.398 3.599 20 0 CHADLO CCn1nc(C)c(C[N@@H+](C)Cc2cccc(C)c2F)c1C ZINC000639361235 1129306985 /nfs/dbraw/zinc/30/69/85/1129306985.db2.gz VAZHCGLIHPNOOP-UHFFFAOYSA-N 1 2 289.398 3.599 20 0 CHADLO CC[N@H+](Cc1nc(C2CCC2)no1)Cc1ccccc1C ZINC000639439741 1129314292 /nfs/dbraw/zinc/31/42/92/1129314292.db2.gz HWWNZWKIFYLROM-UHFFFAOYSA-N 1 2 285.391 3.668 20 0 CHADLO CC[N@@H+](Cc1nc(C2CCC2)no1)Cc1ccccc1C ZINC000639439741 1129314296 /nfs/dbraw/zinc/31/42/96/1129314296.db2.gz HWWNZWKIFYLROM-UHFFFAOYSA-N 1 2 285.391 3.668 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nc(C2CCC2)no1)c1ccccc1 ZINC000639439750 1129314642 /nfs/dbraw/zinc/31/46/42/1129314642.db2.gz IAZPHLLOSQSJDZ-INIZCTEOSA-N 1 2 285.391 3.824 20 0 CHADLO CCC(F)(F)C[NH+]1CCC(OCCC(C)C)CC1 ZINC000639453628 1129316849 /nfs/dbraw/zinc/31/68/49/1129316849.db2.gz PBBWENPORZWLFQ-UHFFFAOYSA-N 1 2 263.372 3.559 20 0 CHADLO Cc1cc(F)ccc1C[NH2+]Cc1ncc(Cl)s1 ZINC000719411848 1129334181 /nfs/dbraw/zinc/33/41/81/1129334181.db2.gz IOXCAKBUOATJDB-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO Cc1ccc(F)cc1C[NH2+]Cc1ncc(Cl)s1 ZINC000719413786 1129334513 /nfs/dbraw/zinc/33/45/13/1129334513.db2.gz RFEIYYFEWYPIDO-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC[C@@H]2c2ncccn2)cc1 ZINC000639840060 1129336181 /nfs/dbraw/zinc/33/61/81/1129336181.db2.gz LWGUEBSZSOGVMR-OAHLLOKOSA-N 1 2 285.416 3.536 20 0 CHADLO CSc1ccc(C[N@H+]2CCC[C@@H]2c2ncccn2)cc1 ZINC000639840060 1129336186 /nfs/dbraw/zinc/33/61/86/1129336186.db2.gz LWGUEBSZSOGVMR-OAHLLOKOSA-N 1 2 285.416 3.536 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(CC2CC2)no1)c1cc(C)ccc1C ZINC000639893802 1129338944 /nfs/dbraw/zinc/33/89/44/1129338944.db2.gz KIXFFUDEMXBITC-MRXNPFEDSA-N 1 2 299.418 3.880 20 0 CHADLO CC1(F)CC[NH+](Cc2nc3ccccc3n2C(F)F)CC1 ZINC000640070360 1129347405 /nfs/dbraw/zinc/34/74/05/1129347405.db2.gz DZRVJHNBIDNHHM-UHFFFAOYSA-N 1 2 297.324 3.755 20 0 CHADLO C[C@H]([NH2+]Cc1nc2c(s1)CCC2)c1ccccc1F ZINC000719640987 1129349273 /nfs/dbraw/zinc/34/92/73/1129349273.db2.gz QFNBVMYBYYHSRC-JTQLQIEISA-N 1 2 276.380 3.622 20 0 CHADLO CC(=O)Nc1cccc(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001239531387 1131369128 /nfs/dbraw/zinc/36/91/28/1131369128.db2.gz QKAKWDQHPMSRPE-UHFFFAOYSA-N 1 2 291.354 3.713 20 0 CHADLO Fc1c[nH+]ccc1N1CC[C@@H](C(F)(F)F)C2(CCC2)C1 ZINC000641558402 1129383307 /nfs/dbraw/zinc/38/33/07/1129383307.db2.gz PFBKJKKUVZDMOS-GFCCVEGCSA-N 1 2 288.288 3.780 20 0 CHADLO COCOc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001212899316 1129383525 /nfs/dbraw/zinc/38/35/25/1129383525.db2.gz OGTMEYQILAVERK-UHFFFAOYSA-N 1 2 295.342 3.599 20 0 CHADLO OCc1cc[nH+]c(N[C@@H]2CCCc3sc(Cl)cc32)c1 ZINC000641607839 1129385116 /nfs/dbraw/zinc/38/51/16/1129385116.db2.gz UNTDXNKKILDOKW-LLVKDONJSA-N 1 2 294.807 3.778 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cn1nc(C(C)(C)C)oc1=S ZINC000738224532 1129390436 /nfs/dbraw/zinc/39/04/36/1129390436.db2.gz OEWWRANTBDMRHK-NSHDSACASA-N 1 2 283.441 3.725 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cn1nc(C(C)(C)C)oc1=S ZINC000738224532 1129390439 /nfs/dbraw/zinc/39/04/39/1129390439.db2.gz OEWWRANTBDMRHK-NSHDSACASA-N 1 2 283.441 3.725 20 0 CHADLO CC[N@H+](Cc1c(F)c(F)c(F)c(F)c1F)C1CCC1 ZINC000738314660 1129392534 /nfs/dbraw/zinc/39/25/34/1129392534.db2.gz QQIWXHXUSAKLNP-UHFFFAOYSA-N 1 2 279.252 3.757 20 0 CHADLO CC[N@@H+](Cc1c(F)c(F)c(F)c(F)c1F)C1CCC1 ZINC000738314660 1129392537 /nfs/dbraw/zinc/39/25/37/1129392537.db2.gz QQIWXHXUSAKLNP-UHFFFAOYSA-N 1 2 279.252 3.757 20 0 CHADLO Cc1ccc(C(C)(C)C[NH2+][C@H](C)C(=O)OC(C)(C)C)cc1 ZINC000738417062 1129392936 /nfs/dbraw/zinc/39/29/36/1129392936.db2.gz UYZSRLDXBRRULP-CQSZACIVSA-N 1 2 291.435 3.592 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)C(F)F)ccc1Oc1cccnc1 ZINC000641793235 1129393486 /nfs/dbraw/zinc/39/34/86/1129393486.db2.gz GBZOVODVZVLKFW-GFCCVEGCSA-N 1 2 292.329 3.926 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ccc(CCO)cc1 ZINC001212928135 1129401143 /nfs/dbraw/zinc/40/11/43/1129401143.db2.gz PSQUULKTKNGRMS-UHFFFAOYSA-N 1 2 284.403 3.867 20 0 CHADLO Fc1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001239537197 1131372555 /nfs/dbraw/zinc/37/25/55/1131372555.db2.gz PDWMHQKEMIJHTR-UHFFFAOYSA-N 1 2 256.255 3.818 20 0 CHADLO CC(C)c1cc(C[NH2+][C@H](C)c2ccncc2Cl)on1 ZINC000643346277 1129439349 /nfs/dbraw/zinc/43/93/49/1129439349.db2.gz ZMVFQGVBWVUXQB-SNVBAGLBSA-N 1 2 279.771 3.697 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+]Cc1csc(C)n1 ZINC000643344805 1129439601 /nfs/dbraw/zinc/43/96/01/1129439601.db2.gz WCPFJFGMIYALQV-SECBINFHSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2C(=O)CC2=CCCCC2)[nH+]c1C ZINC001155176037 1129442740 /nfs/dbraw/zinc/44/27/40/1129442740.db2.gz ZROMFQDCYCZYTL-OAHLLOKOSA-N 1 2 287.407 3.581 20 0 CHADLO CCc1c(Cl)nnc(NC(=[NH2+])C(C)(C)C)c1CC ZINC001155181013 1129444828 /nfs/dbraw/zinc/44/48/28/1129444828.db2.gz CNIOOFWBRKKAJR-UHFFFAOYSA-N 1 2 268.792 3.690 20 0 CHADLO COc1ccc(C)cc1C[NH2+]Cc1c(F)cc(C)cc1F ZINC000643744521 1129448575 /nfs/dbraw/zinc/44/85/75/1129448575.db2.gz KJWDBXNHZBQEEA-UHFFFAOYSA-N 1 2 291.341 3.880 20 0 CHADLO COc1cccc(C[NH2+][C@@H]2C[C@]2(F)c2ccccc2)c1F ZINC000643800659 1129450426 /nfs/dbraw/zinc/45/04/26/1129450426.db2.gz PSYQMGGQLYPBCU-WBVHZDCISA-N 1 2 289.325 3.561 20 0 CHADLO Cc1ccc(OCCOc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC000741753031 1129451557 /nfs/dbraw/zinc/45/15/57/1129451557.db2.gz OXFJSXCVJMNXHZ-UHFFFAOYSA-N 1 2 294.354 3.639 20 0 CHADLO C[C@@H](CC(=O)Nc1cccc2[nH+]ccn21)CC(C)(C)C ZINC000741969887 1129463632 /nfs/dbraw/zinc/46/36/32/1129463632.db2.gz PMXPJTQYZLVQGC-LBPRGKRZSA-N 1 2 273.380 3.735 20 0 CHADLO O=C(/C=C/c1ccc(Cl)cc1)Nc1cccc2[nH+]ccn21 ZINC000741969627 1129463738 /nfs/dbraw/zinc/46/37/38/1129463738.db2.gz GECILMZIHRUHTR-RMKNXTFCSA-N 1 2 297.745 3.640 20 0 CHADLO COc1ccccc1-c1ccc(-n2cc[nH+]c2)cc1OC ZINC001239543241 1131376378 /nfs/dbraw/zinc/37/63/78/1131376378.db2.gz SPABRFRIRFZAKG-UHFFFAOYSA-N 1 2 280.327 3.557 20 0 CHADLO Nc1cc(-c2cc3cc[nH]c3c(C(F)(F)F)c2)cc[nH+]1 ZINC001241169633 1129469367 /nfs/dbraw/zinc/46/93/67/1129469367.db2.gz JAIGRJVRVHHIHG-UHFFFAOYSA-N 1 2 277.249 3.831 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)C[C@H]1C ZINC000644544336 1129469520 /nfs/dbraw/zinc/46/95/20/1129469520.db2.gz ZYRXTALUUDOKHW-VDERGJSUSA-N 1 2 290.455 3.840 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](c1ccccc1)C1CCC1 ZINC000180431044 1129475333 /nfs/dbraw/zinc/47/53/33/1129475333.db2.gz QYLGQVGJVPQEKH-PXAZEXFGSA-N 1 2 269.392 3.910 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](C)c1cc(C)cc(C)c1 ZINC000180499839 1129484564 /nfs/dbraw/zinc/48/45/64/1129484564.db2.gz LDTZMSQDEQJBEE-QWHCGFSZSA-N 1 2 257.381 3.747 20 0 CHADLO CC(C)OC1CCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000645165001 1129489417 /nfs/dbraw/zinc/48/94/17/1129489417.db2.gz ZZEHKOFFMTWEIV-UHFFFAOYSA-N 1 2 288.366 3.768 20 0 CHADLO CCc1sc(-c2nnc(C[N@@H+]3C[C@@H](C)[C@@H]3C)o2)cc1C ZINC000645216265 1129492726 /nfs/dbraw/zinc/49/27/26/1129492726.db2.gz QZUDFJZBQXVOCW-MNOVXSKESA-N 1 2 291.420 3.509 20 0 CHADLO CCc1sc(-c2nnc(C[N@H+]3C[C@@H](C)[C@@H]3C)o2)cc1C ZINC000645216265 1129492728 /nfs/dbraw/zinc/49/27/28/1129492728.db2.gz QZUDFJZBQXVOCW-MNOVXSKESA-N 1 2 291.420 3.509 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@H+](C)CC2=CCCC2)cs1 ZINC000645808828 1129507250 /nfs/dbraw/zinc/50/72/50/1129507250.db2.gz SYWWUYVKADBOMX-LBPRGKRZSA-N 1 2 280.437 3.783 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@@H+](C)CC2=CCCC2)cs1 ZINC000645808828 1129507251 /nfs/dbraw/zinc/50/72/51/1129507251.db2.gz SYWWUYVKADBOMX-LBPRGKRZSA-N 1 2 280.437 3.783 20 0 CHADLO CC[C@]1(C)CCC[N@H+](Cn2sc3ccccc3c2=O)C1 ZINC000743388191 1129522251 /nfs/dbraw/zinc/52/22/51/1129522251.db2.gz ODOWXSWRNAMJRO-MRXNPFEDSA-N 1 2 290.432 3.533 20 0 CHADLO CC[C@]1(C)CCC[N@@H+](Cn2sc3ccccc3c2=O)C1 ZINC000743388191 1129522254 /nfs/dbraw/zinc/52/22/54/1129522254.db2.gz ODOWXSWRNAMJRO-MRXNPFEDSA-N 1 2 290.432 3.533 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000646115833 1129522824 /nfs/dbraw/zinc/52/28/24/1129522824.db2.gz IHEIVLAHHPDLOP-RYUDHWBXSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000646115833 1129522826 /nfs/dbraw/zinc/52/28/26/1129522826.db2.gz IHEIVLAHHPDLOP-RYUDHWBXSA-N 1 2 281.346 3.669 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)o1 ZINC000646117105 1129524395 /nfs/dbraw/zinc/52/43/95/1129524395.db2.gz PDMONBUQWUNAOM-BBRMVZONSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)o1 ZINC000646117105 1129524399 /nfs/dbraw/zinc/52/43/99/1129524399.db2.gz PDMONBUQWUNAOM-BBRMVZONSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)nc1 ZINC000646119814 1129524688 /nfs/dbraw/zinc/52/46/88/1129524688.db2.gz XZUAAIAEHTUVSO-GOSISDBHSA-N 1 2 291.398 3.678 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)nc1 ZINC000646119814 1129524691 /nfs/dbraw/zinc/52/46/91/1129524691.db2.gz XZUAAIAEHTUVSO-GOSISDBHSA-N 1 2 291.398 3.678 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)nc1 ZINC000646119815 1129524701 /nfs/dbraw/zinc/52/47/01/1129524701.db2.gz XZUAAIAEHTUVSO-SFHVURJKSA-N 1 2 291.398 3.678 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)nc1 ZINC000646119815 1129524703 /nfs/dbraw/zinc/52/47/03/1129524703.db2.gz XZUAAIAEHTUVSO-SFHVURJKSA-N 1 2 291.398 3.678 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C(C)C)o1)c1csc(C)c1 ZINC000646128455 1129525367 /nfs/dbraw/zinc/52/53/67/1129525367.db2.gz GKMQYKRPISXDJC-LBPRGKRZSA-N 1 2 279.409 3.804 20 0 CHADLO Cc1ccc(C2([NH2+]Cc3nn(C)cc3Cl)CCC2)cc1 ZINC000646130485 1129525395 /nfs/dbraw/zinc/52/53/95/1129525395.db2.gz VGUKEIKOYPBPGI-UHFFFAOYSA-N 1 2 289.810 3.551 20 0 CHADLO CCCCOC(=O)C[N@@H+]1CC[C@@H](C)C[C@H]1c1ccc(C)o1 ZINC000743871383 1129542660 /nfs/dbraw/zinc/54/26/60/1129542660.db2.gz DYUNDGFQVWVQDA-HIFRSBDPSA-N 1 2 293.407 3.704 20 0 CHADLO CCCCOC(=O)C[N@H+]1CC[C@@H](C)C[C@H]1c1ccc(C)o1 ZINC000743871383 1129542662 /nfs/dbraw/zinc/54/26/62/1129542662.db2.gz DYUNDGFQVWVQDA-HIFRSBDPSA-N 1 2 293.407 3.704 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]Cc1csc(Cl)n1 ZINC000828286491 1131381324 /nfs/dbraw/zinc/38/13/24/1131381324.db2.gz AGEOHFIWQHVPRR-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@H]2C[C@@H]2C)o1)c1cc2n(n1)CCCC2 ZINC000647283460 1129564181 /nfs/dbraw/zinc/56/41/81/1129564181.db2.gz AFSBXVWRPQJRNV-XEZPLFJOSA-N 1 2 299.418 3.787 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]C1(c2cccc(C)c2)CCC1 ZINC000647333515 1129570196 /nfs/dbraw/zinc/57/01/96/1129570196.db2.gz TTWJWLCDKBQAFT-ZDUSSCGKSA-N 1 2 269.392 3.757 20 0 CHADLO Cc1ccc2c(c1)O[C@H](C)CN2Cc1c[nH+]cn1C(C)C ZINC000822469808 1131382927 /nfs/dbraw/zinc/38/29/27/1131382927.db2.gz CPFPHKPZSITSPJ-CQSZACIVSA-N 1 2 285.391 3.560 20 0 CHADLO CC(C)C[C@H]1CC[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000744437568 1129577578 /nfs/dbraw/zinc/57/75/78/1129577578.db2.gz VWUDHETYESNVDN-GFCCVEGCSA-N 1 2 296.484 3.564 20 0 CHADLO CC(C)C[C@H]1CC[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000744437568 1129577581 /nfs/dbraw/zinc/57/75/81/1129577581.db2.gz VWUDHETYESNVDN-GFCCVEGCSA-N 1 2 296.484 3.564 20 0 CHADLO CC(C)C[C@H]1CC[N@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000744438128 1129578038 /nfs/dbraw/zinc/57/80/38/1129578038.db2.gz VPPWXCKETUWLBN-GFCCVEGCSA-N 1 2 297.468 3.829 20 0 CHADLO CC(C)C[C@H]1CC[N@@H+](Cn2nc(C(C)(C)C)oc2=S)C1 ZINC000744438128 1129578040 /nfs/dbraw/zinc/57/80/40/1129578040.db2.gz VPPWXCKETUWLBN-GFCCVEGCSA-N 1 2 297.468 3.829 20 0 CHADLO Cc1ncsc1C[NH2+]Cc1coc(-c2ccc(C)cc2)n1 ZINC000744446842 1129578207 /nfs/dbraw/zinc/57/82/07/1129578207.db2.gz DRRBFAMKAXQWNP-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2coc(C)n2)o1 ZINC000647965643 1129590221 /nfs/dbraw/zinc/59/02/21/1129590221.db2.gz DPPREMBQJLYBLK-NHYWBVRUSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2coc(C)n2)o1 ZINC000647965643 1129590224 /nfs/dbraw/zinc/59/02/24/1129590224.db2.gz DPPREMBQJLYBLK-NHYWBVRUSA-N 1 2 274.364 3.858 20 0 CHADLO COc1ccc(C[NH+]2CC(C)(CC(F)F)C2)cc1Cl ZINC000648058560 1129593765 /nfs/dbraw/zinc/59/37/65/1129593765.db2.gz QNAOBRGOSAEFJU-UHFFFAOYSA-N 1 2 289.753 3.826 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2cc(F)cc(Cl)c2)C1 ZINC000648058929 1129593954 /nfs/dbraw/zinc/59/39/54/1129593954.db2.gz LRAWVPLNNABTAU-UHFFFAOYSA-N 1 2 277.717 3.956 20 0 CHADLO Clc1cncc(Cl)c1C[NH+]1CC(c2ccccc2)C1 ZINC001137609185 1131384351 /nfs/dbraw/zinc/38/43/51/1131384351.db2.gz KAZKCKHLPLJEAL-UHFFFAOYSA-N 1 2 293.197 3.988 20 0 CHADLO C[NH+](C)Cc1c(F)cc(C2=CCCCC2)cc1F ZINC001241718785 1129609099 /nfs/dbraw/zinc/60/90/99/1129609099.db2.gz BZWQHHRZVPYYHI-UHFFFAOYSA-N 1 2 251.320 3.984 20 0 CHADLO CC[C@H]1C[C@H](Nc2ccc(-n3c[nH+]c(C)c3C)cc2)CCO1 ZINC000648592376 1129615528 /nfs/dbraw/zinc/61/55/28/1129615528.db2.gz XSMONXMBMGUIDV-AEFFLSMTSA-N 1 2 299.418 3.859 20 0 CHADLO Cc1cc(-c2ccc(-n3cc[nH+]c3)cc2)cc(C)c1N ZINC001241883815 1129626489 /nfs/dbraw/zinc/62/64/89/1129626489.db2.gz QAWCXHBXBMTZBJ-UHFFFAOYSA-N 1 2 263.344 3.738 20 0 CHADLO CCOc1ccc(Cl)c(Nc2c[nH+]ccc2OC)c1 ZINC001212575771 1129627007 /nfs/dbraw/zinc/62/70/07/1129627007.db2.gz WQCRNRGJPPQSFO-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO CCc1cc(Nc2ccc([NH+](CC)CC)cc2)on1 ZINC001212969140 1129638687 /nfs/dbraw/zinc/63/86/87/1129638687.db2.gz OUUKEXIKFSMGQM-UHFFFAOYSA-N 1 2 259.353 3.827 20 0 CHADLO CCc1nc([C@H]2CCCC[N@@H+]2C[C@@H]2CCC(F)(F)C2)no1 ZINC000649252388 1129638976 /nfs/dbraw/zinc/63/89/76/1129638976.db2.gz CGGGHIHJMNRDTP-VXGBXAGGSA-N 1 2 299.365 3.594 20 0 CHADLO CCc1nc([C@H]2CCCC[N@H+]2C[C@@H]2CCC(F)(F)C2)no1 ZINC000649252388 1129638980 /nfs/dbraw/zinc/63/89/80/1129638980.db2.gz CGGGHIHJMNRDTP-VXGBXAGGSA-N 1 2 299.365 3.594 20 0 CHADLO CCCC[N@H+](Cc1cnns1)[C@@H](C)c1ccc(C)o1 ZINC000649269788 1129639200 /nfs/dbraw/zinc/63/92/00/1129639200.db2.gz DFPGCOSFXQYLKB-LBPRGKRZSA-N 1 2 279.409 3.803 20 0 CHADLO CCCC[N@@H+](Cc1cnns1)[C@@H](C)c1ccc(C)o1 ZINC000649269788 1129639204 /nfs/dbraw/zinc/63/92/04/1129639204.db2.gz DFPGCOSFXQYLKB-LBPRGKRZSA-N 1 2 279.409 3.803 20 0 CHADLO CC(C)([NH2+]CC(F)F)c1ccc(OC(F)(F)F)cc1 ZINC000191693139 1129641276 /nfs/dbraw/zinc/64/12/76/1129641276.db2.gz XRZQPDBNKOKZOG-UHFFFAOYSA-N 1 2 283.240 3.675 20 0 CHADLO CCCc1ccccc1-c1cc[nH+]c(N2CCOCC2)c1 ZINC000649442512 1129649913 /nfs/dbraw/zinc/64/99/13/1129649913.db2.gz RVPAYRWOLBWBBZ-UHFFFAOYSA-N 1 2 282.387 3.538 20 0 CHADLO Cc1ccc(-c2c[nH+]c(N(C)C)cc2C)c(F)c1F ZINC001242030464 1129650118 /nfs/dbraw/zinc/65/01/18/1129650118.db2.gz NMKJVNVAUJSWGQ-UHFFFAOYSA-N 1 2 262.303 3.710 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+](C)Cc1cc2n(n1)CCCC2 ZINC000649472446 1129651376 /nfs/dbraw/zinc/65/13/76/1129651376.db2.gz RLPOOJZDCXXHRE-CYBMUJFWSA-N 1 2 287.382 3.552 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1cc2n(n1)CCCC2 ZINC000649472446 1129651379 /nfs/dbraw/zinc/65/13/79/1129651379.db2.gz RLPOOJZDCXXHRE-CYBMUJFWSA-N 1 2 287.382 3.552 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@H](C)n2cc[nH+]c2)c(Cl)c1 ZINC000649501868 1129654414 /nfs/dbraw/zinc/65/44/14/1129654414.db2.gz SNDCDFPNYMZFAX-LBPRGKRZSA-N 1 2 291.782 3.743 20 0 CHADLO CSc1cnc(-c2c[nH+]c(N)cc2C)c(C(F)(F)F)c1 ZINC001242078191 1129655921 /nfs/dbraw/zinc/65/59/21/1129655921.db2.gz FGVJZSREDAOGJS-UHFFFAOYSA-N 1 2 299.321 3.775 20 0 CHADLO CC[N@H+](Cc1cc2n(n1)CCCC2)[C@@H](C)c1cccc(O)c1 ZINC000649547983 1129656380 /nfs/dbraw/zinc/65/63/80/1129656380.db2.gz IDLVDLZOSQHQMZ-AWEZNQCLSA-N 1 2 299.418 3.508 20 0 CHADLO CC[N@@H+](Cc1cc2n(n1)CCCC2)[C@@H](C)c1cccc(O)c1 ZINC000649547983 1129656382 /nfs/dbraw/zinc/65/63/82/1129656382.db2.gz IDLVDLZOSQHQMZ-AWEZNQCLSA-N 1 2 299.418 3.508 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc3n(n2)CCCC3)[C@H](c2ccco2)C1 ZINC000649546663 1129656618 /nfs/dbraw/zinc/65/66/18/1129656618.db2.gz XOCNHPAOGVVNQG-PBHICJAKSA-N 1 2 299.418 3.786 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc3n(n2)CCCC3)[C@H](c2ccco2)C1 ZINC000649546663 1129656620 /nfs/dbraw/zinc/65/66/20/1129656620.db2.gz XOCNHPAOGVVNQG-PBHICJAKSA-N 1 2 299.418 3.786 20 0 CHADLO CCCC(C)(C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000649567746 1129658251 /nfs/dbraw/zinc/65/82/51/1129658251.db2.gz AWKPERJDTFYKGE-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO Oc1ccc(C(F)(F)F)cc1-c1[nH+]ccc2[nH]ccc21 ZINC001242126456 1129659405 /nfs/dbraw/zinc/65/94/05/1129659405.db2.gz LWBOGJWCSUIPLD-UHFFFAOYSA-N 1 2 278.233 3.954 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@H+](CC)[C@@H](C)c1cccc(O)c1 ZINC000746453288 1129664680 /nfs/dbraw/zinc/66/46/80/1129664680.db2.gz GJWSCKPRYUTCHI-KBPBESRZSA-N 1 2 293.407 3.507 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@@H+](CC)[C@@H](C)c1cccc(O)c1 ZINC000746453288 1129664682 /nfs/dbraw/zinc/66/46/82/1129664682.db2.gz GJWSCKPRYUTCHI-KBPBESRZSA-N 1 2 293.407 3.507 20 0 CHADLO COc1c(C)[nH+]c(C2CC2)nc1N[C@H](C)c1ccc(C)cn1 ZINC001168852812 1129676499 /nfs/dbraw/zinc/67/64/99/1129676499.db2.gz VVTJWANNFGXORW-LLVKDONJSA-N 1 2 298.390 3.548 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)nc1 ZINC001168853775 1129676751 /nfs/dbraw/zinc/67/67/51/1129676751.db2.gz IIUKVZKBZVALMH-AWEZNQCLSA-N 1 2 282.391 3.558 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(N(C)C)cc(Cl)[nH+]2)nc1 ZINC001168849529 1129679518 /nfs/dbraw/zinc/67/95/18/1129679518.db2.gz AGCAHJMRHOJYNO-NSHDSACASA-N 1 2 290.798 3.678 20 0 CHADLO Cc1ccc([C@H](C)Nc2[nH+]cccc2-n2cccc2)nc1 ZINC001168851238 1129680273 /nfs/dbraw/zinc/68/02/73/1129680273.db2.gz PSADTDLKCMKUEH-AWEZNQCLSA-N 1 2 278.359 3.749 20 0 CHADLO c1c(CCNc2ccc(OC3CC3)cc2)[nH+]c2ccccn12 ZINC001169004773 1129689484 /nfs/dbraw/zinc/68/94/84/1129689484.db2.gz QXEFAOMHIYBBTA-UHFFFAOYSA-N 1 2 293.370 3.530 20 0 CHADLO CCCc1cccc(CNc2cc(COC)cc[nH+]2)c1 ZINC000650243935 1129702172 /nfs/dbraw/zinc/70/21/72/1129702172.db2.gz VOPLNABQAQDHED-UHFFFAOYSA-N 1 2 270.376 3.793 20 0 CHADLO COCc1cc[nH+]c(NCc2cscc2Cl)c1 ZINC000650243697 1129702569 /nfs/dbraw/zinc/70/25/69/1129702569.db2.gz LUJNCWPGUUUBMS-UHFFFAOYSA-N 1 2 268.769 3.555 20 0 CHADLO Cc1cc(Cl)cc(-c2cc[nH+]c3c2CCN3)c1F ZINC001242227291 1129704075 /nfs/dbraw/zinc/70/40/75/1129704075.db2.gz IEKQTOXCBUDXNZ-UHFFFAOYSA-N 1 2 262.715 3.818 20 0 CHADLO Cc1cc2cc(N[C@H]3CCCC(F)(F)C3)[nH+]cc2[nH]1 ZINC001169215268 1129708538 /nfs/dbraw/zinc/70/85/38/1129708538.db2.gz XBSPZAGEZSCUGY-NSHDSACASA-N 1 2 265.307 3.861 20 0 CHADLO Cn1c[nH+]c2ccc(N[C@@H]3CCCC(F)(F)C3)cc21 ZINC001169213743 1129708760 /nfs/dbraw/zinc/70/87/60/1129708760.db2.gz OLJLAYIOFQCUAB-LLVKDONJSA-N 1 2 265.307 3.563 20 0 CHADLO CCN(C)c1cc(N[C@H]2C[C@@H](C)c3ccccc32)[nH+]cn1 ZINC001169367067 1129720775 /nfs/dbraw/zinc/72/07/75/1129720775.db2.gz RJPFDZDQLVDYRX-DOMZBBRYSA-N 1 2 282.391 3.593 20 0 CHADLO CCN(C)c1cc(N[C@H]2C[C@@H](C)c3ccccc32)nc[nH+]1 ZINC001169367067 1129720778 /nfs/dbraw/zinc/72/07/78/1129720778.db2.gz RJPFDZDQLVDYRX-DOMZBBRYSA-N 1 2 282.391 3.593 20 0 CHADLO c1ccn(CCNc2cc(-c3ccccc3)cc[nH+]2)c1 ZINC001156300068 1129723621 /nfs/dbraw/zinc/72/36/21/1129723621.db2.gz OXDRDZKQWKVFII-UHFFFAOYSA-N 1 2 263.344 3.662 20 0 CHADLO Cc1[nH+]cccc1NCCc1ccc(OC(F)(F)F)cc1 ZINC001169353427 1129723774 /nfs/dbraw/zinc/72/37/74/1129723774.db2.gz ORMNISYPOJVLIL-UHFFFAOYSA-N 1 2 296.292 3.943 20 0 CHADLO CC(C)O[C@@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000651386304 1129738109 /nfs/dbraw/zinc/73/81/09/1129738109.db2.gz IWXVFQUQAURDIC-OAHLLOKOSA-N 1 2 288.366 3.768 20 0 CHADLO CN(C)c1ccc(NCCc2coc3ccccc23)[nH+]c1 ZINC001169599123 1129739734 /nfs/dbraw/zinc/73/97/34/1129739734.db2.gz BINOHRDOSNFZLP-UHFFFAOYSA-N 1 2 281.359 3.548 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CS[C@H](C(C)(C)C)C2)c1 ZINC000652067688 1129757161 /nfs/dbraw/zinc/75/71/61/1129757161.db2.gz DASJYFFLMGFAFF-STQMWFEESA-N 1 2 280.437 3.560 20 0 CHADLO COCc1cc[nH+]c(NC[C@H](C)Cc2ccccc2)c1 ZINC000652068005 1129757690 /nfs/dbraw/zinc/75/76/90/1129757690.db2.gz ONHYQWNUCATTOX-CQSZACIVSA-N 1 2 270.376 3.519 20 0 CHADLO c1ncc(CCNc2[nH+]cccc2-c2ccccc2)s1 ZINC001169862273 1129762339 /nfs/dbraw/zinc/76/23/39/1129762339.db2.gz DCISHMFMVQGBOQ-UHFFFAOYSA-N 1 2 281.384 3.860 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC001239578381 1131395840 /nfs/dbraw/zinc/39/58/40/1131395840.db2.gz LUPWDLDXLNSLOH-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO COCc1cc[nH+]c(N[C@H](CC(C)C)c2ccncc2)c1 ZINC000652331761 1129764171 /nfs/dbraw/zinc/76/41/71/1129764171.db2.gz SHLZAEWHLIPYPP-MRXNPFEDSA-N 1 2 285.391 3.822 20 0 CHADLO Cc1cn2cc(-c3ccc(F)c(F)c3C)ccc2[nH+]1 ZINC001242306843 1129771292 /nfs/dbraw/zinc/77/12/92/1129771292.db2.gz MAYANTLFCSUAFT-UHFFFAOYSA-N 1 2 258.271 3.896 20 0 CHADLO CC(C)c1ccccc1C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000829806221 1129784640 /nfs/dbraw/zinc/78/46/40/1129784640.db2.gz IWKOQPICUVRDRM-UHFFFAOYSA-N 1 2 297.402 3.553 20 0 CHADLO CC(C)c1ccccc1C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000829806221 1129784643 /nfs/dbraw/zinc/78/46/43/1129784643.db2.gz IWKOQPICUVRDRM-UHFFFAOYSA-N 1 2 297.402 3.553 20 0 CHADLO CO[C@H](C[NH+]1Cc2cc(F)c(F)cc2C1)c1ccccc1 ZINC000653831129 1129797395 /nfs/dbraw/zinc/79/73/95/1129797395.db2.gz VJOYVBTUANHNPP-QGZVFWFLSA-N 1 2 289.325 3.668 20 0 CHADLO C[C@@H]1CC[C@]2(CC[N@H+](Cc3csc(Cl)n3)C2)C1 ZINC000828766841 1131398610 /nfs/dbraw/zinc/39/86/10/1131398610.db2.gz WEBCBZUOIJTQDI-MFKMUULPSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@@H]1CC[C@]2(CC[N@@H+](Cc3csc(Cl)n3)C2)C1 ZINC000828766841 1131398612 /nfs/dbraw/zinc/39/86/12/1131398612.db2.gz WEBCBZUOIJTQDI-MFKMUULPSA-N 1 2 270.829 3.809 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1cccc(C)c1F)CC2 ZINC001137678231 1131398977 /nfs/dbraw/zinc/39/89/77/1131398977.db2.gz CXUDZOCOESZXAA-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1cccc(C)c1F)CC2 ZINC001137678231 1131398982 /nfs/dbraw/zinc/39/89/82/1131398982.db2.gz CXUDZOCOESZXAA-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO Fc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cc1F ZINC001239584894 1131399958 /nfs/dbraw/zinc/39/99/58/1131399958.db2.gz YFBARSNMMOTDKE-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@H+](Cc2ncc(Cl)n2C)C1 ZINC000285752115 1129848445 /nfs/dbraw/zinc/84/84/45/1129848445.db2.gz QXYZCUQEUULQHS-OCCSQVGLSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2ncc(Cl)n2C)C1 ZINC000285752115 1129848451 /nfs/dbraw/zinc/84/84/51/1129848451.db2.gz QXYZCUQEUULQHS-OCCSQVGLSA-N 1 2 289.810 3.657 20 0 CHADLO CCC1CCC([NH2+]c2ccc(N3CCOCC3)cc2)CC1 ZINC000020563512 1129849188 /nfs/dbraw/zinc/84/91/88/1129849188.db2.gz LBCZHJZQNNVRHV-UHFFFAOYSA-N 1 2 288.435 3.904 20 0 CHADLO Cc1cc(Cl)ccc1CSCCn1cc[nH+]c1 ZINC000768807976 1129873258 /nfs/dbraw/zinc/87/32/58/1129873258.db2.gz ACBZBTCWWQQSJM-UHFFFAOYSA-N 1 2 266.797 3.778 20 0 CHADLO Cc1cc([C@@H](C)Nc2ccc([NH+]3CCCC3)cc2)nn1C ZINC000800483627 1129878550 /nfs/dbraw/zinc/87/85/50/1129878550.db2.gz KYXFDDZOOYSHDB-CQSZACIVSA-N 1 2 284.407 3.502 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+][C@@H]3CCC[C@H]4C[C@H]43)cc2)CCO1 ZINC000800982636 1129902386 /nfs/dbraw/zinc/90/23/86/1129902386.db2.gz XMAQCROAEDULES-LTCOOKNTSA-N 1 2 286.419 3.512 20 0 CHADLO CC1(C)C[N@H+](Cc2coc(C3CC3)n2)Cc2ccccc21 ZINC000769427198 1129907797 /nfs/dbraw/zinc/90/77/97/1129907797.db2.gz GKRKZMQZRSNAFP-UHFFFAOYSA-N 1 2 282.387 3.845 20 0 CHADLO CC1(C)C[N@@H+](Cc2coc(C3CC3)n2)Cc2ccccc21 ZINC000769427198 1129907800 /nfs/dbraw/zinc/90/78/00/1129907800.db2.gz GKRKZMQZRSNAFP-UHFFFAOYSA-N 1 2 282.387 3.845 20 0 CHADLO CC1=C[C@H](C)C[N@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000801555390 1129926626 /nfs/dbraw/zinc/92/66/26/1129926626.db2.gz MNDRDZHCYPTPFV-VIFPVBQESA-N 1 2 271.191 3.786 20 0 CHADLO CC1=C[C@H](C)C[N@@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000801555390 1129926629 /nfs/dbraw/zinc/92/66/29/1129926629.db2.gz MNDRDZHCYPTPFV-VIFPVBQESA-N 1 2 271.191 3.786 20 0 CHADLO CC[C@@H](c1ccccc1)[N@@H+]1CCc2cc(Cl)nnc2C1 ZINC000829137602 1131413158 /nfs/dbraw/zinc/41/31/58/1131413158.db2.gz YNNIBJPKCQCBOJ-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+]1CCc2cc(Cl)nnc2C1 ZINC000829137602 1131413162 /nfs/dbraw/zinc/41/31/62/1131413162.db2.gz YNNIBJPKCQCBOJ-HNNXBMFYSA-N 1 2 287.794 3.639 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+]Cc1nc2cc(C)ccc2[nH]1 ZINC000769870010 1129930621 /nfs/dbraw/zinc/93/06/21/1129930621.db2.gz BAQROCOVJVMVAO-NSHDSACASA-N 1 2 298.390 3.581 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+]Cc1nc2ccc(C)cc2[nH]1 ZINC000769870010 1129930625 /nfs/dbraw/zinc/93/06/25/1129930625.db2.gz BAQROCOVJVMVAO-NSHDSACASA-N 1 2 298.390 3.581 20 0 CHADLO CC(C)n1c[nH+]cc1/C=C/c1nc(Br)cs1 ZINC000901512177 1129932553 /nfs/dbraw/zinc/93/25/53/1129932553.db2.gz DQOMSAWHGBYDLS-ONEGZZNKSA-N 1 2 298.209 3.853 20 0 CHADLO C[N@H+](CCCOc1ccccc1)C/C(Cl)=C/Cl ZINC000746504049 1129946622 /nfs/dbraw/zinc/94/66/22/1129946622.db2.gz WXJSZNKOTUKALD-BENRWUELSA-N 1 2 274.191 3.706 20 0 CHADLO C[N@@H+](CCCOc1ccccc1)C/C(Cl)=C/Cl ZINC000746504049 1129946624 /nfs/dbraw/zinc/94/66/24/1129946624.db2.gz WXJSZNKOTUKALD-BENRWUELSA-N 1 2 274.191 3.706 20 0 CHADLO CN(C)c1ccc([NH2+]C[C@H]2C[C@@H]2c2ccccc2)cc1 ZINC000770409339 1129946653 /nfs/dbraw/zinc/94/66/53/1129946653.db2.gz ANPRFQMPKDQOHK-CRAIPNDOSA-N 1 2 266.388 3.968 20 0 CHADLO C[NH+](C)c1ccc(NC[C@H]2C[C@@H]2c2ccccc2)cc1 ZINC000770409339 1129946655 /nfs/dbraw/zinc/94/66/55/1129946655.db2.gz ANPRFQMPKDQOHK-CRAIPNDOSA-N 1 2 266.388 3.968 20 0 CHADLO Cc1cc(NCc2ccc(Cl)o2)ccc1[NH+](C)C ZINC000770528294 1129950470 /nfs/dbraw/zinc/95/04/70/1129950470.db2.gz WWHOZSHJKWARRU-UHFFFAOYSA-N 1 2 264.756 3.920 20 0 CHADLO C[C@H]1CCCC[C@@H]1CC(=O)OCc1cccc2[nH+]ccn21 ZINC000770921262 1129963454 /nfs/dbraw/zinc/96/34/54/1129963454.db2.gz OFRFSDXSMJUMJO-UONOGXRCSA-N 1 2 286.375 3.594 20 0 CHADLO Cc1cc(CNc2[nH+]c3ccccc3n2C2CC2)cnc1F ZINC000902066935 1129976946 /nfs/dbraw/zinc/97/69/46/1129976946.db2.gz VXDQZCGJPKGYHO-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO CNc1ccccc1CNc1ccc([NH+](C)C)cc1C ZINC000902078369 1129978484 /nfs/dbraw/zinc/97/84/84/1129978484.db2.gz ATNNASIEBUFRDE-UHFFFAOYSA-N 1 2 269.392 3.715 20 0 CHADLO Cc1cccc(C)c1COC(=O)[C@H](c1ccccc1)[NH+](C)C ZINC000780087558 1129986140 /nfs/dbraw/zinc/98/61/40/1129986140.db2.gz IDZGGODAHXSGMM-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO Cc1sc(/C=C/c2c[nH+]c3ccc(C)cn23)cc1C=O ZINC000771861949 1129991619 /nfs/dbraw/zinc/99/16/19/1129991619.db2.gz XRSVZZHTBNUUER-SNAWJCMRSA-N 1 2 282.368 3.996 20 0 CHADLO COc1ccc([C@H]2CCC[N@@H+]2Cc2coc(C3CC3)n2)cc1 ZINC000771933478 1129996155 /nfs/dbraw/zinc/99/61/55/1129996155.db2.gz KAGAOWXRXBQTIG-QGZVFWFLSA-N 1 2 298.386 3.898 20 0 CHADLO COc1ccc([C@H]2CCC[N@H+]2Cc2coc(C3CC3)n2)cc1 ZINC000771933478 1129996156 /nfs/dbraw/zinc/99/61/56/1129996156.db2.gz KAGAOWXRXBQTIG-QGZVFWFLSA-N 1 2 298.386 3.898 20 0 CHADLO CC(C)c1ccc(-c2nc3c(ccn3C)c[nH+]2)cc1 ZINC001239624334 1130018667 /nfs/dbraw/zinc/01/86/67/1130018667.db2.gz HMLPGRNCKJRUQE-UHFFFAOYSA-N 1 2 251.333 3.759 20 0 CHADLO Cn1cc[nH+]c1CCCOC(=O)C[C@H]1CCCCC1(C)C ZINC000802784549 1130039058 /nfs/dbraw/zinc/03/90/58/1130039058.db2.gz DKWQNPNXJYSBFQ-CQSZACIVSA-N 1 2 292.423 3.502 20 0 CHADLO COc1ccc(OC)c(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001239668063 1130042363 /nfs/dbraw/zinc/04/23/63/1130042363.db2.gz WAZDFEQAILHZPK-UHFFFAOYSA-N 1 2 294.354 3.771 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+]C1C[C@H]2CCC[C@@H]2C1 ZINC000902897968 1130080112 /nfs/dbraw/zinc/08/01/12/1130080112.db2.gz LGOVZLNQEFQKLY-HUUCEWRRSA-N 1 2 286.419 3.514 20 0 CHADLO Cc1nc(NC/C(=C/F)CCc2ccc(F)cc2)cc[nH+]1 ZINC001170056109 1130084964 /nfs/dbraw/zinc/08/49/64/1130084964.db2.gz HXESZXFTYDYEQH-GXDHUFHOSA-N 1 2 289.329 3.822 20 0 CHADLO CCCn1cc([C@H](C)[NH2+][C@@H](C)c2cc3ccccc3o2)nn1 ZINC000902967843 1130093035 /nfs/dbraw/zinc/09/30/35/1130093035.db2.gz ZDWFZRQQYHWJFQ-STQMWFEESA-N 1 2 298.390 3.846 20 0 CHADLO NC(=[NH+]OCc1cc(Cl)ccc1F)c1ccccc1 ZINC000786731489 1130113656 /nfs/dbraw/zinc/11/36/56/1130113656.db2.gz AVIJVWZCAOOESJ-UHFFFAOYSA-N 1 2 278.714 3.526 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H](c2ccccn2)C2CC2)n1 ZINC000903111907 1130115474 /nfs/dbraw/zinc/11/54/74/1130115474.db2.gz DLDHPJJMOSKKAU-MRXNPFEDSA-N 1 2 287.432 3.902 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cscn1)c1cnn(CC2CCC2)c1 ZINC000903199262 1130129151 /nfs/dbraw/zinc/12/91/51/1130129151.db2.gz JLYILQCGJRRUFD-VXGBXAGGSA-N 1 2 290.436 3.552 20 0 CHADLO Nc1cc(-c2ccccc2OCc2ccccc2)cc[nH+]1 ZINC001239830609 1130129823 /nfs/dbraw/zinc/12/98/23/1130129823.db2.gz LWCPCLISPSBUFH-UHFFFAOYSA-N 1 2 276.339 3.910 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccnc2ccccc21 ZINC001239851492 1130134078 /nfs/dbraw/zinc/13/40/78/1130134078.db2.gz NJXRBNRJZJKVPO-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO FC(F)(F)c1nc(Cl)ccc1C[NH+]1CCCCC1 ZINC000787163460 1130135413 /nfs/dbraw/zinc/13/54/13/1130135413.db2.gz VCJIOXGIOJASMP-UHFFFAOYSA-N 1 2 278.705 3.740 20 0 CHADLO C[N@H+](C/C=C\Cl)Cc1cc(Br)ccc1F ZINC000746650991 1130138311 /nfs/dbraw/zinc/13/83/11/1130138311.db2.gz GPMAMECPVOFBBD-DJWKRKHSSA-N 1 2 292.579 3.773 20 0 CHADLO C[N@@H+](C/C=C\Cl)Cc1cc(Br)ccc1F ZINC000746650991 1130138313 /nfs/dbraw/zinc/13/83/13/1130138313.db2.gz GPMAMECPVOFBBD-DJWKRKHSSA-N 1 2 292.579 3.773 20 0 CHADLO CCN(CC)C(=O)c1ccc(-c2cc(C)[nH+]c(C)c2)cc1 ZINC001239888269 1130146574 /nfs/dbraw/zinc/14/65/74/1130146574.db2.gz HIICYOGUDGUOOR-UHFFFAOYSA-N 1 2 282.387 3.847 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cc(C)cnc1C ZINC001239913377 1130152109 /nfs/dbraw/zinc/15/21/09/1130152109.db2.gz LRQQIBLWILHITH-UHFFFAOYSA-N 1 2 279.343 3.560 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000903330314 1130157623 /nfs/dbraw/zinc/15/76/23/1130157623.db2.gz HNFICEFFBIFQGA-SUMWQHHRSA-N 1 2 297.402 3.596 20 0 CHADLO COCc1cccc(-c2ccc(-n3cc[nH+]c3)cc2OC)c1 ZINC001239937951 1130160120 /nfs/dbraw/zinc/16/01/20/1130160120.db2.gz FTGDBPVOJGYXEU-UHFFFAOYSA-N 1 2 294.354 3.694 20 0 CHADLO CC(C)Oc1cccc(-c2ccn3cc[nH+]c3c2)c1 ZINC001239968311 1130177564 /nfs/dbraw/zinc/17/75/64/1130177564.db2.gz OBTLHDLJYFXTRX-UHFFFAOYSA-N 1 2 252.317 3.789 20 0 CHADLO CN(Cc1ccno1)c1[nH+]ccc2cc(Cl)ccc21 ZINC001157348987 1130182262 /nfs/dbraw/zinc/18/22/62/1130182262.db2.gz SLPNCFSMWWUPTB-UHFFFAOYSA-N 1 2 273.723 3.513 20 0 CHADLO c1cn(Cc2ccc(-c3ccc4cncnc4c3)cc2)c[nH+]1 ZINC001239992035 1130183924 /nfs/dbraw/zinc/18/39/24/1130183924.db2.gz SNRLHIVFXDMODA-UHFFFAOYSA-N 1 2 286.338 3.542 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCc3ccc(Cl)nc32)cs1 ZINC000788428821 1130185610 /nfs/dbraw/zinc/18/56/10/1130185610.db2.gz GWCRKWHSJHKXNI-NSHDSACASA-N 1 2 293.823 3.531 20 0 CHADLO COc1ccc(-c2cccn3cc[nH+]c23)cc1Cl ZINC001240032410 1130201030 /nfs/dbraw/zinc/20/10/30/1130201030.db2.gz XHOJVLMCWILQDF-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cc(F)cc2[nH]ccc21 ZINC001240035561 1130201247 /nfs/dbraw/zinc/20/12/47/1130201247.db2.gz AIFGMYOQPQREAT-UHFFFAOYSA-N 1 2 281.290 3.630 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cc(F)cc2[nH]ccc21 ZINC001240037904 1130202062 /nfs/dbraw/zinc/20/20/62/1130202062.db2.gz HHVARMMOSPSZFW-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO CC1=CC[C@H]([NH2+]c2ccc(N3CCO[C@H](C)C3)cc2)CC1 ZINC000788731011 1130203412 /nfs/dbraw/zinc/20/34/12/1130203412.db2.gz ZLYSVCCZJVLSOC-CVEARBPZSA-N 1 2 286.419 3.822 20 0 CHADLO C[C@H](CCO[NH+]=C(N)c1ccccc1)OCc1ccccc1 ZINC000788784447 1130211136 /nfs/dbraw/zinc/21/11/36/1130211136.db2.gz LVGNLGDTZLZCIE-OAHLLOKOSA-N 1 2 298.386 3.529 20 0 CHADLO COc1ccc(F)c(-c2ccc(-n3cc[nH+]c3)cc2OC)c1 ZINC001240120481 1130217163 /nfs/dbraw/zinc/21/71/63/1130217163.db2.gz RUKKHSQVBAOSIG-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO CC[C@H](C)C[N@H+](CC)Cn1nc(C2CC2)sc1=S ZINC000748262405 1130226034 /nfs/dbraw/zinc/22/60/34/1130226034.db2.gz PGQFUGFGOKDBPO-JTQLQIEISA-N 1 2 285.482 3.877 20 0 CHADLO CC[C@H](C)C[N@@H+](CC)Cn1nc(C2CC2)sc1=S ZINC000748262405 1130226038 /nfs/dbraw/zinc/22/60/38/1130226038.db2.gz PGQFUGFGOKDBPO-JTQLQIEISA-N 1 2 285.482 3.877 20 0 CHADLO Fc1ccc2[nH]ccc2c1-c1ccc(Cn2cc[nH+]c2)cn1 ZINC001240209327 1130229866 /nfs/dbraw/zinc/22/98/66/1130229866.db2.gz AZCZJHBBQULUDR-UHFFFAOYSA-N 1 2 292.317 3.614 20 0 CHADLO Cc1ccc2cc(-c3ccc4[nH+]ccn4c3)ncc2c1 ZINC001240214240 1130233816 /nfs/dbraw/zinc/23/38/16/1130233816.db2.gz MCTUTMFTYCMVJL-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1ccc2ccnc(-c3ccc4[nH+]ccn4c3)c2c1 ZINC001240213859 1130233892 /nfs/dbraw/zinc/23/38/92/1130233892.db2.gz HTAPWKRYYOBUSR-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO COc1cc(-c2ccc3[nH+]ccn3c2)nc2ccccc21 ZINC001240213824 1130234135 /nfs/dbraw/zinc/23/41/35/1130234135.db2.gz HOVLPSNSEQSIGS-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1ccc(-c2ccc3n[nH]cc3c2)cc1-n1cc[nH+]c1 ZINC001240215521 1130234400 /nfs/dbraw/zinc/23/44/00/1130234400.db2.gz UBKRXDMTMMIJHR-UHFFFAOYSA-N 1 2 278.290 3.555 20 0 CHADLO C/C=C/C=C\C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000748517082 1130235223 /nfs/dbraw/zinc/23/52/23/1130235223.db2.gz RZXJTVMHJSJGKC-IAROGAJJSA-N 1 2 287.750 3.597 20 0 CHADLO Fc1ccc2ncc(-c3ccc4[nH+]ccn4c3)cc2c1 ZINC001240218102 1130235738 /nfs/dbraw/zinc/23/57/38/1130235738.db2.gz AQMPMAVBDDMLSG-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO c1cn2cc(-c3ccc(OC4CC4)cc3)ccc2[nH+]1 ZINC001240218115 1130236176 /nfs/dbraw/zinc/23/61/76/1130236176.db2.gz BFPCKLIAXPIOIB-UHFFFAOYSA-N 1 2 250.301 3.543 20 0 CHADLO Cc1cc(C(F)(F)F)ncc1-c1ccc2[nH+]ccn2c1 ZINC001240218071 1130236210 /nfs/dbraw/zinc/23/62/10/1130236210.db2.gz ZGJWYPPCMQPVCN-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO CC[C@H](C(=O)OC)c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240218436 1130236590 /nfs/dbraw/zinc/23/65/90/1130236590.db2.gz NOBOKNJWBSWEJW-INIZCTEOSA-N 1 2 294.354 3.668 20 0 CHADLO Fc1cc2[nH]ccc2cc1-c1ccc2[nH+]ccn2c1 ZINC001240218374 1130237595 /nfs/dbraw/zinc/23/75/95/1130237595.db2.gz BTFHTOIQTGXNJF-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO CCOc1ccc(-c2ccc3[nH+]ccn3c2)c(C)c1 ZINC001240218686 1130237603 /nfs/dbraw/zinc/23/76/03/1130237603.db2.gz JVYFDTXYAMLFNW-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO CC[C@@H](C)c1ccccc1OC(=O)CCCn1cc[nH+]c1 ZINC000748604443 1130238112 /nfs/dbraw/zinc/23/81/12/1130238112.db2.gz BMMKEELDNFRIHG-CQSZACIVSA-N 1 2 286.375 3.782 20 0 CHADLO CC1(C)Cc2cc(-c3ccc4[nH+]ccn4c3)ccc2NC1=O ZINC001240220249 1130238345 /nfs/dbraw/zinc/23/83/45/1130238345.db2.gz DZHWEKWMGRTLEG-UHFFFAOYSA-N 1 2 291.354 3.522 20 0 CHADLO Cc1nc(OCC(C)C)ccc1-c1ccc2[nH+]ccn2c1 ZINC001240222066 1130239469 /nfs/dbraw/zinc/23/94/69/1130239469.db2.gz WJBSOBQTXCWRMM-UHFFFAOYSA-N 1 2 281.359 3.740 20 0 CHADLO CC(C)Oc1c(F)cccc1-c1ccc2[nH+]ccn2c1 ZINC001240221956 1130239560 /nfs/dbraw/zinc/23/95/60/1130239560.db2.gz QMVQCPSWQUIQAC-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO CN(C(=O)CCCn1cc[nH+]c1)c1ccc(C(C)(C)C)cc1 ZINC000748630533 1130239827 /nfs/dbraw/zinc/23/98/27/1130239827.db2.gz NPZOLXYDXVVJDQ-UHFFFAOYSA-N 1 2 299.418 3.624 20 0 CHADLO Cc1c(CN2CCCC[C@@H]2C(F)(F)F)[nH+]c2ccccn12 ZINC001137272393 1130277244 /nfs/dbraw/zinc/27/72/44/1130277244.db2.gz UBVKMUSZCZGLDU-CYBMUJFWSA-N 1 2 297.324 3.560 20 0 CHADLO Cc1cc(-c2ccc3c(c2)ncn3C(C)C)c[nH+]c1N ZINC001240371227 1130282377 /nfs/dbraw/zinc/28/23/77/1130282377.db2.gz QNPWAHSCISEPPB-UHFFFAOYSA-N 1 2 266.348 3.570 20 0 CHADLO Cc1csc(C[N@@H+]2CCCC(=O)[C@@H](C)C2)c1Cl ZINC001137287447 1130292924 /nfs/dbraw/zinc/29/29/24/1130292924.db2.gz KQUGICFCQYECBL-VIFPVBQESA-N 1 2 271.813 3.511 20 0 CHADLO Cc1csc(C[N@H+]2CCCC(=O)[C@@H](C)C2)c1Cl ZINC001137287447 1130292930 /nfs/dbraw/zinc/29/29/30/1130292930.db2.gz KQUGICFCQYECBL-VIFPVBQESA-N 1 2 271.813 3.511 20 0 CHADLO Cc1csc(C[N@@H+]2CCC(=O)[C@H](C)CC2)c1Cl ZINC001137287524 1130295779 /nfs/dbraw/zinc/29/57/79/1130295779.db2.gz NMMKQOXLIXIPKF-SECBINFHSA-N 1 2 271.813 3.511 20 0 CHADLO Cc1csc(C[N@H+]2CCC(=O)[C@H](C)CC2)c1Cl ZINC001137287524 1130295784 /nfs/dbraw/zinc/29/57/84/1130295784.db2.gz NMMKQOXLIXIPKF-SECBINFHSA-N 1 2 271.813 3.511 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccnc3[nH]ccc32)cc1 ZINC001240414535 1130298961 /nfs/dbraw/zinc/29/89/61/1130298961.db2.gz UNZDOMAZBQXBBX-UHFFFAOYSA-N 1 2 274.327 3.582 20 0 CHADLO Cc1cc(-c2ccc3occc3c2)c[nH+]c1N1CCOCC1 ZINC001240424088 1130303624 /nfs/dbraw/zinc/30/36/24/1130303624.db2.gz RKKQZDNBKJIFRQ-UHFFFAOYSA-N 1 2 294.354 3.640 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2nc(C)c3ccccc3n2)s1 ZINC000677755892 1130329942 /nfs/dbraw/zinc/32/99/42/1130329942.db2.gz XOXYHCPEWQDERX-NSHDSACASA-N 1 2 298.415 3.554 20 0 CHADLO Cc1csc2nc(C)nc(-c3ccn4cc[nH+]c4c3)c12 ZINC001240503517 1130333571 /nfs/dbraw/zinc/33/35/71/1130333571.db2.gz CPVHQGATLKWTEG-UHFFFAOYSA-N 1 2 280.356 3.623 20 0 CHADLO Cc1cc2ncccc2c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240510151 1130336264 /nfs/dbraw/zinc/33/62/64/1130336264.db2.gz DHKKHPFWOSSMMI-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COCOc1ccc(-c2ccn3cc[nH+]c3c2)c(C)c1C ZINC001240511860 1130336315 /nfs/dbraw/zinc/33/63/15/1130336315.db2.gz KMSOEFHLMMSQDM-UHFFFAOYSA-N 1 2 282.343 3.601 20 0 CHADLO CCOc1cc(F)c(F)cc1-c1ccn2cc[nH+]c2c1 ZINC001240513373 1130337151 /nfs/dbraw/zinc/33/71/51/1130337151.db2.gz OGZXWJPTCPBHGU-UHFFFAOYSA-N 1 2 274.270 3.678 20 0 CHADLO CCc1cc(-c2ccn3cc[nH+]c3c2)ccc1OC ZINC001240518216 1130340878 /nfs/dbraw/zinc/34/08/78/1130340878.db2.gz MDXOGKFTUFRLRY-UHFFFAOYSA-N 1 2 252.317 3.572 20 0 CHADLO Cc1cc2cc(-c3ccn4cc[nH+]c4c3)ccc2cn1 ZINC001240517787 1130341192 /nfs/dbraw/zinc/34/11/92/1130341192.db2.gz FZEYOMNQKWYEAD-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cccc(F)c1C[NH2+]Cc1nccn1-c1ccccc1 ZINC000790974298 1130343458 /nfs/dbraw/zinc/34/34/58/1130343458.db2.gz RYNRTPZEXCSUCY-UHFFFAOYSA-N 1 2 295.361 3.610 20 0 CHADLO Cc1cc(-c2cccc(N3CCCC3)c2)cc(C)[nH+]1 ZINC001240534674 1130347017 /nfs/dbraw/zinc/34/70/17/1130347017.db2.gz FKGQFTGNKOBUFK-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO Cc1c(-c2ccc(N3CCCC3)cc2)ccc2[nH+]ccn21 ZINC001240591282 1130375214 /nfs/dbraw/zinc/37/52/14/1130375214.db2.gz FDRVPBLGLDGGJS-UHFFFAOYSA-N 1 2 277.371 3.910 20 0 CHADLO Clc1cscc1C[N@@H+]1CCOC2(CCCC2)C1 ZINC000678272971 1130386425 /nfs/dbraw/zinc/38/64/25/1130386425.db2.gz GHVHJZIFRPYDMK-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Clc1cscc1C[N@H+]1CCOC2(CCCC2)C1 ZINC000678272971 1130386430 /nfs/dbraw/zinc/38/64/30/1130386430.db2.gz GHVHJZIFRPYDMK-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO C[C@@H]1COCCC[N@@H+]1Cc1cc(Cl)cc(Cl)c1 ZINC000678274596 1130386980 /nfs/dbraw/zinc/38/69/80/1130386980.db2.gz QLMRFNFTFCFCRO-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1COCCC[N@H+]1Cc1cc(Cl)cc(Cl)c1 ZINC000678274596 1130386986 /nfs/dbraw/zinc/38/69/86/1130386986.db2.gz QLMRFNFTFCFCRO-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)o1 ZINC000678278758 1130388081 /nfs/dbraw/zinc/38/80/81/1130388081.db2.gz DOIDJWQZCBKRNN-RDTXWAMCSA-N 1 2 285.387 3.804 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)o1 ZINC000678278758 1130388083 /nfs/dbraw/zinc/38/80/83/1130388083.db2.gz DOIDJWQZCBKRNN-RDTXWAMCSA-N 1 2 285.387 3.804 20 0 CHADLO CCOCCCOc1cc(CC)[nH+]c2ccccc21 ZINC000678356177 1130408340 /nfs/dbraw/zinc/40/83/40/1130408340.db2.gz NUCFKPDMFVSQDV-UHFFFAOYSA-N 1 2 259.349 3.603 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2nc3c(s2)CCC3)o1 ZINC000678497417 1130423892 /nfs/dbraw/zinc/42/38/92/1130423892.db2.gz OSUCBFVLYYEOES-JQWIXIFHSA-N 1 2 288.416 3.638 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cc(F)ccc2O)cc1 ZINC001240698819 1130424809 /nfs/dbraw/zinc/42/48/09/1130424809.db2.gz VOJPLYJHPXLMAT-UHFFFAOYSA-N 1 2 259.280 3.560 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1nc(C)sc1C)c1ccccc1 ZINC000678587857 1130431607 /nfs/dbraw/zinc/43/16/07/1130431607.db2.gz FLGNMWZTVDBAQM-ABAIWWIYSA-N 1 2 290.432 3.798 20 0 CHADLO Cc1ccc2c(C(=O)OCc3cc[nH+]c(N)c3)csc2c1 ZINC000792280935 1130440374 /nfs/dbraw/zinc/44/03/74/1130440374.db2.gz KXHJDDUDYADYCS-UHFFFAOYSA-N 1 2 298.367 3.544 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccn1)c1ccc(SC(F)F)cc1 ZINC000792281854 1130440892 /nfs/dbraw/zinc/44/08/92/1130440892.db2.gz NOOLIYYUYGGJEI-SNVBAGLBSA-N 1 2 295.358 3.642 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cnc(F)c(C)c2)c1 ZINC001243397809 1130449186 /nfs/dbraw/zinc/44/91/86/1130449186.db2.gz FXUJDUMFWGTMOR-UHFFFAOYSA-N 1 2 258.296 3.558 20 0 CHADLO c1ccc([C@@H](CC2CC2)[NH2+]Cc2nnc(C3CC3)o2)cc1 ZINC000678778645 1130453906 /nfs/dbraw/zinc/45/39/06/1130453906.db2.gz YWAXFUNOPQTYEC-OAHLLOKOSA-N 1 2 283.375 3.578 20 0 CHADLO CN(Cc1ccccc1Cl)c1cc[nH+]cc1F ZINC000678788608 1130454583 /nfs/dbraw/zinc/45/45/83/1130454583.db2.gz KHFKPUHRRMCFQT-UHFFFAOYSA-N 1 2 250.704 3.511 20 0 CHADLO COc1ccc([C@H]2CCCN2c2cc[nH+]cc2F)cc1 ZINC000678788722 1130455644 /nfs/dbraw/zinc/45/56/44/1130455644.db2.gz NEAUPPMDYSGMSP-OAHLLOKOSA-N 1 2 272.323 3.571 20 0 CHADLO Cc1cc2c[nH+]c(-c3ccc(C(F)F)cc3)nc2[nH]1 ZINC001240765865 1130461479 /nfs/dbraw/zinc/46/14/79/1130461479.db2.gz YOINPLRCJGFHNQ-UHFFFAOYSA-N 1 2 259.259 3.823 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc(C(F)F)cc1 ZINC001240769231 1130462338 /nfs/dbraw/zinc/46/23/38/1130462338.db2.gz FLUQSWVGOKFEPC-UHFFFAOYSA-N 1 2 274.270 3.948 20 0 CHADLO C[C@@H]1C[C@@H]1[C@H]([NH2+]CC(F)F)c1ccc(Cl)cc1 ZINC000678897604 1130469021 /nfs/dbraw/zinc/46/90/21/1130469021.db2.gz FUIGEGRBULQFSC-YDEJPDAXSA-N 1 2 259.727 3.892 20 0 CHADLO C[C@@H]1C[C@H]1[C@H]([NH2+]CC(F)F)c1ccc(Cl)cc1 ZINC000678897601 1130469289 /nfs/dbraw/zinc/46/92/89/1130469289.db2.gz FUIGEGRBULQFSC-XTWCZFFVSA-N 1 2 259.727 3.892 20 0 CHADLO CC(C)(C)C[C@@H]([NH2+]Cc1csnn1)c1ccc(F)cc1 ZINC000678896899 1130469648 /nfs/dbraw/zinc/46/96/48/1130469648.db2.gz CSDQNHFWCGNADJ-CQSZACIVSA-N 1 2 293.411 3.944 20 0 CHADLO C[C@H](CC1CCC1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000679003935 1130482489 /nfs/dbraw/zinc/48/24/89/1130482489.db2.gz BYKDPNHQTVNEGF-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO CC1(C)CCC[C@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC000679005566 1130482871 /nfs/dbraw/zinc/48/28/71/1130482871.db2.gz WOORSTJJCDRCBY-CQSZACIVSA-N 1 2 259.397 3.672 20 0 CHADLO C[N@H+](Cc1c(Cl)ccc(F)c1Cl)[C@@H]1CCCOC1 ZINC001137359798 1130485257 /nfs/dbraw/zinc/48/52/57/1130485257.db2.gz ZRHWHTKRQIAVBA-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO C[N@@H+](Cc1c(Cl)ccc(F)c1Cl)[C@@H]1CCCOC1 ZINC001137359798 1130485259 /nfs/dbraw/zinc/48/52/59/1130485259.db2.gz ZRHWHTKRQIAVBA-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO COc1ccccc1[C@@H]([NH2+]Cc1cocn1)C1CCCC1 ZINC000679050061 1130487788 /nfs/dbraw/zinc/48/77/88/1130487788.db2.gz WKMMLDWAUJVQAQ-KRWDZBQOSA-N 1 2 286.375 3.704 20 0 CHADLO COc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cc1C ZINC001240864646 1130497105 /nfs/dbraw/zinc/49/71/05/1130497105.db2.gz FVRPZQATANECCI-UHFFFAOYSA-N 1 2 278.355 3.915 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CC[C@H](C)[C@@H](F)C1 ZINC000679220444 1130503761 /nfs/dbraw/zinc/50/37/61/1130503761.db2.gz XZFFAWICHZITPH-GWCFXTLKSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CC[C@H](C)[C@@H](F)C1 ZINC000679220444 1130503763 /nfs/dbraw/zinc/50/37/63/1130503763.db2.gz XZFFAWICHZITPH-GWCFXTLKSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679220447 1130503794 /nfs/dbraw/zinc/50/37/94/1130503794.db2.gz XZFFAWICHZITPH-MFKMUULPSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679220447 1130503796 /nfs/dbraw/zinc/50/37/96/1130503796.db2.gz XZFFAWICHZITPH-MFKMUULPSA-N 1 2 271.763 3.529 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2cccnc2Cl)CC1 ZINC000792933807 1130508669 /nfs/dbraw/zinc/50/86/69/1130508669.db2.gz NDOUGJDXQQQUEV-UHFFFAOYSA-N 1 2 292.732 3.899 20 0 CHADLO Nc1ccc(Cl)cc1-c1ccccc1Cn1cc[nH+]c1 ZINC001243486171 1130513575 /nfs/dbraw/zinc/51/35/75/1130513575.db2.gz QTHCDMSVNCBQHU-UHFFFAOYSA-N 1 2 283.762 3.834 20 0 CHADLO Cc1n[nH]cc1[C@H](C)[NH2+]Cc1coc(-c2ccc(C)cc2)n1 ZINC000679346064 1130517832 /nfs/dbraw/zinc/51/78/32/1130517832.db2.gz SBMJILQXCLMCPE-LBPRGKRZSA-N 1 2 296.374 3.532 20 0 CHADLO COc1cccc(C[N@@H+]2CC[C@H](C)[C@@H](F)C2)c1OC(C)C ZINC000679359955 1130522305 /nfs/dbraw/zinc/52/23/05/1130522305.db2.gz SXPJEKQWZYNHCS-ZFWWWQNUSA-N 1 2 295.398 3.662 20 0 CHADLO COc1cccc(C[N@H+]2CC[C@H](C)[C@@H](F)C2)c1OC(C)C ZINC000679359955 1130522308 /nfs/dbraw/zinc/52/23/08/1130522308.db2.gz SXPJEKQWZYNHCS-ZFWWWQNUSA-N 1 2 295.398 3.662 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cccc2ncccc21 ZINC001240937889 1130524069 /nfs/dbraw/zinc/52/40/69/1130524069.db2.gz FYJQIIREUYVGND-UHFFFAOYSA-N 1 2 275.311 3.787 20 0 CHADLO Cc1cc(N2C[C@H](C(F)(F)F)CC[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000679476904 1130531584 /nfs/dbraw/zinc/53/15/84/1130531584.db2.gz LVSWPRROXPGFRI-CMPLNLGQSA-N 1 2 299.340 3.830 20 0 CHADLO COCc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001240952226 1130532194 /nfs/dbraw/zinc/53/21/94/1130532194.db2.gz JLDYWPWSWCCRMF-UHFFFAOYSA-N 1 2 264.328 3.686 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)cs1 ZINC000679546327 1130538559 /nfs/dbraw/zinc/53/85/59/1130538559.db2.gz OTTPBWGOHVNTHO-ZJUUUORDSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)cs1 ZINC000679546327 1130538563 /nfs/dbraw/zinc/53/85/63/1130538563.db2.gz OTTPBWGOHVNTHO-ZJUUUORDSA-N 1 2 292.370 3.868 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)n1 ZINC000679547012 1130539861 /nfs/dbraw/zinc/53/98/61/1130539861.db2.gz YCUSNTVJAMNEDF-NWDGAFQWSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)n1 ZINC000679547012 1130539866 /nfs/dbraw/zinc/53/98/66/1130539866.db2.gz YCUSNTVJAMNEDF-NWDGAFQWSA-N 1 2 289.345 3.627 20 0 CHADLO Cc1c[nH]c2ncc(-c3ccc(F)c(-n4cc[nH+]c4)c3)cc12 ZINC001240969070 1130543406 /nfs/dbraw/zinc/54/34/06/1130543406.db2.gz LVGKETWOMHECSE-UHFFFAOYSA-N 1 2 292.317 3.863 20 0 CHADLO CN(C)c1cccc(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001240986978 1130553841 /nfs/dbraw/zinc/55/38/41/1130553841.db2.gz UOCIAKLBVQXZEW-UHFFFAOYSA-N 1 2 277.371 3.820 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1ccccc1O ZINC001241013943 1130566620 /nfs/dbraw/zinc/56/66/20/1130566620.db2.gz PTXZNCYPOABGMZ-UHFFFAOYSA-N 1 2 268.360 3.753 20 0 CHADLO COc1c(C)cc(-c2ccn3cc[nH+]c3c2)cc1C ZINC001241016611 1130569717 /nfs/dbraw/zinc/56/97/17/1130569717.db2.gz DKDXXRXTHNJELN-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cc1ccc(C[N@H+](CCO)Cc2cscc2Cl)cc1 ZINC000680002796 1130571267 /nfs/dbraw/zinc/57/12/67/1130571267.db2.gz DKDIYMQBFCLZNY-UHFFFAOYSA-N 1 2 295.835 3.704 20 0 CHADLO Cc1ccc(C[N@@H+](CCO)Cc2cscc2Cl)cc1 ZINC000680002796 1130571271 /nfs/dbraw/zinc/57/12/71/1130571271.db2.gz DKDIYMQBFCLZNY-UHFFFAOYSA-N 1 2 295.835 3.704 20 0 CHADLO CCOc1cc(F)cc(-c2cccc3[nH+]ccn32)c1 ZINC001241025305 1130571685 /nfs/dbraw/zinc/57/16/85/1130571685.db2.gz VNUODYJGSXGVTM-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO Clc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)ccn1 ZINC000758377730 1130609337 /nfs/dbraw/zinc/60/93/37/1130609337.db2.gz CFXWBZTVBYNNEC-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO CC(C)(C)c1ccc(OC2C[NH+](Cc3ccccc3)C2)cn1 ZINC001234180776 1130613863 /nfs/dbraw/zinc/61/38/63/1130613863.db2.gz ZCTBBVXOYMQDBY-UHFFFAOYSA-N 1 2 296.414 3.642 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCCSc1ccccc1 ZINC000758762517 1130624358 /nfs/dbraw/zinc/62/43/58/1130624358.db2.gz RKNYRHXBANFXAI-UHFFFAOYSA-N 1 2 292.429 3.581 20 0 CHADLO COc1cccc2c(NCCc3ccccc3O)cc[nH+]c12 ZINC000811774965 1130643189 /nfs/dbraw/zinc/64/31/89/1130643189.db2.gz VZZYEDGVCGCWDT-UHFFFAOYSA-N 1 2 294.354 3.604 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)cc1 ZINC001234587540 1130659535 /nfs/dbraw/zinc/65/95/35/1130659535.db2.gz BESCCTPOKJKRKX-QKDCVEJESA-N 1 2 273.376 3.862 20 0 CHADLO CCC(=CC(=O)OCc1ccc(-n2cc[nH+]c2)cc1)CC ZINC000759466613 1130660705 /nfs/dbraw/zinc/66/07/05/1130660705.db2.gz UPQGMXCFASAAOV-UHFFFAOYSA-N 1 2 284.359 3.662 20 0 CHADLO CC(C)[N@H+](C)Cc1c(F)cc(F)cc1Br ZINC001234735322 1130676871 /nfs/dbraw/zinc/67/68/71/1130676871.db2.gz WJYQIBDOGWVLBU-UHFFFAOYSA-N 1 2 278.140 3.568 20 0 CHADLO CC(C)[N@@H+](C)Cc1c(F)cc(F)cc1Br ZINC001234735322 1130676874 /nfs/dbraw/zinc/67/68/74/1130676874.db2.gz WJYQIBDOGWVLBU-UHFFFAOYSA-N 1 2 278.140 3.568 20 0 CHADLO Cc1ccc(N(C)Cc2c[nH+]c3c(C)cccn23)cc1 ZINC000780769643 1130690904 /nfs/dbraw/zinc/69/09/04/1130690904.db2.gz XXFRWMNMSBTPDH-UHFFFAOYSA-N 1 2 265.360 3.588 20 0 CHADLO Cc1cc(C)c(-c2cnc(Br)s2)c(C)[nH+]1 ZINC001244907057 1130698413 /nfs/dbraw/zinc/69/84/13/1130698413.db2.gz FMULOGNYMJFPKL-UHFFFAOYSA-N 1 2 283.194 3.893 20 0 CHADLO CCCC1(CCC)CCCN1C(=O)c1cccc2[nH+]ccn21 ZINC001133346088 1130698563 /nfs/dbraw/zinc/69/85/63/1130698563.db2.gz IITLVNOMQFVWSQ-UHFFFAOYSA-N 1 2 299.418 3.909 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@@H+]2Cc2ncc(F)cn2)cc1C ZINC001235014987 1130702020 /nfs/dbraw/zinc/70/20/20/1130702020.db2.gz ZFFVMEUGNGHYIJ-KRWDZBQOSA-N 1 2 299.393 3.960 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@H+]2Cc2ncc(F)cn2)cc1C ZINC001235014987 1130702027 /nfs/dbraw/zinc/70/20/27/1130702027.db2.gz ZFFVMEUGNGHYIJ-KRWDZBQOSA-N 1 2 299.393 3.960 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(-c3ccsc3)cs2)CCC1=O ZINC001235175369 1130718230 /nfs/dbraw/zinc/71/82/30/1130718230.db2.gz IBCINIRMAGMUMO-NSHDSACASA-N 1 2 291.441 3.888 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(-c3ccsc3)cs2)CCC1=O ZINC001235175369 1130718235 /nfs/dbraw/zinc/71/82/35/1130718235.db2.gz IBCINIRMAGMUMO-NSHDSACASA-N 1 2 291.441 3.888 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)C[C@@H]1CCCCC1(C)C ZINC001133455653 1130718686 /nfs/dbraw/zinc/71/86/86/1130718686.db2.gz LXPHSYKUMNJIQQ-AWEZNQCLSA-N 1 2 274.408 3.665 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2nc(Cl)ccc2C(F)(F)F)C1 ZINC001235229683 1130724486 /nfs/dbraw/zinc/72/44/86/1130724486.db2.gz RDMNJECDTKINFX-SECBINFHSA-N 1 2 292.732 3.986 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2nc(Cl)ccc2C(F)(F)F)C1 ZINC001235229683 1130724490 /nfs/dbraw/zinc/72/44/90/1130724490.db2.gz RDMNJECDTKINFX-SECBINFHSA-N 1 2 292.732 3.986 20 0 CHADLO CC(C)(C)OCCO[NH+]=C(N)c1cccc2ccccc21 ZINC000747834005 1130731212 /nfs/dbraw/zinc/73/12/12/1130731212.db2.gz JWGDWMNDTFKTGF-UHFFFAOYSA-N 1 2 286.375 3.501 20 0 CHADLO Cc1cnc(F)c(C[N@H+](C)Cc2cccc(Cl)c2)c1 ZINC001235334468 1130734153 /nfs/dbraw/zinc/73/41/53/1130734153.db2.gz LZCALUYIBMZFRE-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cnc(F)c(C[N@@H+](C)Cc2cccc(Cl)c2)c1 ZINC001235334468 1130734157 /nfs/dbraw/zinc/73/41/57/1130734157.db2.gz LZCALUYIBMZFRE-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cncc(F)c2Cl)c1 ZINC001235490702 1130749331 /nfs/dbraw/zinc/74/93/31/1130749331.db2.gz COWWMFXKYRHLCV-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cncc(F)c2Cl)c1 ZINC001235490702 1130749335 /nfs/dbraw/zinc/74/93/35/1130749335.db2.gz COWWMFXKYRHLCV-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)c1 ZINC001235535593 1130754343 /nfs/dbraw/zinc/75/43/43/1130754343.db2.gz UUNJIUNRWVWCBP-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)c1 ZINC001235535593 1130754349 /nfs/dbraw/zinc/75/43/49/1130754349.db2.gz UUNJIUNRWVWCBP-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)c1Cl ZINC001235584438 1130755477 /nfs/dbraw/zinc/75/54/77/1130755477.db2.gz YSWMRKDJRXTCCF-SNVBAGLBSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1ccnc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)c1Cl ZINC001235584438 1130755480 /nfs/dbraw/zinc/75/54/80/1130755480.db2.gz YSWMRKDJRXTCCF-SNVBAGLBSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCC[C@H]2c2cccnc2)c1Cl ZINC001235577923 1130756502 /nfs/dbraw/zinc/75/65/02/1130756502.db2.gz WLJHTLAEDWCGAQ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccnc(C[N@H+]2CCC[C@H]2c2cccnc2)c1Cl ZINC001235577923 1130756505 /nfs/dbraw/zinc/75/65/05/1130756505.db2.gz WLJHTLAEDWCGAQ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Fc1ccc2nc(C[NH+]3CCC4(CCCC4)CC3)[nH]c2c1 ZINC001235686619 1130769839 /nfs/dbraw/zinc/76/98/39/1130769839.db2.gz ARTSCDPSXFBLLJ-UHFFFAOYSA-N 1 2 287.382 3.858 20 0 CHADLO COc1ncc(C[N@H+](Cc2ccco2)C2CC2)cc1Cl ZINC001235705562 1130771329 /nfs/dbraw/zinc/77/13/29/1130771329.db2.gz LTEFPTQRTUMHOI-UHFFFAOYSA-N 1 2 292.766 3.501 20 0 CHADLO COc1ncc(C[N@@H+](Cc2ccco2)C2CC2)cc1Cl ZINC001235705562 1130771332 /nfs/dbraw/zinc/77/13/32/1130771332.db2.gz LTEFPTQRTUMHOI-UHFFFAOYSA-N 1 2 292.766 3.501 20 0 CHADLO Cc1cc(-c2cc(Cl)ccc2O)c2[nH+]ccn2c1 ZINC001245050453 1130771739 /nfs/dbraw/zinc/77/17/39/1130771739.db2.gz HZXIRXAFAIOYAM-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO Cc1ccc(N(C)Cc2c[nH+]cn2Cc2ccccc2)cc1 ZINC000782488124 1130780365 /nfs/dbraw/zinc/78/03/65/1130780365.db2.gz XKLHCFGDYYHBGW-UHFFFAOYSA-N 1 2 291.398 3.876 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@H](C)C3)cc2)[C@H]1C ZINC000813868140 1130790481 /nfs/dbraw/zinc/79/04/81/1130790481.db2.gz SCVFBYWJRLRHBP-CIBFVHANSA-N 1 2 288.435 3.757 20 0 CHADLO Cc1cc([NH2+][C@H]2CC[C@H]2C)ccc1N1CCSCC1 ZINC000783281223 1130810350 /nfs/dbraw/zinc/81/03/50/1130810350.db2.gz OXAIBNMAJZTKJP-DOMZBBRYSA-N 1 2 276.449 3.759 20 0 CHADLO COc1cnccc1[C@@H](C)[NH2+][C@@H](C)c1cccnc1Cl ZINC000783329290 1130816858 /nfs/dbraw/zinc/81/68/58/1130816858.db2.gz FKIHWAGSMZMTLL-MNOVXSKESA-N 1 2 291.782 3.550 20 0 CHADLO CC(C)CCCCCC(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000747907051 1130833335 /nfs/dbraw/zinc/83/33/35/1130833335.db2.gz LRKZESHIWFULRJ-HNNXBMFYSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1cccc2c(C)cc(N3C4CCC3CC4)[nH+]c12 ZINC001159078377 1130837472 /nfs/dbraw/zinc/83/74/72/1130837472.db2.gz ZDDFVBQWHVIECR-UHFFFAOYSA-N 1 2 252.361 3.983 20 0 CHADLO COc1ccc2[nH+]c(N3C4CCC3CC4)cc(C)c2c1 ZINC001159083094 1130842883 /nfs/dbraw/zinc/84/28/83/1130842883.db2.gz ZMGGVLQNXIXBOJ-UHFFFAOYSA-N 1 2 268.360 3.683 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1ccc(N2CCCOC2=O)cc1 ZINC000814263012 1130854997 /nfs/dbraw/zinc/85/49/97/1130854997.db2.gz ATHIIELWXCGRJN-CYBMUJFWSA-N 1 2 297.358 3.601 20 0 CHADLO C[C@H]1C[N@H+](Cn2nc(C3CC3)sc2=S)CC(C)(C)C1 ZINC000784009245 1130867542 /nfs/dbraw/zinc/86/75/42/1130867542.db2.gz QWJWGKMZMIZSML-SNVBAGLBSA-N 1 2 297.493 3.877 20 0 CHADLO C[C@H]1C[N@@H+](Cn2nc(C3CC3)sc2=S)CC(C)(C)C1 ZINC000784009245 1130867552 /nfs/dbraw/zinc/86/75/52/1130867552.db2.gz QWJWGKMZMIZSML-SNVBAGLBSA-N 1 2 297.493 3.877 20 0 CHADLO Cc1c(-c2cn3cc[nH+]c3cc2C)cnn1-c1ccccc1 ZINC001236401607 1130928781 /nfs/dbraw/zinc/92/87/81/1130928781.db2.gz CYTSBHVWSKRDOG-UHFFFAOYSA-N 1 2 288.354 3.804 20 0 CHADLO Cc1cccc(F)c1C[NH2+]Cc1cccc(O)c1Cl ZINC000815127426 1130960207 /nfs/dbraw/zinc/96/02/07/1130960207.db2.gz SZHATGISTRBTQW-UHFFFAOYSA-N 1 2 279.742 3.783 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(COc3ccccc3)n2)[C@@H]1C ZINC000815163942 1130963891 /nfs/dbraw/zinc/96/38/91/1130963891.db2.gz XUCFNVGARWNLEI-CHWSQXEVSA-N 1 2 288.416 3.562 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(COc3ccccc3)n2)[C@@H]1C ZINC000815163942 1130963895 /nfs/dbraw/zinc/96/38/95/1130963895.db2.gz XUCFNVGARWNLEI-CHWSQXEVSA-N 1 2 288.416 3.562 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001236606399 1130983664 /nfs/dbraw/zinc/98/36/64/1130983664.db2.gz ADEPUNLOVNDACW-BXKDBHETSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001236606399 1130983668 /nfs/dbraw/zinc/98/36/68/1130983668.db2.gz ADEPUNLOVNDACW-BXKDBHETSA-N 1 2 286.188 3.690 20 0 CHADLO O=C(CCCn1cc[nH+]c1)O[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000797406704 1130984786 /nfs/dbraw/zinc/98/47/86/1130984786.db2.gz FPJXQSJDJWMYDC-BZUAXINKSA-N 1 2 290.407 3.565 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC[C@H]2c2ccccn2)n1 ZINC001236639761 1130987175 /nfs/dbraw/zinc/98/71/75/1130987175.db2.gz SFXRAHCEQZLVEZ-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC[C@H]2c2ccccn2)n1 ZINC001236639761 1130987179 /nfs/dbraw/zinc/98/71/79/1130987179.db2.gz SFXRAHCEQZLVEZ-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1c(F)ccc(C[N@H+](C)Cc2ccncc2)c1Cl ZINC001236708418 1130997512 /nfs/dbraw/zinc/99/75/12/1130997512.db2.gz PKCYRLLAFNWAGV-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1c(F)ccc(C[N@@H+](C)Cc2ccncc2)c1Cl ZINC001236708418 1130997514 /nfs/dbraw/zinc/99/75/14/1130997514.db2.gz PKCYRLLAFNWAGV-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COc1cc(C[N@@H+]2CCCC[C@@](C)(F)C2)c(F)cc1F ZINC001236815895 1131013810 /nfs/dbraw/zinc/01/38/10/1131013810.db2.gz BBZNFVBSVDMEQI-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO COc1cc(C[N@H+]2CCCC[C@@](C)(F)C2)c(F)cc1F ZINC001236815895 1131013816 /nfs/dbraw/zinc/01/38/16/1131013816.db2.gz BBZNFVBSVDMEQI-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO Cc1cc(Br)sc1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001236828330 1131017582 /nfs/dbraw/zinc/01/75/82/1131017582.db2.gz FOIGZXKCPYBWFG-RKDXNWHRSA-N 1 2 292.217 3.751 20 0 CHADLO Cc1cc(Br)sc1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001236828330 1131017588 /nfs/dbraw/zinc/01/75/88/1131017588.db2.gz FOIGZXKCPYBWFG-RKDXNWHRSA-N 1 2 292.217 3.751 20 0 CHADLO CN(C)c1cc(CN2CCCCc3sccc32)cc[nH+]1 ZINC000797995374 1131019323 /nfs/dbraw/zinc/01/93/23/1131019323.db2.gz DPYWPRDKQZLTAY-UHFFFAOYSA-N 1 2 287.432 3.552 20 0 CHADLO Cc1cc(-c2ccccc2OC2CCC2)c[nH+]c1N ZINC001245538270 1131026152 /nfs/dbraw/zinc/02/61/52/1131026152.db2.gz ACTLYRYKHTXRQK-UHFFFAOYSA-N 1 2 254.333 3.571 20 0 CHADLO CCCCOC1C[NH+](Cc2cc(O)cc3ccccc32)C1 ZINC001237067324 1131045061 /nfs/dbraw/zinc/04/50/61/1131045061.db2.gz BYNHYEZWQYEWKA-UHFFFAOYSA-N 1 2 285.387 3.546 20 0 CHADLO Cc1c(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)ccc(F)c1F ZINC001237155276 1131059599 /nfs/dbraw/zinc/05/95/99/1131059599.db2.gz QLLRJJALHBZOTR-HIFRSBDPSA-N 1 2 293.357 3.607 20 0 CHADLO Cc1c(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)ccc(F)c1F ZINC001237155276 1131059605 /nfs/dbraw/zinc/05/96/05/1131059605.db2.gz QLLRJJALHBZOTR-HIFRSBDPSA-N 1 2 293.357 3.607 20 0 CHADLO Cc1c(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)ccc(F)c1F ZINC001237155278 1131060327 /nfs/dbraw/zinc/06/03/27/1131060327.db2.gz QLLRJJALHBZOTR-UKRRQHHQSA-N 1 2 293.357 3.607 20 0 CHADLO Cc1c(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)ccc(F)c1F ZINC001237155278 1131060331 /nfs/dbraw/zinc/06/03/31/1131060331.db2.gz QLLRJJALHBZOTR-UKRRQHHQSA-N 1 2 293.357 3.607 20 0 CHADLO Cc1c(C[N@@H+]2CCC[C@@](C)(F)C2)ccc(F)c1F ZINC001237152775 1131061030 /nfs/dbraw/zinc/06/10/30/1131061030.db2.gz ATRFMVRQJHPXGW-CQSZACIVSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(C[N@H+]2CCC[C@@](C)(F)C2)ccc(F)c1F ZINC001237152775 1131061036 /nfs/dbraw/zinc/06/10/36/1131061036.db2.gz ATRFMVRQJHPXGW-CQSZACIVSA-N 1 2 257.299 3.597 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc2nccc(Cl)c2c1 ZINC001237273552 1131074221 /nfs/dbraw/zinc/07/42/21/1131074221.db2.gz SGNOVWRGPDOUSE-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc2nccc(Cl)c2c1 ZINC001237273552 1131074225 /nfs/dbraw/zinc/07/42/25/1131074225.db2.gz SGNOVWRGPDOUSE-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO COc1nc(Cl)ccc1C[NH+]1CC2(C1)CCCCC2 ZINC001237250500 1131075127 /nfs/dbraw/zinc/07/51/27/1131075127.db2.gz BVMGCKXCJHTRBM-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO BrC1=CCC[N@H+](Cc2cc3ccccc3o2)C1 ZINC000799327305 1131080482 /nfs/dbraw/zinc/08/04/82/1131080482.db2.gz OFSHIPYCGOAOPB-UHFFFAOYSA-N 1 2 292.176 3.917 20 0 CHADLO BrC1=CCC[N@@H+](Cc2cc3ccccc3o2)C1 ZINC000799327305 1131080489 /nfs/dbraw/zinc/08/04/89/1131080489.db2.gz OFSHIPYCGOAOPB-UHFFFAOYSA-N 1 2 292.176 3.917 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cnc(-c3ccccc3)o2)C[C@@H]1F ZINC001237362559 1131092357 /nfs/dbraw/zinc/09/23/57/1131092357.db2.gz ABVFGKLZQTXJMQ-GOEBONIOSA-N 1 2 292.329 3.614 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cnc(-c3ccccc3)o2)C[C@@H]1F ZINC001237362559 1131092361 /nfs/dbraw/zinc/09/23/61/1131092361.db2.gz ABVFGKLZQTXJMQ-GOEBONIOSA-N 1 2 292.329 3.614 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2cc3ccccn3n2)cc1 ZINC001237440242 1131096112 /nfs/dbraw/zinc/09/61/12/1131096112.db2.gz ZCJPSPQUTBHMEH-GOSISDBHSA-N 1 2 295.361 3.811 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2cc3ccccn3n2)cc1 ZINC001237440242 1131096118 /nfs/dbraw/zinc/09/61/18/1131096118.db2.gz ZCJPSPQUTBHMEH-GOSISDBHSA-N 1 2 295.361 3.811 20 0 CHADLO CCc1nocc1C[N@@H+]1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000816511945 1131103796 /nfs/dbraw/zinc/10/37/96/1131103796.db2.gz QODBXMZPZNQHFP-MRXNPFEDSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1nocc1C[N@H+]1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000816511945 1131103798 /nfs/dbraw/zinc/10/37/98/1131103798.db2.gz QODBXMZPZNQHFP-MRXNPFEDSA-N 1 2 292.329 3.852 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2CCC3(CC3)C2)cc(Cl)n1 ZINC001237495123 1131106855 /nfs/dbraw/zinc/10/68/55/1131106855.db2.gz ODBOGMHAACGKKV-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2CCC3(CC3)C2)cc(Cl)n1 ZINC001237495123 1131106857 /nfs/dbraw/zinc/10/68/57/1131106857.db2.gz ODBOGMHAACGKKV-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO Cc1cc(C[N@@H+]2CCC=C(Br)C2)ccc1F ZINC000799735812 1131106968 /nfs/dbraw/zinc/10/69/68/1131106968.db2.gz LILRSKNHYDMNHA-UHFFFAOYSA-N 1 2 284.172 3.619 20 0 CHADLO Cc1cc(C[N@H+]2CCC=C(Br)C2)ccc1F ZINC000799735812 1131106970 /nfs/dbraw/zinc/10/69/70/1131106970.db2.gz LILRSKNHYDMNHA-UHFFFAOYSA-N 1 2 284.172 3.619 20 0 CHADLO Fc1cccc2c(C[N@@H+]3Cc4ccncc4C3)cccc12 ZINC001237516729 1131108447 /nfs/dbraw/zinc/10/84/47/1131108447.db2.gz VMDPGTGQTKTTFJ-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1cccc2c(C[N@H+]3Cc4ccncc4C3)cccc12 ZINC001237516729 1131108451 /nfs/dbraw/zinc/10/84/51/1131108451.db2.gz VMDPGTGQTKTTFJ-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO COc1c(C)cccc1C[N@@H+]1Cc2ccc(F)cc2C1 ZINC001237512662 1131108533 /nfs/dbraw/zinc/10/85/33/1131108533.db2.gz GFAVHZOPRBPPIF-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1c(C)cccc1C[N@H+]1Cc2ccc(F)cc2C1 ZINC001237512662 1131108535 /nfs/dbraw/zinc/10/85/35/1131108535.db2.gz GFAVHZOPRBPPIF-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(Cl)nc(Cl)c2O)CC1 ZINC001237517940 1131109637 /nfs/dbraw/zinc/10/96/37/1131109637.db2.gz GGPMNMORRJUJSZ-VIFPVBQESA-N 1 2 289.206 3.716 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(Cl)nc(Cl)c2O)CC1 ZINC001237517940 1131109641 /nfs/dbraw/zinc/10/96/41/1131109641.db2.gz GGPMNMORRJUJSZ-VIFPVBQESA-N 1 2 289.206 3.716 20 0 CHADLO Nc1cc(CSc2cccc(C(F)(F)F)c2)cc[nH+]1 ZINC000799866978 1131109646 /nfs/dbraw/zinc/10/96/46/1131109646.db2.gz CHXUKFDPYKAQJO-UHFFFAOYSA-N 1 2 284.306 3.975 20 0 CHADLO CC[C@H]1COCC[N@@H+]1Cc1cc(C)cc(C)c1Cl ZINC001237700491 1131130242 /nfs/dbraw/zinc/13/02/42/1131130242.db2.gz DTAGYEYKGHCKEG-AWEZNQCLSA-N 1 2 267.800 3.568 20 0 CHADLO CC[C@H]1COCC[N@H+]1Cc1cc(C)cc(C)c1Cl ZINC001237700491 1131130244 /nfs/dbraw/zinc/13/02/44/1131130244.db2.gz DTAGYEYKGHCKEG-AWEZNQCLSA-N 1 2 267.800 3.568 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nccs2)ccc1OC(C)C ZINC001237729151 1131134146 /nfs/dbraw/zinc/13/41/46/1131134146.db2.gz YGEFKLJCGXOHFB-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nccs2)ccc1OC(C)C ZINC001237729151 1131134149 /nfs/dbraw/zinc/13/41/49/1131134149.db2.gz YGEFKLJCGXOHFB-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cc1c(Cl)cccc1C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C ZINC001237734689 1131135359 /nfs/dbraw/zinc/13/53/59/1131135359.db2.gz HSZWAPLCQYDNAB-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO Cc1c(Cl)cccc1C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C ZINC001237734689 1131135365 /nfs/dbraw/zinc/13/53/65/1131135365.db2.gz HSZWAPLCQYDNAB-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO CCc1cc(C[NH+]2CC(C(F)F)C2)ccc1Cl ZINC001237741869 1131136125 /nfs/dbraw/zinc/13/61/25/1131136125.db2.gz NBVBLLPKOTXQCZ-UHFFFAOYSA-N 1 2 259.727 3.599 20 0 CHADLO Cc1cccc(F)c1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001116245519 1131136940 /nfs/dbraw/zinc/13/69/40/1131136940.db2.gz KDOKAAPAFKWHEZ-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO F[C@@H]1CC[N@H+](Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237769916 1131139674 /nfs/dbraw/zinc/13/96/74/1131139674.db2.gz HZXJXKQKHOWFGM-GFCCVEGCSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@@H]1CC[N@@H+](Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237769916 1131139678 /nfs/dbraw/zinc/13/96/78/1131139678.db2.gz HZXJXKQKHOWFGM-GFCCVEGCSA-N 1 2 280.730 3.539 20 0 CHADLO Cc1cc(N(C)CCC(F)(F)F)[nH+]c2ccccc12 ZINC001116465388 1131148894 /nfs/dbraw/zinc/14/88/94/1131148894.db2.gz LRGCUXAUKNXWKO-UHFFFAOYSA-N 1 2 268.282 3.932 20 0 CHADLO Cc1ccc(N[C@H](C)c2ccc([S@](C)=O)cc2)c(C)[nH+]1 ZINC001116496133 1131151478 /nfs/dbraw/zinc/15/14/78/1131151478.db2.gz SMQNAQSIHUGEIZ-ODXCJYRJSA-N 1 2 288.416 3.609 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(F)cc(Br)c2F)C1 ZINC001238070603 1131167948 /nfs/dbraw/zinc/16/79/48/1131167948.db2.gz HZHAOKIYWAWQLI-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(F)cc(Br)c2F)C1 ZINC001238070603 1131167950 /nfs/dbraw/zinc/16/79/50/1131167950.db2.gz HZHAOKIYWAWQLI-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[NH+]1CCC2(CCC2)CC1 ZINC001237991679 1131157931 /nfs/dbraw/zinc/15/79/31/1131157931.db2.gz AEDGRJJQLWFHMB-UHFFFAOYSA-N 1 2 289.810 3.982 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)c(Cl)c1)C[C@H]1CC1(C)C ZINC001237996926 1131159419 /nfs/dbraw/zinc/15/94/19/1131159419.db2.gz KDGKFRIOMHYIME-SNVBAGLBSA-N 1 2 271.763 3.663 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)c(Cl)c1)C[C@H]1CC1(C)C ZINC001237996926 1131159422 /nfs/dbraw/zinc/15/94/22/1131159422.db2.gz KDGKFRIOMHYIME-SNVBAGLBSA-N 1 2 271.763 3.663 20 0 CHADLO Clc1ccc2c(c1)n[nH]c2C[NH+]1Cc2ccccc2C1 ZINC001237994192 1131159913 /nfs/dbraw/zinc/15/99/13/1131159913.db2.gz SEFZDSIMXCPAJM-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCC[C@@H]3CCC[C@@H]32)cc1Cl ZINC001237997632 1131160265 /nfs/dbraw/zinc/16/02/65/1131160265.db2.gz NFMBWCXUPAPIEE-FZMZJTMJSA-N 1 2 283.774 3.949 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)c(O)c(Cl)c2)C2(CC2)C1 ZINC001237994018 1131160619 /nfs/dbraw/zinc/16/06/19/1131160619.db2.gz YZJBIYPAAIXVPR-VIFPVBQESA-N 1 2 269.747 3.559 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(F)c(O)c(Cl)c2)C2(CC2)C1 ZINC001237994018 1131160623 /nfs/dbraw/zinc/16/06/23/1131160623.db2.gz YZJBIYPAAIXVPR-VIFPVBQESA-N 1 2 269.747 3.559 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(F)cc2Cl)Cc2ccccc21 ZINC001238017064 1131162898 /nfs/dbraw/zinc/16/28/98/1131162898.db2.gz JOGYDQDDNCVWOV-NSHDSACASA-N 1 2 290.769 3.993 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(F)cc2Cl)Cc2ccccc21 ZINC001238017064 1131162901 /nfs/dbraw/zinc/16/29/01/1131162901.db2.gz JOGYDQDDNCVWOV-NSHDSACASA-N 1 2 290.769 3.993 20 0 CHADLO Cc1ccc([C@@H](O)CNc2cc(C)c3ccccc3[nH+]2)cc1 ZINC001116792087 1131164648 /nfs/dbraw/zinc/16/46/48/1131164648.db2.gz GUCNOUYZGFHOLB-SFHVURJKSA-N 1 2 292.382 3.997 20 0 CHADLO Cc1cc(CNC(=S)Nc2ccc(C)c(C)c2)cc(C)[nH+]1 ZINC000817559834 1131168556 /nfs/dbraw/zinc/16/85/56/1131168556.db2.gz CYSBIKKCVHSRGJ-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2cn[nH]c2c1 ZINC001159662903 1131169801 /nfs/dbraw/zinc/16/98/01/1131169801.db2.gz KMBRUXSMNLPWCY-UHFFFAOYSA-N 1 2 264.332 3.887 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCc3cccnc3CC2)cc1 ZINC000817581779 1131172847 /nfs/dbraw/zinc/17/28/47/1131172847.db2.gz VIBBOTLUZZPUPR-OAHLLOKOSA-N 1 2 281.403 3.507 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Cl)c(O)cc2F)[C@@H](C)C1 ZINC001238150901 1131174541 /nfs/dbraw/zinc/17/45/41/1131174541.db2.gz DFDCLZVUHBHTSH-ZJUUUORDSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Cl)c(O)cc2F)[C@@H](C)C1 ZINC001238150901 1131174543 /nfs/dbraw/zinc/17/45/43/1131174543.db2.gz DFDCLZVUHBHTSH-ZJUUUORDSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(Cl)c(O)cc2F)[C@@H](C)C1 ZINC001238150899 1131175038 /nfs/dbraw/zinc/17/50/38/1131175038.db2.gz DFDCLZVUHBHTSH-UWVGGRQHSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(Cl)c(O)cc2F)[C@@H](C)C1 ZINC001238150899 1131175042 /nfs/dbraw/zinc/17/50/42/1131175042.db2.gz DFDCLZVUHBHTSH-UWVGGRQHSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1c(N)ccnc1F ZINC001238158100 1131175809 /nfs/dbraw/zinc/17/58/09/1131175809.db2.gz BNGIDLLBYOEQEC-JTQLQIEISA-N 1 2 293.773 3.649 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1c(N)ccnc1F ZINC001238158100 1131175813 /nfs/dbraw/zinc/17/58/13/1131175813.db2.gz BNGIDLLBYOEQEC-JTQLQIEISA-N 1 2 293.773 3.649 20 0 CHADLO C[C@H](COC(=O)c1cccc(Cn2cc[nH+]c2)c1)C1CCC1 ZINC000817755583 1131182375 /nfs/dbraw/zinc/18/23/75/1131182375.db2.gz LYELHLJNYVATSH-CQSZACIVSA-N 1 2 298.386 3.524 20 0 CHADLO C[N@H+](CCc1cccs1)Cc1c(F)ccnc1Cl ZINC001238230012 1131186268 /nfs/dbraw/zinc/18/62/68/1131186268.db2.gz JTMBOXHLWYXEJC-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO C[N@@H+](CCc1cccs1)Cc1c(F)ccnc1Cl ZINC001238230012 1131186271 /nfs/dbraw/zinc/18/62/71/1131186271.db2.gz JTMBOXHLWYXEJC-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO COc1ccc(Cl)cc1-c1cc(C)cn2cc[nH+]c12 ZINC001245814072 1131187953 /nfs/dbraw/zinc/18/79/53/1131187953.db2.gz YHYVOVLZROCQCO-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccnc(Cl)c1 ZINC000817948870 1131189119 /nfs/dbraw/zinc/18/91/19/1131189119.db2.gz FCWGVQGBQPMAQQ-SNVBAGLBSA-N 1 2 273.767 3.876 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccnc2)c(F)cc1Cl ZINC001238305047 1131189848 /nfs/dbraw/zinc/18/98/48/1131189848.db2.gz KTQLFZVXMYMSDP-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccnc2)c(F)cc1Cl ZINC001238305047 1131189849 /nfs/dbraw/zinc/18/98/49/1131189849.db2.gz KTQLFZVXMYMSDP-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001238319257 1131190483 /nfs/dbraw/zinc/19/04/83/1131190483.db2.gz SUIGZFFXJFBPOV-YPMHNXCESA-N 1 2 269.335 3.545 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001238319257 1131190485 /nfs/dbraw/zinc/19/04/85/1131190485.db2.gz SUIGZFFXJFBPOV-YPMHNXCESA-N 1 2 269.335 3.545 20 0 CHADLO CCOc1c(Br)cc(C)cc1C[N@H+]1CC[C@@H]1C ZINC001238393836 1131195421 /nfs/dbraw/zinc/19/54/21/1131195421.db2.gz LNVQSCHSJVPIQP-NSHDSACASA-N 1 2 298.224 3.750 20 0 CHADLO CCOc1c(Br)cc(C)cc1C[N@@H+]1CC[C@@H]1C ZINC001238393836 1131195418 /nfs/dbraw/zinc/19/54/18/1131195418.db2.gz LNVQSCHSJVPIQP-NSHDSACASA-N 1 2 298.224 3.750 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]C1(c2ccccc2OC)CCC1 ZINC001117779431 1131199300 /nfs/dbraw/zinc/19/93/00/1131199300.db2.gz LYOURIHIRMQHFG-AWEZNQCLSA-N 1 2 299.418 3.642 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCC[C@](C)(F)C2)c(F)c1 ZINC001238446066 1131201628 /nfs/dbraw/zinc/20/16/28/1131201628.db2.gz YHPZRRWCGZYFGX-INIZCTEOSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCC[C@](C)(F)C2)c(F)c1 ZINC001238446066 1131201634 /nfs/dbraw/zinc/20/16/34/1131201634.db2.gz YHPZRRWCGZYFGX-INIZCTEOSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cc(C[N@@H+]2C[C@@H](F)C[C@H]2C)ccc1F ZINC001238453737 1131202455 /nfs/dbraw/zinc/20/24/55/1131202455.db2.gz DPYMLGQSMAEBTC-YPMHNXCESA-N 1 2 269.335 3.545 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc(F)c(C3CC3)c2)C[C@H]1F ZINC001238497773 1131208528 /nfs/dbraw/zinc/20/85/28/1131208528.db2.gz GVWQMJPHGLXIDB-CVEARBPZSA-N 1 2 283.337 3.975 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc(F)c(C3CC3)c2)C[C@H]1F ZINC001238497773 1131208531 /nfs/dbraw/zinc/20/85/31/1131208531.db2.gz GVWQMJPHGLXIDB-CVEARBPZSA-N 1 2 283.337 3.975 20 0 CHADLO Cc1c(Cl)ccc(C[NH+]2CC(c3cccnc3)C2)c1F ZINC001238515524 1131211693 /nfs/dbraw/zinc/21/16/93/1131211693.db2.gz HYTVNPIUKGVIJH-UHFFFAOYSA-N 1 2 290.769 3.782 20 0 CHADLO Cc1cc(C[NH+]2CCOCC2)ccc1Oc1ccccc1 ZINC001238525382 1131214118 /nfs/dbraw/zinc/21/41/18/1131214118.db2.gz GWXQWNMFJIVCTM-UHFFFAOYSA-N 1 2 283.371 3.620 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1ccc(F)c(-c2ccccc2)c1 ZINC001238560134 1131216766 /nfs/dbraw/zinc/21/67/66/1131216766.db2.gz HUGWZWHWADNZRL-CQSZACIVSA-N 1 2 285.362 3.713 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1ccc(F)c(-c2ccccc2)c1 ZINC001238560134 1131216770 /nfs/dbraw/zinc/21/67/70/1131216770.db2.gz HUGWZWHWADNZRL-CQSZACIVSA-N 1 2 285.362 3.713 20 0 CHADLO Cc1c[nH+]c(CCNc2nc3cccc(C)c3o2)c(C)c1 ZINC001118336025 1131218287 /nfs/dbraw/zinc/21/82/87/1131218287.db2.gz AYUXLDZZRXVQCR-UHFFFAOYSA-N 1 2 281.359 3.803 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(-c3ccccc3)cnc2F)C[C@@H]1F ZINC001238658996 1131226068 /nfs/dbraw/zinc/22/60/68/1131226068.db2.gz PHKXZKXVRMKSHJ-WBMJQRKESA-N 1 2 288.341 3.678 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(-c3ccccc3)cnc2F)C[C@@H]1F ZINC001238658996 1131226073 /nfs/dbraw/zinc/22/60/73/1131226073.db2.gz PHKXZKXVRMKSHJ-WBMJQRKESA-N 1 2 288.341 3.678 20 0 CHADLO CC1(C)C[NH+](Cc2cc(-c3ccccc3)cnc2F)C1 ZINC001238656452 1131226346 /nfs/dbraw/zinc/22/63/46/1131226346.db2.gz CXMIJCNBNQVFGZ-UHFFFAOYSA-N 1 2 270.351 3.730 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cccc(C)c2F)no1 ZINC000823404016 1131425976 /nfs/dbraw/zinc/42/59/76/1131425976.db2.gz JKBRRONJBGAFEQ-HNNXBMFYSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cccc(C)c2F)no1 ZINC000823404016 1131425979 /nfs/dbraw/zinc/42/59/79/1131425979.db2.gz JKBRRONJBGAFEQ-HNNXBMFYSA-N 1 2 274.339 3.768 20 0 CHADLO COCC1CCC(Nc2ccc([NH+](C)C)cc2C)CC1 ZINC000823495753 1131429783 /nfs/dbraw/zinc/42/97/83/1131429783.db2.gz YOWZYFBSWYEUJC-UHFFFAOYSA-N 1 2 276.424 3.678 20 0 CHADLO COCC1CCC([NH2+]c2ccc(N(C)C)cc2C)CC1 ZINC000823495753 1131429789 /nfs/dbraw/zinc/42/97/89/1131429789.db2.gz YOWZYFBSWYEUJC-UHFFFAOYSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCC(O)CC2)cc1)C1CCC1 ZINC000823500911 1131429930 /nfs/dbraw/zinc/42/99/30/1131429930.db2.gz HFDUZQHMFURJLZ-SFHVURJKSA-N 1 2 288.435 3.638 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)C1CCC1 ZINC000823554696 1131433671 /nfs/dbraw/zinc/43/36/71/1131433671.db2.gz MTVYYVOKZKLQJP-RDTXWAMCSA-N 1 2 288.435 3.902 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1ccc(F)c(F)c1F ZINC001137934745 1131438230 /nfs/dbraw/zinc/43/82/30/1131438230.db2.gz LPLWJHFUUPTUOR-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1ccc(F)c(F)c1F ZINC001137934745 1131438233 /nfs/dbraw/zinc/43/82/33/1131438233.db2.gz LPLWJHFUUPTUOR-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1cccc(C(F)(F)F)c1F ZINC001138005495 1131450171 /nfs/dbraw/zinc/45/01/71/1131450171.db2.gz GPTQCXBACKVYIL-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1cccc(C(F)(F)F)c1F ZINC001138005495 1131450173 /nfs/dbraw/zinc/45/01/73/1131450173.db2.gz GPTQCXBACKVYIL-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2c(F)ccc(C)c2F)cc1 ZINC001138115212 1131468037 /nfs/dbraw/zinc/46/80/37/1131468037.db2.gz CWJAURZVJDXUNH-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2c(F)ccc(C)c2F)cc1 ZINC001138115212 1131468039 /nfs/dbraw/zinc/46/80/39/1131468039.db2.gz CWJAURZVJDXUNH-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CC(=O)c1ccc([C@H](C)Nc2ccc3[nH+]cn(C)c3c2)cc1 ZINC001171055834 1131468626 /nfs/dbraw/zinc/46/86/26/1131468626.db2.gz GLNZIKZMCNPQFU-LBPRGKRZSA-N 1 2 293.370 3.949 20 0 CHADLO FC(F)C(F)(F)C[N@@H+]1CCCC[C@@H]1C1CCC1 ZINC000830987801 1131473499 /nfs/dbraw/zinc/47/34/99/1131473499.db2.gz GTWPKWZRXXFCCT-SNVBAGLBSA-N 1 2 253.283 3.541 20 0 CHADLO FC(F)C(F)(F)C[N@H+]1CCCC[C@@H]1C1CCC1 ZINC000830987801 1131473500 /nfs/dbraw/zinc/47/35/00/1131473500.db2.gz GTWPKWZRXXFCCT-SNVBAGLBSA-N 1 2 253.283 3.541 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccc(N2CCCCC2)o1 ZINC001138177069 1131475446 /nfs/dbraw/zinc/47/54/46/1131475446.db2.gz FGVXTOKKYDSJEQ-OAHLLOKOSA-N 1 2 299.418 3.648 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccc(N2CCCCC2)o1 ZINC001138177069 1131475448 /nfs/dbraw/zinc/47/54/48/1131475448.db2.gz FGVXTOKKYDSJEQ-OAHLLOKOSA-N 1 2 299.418 3.648 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CC[C@@H]3CCC[C@H]3C2)c1F ZINC001138230789 1131480625 /nfs/dbraw/zinc/48/06/25/1131480625.db2.gz GAQOESKZPINCEQ-UWVGGRQHSA-N 1 2 287.300 3.865 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CC[C@@H]3CCC[C@H]3C2)c1F ZINC001138230789 1131480626 /nfs/dbraw/zinc/48/06/26/1131480626.db2.gz GAQOESKZPINCEQ-UWVGGRQHSA-N 1 2 287.300 3.865 20 0 CHADLO CC(C)c1cccc(CNC(=O)c2cc3c[nH+]ccc3[nH]2)c1 ZINC001138319465 1131490511 /nfs/dbraw/zinc/49/05/11/1131490511.db2.gz UGTFPBVJAFTBMC-UHFFFAOYSA-N 1 2 293.370 3.616 20 0 CHADLO CCc1cccc2c(C[N@@H+]3C[C@@H](F)C[C@H]3CF)c[nH]c21 ZINC001138359480 1131493981 /nfs/dbraw/zinc/49/39/81/1131493981.db2.gz AZYZJJHEPVBLON-KBPBESRZSA-N 1 2 278.346 3.612 20 0 CHADLO CCc1cccc2c(C[N@H+]3C[C@@H](F)C[C@H]3CF)c[nH]c21 ZINC001138359480 1131493983 /nfs/dbraw/zinc/49/39/83/1131493983.db2.gz AZYZJJHEPVBLON-KBPBESRZSA-N 1 2 278.346 3.612 20 0 CHADLO CCCCOc1ccc(C[NH2+]Cc2coc(CC)n2)cc1 ZINC000825050982 1131495993 /nfs/dbraw/zinc/49/59/93/1131495993.db2.gz UBYSMZAMPDWSDE-UHFFFAOYSA-N 1 2 288.391 3.706 20 0 CHADLO CCc1nc(C[NH2+]Cc2c3ccccc3oc2CC)co1 ZINC000825049891 1131496172 /nfs/dbraw/zinc/49/61/72/1131496172.db2.gz FHPQNDWYBAVLOC-UHFFFAOYSA-N 1 2 284.359 3.835 20 0 CHADLO COc1cccc(F)c1C[N@H+](C)C/C=C/c1ccccc1 ZINC001138385136 1131497348 /nfs/dbraw/zinc/49/73/48/1131497348.db2.gz HUIJIDXYWCBYJH-JXMROGBWSA-N 1 2 285.362 3.980 20 0 CHADLO COc1cccc(F)c1C[N@@H+](C)C/C=C/c1ccccc1 ZINC001138385136 1131497351 /nfs/dbraw/zinc/49/73/51/1131497351.db2.gz HUIJIDXYWCBYJH-JXMROGBWSA-N 1 2 285.362 3.980 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1c[nH]c2cccc(F)c12 ZINC001136985788 1131497806 /nfs/dbraw/zinc/49/78/06/1131497806.db2.gz VOOMOXNDKZKETK-GFCCVEGCSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1c[nH]c2cccc(F)c12 ZINC001136985788 1131497807 /nfs/dbraw/zinc/49/78/07/1131497807.db2.gz VOOMOXNDKZKETK-GFCCVEGCSA-N 1 2 283.350 3.685 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1c[nH]c3cccc(F)c13)C2 ZINC001136984864 1131498303 /nfs/dbraw/zinc/49/83/03/1131498303.db2.gz UOIFXIDFOXTFRF-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1c[nH]c3cccc(F)c13)C2 ZINC001136984864 1131498305 /nfs/dbraw/zinc/49/83/05/1131498305.db2.gz UOIFXIDFOXTFRF-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO C[N@H+](Cc1ccc(Cl)cc1Cl)Cc1ncccc1O ZINC001138391573 1131498356 /nfs/dbraw/zinc/49/83/56/1131498356.db2.gz PFKVYAXOAUIQBA-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)cc1Cl)Cc1ncccc1O ZINC001138391573 1131498359 /nfs/dbraw/zinc/49/83/59/1131498359.db2.gz PFKVYAXOAUIQBA-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2[nH]c3ccccc3c2C)n1 ZINC001138400159 1131498627 /nfs/dbraw/zinc/49/86/27/1131498627.db2.gz MJKLOZXHOKYOIF-INIZCTEOSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2[nH]c3ccccc3c2C)n1 ZINC001138400159 1131498629 /nfs/dbraw/zinc/49/86/29/1131498629.db2.gz MJKLOZXHOKYOIF-INIZCTEOSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1c2ccccc2[nH]c1C[N@@H+]1CCn2cccc2[C@H]1C ZINC001138399307 1131498650 /nfs/dbraw/zinc/49/86/50/1131498650.db2.gz AGIFIYVDUHMGJB-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1c2ccccc2[nH]c1C[N@H+]1CCn2cccc2[C@H]1C ZINC001138399307 1131498651 /nfs/dbraw/zinc/49/86/51/1131498651.db2.gz AGIFIYVDUHMGJB-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CCC[C@H]3c3ncccn3)cc2c1 ZINC001138420484 1131502996 /nfs/dbraw/zinc/50/29/96/1131502996.db2.gz LAUDQUYZAOGZKW-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CCC[C@H]3c3ncccn3)cc2c1 ZINC001138420484 1131502998 /nfs/dbraw/zinc/50/29/98/1131502998.db2.gz LAUDQUYZAOGZKW-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO CCOc1ccc2ccccc2c1C[N@@H+]1CCC(=O)C[C@H]1C ZINC001138441329 1131505988 /nfs/dbraw/zinc/50/59/88/1131505988.db2.gz XKLNEPYSASHOKE-CQSZACIVSA-N 1 2 297.398 3.792 20 0 CHADLO CCOc1ccc2ccccc2c1C[N@H+]1CCC(=O)C[C@H]1C ZINC001138441329 1131505991 /nfs/dbraw/zinc/50/59/91/1131505991.db2.gz XKLNEPYSASHOKE-CQSZACIVSA-N 1 2 297.398 3.792 20 0 CHADLO CCCc1ccc(C[N@@H+]2Cc3cnc(C)cc3C2)s1 ZINC001138528661 1131516748 /nfs/dbraw/zinc/51/67/48/1131516748.db2.gz YXZHMRSDCVWCGF-UHFFFAOYSA-N 1 2 272.417 3.920 20 0 CHADLO CCCc1ccc(C[N@H+]2Cc3cnc(C)cc3C2)s1 ZINC001138528661 1131516752 /nfs/dbraw/zinc/51/67/52/1131516752.db2.gz YXZHMRSDCVWCGF-UHFFFAOYSA-N 1 2 272.417 3.920 20 0 CHADLO FCC1CC[NH+](Cc2ccc(F)c(Cl)c2F)CC1 ZINC001143626048 1131519925 /nfs/dbraw/zinc/51/99/25/1131519925.db2.gz FLKZFBZRKPUMQB-UHFFFAOYSA-N 1 2 277.717 3.800 20 0 CHADLO CCCO[C@H]1CC[N@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626081 1131519981 /nfs/dbraw/zinc/51/99/81/1131519981.db2.gz HGABPHVVSDMASK-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@H]1CC[N@@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143626081 1131519985 /nfs/dbraw/zinc/51/99/85/1131519985.db2.gz HGABPHVVSDMASK-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCC[C@@H](F)C2)c1 ZINC001143675882 1131523427 /nfs/dbraw/zinc/52/34/27/1131523427.db2.gz BGNYZFAHSOHKMY-GFCCVEGCSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCC[C@@H](F)C2)c1 ZINC001143675882 1131523429 /nfs/dbraw/zinc/52/34/29/1131523429.db2.gz BGNYZFAHSOHKMY-GFCCVEGCSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1cc(C)cc(NC(=O)NCc2c[nH+]cn2C2CCC2)c1 ZINC001202852703 1131532810 /nfs/dbraw/zinc/53/28/10/1131532810.db2.gz GHXLUYBIXIYAHI-UHFFFAOYSA-N 1 2 298.390 3.547 20 0 CHADLO CCCC[N@H+](C)Cc1c(F)ccc(F)c1Br ZINC001143811482 1131536404 /nfs/dbraw/zinc/53/64/04/1131536404.db2.gz JKQCVUFTMMTJIY-UHFFFAOYSA-N 1 2 292.167 3.959 20 0 CHADLO CCCC[N@@H+](C)Cc1c(F)ccc(F)c1Br ZINC001143811482 1131536405 /nfs/dbraw/zinc/53/64/05/1131536405.db2.gz JKQCVUFTMMTJIY-UHFFFAOYSA-N 1 2 292.167 3.959 20 0 CHADLO CC(C)[N@H+](C)Cc1c(F)ccc(F)c1Br ZINC001143811463 1131536614 /nfs/dbraw/zinc/53/66/14/1131536614.db2.gz IQDZGKLDAZMFPM-UHFFFAOYSA-N 1 2 278.140 3.568 20 0 CHADLO CC(C)[N@@H+](C)Cc1c(F)ccc(F)c1Br ZINC001143811463 1131536619 /nfs/dbraw/zinc/53/66/19/1131536619.db2.gz IQDZGKLDAZMFPM-UHFFFAOYSA-N 1 2 278.140 3.568 20 0 CHADLO COc1c(F)cc(C[NH+]2CCC(F)(F)CC2)cc1Cl ZINC001143837188 1131538167 /nfs/dbraw/zinc/53/81/67/1131538167.db2.gz LLZMPENBOZKTNS-UHFFFAOYSA-N 1 2 293.716 3.719 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc3c(c1)CCCO3)C2 ZINC001138716817 1131540505 /nfs/dbraw/zinc/54/05/05/1131540505.db2.gz ZNFZHCGGWSEARY-UHFFFAOYSA-N 1 2 295.382 3.536 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc3c(c1)CCCO3)C2 ZINC001138716817 1131540507 /nfs/dbraw/zinc/54/05/07/1131540507.db2.gz ZNFZHCGGWSEARY-UHFFFAOYSA-N 1 2 295.382 3.536 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCc2occc2C1 ZINC001138721709 1131541150 /nfs/dbraw/zinc/54/11/50/1131541150.db2.gz GTYRYEJMRCZVRC-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCc2occc2C1 ZINC001138721709 1131541152 /nfs/dbraw/zinc/54/11/52/1131541152.db2.gz GTYRYEJMRCZVRC-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Fc1ccc(Cl)c(Cl)c1C[NH+]1CC2CC(C2)C1 ZINC001143866991 1131543680 /nfs/dbraw/zinc/54/36/80/1131543680.db2.gz SFMIJCJMRNRFOI-UHFFFAOYSA-N 1 2 274.166 3.974 20 0 CHADLO CCCCc1ccc(C[NH+]2CC(OC(C)C)C2)s1 ZINC001138755086 1131547993 /nfs/dbraw/zinc/54/79/93/1131547993.db2.gz LSWHGDDEIJJHJP-UHFFFAOYSA-N 1 2 267.438 3.700 20 0 CHADLO CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)c1ccc(Cl)cc1 ZINC000844314441 1131550406 /nfs/dbraw/zinc/55/04/06/1131550406.db2.gz FDZFZHUXFCDLSC-GFCCVEGCSA-N 1 2 291.782 3.541 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c[nH]c3c2cccc3Cl)CCC1=O ZINC001138830692 1131553330 /nfs/dbraw/zinc/55/33/30/1131553330.db2.gz UGPBCKMAUAIRQT-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c[nH]c3c2cccc3Cl)CCC1=O ZINC001138830692 1131553333 /nfs/dbraw/zinc/55/33/33/1131553333.db2.gz UGPBCKMAUAIRQT-NSHDSACASA-N 1 2 290.794 3.622 20 0 CHADLO Cc1ccc2cc(C[N@H+]3C[C@@H](C)[C@@H](F)C3)c(Cl)nc2c1 ZINC001138861216 1131556172 /nfs/dbraw/zinc/55/61/72/1131556172.db2.gz UHEXETLWPLDMHI-RISCZKNCSA-N 1 2 292.785 3.986 20 0 CHADLO Cc1ccc2cc(C[N@@H+]3C[C@@H](C)[C@@H](F)C3)c(Cl)nc2c1 ZINC001138861216 1131556176 /nfs/dbraw/zinc/55/61/76/1131556176.db2.gz UHEXETLWPLDMHI-RISCZKNCSA-N 1 2 292.785 3.986 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CCCC23CC3)ccc1-n1cc[nH+]c1 ZINC001143939301 1131556423 /nfs/dbraw/zinc/55/64/23/1131556423.db2.gz DCVKSVBEOLLCRU-OAHLLOKOSA-N 1 2 295.386 3.700 20 0 CHADLO CCCCOc1cccc(C[N@@H+]2CCn3cccc3C2)c1 ZINC001138954395 1131564452 /nfs/dbraw/zinc/56/44/52/1131564452.db2.gz VDZRAJYOKNITOV-UHFFFAOYSA-N 1 2 284.403 3.683 20 0 CHADLO CCCCOc1cccc(C[N@H+]2CCn3cccc3C2)c1 ZINC001138954395 1131564456 /nfs/dbraw/zinc/56/44/56/1131564456.db2.gz VDZRAJYOKNITOV-UHFFFAOYSA-N 1 2 284.403 3.683 20 0 CHADLO CC[C@@H](OC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000844471516 1131569355 /nfs/dbraw/zinc/56/93/55/1131569355.db2.gz YDJGGXFWJJXCJV-UKRRQHHQSA-N 1 2 272.348 3.529 20 0 CHADLO Cc1ccc(COC(=O)C[C@@H](C)n2cc[nH+]c2)c(Cl)c1 ZINC000844500180 1131573334 /nfs/dbraw/zinc/57/33/34/1131573334.db2.gz PTMQWXYCVZJISD-GFCCVEGCSA-N 1 2 292.766 3.539 20 0 CHADLO C[C@@H](CC(=O)OCc1cccc(C(F)F)c1)n1cc[nH+]c1 ZINC000844506677 1131574375 /nfs/dbraw/zinc/57/43/75/1131574375.db2.gz NJIYGRNCIOHURS-NSHDSACASA-N 1 2 294.301 3.515 20 0 CHADLO CC1CC[NH+](Cc2c(F)cc(Cl)cc2F)CC1 ZINC001139047573 1131575743 /nfs/dbraw/zinc/57/57/43/1131575743.db2.gz MHLPZSRNNQEYJB-UHFFFAOYSA-N 1 2 259.727 3.850 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1CC2CCC1CC2 ZINC001139054028 1131576840 /nfs/dbraw/zinc/57/68/40/1131576840.db2.gz PCWPEJCVJRCMBU-UHFFFAOYSA-N 1 2 271.738 3.993 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1CC2CCC1CC2 ZINC001139054028 1131576843 /nfs/dbraw/zinc/57/68/43/1131576843.db2.gz PCWPEJCVJRCMBU-UHFFFAOYSA-N 1 2 271.738 3.993 20 0 CHADLO O=C1C[C@H]2CCC[C@@H](C1)[N@H+]2Cc1cc2ccccc2o1 ZINC001139065094 1131577937 /nfs/dbraw/zinc/57/79/37/1131577937.db2.gz PVRXRAKIMSUWSL-OKILXGFUSA-N 1 2 269.344 3.519 20 0 CHADLO O=C1C[C@H]2CCC[C@@H](C1)[N@@H+]2Cc1cc2ccccc2o1 ZINC001139065094 1131577940 /nfs/dbraw/zinc/57/79/40/1131577940.db2.gz PVRXRAKIMSUWSL-OKILXGFUSA-N 1 2 269.344 3.519 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000844561809 1131580237 /nfs/dbraw/zinc/58/02/37/1131580237.db2.gz WMAKGXUNXAFVGG-MRXNPFEDSA-N 1 2 299.418 3.832 20 0 CHADLO CCCCOC1C[NH+](Cc2ccc3ccn(CC)c3c2)C1 ZINC001139123434 1131583034 /nfs/dbraw/zinc/58/30/34/1131583034.db2.gz WIBMWAQPGMOGLT-UHFFFAOYSA-N 1 2 286.419 3.662 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2cc(Cl)c(F)cc2F)[C@H](C)C1 ZINC001144137928 1131590042 /nfs/dbraw/zinc/59/00/42/1131590042.db2.gz MOFJLZVSYVETGA-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2cc(Cl)c(F)cc2F)[C@H](C)C1 ZINC001144137928 1131590046 /nfs/dbraw/zinc/59/00/46/1131590046.db2.gz MOFJLZVSYVETGA-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1c[nH]c3cccc(C)c13)C2 ZINC001139196189 1131594963 /nfs/dbraw/zinc/59/49/63/1131594963.db2.gz KRTCOKNCTXACHJ-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1c[nH]c3cccc(C)c13)C2 ZINC001139196189 1131594965 /nfs/dbraw/zinc/59/49/65/1131594965.db2.gz KRTCOKNCTXACHJ-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO O=C1CC[NH+](Cc2ccc(-c3ccccc3)s2)CC1 ZINC001139412620 1131607798 /nfs/dbraw/zinc/60/77/98/1131607798.db2.gz MJIXYEIWYUYFQC-UHFFFAOYSA-N 1 2 271.385 3.580 20 0 CHADLO Fc1c(Br)cccc1C[N@@H+]1CCC[C@@H]2C[C@@H]21 ZINC001139535198 1131614417 /nfs/dbraw/zinc/61/44/17/1131614417.db2.gz KPLKOVZAIVLPHK-SKDRFNHKSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1c(Br)cccc1C[N@H+]1CCC[C@@H]2C[C@@H]21 ZINC001139535198 1131614420 /nfs/dbraw/zinc/61/44/20/1131614420.db2.gz KPLKOVZAIVLPHK-SKDRFNHKSA-N 1 2 284.172 3.573 20 0 CHADLO CCc1cccc(C[N@@H+]2CCc3nc(Cl)ccc3C2)c1 ZINC001144323795 1131617725 /nfs/dbraw/zinc/61/77/25/1131617725.db2.gz MZPHBTPNBFMRRC-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cccc(C[N@H+]2CCc3nc(Cl)ccc3C2)c1 ZINC001144323795 1131617727 /nfs/dbraw/zinc/61/77/27/1131617727.db2.gz MZPHBTPNBFMRRC-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO C[C@H]1C[N@H+](Cc2cn3c(cccc3F)n2)Cc2ccccc21 ZINC001139570752 1131617794 /nfs/dbraw/zinc/61/77/94/1131617794.db2.gz NCLKNTIPNUFUHB-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cn3c(cccc3F)n2)Cc2ccccc21 ZINC001139570752 1131617797 /nfs/dbraw/zinc/61/77/97/1131617797.db2.gz NCLKNTIPNUFUHB-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO CC1(O)C[NH+](Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139572396 1131618005 /nfs/dbraw/zinc/61/80/05/1131618005.db2.gz OYHJOMJRTHFLBG-UHFFFAOYSA-N 1 2 287.790 3.574 20 0 CHADLO COc1cc(C[N@@H+]2CCCC[C@@H](F)C2)ccc1Cl ZINC001139611659 1131621952 /nfs/dbraw/zinc/62/19/52/1131621952.db2.gz ARBBOTZVHAZOOR-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(C[N@H+]2CCCC[C@@H](F)C2)ccc1Cl ZINC001139611659 1131621954 /nfs/dbraw/zinc/62/19/54/1131621954.db2.gz ARBBOTZVHAZOOR-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO CCCC[C@H](CC)COC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845284216 1131624577 /nfs/dbraw/zinc/62/45/77/1131624577.db2.gz LZKZBQZHYDHFFT-KGLIPLIRSA-N 1 2 266.385 3.594 20 0 CHADLO CC1(C)C[N@H+](Cc2ccnc(Cl)c2F)CCC1(F)F ZINC001139660973 1131626848 /nfs/dbraw/zinc/62/68/48/1131626848.db2.gz JZBHSMCGQGKYLO-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccnc(Cl)c2F)CCC1(F)F ZINC001139660973 1131626851 /nfs/dbraw/zinc/62/68/51/1131626851.db2.gz JZBHSMCGQGKYLO-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CCC[C@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000845458263 1131636170 /nfs/dbraw/zinc/63/61/70/1131636170.db2.gz DNGCPPUCORTATO-HOCLYGCPSA-N 1 2 286.375 3.919 20 0 CHADLO CC[N@H+](CCN1CCCC1)Cc1ccccc1C(F)F ZINC001139798747 1131637379 /nfs/dbraw/zinc/63/73/79/1131637379.db2.gz CQQLEOOFRIVEGN-UHFFFAOYSA-N 1 2 282.378 3.542 20 0 CHADLO C[C@H]1C[N@H+](Cc2c(F)cc(O)cc2F)Cc2ccccc21 ZINC001144542144 1131653838 /nfs/dbraw/zinc/65/38/38/1131653838.db2.gz PWURMINNCQIWCW-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1C[N@@H+](Cc2c(F)cc(O)cc2F)Cc2ccccc21 ZINC001144542144 1131653840 /nfs/dbraw/zinc/65/38/40/1131653840.db2.gz PWURMINNCQIWCW-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO CC[C@@H](Nc1cc(N(C)CC)nc[nH+]1)c1ccccc1C ZINC001171198898 1131659350 /nfs/dbraw/zinc/65/93/50/1131659350.db2.gz USHXROSFPUZVPA-OAHLLOKOSA-N 1 2 284.407 3.804 20 0 CHADLO CC[C@@H](Nc1cc(N(C)CC)[nH+]cn1)c1ccccc1C ZINC001171198898 1131659351 /nfs/dbraw/zinc/65/93/51/1131659351.db2.gz USHXROSFPUZVPA-OAHLLOKOSA-N 1 2 284.407 3.804 20 0 CHADLO Oc1cc(F)c(C[NH+]2C3CCCC2CCC3)c(F)c1 ZINC001144562073 1131659952 /nfs/dbraw/zinc/65/99/52/1131659952.db2.gz XIJCSLFXYZYZGU-UHFFFAOYSA-N 1 2 267.319 3.577 20 0 CHADLO Fc1ccc(-c2ncc(C[N@H+]3CCC[C@H](F)C3)s2)cc1 ZINC001140095377 1131664453 /nfs/dbraw/zinc/66/44/53/1131664453.db2.gz RPQFZILBSKOOOI-ZDUSSCGKSA-N 1 2 294.370 3.883 20 0 CHADLO Fc1ccc(-c2ncc(C[N@@H+]3CCC[C@H](F)C3)s2)cc1 ZINC001140095377 1131664454 /nfs/dbraw/zinc/66/44/54/1131664454.db2.gz RPQFZILBSKOOOI-ZDUSSCGKSA-N 1 2 294.370 3.883 20 0 CHADLO c1csc(C2CCN(Cc3[nH+]cn4ccccc34)CC2)c1 ZINC001144621894 1131669444 /nfs/dbraw/zinc/66/94/44/1131669444.db2.gz BKPDFGKQMYHWAV-UHFFFAOYSA-N 1 2 297.427 3.775 20 0 CHADLO Clc1nccc(C[N@@H+]2CCC23CCCC3)c1Cl ZINC000846000370 1131676602 /nfs/dbraw/zinc/67/66/02/1131676602.db2.gz QENVOELGSPECMC-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Clc1nccc(C[N@H+]2CCC23CCCC3)c1Cl ZINC000846000370 1131676605 /nfs/dbraw/zinc/67/66/05/1131676605.db2.gz QENVOELGSPECMC-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144729179 1131692938 /nfs/dbraw/zinc/69/29/38/1131692938.db2.gz DBUQEHRWHCDATD-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144729179 1131692939 /nfs/dbraw/zinc/69/29/39/1131692939.db2.gz DBUQEHRWHCDATD-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO C[C@H]([NH2+]C1(c2ccccc2Cl)CCC1)c1ncc[nH]1 ZINC000846171924 1131693840 /nfs/dbraw/zinc/69/38/40/1131693840.db2.gz JKJOVXNWWCQZCD-NSHDSACASA-N 1 2 275.783 3.793 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc3scnc3c1)C2 ZINC001144738781 1131698376 /nfs/dbraw/zinc/69/83/76/1131698376.db2.gz HQGTZIUIUDGUTD-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc3scnc3c1)C2 ZINC001144738781 1131698379 /nfs/dbraw/zinc/69/83/79/1131698379.db2.gz HQGTZIUIUDGUTD-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO Fc1ccc(CNc2cccc[nH+]2)c(F)c1Cl ZINC000083407106 1131698716 /nfs/dbraw/zinc/69/87/16/1131698716.db2.gz KWVMDMUOXYBLKK-UHFFFAOYSA-N 1 2 254.667 3.625 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC)C(F)F)c1ccc2c(c1)CCO2 ZINC000834828681 1131707388 /nfs/dbraw/zinc/70/73/88/1131707388.db2.gz WUBUEHINZXRZSR-STQMWFEESA-N 1 2 269.335 3.706 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccc3c(c1)CCC3)C2 ZINC001203034121 1131719423 /nfs/dbraw/zinc/71/94/23/1131719423.db2.gz OVLFWFXYQCMHLX-UHFFFAOYSA-N 1 2 267.347 3.830 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccc3c(c1)CCC3)C2 ZINC001203034121 1131719426 /nfs/dbraw/zinc/71/94/26/1131719426.db2.gz OVLFWFXYQCMHLX-UHFFFAOYSA-N 1 2 267.347 3.830 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCc3ccc(Cl)cc32)co1 ZINC000834900968 1131725132 /nfs/dbraw/zinc/72/51/32/1131725132.db2.gz XZXQCBIQDHULMX-AWEZNQCLSA-N 1 2 276.767 3.668 20 0 CHADLO CS[C@@H]1CCC[C@@H]([NH2+]c2ccc(N(C)C)cc2)C1 ZINC000846750168 1131729011 /nfs/dbraw/zinc/72/90/11/1131729011.db2.gz NPRMBBXLHBZLIN-UKRRQHHQSA-N 1 2 264.438 3.839 20 0 CHADLO CS[C@@H]1CCC[C@@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000846750168 1131729014 /nfs/dbraw/zinc/72/90/14/1131729014.db2.gz NPRMBBXLHBZLIN-UKRRQHHQSA-N 1 2 264.438 3.839 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCO[C@@H](C(C)(C)C)C2)c(OC)c1 ZINC000846752189 1131731742 /nfs/dbraw/zinc/73/17/42/1131731742.db2.gz XOSDUXHBIKYTCA-MLGOLLRUSA-N 1 2 293.407 3.709 20 0 CHADLO CCCCCC[C@@H](C)CC(=O)NCC(C)(C)n1cc[nH+]c1 ZINC001149212895 1131735694 /nfs/dbraw/zinc/73/56/94/1131735694.db2.gz FJPSRYJHMNPQAY-OAHLLOKOSA-N 1 2 293.455 3.731 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1c[nH]c2cccc(Cl)c12 ZINC001140447341 1131741641 /nfs/dbraw/zinc/74/16/41/1131741641.db2.gz YJQSMLWSIITZLF-OAHLLOKOSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1c[nH]c2cccc(Cl)c12 ZINC001140447341 1131741646 /nfs/dbraw/zinc/74/16/46/1131741646.db2.gz YJQSMLWSIITZLF-OAHLLOKOSA-N 1 2 290.794 3.765 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1cnsn1)CC1CCC1 ZINC000846807599 1131742413 /nfs/dbraw/zinc/74/24/13/1131742413.db2.gz SQXRKJNNDGLQQI-CYBMUJFWSA-N 1 2 287.432 3.901 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1cnsn1)CC1CCC1 ZINC000846807599 1131742421 /nfs/dbraw/zinc/74/24/21/1131742421.db2.gz SQXRKJNNDGLQQI-CYBMUJFWSA-N 1 2 287.432 3.901 20 0 CHADLO CC(C)(C)C[C@@H]([NH2+]Cc1cnsn1)c1ccccc1 ZINC000846845395 1131750139 /nfs/dbraw/zinc/75/01/39/1131750139.db2.gz NQGQRSAPFBUNMO-CQSZACIVSA-N 1 2 275.421 3.805 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2cc(F)cc(Cl)c2)C1 ZINC001140537672 1131783484 /nfs/dbraw/zinc/78/34/84/1131783484.db2.gz ZGYKQNXUGQXCAF-ZANVPECISA-N 1 2 259.727 3.659 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1c(F)cc(F)cc1F ZINC001140529912 1131781391 /nfs/dbraw/zinc/78/13/91/1131781391.db2.gz YEOJMYIYPGSGFK-UHFFFAOYSA-N 1 2 265.278 3.736 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1c(F)cc(F)cc1F ZINC001140529912 1131781396 /nfs/dbraw/zinc/78/13/96/1131781396.db2.gz YEOJMYIYPGSGFK-UHFFFAOYSA-N 1 2 265.278 3.736 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2cc(F)cc(Cl)c2)C1 ZINC001140537672 1131783482 /nfs/dbraw/zinc/78/34/82/1131783482.db2.gz ZGYKQNXUGQXCAF-ZANVPECISA-N 1 2 259.727 3.659 20 0 CHADLO CCCC[C@H](C)OC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835227545 1131794743 /nfs/dbraw/zinc/79/47/43/1131794743.db2.gz KGFGTVWUHZBVED-AWEZNQCLSA-N 1 2 286.375 3.667 20 0 CHADLO CCC[C@@H](CC)OC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835229140 1131794899 /nfs/dbraw/zinc/79/48/99/1131794899.db2.gz MCDZHONTUZRMKI-MRXNPFEDSA-N 1 2 286.375 3.667 20 0 CHADLO CC[N@H+](Cc1cc(Cl)ccc1C(F)(F)F)C1COC1 ZINC001140569016 1131798374 /nfs/dbraw/zinc/79/83/74/1131798374.db2.gz WYBQTBZAEGAQQG-UHFFFAOYSA-N 1 2 293.716 3.580 20 0 CHADLO CC[N@@H+](Cc1cc(Cl)ccc1C(F)(F)F)C1COC1 ZINC001140569016 1131798380 /nfs/dbraw/zinc/79/83/80/1131798380.db2.gz WYBQTBZAEGAQQG-UHFFFAOYSA-N 1 2 293.716 3.580 20 0 CHADLO c1sc2ccccc2c1C[N@@H+]1Cc2cccnc2C1 ZINC001140636207 1131836904 /nfs/dbraw/zinc/83/69/04/1131836904.db2.gz DBMATWKJXMDHRD-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO c1sc2ccccc2c1C[N@H+]1Cc2cccnc2C1 ZINC001140636207 1131836911 /nfs/dbraw/zinc/83/69/11/1131836911.db2.gz DBMATWKJXMDHRD-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](C)COCc3ccccc3)cc2[nH+]1 ZINC001228327130 1131858595 /nfs/dbraw/zinc/85/85/95/1131858595.db2.gz OEMMXIWXCKLKAB-CYBMUJFWSA-N 1 2 296.370 3.855 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c(F)cc1Cl ZINC001140702275 1131884886 /nfs/dbraw/zinc/88/48/86/1131884886.db2.gz LJWQEXMJBMPNQK-OQPBUACISA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H]3C[C@@H]32)c(F)cc1Cl ZINC001140702275 1131884896 /nfs/dbraw/zinc/88/48/96/1131884896.db2.gz LJWQEXMJBMPNQK-OQPBUACISA-N 1 2 257.711 3.603 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(C(F)(F)F)cc2)no1 ZINC000282731398 1131885939 /nfs/dbraw/zinc/88/59/39/1131885939.db2.gz QZEVHUWEZHFORU-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO CCc1ccc(C[N@@H+]2CCC[C@H]2c2csc(C)n2)cn1 ZINC000348169783 1131897469 /nfs/dbraw/zinc/89/74/69/1131897469.db2.gz BUBATDFDOZVCHK-INIZCTEOSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1ccc(C[N@H+]2CCC[C@H]2c2csc(C)n2)cn1 ZINC000348169783 1131897474 /nfs/dbraw/zinc/89/74/74/1131897474.db2.gz BUBATDFDOZVCHK-INIZCTEOSA-N 1 2 287.432 3.746 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001140725664 1131898681 /nfs/dbraw/zinc/89/86/81/1131898681.db2.gz GVOAXKVIWDHGPN-ZWNOBZJWSA-N 1 2 271.763 3.529 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001140725664 1131898689 /nfs/dbraw/zinc/89/86/89/1131898689.db2.gz GVOAXKVIWDHGPN-ZWNOBZJWSA-N 1 2 271.763 3.529 20 0 CHADLO Oc1cc(Cl)cc(C[N@@H+]2CCOC3(CCCCC3)C2)c1 ZINC001140797588 1131924733 /nfs/dbraw/zinc/92/47/33/1131924733.db2.gz GWZYFNBMHZSVNJ-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO Oc1cc(Cl)cc(C[N@H+]2CCOC3(CCCCC3)C2)c1 ZINC001140797588 1131924737 /nfs/dbraw/zinc/92/47/37/1131924737.db2.gz GWZYFNBMHZSVNJ-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1ccc(O)c(F)c1F ZINC001140889811 1131959364 /nfs/dbraw/zinc/95/93/64/1131959364.db2.gz LNRJDHKFQNKKPR-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1ccc(O)c(F)c1F ZINC001140889811 1131959370 /nfs/dbraw/zinc/95/93/70/1131959370.db2.gz LNRJDHKFQNKKPR-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO CC[N@H+](Cc1cc(F)c(O)c(F)c1)Cc1ccccc1F ZINC001140991145 1131979011 /nfs/dbraw/zinc/97/90/11/1131979011.db2.gz ZIVGEJDDAYFBGQ-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1cc(F)c(O)c(F)c1)Cc1ccccc1F ZINC001140991145 1131979017 /nfs/dbraw/zinc/97/90/17/1131979017.db2.gz ZIVGEJDDAYFBGQ-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO COc1cc(F)cc(CNc2[nH+]cccc2-n2cccc2)c1 ZINC001171410828 1131979978 /nfs/dbraw/zinc/97/99/78/1131979978.db2.gz NNPGSKNWJKQWCK-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1nc([C@H](C)Nc2ccc([NH+](C)C)cc2C)cs1 ZINC000036934963 1131984876 /nfs/dbraw/zinc/98/48/76/1131984876.db2.gz VMNGDRPNUMONKN-NSHDSACASA-N 1 2 275.421 3.999 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC1CCC2(CC1)OCCO2 ZINC000715637637 1131989032 /nfs/dbraw/zinc/98/90/32/1131989032.db2.gz YNTUGGFTSKHYJV-UHFFFAOYSA-N 1 2 298.386 3.641 20 0 CHADLO CN(C)c1[nH+]ccc2cc(NC(=O)/C=C\C(C)(C)C)ccc21 ZINC001141050859 1131995401 /nfs/dbraw/zinc/99/54/01/1131995401.db2.gz QIHMGHRZDWBHSX-NTMALXAHSA-N 1 2 297.402 3.842 20 0 CHADLO CN(C)c1[nH+]ccc2cc(NC(=O)/C=C/C(C)(C)C)ccc21 ZINC001141050858 1131996193 /nfs/dbraw/zinc/99/61/93/1131996193.db2.gz QIHMGHRZDWBHSX-CSKARUKUSA-N 1 2 297.402 3.842 20 0 CHADLO CCCCc1[nH]cc(CN(C)Cc2nc3ccccc3o2)[nH+]1 ZINC001141071559 1132002492 /nfs/dbraw/zinc/00/24/92/1132002492.db2.gz UINXUYVBVVCLFZ-UHFFFAOYSA-N 1 2 298.390 3.526 20 0 CHADLO CCCCc1[nH]c(CN(C)Cc2nc3ccccc3o2)c[nH+]1 ZINC001141071559 1132002497 /nfs/dbraw/zinc/00/24/97/1132002497.db2.gz UINXUYVBVVCLFZ-UHFFFAOYSA-N 1 2 298.390 3.526 20 0 CHADLO CCCCc1ncc(C[N@H+](C)Cc2ccccc2C)[nH]1 ZINC001141070051 1132003319 /nfs/dbraw/zinc/00/33/19/1132003319.db2.gz MPWIHFIQEFZKAM-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1ncc(C[N@@H+](C)Cc2ccccc2C)[nH]1 ZINC001141070051 1132003324 /nfs/dbraw/zinc/00/33/24/1132003324.db2.gz MPWIHFIQEFZKAM-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1nc(C[N@H+](C)Cc2ccccc2C)c[nH]1 ZINC001141070051 1132003329 /nfs/dbraw/zinc/00/33/29/1132003329.db2.gz MPWIHFIQEFZKAM-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO CCCCc1nc(C[N@@H+](C)Cc2ccccc2C)c[nH]1 ZINC001141070051 1132003338 /nfs/dbraw/zinc/00/33/38/1132003338.db2.gz MPWIHFIQEFZKAM-UHFFFAOYSA-N 1 2 271.408 3.693 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1COC2(CCCC2)O1 ZINC000773236699 1132011920 /nfs/dbraw/zinc/01/19/20/1132011920.db2.gz HYPJCZDWTSYRAK-HNNXBMFYSA-N 1 2 298.386 3.641 20 0 CHADLO Clc1ccc([C@H]2CC[N@H+](Cc3cocn3)C2)cc1Cl ZINC001141129264 1132024754 /nfs/dbraw/zinc/02/47/54/1132024754.db2.gz CRWMHSQJRNXICT-NSHDSACASA-N 1 2 297.185 3.971 20 0 CHADLO Clc1ccc([C@H]2CC[N@@H+](Cc3cocn3)C2)cc1Cl ZINC001141129264 1132024762 /nfs/dbraw/zinc/02/47/62/1132024762.db2.gz CRWMHSQJRNXICT-NSHDSACASA-N 1 2 297.185 3.971 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)CCCn2cc[nH+]c2)cc1 ZINC000837071070 1132032973 /nfs/dbraw/zinc/03/29/73/1132032973.db2.gz RDZJXEIEYAVMFS-UHFFFAOYSA-N 1 2 299.418 3.661 20 0 CHADLO CCCCCC[C@H](C)C(=O)NCc1c[nH+]c(C)cc1C ZINC000837104816 1132038493 /nfs/dbraw/zinc/03/84/93/1132038493.db2.gz LRAMJBXFXQYVAI-ZDUSSCGKSA-N 1 2 276.424 3.921 20 0 CHADLO c1cn(Cc2ccc(NCCOC3CCCCC3)cc2)c[nH+]1 ZINC001171477477 1132043164 /nfs/dbraw/zinc/04/31/64/1132043164.db2.gz LVWWBBWHSPKQCI-UHFFFAOYSA-N 1 2 299.418 3.693 20 0 CHADLO COc1cccc(C[N@@H+]2Cc3cccc(C)c3C2)c1F ZINC001141218607 1132045108 /nfs/dbraw/zinc/04/51/08/1132045108.db2.gz VZXBNTQKLWODIQ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc(C[N@H+]2Cc3cccc(C)c3C2)c1F ZINC001141218607 1132045110 /nfs/dbraw/zinc/04/51/10/1132045110.db2.gz VZXBNTQKLWODIQ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1cc(NC(C)(C)c2ccncc2)[nH+]c2cc[nH]c21 ZINC001171518988 1132048702 /nfs/dbraw/zinc/04/87/02/1132048702.db2.gz LKCXUYMMKZYYRS-UHFFFAOYSA-N 1 2 266.348 3.614 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cccnc3C2)ccc1Cl ZINC001141235910 1132053890 /nfs/dbraw/zinc/05/38/90/1132053890.db2.gz DXTFAPDAUZPABL-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cccnc3C2)ccc1Cl ZINC001141235910 1132053893 /nfs/dbraw/zinc/05/38/93/1132053893.db2.gz DXTFAPDAUZPABL-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH2+][C@H](C)CCc1ccc(C)cc1 ZINC001171659099 1132071271 /nfs/dbraw/zinc/07/12/71/1132071271.db2.gz YRWNPBJBZQKADM-CVEARBPZSA-N 1 2 291.435 3.638 20 0 CHADLO CC[C@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccc(C)c(F)c1 ZINC001171670088 1132074896 /nfs/dbraw/zinc/07/48/96/1132074896.db2.gz ACLMFVYPSISAEL-OAHLLOKOSA-N 1 2 295.398 3.686 20 0 CHADLO CC[C@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccc(C)c(F)c1 ZINC001171670088 1132074903 /nfs/dbraw/zinc/07/49/03/1132074903.db2.gz ACLMFVYPSISAEL-OAHLLOKOSA-N 1 2 295.398 3.686 20 0 CHADLO Cc1ccc(CCC[N@@H+]2CCCC(F)(F)C2)cc1 ZINC001171734574 1132096681 /nfs/dbraw/zinc/09/66/81/1132096681.db2.gz IZULIUMMFKDHFA-UHFFFAOYSA-N 1 2 253.336 3.659 20 0 CHADLO Cc1ccc(CCC[N@H+]2CCCC(F)(F)C2)cc1 ZINC001171734574 1132096691 /nfs/dbraw/zinc/09/66/91/1132096691.db2.gz IZULIUMMFKDHFA-UHFFFAOYSA-N 1 2 253.336 3.659 20 0 CHADLO c1cn(-c2ccc(O[C@@H]3CCCc4cccnc43)cc2)c[nH+]1 ZINC001229950152 1132135400 /nfs/dbraw/zinc/13/54/00/1132135400.db2.gz IDWVZWHGBVHQKO-QGZVFWFLSA-N 1 2 291.354 3.724 20 0 CHADLO c1cn2c(cccc2Nc2ccc(C3CCOCC3)cc2)[nH+]1 ZINC001212649463 1132150748 /nfs/dbraw/zinc/15/07/48/1132150748.db2.gz HFRFYXYOCVVDTJ-UHFFFAOYSA-N 1 2 293.370 3.972 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccc(C)nc2Cl)n1 ZINC000838928626 1132159985 /nfs/dbraw/zinc/15/99/85/1132159985.db2.gz QJKUZYCSDWEQAX-JTQLQIEISA-N 1 2 281.812 3.659 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1c(F)cc(Br)cc1F ZINC001141551833 1132163716 /nfs/dbraw/zinc/16/37/16/1132163716.db2.gz RSQMUXHYWDBVJN-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1c(F)cc(Br)cc1F ZINC001141551833 1132163718 /nfs/dbraw/zinc/16/37/18/1132163718.db2.gz RSQMUXHYWDBVJN-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001172334816 1132174138 /nfs/dbraw/zinc/17/41/38/1132174138.db2.gz CTUDPKHFPSYICE-FRRDWIJNSA-N 1 2 281.362 3.649 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@H+]1CCC[C@@H](F)C(F)(F)C1 ZINC001172334816 1132174142 /nfs/dbraw/zinc/17/41/42/1132174142.db2.gz CTUDPKHFPSYICE-FRRDWIJNSA-N 1 2 281.362 3.649 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+]CC(F)(F)C(F)(F)F)C[C@H]1C ZINC001172361422 1132188371 /nfs/dbraw/zinc/18/83/71/1132188371.db2.gz WERBLVNBFLPIDA-HLTSFMKQSA-N 1 2 259.262 3.598 20 0 CHADLO CCOCC[C@H](C)[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001172426950 1132205714 /nfs/dbraw/zinc/20/57/14/1132205714.db2.gz KAEKCOJWXGHTPI-BBRMVZONSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCC[C@H](C)[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001172426950 1132205719 /nfs/dbraw/zinc/20/57/19/1132205719.db2.gz KAEKCOJWXGHTPI-BBRMVZONSA-N 1 2 297.826 3.528 20 0 CHADLO Cc1cccc2c1[C@H](Oc1cc3c(cc1O)C=[NH+]CC3)CC2 ZINC001230916381 1132227515 /nfs/dbraw/zinc/22/75/15/1132227515.db2.gz ZBMNCFDRLDHABO-QGZVFWFLSA-N 1 2 293.366 3.742 20 0 CHADLO C[C@@H]1CCC[C@@H](Oc2cc3c(cc2O)C=[NH+]CC3)[C@@H]1C ZINC001230922105 1132228845 /nfs/dbraw/zinc/22/88/45/1132228845.db2.gz YWMJYVYZAYCURH-XHBSWPGZSA-N 1 2 273.376 3.571 20 0 CHADLO C[C@H]1CC[C@@H](Oc2ccc(-c3c[nH+]cn3C)cc2)C1 ZINC001231078422 1132251900 /nfs/dbraw/zinc/25/19/00/1132251900.db2.gz BMYDGDPPPWLEBD-SWLSCSKDSA-N 1 2 256.349 3.655 20 0 CHADLO C[C@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1ccccn1 ZINC001231082981 1132252802 /nfs/dbraw/zinc/25/28/02/1132252802.db2.gz YUPIQAIITNFFSY-ZDUSSCGKSA-N 1 2 279.343 3.622 20 0 CHADLO CO[C@@H](COc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccccc1 ZINC001231082125 1132253869 /nfs/dbraw/zinc/25/38/69/1132253869.db2.gz ROPUNQHSOBXQGL-KRWDZBQOSA-N 1 2 294.354 3.843 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)[C@@H]1C[C@@H]1C ZINC000840741649 1132280039 /nfs/dbraw/zinc/28/00/39/1132280039.db2.gz FOHZVWGLEHBPNH-ZZCKCESHSA-N 1 2 288.435 3.758 20 0 CHADLO OCCc1cccc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001203116095 1132282407 /nfs/dbraw/zinc/28/24/07/1132282407.db2.gz SWTVEABHCPZCOF-UHFFFAOYSA-N 1 2 296.414 3.955 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)cc1Cl ZINC001231418632 1132292241 /nfs/dbraw/zinc/29/22/41/1132292241.db2.gz YILZQNHKLHWLDY-GDBMZVCRSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)cc1Cl ZINC001231418632 1132292248 /nfs/dbraw/zinc/29/22/48/1132292248.db2.gz YILZQNHKLHWLDY-GDBMZVCRSA-N 1 2 291.822 3.982 20 0 CHADLO C[N@H+](CCN1CCCC1)Cc1cccc(-c2ccccc2)c1 ZINC001231511675 1132311228 /nfs/dbraw/zinc/31/12/28/1132311228.db2.gz USRXELREYHAYLA-UHFFFAOYSA-N 1 2 294.442 3.881 20 0 CHADLO C[N@@H+](CCN1CCCC1)Cc1cccc(-c2ccccc2)c1 ZINC001231511675 1132311234 /nfs/dbraw/zinc/31/12/34/1132311234.db2.gz USRXELREYHAYLA-UHFFFAOYSA-N 1 2 294.442 3.881 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ccc(O)c(C(F)(F)F)c2)C1 ZINC001231524888 1132313477 /nfs/dbraw/zinc/31/34/77/1132313477.db2.gz XQKDUEVLCJVCNQ-ZDUSSCGKSA-N 1 2 291.288 3.735 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ccc(O)c(C(F)(F)F)c2)C1 ZINC001231524888 1132313486 /nfs/dbraw/zinc/31/34/86/1132313486.db2.gz XQKDUEVLCJVCNQ-ZDUSSCGKSA-N 1 2 291.288 3.735 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2nc3c(s2)CCCC3)C1 ZINC001231581039 1132318490 /nfs/dbraw/zinc/31/84/90/1132318490.db2.gz WBQQRFRJSDZGRK-OAHLLOKOSA-N 1 2 282.428 3.736 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2nc3c(s2)CCCC3)C1 ZINC001231581039 1132318496 /nfs/dbraw/zinc/31/84/96/1132318496.db2.gz WBQQRFRJSDZGRK-OAHLLOKOSA-N 1 2 282.428 3.736 20 0 CHADLO COc1cc(C)c(C[NH+]2CC(OC(C)C)C2)cc1C(C)C ZINC001231629134 1132330956 /nfs/dbraw/zinc/33/09/56/1132330956.db2.gz QYIMVSJOZJURSF-UHFFFAOYSA-N 1 2 291.435 3.736 20 0 CHADLO CCCOC1C[NH+]([C@@H](C)Cc2ccc(F)cc2Cl)C1 ZINC001172868094 1132332624 /nfs/dbraw/zinc/33/26/24/1132332624.db2.gz DTZOJPWDJWGHCL-NSHDSACASA-N 1 2 285.790 3.521 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCOC[C@H]1C1CC1 ZINC001172861283 1132346784 /nfs/dbraw/zinc/34/67/84/1132346784.db2.gz JIDWPFFREXWXKR-BZNIZROVSA-N 1 2 297.801 3.521 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCOC[C@H]1C1CC1 ZINC001172861283 1132346793 /nfs/dbraw/zinc/34/67/93/1132346793.db2.gz JIDWPFFREXWXKR-BZNIZROVSA-N 1 2 297.801 3.521 20 0 CHADLO CC(C)Oc1ccc(C[NH+]2CC(C)C2)cc1Br ZINC001231723781 1132347736 /nfs/dbraw/zinc/34/77/36/1132347736.db2.gz PMRRMBBZEFCVPN-UHFFFAOYSA-N 1 2 298.224 3.688 20 0 CHADLO Oc1cc(C[N@@H+]2CCC[C@H]2c2ccccn2)ccc1Cl ZINC001231734016 1132349861 /nfs/dbraw/zinc/34/98/61/1132349861.db2.gz OAMWSLDYEAJVFY-HNNXBMFYSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cc(C[N@H+]2CCC[C@H]2c2ccccn2)ccc1Cl ZINC001231734016 1132349870 /nfs/dbraw/zinc/34/98/70/1132349870.db2.gz OAMWSLDYEAJVFY-HNNXBMFYSA-N 1 2 288.778 3.778 20 0 CHADLO CCOC1C[NH+](Cc2c(OC(C)C)ccc3ccccc32)C1 ZINC001231735426 1132351744 /nfs/dbraw/zinc/35/17/44/1132351744.db2.gz PEUNSHXKPUFZKL-UHFFFAOYSA-N 1 2 299.414 3.848 20 0 CHADLO c1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)n(C2CCC2)n1 ZINC001212695197 1132373668 /nfs/dbraw/zinc/37/36/68/1132373668.db2.gz SLSINIILPDJTNO-UHFFFAOYSA-N 1 2 293.374 3.597 20 0 CHADLO c1cn(Cc2ccc(Nc3cccc4c3CCO4)cc2)c[nH+]1 ZINC001212697600 1132377233 /nfs/dbraw/zinc/37/72/33/1132377233.db2.gz IRPOTJWEGIGRDH-UHFFFAOYSA-N 1 2 291.354 3.610 20 0 CHADLO CCc1cccc(O)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212699777 1132378264 /nfs/dbraw/zinc/37/82/64/1132378264.db2.gz COGULJBWWZRHSY-UHFFFAOYSA-N 1 2 293.370 3.943 20 0 CHADLO CSc1ncc(C[N@@H+]2CCCC3(CCC3)C2)c(Cl)n1 ZINC001142435970 1132387625 /nfs/dbraw/zinc/38/76/25/1132387625.db2.gz VAIVULUECMPLFF-UHFFFAOYSA-N 1 2 297.855 3.618 20 0 CHADLO CSc1ncc(C[N@H+]2CCCC3(CCC3)C2)c(Cl)n1 ZINC001142435970 1132387632 /nfs/dbraw/zinc/38/76/32/1132387632.db2.gz VAIVULUECMPLFF-UHFFFAOYSA-N 1 2 297.855 3.618 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(OC(C)C)cc1Cl ZINC000841878061 1132399639 /nfs/dbraw/zinc/39/96/39/1132399639.db2.gz TVWUNROJGOWXEL-UHFFFAOYSA-N 1 2 293.798 3.956 20 0 CHADLO FC(F)(F)c1cnc(Cl)cc1C[NH+]1C2CCC1CC2 ZINC001231931660 1132401534 /nfs/dbraw/zinc/40/15/34/1132401534.db2.gz FVVVNOVZGHMOEK-UHFFFAOYSA-N 1 2 290.716 3.881 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2ncccc2C(F)(F)F)C1 ZINC001231961413 1132404287 /nfs/dbraw/zinc/40/42/87/1132404287.db2.gz DNSWGJIRBRTNAP-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2ncccc2C(F)(F)F)C1 ZINC001231961413 1132404291 /nfs/dbraw/zinc/40/42/91/1132404291.db2.gz DNSWGJIRBRTNAP-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@]1(C(=O)Nc2ccc(Cn3cc[nH+]c3)cc2)CC=CCC1 ZINC001142549091 1132406050 /nfs/dbraw/zinc/40/60/50/1132406050.db2.gz ZAGPGPCJIPNWMJ-SFHVURJKSA-N 1 2 295.386 3.616 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cc(Cl)cnc1F ZINC001231991393 1132406609 /nfs/dbraw/zinc/40/66/09/1132406609.db2.gz BTOHRYLQQDZYIF-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cc(Cl)cnc1F ZINC001231991393 1132406610 /nfs/dbraw/zinc/40/66/10/1132406610.db2.gz BTOHRYLQQDZYIF-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(Cl)cnc2F)cc1 ZINC001231992684 1132407499 /nfs/dbraw/zinc/40/74/99/1132407499.db2.gz MOASAUJPLVPWMK-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(Cl)cnc2F)cc1 ZINC001231992684 1132407502 /nfs/dbraw/zinc/40/75/02/1132407502.db2.gz MOASAUJPLVPWMK-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[N@@H+]1Cc2cccnc2C1 ZINC001232001986 1132408504 /nfs/dbraw/zinc/40/85/04/1132408504.db2.gz MORIUTOJBXRYMI-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[N@H+]1Cc2cccnc2C1 ZINC001232001986 1132408507 /nfs/dbraw/zinc/40/85/07/1132408507.db2.gz MORIUTOJBXRYMI-UHFFFAOYSA-N 1 2 292.304 3.925 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)cc(C)n1 ZINC001232004172 1132409570 /nfs/dbraw/zinc/40/95/70/1132409570.db2.gz MOYRUDWVDMSPRA-QFBILLFUSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)cc(C)n1 ZINC001232004172 1132409573 /nfs/dbraw/zinc/40/95/73/1132409573.db2.gz MOYRUDWVDMSPRA-QFBILLFUSA-N 1 2 296.414 3.660 20 0 CHADLO CC1(C)CC[N@H+](Cc2cc(C(F)(F)F)cnc2Cl)C1 ZINC001232009009 1132410401 /nfs/dbraw/zinc/41/04/01/1132410401.db2.gz NQLWSIUINKLOSI-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO CC1(C)CC[N@@H+](Cc2cc(C(F)(F)F)cnc2Cl)C1 ZINC001232009009 1132410405 /nfs/dbraw/zinc/41/04/05/1132410405.db2.gz NQLWSIUINKLOSI-UHFFFAOYSA-N 1 2 292.732 3.986 20 0 CHADLO CCc1ccc(C[C@H](C)[N@@H+]2CCC(F)(F)C2)cc1 ZINC001173252540 1132422674 /nfs/dbraw/zinc/42/26/74/1132422674.db2.gz MLHXWPDRGVAUKQ-LBPRGKRZSA-N 1 2 253.336 3.521 20 0 CHADLO CCc1ccc(C[C@H](C)[N@H+]2CCC(F)(F)C2)cc1 ZINC001173252540 1132422677 /nfs/dbraw/zinc/42/26/77/1132422677.db2.gz MLHXWPDRGVAUKQ-LBPRGKRZSA-N 1 2 253.336 3.521 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1nc(Cl)ccc1F ZINC001232155638 1132428661 /nfs/dbraw/zinc/42/86/61/1132428661.db2.gz CFJGLDCHQCPRFF-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1nc(Cl)ccc1F ZINC001232155638 1132428663 /nfs/dbraw/zinc/42/86/63/1132428663.db2.gz CFJGLDCHQCPRFF-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccnc3c(F)cccc23)C[C@@H]1F ZINC001232209607 1132435252 /nfs/dbraw/zinc/43/52/52/1132435252.db2.gz IFVWKJYTLZLFGA-HOCLYGCPSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccnc3c(F)cccc23)C[C@@H]1F ZINC001232209607 1132435256 /nfs/dbraw/zinc/43/52/56/1132435256.db2.gz IFVWKJYTLZLFGA-HOCLYGCPSA-N 1 2 294.320 3.646 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ncsc2C)cc1 ZINC001232206020 1132435344 /nfs/dbraw/zinc/43/53/44/1132435344.db2.gz DJRMNOQDBIDBNY-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ncsc2C)cc1 ZINC001232206020 1132435346 /nfs/dbraw/zinc/43/53/46/1132435346.db2.gz DJRMNOQDBIDBNY-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO FC(F)(F)c1cc(C[NH+]2CC3(C2)CCCCC3)ccn1 ZINC001232240574 1132443351 /nfs/dbraw/zinc/44/33/51/1132443351.db2.gz MPTPTDOLYXZEDF-UHFFFAOYSA-N 1 2 284.325 3.867 20 0 CHADLO CC[N@H+](CCN1CCCCC1)Cc1cc(Cl)cs1 ZINC001232281222 1132448799 /nfs/dbraw/zinc/44/87/99/1132448799.db2.gz ZLVMBDNEUGYSQG-UHFFFAOYSA-N 1 2 286.872 3.709 20 0 CHADLO CC[N@@H+](CCN1CCCCC1)Cc1cc(Cl)cs1 ZINC001232281222 1132448802 /nfs/dbraw/zinc/44/88/02/1132448802.db2.gz ZLVMBDNEUGYSQG-UHFFFAOYSA-N 1 2 286.872 3.709 20 0 CHADLO CSc1cc(C)c(C[NH+]2Cc3ccccc3C2)cn1 ZINC001232345920 1132457729 /nfs/dbraw/zinc/45/77/29/1132457729.db2.gz MQVSXKGWZVZHCT-UHFFFAOYSA-N 1 2 270.401 3.628 20 0 CHADLO COc1ccc(OC(C)C)c(C[NH+]2Cc3ccccc3C2)c1 ZINC001232387829 1132464474 /nfs/dbraw/zinc/46/44/74/1132464474.db2.gz SWXSSKCKGXITGM-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1[nH]nc2c1CCC2 ZINC001232430517 1132468809 /nfs/dbraw/zinc/46/88/09/1132468809.db2.gz ZOUPDMSHYXEZEI-NSHDSACASA-N 1 2 289.810 3.745 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1[nH]nc2c1CCC2 ZINC001232430517 1132468814 /nfs/dbraw/zinc/46/88/14/1132468814.db2.gz ZOUPDMSHYXEZEI-NSHDSACASA-N 1 2 289.810 3.745 20 0 CHADLO FC1(F)CCC([NH2+]c2ccc(N3CCOCC3)cc2)CC1 ZINC000842856464 1132470678 /nfs/dbraw/zinc/47/06/78/1132470678.db2.gz VCZJDOJHIOIMJS-UHFFFAOYSA-N 1 2 296.361 3.513 20 0 CHADLO CCOC(=O)C1([NH2+][C@@H]2CCCC3(CCCCC3)C2)CC1 ZINC001173348133 1132472603 /nfs/dbraw/zinc/47/26/03/1132472603.db2.gz IZMBEDUXHJQOQF-CQSZACIVSA-N 1 2 279.424 3.565 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccc3ccccc3c2)[nH]1 ZINC001232466356 1132473562 /nfs/dbraw/zinc/47/35/62/1132473562.db2.gz YBMFTBFDNLFZGA-UHFFFAOYSA-N 1 2 265.360 3.503 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccc3ccccc3c2)[nH]1 ZINC001232466356 1132473565 /nfs/dbraw/zinc/47/35/65/1132473565.db2.gz YBMFTBFDNLFZGA-UHFFFAOYSA-N 1 2 265.360 3.503 20 0 CHADLO CC[NH2+]Cc1noc([C@H](CC)CC2CCCCC2)n1 ZINC000843144551 1132496593 /nfs/dbraw/zinc/49/65/93/1132496593.db2.gz ZXYMIMLLTKKHIP-CYBMUJFWSA-N 1 2 265.401 3.643 20 0 CHADLO COC[C@H]1CCC[N@@H+]1Cc1cc(F)c(Cl)cc1Cl ZINC001232698567 1132507094 /nfs/dbraw/zinc/50/70/94/1132507094.db2.gz PEQQEQAXIOBVHD-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@H]1CCC[N@H+]1Cc1cc(F)c(Cl)cc1Cl ZINC001232698567 1132507100 /nfs/dbraw/zinc/50/71/00/1132507100.db2.gz PEQQEQAXIOBVHD-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@H]1CCC[N@H+](Cc2cc(F)c(Cl)cc2Cl)C1 ZINC001232697957 1132507980 /nfs/dbraw/zinc/50/79/80/1132507980.db2.gz HBBCBUHMHLRLMI-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@H]1CCC[N@@H+](Cc2cc(F)c(Cl)cc2Cl)C1 ZINC001232697957 1132507987 /nfs/dbraw/zinc/50/79/87/1132507987.db2.gz HBBCBUHMHLRLMI-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO Clc1ccc2cc(C[N@@H+]3CCn4cccc4C3)[nH]c2c1 ZINC001232730612 1132510068 /nfs/dbraw/zinc/51/00/68/1132510068.db2.gz WOPAPZSZZZWCCI-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Clc1ccc2cc(C[N@H+]3CCn4cccc4C3)[nH]c2c1 ZINC001232730612 1132510072 /nfs/dbraw/zinc/51/00/72/1132510072.db2.gz WOPAPZSZZZWCCI-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1cccc3ncsc31)C2 ZINC001232735663 1132511568 /nfs/dbraw/zinc/51/15/68/1132511568.db2.gz PVUWRLUYEXHBAW-UHFFFAOYSA-N 1 2 284.359 3.951 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1cccc3ncsc31)C2 ZINC001232735663 1132511574 /nfs/dbraw/zinc/51/15/74/1132511574.db2.gz PVUWRLUYEXHBAW-UHFFFAOYSA-N 1 2 284.359 3.951 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2cccc3ncsc32)C1 ZINC001232733954 1132512079 /nfs/dbraw/zinc/51/20/79/1132512079.db2.gz NTNWSMOPQCDEOS-UHFFFAOYSA-N 1 2 282.359 3.918 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2cccc3ncsc32)C1 ZINC001232733954 1132512080 /nfs/dbraw/zinc/51/20/80/1132512080.db2.gz NTNWSMOPQCDEOS-UHFFFAOYSA-N 1 2 282.359 3.918 20 0 CHADLO Cc1c(Cl)nccc1C[N@H+](C)Cc1ccccc1F ZINC001232752365 1132517044 /nfs/dbraw/zinc/51/70/44/1132517044.db2.gz HUPZXFGGTQGCMS-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1c(Cl)nccc1C[N@@H+](C)Cc1ccccc1F ZINC001232752365 1132517053 /nfs/dbraw/zinc/51/70/53/1132517053.db2.gz HUPZXFGGTQGCMS-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[NH+]2CCC(F)(F)CC2)c(F)cc1F ZINC001232779769 1132518019 /nfs/dbraw/zinc/51/80/19/1132518019.db2.gz FYTJQMHIJJPLIH-UHFFFAOYSA-N 1 2 261.262 3.504 20 0 CHADLO Brc1c[nH+]c2ccc(Nc3ccsc3)cn12 ZINC001173818110 1132523153 /nfs/dbraw/zinc/52/31/53/1132523153.db2.gz DYPFTUHNYVXJJQ-UHFFFAOYSA-N 1 2 294.177 3.902 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1ccc(C(F)F)cc1 ZINC001143252991 1132523959 /nfs/dbraw/zinc/52/39/59/1132523959.db2.gz KEWIOPLZIPQDFG-UHFFFAOYSA-N 1 2 289.325 3.939 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1ccc(C(F)F)cc1 ZINC001143252991 1132523967 /nfs/dbraw/zinc/52/39/67/1132523967.db2.gz KEWIOPLZIPQDFG-UHFFFAOYSA-N 1 2 289.325 3.939 20 0 CHADLO CC(C)[N@H+](C)Cc1c(Cl)cc(Cl)nc1Cl ZINC001232847295 1132528132 /nfs/dbraw/zinc/52/81/32/1132528132.db2.gz UORPINCRWZFICM-UHFFFAOYSA-N 1 2 267.587 3.882 20 0 CHADLO CC(C)[N@@H+](C)Cc1c(Cl)cc(Cl)nc1Cl ZINC001232847295 1132528136 /nfs/dbraw/zinc/52/81/36/1132528136.db2.gz UORPINCRWZFICM-UHFFFAOYSA-N 1 2 267.587 3.882 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2coc(C3CC3)n2)CC2CC2)cn1 ZINC000843424098 1132533265 /nfs/dbraw/zinc/53/32/65/1132533265.db2.gz VRIPMFQTUBSMCB-UHFFFAOYSA-N 1 2 297.402 3.668 20 0 CHADLO Cc1ccc(C[N@H+](Cc2coc(C3CC3)n2)CC2CC2)cn1 ZINC000843424098 1132533270 /nfs/dbraw/zinc/53/32/70/1132533270.db2.gz VRIPMFQTUBSMCB-UHFFFAOYSA-N 1 2 297.402 3.668 20 0 CHADLO COc1ccc(Cl)cc1C[NH+]1CC(C)(CC(F)F)C1 ZINC000843425257 1132533461 /nfs/dbraw/zinc/53/34/61/1132533461.db2.gz ZDIIBXIBSNUGSM-UHFFFAOYSA-N 1 2 289.753 3.826 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2N2CCCC2)cc1 ZINC001173801163 1132534947 /nfs/dbraw/zinc/53/49/47/1132534947.db2.gz UAFLIVQQBXIBGY-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO COC1(C)C[NH+](Cc2cccc(SC(F)(F)F)c2)C1 ZINC001232946384 1132547180 /nfs/dbraw/zinc/54/71/80/1132547180.db2.gz YVOUXHSPSMXCMN-UHFFFAOYSA-N 1 2 291.338 3.519 20 0 CHADLO Fc1ccccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001173847199 1132549763 /nfs/dbraw/zinc/54/97/63/1132549763.db2.gz QZUVUIASFJRYNB-UHFFFAOYSA-N 1 2 267.307 3.814 20 0 CHADLO CCOc1ccccc1Nc1ccc(N(C)CC)[nH+]c1 ZINC001173849529 1132552008 /nfs/dbraw/zinc/55/20/08/1132552008.db2.gz FKDZNBJRFVWBNY-UHFFFAOYSA-N 1 2 271.364 3.680 20 0 CHADLO CC(C)(C)OC(=O)Nc1cc(Nc2cccnc2)cc[nH+]1 ZINC001173853951 1132557410 /nfs/dbraw/zinc/55/74/10/1132557410.db2.gz RABOFTFPHTYFBY-UHFFFAOYSA-N 1 2 286.335 3.567 20 0 CHADLO C[N@H+](Cc1ccccc1Cl)Cc1cc(Cl)ncc1O ZINC001233035544 1132564441 /nfs/dbraw/zinc/56/44/41/1132564441.db2.gz FONSBADDPFJRGC-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO COc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1F ZINC001173862910 1132565334 /nfs/dbraw/zinc/56/53/34/1132565334.db2.gz OFHMEEZXEWDKAR-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(OC)c(F)c2)c1 ZINC001173863879 1132566210 /nfs/dbraw/zinc/56/62/10/1132566210.db2.gz YOSXOTDHZFTTCS-UHFFFAOYSA-N 1 2 260.312 3.925 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2n[nH]c3cc(F)ccc32)C[C@H]1C ZINC001233119834 1132572948 /nfs/dbraw/zinc/57/29/48/1132572948.db2.gz PTXVMNIYBYBHTN-NWDGAFQWSA-N 1 2 275.371 3.570 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2n[nH]c3cc(F)ccc32)C[C@H]1C ZINC001233119834 1132572954 /nfs/dbraw/zinc/57/29/54/1132572954.db2.gz PTXVMNIYBYBHTN-NWDGAFQWSA-N 1 2 275.371 3.570 20 0 CHADLO CC[N@H+](Cc1cnc(C)c(C)c1)Cc1cccc(F)c1F ZINC001233161390 1132573670 /nfs/dbraw/zinc/57/36/70/1132573670.db2.gz AAHRSJLTHZQDCU-UHFFFAOYSA-N 1 2 290.357 3.999 20 0 CHADLO CC[N@@H+](Cc1cnc(C)c(C)c1)Cc1cccc(F)c1F ZINC001233161390 1132573672 /nfs/dbraw/zinc/57/36/72/1132573672.db2.gz AAHRSJLTHZQDCU-UHFFFAOYSA-N 1 2 290.357 3.999 20 0 CHADLO CCC(CC)[N@H+](C)Cc1nc(C)sc1Br ZINC001233159356 1132573702 /nfs/dbraw/zinc/57/37/02/1132573702.db2.gz HGZQEMCWTHFMJD-UHFFFAOYSA-N 1 2 291.258 3.834 20 0 CHADLO CCC(CC)[N@@H+](C)Cc1nc(C)sc1Br ZINC001233159356 1132573704 /nfs/dbraw/zinc/57/37/04/1132573704.db2.gz HGZQEMCWTHFMJD-UHFFFAOYSA-N 1 2 291.258 3.834 20 0 CHADLO Cc1nc(-c2ccc(Nc3ccc(C)[nH+]c3C)cc2)no1 ZINC001203376489 1132588979 /nfs/dbraw/zinc/58/89/79/1132588979.db2.gz MRFDJXIYMJSUAA-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO Cc1cc(N2CCO[C@H](c3ccco3)C2)[nH+]c2ccccc12 ZINC000133420416 1132598874 /nfs/dbraw/zinc/59/88/74/1132598874.db2.gz MENZSJHTWWZTJG-KRWDZBQOSA-N 1 2 294.354 3.714 20 0 CHADLO CCc1oc2ccccc2c1C(=O)Nc1cc[nH+]c(C)c1 ZINC000133797160 1132604445 /nfs/dbraw/zinc/60/44/45/1132604445.db2.gz RSGRLGROVTYJAU-UHFFFAOYSA-N 1 2 280.327 3.951 20 0 CHADLO COc1cc(F)c(C[N@@H+]2CCCC[C@@](C)(F)C2)cc1F ZINC001233340523 1132605251 /nfs/dbraw/zinc/60/52/51/1132605251.db2.gz GMHIOHNQPYZCMS-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO COc1cc(F)c(C[N@H+]2CCCC[C@@](C)(F)C2)cc1F ZINC001233340523 1132605254 /nfs/dbraw/zinc/60/52/54/1132605254.db2.gz GMHIOHNQPYZCMS-OAHLLOKOSA-N 1 2 287.325 3.688 20 0 CHADLO COc1cc(F)c(C[NH+]2CC3(C2)CCCCC3)cc1F ZINC001233343967 1132606574 /nfs/dbraw/zinc/60/65/74/1132606574.db2.gz IFIRXWZTLUUMHR-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@H+]1Cc1c(Cl)ncnc1Cl ZINC001233407091 1132615495 /nfs/dbraw/zinc/61/54/95/1132615495.db2.gz QOVIAIVLFUHRNB-DTORHVGOSA-N 1 2 274.195 3.546 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@@H+]1Cc1c(Cl)ncnc1Cl ZINC001233407091 1132615499 /nfs/dbraw/zinc/61/54/99/1132615499.db2.gz QOVIAIVLFUHRNB-DTORHVGOSA-N 1 2 274.195 3.546 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccccc2)c(Cl)c1O ZINC001233397253 1132615568 /nfs/dbraw/zinc/61/55/68/1132615568.db2.gz QTSLJFCLIOOIPV-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccccc2)c(Cl)c1O ZINC001233397253 1132615573 /nfs/dbraw/zinc/61/55/73/1132615573.db2.gz QTSLJFCLIOOIPV-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO CC[C@H](C)C1CCC(NC(=O)c2cccc3[nH+]ccn32)CC1 ZINC001154525572 1132616893 /nfs/dbraw/zinc/61/68/93/1132616893.db2.gz NFQBCVWSMDVAGT-NFOMZHRRSA-N 1 2 299.418 3.669 20 0 CHADLO Brc1cc(C[N@@H+]2CC[C@H]2c2ccccc2)c[nH]1 ZINC001233437973 1132623328 /nfs/dbraw/zinc/62/33/28/1132623328.db2.gz VXNUHHJQBARQDV-ZDUSSCGKSA-N 1 2 291.192 3.724 20 0 CHADLO Brc1cc(C[N@H+]2CC[C@H]2c2ccccc2)c[nH]1 ZINC001233437973 1132623331 /nfs/dbraw/zinc/62/33/31/1132623331.db2.gz VXNUHHJQBARQDV-ZDUSSCGKSA-N 1 2 291.192 3.724 20 0 CHADLO CCc1coc(CNc2[nH+]c(C)cc(Cl)c2C)n1 ZINC001154778063 1132624558 /nfs/dbraw/zinc/62/45/58/1132624558.db2.gz AEOORNCEDRTWNB-UHFFFAOYSA-N 1 2 265.744 3.514 20 0 CHADLO CSc1cc[nH+]c(NCc2ccc3c(ccn3C)c2)c1 ZINC001154806487 1132625393 /nfs/dbraw/zinc/62/53/93/1132625393.db2.gz SBBIEFNOGFJVJT-UHFFFAOYSA-N 1 2 283.400 3.907 20 0 CHADLO Cc1ccnc(CNc2cc(C3CCCCC3)[nH+]c(C)n2)n1 ZINC001154821077 1132625915 /nfs/dbraw/zinc/62/59/15/1132625915.db2.gz OZAXBCKRRQWGDC-UHFFFAOYSA-N 1 2 297.406 3.543 20 0 CHADLO Cc1nc(NCC(C)(F)F)cc(C2CCCCC2)[nH+]1 ZINC001154858277 1132628425 /nfs/dbraw/zinc/62/84/25/1132628425.db2.gz HTSLWTHJLFJSRR-UHFFFAOYSA-N 1 2 269.339 3.900 20 0 CHADLO Cc1cccc2c(C)cc(NC[C@H](O)c3ccco3)[nH+]c12 ZINC001154869375 1132629806 /nfs/dbraw/zinc/62/98/06/1132629806.db2.gz VQBNVBBGHCXBBT-AWEZNQCLSA-N 1 2 282.343 3.590 20 0 CHADLO COc1ccc(F)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001173955929 1132630050 /nfs/dbraw/zinc/63/00/50/1132630050.db2.gz VZEIILBIGJZNLN-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO Cc1cccc2ncc(CNc3ccc(C(C)(C)C)c[nH+]3)n21 ZINC001154950817 1132632765 /nfs/dbraw/zinc/63/27/65/1132632765.db2.gz SRTXMJZQEUVNIT-UHFFFAOYSA-N 1 2 294.402 3.947 20 0 CHADLO Cc1cc(N[C@@H]2CCCC[C@H]2F)nc(C(C)(C)C)[nH+]1 ZINC001155062393 1132638267 /nfs/dbraw/zinc/63/82/67/1132638267.db2.gz IGEGOJKFLANEHB-VXGBXAGGSA-N 1 2 265.376 3.775 20 0 CHADLO Cc1cc(F)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001173968839 1132639504 /nfs/dbraw/zinc/63/95/04/1132639504.db2.gz OCOOIUDNNONBJV-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2C(=O)[C@@H]2CCCC2(C)C)[nH+]c1C ZINC001155174763 1132645250 /nfs/dbraw/zinc/64/52/50/1132645250.db2.gz NSBKEOSPEVWMTF-KBPBESRZSA-N 1 2 289.423 3.516 20 0 CHADLO C/C=C(/C=C\C(=O)N1CCC[C@H]1c1[nH]c(C)c(C)[nH+]1)CC ZINC001155173523 1132645318 /nfs/dbraw/zinc/64/53/18/1132645318.db2.gz FXOIFUIIUBOASU-KPMUMZPYSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1nc(C)c(-c2ccc(NC(=[NH2+])C(C)(C)C)nn2)s1 ZINC001155181703 1132646388 /nfs/dbraw/zinc/64/63/88/1132646388.db2.gz OQBHUSANUQJMFS-UHFFFAOYSA-N 1 2 289.408 3.652 20 0 CHADLO Clc1cccc2nc(C[NH+]3CC4(C3)CCCCC4)cn21 ZINC001233600125 1132646735 /nfs/dbraw/zinc/64/67/35/1132646735.db2.gz UTSIQALUTJSWBK-UHFFFAOYSA-N 1 2 289.810 3.754 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cncc(-c2ccc(F)cc2)n1 ZINC001155181388 1132646748 /nfs/dbraw/zinc/64/67/48/1132646748.db2.gz HFQHEHRESVTARL-UHFFFAOYSA-N 1 2 272.327 3.718 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2Nc1ccc2c(c1)CCO2 ZINC001174008959 1132676700 /nfs/dbraw/zinc/67/67/00/1132676700.db2.gz MWXIRNJKXKQOOY-UHFFFAOYSA-N 1 2 262.312 3.913 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)CCO3)c1 ZINC001174010870 1132677360 /nfs/dbraw/zinc/67/73/60/1132677360.db2.gz XERSLYLJJCSLEB-UHFFFAOYSA-N 1 2 254.333 3.713 20 0 CHADLO CNc1ccc(CNc2cc3ccccc3c[nH+]2)cc1 ZINC001156134996 1132683293 /nfs/dbraw/zinc/68/32/93/1132683293.db2.gz QKWDROGQPQKQKJ-UHFFFAOYSA-N 1 2 263.344 3.889 20 0 CHADLO CCOc1ccc[nH+]c1NCCCc1ccc(OC)cc1 ZINC001156168570 1132684882 /nfs/dbraw/zinc/68/48/82/1132684882.db2.gz GOKTWJCOPJXGHM-UHFFFAOYSA-N 1 2 286.375 3.534 20 0 CHADLO CCOc1ccc[nH+]c1NCc1cnc2ccccc2c1 ZINC001156176201 1132686586 /nfs/dbraw/zinc/68/65/86/1132686586.db2.gz KVLGBKKGSUHKLG-UHFFFAOYSA-N 1 2 279.343 3.641 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCCc1ccncc1 ZINC001156407378 1132692232 /nfs/dbraw/zinc/69/22/32/1132692232.db2.gz SQNSWJQLQOVIPY-UHFFFAOYSA-N 1 2 277.371 3.983 20 0 CHADLO Cc1cc(N2C[C@@H](C)CC23CC3)[nH+]c2ccccc12 ZINC001156411776 1132692261 /nfs/dbraw/zinc/69/22/61/1132692261.db2.gz BKUGBCZVBARADA-LBPRGKRZSA-N 1 2 252.361 3.922 20 0 CHADLO Nc1[nH+]c(NC2CCC(C(F)(F)F)CC2)ccc1Cl ZINC001156420429 1132695412 /nfs/dbraw/zinc/69/54/12/1132695412.db2.gz JXFJPDJIZLLLQM-UHFFFAOYSA-N 1 2 293.720 3.850 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC(C)(C)OC(C)(C)C2)[nH+]1 ZINC001156458335 1132697258 /nfs/dbraw/zinc/69/72/58/1132697258.db2.gz KGSRDADOLHZPNJ-UHFFFAOYSA-N 1 2 282.815 3.746 20 0 CHADLO CCOc1cc(Nc2cccc(COC)c2)cc(C)[nH+]1 ZINC001174093137 1132710061 /nfs/dbraw/zinc/71/00/61/1132710061.db2.gz DWVGYPHJEBKDEL-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO Cn1ccc2cc(Nc3cccn4cc[nH+]c34)ccc21 ZINC001174096392 1132713447 /nfs/dbraw/zinc/71/34/47/1132713447.db2.gz FANGUXPCTYORCC-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO Cc1cn2cccc(Nc3ccc4c(ccn4C)c3)c2[nH+]1 ZINC001174097048 1132714437 /nfs/dbraw/zinc/71/44/37/1132714437.db2.gz RWHGTOZPQYXQAV-UHFFFAOYSA-N 1 2 276.343 3.878 20 0 CHADLO Cc1cccc2c(C)cc(N(C)Cc3cncs3)[nH+]c12 ZINC001157083693 1132721396 /nfs/dbraw/zinc/72/13/96/1132721396.db2.gz PPXMDDGRQUQAAV-UHFFFAOYSA-N 1 2 283.400 3.945 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)nc(C(F)(F)F)c2)c[nH+]1 ZINC001213006747 1132723698 /nfs/dbraw/zinc/72/36/98/1132723698.db2.gz AHEKFCWILKJVMZ-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO CCOc1ccc[nH+]c1N[C@@H]1C[C@H]1c1ccc(F)c(F)c1 ZINC001157301564 1132726091 /nfs/dbraw/zinc/72/60/91/1132726091.db2.gz LURIWJKNXNTBLY-SMDDNHRTSA-N 1 2 290.313 3.727 20 0 CHADLO Nc1[nH+]c(N[C@@H]2C[C@H]2c2ccc(F)c(F)c2)ccc1Cl ZINC001157301852 1132726963 /nfs/dbraw/zinc/72/69/63/1132726963.db2.gz UZBDATRXLWBRBQ-QPUJVOFHSA-N 1 2 295.720 3.563 20 0 CHADLO c1ccc(C2(Nc3cc4ccccc4c[nH+]3)CC2)nc1 ZINC001157372965 1132730301 /nfs/dbraw/zinc/73/03/01/1132730301.db2.gz IZPCDSVSGZFDJM-UHFFFAOYSA-N 1 2 261.328 3.731 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@H](c1ccccn1)C(F)(F)F ZINC001157684874 1132738833 /nfs/dbraw/zinc/73/88/33/1132738833.db2.gz SEQSUBJJYAKWQR-GFCCVEGCSA-N 1 2 297.280 3.509 20 0 CHADLO c1cc2cnccc2c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001174135636 1132741955 /nfs/dbraw/zinc/74/19/55/1132741955.db2.gz CEOHFOMPAFHHKH-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO CC(C)c1ccc(N2CCC3(CCOC3(C)C)CC2)[nH+]c1 ZINC001157805195 1132743973 /nfs/dbraw/zinc/74/39/73/1132743973.db2.gz TXWVIDOAJIOOKZ-UHFFFAOYSA-N 1 2 288.435 3.991 20 0 CHADLO COc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)c(F)c1 ZINC001174144099 1132746380 /nfs/dbraw/zinc/74/63/80/1132746380.db2.gz UYOHYVLALMHJML-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO Cc1cc(N[C@@H]2CCNc3ccccc32)nc(C2CC2)[nH+]1 ZINC001157837934 1132747758 /nfs/dbraw/zinc/74/77/58/1132747758.db2.gz UWCBPOINPMYYSS-OAHLLOKOSA-N 1 2 280.375 3.631 20 0 CHADLO Cc1ccc(Nc2ccc(C(=O)NC(C)C)cc2)c(C)[nH+]1 ZINC001174147220 1132748552 /nfs/dbraw/zinc/74/85/52/1132748552.db2.gz KMEBCYUBLZEEOU-UHFFFAOYSA-N 1 2 283.375 3.580 20 0 CHADLO CCOC(=O)/C=C/c1ccc[nH+]c1N[C@H](C)C[C@H](C)CC ZINC001157882591 1132752865 /nfs/dbraw/zinc/75/28/65/1132752865.db2.gz URHDPMCUQABARE-NPUYYSGSSA-N 1 2 290.407 3.895 20 0 CHADLO Cc1cc(C)c(Nc2cccc(C(=O)N3CCCC3)c2)c[nH+]1 ZINC001174169634 1132753892 /nfs/dbraw/zinc/75/38/92/1132753892.db2.gz ZOSKJIFECBPRNU-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO COc1ccc(F)c(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001174209242 1132756965 /nfs/dbraw/zinc/75/69/65/1132756965.db2.gz PMOBHOGBDPPGAY-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO COc1cccnc1CNc1cc(C)c2cccc(C)c2[nH+]1 ZINC001158321537 1132769092 /nfs/dbraw/zinc/76/90/92/1132769092.db2.gz SKSVKXPKPNWUQP-UHFFFAOYSA-N 1 2 293.370 3.867 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)C(C)(C)NC(=O)N3)c(C)[nH+]1 ZINC001203380608 1132787521 /nfs/dbraw/zinc/78/75/21/1132787521.db2.gz LADSCVZKQFJHPK-UHFFFAOYSA-N 1 2 296.374 3.812 20 0 CHADLO Cc1nn(C)cc1Nc1ccc(Oc2cc[nH+]c(C)c2)cc1 ZINC001174336408 1132796144 /nfs/dbraw/zinc/79/61/44/1132796144.db2.gz ODENXOSEEWRBOR-UHFFFAOYSA-N 1 2 294.358 3.968 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H]2C[C@H]2c2ccccc2)c1 ZINC001158843063 1132797030 /nfs/dbraw/zinc/79/70/30/1132797030.db2.gz SEOXZJVJKDORRG-LSDHHAIUSA-N 1 2 270.401 3.913 20 0 CHADLO COc1cc(C)c[nH+]c1N(C)[C@@H]1CCc2ccccc21 ZINC001158920486 1132799008 /nfs/dbraw/zinc/79/90/08/1132799008.db2.gz PGEZRTVSKRNDAE-OAHLLOKOSA-N 1 2 268.360 3.522 20 0 CHADLO Cn1c[nH+]c2ccc(-n3ccc4c3cc(Cl)cc4N)cc21 ZINC001174349471 1132806827 /nfs/dbraw/zinc/80/68/27/1132806827.db2.gz AMFCDMPWRKDDIK-UHFFFAOYSA-N 1 2 296.761 3.753 20 0 CHADLO Cc1cc(C)c(Nc2c(Cl)cccc2CO)c[nH+]1 ZINC001159203419 1132816183 /nfs/dbraw/zinc/81/61/83/1132816183.db2.gz CUHRQWUUVMNXRK-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Clc1ccc2[nH+]ccc(Nc3cnc4[nH]ccc4c3)c2c1 ZINC001174396278 1132812659 /nfs/dbraw/zinc/81/26/59/1132812659.db2.gz WBXNIWIESGKJBR-UHFFFAOYSA-N 1 2 294.745 3.882 20 0 CHADLO CNc1ccc(Nc2ccc(Cl)c(C)c2F)c[nH+]1 ZINC001159253717 1132820091 /nfs/dbraw/zinc/82/00/91/1132820091.db2.gz GMXQSXICBSHVCW-UHFFFAOYSA-N 1 2 265.719 3.968 20 0 CHADLO COc1cc(Nc2ccc3ncsc3c2)cc(C)[nH+]1 ZINC001174364896 1132821838 /nfs/dbraw/zinc/82/18/38/1132821838.db2.gz IUIZGDBJFMHUDZ-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO Cc1ccc(Nc2ccc(-c3cnn(C)c3)cc2)c(C)[nH+]1 ZINC001174375853 1132826481 /nfs/dbraw/zinc/82/64/81/1132826481.db2.gz FNCBFPLDMHWOFV-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccc(O)cc3O)ccc21 ZINC001174381996 1132828253 /nfs/dbraw/zinc/82/82/53/1132828253.db2.gz YXUIBPSZINHUEN-UHFFFAOYSA-N 1 2 283.331 3.772 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3ccnn3CC3CC3)ccc21 ZINC001174381219 1132828397 /nfs/dbraw/zinc/82/83/97/1132828397.db2.gz BHVNCHNUZNXWCS-UHFFFAOYSA-N 1 2 295.390 3.967 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cc(F)ccn3)ccc21 ZINC001174381094 1132828698 /nfs/dbraw/zinc/82/86/98/1132828698.db2.gz UKAUDDQQQXDFEH-UHFFFAOYSA-N 1 2 270.311 3.895 20 0 CHADLO Cc1cnc(Nc2ccc3c(c2)[nH+]cn3C(C)C)o1 ZINC001174380323 1132829745 /nfs/dbraw/zinc/82/97/45/1132829745.db2.gz AMGYDDOGYZXSRR-UHFFFAOYSA-N 1 2 256.309 3.657 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc(SC)cc1)C2 ZINC001204141491 1132834500 /nfs/dbraw/zinc/83/45/00/1132834500.db2.gz UIIQHAMWBRPGRQ-UHFFFAOYSA-N 1 2 285.412 3.933 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc(SC)cc1)C2 ZINC001204141491 1132834511 /nfs/dbraw/zinc/83/45/11/1132834511.db2.gz UIIQHAMWBRPGRQ-UHFFFAOYSA-N 1 2 285.412 3.933 20 0 CHADLO Nc1nc(Cl)ccc1Nc1cccc2cc[nH+]cc21 ZINC001159379100 1132835641 /nfs/dbraw/zinc/83/56/41/1132835641.db2.gz BAUHOYYSTDYWNP-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO CC(C)CCn1cc(Nc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001174413020 1132851422 /nfs/dbraw/zinc/85/14/22/1132851422.db2.gz FEFJBASQTSHCCZ-UHFFFAOYSA-N 1 2 295.390 3.859 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc(N)cc1F ZINC001174484204 1132856330 /nfs/dbraw/zinc/85/63/30/1132856330.db2.gz DAMWGRWTMGHVOQ-UHFFFAOYSA-N 1 2 259.328 3.978 20 0 CHADLO c1cc2c(cc1Nc1ccc(N3CCCC3)[nH+]c1)NCCC2 ZINC001159604988 1132873726 /nfs/dbraw/zinc/87/37/26/1132873726.db2.gz XPPJATPQQOBAFD-UHFFFAOYSA-N 1 2 294.402 3.784 20 0 CHADLO CNC(=O)c1ccc(Nc2c(C)cc[nH+]c2C(C)C)cc1 ZINC001174511073 1132906417 /nfs/dbraw/zinc/90/64/17/1132906417.db2.gz PNQQMNPEFQYPEG-UHFFFAOYSA-N 1 2 283.375 3.617 20 0 CHADLO c1cc2c(c(Nc3ccc(N4CCCCC4)[nH+]c3)c1)COC2 ZINC001174523889 1132912757 /nfs/dbraw/zinc/91/27/57/1132912757.db2.gz XAVBPLVUDQRJQG-UHFFFAOYSA-N 1 2 295.386 3.846 20 0 CHADLO c1noc2ccc(Nc3ccc(N4CCCCC4)[nH+]c3)cc12 ZINC001174523835 1132913457 /nfs/dbraw/zinc/91/34/57/1132913457.db2.gz ULKDFQBNXLKEFK-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO COc1cc(Nc2cc[nH+]c(SC)c2)cc(F)c1F ZINC001174586434 1132917530 /nfs/dbraw/zinc/91/75/30/1132917530.db2.gz UBEPASSPGMBKTI-UHFFFAOYSA-N 1 2 282.315 3.834 20 0 CHADLO Cc1cc(O)ccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001174562275 1132925185 /nfs/dbraw/zinc/92/51/85/1132925185.db2.gz LYKZUAPLXBKOKU-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO CN(C)c1ccc(Nc2cccc(C(F)F)c2)c[nH+]1 ZINC001174619481 1132929328 /nfs/dbraw/zinc/92/93/28/1132929328.db2.gz SYPLRXBDUTZWHO-UHFFFAOYSA-N 1 2 263.291 3.829 20 0 CHADLO Cc1cn2cccc(Nc3cccc4[nH]ccc43)c2[nH+]1 ZINC001174646597 1132938668 /nfs/dbraw/zinc/93/86/68/1132938668.db2.gz BAECODNIJKALFN-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO Oc1ccc(Cl)c(Nc2ccc3[nH]c[nH+]c3c2)c1F ZINC001160224758 1132949769 /nfs/dbraw/zinc/94/97/69/1132949769.db2.gz YAXFGNPGYSAXKM-UHFFFAOYSA-N 1 2 277.686 3.805 20 0 CHADLO COc1c[nH+]c(NCC2(c3ccccc3)CC2)c(C)c1 ZINC001160262657 1132953525 /nfs/dbraw/zinc/95/35/25/1132953525.db2.gz LSSIUYSUABRHQN-UHFFFAOYSA-N 1 2 268.360 3.542 20 0 CHADLO Oc1ccc(Nc2cccc(C3CCC3)[nH+]2)cc1F ZINC001174733775 1132968196 /nfs/dbraw/zinc/96/81/96/1132968196.db2.gz ZMWSBVIDWWAKPJ-UHFFFAOYSA-N 1 2 258.296 3.937 20 0 CHADLO CSCc1cc[nH+]c(NCCc2cc(F)cc(F)c2)c1 ZINC001160575456 1132974944 /nfs/dbraw/zinc/97/49/44/1132974944.db2.gz YRFGMMJMBISLFO-UHFFFAOYSA-N 1 2 294.370 3.877 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3cc(C)c(F)cn3)c[nH+]c12 ZINC001160683341 1132978915 /nfs/dbraw/zinc/97/89/15/1132978915.db2.gz LVFSLZOSVDCMTM-LBPRGKRZSA-N 1 2 284.338 3.658 20 0 CHADLO Cc1cnc(Cl)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213027016 1132983845 /nfs/dbraw/zinc/98/38/45/1132983845.db2.gz DNYFKGNPFWERHM-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO CCOc1ccc[nH+]c1NCc1nccc(Cl)c1Cl ZINC001160931935 1132987259 /nfs/dbraw/zinc/98/72/59/1132987259.db2.gz MFPOXJFGDYEARB-UHFFFAOYSA-N 1 2 298.173 3.794 20 0 CHADLO CC[C@H](COC)Nc1[nH+]ccc2cc(Cl)ccc21 ZINC001160933827 1132988028 /nfs/dbraw/zinc/98/80/28/1132988028.db2.gz ZZMBBGMJOJXGCF-GFCCVEGCSA-N 1 2 264.756 3.725 20 0 CHADLO COc1ccc2ncc(Nc3cccc4[nH+]c[nH]c43)cc2c1 ZINC001213028638 1132988368 /nfs/dbraw/zinc/98/83/68/1132988368.db2.gz VBYMNRZAEABPPQ-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO c1[nH]c2c(cccc2Nc2ccc(C3CC3)nc2)[nH+]1 ZINC001213028084 1132988733 /nfs/dbraw/zinc/98/87/33/1132988733.db2.gz HLVRYNLFRWAPSF-UHFFFAOYSA-N 1 2 250.305 3.579 20 0 CHADLO CCOC(=O)/C=C/c1ccc[nH+]c1N[C@H]1CCC(C)(C)C1 ZINC001161032449 1132990289 /nfs/dbraw/zinc/99/02/89/1132990289.db2.gz PYMAFJOSIARXOA-NPQIQWPPSA-N 1 2 288.391 3.649 20 0 CHADLO COC(=O)/C=C/c1cc(C)c[nH+]c1N[C@H]1CCC(C)(C)C1 ZINC001161033798 1132990863 /nfs/dbraw/zinc/99/08/63/1132990863.db2.gz QNOJMRVBZNWXTK-GJBLVYBDSA-N 1 2 288.391 3.567 20 0 CHADLO COC(=O)/C=C/c1cc(C)c[nH+]c1N[C@@H]1CCC(C)(C)C1 ZINC001161033799 1132991188 /nfs/dbraw/zinc/99/11/88/1132991188.db2.gz QNOJMRVBZNWXTK-VBROQKIQSA-N 1 2 288.391 3.567 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2[nH]cnc21 ZINC001213030555 1132994011 /nfs/dbraw/zinc/99/40/11/1132994011.db2.gz FDOIXQBDYPULQE-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1c2ccc(Nc3cccc4[nH+]c[nH]c43)cc2nn1C ZINC001213031635 1132996989 /nfs/dbraw/zinc/99/69/89/1132996989.db2.gz KGDMEBAMFREULG-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO Cc1cccc2c(C)cc(NC3(c4ncccn4)CC3)[nH+]c12 ZINC001161312919 1132998239 /nfs/dbraw/zinc/99/82/39/1132998239.db2.gz URTWKARVWNZKFJ-UHFFFAOYSA-N 1 2 290.370 3.743 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c[nH]c32)cc1C ZINC001213032362 1132999223 /nfs/dbraw/zinc/99/92/23/1132999223.db2.gz BLZQMRCGGQPXCI-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO COc1c(F)cc(F)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213032673 1132999831 /nfs/dbraw/zinc/99/98/31/1132999831.db2.gz SBUBTGPAWOEXCN-UHFFFAOYSA-N 1 2 275.258 3.593 20 0 CHADLO COC(=O)c1cc(C)c(Nc2cccc3[nH+]c[nH]c32)c(C)c1 ZINC001213032688 1133000378 /nfs/dbraw/zinc/00/03/78/1133000378.db2.gz SPSJNFAWQYGQEJ-UHFFFAOYSA-N 1 2 295.342 3.710 20 0 CHADLO Cc1c(Cl)ccc(N)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213032724 1133001390 /nfs/dbraw/zinc/00/13/90/1133001390.db2.gz VCQROCVKXCQQTG-UHFFFAOYSA-N 1 2 272.739 3.851 20 0 CHADLO COc1cc2ccc(NC3CC4(CCC4)C3)[nH+]c2cc1OC ZINC001161447384 1133002884 /nfs/dbraw/zinc/00/28/84/1133002884.db2.gz YRCJNVKTLCEERT-UHFFFAOYSA-N 1 2 298.386 3.997 20 0 CHADLO COC(=O)[C@@H](C)c1ccc(Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213033417 1133005122 /nfs/dbraw/zinc/00/51/22/1133005122.db2.gz FJHCOVXECMLYBM-NSHDSACASA-N 1 2 295.342 3.583 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cnc(-c2ccccc2)cn1 ZINC001161569197 1133005996 /nfs/dbraw/zinc/00/59/96/1133005996.db2.gz KRZISCULXJMVBJ-UHFFFAOYSA-N 1 2 293.374 3.533 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cc2ccc(F)cc2cn1 ZINC001161569437 1133006688 /nfs/dbraw/zinc/00/66/88/1133006688.db2.gz LRWFYQJAIPIAEH-UHFFFAOYSA-N 1 2 284.338 3.763 20 0 CHADLO COc1cc[nH+]cc1N[C@@H](C)CCc1ccccc1 ZINC001161612235 1133008990 /nfs/dbraw/zinc/00/89/90/1133008990.db2.gz FUSDXNRBHBFQSV-ZDUSSCGKSA-N 1 2 256.349 3.523 20 0 CHADLO CC1(c2ccc(Nc3cccc4[nH+]c[nH]c43)cc2)COC1 ZINC001213034433 1133013765 /nfs/dbraw/zinc/01/37/65/1133013765.db2.gz JPNZYABNKBYPHB-UHFFFAOYSA-N 1 2 279.343 3.594 20 0 CHADLO CNc1cccc(C)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213034469 1133014121 /nfs/dbraw/zinc/01/41/21/1133014121.db2.gz LUNXKUQPHIZJOS-UHFFFAOYSA-N 1 2 252.321 3.657 20 0 CHADLO CCN(CC)c1ccc(C)c(NCCCn2cc[nH+]c2)c1 ZINC001161688946 1133016054 /nfs/dbraw/zinc/01/60/54/1133016054.db2.gz CVXFYLZABCTSPL-UHFFFAOYSA-N 1 2 286.423 3.540 20 0 CHADLO Cc1nc(N[C@@H]2CCO[C@@H](C)C2)cc(C2CCCCC2)[nH+]1 ZINC001161692955 1133017826 /nfs/dbraw/zinc/01/78/26/1133017826.db2.gz OPERTTYMNYYNHQ-SWLSCSKDSA-N 1 2 289.423 3.812 20 0 CHADLO Fc1ccc2c[nH+]c(NC3Cc4ccccc4C3)cc2c1 ZINC001161756299 1133024457 /nfs/dbraw/zinc/02/44/57/1133024457.db2.gz LGBCSZFCHQCWAC-UHFFFAOYSA-N 1 2 278.330 3.953 20 0 CHADLO COc1cccc2[nH+]c(NCCC(F)(F)F)ccc21 ZINC001161819961 1133032058 /nfs/dbraw/zinc/03/20/58/1133032058.db2.gz KHPCLRHWODMUNH-UHFFFAOYSA-N 1 2 270.254 3.608 20 0 CHADLO COCc1cc[nH+]c(NCCc2cccc(Cl)c2F)c1 ZINC001161984064 1133046445 /nfs/dbraw/zinc/04/64/45/1133046445.db2.gz OFUUSUDPXUXIBM-UHFFFAOYSA-N 1 2 294.757 3.675 20 0 CHADLO COc1ccc[nH+]c1NCCc1cccc(Cl)c1F ZINC001161984040 1133046919 /nfs/dbraw/zinc/04/69/19/1133046919.db2.gz NHFHEQRMMKKDLY-UHFFFAOYSA-N 1 2 280.730 3.537 20 0 CHADLO COc1cc[nH+]c(C(C)(C)CNc2ccc3occc3n2)c1 ZINC001162129749 1133053379 /nfs/dbraw/zinc/05/33/79/1133053379.db2.gz OXFFUQMNKCGXLZ-UHFFFAOYSA-N 1 2 297.358 3.621 20 0 CHADLO Cn1ccc2cc(CNc3cc4cc[nH]c4c[nH+]3)ccc21 ZINC001162166596 1133056122 /nfs/dbraw/zinc/05/61/22/1133056122.db2.gz VOQZZSZQCHBYDR-UHFFFAOYSA-N 1 2 276.343 3.667 20 0 CHADLO Fc1ccccc1COc1ccc[nH+]c1NC1CC(F)C1 ZINC001162209581 1133060293 /nfs/dbraw/zinc/06/02/93/1133060293.db2.gz KEGXTPHLBARAHH-UHFFFAOYSA-N 1 2 290.313 3.712 20 0 CHADLO CSCc1cc[nH+]c(NC(C)(C)Cc2cccnc2)c1 ZINC001162242918 1133064355 /nfs/dbraw/zinc/06/43/55/1133064355.db2.gz AKYHXHCKOGUVEE-UHFFFAOYSA-N 1 2 287.432 3.773 20 0 CHADLO Cc1cc(NC[C@@H]2CCC[C@H](C)C2)nc(C2CC2)[nH+]1 ZINC001162266606 1133065516 /nfs/dbraw/zinc/06/55/16/1133065516.db2.gz IDBMBQWERFVEQL-WCQYABFASA-N 1 2 259.397 3.901 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@@H]3CCCC[C@H]3C)nn2)c1C ZINC001162527943 1133089031 /nfs/dbraw/zinc/08/90/31/1133089031.db2.gz ZMQJGPUSYOGMMF-DOMZBBRYSA-N 1 2 299.422 3.517 20 0 CHADLO Cc1cc(NCCc2ccc(C(F)(F)F)nc2)c[nH+]c1C ZINC001162615925 1133094745 /nfs/dbraw/zinc/09/47/45/1133094745.db2.gz MCAKZTNBFQPJTC-UHFFFAOYSA-N 1 2 295.308 3.767 20 0 CHADLO CN(C)c1cc(Cl)[nH+]c(NC[C@H]2CCC(F)(F)C2)c1 ZINC001162642279 1133098271 /nfs/dbraw/zinc/09/82/71/1133098271.db2.gz MKKRZKIEDVFLJZ-VIFPVBQESA-N 1 2 289.757 3.648 20 0 CHADLO CC(C)Oc1cc(NC[C@@H]2CCC(F)(F)C2)cc[nH+]1 ZINC001162647908 1133099090 /nfs/dbraw/zinc/09/90/90/1133099090.db2.gz HZYOMUDNUYYEFQ-LLVKDONJSA-N 1 2 270.323 3.716 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NC[C@@H]1CCC(F)(F)C1 ZINC001162655111 1133100748 /nfs/dbraw/zinc/10/07/48/1133100748.db2.gz JEGRBCCDOIJZKD-LLVKDONJSA-N 1 2 270.323 3.716 20 0 CHADLO CCc1cc(NCCCc2cccs2)nc(CC)[nH+]1 ZINC001162827884 1133115798 /nfs/dbraw/zinc/11/57/98/1133115798.db2.gz AJSZYHRWVHMJGY-UHFFFAOYSA-N 1 2 275.421 3.708 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@H]1CCc2c1c(F)ccc2F ZINC001162931223 1133123118 /nfs/dbraw/zinc/12/31/18/1133123118.db2.gz DGRGPHFQYHALLH-ZDUSSCGKSA-N 1 2 290.313 3.776 20 0 CHADLO Cc1ccc([C@@H](CO)Nc2ccc(C(C)(C)C)c[nH+]2)cc1 ZINC001162948591 1133123493 /nfs/dbraw/zinc/12/34/93/1133123493.db2.gz DKQWTHAGCQORQN-MRXNPFEDSA-N 1 2 284.403 3.833 20 0 CHADLO COc1cccc2c1OC[C@@H]2Nc1ccc(C(C)(C)C)c[nH+]1 ZINC001163233469 1133139913 /nfs/dbraw/zinc/13/99/13/1133139913.db2.gz QZHRJXDBUGYXNO-AWEZNQCLSA-N 1 2 298.386 3.933 20 0 CHADLO COc1c(F)cccc1[C@H](C)Nc1[nH+]ccc(F)c1C ZINC001163337931 1133143906 /nfs/dbraw/zinc/14/39/06/1133143906.db2.gz NWHDQSBMPMLRSF-JTQLQIEISA-N 1 2 278.302 3.850 20 0 CHADLO CCOC(=O)c1c(C)cc(N2[C@H](C)CCC[C@@H]2C)[nH+]c1C ZINC001163546525 1133157730 /nfs/dbraw/zinc/15/77/30/1133157730.db2.gz PVBJYJSDTVWFBR-BETUJISGSA-N 1 2 290.407 3.642 20 0 CHADLO CCN(Cc1ccncc1)c1[nH+]ccc2c(C)cccc21 ZINC001163608301 1133162627 /nfs/dbraw/zinc/16/26/27/1133162627.db2.gz WLBWOXQAXXYCGA-UHFFFAOYSA-N 1 2 277.371 3.965 20 0 CHADLO CCSc1cc[nH+]c(N(CC)Cc2ccncc2)c1 ZINC001163612280 1133163107 /nfs/dbraw/zinc/16/31/07/1133163107.db2.gz DWSGSOCDMVRXEV-UHFFFAOYSA-N 1 2 273.405 3.615 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N(C)CC(F)(F)F ZINC001163709329 1133167537 /nfs/dbraw/zinc/16/75/37/1133167537.db2.gz BKNFAKXCQIZSBX-UHFFFAOYSA-N 1 2 254.255 3.542 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001163795731 1133175648 /nfs/dbraw/zinc/17/56/48/1133175648.db2.gz RUOALVYBGINUFP-SJORKVTESA-N 1 2 294.398 3.881 20 0 CHADLO Cc1cc(NCCCc2ccco2)nc(C2CCC2)[nH+]1 ZINC001163808928 1133176527 /nfs/dbraw/zinc/17/65/27/1133176527.db2.gz YXCOALHTIKUXKI-UHFFFAOYSA-N 1 2 271.364 3.690 20 0 CHADLO Cc1[nH+]c(C(C)(C)C)nc(NCc2ccccc2N)c1C ZINC001163884105 1133182643 /nfs/dbraw/zinc/18/26/43/1133182643.db2.gz FARVLEATXSYHPN-UHFFFAOYSA-N 1 2 284.407 3.585 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C)n1)c1cc(C)ccc1C ZINC001163921007 1133184603 /nfs/dbraw/zinc/18/46/03/1133184603.db2.gz WPVYIJNVMIXFRB-OAHLLOKOSA-N 1 2 255.365 3.965 20 0 CHADLO CC(C)Oc1cc(NCc2cc3ccccc3n2C)cc[nH+]1 ZINC001163933175 1133185975 /nfs/dbraw/zinc/18/59/75/1133185975.db2.gz BWWDMBFUYIPBLL-UHFFFAOYSA-N 1 2 295.386 3.973 20 0 CHADLO Cc1ccccc1OCCCNc1cc2cc[nH]c2c[nH+]1 ZINC001163970593 1133189688 /nfs/dbraw/zinc/18/96/88/1133189688.db2.gz JDQZJWSILPXDFP-UHFFFAOYSA-N 1 2 281.359 3.752 20 0 CHADLO COc1ccc(O[C@H](C)CNc2c[nH+]cc(C)c2C)cc1 ZINC001164051277 1133194824 /nfs/dbraw/zinc/19/48/24/1133194824.db2.gz PJVMCESWKZXSMD-CYBMUJFWSA-N 1 2 286.375 3.586 20 0 CHADLO F[C@H]1CCCN(c2cc3ccccc3c[nH+]2)CC1(F)F ZINC001164060207 1133195233 /nfs/dbraw/zinc/19/52/33/1133195233.db2.gz WSJGKEGLCMSBGM-ZDUSSCGKSA-N 1 2 280.293 3.808 20 0 CHADLO COC(C)(C)[C@H](C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001164190731 1133204320 /nfs/dbraw/zinc/20/43/20/1133204320.db2.gz LAMMYEAEAPSTSF-LBPRGKRZSA-N 1 2 279.428 3.949 20 0 CHADLO c1ccn(-c2ccc[nH+]c2NCCSCc2ccco2)c1 ZINC001164220883 1133209032 /nfs/dbraw/zinc/20/90/32/1133209032.db2.gz ZUUAOHTYJREGNE-UHFFFAOYSA-N 1 2 299.399 3.811 20 0 CHADLO FC[C@@]1(C(F)(F)F)CCN(c2[nH+]ccc3ccccc32)C1 ZINC001164265158 1133210438 /nfs/dbraw/zinc/21/04/38/1133210438.db2.gz KZQSBGRZTJIKOE-AWEZNQCLSA-N 1 2 298.283 3.963 20 0 CHADLO C[C@@]1(F)CCN(c2[nH+]ccc3ccccc32)C[C@@H]1F ZINC001164325282 1133212607 /nfs/dbraw/zinc/21/26/07/1133212607.db2.gz BQIWJJUHTSVDLK-DZGCQCFKSA-N 1 2 262.303 3.511 20 0 CHADLO CC(C)c1cc(N[C@@H]2COC[C@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC001164333107 1133213559 /nfs/dbraw/zinc/21/35/59/1133213559.db2.gz SJDNOLBXXODSBV-DZGCQCFKSA-N 1 2 289.423 3.560 20 0 CHADLO Cc1cc(N2CC[C@](C)(F)[C@H](F)C2)[nH+]c2ccccc12 ZINC001164332774 1133213747 /nfs/dbraw/zinc/21/37/47/1133213747.db2.gz IUICONWPZUECDT-ZBFHGGJFSA-N 1 2 276.330 3.820 20 0 CHADLO CC1(F)CN(c2cc(SCc3ccccc3)cc[nH+]2)C1 ZINC001164589167 1133225084 /nfs/dbraw/zinc/22/50/84/1133225084.db2.gz QLKBYWHSPPJMNI-UHFFFAOYSA-N 1 2 288.391 3.922 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)CC(C)(C)NC3=O)c(C)[nH+]1 ZINC001203381397 1133225739 /nfs/dbraw/zinc/22/57/39/1133225739.db2.gz WMBHBITTWRQUOH-UHFFFAOYSA-N 1 2 295.386 3.507 20 0 CHADLO Cc1cc(N2CCC3(C[C@H]3CCO)CC2)[nH+]c2ccccc12 ZINC001165257904 1133233205 /nfs/dbraw/zinc/23/32/05/1133233205.db2.gz VODMBMMUJNIKQS-OAHLLOKOSA-N 1 2 296.414 3.532 20 0 CHADLO Nc1[nH+]cccc1CS[C@@H]1CCCc2ccccc21 ZINC001165569782 1133244971 /nfs/dbraw/zinc/24/49/71/1133244971.db2.gz FUYAIFWXJAGZCG-OAHLLOKOSA-N 1 2 270.401 3.975 20 0 CHADLO COc1cc(C)c[nH+]c1N(C)C12CC3CC(CC(C3)C1)C2 ZINC001166596018 1133274110 /nfs/dbraw/zinc/27/41/10/1133274110.db2.gz XUPLSLHWGPHYQD-UHFFFAOYSA-N 1 2 286.419 3.804 20 0 CHADLO Cc1cc(N2CCC[C@H](C)[C@@H]2C)nc(C(C)(C)C)[nH+]1 ZINC001166614818 1133277019 /nfs/dbraw/zinc/27/70/19/1133277019.db2.gz MZVKUKWXAJMPLM-AAEUAGOBSA-N 1 2 261.413 3.707 20 0 CHADLO COc1cc(C)c[nH+]c1N(C)Cc1ccc(Cl)cc1 ZINC001166676564 1133280004 /nfs/dbraw/zinc/28/00/04/1133280004.db2.gz POLBIJJNUWORKZ-UHFFFAOYSA-N 1 2 276.767 3.688 20 0 CHADLO Cc1c(F)cc[nH+]c1N(C)Cc1ccc(Cl)cc1 ZINC001166676462 1133280335 /nfs/dbraw/zinc/28/03/35/1133280335.db2.gz KCJAJPHLADYISS-UHFFFAOYSA-N 1 2 264.731 3.819 20 0 CHADLO CN(CCc1cccs1)c1[nH+]ccc2ccccc21 ZINC001166678628 1133281394 /nfs/dbraw/zinc/28/13/94/1133281394.db2.gz UGXJDYOXBVMDIP-UHFFFAOYSA-N 1 2 268.385 3.975 20 0 CHADLO CN(Cc1ccsc1)c1cc2ccccc2c[nH+]1 ZINC001166821918 1133290619 /nfs/dbraw/zinc/29/06/19/1133290619.db2.gz ILESGNCQFYTGOC-UHFFFAOYSA-N 1 2 254.358 3.933 20 0 CHADLO CSCc1cc[nH+]c(N(C)CCc2cccc(C)c2)c1 ZINC001166825432 1133291554 /nfs/dbraw/zinc/29/15/54/1133291554.db2.gz VJTGUJFMEYADRW-UHFFFAOYSA-N 1 2 286.444 3.932 20 0 CHADLO Cc1cc(NCCc2ccsc2)cc(OC(F)F)[nH+]1 ZINC001166851076 1133292106 /nfs/dbraw/zinc/29/21/06/1133292106.db2.gz ZCPYLIGQFXHCHR-UHFFFAOYSA-N 1 2 284.331 3.708 20 0 CHADLO Fc1c(F)c(F)c(C[N@@H+]2C[C@@H]3CCC[C@@H]3C2)c(F)c1F ZINC001203361034 1133317033 /nfs/dbraw/zinc/31/70/33/1133317033.db2.gz JXKMBNSWRWTNCQ-OCAPTIKFSA-N 1 2 291.263 3.614 20 0 CHADLO Fc1c(F)c(F)c(C[N@H+]2C[C@@H]3CCC[C@@H]3C2)c(F)c1F ZINC001203361034 1133317038 /nfs/dbraw/zinc/31/70/38/1133317038.db2.gz JXKMBNSWRWTNCQ-OCAPTIKFSA-N 1 2 291.263 3.614 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccccc2Cl)C[C@@H]1F ZINC001203377102 1133320029 /nfs/dbraw/zinc/32/00/29/1133320029.db2.gz BTLIHLAYQAUHSM-STQMWFEESA-N 1 2 259.727 3.612 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccccc2Cl)C[C@@H]1F ZINC001203377102 1133320031 /nfs/dbraw/zinc/32/00/31/1133320031.db2.gz BTLIHLAYQAUHSM-STQMWFEESA-N 1 2 259.727 3.612 20 0 CHADLO Cc1ccc(C[NH2+]Cc2noc3ccc(F)cc23)c(F)c1 ZINC001203416068 1133324514 /nfs/dbraw/zinc/32/45/14/1133324514.db2.gz YBUKPDLZBYNJQF-UHFFFAOYSA-N 1 2 288.297 3.704 20 0 CHADLO Clc1cccc(Cl)c1C[NH+]1CC2(CCC2)C1 ZINC001203416355 1133325131 /nfs/dbraw/zinc/32/51/31/1133325131.db2.gz GUKIWSQOWGHBLQ-UHFFFAOYSA-N 1 2 256.176 3.979 20 0 CHADLO CNc1ccc(Nc2ccc(C(F)(F)F)cc2OC)c[nH+]1 ZINC001203458338 1133329280 /nfs/dbraw/zinc/32/92/80/1133329280.db2.gz KXKCBURNNHQMIK-UHFFFAOYSA-N 1 2 297.280 3.894 20 0 CHADLO CNc1ccc(Nc2ccc(Br)c(F)c2)c[nH+]1 ZINC001203455916 1133329608 /nfs/dbraw/zinc/32/96/08/1133329608.db2.gz YJNSVLMRUSEYKH-UHFFFAOYSA-N 1 2 296.143 3.769 20 0 CHADLO CNc1ccc(Nc2ccc(C(C)=O)c(Cl)c2)c[nH+]1 ZINC001203462302 1133331468 /nfs/dbraw/zinc/33/14/68/1133331468.db2.gz PIEXPKVRTQVJHE-UHFFFAOYSA-N 1 2 275.739 3.723 20 0 CHADLO CNc1ccc(Nc2ccc(F)c3cccnc23)c[nH+]1 ZINC001203459680 1133331525 /nfs/dbraw/zinc/33/15/25/1133331525.db2.gz OCGPXCZOIPYDLX-UHFFFAOYSA-N 1 2 268.295 3.554 20 0 CHADLO CNc1ccc(Nc2c(Cl)cccc2OC)c[nH+]1 ZINC001203459365 1133331693 /nfs/dbraw/zinc/33/16/93/1133331693.db2.gz LMLFWDAMVXOTGB-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(Br)n2)c1 ZINC001203653428 1133348012 /nfs/dbraw/zinc/34/80/12/1133348012.db2.gz NNCMTOXKCVDZDH-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnc(C(C)(C)C)n2)c1 ZINC001203660837 1133348808 /nfs/dbraw/zinc/34/88/08/1133348808.db2.gz PBONZEKZHHXDPW-UHFFFAOYSA-N 1 2 256.353 3.530 20 0 CHADLO Cc1noc2ccc(Nc3cc(C)c[nH+]c3C)cc12 ZINC001203663153 1133350061 /nfs/dbraw/zinc/35/00/61/1133350061.db2.gz AANHSUUVPOVPIE-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO COC(=O)c1cccc(Nc2cc(C)c[nH+]c2C)c1C ZINC001203661115 1133350135 /nfs/dbraw/zinc/35/01/35/1133350135.db2.gz QVUQCDJMLVWKAY-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1noc(-c2ccc(Nc3cc(C)c[nH+]c3C)cc2)n1 ZINC001203663238 1133350357 /nfs/dbraw/zinc/35/03/57/1133350357.db2.gz FUWDQOXKPYACQF-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO CCOC(=O)CCc1cccc(Nc2cc(C)c[nH+]c2C)c1 ZINC001203667133 1133351126 /nfs/dbraw/zinc/35/11/26/1133351126.db2.gz IDPQNCQZXYBBNN-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO COC(=O)c1ccc(F)c(F)c1Nc1cc(C)c[nH+]c1C ZINC001203663567 1133351385 /nfs/dbraw/zinc/35/13/85/1133351385.db2.gz RYFUQRWQEKFMBA-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO COC(=O)c1cc(Nc2cc(C)c[nH+]c2C)ccc1C ZINC001203663596 1133351503 /nfs/dbraw/zinc/35/15/03/1133351503.db2.gz TWIWAQGCCRFIHS-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc3c2C(=O)CC3)c1 ZINC001203664129 1133351877 /nfs/dbraw/zinc/35/18/77/1133351877.db2.gz ZWCDCRGKIWZLGT-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO COCCOc1ccc(Nc2cc(C)c[nH+]c2C)cc1F ZINC001203663880 1133351925 /nfs/dbraw/zinc/35/19/25/1133351925.db2.gz VDJVIUAHXYRQRJ-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+]1CCc2ccncc2C1 ZINC001203693474 1133355127 /nfs/dbraw/zinc/35/51/27/1133355127.db2.gz ZPLKUOCXLSAFFN-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+]1CCc2ccncc2C1 ZINC001203693474 1133355130 /nfs/dbraw/zinc/35/51/30/1133355130.db2.gz ZPLKUOCXLSAFFN-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO CCn1nccc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203712788 1133357272 /nfs/dbraw/zinc/35/72/72/1133357272.db2.gz ORXMKJTVFMESHO-UHFFFAOYSA-N 1 2 270.380 3.637 20 0 CHADLO CCOc1cc(Nc2ccc([NH+]3CCCCC3)cc2)no1 ZINC001203718978 1133359415 /nfs/dbraw/zinc/35/94/15/1133359415.db2.gz OFOLAGSURYXCQC-UHFFFAOYSA-N 1 2 287.363 3.807 20 0 CHADLO Cc1ccc(C)c(C[N@H+]2CC[C@H](C)C(F)(F)C2)c1 ZINC001203742329 1133364188 /nfs/dbraw/zinc/36/41/88/1133364188.db2.gz BIYYDCNBPZEDKG-ZDUSSCGKSA-N 1 2 253.336 3.781 20 0 CHADLO Cc1ccc(C)c(C[N@@H+]2CC[C@H](C)C(F)(F)C2)c1 ZINC001203742329 1133364191 /nfs/dbraw/zinc/36/41/91/1133364191.db2.gz BIYYDCNBPZEDKG-ZDUSSCGKSA-N 1 2 253.336 3.781 20 0 CHADLO c1ccc(Oc2cccc(C[N@@H+]3C[C@H]4CC[C@@H]3CO4)c2)cc1 ZINC001203813285 1133373980 /nfs/dbraw/zinc/37/39/80/1133373980.db2.gz ILOZNRDHBUXMFJ-VQIMIIECSA-N 1 2 295.382 3.842 20 0 CHADLO c1ccc(Oc2cccc(C[N@H+]3C[C@H]4CC[C@@H]3CO4)c2)cc1 ZINC001203813285 1133373984 /nfs/dbraw/zinc/37/39/84/1133373984.db2.gz ILOZNRDHBUXMFJ-VQIMIIECSA-N 1 2 295.382 3.842 20 0 CHADLO c1ccc2cc(C[N@@H+]3Cc4ccncc4C3)ccc2c1 ZINC001204000304 1133397249 /nfs/dbraw/zinc/39/72/49/1133397249.db2.gz CVKNPDAWNCJDNX-UHFFFAOYSA-N 1 2 260.340 3.751 20 0 CHADLO c1ccc2cc(C[N@H+]3Cc4ccncc4C3)ccc2c1 ZINC001204000304 1133397252 /nfs/dbraw/zinc/39/72/52/1133397252.db2.gz CVKNPDAWNCJDNX-UHFFFAOYSA-N 1 2 260.340 3.751 20 0 CHADLO CCN(Cc1c[nH+]cn1C)Cc1ccc(Cl)cc1Cl ZINC001204385619 1133441504 /nfs/dbraw/zinc/44/15/04/1133441504.db2.gz JQWITFTWEPALDY-UHFFFAOYSA-N 1 2 298.217 3.749 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC[C@H]2c2cnccn2)s1 ZINC001204445823 1133448602 /nfs/dbraw/zinc/44/86/02/1133448602.db2.gz VNZNUYLEFJOLKO-LBPRGKRZSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc(C[N@H+]2CCC[C@H]2c2cnccn2)s1 ZINC001204445823 1133448604 /nfs/dbraw/zinc/44/86/04/1133448604.db2.gz VNZNUYLEFJOLKO-LBPRGKRZSA-N 1 2 279.796 3.529 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc3ccccc3n2)C[C@@H]1F ZINC001204500050 1133455505 /nfs/dbraw/zinc/45/55/05/1133455505.db2.gz SIGOHVDJSSGEMC-JKSUJKDBSA-N 1 2 276.330 3.507 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc3ccccc3n2)C[C@@H]1F ZINC001204500050 1133455508 /nfs/dbraw/zinc/45/55/08/1133455508.db2.gz SIGOHVDJSSGEMC-JKSUJKDBSA-N 1 2 276.330 3.507 20 0 CHADLO Fc1cc(C[NH+]2CC3(C2)CCCCC3)cc(F)c1F ZINC001204529829 1133460086 /nfs/dbraw/zinc/46/00/86/1133460086.db2.gz QNXRNLQHTBWJGA-UHFFFAOYSA-N 1 2 269.310 3.870 20 0 CHADLO CCCOc1ccc(-c2c[nH+]cn2C)cc1Cl ZINC001204785211 1133485038 /nfs/dbraw/zinc/48/50/38/1133485038.db2.gz GTDMJEFCESVIHM-UHFFFAOYSA-N 1 2 250.729 3.529 20 0 CHADLO CC(C)Oc1ccccc1Nc1ccn2cc[nH+]c2c1 ZINC001204977140 1133511331 /nfs/dbraw/zinc/51/13/31/1133511331.db2.gz CEQQRGHLJQSVRG-UHFFFAOYSA-N 1 2 267.332 3.865 20 0 CHADLO Cc1cc(F)c(F)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204983071 1133513197 /nfs/dbraw/zinc/51/31/97/1133513197.db2.gz ONXMBAWWVBSLHI-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO CC(C)[C@@H](C)c1nc(-c2ccccc2-n2cc[nH+]c2)no1 ZINC001205188473 1133543878 /nfs/dbraw/zinc/54/38/78/1133543878.db2.gz RLTCDEQRAYJKQD-GFCCVEGCSA-N 1 2 282.347 3.682 20 0 CHADLO CCCC[C@H]([NH2+][C@@H](C)[C@@H]1C[C@H]1c1cccs1)C(=O)OC ZINC001205291874 1133556095 /nfs/dbraw/zinc/55/60/95/1133556095.db2.gz RCRPCYFEKBLRQR-FQUUOJAGSA-N 1 2 295.448 3.562 20 0 CHADLO CCCOc1ccc(C[N@@H+]2CCC=C(F)C2)c(C)c1 ZINC001205340256 1133564295 /nfs/dbraw/zinc/56/42/95/1133564295.db2.gz AJYISHUDZAWGPS-UHFFFAOYSA-N 1 2 263.356 3.843 20 0 CHADLO CCCOc1ccc(C[N@H+]2CCC=C(F)C2)c(C)c1 ZINC001205340256 1133564297 /nfs/dbraw/zinc/56/42/97/1133564297.db2.gz AJYISHUDZAWGPS-UHFFFAOYSA-N 1 2 263.356 3.843 20 0 CHADLO Nc1cc(-c2ccc(Oc3ccccc3)nc2)cc[nH+]1 ZINC001205350711 1133567517 /nfs/dbraw/zinc/56/75/17/1133567517.db2.gz URDZJSAIJYCHLP-UHFFFAOYSA-N 1 2 263.300 3.518 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2ccc(F)c3n[nH]cc32)cc1 ZINC001205357589 1133568855 /nfs/dbraw/zinc/56/88/55/1133568855.db2.gz YSGFDYULWLCLDB-UHFFFAOYSA-N 1 2 283.306 3.731 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@@H+](C)Cc1ccncc1 ZINC001205367882 1133571527 /nfs/dbraw/zinc/57/15/27/1133571527.db2.gz XQXWFVDAXIPUCU-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[N@H+](C)Cc1ccncc1 ZINC001205367882 1133571530 /nfs/dbraw/zinc/57/15/30/1133571530.db2.gz XQXWFVDAXIPUCU-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Oc1ccc2cc(-c3ccn4cc[nH+]c4c3)ccc2c1 ZINC001205764856 1133610554 /nfs/dbraw/zinc/61/05/54/1133610554.db2.gz LJWNLZLHDHLEJA-UHFFFAOYSA-N 1 2 260.296 3.860 20 0 CHADLO CC(C)Cn1cc(Nc2cccc(Cn3cc[nH+]c3)c2)cn1 ZINC001205863673 1133624435 /nfs/dbraw/zinc/62/44/35/1133624435.db2.gz HFITXWPYUJEQDK-UHFFFAOYSA-N 1 2 295.390 3.528 20 0 CHADLO CCC[C@@H](CNc1ccc(-n2c[nH+]c(C)c2C)cc1)OC ZINC001206047816 1133648240 /nfs/dbraw/zinc/64/82/40/1133648240.db2.gz MGXVEZOBEZEHBA-KRWDZBQOSA-N 1 2 287.407 3.716 20 0 CHADLO Cc1nc(C[NH+]2CCC(c3ccc(F)cc3F)CC2)co1 ZINC001206093389 1133656707 /nfs/dbraw/zinc/65/67/07/1133656707.db2.gz QUWUQROVFWABNI-UHFFFAOYSA-N 1 2 292.329 3.641 20 0 CHADLO CCCCOc1ccc(-c2ccn3cc(N)[nH+]c3c2)c(F)c1 ZINC001206111943 1133658697 /nfs/dbraw/zinc/65/86/97/1133658697.db2.gz CFYZFOUCGYJKGD-UHFFFAOYSA-N 1 2 299.349 3.902 20 0 CHADLO CCCOc1ccc(-c2ccn3cc(N)[nH+]c3c2)c(F)c1 ZINC001206136906 1133663016 /nfs/dbraw/zinc/66/30/16/1133663016.db2.gz REJGUCWKXHJMCA-UHFFFAOYSA-N 1 2 285.322 3.511 20 0 CHADLO Cc1nc(C)c(C[N@H+](C)Cc2cnc(Cl)s2)s1 ZINC001206144641 1133663789 /nfs/dbraw/zinc/66/37/89/1133663789.db2.gz WVSTVOKJFLAKBW-UHFFFAOYSA-N 1 2 287.841 3.502 20 0 CHADLO Cc1nc(C)c(C[N@@H+](C)Cc2cnc(Cl)s2)s1 ZINC001206144641 1133663790 /nfs/dbraw/zinc/66/37/90/1133663790.db2.gz WVSTVOKJFLAKBW-UHFFFAOYSA-N 1 2 287.841 3.502 20 0 CHADLO CC(C)Oc1cc(-c2nc3c(ccn3C)c[nH+]2)ccc1F ZINC001206154411 1133664764 /nfs/dbraw/zinc/66/47/64/1133664764.db2.gz FZQYQOSMQDMHPR-UHFFFAOYSA-N 1 2 285.322 3.562 20 0 CHADLO CCOC1C[NH+](Cc2ccc(-c3ccccc3)cc2OC)C1 ZINC001206174899 1133667423 /nfs/dbraw/zinc/66/74/23/1133667423.db2.gz VGVDQTVESPEKHE-UHFFFAOYSA-N 1 2 297.398 3.583 20 0 CHADLO Cc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c(O)c1 ZINC001206274460 1133681408 /nfs/dbraw/zinc/68/14/08/1133681408.db2.gz IXPMBLYIIAGUMO-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO Clc1ccnc(Cl)c1C[N@@H+]1C[C@H]2CCCC[C@H]21 ZINC001206560532 1133717977 /nfs/dbraw/zinc/71/79/77/1133717977.db2.gz RSDOFIDMVJUOMC-BXKDBHETSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1ccnc(Cl)c1C[N@H+]1C[C@H]2CCCC[C@H]21 ZINC001206560532 1133717982 /nfs/dbraw/zinc/71/79/82/1133717982.db2.gz RSDOFIDMVJUOMC-BXKDBHETSA-N 1 2 271.191 3.763 20 0 CHADLO CN(C)c1cc(-c2cccc(OCC3CC3)c2)cc[nH+]1 ZINC001206770406 1133745539 /nfs/dbraw/zinc/74/55/39/1133745539.db2.gz NVFKFYCPPBPRRJ-UHFFFAOYSA-N 1 2 268.360 3.603 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CCC[C@@H]1c1c(F)cccc1F ZINC001207138810 1133771411 /nfs/dbraw/zinc/77/14/11/1133771411.db2.gz ATMBEBHWHRQERD-OAHLLOKOSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CCC[C@@H]1c1c(F)cccc1F ZINC001207138810 1133771416 /nfs/dbraw/zinc/77/14/16/1133771416.db2.gz ATMBEBHWHRQERD-OAHLLOKOSA-N 1 2 292.329 3.907 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCCC[C@H]1c1cccc(OC)c1 ZINC001207145435 1133772529 /nfs/dbraw/zinc/77/25/29/1133772529.db2.gz FMEVPONLEFWCHN-KRWDZBQOSA-N 1 2 299.418 3.639 20 0 CHADLO CCn1ccnc1C[N@H+]1CCCC[C@H]1c1cccc(OC)c1 ZINC001207145435 1133772535 /nfs/dbraw/zinc/77/25/35/1133772535.db2.gz FMEVPONLEFWCHN-KRWDZBQOSA-N 1 2 299.418 3.639 20 0 CHADLO Cc1cc(N[C@H]2CS[C@@H](C(C)C)C2)c[nH+]c1C ZINC001207325171 1133791874 /nfs/dbraw/zinc/79/18/74/1133791874.db2.gz BFOGIOWQEYQQBJ-ZIAGYGMSSA-N 1 2 250.411 3.640 20 0 CHADLO COc1cccc(CCC[N@@H+]2CC[C@@H](C)C(F)(F)C2)c1 ZINC001207559406 1133819092 /nfs/dbraw/zinc/81/90/92/1133819092.db2.gz VDPUIDHAPWFQIH-CYBMUJFWSA-N 1 2 283.362 3.605 20 0 CHADLO COc1cccc(CCC[N@H+]2CC[C@@H](C)C(F)(F)C2)c1 ZINC001207559406 1133819096 /nfs/dbraw/zinc/81/90/96/1133819096.db2.gz VDPUIDHAPWFQIH-CYBMUJFWSA-N 1 2 283.362 3.605 20 0 CHADLO Fc1cccc(CCC[N@H+]2CCC[C@H](F)C(F)(F)C2)c1 ZINC001207599757 1133827011 /nfs/dbraw/zinc/82/70/11/1133827011.db2.gz WBCAJTVWQGOBFT-AWEZNQCLSA-N 1 2 289.316 3.828 20 0 CHADLO Fc1cccc(CCC[N@@H+]2CCC[C@H](F)C(F)(F)C2)c1 ZINC001207599757 1133827018 /nfs/dbraw/zinc/82/70/18/1133827018.db2.gz WBCAJTVWQGOBFT-AWEZNQCLSA-N 1 2 289.316 3.828 20 0 CHADLO C[C@@H](CCCC(C)(C)O)CC[N@@H+]1CCCC(F)(F)C1 ZINC001207635847 1133835532 /nfs/dbraw/zinc/83/55/32/1133835532.db2.gz QSRVFRLLHXIGDW-ZDUSSCGKSA-N 1 2 277.399 3.685 20 0 CHADLO C[C@@H](CCCC(C)(C)O)CC[N@H+]1CCCC(F)(F)C1 ZINC001207635847 1133835535 /nfs/dbraw/zinc/83/55/35/1133835535.db2.gz QSRVFRLLHXIGDW-ZDUSSCGKSA-N 1 2 277.399 3.685 20 0 CHADLO COCC1(CNc2[nH+]c3ccccc3n2C(C)C)CCC1 ZINC001207873326 1133856986 /nfs/dbraw/zinc/85/69/86/1133856986.db2.gz RANMKNMQRHZGBQ-UHFFFAOYSA-N 1 2 287.407 3.846 20 0 CHADLO CC(C)(C)n1c2ccccc2[nH+]c1NCCC[C@@H]1CCO1 ZINC001207873331 1133857298 /nfs/dbraw/zinc/85/72/98/1133857298.db2.gz RHGQXZGQXHJTLC-CYBMUJFWSA-N 1 2 287.407 3.772 20 0 CHADLO C[C@@H](CC[N@@H+]1CC(F)(F)CC[C@@H]1CO)CC(C)(C)C ZINC001208655334 1133923167 /nfs/dbraw/zinc/92/31/67/1133923167.db2.gz QRNPUFZBXXXRBJ-QWHCGFSZSA-N 1 2 277.399 3.541 20 0 CHADLO C[C@@H](CC[N@H+]1CC(F)(F)CC[C@@H]1CO)CC(C)(C)C ZINC001208655334 1133923172 /nfs/dbraw/zinc/92/31/72/1133923172.db2.gz QRNPUFZBXXXRBJ-QWHCGFSZSA-N 1 2 277.399 3.541 20 0 CHADLO C[C@@H](C[N@@H+]1CCCC(=O)[C@H](F)C1)c1cccc2ccccc21 ZINC001208705669 1133933244 /nfs/dbraw/zinc/93/32/44/1133933244.db2.gz JPOZOVKWDRUMLT-KBXCAEBGSA-N 1 2 299.389 3.946 20 0 CHADLO C[C@@H](C[N@H+]1CCCC(=O)[C@H](F)C1)c1cccc2ccccc21 ZINC001208705669 1133933246 /nfs/dbraw/zinc/93/32/46/1133933246.db2.gz JPOZOVKWDRUMLT-KBXCAEBGSA-N 1 2 299.389 3.946 20 0 CHADLO F[C@@H]1C[N@H+](CCc2ccc(Cl)cc2)CCC1(F)F ZINC001208737475 1133938628 /nfs/dbraw/zinc/93/86/28/1133938628.db2.gz ZIVXXAZDZLPJTP-GFCCVEGCSA-N 1 2 277.717 3.562 20 0 CHADLO F[C@@H]1C[N@H+](CCc2c(Cl)cccc2Cl)C[C@@H]2C[C@@H]21 ZINC001209105614 1133976396 /nfs/dbraw/zinc/97/63/96/1133976396.db2.gz OPZGQENLMWARTG-NURSFMCSSA-N 1 2 288.193 3.826 20 0 CHADLO F[C@@H]1C[N@@H+](CCc2c(Cl)cccc2Cl)C[C@@H]2C[C@@H]21 ZINC001209105614 1133976398 /nfs/dbraw/zinc/97/63/98/1133976398.db2.gz OPZGQENLMWARTG-NURSFMCSSA-N 1 2 288.193 3.826 20 0 CHADLO C[C@@H]1CC[N@H+](CCCCOCc2ccccc2)CC1(F)F ZINC001209254632 1133995897 /nfs/dbraw/zinc/99/58/97/1133995897.db2.gz TZLLAYBVYZRLIC-OAHLLOKOSA-N 1 2 297.389 3.961 20 0 CHADLO C[C@@H]1CC[N@@H+](CCCCOCc2ccccc2)CC1(F)F ZINC001209254632 1133995901 /nfs/dbraw/zinc/99/59/01/1133995901.db2.gz TZLLAYBVYZRLIC-OAHLLOKOSA-N 1 2 297.389 3.961 20 0 CHADLO Fc1ccc(F)c([C@H]2CCC[N@@H+]2Cc2cncs2)c1 ZINC001209550208 1134035988 /nfs/dbraw/zinc/03/59/88/1134035988.db2.gz SCPXWXAALKQLBU-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc(F)c([C@H]2CCC[N@H+]2Cc2cncs2)c1 ZINC001209550208 1134035992 /nfs/dbraw/zinc/03/59/92/1134035992.db2.gz SCPXWXAALKQLBU-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Cc1ccc(C[N@@H+]2CCn3cccc3[C@H]2c2ccccc2)[nH]1 ZINC001209566352 1134037755 /nfs/dbraw/zinc/03/77/55/1134037755.db2.gz KOZUBPSIEVLXDW-LJQANCHMSA-N 1 2 291.398 3.730 20 0 CHADLO Cc1ccc(C[N@H+]2CCn3cccc3[C@H]2c2ccccc2)[nH]1 ZINC001209566352 1134037760 /nfs/dbraw/zinc/03/77/60/1134037760.db2.gz KOZUBPSIEVLXDW-LJQANCHMSA-N 1 2 291.398 3.730 20 0 CHADLO Clc1nc2ccccc2nc1Nc1ccc2[nH]c[nH+]c2c1 ZINC001209843497 1134078509 /nfs/dbraw/zinc/07/85/09/1134078509.db2.gz YQDVOTZDOAYMND-UHFFFAOYSA-N 1 2 295.733 3.903 20 0 CHADLO Oc1ccccc1-c1cc(Nc2ccc3[nH]c[nH+]c3c2)on1 ZINC001209848049 1134082199 /nfs/dbraw/zinc/08/21/99/1134082199.db2.gz KXBVHPGSIYDPIJ-UHFFFAOYSA-N 1 2 292.298 3.667 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(C(F)(F)F)cc2OC)c1 ZINC001209882243 1134094137 /nfs/dbraw/zinc/09/41/37/1134094137.db2.gz WECNZLCIHRZFEN-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(C(F)(F)F)c(F)c1 ZINC001209911144 1134101077 /nfs/dbraw/zinc/10/10/77/1134101077.db2.gz JVTJWQNJCJGLMZ-UHFFFAOYSA-N 1 2 286.228 3.997 20 0 CHADLO Cc1cc([N@H+]2c3ccc(N)cc3CC[C@H]2C)ccc1O ZINC001209976820 1134114127 /nfs/dbraw/zinc/11/41/27/1134114127.db2.gz VNXQYNREUBCGNR-GFCCVEGCSA-N 1 2 268.360 3.756 20 0 CHADLO Cc1cc([N@@H+]2c3ccc(N)cc3CC[C@H]2C)ccc1O ZINC001209976820 1134114131 /nfs/dbraw/zinc/11/41/31/1134114131.db2.gz VNXQYNREUBCGNR-GFCCVEGCSA-N 1 2 268.360 3.756 20 0 CHADLO CSc1cc(Nc2ccc(OCC3CC3)nc2)cc[nH+]1 ZINC001210013698 1134122355 /nfs/dbraw/zinc/12/23/55/1134122355.db2.gz RXBOTQHIIQQGEM-UHFFFAOYSA-N 1 2 287.388 3.731 20 0 CHADLO Cc1cc(-n2ccc3c2cccc3N)ccc1[NH+](C)C ZINC001210042699 1134132080 /nfs/dbraw/zinc/13/20/80/1134132080.db2.gz APHNPJVORZNXAO-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO Cc1ccc(N)cc1Nc1ccc([NH+](C)C)c(C)c1 ZINC001210044194 1134133064 /nfs/dbraw/zinc/13/30/64/1134133064.db2.gz VJKCFTYLSBCLPS-UHFFFAOYSA-N 1 2 255.365 3.695 20 0 CHADLO Cc1cn2cccc(Nc3cc4c[nH]nc4cc3C)c2[nH+]1 ZINC001210100048 1134146159 /nfs/dbraw/zinc/14/61/59/1134146159.db2.gz FFOGKQCMCTWLCA-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc3c[nH]nc3cc2C)c1 ZINC001210101536 1134146440 /nfs/dbraw/zinc/14/64/40/1134146440.db2.gz HUJZOAVYEVLJFG-UHFFFAOYSA-N 1 2 266.348 3.962 20 0 CHADLO Clc1cc(Nc2cccc3cc[nH+]cc32)c2nccn2n1 ZINC001210225545 1134172079 /nfs/dbraw/zinc/17/20/79/1134172079.db2.gz JAKAUAJXWKOYIA-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO CCCCC[C@H](OCC[NH+]1CCOCC1)c1ccccc1 ZINC001210224739 1134172647 /nfs/dbraw/zinc/17/26/47/1134172647.db2.gz GFLAQGXLYGKGAO-SFHVURJKSA-N 1 2 291.435 3.657 20 0 CHADLO c1cc2cc[nH+]cc2c(Nc2cccc3c2OCCO3)c1 ZINC001210230484 1134172667 /nfs/dbraw/zinc/17/26/67/1134172667.db2.gz IAFSXYYULFALJN-UHFFFAOYSA-N 1 2 278.311 3.750 20 0 CHADLO CC1(C)COc2cc(Nc3cccc4cc[nH+]cc43)nn2C1 ZINC001210225418 1134172798 /nfs/dbraw/zinc/17/27/98/1134172798.db2.gz GLFPLFFSQTUAJS-UHFFFAOYSA-N 1 2 294.358 3.594 20 0 CHADLO O=c1cc[nH]c(Cl)c1Nc1cccc2cc[nH+]cc21 ZINC001210226489 1134173009 /nfs/dbraw/zinc/17/30/09/1134173009.db2.gz YMMAGKGLZLVIPS-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO Oc1cnc(Nc2cccc3cc[nH+]cc32)c(Cl)c1 ZINC001210225487 1134173045 /nfs/dbraw/zinc/17/30/45/1134173045.db2.gz HTMMCKBODNDNBY-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO COCCN(c1ccccc1N)c1cccc2cc[nH+]cc21 ZINC001210232188 1134173597 /nfs/dbraw/zinc/17/35/97/1134173597.db2.gz IEGWECIQIXOLBL-UHFFFAOYSA-N 1 2 293.370 3.602 20 0 CHADLO Cc1cn2c(cccc2Nc2cccnc2C(F)(F)F)[nH+]1 ZINC001210232978 1134174764 /nfs/dbraw/zinc/17/47/64/1134174764.db2.gz MJZBDLUPRRHZMA-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO Cc1nc(Nc2c[nH+]c(C)c(N)c2)c(Cl)cc1Cl ZINC001210287603 1134185401 /nfs/dbraw/zinc/18/54/01/1134185401.db2.gz YAIBVMWLTDDRQQ-UHFFFAOYSA-N 1 2 283.162 3.726 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3ccc(O)cc3c2)cc1N ZINC001210287740 1134185760 /nfs/dbraw/zinc/18/57/60/1134185760.db2.gz CHBXBXVSBKUMHH-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO Cc1ccc(OC(F)(F)F)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210290292 1134186141 /nfs/dbraw/zinc/18/61/41/1134186141.db2.gz IWRUFJIUTDRWHE-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO CCCN1CCCc2ccc(Nc3c[nH+]c(C)c(N)c3)cc21 ZINC001210289462 1134186503 /nfs/dbraw/zinc/18/65/03/1134186503.db2.gz MOBCXQPMUMEMTF-UHFFFAOYSA-N 1 2 296.418 3.878 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2-c2ccccc2N)cc1N ZINC001210290231 1134186515 /nfs/dbraw/zinc/18/65/15/1134186515.db2.gz GNDGEVRUVROOHV-UHFFFAOYSA-N 1 2 290.370 3.965 20 0 CHADLO Cc1nc2c(F)cccc2cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210288488 1134186971 /nfs/dbraw/zinc/18/69/71/1134186971.db2.gz KEGFLYYNGZGOQV-UHFFFAOYSA-N 1 2 282.322 3.712 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)cc2OC(C)C)cc1N ZINC001210291127 1134188060 /nfs/dbraw/zinc/18/80/60/1134188060.db2.gz KKPRKHBVXVGILZ-UHFFFAOYSA-N 1 2 275.327 3.642 20 0 CHADLO CCc1ccc(Cl)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210291475 1134188107 /nfs/dbraw/zinc/18/81/07/1134188107.db2.gz MOPGMBOAYABTHL-UHFFFAOYSA-N 1 2 261.756 3.932 20 0 CHADLO FC(F)Oc1ccc(Nc2cccn3cc[nH+]c23)cc1 ZINC001210325436 1134193266 /nfs/dbraw/zinc/19/32/66/1134193266.db2.gz RRGMAWVNXQWUMX-UHFFFAOYSA-N 1 2 275.258 3.679 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnn(C(F)(F)F)c2)cc1 ZINC001210364344 1134199163 /nfs/dbraw/zinc/19/91/63/1134199163.db2.gz QKELOQLDFZMTQV-UHFFFAOYSA-N 1 2 284.285 3.559 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnn(C(F)(F)F)c2)cc1 ZINC001210364344 1134199165 /nfs/dbraw/zinc/19/91/65/1134199165.db2.gz QKELOQLDFZMTQV-UHFFFAOYSA-N 1 2 284.285 3.559 20 0 CHADLO Cc1cc(C)c(Nc2ccc(-c3nccn3C)cc2)c[nH+]1 ZINC001210432060 1134213559 /nfs/dbraw/zinc/21/35/59/1134213559.db2.gz KWSIMFUPIURZPP-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO CCc1cccc(Nc2ccc(-c3nccn3C)cc2)[nH+]1 ZINC001210431725 1134213592 /nfs/dbraw/zinc/21/35/92/1134213592.db2.gz SAVABXYXDACDSU-UHFFFAOYSA-N 1 2 278.359 3.788 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3ncncc3c2)cc1 ZINC001210460138 1134219875 /nfs/dbraw/zinc/21/98/75/1134219875.db2.gz NBASRVXWVDZUEN-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3ncncc3c2)cc1 ZINC001210460138 1134219880 /nfs/dbraw/zinc/21/98/80/1134219880.db2.gz NBASRVXWVDZUEN-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO CCc1ncccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001210467195 1134223034 /nfs/dbraw/zinc/22/30/34/1134223034.db2.gz ARXROQMAYNGTTK-UHFFFAOYSA-N 1 2 278.359 3.632 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cncc(N(C)C)c3)ccc12 ZINC001210477566 1134226138 /nfs/dbraw/zinc/22/61/38/1134226138.db2.gz YICWOKDNSANXQZ-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO CC(C)(O)c1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001210619096 1134262508 /nfs/dbraw/zinc/26/25/08/1134262508.db2.gz ROBZFORXVGMYGR-UHFFFAOYSA-N 1 2 293.370 3.843 20 0 CHADLO C[C@@H](O)c1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1 ZINC001210647849 1134268084 /nfs/dbraw/zinc/26/80/84/1134268084.db2.gz ADFKEIAXYMJFOP-CQSZACIVSA-N 1 2 293.370 3.728 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnc(C(F)(F)F)nc1 ZINC001210670022 1134272235 /nfs/dbraw/zinc/27/22/35/1134272235.db2.gz GGSWCZCWJMRKLU-UHFFFAOYSA-N 1 2 282.269 3.505 20 0 CHADLO COc1cc(Nc2cncc(Cl)c2Cl)cc(C)[nH+]1 ZINC001210779462 1134294815 /nfs/dbraw/zinc/29/48/15/1134294815.db2.gz QCZOHJJKJIYMBN-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc(Nc2cncc(N3CCCCC3)c2)cc(C)[nH+]1 ZINC001210779357 1134294999 /nfs/dbraw/zinc/29/49/99/1134294999.db2.gz MNKCDHDNTPJOMF-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO COc1cc(Nc2ccc3c(c2)N=NC3)cc(C)[nH+]1 ZINC001210783676 1134295167 /nfs/dbraw/zinc/29/51/67/1134295167.db2.gz JCAXWGDUNAQOLF-UHFFFAOYSA-N 1 2 254.293 3.740 20 0 CHADLO COc1cc(Nc2cc(F)cc(N)c2Cl)cc(C)[nH+]1 ZINC001210784204 1134295338 /nfs/dbraw/zinc/29/53/38/1134295338.db2.gz NXDWLDBMIRFISI-UHFFFAOYSA-N 1 2 281.718 3.517 20 0 CHADLO CC=C(C)Nc1cc[nH+]c(NC(=O)OC(C)(C)C)c1 ZINC001210792479 1134296512 /nfs/dbraw/zinc/29/65/12/1134296512.db2.gz MKHHHXXQBYQZQB-UXBLZVDNSA-N 1 2 263.341 3.764 20 0 CHADLO Cc1cn2c(cccc2Nc2ccccc2OC2CC2)[nH+]1 ZINC001210835746 1134306998 /nfs/dbraw/zinc/30/69/98/1134306998.db2.gz YKOSZWNOVAVITM-UHFFFAOYSA-N 1 2 279.343 3.928 20 0 CHADLO COc1cc(Nc2ccccc2OC2CC2)cc(C)[nH+]1 ZINC001210839929 1134308801 /nfs/dbraw/zinc/30/88/01/1134308801.db2.gz SMCYGHSRGHTYLJ-UHFFFAOYSA-N 1 2 270.332 3.683 20 0 CHADLO CCc1[nH]nc2ncc(Nc3ccc([N@@H+](C)CC)cc3)cc21 ZINC001210888345 1134324059 /nfs/dbraw/zinc/32/40/59/1134324059.db2.gz VRSOLDLPQLXEJI-UHFFFAOYSA-N 1 2 295.390 3.720 20 0 CHADLO CCc1[nH]nc2ncc(Nc3ccc([N@H+](C)CC)cc3)cc21 ZINC001210888345 1134324065 /nfs/dbraw/zinc/32/40/65/1134324065.db2.gz VRSOLDLPQLXEJI-UHFFFAOYSA-N 1 2 295.390 3.720 20 0 CHADLO C=C(Nc1ccc(N(C)CC)[nH+]c1)c1ccccc1 ZINC001210985804 1134342441 /nfs/dbraw/zinc/34/24/41/1134342441.db2.gz XVZUCDKQHMDLKE-UHFFFAOYSA-N 1 2 253.349 3.621 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)C(C)(C)CC(=O)N3C)[nH+]c1 ZINC001211012653 1134349567 /nfs/dbraw/zinc/34/95/67/1134349567.db2.gz IOXDQEKLRCJDAW-UHFFFAOYSA-N 1 2 295.386 3.778 20 0 CHADLO COCc1cncc(Nc2cccc3cc[nH+]cc32)c1 ZINC001211078189 1134363681 /nfs/dbraw/zinc/36/36/81/1134363681.db2.gz NIXJGNZVJDJYRB-UHFFFAOYSA-N 1 2 265.316 3.520 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnn2ccccc12 ZINC001211094254 1134367671 /nfs/dbraw/zinc/36/76/71/1134367671.db2.gz MPXVSWFPQMQDID-UHFFFAOYSA-N 1 2 266.348 3.905 20 0 CHADLO CSc1ccc(Nc2ccn3cc[nH+]c3c2)cc1F ZINC001211110870 1134371354 /nfs/dbraw/zinc/37/13/54/1134371354.db2.gz UEYAPQHGGXJUNG-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO COc1cc(C)ccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001211113894 1134372626 /nfs/dbraw/zinc/37/26/26/1134372626.db2.gz NMCXZNVWSQUZBA-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO Cc1c(CO)cccc1Nc1[nH+]cccc1C1CC1 ZINC001211163940 1134382304 /nfs/dbraw/zinc/38/23/04/1134382304.db2.gz RKZJPNZLFYNIOO-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Oc1cc(Nc2[nH+]cccc2N2CCCCC2)ccc1F ZINC001211215743 1134394363 /nfs/dbraw/zinc/39/43/63/1134394363.db2.gz XADRRTODLCNTFY-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Oc1cc(Nc2cccc(Cn3cc[nH+]c3)c2)ccc1F ZINC001211217594 1134394503 /nfs/dbraw/zinc/39/45/03/1134394503.db2.gz YSFAWOZWUANUTM-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1cc(C)c(Nc2cnccc2Br)c[nH+]1 ZINC001211221697 1134395500 /nfs/dbraw/zinc/39/55/00/1134395500.db2.gz DEAATCLJVRRPII-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(F)c(O)c2)cc1 ZINC001211220715 1134395721 /nfs/dbraw/zinc/39/57/21/1134395721.db2.gz GZVFMNAPPNKKJG-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(F)c(O)c2)cc1 ZINC001211220715 1134395729 /nfs/dbraw/zinc/39/57/29/1134395729.db2.gz GZVFMNAPPNKKJG-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO Nc1ccc2c(ccn2-c2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213088160 1134424093 /nfs/dbraw/zinc/42/40/93/1134424093.db2.gz STLNRTVLKXZXIM-UHFFFAOYSA-N 1 2 274.327 3.603 20 0 CHADLO C[C@@H](O)c1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213088241 1134424312 /nfs/dbraw/zinc/42/43/12/1134424312.db2.gz XLSVPBFEUAUITP-GFCCVEGCSA-N 1 2 279.343 3.874 20 0 CHADLO COC(=O)c1cscc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213086132 1134424538 /nfs/dbraw/zinc/42/45/38/1134424538.db2.gz HIWVDUKHHXSNJO-UHFFFAOYSA-N 1 2 299.355 3.668 20 0 CHADLO CN1CCc2cc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)ccc21 ZINC001213091630 1134425419 /nfs/dbraw/zinc/42/54/19/1134425419.db2.gz BVTTXDHINGHDHV-UHFFFAOYSA-N 1 2 290.370 3.813 20 0 CHADLO COc1cccc(O)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213091072 1134425560 /nfs/dbraw/zinc/42/55/60/1134425560.db2.gz VHVGHQKXOCZLQU-UHFFFAOYSA-N 1 2 281.315 3.535 20 0 CHADLO OCc1ccc(Cl)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213090736 1134425594 /nfs/dbraw/zinc/42/55/94/1134425594.db2.gz DLACWWYNMQFZAH-UHFFFAOYSA-N 1 2 299.761 3.966 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4c(c3)OCC4)cc2)[nH]1 ZINC001213089903 1134425684 /nfs/dbraw/zinc/42/56/84/1134425684.db2.gz KFKOQWRHPQZQAS-UHFFFAOYSA-N 1 2 277.327 3.755 20 0 CHADLO Oc1cccc(F)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089179 1134425780 /nfs/dbraw/zinc/42/57/80/1134425780.db2.gz XYEUBOFNPDKCOA-UHFFFAOYSA-N 1 2 269.279 3.665 20 0 CHADLO Oc1ccc(F)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213090079 1134425980 /nfs/dbraw/zinc/42/59/80/1134425980.db2.gz SRGUXHWRMAZAFU-UHFFFAOYSA-N 1 2 269.279 3.665 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OCC)c(OC)c1 ZINC001213116422 1134428681 /nfs/dbraw/zinc/42/86/81/1134428681.db2.gz ZPZJLLAHJSELIV-UHFFFAOYSA-N 1 2 288.347 3.631 20 0 CHADLO FC(F)COc1ccc(Nc2cccn3cc[nH+]c23)cc1 ZINC001213301476 1134439675 /nfs/dbraw/zinc/43/96/75/1134439675.db2.gz QOXKLWBDKTVEEV-UHFFFAOYSA-N 1 2 289.285 3.722 20 0 CHADLO Cc1cc(C)c(Nc2ncc(F)cc2Cl)c[nH+]1 ZINC001213508407 1134457753 /nfs/dbraw/zinc/45/77/53/1134457753.db2.gz RYOKKJMJWKAIDR-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1c[nH+]cc(Nc2c(C)cc(C)c(N)c2C)c1C ZINC001213530672 1134462011 /nfs/dbraw/zinc/46/20/11/1134462011.db2.gz KKDJGKCPGYYVQS-UHFFFAOYSA-N 1 2 255.365 3.950 20 0 CHADLO Cc1c(Nc2[nH+]cccc2CCO)cccc1C1CC1 ZINC001213473056 1134452097 /nfs/dbraw/zinc/45/20/97/1134452097.db2.gz LDAMCGXIHOJYNS-UHFFFAOYSA-N 1 2 268.360 3.546 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nc2c(s1)C(=O)CCC2 ZINC001213489304 1134452524 /nfs/dbraw/zinc/45/25/24/1134452524.db2.gz NVXJGYBXWVFAOQ-UHFFFAOYSA-N 1 2 299.399 3.987 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(C2CC2)nn1C ZINC001213488791 1134452660 /nfs/dbraw/zinc/45/26/60/1134452660.db2.gz CYWCTHXSOWUGTF-UHFFFAOYSA-N 1 2 268.364 3.622 20 0 CHADLO Cc1ccnc(F)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491214 1134454307 /nfs/dbraw/zinc/45/43/07/1134454307.db2.gz BXAYEVBFLOUKBZ-UHFFFAOYSA-N 1 2 257.312 3.854 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nccnc1C(C)C ZINC001213497439 1134455048 /nfs/dbraw/zinc/45/50/48/1134455048.db2.gz OYOQWVYHSXACEL-UHFFFAOYSA-N 1 2 268.364 3.924 20 0 CHADLO CCNC(=O)c1ccccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213499158 1134455101 /nfs/dbraw/zinc/45/51/01/1134455101.db2.gz FBRGFWIHONOLKG-UHFFFAOYSA-N 1 2 295.386 3.761 20 0 CHADLO COc1cc(Nc2cc(C3CC3)c[nH+]c2C)c(C)cn1 ZINC001213496892 1134455292 /nfs/dbraw/zinc/45/52/92/1134455292.db2.gz CMXBPRIBKOPYPU-UHFFFAOYSA-N 1 2 269.348 3.723 20 0 CHADLO CC(=O)c1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1O ZINC001213499244 1134455320 /nfs/dbraw/zinc/45/53/20/1134455320.db2.gz FOZLNLOARLRIMX-UHFFFAOYSA-N 1 2 282.343 3.919 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccccc1C(=O)N(C)C ZINC001213499271 1134455341 /nfs/dbraw/zinc/45/53/41/1134455341.db2.gz GFFDAWXZOCTPHU-UHFFFAOYSA-N 1 2 295.386 3.713 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1C(=O)N(C)C2 ZINC001213503492 1134456143 /nfs/dbraw/zinc/45/61/43/1134456143.db2.gz JHOLCNMTFHTRSH-UHFFFAOYSA-N 1 2 293.370 3.597 20 0 CHADLO COC(=O)c1cc(O)cc(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213501384 1134456703 /nfs/dbraw/zinc/45/67/03/1134456703.db2.gz WDHLLSGSBQRIPG-UHFFFAOYSA-N 1 2 298.342 3.503 20 0 CHADLO Cc1cnn(C2CCC2)c1Nc1c[nH+]c(C)cc1C ZINC001213510975 1134457253 /nfs/dbraw/zinc/45/72/53/1134457253.db2.gz PRADNHFZROBSIU-UHFFFAOYSA-N 1 2 256.353 3.672 20 0 CHADLO Cc1cc(C)c(Nc2c(C)nccc2Br)c[nH+]1 ZINC001213507702 1134457333 /nfs/dbraw/zinc/45/73/33/1134457333.db2.gz BPSJWSNNNMPUHS-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2cncc(-c3ccccn3)c2)c[nH+]1 ZINC001213511045 1134457520 /nfs/dbraw/zinc/45/75/20/1134457520.db2.gz SVQXMSRGHXPJME-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1cc(C)c(Nc2cnc3c(C(C)C)cnn3c2)c[nH+]1 ZINC001213511040 1134457593 /nfs/dbraw/zinc/45/75/93/1134457593.db2.gz SQWBVPCCUDXNLS-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO Cc1cc(C)c(Nc2cncc(Br)c2)c[nH+]1 ZINC001213505400 1134457881 /nfs/dbraw/zinc/45/78/81/1134457881.db2.gz DCUGBFDYTDURAB-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(C2=NCCO2)c1 ZINC001213503776 1134458115 /nfs/dbraw/zinc/45/81/15/1134458115.db2.gz XNONBINRUZFDGS-UHFFFAOYSA-N 1 2 293.370 3.788 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)NC(=O)C3(C)C)c[nH+]1 ZINC001213518430 1134458787 /nfs/dbraw/zinc/45/87/87/1134458787.db2.gz NUVYYTDHNKKJFP-UHFFFAOYSA-N 1 2 281.359 3.672 20 0 CHADLO Cc1cn(-c2c[nH+]c(C)cc2C)c2cc(N)ccc12 ZINC001213520962 1134458949 /nfs/dbraw/zinc/45/89/49/1134458949.db2.gz MORCVBXVXYDUFF-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1cc(C)c(Nc2cccc(O)c2Br)c[nH+]1 ZINC001213521114 1134459143 /nfs/dbraw/zinc/45/91/43/1134459143.db2.gz UPZPGHBHVPPBGW-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO COc1cc(Nc2c[nH+]c(C)cc2C)ncc1C(F)(F)F ZINC001213513578 1134459268 /nfs/dbraw/zinc/45/92/68/1134459268.db2.gz WWLULJPDJQYOAN-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)C(=O)N(C2CC2)C3)c[nH+]1 ZINC001213520775 1134459394 /nfs/dbraw/zinc/45/93/94/1134459394.db2.gz HSIWNTSKUXXONC-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO COC(=O)c1cccc(Nc2c[nH+]c(C)cc2C)c1Cl ZINC001213521085 1134459566 /nfs/dbraw/zinc/45/95/66/1134459566.db2.gz SMXWNAGTLREYTD-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1c[nH+]cc(Nc2c(Cl)cncc2Cl)c1C ZINC001213523279 1134460176 /nfs/dbraw/zinc/46/01/76/1134460176.db2.gz PHDFCACSPYRRMT-UHFFFAOYSA-N 1 2 268.147 3.566 20 0 CHADLO Cc1c[nH+]cc(Nc2cccnc2C(F)(F)F)c1C ZINC001213527239 1134460329 /nfs/dbraw/zinc/46/03/29/1134460329.db2.gz DJPUIAZXEMFGCK-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO COC(=O)c1cc(Cl)sc1Nc1c[nH+]cc(C)c1C ZINC001213527539 1134460348 /nfs/dbraw/zinc/46/03/48/1134460348.db2.gz RJEWOJHTQZNCSF-UHFFFAOYSA-N 1 2 296.779 3.944 20 0 CHADLO COc1ccc2cc(Nc3c[nH+]cc(C)c3C)cnc2c1 ZINC001213524658 1134460352 /nfs/dbraw/zinc/46/03/52/1134460352.db2.gz BPQXGTSJDDFMKK-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(Nc2c(Cl)cnnc2Cl)c1C ZINC001213527249 1134460478 /nfs/dbraw/zinc/46/04/78/1134460478.db2.gz DTJNRHHVFFEFNI-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1c[nH+]cc(Nc2nc(Cl)c(Cl)cc2N)c1C ZINC001213528573 1134460500 /nfs/dbraw/zinc/46/05/00/1134460500.db2.gz GHQXMNFNZMTOSE-UHFFFAOYSA-N 1 2 283.162 3.726 20 0 CHADLO Cc1c(C)n(-c2c[nH+]c(C)cc2C)c2c1cccc2N ZINC001213521152 1134460564 /nfs/dbraw/zinc/46/05/64/1134460564.db2.gz WJUHAUSMTVTDBA-UHFFFAOYSA-N 1 2 265.360 3.841 20 0 CHADLO Cc1cc(C)c(Nc2cccc(OC(=O)N(C)C)c2)c[nH+]1 ZINC001213521171 1134460630 /nfs/dbraw/zinc/46/06/30/1134460630.db2.gz XNJHGHXZFGNYBZ-UHFFFAOYSA-N 1 2 285.347 3.502 20 0 CHADLO Cc1cnn(CC2CCC2)c1Nc1c[nH+]cc(C)c1C ZINC001213528942 1134461476 /nfs/dbraw/zinc/46/14/76/1134461476.db2.gz SXZQDVWZKKVNPF-UHFFFAOYSA-N 1 2 270.380 3.747 20 0 CHADLO COc1ccc2c(c1Nc1c[nH+]cc(C)c1C)C(=O)CC2 ZINC001213533946 1134461674 /nfs/dbraw/zinc/46/16/74/1134461674.db2.gz DEFFGRJJXJHZRQ-UHFFFAOYSA-N 1 2 282.343 3.580 20 0 CHADLO CCOc1ccc(Nc2c[nH+]cc(C)c2C)c(F)c1 ZINC001213532791 1134461829 /nfs/dbraw/zinc/46/18/29/1134461829.db2.gz VLNRAMIBNIOGIF-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO COC(=O)c1cc(Nc2c[nH+]cc(C)c2C)c(F)cc1F ZINC001213531064 1134462000 /nfs/dbraw/zinc/46/20/00/1134462000.db2.gz UHDMNGFYNGTNPN-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO O=c1ccc2cc(Nc3cccc(C4CC4)[nH+]3)ccc2[nH]1 ZINC001213772280 1134483105 /nfs/dbraw/zinc/48/31/05/1134483105.db2.gz WOXMQRYQYQWUPS-UHFFFAOYSA-N 1 2 277.327 3.544 20 0 CHADLO COc1cc[nH+]cc1Nc1c(OC)cccc1C1CC1 ZINC001213813889 1134487188 /nfs/dbraw/zinc/48/71/88/1134487188.db2.gz YJKRJCXUSBMRLJ-UHFFFAOYSA-N 1 2 270.332 3.720 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc4[nH]c(=O)ccc34)ccc21 ZINC001213976617 1134502944 /nfs/dbraw/zinc/50/29/44/1134502944.db2.gz JPCYHVSHWHBCJP-UHFFFAOYSA-N 1 2 290.326 3.571 20 0 CHADLO CCOc1cc(Nc2cccc3[nH+]ccn32)ccc1C ZINC001214320925 1134527629 /nfs/dbraw/zinc/52/76/29/1134527629.db2.gz JXBIYIVJOUPOFS-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO CCc1ccc[nH+]c1Nc1cc(OCOC)ccc1C ZINC001214359460 1134533100 /nfs/dbraw/zinc/53/31/00/1134533100.db2.gz JSLFPVKZMXHXSH-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)c(CO)c1 ZINC001214452897 1134539156 /nfs/dbraw/zinc/53/91/56/1134539156.db2.gz PGDOZTVPQWCGHQ-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO OCc1c(Cl)cccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001214647999 1134561547 /nfs/dbraw/zinc/56/15/47/1134561547.db2.gz MSNVFOHPUCVIEF-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO Cc1cc(CO)ccc1Nc1[nH+]cccc1C1CC1 ZINC001214662803 1134562508 /nfs/dbraw/zinc/56/25/08/1134562508.db2.gz DJKLMEWGVLBWEX-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO CCCOc1cc(Nc2c[nH+]ccc2OC)c(Cl)cn1 ZINC001214732597 1134567210 /nfs/dbraw/zinc/56/72/10/1134567210.db2.gz FOWKFHMDQXIJBT-UHFFFAOYSA-N 1 2 293.754 3.671 20 0 CHADLO CCOc1ncc(Nc2ccc(N3CCCC3)[nH+]c2)cc1C ZINC001214933220 1134582728 /nfs/dbraw/zinc/58/27/28/1134582728.db2.gz PLAYBABZZFIVJA-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]ccn32)cnc1OC(C)C ZINC001214937238 1134583823 /nfs/dbraw/zinc/58/38/23/1134583823.db2.gz NNFXWAKKBVQAQS-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO Cc1cc(C)c(Nc2c(C)cc(CO)cc2C)c[nH+]1 ZINC001214946558 1134585373 /nfs/dbraw/zinc/58/53/73/1134585373.db2.gz IIMQNLYLIMHLGM-UHFFFAOYSA-N 1 2 256.349 3.551 20 0 CHADLO CCc1ccc[nH+]c1Nc1c(C)cc(OCOC)cc1C ZINC001215159852 1134603332 /nfs/dbraw/zinc/60/33/32/1134603332.db2.gz HPCBXDNOBIRNMB-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO Cc1c(C)c(Nc2ccccc2-n2cc[nH+]c2)ccc1CO ZINC001215242839 1134610047 /nfs/dbraw/zinc/61/00/47/1134610047.db2.gz ICSZXLUJQADAQQ-UHFFFAOYSA-N 1 2 293.370 3.725 20 0 CHADLO CSc1cc(Nc2ccc(F)c3n[nH]cc32)cc[nH+]1 ZINC001215373151 1134620098 /nfs/dbraw/zinc/62/00/98/1134620098.db2.gz CUIRMIHVFPRXDC-UHFFFAOYSA-N 1 2 274.324 3.563 20 0 CHADLO CCOc1cc(Nc2cc(F)c(O)cc2F)cc(C)[nH+]1 ZINC001215572426 1134644615 /nfs/dbraw/zinc/64/46/15/1134644615.db2.gz WRNKEYZMSOBGKL-UHFFFAOYSA-N 1 2 280.274 3.516 20 0 CHADLO CCCOc1ccc(C)c(Nc2[nH+]cccc2N)c1 ZINC001215586918 1134649798 /nfs/dbraw/zinc/64/97/98/1134649798.db2.gz VDQXMJPRVPWCNC-UHFFFAOYSA-N 1 2 257.337 3.505 20 0 CHADLO COc1cc(Nc2c[nH+]c(C)cc2C)cc(C)c1F ZINC001215704067 1134662112 /nfs/dbraw/zinc/66/21/12/1134662112.db2.gz WKJHBDLIVZDCBB-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO COc1cncc(Nc2ccc3c(C)cc[nH+]c3c2)c1C ZINC001215735412 1134668754 /nfs/dbraw/zinc/66/87/54/1134668754.db2.gz KCYSQVORVJGUQY-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cccc(Nc2cccc3[nH+]c(C)cn32)c1C ZINC001215796815 1134689381 /nfs/dbraw/zinc/68/93/81/1134689381.db2.gz FEPWWTBIHJNCDW-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COc1cc(SC)c(Nc2cc[nH+]c(SC)c2)cn1 ZINC001215812367 1134693444 /nfs/dbraw/zinc/69/34/44/1134693444.db2.gz JYGSYDTVLZLKMZ-UHFFFAOYSA-N 1 2 293.417 3.673 20 0 CHADLO CSc1cccc(F)c1Nc1ccn2cc[nH+]c2c1 ZINC001215824171 1134695410 /nfs/dbraw/zinc/69/54/10/1134695410.db2.gz IZUVHXWKORTFDS-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CC(C)c1cc(Nc2cccn3cc[nH+]c23)ccc1O ZINC001215844530 1134701084 /nfs/dbraw/zinc/70/10/84/1134701084.db2.gz IOAGZHAHZGIQLR-UHFFFAOYSA-N 1 2 267.332 3.907 20 0 CHADLO CSc1ncc(Cl)cc1Nc1cccc2[nH+]ccn21 ZINC001215914772 1134718841 /nfs/dbraw/zinc/71/88/41/1134718841.db2.gz RZHGVJCUTFAZTB-UHFFFAOYSA-N 1 2 290.779 3.848 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]ccn32)cc1SC ZINC001215931322 1134724032 /nfs/dbraw/zinc/72/40/32/1134724032.db2.gz ZOKBWDVUQZDCHP-UHFFFAOYSA-N 1 2 285.372 3.808 20 0 CHADLO CSc1cc(F)c(Nc2ccc(N(C)C)[nH+]c2)cc1F ZINC001215964664 1134734043 /nfs/dbraw/zinc/73/40/43/1134734043.db2.gz AOWDSBKWDAAJMZ-UHFFFAOYSA-N 1 2 295.358 3.891 20 0 CHADLO COc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c(C)c1C ZINC001215974976 1134738598 /nfs/dbraw/zinc/73/85/98/1134738598.db2.gz ZMUYARMDFJAPKH-UHFFFAOYSA-N 1 2 281.359 3.942 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(O)cccc1Cl ZINC001215982926 1134740592 /nfs/dbraw/zinc/74/05/92/1134740592.db2.gz HGAXVVIMOROIJE-UHFFFAOYSA-N 1 2 264.712 3.583 20 0 CHADLO Cc1ccc(Nc2ccc(N)c(OC(F)(F)F)c2)[nH+]c1 ZINC001216029826 1134753511 /nfs/dbraw/zinc/75/35/11/1134753511.db2.gz WHPPVFWKGVWJJA-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO CSc1ncc(Nc2cccn3cc[nH+]c23)cc1Cl ZINC001216026854 1134754009 /nfs/dbraw/zinc/75/40/09/1134754009.db2.gz VSTSFOLHTRCEAA-UHFFFAOYSA-N 1 2 290.779 3.848 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(N)cc1C(F)(F)F ZINC001216130323 1134788325 /nfs/dbraw/zinc/78/83/25/1134788325.db2.gz XFVQPOAZHWWYEK-UHFFFAOYSA-N 1 2 281.281 3.989 20 0 CHADLO CN(C)c1cc(F)cc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001216160506 1134792379 /nfs/dbraw/zinc/79/23/79/1134792379.db2.gz OQPLVJKVWYPLPR-UHFFFAOYSA-N 1 2 296.349 3.821 20 0 CHADLO CN(C)c1ccc([NH2+]C2C[C@H]3CC[C@@H](C2)S3)cc1 ZINC000403590824 1134808868 /nfs/dbraw/zinc/80/88/68/1134808868.db2.gz UWZFLPFMTYKXAP-LQDVMPOASA-N 1 2 262.422 3.591 20 0 CHADLO C[NH+](C)c1ccc(NC2C[C@H]3CC[C@@H](C2)S3)cc1 ZINC000403590824 1134808879 /nfs/dbraw/zinc/80/88/79/1134808879.db2.gz UWZFLPFMTYKXAP-LQDVMPOASA-N 1 2 262.422 3.591 20 0 CHADLO CCOc1ccc(C)c(Nc2[nH+]cc(O)cc2C)c1 ZINC001216235299 1134817295 /nfs/dbraw/zinc/81/72/95/1134817295.db2.gz DGBKHLHWGLUKQQ-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO CSc1ncc(C)cc1Nc1[nH+]c2ccccc2n1C ZINC001216239545 1134819757 /nfs/dbraw/zinc/81/97/57/1134819757.db2.gz OVZJXYBMPSYCOQ-UHFFFAOYSA-N 1 2 284.388 3.742 20 0 CHADLO COc1cc(Nc2cccn3cc[nH+]c23)ccc1OC(C)C ZINC001216244111 1134824267 /nfs/dbraw/zinc/82/42/67/1134824267.db2.gz AITDQCCSORNZJH-UHFFFAOYSA-N 1 2 297.358 3.874 20 0 CHADLO COc1ccc(Nc2c(C)cc[nH+]c2C(C)C)cc1N ZINC001216256642 1134829342 /nfs/dbraw/zinc/82/93/42/1134829342.db2.gz MZZJJIAFAGXYLZ-UHFFFAOYSA-N 1 2 271.364 3.848 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc(CO)cc1F ZINC001216272705 1134832973 /nfs/dbraw/zinc/83/29/73/1134832973.db2.gz FTWGTMJKSFYKMF-UHFFFAOYSA-N 1 2 274.339 3.888 20 0 CHADLO OCCc1ccc[nH+]c1Nc1cccc(C2CCC2)c1 ZINC001216341319 1134861459 /nfs/dbraw/zinc/86/14/59/1134861459.db2.gz ZYOKTCYCMCGAEQ-UHFFFAOYSA-N 1 2 268.360 3.628 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1c(C)c(F)ccc1F ZINC001216343725 1134863246 /nfs/dbraw/zinc/86/32/46/1134863246.db2.gz AJNPOFMFPRPAPZ-UHFFFAOYSA-N 1 2 273.286 3.503 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(O)c2OC)cc1 ZINC001216353912 1134867279 /nfs/dbraw/zinc/86/72/79/1134867279.db2.gz BYTAYCTVYDEFHG-UHFFFAOYSA-N 1 2 272.348 3.601 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(O)c2OC)cc1 ZINC001216353912 1134867282 /nfs/dbraw/zinc/86/72/82/1134867282.db2.gz BYTAYCTVYDEFHG-UHFFFAOYSA-N 1 2 272.348 3.601 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc2c(cnn2CC)c1 ZINC001216588939 1134926792 /nfs/dbraw/zinc/92/67/92/1134926792.db2.gz AXAVLDKAOTZAOS-UHFFFAOYSA-N 1 2 266.348 3.757 20 0 CHADLO CCc1cccc(Nc2ccc3c(cnn3CC)c2)[nH+]1 ZINC001216589879 1134926991 /nfs/dbraw/zinc/92/69/91/1134926991.db2.gz HFEFVCPMVNGZFV-UHFFFAOYSA-N 1 2 266.348 3.757 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cncc(OCC2CC2)c1 ZINC001216598294 1134929077 /nfs/dbraw/zinc/92/90/77/1134929077.db2.gz BDAONZRUOGDKDH-UHFFFAOYSA-N 1 2 283.375 3.880 20 0 CHADLO CC[C@@H](C)c1ccccc1O[C@@H]1CC[NH2+]CC1(F)F ZINC001218006620 1135042045 /nfs/dbraw/zinc/04/20/45/1135042045.db2.gz MXHJTOMTDRMTQL-BXUZGUMPSA-N 1 2 269.335 3.576 20 0 CHADLO FC1(F)C[NH2+]CC[C@H]1Oc1cccc(-c2ccccc2)c1 ZINC001218005814 1135042339 /nfs/dbraw/zinc/04/23/39/1135042339.db2.gz HDDONMDLNHDCBG-MRXNPFEDSA-N 1 2 289.325 3.730 20 0 CHADLO Cc1cccc(C(C)(C)C)c1O[C@@H]1C[NH2+]CC(F)(F)C1 ZINC001218275018 1135078019 /nfs/dbraw/zinc/07/80/19/1135078019.db2.gz UHLZHDFDLLEFDE-LBPRGKRZSA-N 1 2 283.362 3.669 20 0 CHADLO C[C@H](Oc1cc(N)cc[nH+]1)c1ccccc1Br ZINC001218293381 1135079852 /nfs/dbraw/zinc/07/98/52/1135079852.db2.gz YIFDYGAIVZSSGC-VIFPVBQESA-N 1 2 293.164 3.566 20 0 CHADLO Nc1[nH+]cccc1OC1CC(c2ccccc2Cl)C1 ZINC001218342030 1135090895 /nfs/dbraw/zinc/09/08/95/1135090895.db2.gz SBYNMKIBXJHKOV-UHFFFAOYSA-N 1 2 274.751 3.642 20 0 CHADLO C[C@@H]([NH2+]CC=C(Cl)Cl)c1c(F)cccc1F ZINC000407098534 1135095312 /nfs/dbraw/zinc/09/53/12/1135095312.db2.gz BVIUVNNSAQDDQO-SSDOTTSWSA-N 1 2 266.118 3.934 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@]2(C)CC2(C)C)c(C)[nH+]1 ZINC001219010004 1135125014 /nfs/dbraw/zinc/12/50/14/1135125014.db2.gz WMTPQAAABNXEDU-INIZCTEOSA-N 1 2 275.396 3.565 20 0 CHADLO Cc1ccccc1[C@H](OCCn1cc[nH+]c1)c1ccccc1 ZINC001222128926 1135197715 /nfs/dbraw/zinc/19/77/15/1135197715.db2.gz HTNQNAZKSLGHRQ-LJQANCHMSA-N 1 2 292.382 3.998 20 0 CHADLO Cc1cc(-c2cc(C)c(Cl)cc2F)c[nH+]c1N ZINC001222232234 1135211590 /nfs/dbraw/zinc/21/15/90/1135211590.db2.gz MNTRWAPXPJKEFK-UHFFFAOYSA-N 1 2 250.704 3.740 20 0 CHADLO Cc1cc(N[C@](C)(CO)c2ccccc2)[nH+]c2ccccc12 ZINC000320268416 1135235429 /nfs/dbraw/zinc/23/54/29/1135235429.db2.gz SROYZPYWEJEXJY-LJQANCHMSA-N 1 2 292.382 3.863 20 0 CHADLO CCCC[C@H](OCc1c[nH+]cn1C)[C@@H](C)CCC ZINC001222600898 1135254995 /nfs/dbraw/zinc/25/49/95/1135254995.db2.gz UVRWAKBVAHYVHR-ZFWWWQNUSA-N 1 2 252.402 3.932 20 0 CHADLO CCCC[C@H](OCc1c[nH+]cn1C)[C@H](C)CCC ZINC001222600896 1135255318 /nfs/dbraw/zinc/25/53/18/1135255318.db2.gz UVRWAKBVAHYVHR-HIFRSBDPSA-N 1 2 252.402 3.932 20 0 CHADLO Cc1cc(COCc2c[nH+]cn2C)cc(C)c1OC(C)C ZINC001222601012 1135255209 /nfs/dbraw/zinc/25/52/09/1135255209.db2.gz XIURNNKMKPYTIU-UHFFFAOYSA-N 1 2 288.391 3.541 20 0 CHADLO Cc1cc(CO[C@@H](CC(C)C)C(=O)OC(C)C)cc(C)[nH+]1 ZINC001223420713 1135342451 /nfs/dbraw/zinc/34/24/51/1135342451.db2.gz MUVYZHMQGSPQDV-INIZCTEOSA-N 1 2 293.407 3.581 20 0 CHADLO Cc1cc(CO[C@H](C(=O)OC(C)(C)C)C(C)C)cc(C)[nH+]1 ZINC001223425961 1135345042 /nfs/dbraw/zinc/34/50/42/1135345042.db2.gz WCNCMJNCORUFMD-HNNXBMFYSA-N 1 2 293.407 3.581 20 0 CHADLO CC[NH+]1CC(Oc2cc(C)c(N=O)cc2C(C)C)C1 ZINC001226736061 1135734994 /nfs/dbraw/zinc/73/49/94/1135734994.db2.gz ADQPZVDXDOLLOT-UHFFFAOYSA-N 1 2 262.353 3.599 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H]1CCCc2cccnc21 ZINC001227259526 1135811624 /nfs/dbraw/zinc/81/16/24/1135811624.db2.gz JGTFVHWDVHELGX-AWEZNQCLSA-N 1 2 254.333 3.550 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H]1CCCN(c2ccccc2)C1 ZINC001227263342 1135811804 /nfs/dbraw/zinc/81/18/04/1135811804.db2.gz FICGAMBUENQBBG-KRWDZBQOSA-N 1 2 282.387 3.746 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H]1CCc2ccccc2C1 ZINC001227263692 1135813120 /nfs/dbraw/zinc/81/31/20/1135813120.db2.gz GVCKDMRZBQMGJM-MRXNPFEDSA-N 1 2 253.345 3.635 20 0 CHADLO CC[C@H](NC(=O)[C@@H](C)c1cc2ccccc2o1)c1[nH]cc[nH+]1 ZINC000347957612 529538589 /nfs/dbraw/zinc/53/85/89/529538589.db2.gz LYHYGUCQGUVQEX-AAEUAGOBSA-N 1 2 297.358 3.527 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3cc(OC)c(F)cc3C2)o1 ZINC000347684557 529644573 /nfs/dbraw/zinc/64/45/73/529644573.db2.gz QWSYXDQAOFVGHB-UHFFFAOYSA-N 1 2 289.350 3.548 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3cc(OC)c(F)cc3C2)o1 ZINC000347684557 529644576 /nfs/dbraw/zinc/64/45/76/529644576.db2.gz QWSYXDQAOFVGHB-UHFFFAOYSA-N 1 2 289.350 3.548 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)cn1 ZINC000347886276 529645808 /nfs/dbraw/zinc/64/58/08/529645808.db2.gz SVSQCSVTXOXDFL-BEFAXECRSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)cn1 ZINC000347886276 529645809 /nfs/dbraw/zinc/64/58/09/529645809.db2.gz SVSQCSVTXOXDFL-BEFAXECRSA-N 1 2 296.414 3.606 20 0 CHADLO CC[C@H](C)CCC(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000350683604 529647325 /nfs/dbraw/zinc/64/73/25/529647325.db2.gz TYYDSTHFTTZBBG-LBPRGKRZSA-N 1 2 289.354 3.776 20 0 CHADLO CCc1ccc(C[N@H+](Cc2cc[nH]n2)Cc2ccccc2)o1 ZINC000353287988 529638431 /nfs/dbraw/zinc/63/84/31/529638431.db2.gz UHXXTGXFCDNSGD-UHFFFAOYSA-N 1 2 295.386 3.768 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2cc[nH]n2)Cc2ccccc2)o1 ZINC000353287988 529638434 /nfs/dbraw/zinc/63/84/34/529638434.db2.gz UHXXTGXFCDNSGD-UHFFFAOYSA-N 1 2 295.386 3.768 20 0 CHADLO CC[C@H](Nc1[nH+]ccc2ccc(F)cc21)C1CCOCC1 ZINC000354557378 529681643 /nfs/dbraw/zinc/68/16/43/529681643.db2.gz GXUQDSINNMKTLC-INIZCTEOSA-N 1 2 288.366 3.991 20 0 CHADLO CC[C@H](C)[N@H+](CC(=O)OC(C)(C)C)Cc1ccc(C)o1 ZINC000347643983 529692839 /nfs/dbraw/zinc/69/28/39/529692839.db2.gz DMAJGMYVUAHVEP-LBPRGKRZSA-N 1 2 281.396 3.530 20 0 CHADLO CC[C@H](C)[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc(C)o1 ZINC000347643983 529692841 /nfs/dbraw/zinc/69/28/41/529692841.db2.gz DMAJGMYVUAHVEP-LBPRGKRZSA-N 1 2 281.396 3.530 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(F)cc2C)cs1 ZINC000352764391 529735282 /nfs/dbraw/zinc/73/52/82/529735282.db2.gz DRDZFHBSUKPUCN-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(F)cc2C)cs1 ZINC000352764391 529735283 /nfs/dbraw/zinc/73/52/83/529735283.db2.gz DRDZFHBSUKPUCN-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@H](C)CC[C@H]2c2ccccc2)no1 ZINC000352797036 529737385 /nfs/dbraw/zinc/73/73/85/529737385.db2.gz ADXGTGCTIGFHKO-HIFRSBDPSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1nc(C[N@H+]2C[C@H](C)CC[C@H]2c2ccccc2)no1 ZINC000352797036 529737386 /nfs/dbraw/zinc/73/73/86/529737386.db2.gz ADXGTGCTIGFHKO-HIFRSBDPSA-N 1 2 285.391 3.605 20 0 CHADLO CN(C)c1cc(Cl)cc(Cl)c1C[NH2+]Cc1ccco1 ZINC000352842865 529773214 /nfs/dbraw/zinc/77/32/14/529773214.db2.gz XKTOMDBQEPDYSW-UHFFFAOYSA-N 1 2 299.201 3.942 20 0 CHADLO C[N@@H+](Cc1ccn(C(F)F)n1)Cc1ccc(Cl)s1 ZINC000347709745 530009774 /nfs/dbraw/zinc/00/97/74/530009774.db2.gz UVUAWKHHLDHBND-UHFFFAOYSA-N 1 2 291.754 3.625 20 0 CHADLO C[N@H+](Cc1ccn(C(F)F)n1)Cc1ccc(Cl)s1 ZINC000347709745 530009775 /nfs/dbraw/zinc/00/97/75/530009775.db2.gz UVUAWKHHLDHBND-UHFFFAOYSA-N 1 2 291.754 3.625 20 0 CHADLO CN(Cc1ccoc1)c1[nH+]cccc1OCc1ccccc1 ZINC000354522299 530013010 /nfs/dbraw/zinc/01/30/10/530013010.db2.gz MKMWJAKRFAOAKB-UHFFFAOYSA-N 1 2 294.354 3.890 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(-c2ccccc2)o1 ZINC000353823898 530013555 /nfs/dbraw/zinc/01/35/55/530013555.db2.gz MNVHHHFVSQDBJS-UHFFFAOYSA-N 1 2 268.316 3.567 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(-c2ccccc2)o1 ZINC000353823898 530013556 /nfs/dbraw/zinc/01/35/56/530013556.db2.gz MNVHHHFVSQDBJS-UHFFFAOYSA-N 1 2 268.316 3.567 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@H](C)CC(C)C)n3)[nH+]c12 ZINC000573761267 334964198 /nfs/dbraw/zinc/96/41/98/334964198.db2.gz HRAAOOFNTGEJTB-CYBMUJFWSA-N 1 2 298.390 3.766 20 0 CHADLO COC[C@@H]([NH2+]Cc1cccc(Cl)c1F)c1ccco1 ZINC000151061671 260977472 /nfs/dbraw/zinc/97/74/72/260977472.db2.gz ANKPYXGWCDDDNA-GFCCVEGCSA-N 1 2 283.730 3.549 20 0 CHADLO C[C@@H](NC(=O)C[C@H](C)n1cc[nH+]c1)c1ccc(C2CC2)cc1 ZINC000574405081 335016862 /nfs/dbraw/zinc/01/68/62/335016862.db2.gz YJPDFFMBWBVGOG-UONOGXRCSA-N 1 2 297.402 3.589 20 0 CHADLO Clc1sccc1C[N@@H+]1CCOCC12CCCC2 ZINC000361576082 261345792 /nfs/dbraw/zinc/34/57/92/261345792.db2.gz FLZPXPVLJFASMU-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Clc1sccc1C[N@H+]1CCOCC12CCCC2 ZINC000361576082 261345794 /nfs/dbraw/zinc/34/57/94/261345794.db2.gz FLZPXPVLJFASMU-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+]Cc1noc(C(C)C)n1 ZINC000355064961 227362265 /nfs/dbraw/zinc/36/22/65/227362265.db2.gz RTVJYFJOUQNYNI-ZDUSSCGKSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1[nH]c(CN[C@]2(c3ccc(Cl)cc3)C[C@@H]2C)[nH+]c1C ZINC000574533086 335028061 /nfs/dbraw/zinc/02/80/61/335028061.db2.gz ZXROZMKNXQMYJK-MGPLVRAMSA-N 1 2 289.810 3.705 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)ccc2Cl)[C@@H]1[C@@H]1CCCO1 ZINC000574677695 335038921 /nfs/dbraw/zinc/03/89/21/335038921.db2.gz CLGJTQIKLGGCDQ-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)ccc2Cl)[C@@H]1[C@@H]1CCCO1 ZINC000574677695 335038922 /nfs/dbraw/zinc/03/89/22/335038922.db2.gz CLGJTQIKLGGCDQ-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CCCC(=O)Nc1c2ccccc2[nH+]c2c1CCCC2 ZINC000000004173 169504172 /nfs/dbraw/zinc/50/41/72/169504172.db2.gz HHGNFMTUNLFUTN-UHFFFAOYSA-N 1 2 268.360 3.852 20 0 CHADLO Cc1ccc(C[N@@H+]2CCSC[C@@H]2c2nccs2)s1 ZINC000186649009 262103714 /nfs/dbraw/zinc/10/37/14/262103714.db2.gz PMDUNVKBTLSVJE-GFCCVEGCSA-N 1 2 296.486 3.803 20 0 CHADLO Cc1ccc(C[N@H+]2CCSC[C@@H]2c2nccs2)s1 ZINC000186649009 262103716 /nfs/dbraw/zinc/10/37/16/262103716.db2.gz PMDUNVKBTLSVJE-GFCCVEGCSA-N 1 2 296.486 3.803 20 0 CHADLO COc1ccc2cc(C[N@H+](C)Cc3cscn3)ccc2c1 ZINC000171164357 335057574 /nfs/dbraw/zinc/05/75/74/335057574.db2.gz CFTXAQQMBUMLEN-UHFFFAOYSA-N 1 2 298.411 3.937 20 0 CHADLO COc1ccc2cc(C[N@@H+](C)Cc3cscn3)ccc2c1 ZINC000171164357 335057576 /nfs/dbraw/zinc/05/75/76/335057576.db2.gz CFTXAQQMBUMLEN-UHFFFAOYSA-N 1 2 298.411 3.937 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@H](c2cccc(Br)c2)C1 ZINC000172693803 260018567 /nfs/dbraw/zinc/01/85/67/260018567.db2.gz NDDWIJCBCTZCHL-FZMZJTMJSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@H](c2cccc(Br)c2)C1 ZINC000172693803 260018568 /nfs/dbraw/zinc/01/85/68/260018568.db2.gz NDDWIJCBCTZCHL-FZMZJTMJSA-N 1 2 298.224 3.621 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(F)cc1F)CC2 ZINC000352760987 533260592 /nfs/dbraw/zinc/26/05/92/533260592.db2.gz SCXQADKSHZQHGP-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(F)cc1F)CC2 ZINC000352760987 533260595 /nfs/dbraw/zinc/26/05/95/533260595.db2.gz SCXQADKSHZQHGP-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)[C@@H]([NH2+][C@H](C)c1ccon1)CCS2 ZINC000343440832 533290739 /nfs/dbraw/zinc/29/07/39/533290739.db2.gz JWRWZDCSUZINFY-YGRLFVJLSA-N 1 2 290.388 3.571 20 0 CHADLO C[C@@H](CC(C)(C)C)C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000355983960 533462278 /nfs/dbraw/zinc/46/22/78/533462278.db2.gz JDFQZEAHQHBTSJ-STQMWFEESA-N 1 2 277.412 3.536 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC2(CCC2)Oc2ccccc21)c1ccon1 ZINC000349835838 533539450 /nfs/dbraw/zinc/53/94/50/533539450.db2.gz RBSFWEGIPUSQIS-DOMZBBRYSA-N 1 2 284.359 3.772 20 0 CHADLO COc1ccc(CSCc2c[nH+]ccc2OC)cc1F ZINC000341970177 130182025 /nfs/dbraw/zinc/18/20/25/130182025.db2.gz PIOYLXRAXRAARZ-UHFFFAOYSA-N 1 2 293.363 3.671 20 0 CHADLO Cc1cnc(C[NH+]2CCC(CC(F)(F)F)CC2)s1 ZINC000341992629 130215018 /nfs/dbraw/zinc/21/50/18/130215018.db2.gz NTQCRFZDEUHDRO-UHFFFAOYSA-N 1 2 278.343 3.616 20 0 CHADLO Cn1cc(C[NH2+]C2(c3c(Cl)cccc3Cl)CC2)cn1 ZINC000342190083 130323906 /nfs/dbraw/zinc/32/39/06/130323906.db2.gz HGKVAWGKEWRCDW-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO C[C@@H]([NH2+]Cc1cn2ccccc2n1)c1ccccc1Cl ZINC000006996476 170261025 /nfs/dbraw/zinc/26/10/25/170261025.db2.gz WWTVCVCBKDBUGI-GFCCVEGCSA-N 1 2 285.778 3.839 20 0 CHADLO Cc1cc(N)nc(SCc2ccc(C(F)(F)F)cc2)[nH+]1 ZINC000008528983 170339114 /nfs/dbraw/zinc/33/91/14/170339114.db2.gz JDYFEVUQMVFVFC-UHFFFAOYSA-N 1 2 299.321 3.678 20 0 CHADLO Nc1ccc(Nc2cc(Cl)ccc2Cl)c[nH+]1 ZINC000019952232 170940156 /nfs/dbraw/zinc/94/01/56/170940156.db2.gz ULJBDQVMGJFZCD-UHFFFAOYSA-N 1 2 254.120 3.714 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2ccccn2)c(C)s1 ZINC000020123960 171005655 /nfs/dbraw/zinc/00/56/55/171005655.db2.gz ITUJBCFUEQHYOB-UWVGGRQHSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccc(Cl)s2)no1 ZINC000044692703 175234006 /nfs/dbraw/zinc/23/40/06/175234006.db2.gz VUTIDTIGHUEMPM-MRVPVSSYSA-N 1 2 256.758 3.549 20 0 CHADLO CC[C@@H](CC(=O)Nc1c[nH+]ccc1OC)c1ccccc1 ZINC000078414367 177339354 /nfs/dbraw/zinc/33/93/54/177339354.db2.gz UBPXAIYVBSFWDR-ZDUSSCGKSA-N 1 2 284.359 3.613 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)c1ccccc1C(F)(F)F ZINC000334569737 224925474 /nfs/dbraw/zinc/92/54/74/224925474.db2.gz XCZBIMXWPPGDCY-UHFFFAOYSA-N 1 2 294.276 3.970 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2c(C)cc(Cl)cc2C)no1 ZINC000344239685 225002325 /nfs/dbraw/zinc/00/23/25/225002325.db2.gz MNMWYORILHSTKM-UHFFFAOYSA-N 1 2 293.798 3.673 20 0 CHADLO c1cc2c(o1)CCC[N@@H+](Cc1nc3ccccc3s1)C2 ZINC000344243139 225003601 /nfs/dbraw/zinc/00/36/01/225003601.db2.gz ZQYMRQUMARABPH-UHFFFAOYSA-N 1 2 284.384 3.838 20 0 CHADLO c1cc2c(o1)CCC[N@H+](Cc1nc3ccccc3s1)C2 ZINC000344243139 225003604 /nfs/dbraw/zinc/00/36/04/225003604.db2.gz ZQYMRQUMARABPH-UHFFFAOYSA-N 1 2 284.384 3.838 20 0 CHADLO C[C@H]([NH2+]Cc1cccs1)c1noc(Cc2ccccc2)n1 ZINC000344611726 225117727 /nfs/dbraw/zinc/11/77/27/225117727.db2.gz MRPCUJGZXKKCLE-LBPRGKRZSA-N 1 2 299.399 3.573 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2F)oc1C ZINC000334689114 225273656 /nfs/dbraw/zinc/27/36/56/225273656.db2.gz IQPIJXWHKSTPSZ-OAHLLOKOSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2F)oc1C ZINC000334689114 225273660 /nfs/dbraw/zinc/27/36/60/225273660.db2.gz IQPIJXWHKSTPSZ-OAHLLOKOSA-N 1 2 292.329 3.907 20 0 CHADLO COc1ccncc1C[N@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000347139411 226056864 /nfs/dbraw/zinc/05/68/64/226056864.db2.gz YMTURQARWSFNHM-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccncc1C[N@@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000347139411 226056866 /nfs/dbraw/zinc/05/68/66/226056866.db2.gz YMTURQARWSFNHM-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2csc(Cc3ccccc3)n2)C1 ZINC000347333051 226116250 /nfs/dbraw/zinc/11/62/50/226116250.db2.gz AHUDDCIFERONER-INIZCTEOSA-N 1 2 290.407 3.668 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2csc(Cc3ccccc3)n2)C1 ZINC000347333051 226116254 /nfs/dbraw/zinc/11/62/54/226116254.db2.gz AHUDDCIFERONER-INIZCTEOSA-N 1 2 290.407 3.668 20 0 CHADLO Cc1cccc(C[NH+](Cc2ccco2)Cc2ccco2)n1 ZINC000347363766 226135043 /nfs/dbraw/zinc/13/50/43/226135043.db2.gz OFWFJHXDUYWBQM-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO Cc1cccc(C[N@H+](Cc2ccc(F)cc2F)C2CC2)n1 ZINC000347371091 226138418 /nfs/dbraw/zinc/13/84/18/226138418.db2.gz USZGMWIKSDYSBN-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2ccc(F)cc2F)C2CC2)n1 ZINC000347371091 226138423 /nfs/dbraw/zinc/13/84/23/226138423.db2.gz USZGMWIKSDYSBN-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nnc(C3CC3)[nH]2)cc1C ZINC000347390654 226143705 /nfs/dbraw/zinc/14/37/05/226143705.db2.gz HTDGTBCDHWDHCQ-MRXNPFEDSA-N 1 2 296.418 3.636 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nnc(C3CC3)[nH]2)cc1C ZINC000347390654 226143711 /nfs/dbraw/zinc/14/37/11/226143711.db2.gz HTDGTBCDHWDHCQ-MRXNPFEDSA-N 1 2 296.418 3.636 20 0 CHADLO C[C@H]1C[C@@H](C(C)(C)C)CC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000347611053 226198653 /nfs/dbraw/zinc/19/86/53/226198653.db2.gz CPJSPKVLIACYPJ-AAEUAGOBSA-N 1 2 277.412 3.594 20 0 CHADLO C[C@H]1C[C@@H](C(C)(C)C)CC[N@H+]1Cc1noc(C2CC2)n1 ZINC000347611053 226198656 /nfs/dbraw/zinc/19/86/56/226198656.db2.gz CPJSPKVLIACYPJ-AAEUAGOBSA-N 1 2 277.412 3.594 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)o1 ZINC000347597381 226213292 /nfs/dbraw/zinc/21/32/92/226213292.db2.gz JCOKCFPDRZMNJE-MFKMUULPSA-N 1 2 291.420 3.838 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+]2CC(C)(C)[C@@H]2c2cccs2)o1 ZINC000347597381 226213295 /nfs/dbraw/zinc/21/32/95/226213295.db2.gz JCOKCFPDRZMNJE-MFKMUULPSA-N 1 2 291.420 3.838 20 0 CHADLO C[C@@H]1C[NH+](Cc2c(Cl)oc3ccccc32)C[C@@H](C)O1 ZINC000347641824 226224321 /nfs/dbraw/zinc/22/43/21/226224321.db2.gz BVTZRPSDQPNERC-GHMZBOCLSA-N 1 2 279.767 3.695 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)c1 ZINC000347692937 226236804 /nfs/dbraw/zinc/23/68/04/226236804.db2.gz WMOHJFMDBOZQDD-AWEZNQCLSA-N 1 2 295.308 3.754 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)c1 ZINC000347692937 226236807 /nfs/dbraw/zinc/23/68/07/226236807.db2.gz WMOHJFMDBOZQDD-AWEZNQCLSA-N 1 2 295.308 3.754 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)cc2cccnc21)c1ccon1 ZINC000349238461 226710477 /nfs/dbraw/zinc/71/04/77/226710477.db2.gz JSQMVSLMHCOJHJ-JTQLQIEISA-N 1 2 287.750 3.727 20 0 CHADLO Cc1cc(N[C@@H](C)CC(C)(C)c2ccccc2)nc[nH+]1 ZINC000521362865 260086932 /nfs/dbraw/zinc/08/69/32/260086932.db2.gz VSIFEWJQYGYYDZ-AWEZNQCLSA-N 1 2 269.392 3.953 20 0 CHADLO C[C@H]1C[C@@H](Nc2[nH+]cccc2OCc2ccccc2)CCO1 ZINC000354586252 227042852 /nfs/dbraw/zinc/04/28/52/227042852.db2.gz KCVLDJMWKFCONS-HOCLYGCPSA-N 1 2 298.386 3.640 20 0 CHADLO c1ccc(COc2ccc[nH+]c2N[C@@H]2CCCOCC2)cc1 ZINC000354585215 227044135 /nfs/dbraw/zinc/04/41/35/227044135.db2.gz HXZRJNVPMIWPKL-MRXNPFEDSA-N 1 2 298.386 3.642 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCOc2ccc(F)cc21)c1nccs1 ZINC000336484049 227057226 /nfs/dbraw/zinc/05/72/26/227057226.db2.gz BRZHNVUVXLIGLM-GWCFXTLKSA-N 1 2 292.379 3.847 20 0 CHADLO FC(F)C(F)(F)C[NH2+]Cc1csc2ccccc12 ZINC000191774902 227165826 /nfs/dbraw/zinc/16/58/26/227165826.db2.gz KFJKUUNDNTULJH-UHFFFAOYSA-N 1 2 277.286 3.891 20 0 CHADLO FC1=CCC[N@@H+]([C@@H]2CCc3c2cccc3Cl)C1 ZINC000352781816 227215409 /nfs/dbraw/zinc/21/54/09/227215409.db2.gz ACBSBXCFEIOTLO-CQSZACIVSA-N 1 2 251.732 3.886 20 0 CHADLO FC1=CCC[N@H+]([C@@H]2CCc3c2cccc3Cl)C1 ZINC000352781816 227215411 /nfs/dbraw/zinc/21/54/11/227215411.db2.gz ACBSBXCFEIOTLO-CQSZACIVSA-N 1 2 251.732 3.886 20 0 CHADLO Cc1cccc2ncc(C[NH2+]C3(C(F)F)CCCCC3)n21 ZINC000353038443 227932129 /nfs/dbraw/zinc/93/21/29/227932129.db2.gz MXZZNNMJUGIATB-UHFFFAOYSA-N 1 2 293.361 3.700 20 0 CHADLO C[C@@H](Nc1cc(N2CCCCC2)nc[nH+]1)c1ccccc1 ZINC000114708492 228047273 /nfs/dbraw/zinc/04/72/73/228047273.db2.gz ILNKCADRZTZGBE-CQSZACIVSA-N 1 2 282.391 3.640 20 0 CHADLO C[C@@H](Nc1cc(N2CCCCC2)[nH+]cn1)c1ccccc1 ZINC000114708492 228047275 /nfs/dbraw/zinc/04/72/75/228047275.db2.gz ILNKCADRZTZGBE-CQSZACIVSA-N 1 2 282.391 3.640 20 0 CHADLO CSCc1cnc(C[N@@H+]2CC(C)(C)[C@@H]2C2CC2)s1 ZINC000353557615 228120449 /nfs/dbraw/zinc/12/04/49/228120449.db2.gz VLFJNFTVDOGENN-ZDUSSCGKSA-N 1 2 282.478 3.627 20 0 CHADLO CSCc1cnc(C[N@H+]2CC(C)(C)[C@@H]2C2CC2)s1 ZINC000353557615 228120452 /nfs/dbraw/zinc/12/04/52/228120452.db2.gz VLFJNFTVDOGENN-ZDUSSCGKSA-N 1 2 282.478 3.627 20 0 CHADLO Cc1ccc(Cc2noc(Cc3cccc(Cl)c3)n2)c[nH+]1 ZINC000356133190 228133916 /nfs/dbraw/zinc/13/39/16/228133916.db2.gz IXMVSJBJFRDQQZ-UHFFFAOYSA-N 1 2 299.761 3.608 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccc(F)cc2Cl)n1 ZINC000353771038 228151829 /nfs/dbraw/zinc/15/18/29/228151829.db2.gz WFWYMXQKEXCYGR-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccc(F)cc2Cl)n1 ZINC000353771038 228151830 /nfs/dbraw/zinc/15/18/30/228151830.db2.gz WFWYMXQKEXCYGR-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COc1ccc(C)cc1NCCCc1ccc(C)[nH+]c1 ZINC000353812057 228155108 /nfs/dbraw/zinc/15/51/08/228155108.db2.gz FKSXWNZUUFIPLY-UHFFFAOYSA-N 1 2 270.376 3.752 20 0 CHADLO Cc1ccc(CCCNc2ccccc2N(C)C)c[nH+]1 ZINC000353830698 228157197 /nfs/dbraw/zinc/15/71/97/228157197.db2.gz NNEULFXSTIRPIT-UHFFFAOYSA-N 1 2 269.392 3.501 20 0 CHADLO Cn1cc(C[N@@H+]2CCC=C(F)C2)c(C2CCCCC2)n1 ZINC000351977546 228170973 /nfs/dbraw/zinc/17/09/73/228170973.db2.gz ZWKNPRLUSCMVFM-UHFFFAOYSA-N 1 2 277.387 3.527 20 0 CHADLO Cn1cc(C[N@H+]2CCC=C(F)C2)c(C2CCCCC2)n1 ZINC000351977546 228170974 /nfs/dbraw/zinc/17/09/74/228170974.db2.gz ZWKNPRLUSCMVFM-UHFFFAOYSA-N 1 2 277.387 3.527 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@H](C)CCC[C@H]1C ZINC000335963505 228171614 /nfs/dbraw/zinc/17/16/14/228171614.db2.gz KEQZLCAHLXCLPO-DGCLKSJQSA-N 1 2 275.396 3.741 20 0 CHADLO Clc1cnc(C[N@H+](Cc2ccccc2)C2CC2)s1 ZINC000351997883 228173139 /nfs/dbraw/zinc/17/31/39/228173139.db2.gz WFVMXYDTIIDIRB-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Clc1cnc(C[N@@H+](Cc2ccccc2)C2CC2)s1 ZINC000351997883 228173140 /nfs/dbraw/zinc/17/31/40/228173140.db2.gz WFVMXYDTIIDIRB-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO c1cc2c(s1)CC[N@@H+](Cc1nc(C3CC3)cs1)C2 ZINC000335989083 228175541 /nfs/dbraw/zinc/17/55/41/228175541.db2.gz XSZKGRJSYSMDST-UHFFFAOYSA-N 1 2 276.430 3.640 20 0 CHADLO c1cc2c(s1)CC[N@H+](Cc1nc(C3CC3)cs1)C2 ZINC000335989083 228175542 /nfs/dbraw/zinc/17/55/42/228175542.db2.gz XSZKGRJSYSMDST-UHFFFAOYSA-N 1 2 276.430 3.640 20 0 CHADLO FC1(F)CCC12C[NH+](Cc1ccsc1Cl)C2 ZINC000336018020 228178072 /nfs/dbraw/zinc/17/80/72/228178072.db2.gz RUNNNQIHTIKWTP-UHFFFAOYSA-N 1 2 263.740 3.633 20 0 CHADLO C[C@@H]1CCCCN1C(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000336130974 228193396 /nfs/dbraw/zinc/19/33/96/228193396.db2.gz DTOVAOHBGNMZQS-CQSZACIVSA-N 1 2 296.370 3.889 20 0 CHADLO Cc1csc2nc(C)nc(N[C@H]3C[C@@H](C)n4cc[nH+]c43)c12 ZINC000333706680 228211121 /nfs/dbraw/zinc/21/11/21/228211121.db2.gz PASGQVVVAFMUFF-KOLCDFICSA-N 1 2 299.403 3.623 20 0 CHADLO Cc1cnc(C[NH2+][C@@H]2CCc3cc(F)c(F)c(F)c32)s1 ZINC000336209959 228214067 /nfs/dbraw/zinc/21/40/67/228214067.db2.gz QUNIXZAICFTXOX-SNVBAGLBSA-N 1 2 298.333 3.646 20 0 CHADLO CC(C)CN(C(=O)[C@H]1CCCc2[nH+]c[nH]c21)c1ccccc1 ZINC000367874486 260043280 /nfs/dbraw/zinc/04/32/80/260043280.db2.gz OABFEVQOIGLPTA-HNNXBMFYSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1ccsc1CNc1cccc(-n2cc[nH+]c2)c1 ZINC000036939914 260054069 /nfs/dbraw/zinc/05/40/69/260054069.db2.gz ZCMLKYFZHKECGV-UHFFFAOYSA-N 1 2 269.373 3.854 20 0 CHADLO CCN(CC)c1ccc(NCc2ccc(C)s2)c[nH+]1 ZINC000037003152 260062067 /nfs/dbraw/zinc/06/20/67/260062067.db2.gz KELKFNAUKFBAPI-UHFFFAOYSA-N 1 2 275.421 3.910 20 0 CHADLO c1cc(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)cs1 ZINC000179850110 260077561 /nfs/dbraw/zinc/07/75/61/260077561.db2.gz RTHYJUXCHBYZTQ-UHFFFAOYSA-N 1 2 268.385 3.788 20 0 CHADLO c1cc(C[N@H+]2CCc3[nH]c4ccccc4c3C2)cs1 ZINC000179850110 260077563 /nfs/dbraw/zinc/07/75/63/260077563.db2.gz RTHYJUXCHBYZTQ-UHFFFAOYSA-N 1 2 268.385 3.788 20 0 CHADLO CSc1cc(C(=O)Nc2ccc(C)[nH+]c2C)ccc1F ZINC000179915641 260080006 /nfs/dbraw/zinc/08/00/06/260080006.db2.gz BNFLOQLLVBBPDJ-UHFFFAOYSA-N 1 2 290.363 3.812 20 0 CHADLO Cc1ccccc1C1CC([NH2+][C@H](C)C(=O)OC(C)(C)C)C1 ZINC000180722949 260109067 /nfs/dbraw/zinc/10/90/67/260109067.db2.gz MMQVXJLTVLTDJL-WLYUNCDWSA-N 1 2 289.419 3.561 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(-c2ccccc2)c(C)c1)C(=O)OC ZINC000447793811 260134967 /nfs/dbraw/zinc/13/49/67/260134967.db2.gz FWHJGKPCQPLCSA-GOSISDBHSA-N 1 2 297.398 3.703 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@@H](C)c2nc(C)cs2)n1 ZINC000069994367 260151309 /nfs/dbraw/zinc/15/13/09/260151309.db2.gz ZHJLQWYLFVJXDS-LBPRGKRZSA-N 1 2 292.452 3.860 20 0 CHADLO Cc1cc(NCCOC2CCCCC2)nc(C(C)C)[nH+]1 ZINC000070168315 260152957 /nfs/dbraw/zinc/15/29/57/260152957.db2.gz QPCOGUFKXGDQGY-UHFFFAOYSA-N 1 2 277.412 3.670 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2c(F)cccc2F)cs1 ZINC000037771935 260163312 /nfs/dbraw/zinc/16/33/12/260163312.db2.gz PFDVJWAJALAONY-MRVPVSSYSA-N 1 2 268.332 3.581 20 0 CHADLO CCCn1c(C[NH2+]Cc2ccccc2F)nc2ccccc21 ZINC000077290604 260166980 /nfs/dbraw/zinc/16/69/80/260166980.db2.gz IFGKNERFBUXVOC-UHFFFAOYSA-N 1 2 297.377 3.875 20 0 CHADLO CC[C@H]([NH2+]Cc1ccccc1OC(F)F)c1nccs1 ZINC000080264379 260179057 /nfs/dbraw/zinc/17/90/57/260179057.db2.gz OPGHVGFKCSXGOW-NSHDSACASA-N 1 2 298.358 3.985 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000080265309 260179165 /nfs/dbraw/zinc/17/91/65/260179165.db2.gz ADQMPPQOBIMWKL-NEPJUHHUSA-N 1 2 276.405 3.954 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)[C@@H]2C[C@@H](C)CC(C)(C)C2)[nH+]1 ZINC000517576153 260195414 /nfs/dbraw/zinc/19/54/14/260195414.db2.gz RQVFFDJLHLODMM-DGCLKSJQSA-N 1 2 291.439 3.532 20 0 CHADLO COc1ccc(Cl)cc1NCc1c[nH+]cn1C(C)C ZINC000090207523 260239394 /nfs/dbraw/zinc/23/93/94/260239394.db2.gz LXRDKZJBBPOQPQ-UHFFFAOYSA-N 1 2 279.771 3.738 20 0 CHADLO Cc1ncc(C[NH2+][C@H](c2ccco2)c2ccccc2)s1 ZINC000040437468 260252295 /nfs/dbraw/zinc/25/22/95/260252295.db2.gz KJSWTJANLBERSB-INIZCTEOSA-N 1 2 284.384 3.924 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(C(F)(F)F)cc1 ZINC000093326669 260275678 /nfs/dbraw/zinc/27/56/78/260275678.db2.gz SILUKPLPLLIRMC-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO Cc1[nH+]c(-c2ccncc2)nc(N[C@H]2CCC[C@H](C)C2)c1C ZINC000517927612 260318677 /nfs/dbraw/zinc/31/86/77/260318677.db2.gz UQGDLNIUJZBGPZ-LRDDRELGSA-N 1 2 296.418 3.568 20 0 CHADLO CC(C)COc1cccc(CNc2cc[nH+]c(C(C)C)n2)c1 ZINC000518370081 260384461 /nfs/dbraw/zinc/38/44/61/260384461.db2.gz CLZBVVHYQLUNIR-UHFFFAOYSA-N 1 2 299.418 3.669 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCCC(F)(F)F ZINC000234909482 260391971 /nfs/dbraw/zinc/39/19/71/260391971.db2.gz PSYAVPCTHCAVCI-UHFFFAOYSA-N 1 2 270.254 3.608 20 0 CHADLO CCOCCCCNc1[nH+]c2ccccc2cc1C ZINC000133180245 260516443 /nfs/dbraw/zinc/51/64/43/260516443.db2.gz FASGEJPWKWYPTO-UHFFFAOYSA-N 1 2 258.365 3.772 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)C[C@H]1C=CCCC1 ZINC000188788036 260703894 /nfs/dbraw/zinc/70/38/94/260703894.db2.gz PEVYVMKWKBHDDV-ZDUSSCGKSA-N 1 2 288.391 3.944 20 0 CHADLO Clc1ccc2[nH+]c(CSc3ccncc3)cn2c1 ZINC000448096960 260832296 /nfs/dbraw/zinc/83/22/96/260832296.db2.gz LASWFXYXFILLSE-UHFFFAOYSA-N 1 2 275.764 3.675 20 0 CHADLO Cc1ccc(NC(=O)N(C)Cc2ccccc2F)c(C)[nH+]1 ZINC000152201894 261061235 /nfs/dbraw/zinc/06/12/35/261061235.db2.gz GFXUNURJRIKNGH-UHFFFAOYSA-N 1 2 287.338 3.501 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](OC(C)(C)C)c1ccccc1 ZINC000153522611 261079579 /nfs/dbraw/zinc/07/95/79/261079579.db2.gz KSPJHQLZRTZPOG-INIZCTEOSA-N 1 2 298.386 3.885 20 0 CHADLO C[N@H+](C/C=C/c1ccc(F)cc1)Cc1nccn1C(F)F ZINC000155008417 261097005 /nfs/dbraw/zinc/09/70/05/261097005.db2.gz PONQFKXHENTKNI-NSCUHMNNSA-N 1 2 295.308 3.563 20 0 CHADLO C[N@@H+](C/C=C/c1ccc(F)cc1)Cc1nccn1C(F)F ZINC000155008417 261097007 /nfs/dbraw/zinc/09/70/07/261097007.db2.gz PONQFKXHENTKNI-NSCUHMNNSA-N 1 2 295.308 3.563 20 0 CHADLO CCOCCC[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000444698025 261104565 /nfs/dbraw/zinc/10/45/65/261104565.db2.gz LNQMXWQLSQHJQG-BBRMVZONSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCC[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000444698025 261104566 /nfs/dbraw/zinc/10/45/66/261104566.db2.gz LNQMXWQLSQHJQG-BBRMVZONSA-N 1 2 297.826 3.528 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccco1 ZINC000156659863 261129025 /nfs/dbraw/zinc/12/90/25/261129025.db2.gz AWUPSQJYZZJESM-GWCFXTLKSA-N 1 2 281.302 3.596 20 0 CHADLO Fc1ccc([C@@H]2C[N@H+](CC3CCC3)CCO2)cc1Cl ZINC000173628735 261167376 /nfs/dbraw/zinc/16/73/76/261167376.db2.gz DNTLYVBTJCKAGW-HNNXBMFYSA-N 1 2 283.774 3.653 20 0 CHADLO Fc1ccc([C@@H]2C[N@@H+](CC3CCC3)CCO2)cc1Cl ZINC000173628735 261167378 /nfs/dbraw/zinc/16/73/78/261167378.db2.gz DNTLYVBTJCKAGW-HNNXBMFYSA-N 1 2 283.774 3.653 20 0 CHADLO CC(C)([NH2+]Cc1ccc2ccccc2n1)c1nccs1 ZINC000174403250 261184933 /nfs/dbraw/zinc/18/49/33/261184933.db2.gz OHFUFHYLOMRKPE-UHFFFAOYSA-N 1 2 283.400 3.716 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@H](C)c2cnc(C)nc2C)cs1 ZINC000162327316 261277796 /nfs/dbraw/zinc/27/77/96/261277796.db2.gz ZIMWVTPCHRMJCR-KOLCDFICSA-N 1 2 290.436 3.524 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cc(F)ccc1Br ZINC000104662478 261298736 /nfs/dbraw/zinc/29/87/36/261298736.db2.gz FRNTUBFJHKVUTO-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cc(F)ccc1Br ZINC000104662478 261298738 /nfs/dbraw/zinc/29/87/38/261298738.db2.gz FRNTUBFJHKVUTO-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO CC(C)n1cc[nH+]c1CN1C[C@@H](C)Cc2ccccc21 ZINC000104723283 261300671 /nfs/dbraw/zinc/30/06/71/261300671.db2.gz MBCKWQSNCKZSEO-AWEZNQCLSA-N 1 2 269.392 3.663 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC(C)(C)C[C@@H](C)O ZINC000301734575 261340045 /nfs/dbraw/zinc/34/00/45/261340045.db2.gz ULOVMVGEOOBMAG-CYBMUJFWSA-N 1 2 272.392 3.752 20 0 CHADLO Cc1cccc(CNc2cccc[nH+]2)c1Br ZINC000302748037 261354649 /nfs/dbraw/zinc/35/46/49/261354649.db2.gz FXQAOHYEIZKGCN-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO C[C@H]([NH2+]Cc1ccco1)c1c(F)cccc1Cl ZINC000177713956 261367722 /nfs/dbraw/zinc/36/77/22/261367722.db2.gz ROHNVZRDCNSNKR-VIFPVBQESA-N 1 2 253.704 3.923 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+][C@@H](C)c2ccc(C)o2)o1 ZINC000165310902 261368570 /nfs/dbraw/zinc/36/85/70/261368570.db2.gz VMYUZAJDFTUOTD-GXTWGEPZSA-N 1 2 277.364 3.782 20 0 CHADLO Cc1ccc(-c2cc(C[NH2+][C@@H](C)c3nccs3)on2)cc1 ZINC000179414339 261416626 /nfs/dbraw/zinc/41/66/26/261416626.db2.gz GCHXMRYPUOIBBG-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(-c2ccccc2)o1)c1cccc(O)c1 ZINC000181551455 261431936 /nfs/dbraw/zinc/43/19/36/261431936.db2.gz OUGGYHYPTASHIC-ZDUSSCGKSA-N 1 2 294.354 3.898 20 0 CHADLO Cc1nc(C[NH2+]C2(c3cccc(Cl)c3)CC2)oc1C ZINC000179676400 261808951 /nfs/dbraw/zinc/80/89/51/261808951.db2.gz GNNWGXZQAREHRX-UHFFFAOYSA-N 1 2 276.767 3.724 20 0 CHADLO CCCN(CC)c1ccc(C(=O)Nc2cc[nH+]cc2C)cc1 ZINC000107290170 261882663 /nfs/dbraw/zinc/88/26/63/261882663.db2.gz OHZZMMOZCBQRDY-UHFFFAOYSA-N 1 2 297.402 3.879 20 0 CHADLO CCCc1nc(C[NH2+][C@H](COC)c2ccccc2)cs1 ZINC000181646030 261905297 /nfs/dbraw/zinc/90/52/97/261905297.db2.gz MTVQROQVXHAOCK-OAHLLOKOSA-N 1 2 290.432 3.573 20 0 CHADLO CCC[C@H]([NH2+]Cc1noc(C(C)(C)C)n1)c1ccccc1 ZINC000181782360 261910117 /nfs/dbraw/zinc/91/01/17/261910117.db2.gz HSBCGQRJCNXWIQ-AWEZNQCLSA-N 1 2 287.407 3.998 20 0 CHADLO CC[C@@H](C)[C@H](C)[NH2+]c1ccc(OC)c(NC(C)=O)c1 ZINC000182115295 261924318 /nfs/dbraw/zinc/92/43/18/261924318.db2.gz FWABTOYZOZUSIQ-MNOVXSKESA-N 1 2 264.369 3.500 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2noc(-c3ccsc3)n2)cc1 ZINC000182347425 261935913 /nfs/dbraw/zinc/93/59/13/261935913.db2.gz RQELIFSMHFDIRT-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CC[N@H+](Cc1ccccc1Cl)[C@H](C)c1cnccn1 ZINC000184438490 262020520 /nfs/dbraw/zinc/02/05/20/262020520.db2.gz JKFKTGNNSUZSJO-GFCCVEGCSA-N 1 2 275.783 3.713 20 0 CHADLO CC[N@@H+](Cc1ccccc1Cl)[C@H](C)c1cnccn1 ZINC000184438490 262020522 /nfs/dbraw/zinc/02/05/22/262020522.db2.gz JKFKTGNNSUZSJO-GFCCVEGCSA-N 1 2 275.783 3.713 20 0 CHADLO COC[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1ccco1 ZINC000184597064 262024056 /nfs/dbraw/zinc/02/40/56/262024056.db2.gz PJGPVIVADQMIQM-CYBMUJFWSA-N 1 2 283.730 3.549 20 0 CHADLO C[C@H]([NH2+][C@H](CCO)c1ccco1)c1ccc(F)cc1Cl ZINC000186549211 262098139 /nfs/dbraw/zinc/09/81/39/262098139.db2.gz YWDNOHIPCNIPFR-IINYFYTJSA-N 1 2 297.757 3.846 20 0 CHADLO Cc1ccc(C[N@@H+]2CCSC[C@H]2c2nccs2)cc1 ZINC000186631378 262102694 /nfs/dbraw/zinc/10/26/94/262102694.db2.gz OFGXBJPLVUVQRD-AWEZNQCLSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1ccc(C[N@H+]2CCSC[C@H]2c2nccs2)cc1 ZINC000186631378 262102696 /nfs/dbraw/zinc/10/26/96/262102696.db2.gz OFGXBJPLVUVQRD-AWEZNQCLSA-N 1 2 290.457 3.742 20 0 CHADLO COc1cccc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)n1 ZINC000497436841 262159974 /nfs/dbraw/zinc/15/99/74/262159974.db2.gz HHGUKPDFZQVOIJ-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)n1 ZINC000497436841 262159975 /nfs/dbraw/zinc/15/99/75/262159975.db2.gz HHGUKPDFZQVOIJ-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2F)[C@@H](c2ccccc2)CO1 ZINC000525781153 262225920 /nfs/dbraw/zinc/22/59/20/262225920.db2.gz ZHUXOPKIVXKJID-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2F)[C@@H](c2ccccc2)CO1 ZINC000525781153 262225922 /nfs/dbraw/zinc/22/59/22/262225922.db2.gz ZHUXOPKIVXKJID-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO Cc1ccc(NCc2cn3ccccc3[nH+]2)cc1Cl ZINC000020039180 262226637 /nfs/dbraw/zinc/22/66/37/262226637.db2.gz KCJKTHPEPSJXDG-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO CCc1nc([C@H](C)[NH2+]C/C(Cl)=C/Cl)cs1 ZINC000184679933 262291248 /nfs/dbraw/zinc/29/12/48/262291248.db2.gz VQSMBHBMSSJGHP-OJKBXMANSA-N 1 2 265.209 3.675 20 0 CHADLO Cc1ccc([NH2+]C[C@@H]2C[C@@H]2C)c(OC[C@@H]2CCCO2)c1 ZINC000488305006 262307037 /nfs/dbraw/zinc/30/70/37/262307037.db2.gz DDHHFMSLVTWWCG-KKUMJFAQSA-N 1 2 275.392 3.621 20 0 CHADLO CCC1(CC)CC[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000446741419 262384475 /nfs/dbraw/zinc/38/44/75/262384475.db2.gz MUYBSVROCRQQAU-UHFFFAOYSA-N 1 2 299.418 3.673 20 0 CHADLO CCC1(CC)CC[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000446741419 262384477 /nfs/dbraw/zinc/38/44/77/262384477.db2.gz MUYBSVROCRQQAU-UHFFFAOYSA-N 1 2 299.418 3.673 20 0 CHADLO Cc1[nH+]c2ccccn2c1CNc1cc(F)c(F)c(F)c1 ZINC000061099985 262392835 /nfs/dbraw/zinc/39/28/35/262392835.db2.gz MGTNRXREDPUCOC-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO Cc1cc(C(=O)C[N@@H+](C)[C@@H](C)c2ccccc2F)c(C)[nH]1 ZINC000062015770 262408899 /nfs/dbraw/zinc/40/88/99/262408899.db2.gz YMWHVVIJZHSUMK-ZDUSSCGKSA-N 1 2 288.366 3.646 20 0 CHADLO Cc1cc(C(=O)C[N@H+](C)[C@@H](C)c2ccccc2F)c(C)[nH]1 ZINC000062015770 262408900 /nfs/dbraw/zinc/40/89/00/262408900.db2.gz YMWHVVIJZHSUMK-ZDUSSCGKSA-N 1 2 288.366 3.646 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+]1CCc2ncsc2C1 ZINC000525840127 262479522 /nfs/dbraw/zinc/47/95/22/262479522.db2.gz VWWBIVMNVMQPLT-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+]1CCc2ncsc2C1 ZINC000525840127 262479524 /nfs/dbraw/zinc/47/95/24/262479524.db2.gz VWWBIVMNVMQPLT-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](CCCSCC(C)C)C2 ZINC000411948523 262710699 /nfs/dbraw/zinc/71/06/99/262710699.db2.gz RAIDCYHIVWKXCW-UHFFFAOYSA-N 1 2 291.460 3.984 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](CCCSCC(C)C)C2 ZINC000411948523 262710700 /nfs/dbraw/zinc/71/07/00/262710700.db2.gz RAIDCYHIVWKXCW-UHFFFAOYSA-N 1 2 291.460 3.984 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2cnccc2C(C)(C)C)cs1 ZINC000414224264 262828090 /nfs/dbraw/zinc/82/80/90/262828090.db2.gz HINGZDNBQDUNBJ-NSHDSACASA-N 1 2 289.448 3.995 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc3c(cccc3F)[nH]2)cs1 ZINC000437584734 263020826 /nfs/dbraw/zinc/02/08/26/263020826.db2.gz UCOZOPNZWGTWMG-UHFFFAOYSA-N 1 2 289.379 3.704 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc3c(cccc3F)[nH]2)cs1 ZINC000437584734 263020827 /nfs/dbraw/zinc/02/08/27/263020827.db2.gz UCOZOPNZWGTWMG-UHFFFAOYSA-N 1 2 289.379 3.704 20 0 CHADLO CC[C@H](CC(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C)c1ccccc1 ZINC000276489462 263037082 /nfs/dbraw/zinc/03/70/82/263037082.db2.gz GFRLGGFKRJVYHX-PBHICJAKSA-N 1 2 299.418 3.807 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+]C1CC(F)(F)C1 ZINC000277006299 263039213 /nfs/dbraw/zinc/03/92/13/263039213.db2.gz BDVPLYQBXUICMY-GFCCVEGCSA-N 1 2 275.289 3.640 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cscn1)c1ccc(Cl)cc1 ZINC000279151230 263053325 /nfs/dbraw/zinc/05/33/25/263053325.db2.gz APEJLJVOQLHEDY-GWCFXTLKSA-N 1 2 296.823 3.835 20 0 CHADLO CCCCCc1ccc(NC(=O)CCc2[nH]cc[nH+]2)cc1 ZINC000279152114 263053484 /nfs/dbraw/zinc/05/34/84/263053484.db2.gz HLBJVLQRNMPFRT-UHFFFAOYSA-N 1 2 285.391 3.714 20 0 CHADLO COc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)cc1F ZINC000414851656 263068691 /nfs/dbraw/zinc/06/86/91/263068691.db2.gz OQRXLZSDXSKYSS-UHFFFAOYSA-N 1 2 286.350 3.805 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@H](C)c1ccc(Cl)cc1Cl ZINC000284043391 263085551 /nfs/dbraw/zinc/08/55/51/263085551.db2.gz NBWNZICPWWYTPP-SECBINFHSA-N 1 2 284.190 3.876 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@H](C)c1ccc(Cl)cc1Cl ZINC000284043391 263085552 /nfs/dbraw/zinc/08/55/52/263085552.db2.gz NBWNZICPWWYTPP-SECBINFHSA-N 1 2 284.190 3.876 20 0 CHADLO c1cc([C@H]2CCCC[N@H+]2Cc2nc3ccccc3s2)n[nH]1 ZINC000285504491 263093867 /nfs/dbraw/zinc/09/38/67/263093867.db2.gz XWDXDEIYPYMUTR-CQSZACIVSA-N 1 2 298.415 3.747 20 0 CHADLO c1cc([C@H]2CCCC[N@@H+]2Cc2nc3ccccc3s2)n[nH]1 ZINC000285504491 263093868 /nfs/dbraw/zinc/09/38/68/263093868.db2.gz XWDXDEIYPYMUTR-CQSZACIVSA-N 1 2 298.415 3.747 20 0 CHADLO CC(C)COCCSCCc1[nH+]c2ccccc2n1C ZINC000289311130 263108972 /nfs/dbraw/zinc/10/89/72/263108972.db2.gz AOTFJTGUOSXPNC-UHFFFAOYSA-N 1 2 292.448 3.522 20 0 CHADLO C[C@@H]1CC[C@H](c2ccccc2)[N@H+](Cc2csnn2)C1 ZINC000289749953 263111786 /nfs/dbraw/zinc/11/17/86/263111786.db2.gz QMEMXFCTSFTHDF-IUODEOHRSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@@H]1CC[C@H](c2ccccc2)[N@@H+](Cc2csnn2)C1 ZINC000289749953 263111787 /nfs/dbraw/zinc/11/17/87/263111787.db2.gz QMEMXFCTSFTHDF-IUODEOHRSA-N 1 2 273.405 3.511 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnc([C@H]2CCCO2)s1 ZINC000292720253 263134133 /nfs/dbraw/zinc/13/41/33/263134133.db2.gz FEQPQYJVPYCDBF-CYBMUJFWSA-N 1 2 292.404 3.528 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnc([C@H]2CCCO2)s1 ZINC000292720253 263134134 /nfs/dbraw/zinc/13/41/34/263134134.db2.gz FEQPQYJVPYCDBF-CYBMUJFWSA-N 1 2 292.404 3.528 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc2ccccc2n1)C(F)F ZINC000453246243 263208036 /nfs/dbraw/zinc/20/80/36/263208036.db2.gz MYMMNQUWMHEBIE-JQWIXIFHSA-N 1 2 264.319 3.929 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc2ccccc2n1)C(F)F ZINC000453246246 263208148 /nfs/dbraw/zinc/20/81/48/263208148.db2.gz MYMMNQUWMHEBIE-PWSUYJOCSA-N 1 2 264.319 3.929 20 0 CHADLO CC[C@H]([NH2+][C@H](COC)c1ccc(Cl)cc1)C(F)F ZINC000453253998 263210944 /nfs/dbraw/zinc/21/09/44/263210944.db2.gz XOVIXLJPHZWAFP-NWDGAFQWSA-N 1 2 277.742 3.661 20 0 CHADLO OCc1ccc(NCc2ccc(Oc3ccccc3)o2)[nH+]c1 ZINC000340582739 263210974 /nfs/dbraw/zinc/21/09/74/263210974.db2.gz URVGSKLDMKHOBW-UHFFFAOYSA-N 1 2 296.326 3.571 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1nccc2ccccc21 ZINC000453293961 263221938 /nfs/dbraw/zinc/22/19/38/263221938.db2.gz PJQAAIJEMQVBOF-QWRGUYRKSA-N 1 2 264.319 3.929 20 0 CHADLO CCCc1nc(C[NH2+][C@H](c2ccccc2)C(C)(C)C)no1 ZINC000299094231 263230621 /nfs/dbraw/zinc/23/06/21/263230621.db2.gz NEXFYEAZZFNNPS-MRXNPFEDSA-N 1 2 287.407 3.899 20 0 CHADLO Cc1csc(C2([NH2+]Cc3c(F)cccc3F)CCC2)n1 ZINC000299552037 263246914 /nfs/dbraw/zinc/24/69/14/263246914.db2.gz CHQFHBHDWVBPME-UHFFFAOYSA-N 1 2 294.370 3.899 20 0 CHADLO C[C@@H]1CCN(C(=O)c2ccccc2Oc2cc[nH+]cc2)[C@@H]1C ZINC000334177962 263498486 /nfs/dbraw/zinc/49/84/86/263498486.db2.gz CCEVVCJXZNYDAH-ZIAGYGMSSA-N 1 2 296.370 3.744 20 0 CHADLO C[C@H](CNc1cc(C(F)(F)F)cc[nH+]1)C(F)(F)F ZINC000343054259 263567627 /nfs/dbraw/zinc/56/76/27/263567627.db2.gz UFVQYJONUHLLJJ-ZCFIWIBFSA-N 1 2 272.192 3.711 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)CCC(F)(F)F)c(F)c1 ZINC000425325976 263905939 /nfs/dbraw/zinc/90/59/39/263905939.db2.gz NCORMCKQVKQGLT-UHFFFAOYSA-N 1 2 267.241 3.657 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)CCC(F)(F)F)c(F)c1 ZINC000425325976 263905940 /nfs/dbraw/zinc/90/59/40/263905940.db2.gz NCORMCKQVKQGLT-UHFFFAOYSA-N 1 2 267.241 3.657 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCCC[C@@]2(C)C(N)=O)n1 ZINC000330881711 264062247 /nfs/dbraw/zinc/06/22/47/264062247.db2.gz ZOMIMUNVGGZABL-INIZCTEOSA-N 1 2 292.427 3.524 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCCC[C@@]2(C)C(N)=O)n1 ZINC000330881711 264062249 /nfs/dbraw/zinc/06/22/49/264062249.db2.gz ZOMIMUNVGGZABL-INIZCTEOSA-N 1 2 292.427 3.524 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C(C)C)s1)c1c(C)noc1C ZINC000331339859 264194418 /nfs/dbraw/zinc/19/44/18/264194418.db2.gz RXRYDOPHDQQTTL-LLVKDONJSA-N 1 2 294.424 3.507 20 0 CHADLO Fc1cc(Cl)cc(C[NH+]2CC(CC(F)F)C2)c1 ZINC000425366433 264212479 /nfs/dbraw/zinc/21/24/79/264212479.db2.gz PUOWQDQBNZAMDL-UHFFFAOYSA-N 1 2 263.690 3.566 20 0 CHADLO Fc1c(Cl)cccc1C[NH+]1CC(CC(F)F)C1 ZINC000425368084 264213469 /nfs/dbraw/zinc/21/34/69/264213469.db2.gz VPGURDZFTMXUSC-UHFFFAOYSA-N 1 2 263.690 3.566 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)Cc2ccc(O)cc2)c(F)c1 ZINC000425378445 264217105 /nfs/dbraw/zinc/21/71/05/264217105.db2.gz JOSIDCUDHMADPD-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)Cc2ccc(O)cc2)c(F)c1 ZINC000425378445 264217107 /nfs/dbraw/zinc/21/71/07/264217107.db2.gz JOSIDCUDHMADPD-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO C[C@H](N[C@H]1C[N@H+](C)Cc2ccccc21)c1ccccc1F ZINC000366807701 264235332 /nfs/dbraw/zinc/23/53/32/264235332.db2.gz SAJOHXSDVWPZQL-UGSOOPFHSA-N 1 2 284.378 3.663 20 0 CHADLO C[C@H](N[C@H]1C[N@@H+](C)Cc2ccccc21)c1ccccc1F ZINC000366807701 264235334 /nfs/dbraw/zinc/23/53/34/264235334.db2.gz SAJOHXSDVWPZQL-UGSOOPFHSA-N 1 2 284.378 3.663 20 0 CHADLO FC(F)c1noc(C[NH2+][C@H](c2ccccc2)C2CCC2)n1 ZINC000425411405 264293662 /nfs/dbraw/zinc/29/36/62/264293662.db2.gz CVVATKJQSABFNJ-CYBMUJFWSA-N 1 2 293.317 3.638 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cc1)c1nc(C(C)(C)C)no1 ZINC000073984349 264301143 /nfs/dbraw/zinc/30/11/43/264301143.db2.gz JGBPFXNRMOURIJ-JTQLQIEISA-N 1 2 293.798 3.871 20 0 CHADLO CC(C)CCC1CC[NH+](Cc2nc(C(F)F)no2)CC1 ZINC000425424161 264313541 /nfs/dbraw/zinc/31/35/41/264313541.db2.gz OUZRPJXQWWRZEY-UHFFFAOYSA-N 1 2 287.354 3.655 20 0 CHADLO C[C@@H]1SCC[N@H+](Cc2csc(C(C)(C)C)n2)[C@H]1C ZINC000118412738 264356929 /nfs/dbraw/zinc/35/69/29/264356929.db2.gz AXYIZWSCAXQJPZ-QWRGUYRKSA-N 1 2 284.494 3.766 20 0 CHADLO C[C@@H]1SCC[N@@H+](Cc2csc(C(C)(C)C)n2)[C@H]1C ZINC000118412738 264356930 /nfs/dbraw/zinc/35/69/30/264356930.db2.gz AXYIZWSCAXQJPZ-QWRGUYRKSA-N 1 2 284.494 3.766 20 0 CHADLO Cc1cc([NH+](C)C)ccc1Nc1ncnc2sccc21 ZINC000055659665 264363961 /nfs/dbraw/zinc/36/39/61/264363961.db2.gz HHAQSWVKUGJRHA-UHFFFAOYSA-N 1 2 284.388 3.809 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)CCC1CC1)c1ccc(Cl)cc1 ZINC000428097008 264366622 /nfs/dbraw/zinc/36/66/22/264366622.db2.gz CFYADYLNUVHVFO-IAQYHMDHSA-N 1 2 295.810 3.722 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)CCc1ccc(F)cc1F ZINC000425577862 264940983 /nfs/dbraw/zinc/94/09/83/264940983.db2.gz BCRKXCSKIXBRBN-UHFFFAOYSA-N 1 2 290.313 3.548 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1cccc(O)c1Cl ZINC000294081672 265007435 /nfs/dbraw/zinc/00/74/35/265007435.db2.gz LHWCGQBJUMUQAD-NSHDSACASA-N 1 2 291.778 3.905 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2ccc(F)cc21)c1ccncc1Cl ZINC000335035780 265084568 /nfs/dbraw/zinc/08/45/68/265084568.db2.gz ZITAAKJDTSMEME-XPTSAGLGSA-N 1 2 292.741 3.658 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2ccc(F)cc21)c1ccncc1Cl ZINC000335035779 265084650 /nfs/dbraw/zinc/08/46/50/265084650.db2.gz ZITAAKJDTSMEME-OTYXRUKQSA-N 1 2 292.741 3.658 20 0 CHADLO NC(=O)[C@H]1CCC[N@H+](Cc2csc(Cl)c2Cl)C1 ZINC000335432052 265155121 /nfs/dbraw/zinc/15/51/21/265155121.db2.gz SMQZDZOQSOYUGU-ZETCQYMHSA-N 1 2 293.219 3.802 20 0 CHADLO NC(=O)[C@H]1CCC[N@@H+](Cc2csc(Cl)c2Cl)C1 ZINC000335432052 265155125 /nfs/dbraw/zinc/15/51/25/265155125.db2.gz SMQZDZOQSOYUGU-ZETCQYMHSA-N 1 2 293.219 3.802 20 0 CHADLO CC1(C)CCC[C@@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000094305260 265232472 /nfs/dbraw/zinc/23/24/72/265232472.db2.gz AZJFLWNJGOITNT-AWEZNQCLSA-N 1 2 259.397 3.672 20 0 CHADLO CC[C@@H]1CN(c2[nH+]ccc3c(C)cccc32)C[C@@H](C)O1 ZINC000519546018 265467163 /nfs/dbraw/zinc/46/71/63/265467163.db2.gz UVVFLHUDEXYLKE-ZIAGYGMSSA-N 1 2 270.376 3.547 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccc(F)cc1)c1cccc(O)c1 ZINC000519650463 265517739 /nfs/dbraw/zinc/51/77/39/265517739.db2.gz ODFFELTUOGBSNZ-LLVKDONJSA-N 1 2 295.304 3.974 20 0 CHADLO COc1ccc(CCC2CCN(c3cccc[nH+]3)CC2)cc1 ZINC000514652737 266028362 /nfs/dbraw/zinc/02/83/62/266028362.db2.gz GZMNEXBNFGZOLC-UHFFFAOYSA-N 1 2 296.414 3.939 20 0 CHADLO Fc1ccc(C[NH+]2Cc3ccccc3C2)c(Cl)c1 ZINC000353383824 266038426 /nfs/dbraw/zinc/03/84/26/266038426.db2.gz GYESUHAIVJMJQM-UHFFFAOYSA-N 1 2 261.727 3.995 20 0 CHADLO CSC1(CNc2ccc(N3CCCCC3)c[nH+]2)CCC1 ZINC000356177880 266063600 /nfs/dbraw/zinc/06/36/00/266063600.db2.gz RLGMQFRWRMCMRX-UHFFFAOYSA-N 1 2 291.464 3.770 20 0 CHADLO CCC[C@H]1CCCN(C(=O)c2ccc3[nH+]c(C)n(C)c3c2)C1 ZINC000356371286 266104165 /nfs/dbraw/zinc/10/41/65/266104165.db2.gz ARHWPBORBIPPNW-AWEZNQCLSA-N 1 2 299.418 3.534 20 0 CHADLO Fc1c(Cl)cccc1C[NH+]1CC2(CCC2(F)F)C1 ZINC000356522382 266129946 /nfs/dbraw/zinc/12/99/46/266129946.db2.gz IRFQFZXGGVJABN-UHFFFAOYSA-N 1 2 275.701 3.710 20 0 CHADLO Cc1cc(OC(F)F)c(C(=O)Nc2cc[nH+]cc2C)s1 ZINC000114331947 266134176 /nfs/dbraw/zinc/13/41/76/266134176.db2.gz PZHQPIUVIIYMHO-UHFFFAOYSA-N 1 2 298.314 3.614 20 0 CHADLO CCC[C@H]1CCCCN1C(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000356757034 266170459 /nfs/dbraw/zinc/17/04/59/266170459.db2.gz AOYSGYUSWGQKHM-HNNXBMFYSA-N 1 2 299.418 3.677 20 0 CHADLO CC(C)c1nc(N2CC[C@H](C)C3(CCC3)C2)cc[nH+]1 ZINC000356789967 266174844 /nfs/dbraw/zinc/17/48/44/266174844.db2.gz GWBWFFUPBAHJHR-ZDUSSCGKSA-N 1 2 259.397 3.617 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc2ccccc2[nH]1)c1nc(C)cs1 ZINC000356840870 266185809 /nfs/dbraw/zinc/18/58/09/266185809.db2.gz GIESMBQWLVGTSE-LLVKDONJSA-N 1 2 286.404 3.569 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N[C@@H]3CCCC(C)(C)C3)cc2n1C ZINC000356959254 266218781 /nfs/dbraw/zinc/21/87/81/266218781.db2.gz QRHNYSOQEXFWHL-CQSZACIVSA-N 1 2 299.418 3.580 20 0 CHADLO C[N@H+](Cc1ncn(-c2ccccc2)n1)C1CCC(C)(C)CC1 ZINC000356999402 266228968 /nfs/dbraw/zinc/22/89/68/266228968.db2.gz KQMZBJQZGNNXBI-UHFFFAOYSA-N 1 2 298.434 3.668 20 0 CHADLO C[N@@H+](Cc1ncn(-c2ccccc2)n1)C1CCC(C)(C)CC1 ZINC000356999402 266228970 /nfs/dbraw/zinc/22/89/70/266228970.db2.gz KQMZBJQZGNNXBI-UHFFFAOYSA-N 1 2 298.434 3.668 20 0 CHADLO CCOc1ccc2c(c1)CC[N@H+]([C@@H](C)c1ncc(C)o1)C2 ZINC000357006659 266230872 /nfs/dbraw/zinc/23/08/72/266230872.db2.gz NXLPDVFQUZIGOS-ZDUSSCGKSA-N 1 2 286.375 3.501 20 0 CHADLO CCOc1ccc2c(c1)CC[N@@H+]([C@@H](C)c1ncc(C)o1)C2 ZINC000357006659 266230873 /nfs/dbraw/zinc/23/08/73/266230873.db2.gz NXLPDVFQUZIGOS-ZDUSSCGKSA-N 1 2 286.375 3.501 20 0 CHADLO COCc1cc(N2CCC[C@H](C)C2)c2cc(F)ccc2[nH+]1 ZINC000357105941 266249326 /nfs/dbraw/zinc/24/93/26/266249326.db2.gz OZHDKSSFHIYTGD-LBPRGKRZSA-N 1 2 288.366 3.757 20 0 CHADLO COCc1cc(N2CCC(C)(C)C2)c2cc(F)ccc2[nH+]1 ZINC000357495297 266309163 /nfs/dbraw/zinc/30/91/63/266309163.db2.gz YYEJSLOORGHEBI-UHFFFAOYSA-N 1 2 288.366 3.757 20 0 CHADLO CC[C@H](O)CCCNc1cc(C)[nH+]c2c(F)cccc12 ZINC000357523612 266316219 /nfs/dbraw/zinc/31/62/19/266316219.db2.gz BHSAPAPCZCSRTE-LBPRGKRZSA-N 1 2 276.355 3.645 20 0 CHADLO C[C@@H](CC(C)(C)C)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000357562319 266324857 /nfs/dbraw/zinc/32/48/57/266324857.db2.gz IZKUITDCFPTAFM-AWEZNQCLSA-N 1 2 299.418 3.561 20 0 CHADLO C[C@H](CC(C)(C)C)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000357562320 266325431 /nfs/dbraw/zinc/32/54/31/266325431.db2.gz IZKUITDCFPTAFM-CQSZACIVSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1ccc2c(c1)N(Cc1[nH+]ccn1C(C)C)C[C@@H](C)O2 ZINC000357711871 266349852 /nfs/dbraw/zinc/34/98/52/266349852.db2.gz VVHIOPVSDFHNMA-CQSZACIVSA-N 1 2 285.391 3.560 20 0 CHADLO CCn1cc[nH+]c1CN[C@H](c1cccc(C)c1)C(F)(F)F ZINC000357797269 266364467 /nfs/dbraw/zinc/36/44/67/266364467.db2.gz CEYLHSFXMLPKCU-CQSZACIVSA-N 1 2 297.324 3.605 20 0 CHADLO C[C@H](CC1CCCC1)C(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000358178968 266434219 /nfs/dbraw/zinc/43/42/19/266434219.db2.gz HPJDNQILSAKWJD-UKRRQHHQSA-N 1 2 289.423 3.680 20 0 CHADLO FC1=CCC[N@H+](Cc2cc(Cl)cc3cccnc32)C1 ZINC000359511876 266594680 /nfs/dbraw/zinc/59/46/80/266594680.db2.gz BKOCLGPUWOTBNJ-UHFFFAOYSA-N 1 2 276.742 3.947 20 0 CHADLO FC1=CCC[N@@H+](Cc2cc(Cl)cc3cccnc32)C1 ZINC000359511876 266594682 /nfs/dbraw/zinc/59/46/82/266594682.db2.gz BKOCLGPUWOTBNJ-UHFFFAOYSA-N 1 2 276.742 3.947 20 0 CHADLO CC[C@H](C)n1ncc(NCc2c(C)[nH+]c3ccccn32)c1C ZINC000359794331 266640251 /nfs/dbraw/zinc/64/02/51/266640251.db2.gz HOSANGPXQXMLDR-LBPRGKRZSA-N 1 2 297.406 3.731 20 0 CHADLO Cc1cc(N[C@H]2CC[C@H](c3ccc(F)cc3)C2)nc[nH+]1 ZINC000359882375 266651390 /nfs/dbraw/zinc/65/13/90/266651390.db2.gz VZRLYGGVGPXMFZ-ZFWWWQNUSA-N 1 2 271.339 3.672 20 0 CHADLO Cc1cc(N2C[C@H](C)CCC[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000361106749 266784642 /nfs/dbraw/zinc/78/46/42/266784642.db2.gz JGHKXSFXDXHTKG-YPMHNXCESA-N 1 2 259.397 3.677 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccnn1-c1ccccc1)C2 ZINC000361113965 266787502 /nfs/dbraw/zinc/78/75/02/266787502.db2.gz PLLDTGFXYWVBCS-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccnn1-c1ccccc1)C2 ZINC000361113965 266787505 /nfs/dbraw/zinc/78/75/05/266787505.db2.gz PLLDTGFXYWVBCS-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCOc2c(F)ccc(F)c21)c1cncs1 ZINC000361186331 266798285 /nfs/dbraw/zinc/79/82/85/266798285.db2.gz FVMSHBOIPKIRBA-LDYMZIIASA-N 1 2 296.342 3.596 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(Cl)cc1Cl ZINC000362794874 267046516 /nfs/dbraw/zinc/04/65/16/267046516.db2.gz GDUMOIOGJQJQNQ-UHFFFAOYSA-N 1 2 271.122 3.562 20 0 CHADLO CCc1cc(C)c(C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)o1 ZINC000362989614 267070593 /nfs/dbraw/zinc/07/05/93/267070593.db2.gz JQAYIKKHACDFER-UHFFFAOYSA-N 1 2 283.331 3.587 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2coc3ccccc23)[nH]1 ZINC000362987883 267071002 /nfs/dbraw/zinc/07/10/02/267071002.db2.gz VZXQZSUNUXSCNQ-NEPJUHHUSA-N 1 2 298.390 3.915 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CCC[C@@H]2CCC(C)C)[nH+]1 ZINC000362992735 267071612 /nfs/dbraw/zinc/07/16/12/267071612.db2.gz NTXVIQYUZPECLA-CYBMUJFWSA-N 1 2 272.396 3.671 20 0 CHADLO O=C(CC1CC1)Nc1cccc(-c2cn3c([nH+]2)CCCC3)c1 ZINC000363030513 267074297 /nfs/dbraw/zinc/07/42/97/267074297.db2.gz SFVGQHFRMXYIFA-UHFFFAOYSA-N 1 2 295.386 3.625 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@H](C)C3CC3)c2)[nH+]c1C ZINC000363324310 267087953 /nfs/dbraw/zinc/08/79/53/267087953.db2.gz MECAVIVNDXOZJG-SNVBAGLBSA-N 1 2 283.375 3.678 20 0 CHADLO O=C(CCC1CC1)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000115049285 267089815 /nfs/dbraw/zinc/08/98/15/267089815.db2.gz OBCBECAERYTUKK-UHFFFAOYSA-N 1 2 289.766 3.654 20 0 CHADLO Cc1c2ccccc2oc1C[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367601155 267102931 /nfs/dbraw/zinc/10/29/31/267102931.db2.gz MRHBXOBSBXMOCC-CQSZACIVSA-N 1 2 281.359 3.632 20 0 CHADLO Cc1ccc([C@H](N[C@H](C)c2[nH]cc[nH+]2)c2ccccn2)cc1 ZINC000367600170 267103366 /nfs/dbraw/zinc/10/33/66/267103366.db2.gz ZPWWSYADGDEWMP-PBHICJAKSA-N 1 2 292.386 3.553 20 0 CHADLO CC1(C)C[C@H]([NH2+][C@@H]2CCCc3[nH]ncc32)c2ccccc21 ZINC000367612623 267104721 /nfs/dbraw/zinc/10/47/21/267104721.db2.gz DPCSANHLMZHPLQ-WBVHZDCISA-N 1 2 281.403 3.799 20 0 CHADLO C[C@H]1CCOCC[N@@H+]1Cc1csc(C2CCCCC2)n1 ZINC000367664023 267106895 /nfs/dbraw/zinc/10/68/95/267106895.db2.gz SJMVLDZEFBSWQB-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@H]1CCOCC[N@H+]1Cc1csc(C2CCCCC2)n1 ZINC000367664023 267106898 /nfs/dbraw/zinc/10/68/98/267106898.db2.gz SJMVLDZEFBSWQB-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO CCCCC[C@H](CC)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000367740954 267114573 /nfs/dbraw/zinc/11/45/73/267114573.db2.gz NREVEPGGHIRYKG-GJZGRUSLSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1ccc(CNc2cccc3c2OCCC3)c(C)[nH+]1 ZINC000368380113 267167328 /nfs/dbraw/zinc/16/73/28/267167328.db2.gz KIBFAKDNZDZQLZ-UHFFFAOYSA-N 1 2 268.360 3.636 20 0 CHADLO Cc1cc(C)cc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)c1 ZINC000117565331 267216507 /nfs/dbraw/zinc/21/65/07/267216507.db2.gz LKMXBDRTVCBQIU-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1cc(NC(=O)N[C@H](C)c2cccc(F)c2F)cc[nH+]1 ZINC000119163608 267305044 /nfs/dbraw/zinc/30/50/44/267305044.db2.gz VPULMDLHRHGLTR-SNVBAGLBSA-N 1 2 291.301 3.551 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC000119297149 267315953 /nfs/dbraw/zinc/31/59/53/267315953.db2.gz JAYWLIZXLLHFNK-UHFFFAOYSA-N 1 2 254.333 3.568 20 0 CHADLO CCOc1ccccc1C[N@@H+]1CCC[C@H]1c1nccs1 ZINC000370656236 267334952 /nfs/dbraw/zinc/33/49/52/267334952.db2.gz KPCTYBKLKLWXOF-AWEZNQCLSA-N 1 2 288.416 3.879 20 0 CHADLO CCOc1ccccc1C[N@H+]1CCC[C@H]1c1nccs1 ZINC000370656236 267334953 /nfs/dbraw/zinc/33/49/53/267334953.db2.gz KPCTYBKLKLWXOF-AWEZNQCLSA-N 1 2 288.416 3.879 20 0 CHADLO Cc1c[nH+]cc(NCc2cccc(Cl)c2F)c1 ZINC000119557974 267345030 /nfs/dbraw/zinc/34/50/30/267345030.db2.gz ALFSHQIYEUCKSJ-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)Cc2ccsc2)[nH+]1 ZINC000371252013 267377187 /nfs/dbraw/zinc/37/71/87/267377187.db2.gz AAKAZFLUPNXZIU-UHFFFAOYSA-N 1 2 297.383 3.628 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000376890895 268027716 /nfs/dbraw/zinc/02/77/16/268027716.db2.gz LGRLYEKUNAMPDK-AWEZNQCLSA-N 1 2 275.421 3.548 20 0 CHADLO CCn1ccnc1C[N@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000376890895 268027719 /nfs/dbraw/zinc/02/77/19/268027719.db2.gz LGRLYEKUNAMPDK-AWEZNQCLSA-N 1 2 275.421 3.548 20 0 CHADLO CCn1cc[nH+]c1CN1CC(C)(C)[C@@H]1c1cccs1 ZINC000376890895 268027721 /nfs/dbraw/zinc/02/77/21/268027721.db2.gz LGRLYEKUNAMPDK-AWEZNQCLSA-N 1 2 275.421 3.548 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC2(C1)CCOCC2 ZINC000377153821 268051383 /nfs/dbraw/zinc/05/13/83/268051383.db2.gz WKJWXZWGBZVNRF-UHFFFAOYSA-N 1 2 282.387 3.550 20 0 CHADLO Fc1ccccc1C[N@@H+]1CCC[C@@H]1c1nccs1 ZINC000377196302 268055031 /nfs/dbraw/zinc/05/50/31/268055031.db2.gz NFFVMZLTTMRRQU-CYBMUJFWSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1ccccc1C[N@H+]1CCC[C@@H]1c1nccs1 ZINC000377196302 268055032 /nfs/dbraw/zinc/05/50/32/268055032.db2.gz NFFVMZLTTMRRQU-CYBMUJFWSA-N 1 2 262.353 3.619 20 0 CHADLO CSc1ccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)o1 ZINC000171988621 335087661 /nfs/dbraw/zinc/08/76/61/335087661.db2.gz HXPPGEOLJNHNSE-UHFFFAOYSA-N 1 2 276.361 3.574 20 0 CHADLO Cc1cc(NCc2ccc(Cl)nc2)nc(C(C)C)[nH+]1 ZINC000301118757 328826627 /nfs/dbraw/zinc/82/66/27/328826627.db2.gz YZUJDDBBIZKYGO-UHFFFAOYSA-N 1 2 276.771 3.569 20 0 CHADLO Cc1cc([NH2+][C@H](C)C2CC2)ccc1OC1CCOCC1 ZINC000179909500 327803699 /nfs/dbraw/zinc/80/36/99/327803699.db2.gz QYTOMFINAGDZDY-CYBMUJFWSA-N 1 2 275.392 3.763 20 0 CHADLO Cc1cc(OC[C@@H]2CCO[C@@H](C)C2)c2ccccc2[nH+]1 ZINC000582042509 327816523 /nfs/dbraw/zinc/81/65/23/327816523.db2.gz VTIPZWMMCPFPDQ-UONOGXRCSA-N 1 2 271.360 3.737 20 0 CHADLO Cc1cncc([C@H](C)[NH2+]Cc2csc(-c3ccco3)n2)c1 ZINC000184393847 327827696 /nfs/dbraw/zinc/82/76/96/327827696.db2.gz FXJGKLQWFUVDKM-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Fc1cccc(Br)c1C[NH2+][C@H]1CCC[C@H]1F ZINC000382097093 327828187 /nfs/dbraw/zinc/82/81/87/327828187.db2.gz FDHZWYWAOQZTIL-NEPJUHHUSA-N 1 2 290.151 3.568 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2ccccc2)no1)c1ccncc1F ZINC000338339265 327979096 /nfs/dbraw/zinc/97/90/96/327979096.db2.gz CJVDHDJFHJYASL-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CC[N@H+](Cc1nnc(C(C)C)o1)[C@H](C)c1ccc(F)cc1 ZINC000339291261 328004416 /nfs/dbraw/zinc/00/44/16/328004416.db2.gz RHCWLFCUROKZND-GFCCVEGCSA-N 1 2 291.370 3.915 20 0 CHADLO CC[N@@H+](Cc1nnc(C(C)C)o1)[C@H](C)c1ccc(F)cc1 ZINC000339291261 328004418 /nfs/dbraw/zinc/00/44/18/328004418.db2.gz RHCWLFCUROKZND-GFCCVEGCSA-N 1 2 291.370 3.915 20 0 CHADLO Fc1ccccc1-c1ncc(C[N@H+]2CCC[C@H](F)C2)s1 ZINC000563273398 328005914 /nfs/dbraw/zinc/00/59/14/328005914.db2.gz QFEHOEPYYNYKEP-NSHDSACASA-N 1 2 294.370 3.883 20 0 CHADLO Fc1ccccc1-c1ncc(C[N@@H+]2CCC[C@H](F)C2)s1 ZINC000563273398 328005916 /nfs/dbraw/zinc/00/59/16/328005916.db2.gz QFEHOEPYYNYKEP-NSHDSACASA-N 1 2 294.370 3.883 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCC[C@H]3c3ccccn3)nc2c1 ZINC000531548542 328014643 /nfs/dbraw/zinc/01/46/43/328014643.db2.gz HHNXOCATTKXMMH-HNNXBMFYSA-N 1 2 297.333 3.699 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCC[C@H]3c3ccccn3)nc2c1 ZINC000531548542 328014644 /nfs/dbraw/zinc/01/46/44/328014644.db2.gz HHNXOCATTKXMMH-HNNXBMFYSA-N 1 2 297.333 3.699 20 0 CHADLO C[C@@H]1CCN(c2cc[nH+]c(C3CC3)n2)[C@H]2CCCC[C@H]12 ZINC000531531454 328014941 /nfs/dbraw/zinc/01/49/41/328014941.db2.gz YBYURZZARCDAPZ-YUELXQCFSA-N 1 2 271.408 3.759 20 0 CHADLO CC(C)c1cc(N2C[C@@H](C)OC(C)(C)C2)nc(C(C)C)[nH+]1 ZINC000534144867 328036594 /nfs/dbraw/zinc/03/65/94/328036594.db2.gz XQBIKYGGRUEIKG-CYBMUJFWSA-N 1 2 291.439 3.727 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@H](CC)c1ccc(C)cc1 ZINC000531802471 328037167 /nfs/dbraw/zinc/03/71/67/328037167.db2.gz HINXBORQRZMHKK-HNNXBMFYSA-N 1 2 296.414 3.897 20 0 CHADLO COc1ccc([C@@H]2CCN(c3cc[nH+]c(C(C)C)n3)C2)cc1 ZINC000110411173 328048361 /nfs/dbraw/zinc/04/83/61/328048361.db2.gz OELPVYNTBPTKPG-OAHLLOKOSA-N 1 2 297.402 3.603 20 0 CHADLO CC(=O)c1cc(NCc2ccc(C)[nH+]c2C)ccc1C ZINC000563420532 328063895 /nfs/dbraw/zinc/06/38/95/328063895.db2.gz SLAFEIJRBIPRJH-UHFFFAOYSA-N 1 2 268.360 3.822 20 0 CHADLO Cc1cccc2c1CC[C@@H]2Nc1nc(C)[nH+]cc1C ZINC000563420455 328063917 /nfs/dbraw/zinc/06/39/17/328063917.db2.gz HNAYLMFHDCQQER-HNNXBMFYSA-N 1 2 253.349 3.501 20 0 CHADLO CCC[N@H+](CC(F)F)[C@@H](CCOC)c1ccccc1 ZINC000411232279 328080477 /nfs/dbraw/zinc/08/04/77/328080477.db2.gz YJQWIGMGCNUODO-AWEZNQCLSA-N 1 2 271.351 3.741 20 0 CHADLO CCC[N@@H+](CC(F)F)[C@@H](CCOC)c1ccccc1 ZINC000411232279 328080478 /nfs/dbraw/zinc/08/04/78/328080478.db2.gz YJQWIGMGCNUODO-AWEZNQCLSA-N 1 2 271.351 3.741 20 0 CHADLO C[C@@H]1[C@@H](CO)CCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000412068104 328102449 /nfs/dbraw/zinc/10/24/49/328102449.db2.gz DXAIQYJTWTUOJU-RKDXNWHRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1[C@@H](CO)CCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000412068104 328102450 /nfs/dbraw/zinc/10/24/50/328102450.db2.gz DXAIQYJTWTUOJU-RKDXNWHRSA-N 1 2 294.247 3.648 20 0 CHADLO CCCC[C@@H](CC)C[N@H+](C)Cc1noc(C2CC2)n1 ZINC000412065434 328103458 /nfs/dbraw/zinc/10/34/58/328103458.db2.gz AZAWVMNUYHALHX-GFCCVEGCSA-N 1 2 265.401 3.595 20 0 CHADLO CCCC[C@@H](CC)C[N@@H+](C)Cc1noc(C2CC2)n1 ZINC000412065434 328103460 /nfs/dbraw/zinc/10/34/60/328103460.db2.gz AZAWVMNUYHALHX-GFCCVEGCSA-N 1 2 265.401 3.595 20 0 CHADLO CC(C)c1nc([C@@H](C)[NH2+]Cc2cnc(C3CC3)o2)cs1 ZINC000571494950 328125743 /nfs/dbraw/zinc/12/57/43/328125743.db2.gz ORSBNHJBHYQYJL-SNVBAGLBSA-N 1 2 291.420 3.983 20 0 CHADLO CN(C)c1ccc(NC/C=C/c2ccc(F)cc2F)c[nH+]1 ZINC000541772758 328130535 /nfs/dbraw/zinc/13/05/35/328130535.db2.gz KXELTESMNATVAG-ONEGZZNKSA-N 1 2 289.329 3.551 20 0 CHADLO Cc1[nH+]cc(CNc2cnoc2C2CC2)n1-c1ccccc1 ZINC000571614472 328137758 /nfs/dbraw/zinc/13/77/58/328137758.db2.gz BZKNRCOCBPOGKL-UHFFFAOYSA-N 1 2 294.358 3.658 20 0 CHADLO Fc1cccc([C@H]2CCCN2c2cc(NC3CC3)[nH+]cn2)c1 ZINC000413076280 328140811 /nfs/dbraw/zinc/14/08/11/328140811.db2.gz VDKFMUFPYBSDSX-OAHLLOKOSA-N 1 2 298.365 3.532 20 0 CHADLO Fc1cccc([C@H]2CCCN2c2cc(NC3CC3)nc[nH+]2)c1 ZINC000413076280 328140812 /nfs/dbraw/zinc/14/08/12/328140812.db2.gz VDKFMUFPYBSDSX-OAHLLOKOSA-N 1 2 298.365 3.532 20 0 CHADLO CSCCCSCc1ccc2[nH]c(C)[nH+]c2c1 ZINC000413011279 328139623 /nfs/dbraw/zinc/13/96/23/328139623.db2.gz VJGJJGYKLOBBJV-UHFFFAOYSA-N 1 2 266.435 3.858 20 0 CHADLO CSCCCSCc1ccc2[nH+]c(C)[nH]c2c1 ZINC000413011279 328139625 /nfs/dbraw/zinc/13/96/25/328139625.db2.gz VJGJJGYKLOBBJV-UHFFFAOYSA-N 1 2 266.435 3.858 20 0 CHADLO Cc1cccnc1[C@H]([NH2+][C@H](C)c1cscn1)C(C)C ZINC000271138512 328195201 /nfs/dbraw/zinc/19/52/01/328195201.db2.gz NSHIMVVISRBDHK-TZMCWYRMSA-N 1 2 275.421 3.894 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@H+]2CC(C)=C[C@H](C)C2)cs1 ZINC000430265498 328202566 /nfs/dbraw/zinc/20/25/66/328202566.db2.gz VJPKKKSYIRRRFH-AAEUAGOBSA-N 1 2 280.437 3.639 20 0 CHADLO CCO[C@@H](C)c1nc(C[N@@H+]2CC(C)=C[C@H](C)C2)cs1 ZINC000430265498 328202567 /nfs/dbraw/zinc/20/25/67/328202567.db2.gz VJPKKKSYIRRRFH-AAEUAGOBSA-N 1 2 280.437 3.639 20 0 CHADLO CC(C)n1nccc1C[N@H+]([C@H](C)c1ccco1)C1CC1 ZINC000279741520 328233363 /nfs/dbraw/zinc/23/33/63/328233363.db2.gz XCVNEEBHRZUMFU-CYBMUJFWSA-N 1 2 273.380 3.783 20 0 CHADLO CC(C)n1nccc1C[N@@H+]([C@H](C)c1ccco1)C1CC1 ZINC000279741520 328233365 /nfs/dbraw/zinc/23/33/65/328233365.db2.gz XCVNEEBHRZUMFU-CYBMUJFWSA-N 1 2 273.380 3.783 20 0 CHADLO CC(=O)Nc1ccccc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414843814 328234041 /nfs/dbraw/zinc/23/40/41/328234041.db2.gz CYMRDEJFSQKYCU-UHFFFAOYSA-N 1 2 295.386 3.615 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2cc3ccccc3s2)n1 ZINC000283825806 328245148 /nfs/dbraw/zinc/24/51/48/328245148.db2.gz DNNQYDUICQLHIV-UHFFFAOYSA-N 1 2 287.388 3.618 20 0 CHADLO CCOC1CC(C[NH2+]c2cc(Cl)c(OC)cc2OC)C1 ZINC000285131842 328247209 /nfs/dbraw/zinc/24/72/09/328247209.db2.gz KQHTUSQDLGDXTQ-UHFFFAOYSA-N 1 2 299.798 3.584 20 0 CHADLO Clc1cccc2c(N3CC[C@@H](n4cccn4)C3)cc[nH+]c12 ZINC000292426342 328270337 /nfs/dbraw/zinc/27/03/37/328270337.db2.gz UNJHWHBVJKJRCW-GFCCVEGCSA-N 1 2 298.777 3.536 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CNc1cccc(CO)c1 ZINC000297936280 328294960 /nfs/dbraw/zinc/29/49/60/328294960.db2.gz XWBNPHWEMPOJJF-UHFFFAOYSA-N 1 2 270.376 3.618 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2nc(C)c3ccccc3n2)o1 ZINC000531962190 328338160 /nfs/dbraw/zinc/33/81/60/328338160.db2.gz USFASZBKVZZRKR-ZDUSSCGKSA-N 1 2 295.386 3.944 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)[C@H](C)CC)cc2[nH+]1 ZINC000049428882 328361167 /nfs/dbraw/zinc/36/11/67/328361167.db2.gz PWUZTJMUPDUGMI-LLVKDONJSA-N 1 2 273.380 3.890 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCc2c(Cl)cccc2C1 ZINC000534979898 328372914 /nfs/dbraw/zinc/37/29/14/328372914.db2.gz SFGWTBJHWLLYFE-UHFFFAOYSA-N 1 2 297.789 3.789 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@H]2C[C@H]2C)o1)c1cscn1 ZINC000535065302 328382658 /nfs/dbraw/zinc/38/26/58/328382658.db2.gz INKTZEIQDKZAON-SCVCMEIPSA-N 1 2 262.378 3.710 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccccc1)c1cccnc1)c1cscn1 ZINC000535065736 328383004 /nfs/dbraw/zinc/38/30/04/328383004.db2.gz ZMKMLIYXYCAVQM-CXAGYDPISA-N 1 2 295.411 3.978 20 0 CHADLO CCN(Cc1c[nH+]cn1C(C)C)c1ccccc1C ZINC000425348521 328469510 /nfs/dbraw/zinc/46/95/10/328469510.db2.gz YVOWARJFTPQSQF-UHFFFAOYSA-N 1 2 257.381 3.799 20 0 CHADLO CCc1ccc(NCc2[nH+]ccn2C(C)C)cc1F ZINC000152420421 328532471 /nfs/dbraw/zinc/53/24/71/328532471.db2.gz AJZYDHGHRPGUQS-UHFFFAOYSA-N 1 2 261.344 3.778 20 0 CHADLO CCc1cc(NCc2cn3c(cccc3C)[nH+]2)ccc1F ZINC000506298793 332768727 /nfs/dbraw/zinc/76/87/27/332768727.db2.gz QYUBRQQZSDFCGG-UHFFFAOYSA-N 1 2 283.350 3.956 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csc(Cl)c1)c1csnn1 ZINC000398453897 328592362 /nfs/dbraw/zinc/59/23/62/328592362.db2.gz GFBKBDOVNFSJJB-NKWVEPMBSA-N 1 2 273.814 3.665 20 0 CHADLO Cc1cc(C)c([C@H](C)[NH2+][C@@H](C)c2csnn2)cc1C ZINC000398234170 326875967 /nfs/dbraw/zinc/87/59/67/326875967.db2.gz KLMYVHHZHLVSHX-STQMWFEESA-N 1 2 275.421 3.875 20 0 CHADLO Cc1ccccc1[C@H](CO)Nc1ccc2ccccc2[nH+]1 ZINC000530617491 326882247 /nfs/dbraw/zinc/88/22/47/326882247.db2.gz HPEAMUDNRCFTOY-KRWDZBQOSA-N 1 2 278.355 3.689 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CCc2cccc(F)c2[C@H]1C ZINC000530733494 326888760 /nfs/dbraw/zinc/88/87/60/326888760.db2.gz MDWMBYOAIZEKFA-CYBMUJFWSA-N 1 2 287.382 3.722 20 0 CHADLO Cc1ccccc1[C@@H](CO)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000530930959 326897398 /nfs/dbraw/zinc/89/73/98/326897398.db2.gz YYDUHZUFBIKCEI-CYBMUJFWSA-N 1 2 296.292 3.554 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2CCc3ccc(F)cc32)[nH+]1 ZINC000530956340 326921426 /nfs/dbraw/zinc/92/14/26/326921426.db2.gz SPZZNDFUQUIRGS-AWEZNQCLSA-N 1 2 282.322 3.505 20 0 CHADLO Cn1c2ccc(NC(=O)C(C)(C)C)cc2[nH+]c1C(C)(C)C ZINC000536322459 326936554 /nfs/dbraw/zinc/93/65/54/326936554.db2.gz YDGZRTQEBVDTPV-UHFFFAOYSA-N 1 2 287.407 3.855 20 0 CHADLO Fc1ccc(Cl)c(NCc2cn3ccccc3[nH+]2)c1 ZINC000084492518 326943580 /nfs/dbraw/zinc/94/35/80/326943580.db2.gz UIIITNDGWJKHAQ-UHFFFAOYSA-N 1 2 275.714 3.739 20 0 CHADLO COc1cccc2c1CCCN2CCCc1ccc(C)[nH+]c1 ZINC000556910415 326981841 /nfs/dbraw/zinc/98/18/41/326981841.db2.gz WXRHYQQKMGNZBN-UHFFFAOYSA-N 1 2 296.414 3.784 20 0 CHADLO CC[C@H](C)Oc1cccc(NCc2c[nH+]cn2CC)c1 ZINC000090172992 327031970 /nfs/dbraw/zinc/03/19/70/327031970.db2.gz QJOVPISWTNRMLJ-ZDUSSCGKSA-N 1 2 273.380 3.692 20 0 CHADLO CC[C@@H](C)C[NH2+][C@@H](C(=O)OC)c1ccc2ccccc2c1 ZINC000558011871 327042636 /nfs/dbraw/zinc/04/26/36/327042636.db2.gz HDPBZGJBOLFLKN-CXAGYDPISA-N 1 2 285.387 3.690 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2F)c(F)c1 ZINC000404658803 327048367 /nfs/dbraw/zinc/04/83/67/327048367.db2.gz PHRMVPFTYCULLT-JTQLQIEISA-N 1 2 295.304 3.963 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nccn1-c1ccccc1)c1ccoc1 ZINC000558126467 327075298 /nfs/dbraw/zinc/07/52/98/327075298.db2.gz SKHKVJPHVLSDFP-KBPBESRZSA-N 1 2 281.359 3.877 20 0 CHADLO C/C=C/c1ccc(NC(=O)NCCCCn2cc[nH+]c2)cc1 ZINC000558516591 327100894 /nfs/dbraw/zinc/10/08/94/327100894.db2.gz HQGDYHFQBWGNFD-GORDUTHDSA-N 1 2 298.390 3.518 20 0 CHADLO CC(C)(C)OC(=O)[C@H]1CCC[N@@H+]1C/C=C\c1ccccc1 ZINC000313272782 327110075 /nfs/dbraw/zinc/11/00/75/327110075.db2.gz UJCURWUCHNDAON-MRDWYFFCSA-N 1 2 287.403 3.506 20 0 CHADLO CC(C)(C)OC(=O)[C@H]1CCC[N@H+]1C/C=C\c1ccccc1 ZINC000313272782 327110077 /nfs/dbraw/zinc/11/00/77/327110077.db2.gz UJCURWUCHNDAON-MRDWYFFCSA-N 1 2 287.403 3.506 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@@H+]1CC[C@H](OC(F)F)C1 ZINC000558863658 327127915 /nfs/dbraw/zinc/12/79/15/327127915.db2.gz CCOFIDLAGIBGER-BDAKNGLRSA-N 1 2 293.716 3.854 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@H+]1CC[C@H](OC(F)F)C1 ZINC000558863658 327127916 /nfs/dbraw/zinc/12/79/16/327127916.db2.gz CCOFIDLAGIBGER-BDAKNGLRSA-N 1 2 293.716 3.854 20 0 CHADLO Cc1c[nH+]cc(CCc2nc(-c3cc(C)cc(F)c3)no2)c1 ZINC000426405002 327189250 /nfs/dbraw/zinc/18/92/50/327189250.db2.gz PHSXRFHKMFQJOJ-UHFFFAOYSA-N 1 2 297.333 3.673 20 0 CHADLO COc1cc(N[C@H](C)c2[nH+]ccn2C)ccc1C(F)(F)F ZINC000448024574 327208500 /nfs/dbraw/zinc/20/85/00/327208500.db2.gz ZCOHJPNMCSJPLE-SECBINFHSA-N 1 2 299.296 3.621 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)c2cccc(C)c2C)c1 ZINC000533845256 327222807 /nfs/dbraw/zinc/22/28/07/327222807.db2.gz GWXFTGRZMHQPOI-UHFFFAOYSA-N 1 2 270.376 3.652 20 0 CHADLO COC(=O)CCC[C@@H]1CCC[C@H](Nc2c[nH+]cc(C)c2)C1 ZINC000559821766 327263274 /nfs/dbraw/zinc/26/32/74/327263274.db2.gz VVJSQUBONNJXTR-GJZGRUSLSA-N 1 2 290.407 3.704 20 0 CHADLO CC[C@](C)([NH2+]C[C@H]1CCC(F)(F)C1)C(F)(F)F ZINC000560217497 327290865 /nfs/dbraw/zinc/29/08/65/327290865.db2.gz VLMWMWLNQDCWSD-IUCAKERBSA-N 1 2 259.262 3.742 20 0 CHADLO CCCCN(Cc1cn2cc(C)ccc2[nH+]1)CC(F)(F)F ZINC000560256595 327294056 /nfs/dbraw/zinc/29/40/56/327294056.db2.gz FVAPQYJYSJBCEQ-UHFFFAOYSA-N 1 2 299.340 3.807 20 0 CHADLO c1cnn(-c2ccc([NH2+]C3CCC4(CCCO4)CC3)cc2)c1 ZINC000583356307 327317334 /nfs/dbraw/zinc/31/73/34/327317334.db2.gz HOHZIVBJGCUNNU-UHFFFAOYSA-N 1 2 297.402 3.776 20 0 CHADLO COCc1ccccc1/C=C(/C)C[N@@H+]1CCC[C@@H]1C(F)F ZINC000560524250 327318302 /nfs/dbraw/zinc/31/83/02/327318302.db2.gz ULSONTUKMUXJSZ-IVOILVROSA-N 1 2 295.373 3.966 20 0 CHADLO COCc1ccccc1/C=C(/C)C[N@H+]1CCC[C@@H]1C(F)F ZINC000560524250 327318303 /nfs/dbraw/zinc/31/83/03/327318303.db2.gz ULSONTUKMUXJSZ-IVOILVROSA-N 1 2 295.373 3.966 20 0 CHADLO CC(C)[NH2+]c1ccc(-n2nccc2C(F)(F)F)cc1 ZINC000560713201 327338530 /nfs/dbraw/zinc/33/85/30/327338530.db2.gz YFEGQSAICLLSKT-UHFFFAOYSA-N 1 2 269.270 3.711 20 0 CHADLO COc1ccc([C@H](C)[NH2+]CC(C)(F)F)c(Cl)c1 ZINC000583435334 327361989 /nfs/dbraw/zinc/36/19/89/327361989.db2.gz RCXFNTDJPNHCHA-QMMMGPOBSA-N 1 2 263.715 3.654 20 0 CHADLO Clc1cccc2c(N[C@@H]3CCSC3)cc[nH+]c12 ZINC000561202362 327375011 /nfs/dbraw/zinc/37/50/11/327375011.db2.gz YRXWTLJLGYAWEN-SECBINFHSA-N 1 2 264.781 3.806 20 0 CHADLO Clc1cccc2c(N[C@H]3CCO[C@@H]3C3CC3)cc[nH+]c12 ZINC000561257815 327379589 /nfs/dbraw/zinc/37/95/89/327379589.db2.gz JDKMCHBZTBFWBZ-GOEBONIOSA-N 1 2 288.778 3.868 20 0 CHADLO CN(c1cc[nH+]c2c(Cl)cccc12)C1CCOCC1 ZINC000561261890 327380914 /nfs/dbraw/zinc/38/09/14/327380914.db2.gz JEIBDGBYSFADTJ-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO CCc1ccc(C[NH2+]C(C)(C)c2nc(C)c(C)s2)o1 ZINC000150805754 327381444 /nfs/dbraw/zinc/38/14/44/327381444.db2.gz YCQDOZDWCMLFNK-UHFFFAOYSA-N 1 2 278.421 3.940 20 0 CHADLO FCCCCC[N@@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000561293929 327383017 /nfs/dbraw/zinc/38/30/17/327383017.db2.gz RBSBFHDANWSHSY-OAHLLOKOSA-N 1 2 285.790 3.853 20 0 CHADLO FCCCCC[N@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000561293929 327383018 /nfs/dbraw/zinc/38/30/18/327383018.db2.gz RBSBFHDANWSHSY-OAHLLOKOSA-N 1 2 285.790 3.853 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc(F)cc(F)c1)c1cscn1 ZINC000566501629 327401588 /nfs/dbraw/zinc/40/15/88/327401588.db2.gz RZTXLICVDJGEFL-IUCAKERBSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(/C=C2\CC[C@H](C)C2)n1 ZINC000564414778 327405206 /nfs/dbraw/zinc/40/52/06/327405206.db2.gz YSILMVRVUQEAQX-SEVUAYLXSA-N 1 2 283.375 3.762 20 0 CHADLO CCCCn1c(S[C@H](C)CC)nnc1[C@H](CC)[NH+](C)C ZINC000151201821 327457490 /nfs/dbraw/zinc/45/74/90/327457490.db2.gz YOQOEKJFRUWWTR-OLZOCXBDSA-N 1 2 298.500 3.982 20 0 CHADLO CCN(Cc1ccc(OC)cc1)c1[nH]c2ccccc2[nH+]1 ZINC000562233740 327458226 /nfs/dbraw/zinc/45/82/26/327458226.db2.gz FKSMQGFLNFWBBM-UHFFFAOYSA-N 1 2 281.359 3.598 20 0 CHADLO C[C@H](CC(=O)Nc1ccc(C(C)(C)C)cc1)n1cc[nH+]c1 ZINC000570350192 327585136 /nfs/dbraw/zinc/58/51/36/327585136.db2.gz CFAYLARITJPSBA-CYBMUJFWSA-N 1 2 285.391 3.770 20 0 CHADLO CCc1ccc(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)cc1 ZINC000103966341 328774314 /nfs/dbraw/zinc/77/43/14/328774314.db2.gz HILPXPIOACUGFI-UHFFFAOYSA-N 1 2 291.354 3.891 20 0 CHADLO Cc1cccn2c(CN3CC4(CC4)c4ccccc43)c[nH+]c12 ZINC000507705109 332819875 /nfs/dbraw/zinc/81/98/75/332819875.db2.gz VCTQLDZOYAEMLP-UHFFFAOYSA-N 1 2 289.382 3.695 20 0 CHADLO CC[C@H](Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1)C(C)C ZINC000526991056 328818985 /nfs/dbraw/zinc/81/89/85/328818985.db2.gz BQVKCEOEYZOHKZ-LZWOXQAQSA-N 1 2 291.439 3.542 20 0 CHADLO Nc1nc(N2CCC[C@@]3(CC=CCC3)C2)[nH+]c2ccccc12 ZINC000301912430 328830182 /nfs/dbraw/zinc/83/01/82/328830182.db2.gz TXGXBVKBSQZRBW-SFHVURJKSA-N 1 2 294.402 3.539 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@H](C)C[C@@H](C)[C@H]2C)c(C)[nH+]1 ZINC000354274910 328857721 /nfs/dbraw/zinc/85/77/21/328857721.db2.gz COXKUGIEJUAEST-JTNHKYCSSA-N 1 2 275.396 3.597 20 0 CHADLO C[C@@H]1C[C@H](C(C)(C)C)CCN1C(=O)c1ccc2[nH+]ccn2c1 ZINC000360706410 328910383 /nfs/dbraw/zinc/91/03/83/328910383.db2.gz XWPXHSUEFMBBQP-UKRRQHHQSA-N 1 2 299.418 3.621 20 0 CHADLO CC(C)[C@H](C)SCc1[nH+]ccn1CC(F)(F)F ZINC000361408349 328924258 /nfs/dbraw/zinc/92/42/58/328924258.db2.gz MTIADHOGAXKEAS-VIFPVBQESA-N 1 2 266.332 3.723 20 0 CHADLO Fc1cccc2c3c([nH]c21)C[N@@H+](Cc1cccnc1)CCC3 ZINC000375988338 329005907 /nfs/dbraw/zinc/00/59/07/329005907.db2.gz MBSXHGMZHGBVRR-UHFFFAOYSA-N 1 2 295.361 3.650 20 0 CHADLO Fc1cccc2c3c([nH]c21)C[N@H+](Cc1cccnc1)CCC3 ZINC000375988338 329005909 /nfs/dbraw/zinc/00/59/09/329005909.db2.gz MBSXHGMZHGBVRR-UHFFFAOYSA-N 1 2 295.361 3.650 20 0 CHADLO Cc1ccccc1C[N@@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000377429553 329015080 /nfs/dbraw/zinc/01/50/80/329015080.db2.gz AQTRBMPWSGIUAU-MRXNPFEDSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccccc1C[N@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000377429553 329015081 /nfs/dbraw/zinc/01/50/81/329015081.db2.gz AQTRBMPWSGIUAU-MRXNPFEDSA-N 1 2 297.402 3.983 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@H]2c2ccncc2)cc1F ZINC000377687655 329024500 /nfs/dbraw/zinc/02/45/00/329024500.db2.gz AKIWUMMIKSTDKU-INIZCTEOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@H]2c2ccncc2)cc1F ZINC000377687655 329024501 /nfs/dbraw/zinc/02/45/01/329024501.db2.gz AKIWUMMIKSTDKU-INIZCTEOSA-N 1 2 292.304 3.836 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@@H]2c2ccncc2)s1 ZINC000377701346 329025758 /nfs/dbraw/zinc/02/57/58/329025758.db2.gz SUSIDOFSWUCBNT-CQSZACIVSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@@H]2c2ccncc2)s1 ZINC000377701346 329025760 /nfs/dbraw/zinc/02/57/60/329025760.db2.gz SUSIDOFSWUCBNT-CQSZACIVSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@@H]1CCn2cc[nH+]c2C1 ZINC000170250294 329067219 /nfs/dbraw/zinc/06/72/19/329067219.db2.gz OZMMRBXGGHAGBP-CQSZACIVSA-N 1 2 297.402 3.516 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@@H+]1Cc1ccn(C(C)C)n1 ZINC000172013757 329083329 /nfs/dbraw/zinc/08/33/29/329083329.db2.gz FTLSKGJFDRIWGV-KRWDZBQOSA-N 1 2 299.418 3.810 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@H+]1Cc1ccn(C(C)C)n1 ZINC000172013757 329083330 /nfs/dbraw/zinc/08/33/30/329083330.db2.gz FTLSKGJFDRIWGV-KRWDZBQOSA-N 1 2 299.418 3.810 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc[nH]1)c1cccc(Cl)c1Cl ZINC000172216335 329083852 /nfs/dbraw/zinc/08/38/52/329083852.db2.gz MXLKLBTZUNOVOY-MRVPVSSYSA-N 1 2 270.163 3.567 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C(C)C)n1)c1ccncc1 ZINC000172764134 329138597 /nfs/dbraw/zinc/13/85/97/329138597.db2.gz GRIQNKZBKCTIBY-ZDUSSCGKSA-N 1 2 256.353 3.558 20 0 CHADLO Cc1cccnc1C[NH2+][C@@H](C)c1ncc(-c2ccccc2)o1 ZINC000174059108 329153849 /nfs/dbraw/zinc/15/38/49/329153849.db2.gz SXHPMJCFTOLTEE-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1cscc1C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000175641269 329167470 /nfs/dbraw/zinc/16/74/70/329167470.db2.gz HWWCPOJPQZRKIV-UHFFFAOYSA-N 1 2 297.383 3.709 20 0 CHADLO C[N@H+](Cc1ccc[nH]1)Cc1cc(Br)ccc1F ZINC000175426242 329168428 /nfs/dbraw/zinc/16/84/28/329168428.db2.gz YJOCGHKAIFQTMQ-UHFFFAOYSA-N 1 2 297.171 3.548 20 0 CHADLO C[N@@H+](Cc1ccc[nH]1)Cc1cc(Br)ccc1F ZINC000175426242 329168429 /nfs/dbraw/zinc/16/84/29/329168429.db2.gz YJOCGHKAIFQTMQ-UHFFFAOYSA-N 1 2 297.171 3.548 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2cccc3cccnc32)s1 ZINC000175528436 329169417 /nfs/dbraw/zinc/16/94/17/329169417.db2.gz JCCYQKCAJFTKJP-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2cccc3cccnc32)s1 ZINC000175528436 329169418 /nfs/dbraw/zinc/16/94/18/329169418.db2.gz JCCYQKCAJFTKJP-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO C[C@H]([NH2+]Cc1nccs1)c1ccc2ccccc2n1 ZINC000178423099 329199636 /nfs/dbraw/zinc/19/96/36/329199636.db2.gz ORQVDRDMPFMCKO-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO Cc1nc([C@H]([NH2+][C@H](C)C(C)C)c2ccc(Cl)cc2)no1 ZINC000179893272 329213222 /nfs/dbraw/zinc/21/32/22/329213222.db2.gz DOAMEIASJHNVKB-QMTHXVAHSA-N 1 2 293.798 3.755 20 0 CHADLO Cc1cnc(C[N@H+](C)CCOc2ccc(Cl)cc2)s1 ZINC000180424391 329216658 /nfs/dbraw/zinc/21/66/58/329216658.db2.gz UOUWJBKEPJVSBI-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1cnc(C[N@@H+](C)CCOc2ccc(Cl)cc2)s1 ZINC000180424391 329216660 /nfs/dbraw/zinc/21/66/60/329216660.db2.gz UOUWJBKEPJVSBI-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(-c2ccccc2)s1)c1ccoc1 ZINC000181312628 329231158 /nfs/dbraw/zinc/23/11/58/329231158.db2.gz KUQGNNYPFIBKCG-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(OC(C)(C)C)nc1)c1nccs1 ZINC000181345305 329231851 /nfs/dbraw/zinc/23/18/51/329231851.db2.gz DKPWEUTYZUITAB-LLVKDONJSA-N 1 2 291.420 3.566 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2cccs2)on1)c1cccnc1 ZINC000181360072 329232345 /nfs/dbraw/zinc/23/23/45/329232345.db2.gz PXKRCSIXQCCRBC-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO Cc1cccc2[nH+]c(CSCc3nccs3)cn21 ZINC000181492677 329233677 /nfs/dbraw/zinc/23/36/77/329233677.db2.gz ZYVHIPZPKGUKPQ-UHFFFAOYSA-N 1 2 275.402 3.533 20 0 CHADLO CCc1cnc(C[NH2+][C@H]2CSc3ccccc32)s1 ZINC000224317689 329243122 /nfs/dbraw/zinc/24/31/22/329243122.db2.gz WBBWBSVKLRWGAL-LBPRGKRZSA-N 1 2 276.430 3.642 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2cccs2)on1)c1ccccn1 ZINC000182990947 329246294 /nfs/dbraw/zinc/24/62/94/329246294.db2.gz ZZPPOBUSKNOOSW-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO C[C@H]1CC(C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C[C@H](C)C1 ZINC000183532319 329248306 /nfs/dbraw/zinc/24/83/06/329248306.db2.gz NMSOCJFZEFXZSV-UMVBOHGHSA-N 1 2 289.423 3.536 20 0 CHADLO Brc1cccc(C2CC(Nc3c[nH]c[nH+]3)C2)c1 ZINC000582817591 329259308 /nfs/dbraw/zinc/25/93/08/329259308.db2.gz WLPJTUHGDDPKBT-UHFFFAOYSA-N 1 2 292.180 3.530 20 0 CHADLO Cc1ccc(CSCc2nc(C(C)C)no2)c(C)[nH+]1 ZINC000184815306 329263009 /nfs/dbraw/zinc/26/30/09/329263009.db2.gz HEQYJAXLNSMYKC-UHFFFAOYSA-N 1 2 277.393 3.638 20 0 CHADLO Cc1ccc(COc2cccc3cccnc32)c(C)[nH+]1 ZINC000185231102 329269142 /nfs/dbraw/zinc/26/91/42/329269142.db2.gz XLHZKTHZCUABFB-UHFFFAOYSA-N 1 2 264.328 3.826 20 0 CHADLO CCOc1ccccc1OCc1ccc(C)[nH+]c1C ZINC000185241220 329269369 /nfs/dbraw/zinc/26/93/69/329269369.db2.gz ILLJYVDELWHYHA-UHFFFAOYSA-N 1 2 257.333 3.676 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnccn1)c1ncc(-c2ccccc2)o1 ZINC000185444347 329273850 /nfs/dbraw/zinc/27/38/50/329273850.db2.gz WUKHNWWVOSZEPI-QWHCGFSZSA-N 1 2 294.358 3.543 20 0 CHADLO Cc1cnccc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000583124387 329320597 /nfs/dbraw/zinc/32/05/97/329320597.db2.gz IRADQPBXVMNPBE-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cnccc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000583124387 329320599 /nfs/dbraw/zinc/32/05/99/329320599.db2.gz IRADQPBXVMNPBE-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Clc1cccc(CSCCCn2cc[nH+]c2)c1 ZINC000185532888 329326265 /nfs/dbraw/zinc/32/62/65/329326265.db2.gz GYIOTKBCRUUVMN-UHFFFAOYSA-N 1 2 266.797 3.860 20 0 CHADLO Cc1cccc2[nH+]c(CSc3cccc(O)c3)cn21 ZINC000185650924 329328354 /nfs/dbraw/zinc/32/83/54/329328354.db2.gz JARBNZNLONNEFM-UHFFFAOYSA-N 1 2 270.357 3.641 20 0 CHADLO Cc1coc2ccc(NC(=O)c3ccc4[nH+]ccn4c3)cc12 ZINC000185781199 329331775 /nfs/dbraw/zinc/33/17/75/329331775.db2.gz DJZOJXINHJAQPS-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO CC(C)[C@]1(C)C[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000185800567 329332167 /nfs/dbraw/zinc/33/21/67/329332167.db2.gz OAHDLXJMLNPAOO-YOEHRIQHSA-N 1 2 283.375 3.697 20 0 CHADLO Cc1cc(NC(=O)c2ccc(F)c(Cl)c2F)cc[nH+]1 ZINC000186210841 329339862 /nfs/dbraw/zinc/33/98/62/329339862.db2.gz SYTGFOUZLOEHPF-UHFFFAOYSA-N 1 2 282.677 3.574 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+][C@@H](C)c1cccc(C)c1C ZINC000508990522 332854122 /nfs/dbraw/zinc/85/41/22/332854122.db2.gz GOWUEVKJGYJYFW-HOCLYGCPSA-N 1 2 277.408 3.542 20 0 CHADLO COc1ccc2nc(C[NH+]3Cc4ccccc4C3)sc2c1 ZINC000186995820 329352859 /nfs/dbraw/zinc/35/28/59/329352859.db2.gz SJVOCZLPKBLVGZ-UHFFFAOYSA-N 1 2 296.395 3.821 20 0 CHADLO Cc1ccc(C[S@@](=O)[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000187159038 329354051 /nfs/dbraw/zinc/35/40/51/329354051.db2.gz HFIRKWNVSYYQTG-AUUYWEPGSA-N 1 2 273.401 3.708 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)C1=CCCCC1 ZINC000187631571 329360031 /nfs/dbraw/zinc/36/00/31/329360031.db2.gz VPDTWBVDXZUCBV-UHFFFAOYSA-N 1 2 267.332 3.516 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccccc2Cl)s1 ZINC000230706450 329372014 /nfs/dbraw/zinc/37/20/14/329372014.db2.gz SNGSLMBLNSPZJE-UHFFFAOYSA-N 1 2 266.797 3.649 20 0 CHADLO Cc1c[nH+]cc(NCc2sccc2Br)c1 ZINC000231323162 329377438 /nfs/dbraw/zinc/37/74/38/329377438.db2.gz KSSJNVRJABCVKT-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO CC(C)N(C)c1ccc(CNc2cc(F)cc(F)c2)c[nH+]1 ZINC000191375738 329413292 /nfs/dbraw/zinc/41/32/92/329413292.db2.gz VNRNILPWMOLKBI-UHFFFAOYSA-N 1 2 291.345 3.817 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(OC(F)F)c(F)c1 ZINC000192149730 329429622 /nfs/dbraw/zinc/42/96/22/329429622.db2.gz NBCADGWDYMCBNM-UHFFFAOYSA-N 1 2 286.228 3.573 20 0 CHADLO Fc1c[nH+]ccc1NCc1cccc(Cl)c1F ZINC000192149941 329429666 /nfs/dbraw/zinc/42/96/66/329429666.db2.gz ANNADZGFWJYIDK-UHFFFAOYSA-N 1 2 254.667 3.625 20 0 CHADLO CCOC(=O)[C@H](CC)[NH2+][C@@H](C)c1cc2ccccc2s1 ZINC000525952729 329437635 /nfs/dbraw/zinc/43/76/35/329437635.db2.gz RXBDIBRSWZUFHQ-AAEUAGOBSA-N 1 2 291.416 3.894 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2cccc(C3CC3)c2)o1 ZINC000580403099 329553862 /nfs/dbraw/zinc/55/38/62/329553862.db2.gz RVCGCKPCBXVXDG-MNOVXSKESA-N 1 2 271.364 3.667 20 0 CHADLO CCn1cc[nH+]c1CNc1cccc(Br)c1C ZINC000124336958 329569236 /nfs/dbraw/zinc/56/92/36/329569236.db2.gz MGTTWXYWVUZJLZ-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO Cc1cc2c(cc1C)[C@@H]([NH2+]Cc1cscn1)CCCO2 ZINC000127348095 329598999 /nfs/dbraw/zinc/59/89/99/329598999.db2.gz VNEVCDFEEKNLNO-HNNXBMFYSA-N 1 2 288.416 3.763 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COc1ccccc1CO ZINC000418896228 329681699 /nfs/dbraw/zinc/68/16/99/329681699.db2.gz KLTSVQGFQODBMD-UHFFFAOYSA-N 1 2 271.360 3.585 20 0 CHADLO Cc1cc(OCc2nnc(C3CC3)s2)c2ccccc2[nH+]1 ZINC000418962057 329702326 /nfs/dbraw/zinc/70/23/26/329702326.db2.gz JPPXMMPSGGWBRG-UHFFFAOYSA-N 1 2 297.383 3.851 20 0 CHADLO CCc1ccc([C@@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000431512842 329714189 /nfs/dbraw/zinc/71/41/89/329714189.db2.gz INZXWISRXTVOLN-MRXNPFEDSA-N 1 2 252.361 3.638 20 0 CHADLO COC[C@@H](C)SCc1ccc(C(C)C)[nH+]c1C ZINC000419440949 329729347 /nfs/dbraw/zinc/72/93/47/329729347.db2.gz QGHLQUXLTRFTSA-LLVKDONJSA-N 1 2 253.411 3.782 20 0 CHADLO C[C@@H](SCCn1cc[nH+]c1)c1ccc2c(c1)CCC2 ZINC000419584581 329741553 /nfs/dbraw/zinc/74/15/53/329741553.db2.gz JASIWZUZQCEWAI-CYBMUJFWSA-N 1 2 272.417 3.866 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1cc(Br)ccc1F ZINC000548097564 329758899 /nfs/dbraw/zinc/75/88/99/329758899.db2.gz AAHLEHUVGYPEEY-IUCAKERBSA-N 1 2 272.161 3.571 20 0 CHADLO CCCCS[C@@H](C)c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420511436 329772874 /nfs/dbraw/zinc/77/28/74/329772874.db2.gz OBZZAAOJCYOOEO-LBPRGKRZSA-N 1 2 291.420 3.958 20 0 CHADLO CC[C@@H]1C[C@@H](Nc2[nH+]ccc3c(OC)cccc32)CCO1 ZINC000420632126 329780098 /nfs/dbraw/zinc/78/00/98/329780098.db2.gz JKDMYLLSAJTBPF-QWHCGFSZSA-N 1 2 286.375 3.613 20 0 CHADLO CC(C)Cn1cc(C[NH2+]C2(C(F)F)CCCCC2)cn1 ZINC000512860841 332989959 /nfs/dbraw/zinc/98/99/59/332989959.db2.gz KVNJDJZEOBAMOW-UHFFFAOYSA-N 1 2 285.382 3.597 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421577009 329843173 /nfs/dbraw/zinc/84/31/73/329843173.db2.gz DNMNPCXVZLHSLL-INIZCTEOSA-N 1 2 276.424 3.777 20 0 CHADLO Cc1cc(CNC(=O)c2sccc2C2CC2)cc(C)[nH+]1 ZINC000421581538 329843702 /nfs/dbraw/zinc/84/37/02/329843702.db2.gz YYSOLNDAEMBLKY-UHFFFAOYSA-N 1 2 286.400 3.567 20 0 CHADLO CC[C@H](C)CNC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000421581955 329843784 /nfs/dbraw/zinc/84/37/84/329843784.db2.gz RZJGWSWXPGJQGM-ZDUSSCGKSA-N 1 2 284.359 3.650 20 0 CHADLO CCC[C@H](C(=O)NCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC000421564643 329846902 /nfs/dbraw/zinc/84/69/02/329846902.db2.gz ZWQKFPJWXMKCAD-SFHVURJKSA-N 1 2 296.414 3.899 20 0 CHADLO CC[C@H]1CCCC[C@H]1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000073948849 329862910 /nfs/dbraw/zinc/86/29/10/329862910.db2.gz NETUGMARBNJGQH-WMLDXEAASA-N 1 2 297.402 3.571 20 0 CHADLO c1cnnc(Nc2ccc([NH2+]CC3CCCC3)cc2)c1 ZINC000571787417 329920961 /nfs/dbraw/zinc/92/09/61/329920961.db2.gz UGIRYOLOEPHLLA-UHFFFAOYSA-N 1 2 268.364 3.822 20 0 CHADLO c1coc(C[NH2+]Cc2csc(-c3ccccc3)n2)c1 ZINC000078408613 329958933 /nfs/dbraw/zinc/95/89/33/329958933.db2.gz BFECBGCCASDYPR-UHFFFAOYSA-N 1 2 270.357 3.693 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1CCC(F)(F)C1 ZINC000572430389 330075522 /nfs/dbraw/zinc/07/55/22/330075522.db2.gz FOPHURJKDRETQX-GFCCVEGCSA-N 1 2 276.330 3.812 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+]Cc1cscn1 ZINC000421824645 330083442 /nfs/dbraw/zinc/08/34/42/330083442.db2.gz JREAHJBRACUUTE-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO COc1ccc([C@@H]([NH2+]Cc2cscn2)C(C)C)c(F)c1 ZINC000421825881 330083548 /nfs/dbraw/zinc/08/35/48/330083548.db2.gz PUBABBODSWXZPS-HNNXBMFYSA-N 1 2 294.395 3.778 20 0 CHADLO CCOc1cccc(N[C@@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421831515 330091008 /nfs/dbraw/zinc/09/10/08/330091008.db2.gz DZAUZXBCLAHFPR-OAHLLOKOSA-N 1 2 282.387 3.840 20 0 CHADLO CCCc1ncc(C[NH2+][C@H](C)c2ccncc2Cl)o1 ZINC000421863365 330098694 /nfs/dbraw/zinc/09/86/94/330098694.db2.gz XIJAAWAWXYCNNB-SNVBAGLBSA-N 1 2 279.771 3.526 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1)[NH2+]c1ccc2c(c1)CCN2 ZINC000423205763 330177086 /nfs/dbraw/zinc/17/70/86/330177086.db2.gz BKAUJZLAMCERPY-LBPRGKRZSA-N 1 2 270.351 3.837 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423205763 330177087 /nfs/dbraw/zinc/17/70/87/330177087.db2.gz BKAUJZLAMCERPY-LBPRGKRZSA-N 1 2 270.351 3.837 20 0 CHADLO FCCC[C@H]1CCC[C@@H]1[NH2+]c1ccc2c(c1)CCN2 ZINC000423212618 330178100 /nfs/dbraw/zinc/17/81/00/330178100.db2.gz IQLKPEQXNQBGND-WBMJQRKESA-N 1 2 262.372 3.985 20 0 CHADLO FCCC[C@H]1CCC[C@@H]1Nc1ccc2c(c1)CC[NH2+]2 ZINC000423212618 330178101 /nfs/dbraw/zinc/17/81/01/330178101.db2.gz IQLKPEQXNQBGND-WBMJQRKESA-N 1 2 262.372 3.985 20 0 CHADLO COc1cccc2c1CC[C@@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423214631 330178290 /nfs/dbraw/zinc/17/82/90/330178290.db2.gz LNOUKAJTYOIJTC-KRWDZBQOSA-N 1 2 280.371 3.763 20 0 CHADLO CC(C)n1cc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)cn1 ZINC000423212942 330178305 /nfs/dbraw/zinc/17/83/05/330178305.db2.gz JHMXXZVVIYAEHB-LBPRGKRZSA-N 1 2 270.380 3.605 20 0 CHADLO c1ccc2c(c1)OCCC[C@@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423222088 330179632 /nfs/dbraw/zinc/17/96/32/330179632.db2.gz ZPUIEXRXJBYHNM-KRWDZBQOSA-N 1 2 280.371 3.980 20 0 CHADLO Clc1ccc(C[NH+]2CCN(c3cccs3)CC2)s1 ZINC000548441644 330246088 /nfs/dbraw/zinc/24/60/88/330246088.db2.gz AWMDHOHNKRMJMJ-UHFFFAOYSA-N 1 2 298.864 3.785 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2ncccc2F)c(Cl)c1 ZINC000527826489 330286702 /nfs/dbraw/zinc/28/67/02/330286702.db2.gz NYHQMPYWSCIZPJ-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO COc1ccc[nH+]c1NC[C@H]1CCCO[C@@H]1c1ccccc1 ZINC000527858821 330289219 /nfs/dbraw/zinc/28/92/19/330289219.db2.gz BRPOFXSBOYAKQT-NVXWUHKLSA-N 1 2 298.386 3.670 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2cc(F)c(Cl)cc21)c1csnn1 ZINC000527907881 330290562 /nfs/dbraw/zinc/29/05/62/330290562.db2.gz JBTJWKGUVRIABZ-MADCSZMMSA-N 1 2 297.786 3.669 20 0 CHADLO C[C@H]1CCN(c2nccc3ccccc32)C[C@H]1n1cc[nH+]c1 ZINC000527923986 330296259 /nfs/dbraw/zinc/29/62/59/330296259.db2.gz DGXKLBCBIBJADM-WMLDXEAASA-N 1 2 292.386 3.519 20 0 CHADLO FC(F)C1CCN(c2[nH+]ccc3ccccc32)CC1 ZINC000527927608 330296687 /nfs/dbraw/zinc/29/66/87/330296687.db2.gz CYYCDDUYYCHIPU-UHFFFAOYSA-N 1 2 262.303 3.716 20 0 CHADLO COc1c(C)cc(Cl)cc1N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000527974149 330301788 /nfs/dbraw/zinc/30/17/88/330301788.db2.gz NMVGDAWWJZVWNO-MFKMUULPSA-N 1 2 291.782 3.971 20 0 CHADLO COc1ccc[nH+]c1N1CC[C@H](C(F)(F)F)C(C)(C)C1 ZINC000527978073 330302244 /nfs/dbraw/zinc/30/22/44/330302244.db2.gz GFQSYVSVLRTYSR-NSHDSACASA-N 1 2 288.313 3.505 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3ccccc32)CCC1(F)F ZINC000528022182 330304578 /nfs/dbraw/zinc/30/45/78/330304578.db2.gz HEBUKWDTLLKXOG-NSHDSACASA-N 1 2 262.303 3.716 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cc(F)ccc1OC(F)F ZINC000424157089 330304713 /nfs/dbraw/zinc/30/47/13/330304713.db2.gz QNHWJTKSIIVHJW-UHFFFAOYSA-N 1 2 299.296 3.817 20 0 CHADLO C[C@@H]1CC2(CN1c1[nH+]ccc3ccccc31)CCOCC2 ZINC000528040262 330305776 /nfs/dbraw/zinc/30/57/76/330305776.db2.gz LFWDKWNRMMOLCD-CQSZACIVSA-N 1 2 282.387 3.630 20 0 CHADLO Cc1ccc2sc(C[N@H+](C)Cc3ccoc3)nc2c1 ZINC000528357102 330321860 /nfs/dbraw/zinc/32/18/60/330321860.db2.gz JCZLDGWWJJXUOC-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1ccc2sc(C[N@@H+](C)Cc3ccoc3)nc2c1 ZINC000528357102 330321861 /nfs/dbraw/zinc/32/18/61/330321861.db2.gz JCZLDGWWJJXUOC-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H]2C[C@]2(F)c2ccccc2)s1 ZINC000424189420 330322192 /nfs/dbraw/zinc/32/21/92/330322192.db2.gz DMUIDPMBCZQASJ-ZBFHGGJFSA-N 1 2 290.407 3.993 20 0 CHADLO c1ccc([N@H+](C[C@@H]2CCCOC2)C2CCCC2)cc1 ZINC000533062589 330325511 /nfs/dbraw/zinc/32/55/11/330325511.db2.gz NLWLBHVNOHJHJG-HNNXBMFYSA-N 1 2 259.393 3.862 20 0 CHADLO c1ccc([N@@H+](C[C@@H]2CCCOC2)C2CCCC2)cc1 ZINC000533062589 330325512 /nfs/dbraw/zinc/32/55/12/330325512.db2.gz NLWLBHVNOHJHJG-HNNXBMFYSA-N 1 2 259.393 3.862 20 0 CHADLO Cc1ccc(F)c(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000045763947 330348143 /nfs/dbraw/zinc/34/81/43/330348143.db2.gz KMORDPSGMKPOHB-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CC1(C)C[N@H+](Cc2nccs2)CC[C@@H]1C(F)(F)F ZINC000191661144 330349775 /nfs/dbraw/zinc/34/97/75/330349775.db2.gz RFMNTJJNGDBHQA-VIFPVBQESA-N 1 2 278.343 3.554 20 0 CHADLO CC1(C)C[N@@H+](Cc2nccs2)CC[C@@H]1C(F)(F)F ZINC000191661144 330349776 /nfs/dbraw/zinc/34/97/76/330349776.db2.gz RFMNTJJNGDBHQA-VIFPVBQESA-N 1 2 278.343 3.554 20 0 CHADLO COc1ccc(OCCNc2ccc3ccccc3[nH+]2)cc1 ZINC000082712434 330355484 /nfs/dbraw/zinc/35/54/84/330355484.db2.gz IVQWGGGLCGWJOC-UHFFFAOYSA-N 1 2 294.354 3.734 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1cnc2ccsc2c1 ZINC000085434073 330365301 /nfs/dbraw/zinc/36/53/01/330365301.db2.gz YAQXHCKRRDBDBG-VIFPVBQESA-N 1 2 275.402 3.604 20 0 CHADLO Cc1ccc2nc(C[N@@H+]([C@H](C)c3ccco3)C3CC3)cn2c1 ZINC000086234009 330369161 /nfs/dbraw/zinc/36/91/61/330369161.db2.gz IJHMATVSQOPLCF-CQSZACIVSA-N 1 2 295.386 3.961 20 0 CHADLO Cc1ccc2nc(C[N@H+]([C@H](C)c3ccco3)C3CC3)cn2c1 ZINC000086234009 330369162 /nfs/dbraw/zinc/36/91/62/330369162.db2.gz IJHMATVSQOPLCF-CQSZACIVSA-N 1 2 295.386 3.961 20 0 CHADLO Cc1ccc2[nH+]c(CN([C@H](C)c3ccco3)C3CC3)cn2c1 ZINC000086234009 330369163 /nfs/dbraw/zinc/36/91/63/330369163.db2.gz IJHMATVSQOPLCF-CQSZACIVSA-N 1 2 295.386 3.961 20 0 CHADLO CC[C@@H](Oc1cccc(C)c1)C(=O)Nc1ccc(C)[nH+]c1C ZINC000119039373 330369375 /nfs/dbraw/zinc/36/93/75/330369375.db2.gz SDMQMPLXNRLXPJ-QGZVFWFLSA-N 1 2 298.386 3.803 20 0 CHADLO CCc1cc(C(=O)Nc2ccc(C)[nH+]c2C)sc1C ZINC000119118345 330370392 /nfs/dbraw/zinc/37/03/92/330370392.db2.gz YKPAZANVIXXXCG-UHFFFAOYSA-N 1 2 274.389 3.883 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1nc(-c2ccoc2)no1)C1CC1 ZINC000119160855 330370571 /nfs/dbraw/zinc/37/05/71/330370571.db2.gz ZUPOBAHSZUCECI-LLVKDONJSA-N 1 2 299.330 3.648 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1nc(-c2ccoc2)no1)C1CC1 ZINC000119160855 330370572 /nfs/dbraw/zinc/37/05/72/330370572.db2.gz ZUPOBAHSZUCECI-LLVKDONJSA-N 1 2 299.330 3.648 20 0 CHADLO Cc1ccc(NC(=O)CCc2ccccc2C)c(C)[nH+]1 ZINC000119132022 330371234 /nfs/dbraw/zinc/37/12/34/330371234.db2.gz JZUYVICZFFWSLI-UHFFFAOYSA-N 1 2 268.360 3.578 20 0 CHADLO Cc1ccc(NC(=O)c2cc(C)c3ccccc3n2)c(C)[nH+]1 ZINC000119238568 330372151 /nfs/dbraw/zinc/37/21/51/330372151.db2.gz HKFBBZZRWZPDAG-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1Cl)c1cnccn1 ZINC000090180423 330390893 /nfs/dbraw/zinc/39/08/93/330390893.db2.gz MJTALFRJLDCJNL-NXEZZACHSA-N 1 2 279.746 3.681 20 0 CHADLO c1cn(CCSCCOc2ccc3ccccc3c2)c[nH+]1 ZINC000090200209 330391174 /nfs/dbraw/zinc/39/11/74/330391174.db2.gz PKPDGMOWFAKOCE-UHFFFAOYSA-N 1 2 298.411 3.849 20 0 CHADLO CCC[C@H]([NH2+]Cc1nnsc1Cl)c1ccccc1 ZINC000537040609 330393692 /nfs/dbraw/zinc/39/36/92/330393692.db2.gz JMZGXWHJLCEARH-NSHDSACASA-N 1 2 281.812 3.823 20 0 CHADLO Cc1nc(-c2ccc([C@H](C)[NH2+]Cc3ccon3)cc2)cs1 ZINC000537077614 330396275 /nfs/dbraw/zinc/39/62/75/330396275.db2.gz ZHWHCQXNPDNMBF-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(Cl)c1Cl)c1nccn1C ZINC000537115644 330399986 /nfs/dbraw/zinc/39/99/86/330399986.db2.gz LIOXHSPMAUQEKR-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO Cc1ccccc1CC(C)(C)NC(=O)Nc1cc[nH+]cc1C ZINC000121646365 330404439 /nfs/dbraw/zinc/40/44/39/330404439.db2.gz XTYZRVYXWIONQJ-UHFFFAOYSA-N 1 2 297.402 3.841 20 0 CHADLO C[C@H]1CCCC[C@@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000424643056 330439995 /nfs/dbraw/zinc/43/99/95/330439995.db2.gz LAHVIQPWWKWQNS-WFASDCNBSA-N 1 2 283.375 3.842 20 0 CHADLO C/C=C/c1ccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000515655604 330481215 /nfs/dbraw/zinc/48/12/15/330481215.db2.gz KOLUQBYLTJHZPT-ITDFMYJTSA-N 1 2 269.348 3.506 20 0 CHADLO CC1(C)SC[C@@H]1[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000516094424 330486990 /nfs/dbraw/zinc/48/69/90/330486990.db2.gz PDFWXYUVJLYPFB-STQMWFEESA-N 1 2 285.403 3.737 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@@H](C)c2nccs2)n1 ZINC000085174839 330489222 /nfs/dbraw/zinc/48/92/22/330489222.db2.gz GUIDRLXNPIYBIU-NSHDSACASA-N 1 2 278.425 3.552 20 0 CHADLO Cc1cccc2[nH+]c(CNc3c(F)cc(F)cc3F)cn21 ZINC000086211668 330493614 /nfs/dbraw/zinc/49/36/14/330493614.db2.gz YTKJEZXSPDOCAV-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO CCn1cc[nH+]c1[C@@H]1CCCCN1Cc1ccc(Cl)o1 ZINC000430873018 330575851 /nfs/dbraw/zinc/57/58/51/330575851.db2.gz OFVYDEZMEAXYFP-ZDUSSCGKSA-N 1 2 293.798 3.877 20 0 CHADLO CCSCC[C@H](C)N(C)c1[nH+]c2ccc(F)cc2n1C ZINC000431408983 330584200 /nfs/dbraw/zinc/58/42/00/330584200.db2.gz YSQBSSPVPYRZOA-NSHDSACASA-N 1 2 295.427 3.680 20 0 CHADLO Cn1c2cc(F)ccc2[nH+]c1N1CC[C@H](c2ccccc2)C1 ZINC000431421278 330584426 /nfs/dbraw/zinc/58/44/26/330584426.db2.gz JXGKXAWPOGZZGN-AWEZNQCLSA-N 1 2 295.361 3.706 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@H]2c2ccncc2)nc(C(C)C)[nH+]1 ZINC000431477363 330585138 /nfs/dbraw/zinc/58/51/38/330585138.db2.gz LUAYVNVJJQSYMY-MRXNPFEDSA-N 1 2 296.418 3.891 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1ncccc1C(F)(F)F ZINC000431856367 330589764 /nfs/dbraw/zinc/58/97/64/330589764.db2.gz IZDXEABRNCKJIK-UHFFFAOYSA-N 1 2 296.292 3.945 20 0 CHADLO CC(C)c1nc(N2CCC(F)(F)C2)cc(C(C)(C)C)[nH+]1 ZINC000433766225 330630871 /nfs/dbraw/zinc/63/08/71/330630871.db2.gz MGYUGTJVPCDQMO-UHFFFAOYSA-N 1 2 283.366 3.743 20 0 CHADLO Cc1cc(NCc2c[nH+]cn2Cc2ccccc2)ccc1F ZINC000438311714 330721389 /nfs/dbraw/zinc/72/13/89/330721389.db2.gz LIIHXUABGJQZSL-UHFFFAOYSA-N 1 2 295.361 3.991 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(OC(F)(F)F)c2)nc[nH+]1 ZINC000441783474 330772364 /nfs/dbraw/zinc/77/23/64/330772364.db2.gz CJUOWCUFVFGESC-JTQLQIEISA-N 1 2 297.280 3.857 20 0 CHADLO CC[C@@H](NC(=O)CC[C@H](C)c1ccccc1)c1[nH]cc[nH+]1 ZINC000442803678 330784779 /nfs/dbraw/zinc/78/47/79/330784779.db2.gz UJCSXQFYQBTFSM-DZGCQCFKSA-N 1 2 285.391 3.561 20 0 CHADLO CC[C@@H](NC(=O)[C@H](CC)C1CCC(C)CC1)c1[nH]cc[nH+]1 ZINC000443123220 330795469 /nfs/dbraw/zinc/79/54/69/330795469.db2.gz JZPALXZQXMQROI-NEXFUWMNSA-N 1 2 291.439 3.830 20 0 CHADLO CCN(CC)c1ccc(NCc2ccsc2C)c[nH+]1 ZINC000336812425 330828762 /nfs/dbraw/zinc/82/87/62/330828762.db2.gz AHBMHKUYNRNBMX-UHFFFAOYSA-N 1 2 275.421 3.910 20 0 CHADLO c1coc(CSCc2c[nH+]cn2Cc2ccccc2)c1 ZINC000445602899 330832693 /nfs/dbraw/zinc/83/26/93/330832693.db2.gz ZISCKRULZKSOGI-UHFFFAOYSA-N 1 2 284.384 3.958 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(C2CCCC2)cc1 ZINC000572505852 330834355 /nfs/dbraw/zinc/83/43/55/330834355.db2.gz HQBDXLYBZOPFSS-UHFFFAOYSA-N 1 2 280.371 3.722 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CC[C@H](C)C[C@@H]2C)c(C)[nH+]1 ZINC000447192631 330862857 /nfs/dbraw/zinc/86/28/57/330862857.db2.gz KDFNBXKLFHTKMV-COPLHBTASA-N 1 2 275.396 3.645 20 0 CHADLO CCc1cc(N)nc(S[C@@H](CC)c2ccccc2)[nH+]1 ZINC000447790772 330876726 /nfs/dbraw/zinc/87/67/26/330876726.db2.gz ZLSZTLRAFSJVIN-ZDUSSCGKSA-N 1 2 273.405 3.695 20 0 CHADLO CCCOc1cc(C[NH2+][C@H](CC)C(F)F)ccc1OC ZINC000449360178 330918582 /nfs/dbraw/zinc/91/85/82/330918582.db2.gz IZOBZKMTXZKTRQ-GFCCVEGCSA-N 1 2 287.350 3.617 20 0 CHADLO COCc1ccccc1/C=C(/C)C[NH2+][C@@H](C)C(C)(F)F ZINC000449414706 330923797 /nfs/dbraw/zinc/92/37/97/330923797.db2.gz MRJPDDCGGSAUAB-SUIFULHWSA-N 1 2 283.362 3.870 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(-c2ccccn2)c1)C(C)(F)F ZINC000449416856 330924090 /nfs/dbraw/zinc/92/40/90/330924090.db2.gz VTECGUVYYGDVKS-GFCCVEGCSA-N 1 2 276.330 3.882 20 0 CHADLO Fc1cc2[nH+]ccc(N3CCCSCC3)c2cc1Cl ZINC000450255135 330968717 /nfs/dbraw/zinc/96/87/17/330968717.db2.gz XYUHWDXUOVHYNU-UHFFFAOYSA-N 1 2 296.798 3.971 20 0 CHADLO COC[C@H](C)N(C)c1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450261824 330969077 /nfs/dbraw/zinc/96/90/77/330969077.db2.gz PTLZTBNNLZTWBT-JTQLQIEISA-N 1 2 298.308 3.725 20 0 CHADLO Cc1cc(NC[C@H](C)CCCO)c2cccc(F)c2[nH+]1 ZINC000450626769 330990238 /nfs/dbraw/zinc/99/02/38/330990238.db2.gz GYMBACPJRVMEPV-LLVKDONJSA-N 1 2 276.355 3.503 20 0 CHADLO CC(C)Oc1cccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)c1 ZINC000450671800 330992450 /nfs/dbraw/zinc/99/24/50/330992450.db2.gz XGLFTQOUWCBXSS-NEPJUHHUSA-N 1 2 291.420 3.737 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2csnn2)cc(C)c1F ZINC000450683534 330993410 /nfs/dbraw/zinc/99/34/10/330993410.db2.gz SNAFQBVPQMFZSA-QWRGUYRKSA-N 1 2 279.384 3.706 20 0 CHADLO CO[C@@H]1CCN(c2cc(C)[nH+]c3c(F)cccc23)C[C@@H]1C ZINC000450759629 330998481 /nfs/dbraw/zinc/99/84/81/330998481.db2.gz MXMDIXHAPRQOPW-MEDUHNTESA-N 1 2 288.366 3.544 20 0 CHADLO C[C@@H]1C[C@@H](O)C[C@H](C)[N@H+]1Cc1cc(Cl)sc1Cl ZINC000450813081 331000770 /nfs/dbraw/zinc/00/07/70/331000770.db2.gz UQYNNIKXOMSISX-DIYOJNKTSA-N 1 2 294.247 3.789 20 0 CHADLO C[C@@H]1C[C@@H](O)C[C@H](C)[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000450813081 331000771 /nfs/dbraw/zinc/00/07/71/331000771.db2.gz UQYNNIKXOMSISX-DIYOJNKTSA-N 1 2 294.247 3.789 20 0 CHADLO CCn1cc([C@H](C)Nc2[nH+]ccc3c(OC)cccc32)cn1 ZINC000450880085 331004421 /nfs/dbraw/zinc/00/44/21/331004421.db2.gz WCPTWLOVFNYLLJ-LBPRGKRZSA-N 1 2 296.374 3.633 20 0 CHADLO Cc1cc(N2CCC[C@@]3(CCSC3)C2)nc(C(C)C)[nH+]1 ZINC000450911458 331006715 /nfs/dbraw/zinc/00/67/15/331006715.db2.gz YZXQGRNBTNLTNB-MRXNPFEDSA-N 1 2 291.464 3.632 20 0 CHADLO Cc1cc(N2CCC[C@H]2c2ccc(F)cc2C)nc[nH+]1 ZINC000450915862 331007081 /nfs/dbraw/zinc/00/70/81/331007081.db2.gz TZYRDEFCRFPMJL-HNNXBMFYSA-N 1 2 271.339 3.574 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2ccc(F)cc2C)nc[nH+]1 ZINC000450915863 331007092 /nfs/dbraw/zinc/00/70/92/331007092.db2.gz TZYRDEFCRFPMJL-OAHLLOKOSA-N 1 2 271.339 3.574 20 0 CHADLO c1cc([C@@H]2CCCC[N@H+]2Cc2ccccc2OC2CC2)n[nH]1 ZINC000450979583 331009758 /nfs/dbraw/zinc/00/97/58/331009758.db2.gz QFPSFMGOVIYNQY-KRWDZBQOSA-N 1 2 297.402 3.678 20 0 CHADLO c1cc([C@@H]2CCCC[N@@H+]2Cc2ccccc2OC2CC2)n[nH]1 ZINC000450979583 331009759 /nfs/dbraw/zinc/00/97/59/331009759.db2.gz QFPSFMGOVIYNQY-KRWDZBQOSA-N 1 2 297.402 3.678 20 0 CHADLO Cc1ccc2[nH]c([C@H]3CCC[N@@H+]3CCC(F)(F)F)nc2c1 ZINC000451015126 331011699 /nfs/dbraw/zinc/01/16/99/331011699.db2.gz KUKYCALAFHIUDV-CYBMUJFWSA-N 1 2 297.324 3.961 20 0 CHADLO Cc1ccc2[nH]c([C@H]3CCC[N@H+]3CCC(F)(F)F)nc2c1 ZINC000451015126 331011700 /nfs/dbraw/zinc/01/17/00/331011700.db2.gz KUKYCALAFHIUDV-CYBMUJFWSA-N 1 2 297.324 3.961 20 0 CHADLO Cc1ccc2nc([C@H]3CCC[N@@H+]3CCC(F)(F)F)[nH]c2c1 ZINC000451015126 331011701 /nfs/dbraw/zinc/01/17/01/331011701.db2.gz KUKYCALAFHIUDV-CYBMUJFWSA-N 1 2 297.324 3.961 20 0 CHADLO Cc1ccc2nc([C@H]3CCC[N@H+]3CCC(F)(F)F)[nH]c2c1 ZINC000451015126 331011702 /nfs/dbraw/zinc/01/17/02/331011702.db2.gz KUKYCALAFHIUDV-CYBMUJFWSA-N 1 2 297.324 3.961 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ncc(-c3cccs3)o2)C1 ZINC000451114832 331016054 /nfs/dbraw/zinc/01/60/54/331016054.db2.gz MSDRAMWJEMUXAW-AWEZNQCLSA-N 1 2 280.368 3.727 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ncc(-c3cccs3)o2)C1 ZINC000451114832 331016055 /nfs/dbraw/zinc/01/60/55/331016055.db2.gz MSDRAMWJEMUXAW-AWEZNQCLSA-N 1 2 280.368 3.727 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@](C)(F)C2)cs1 ZINC000451124844 331016702 /nfs/dbraw/zinc/01/67/02/331016702.db2.gz YJEKEABMTKCUQK-AWEZNQCLSA-N 1 2 270.417 3.810 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@](C)(F)C2)cs1 ZINC000451124844 331016703 /nfs/dbraw/zinc/01/67/03/331016703.db2.gz YJEKEABMTKCUQK-AWEZNQCLSA-N 1 2 270.417 3.810 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](C)c2cccc(C)c2)no1 ZINC000452089396 331053654 /nfs/dbraw/zinc/05/36/54/331053654.db2.gz PXLYQLCHENVUOJ-ZDUSSCGKSA-N 1 2 273.380 3.571 20 0 CHADLO CCC1(C(=O)Nc2c[nH+]c3n2CCCC3)CCCCC1 ZINC000452334751 331062715 /nfs/dbraw/zinc/06/27/15/331062715.db2.gz NUTAJFZGWGBSMX-UHFFFAOYSA-N 1 2 275.396 3.518 20 0 CHADLO FC(F)(F)C[C@@H]([NH2+][C@@H]1CCn2ccnc21)c1ccccc1 ZINC000453059967 331085512 /nfs/dbraw/zinc/08/55/12/331085512.db2.gz MCBDLAHXYPJXIG-CHWSQXEVSA-N 1 2 295.308 3.611 20 0 CHADLO Cc1ccn(-c2ccc([NH2+]CC3CC(F)(F)C3)cc2)n1 ZINC000453108738 331089853 /nfs/dbraw/zinc/08/98/53/331089853.db2.gz LLNCXJHCFRSGGN-UHFFFAOYSA-N 1 2 277.318 3.638 20 0 CHADLO CCCOc1cc(C)ccc1C[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000453125553 331091814 /nfs/dbraw/zinc/09/18/14/331091814.db2.gz JHIGEOFPWQNNOV-GDBMZVCRSA-N 1 2 299.418 3.776 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nnc(C(C)C)s2)cs1 ZINC000453132966 331092664 /nfs/dbraw/zinc/09/26/64/331092664.db2.gz QQHCKKBRBOPTAG-SNVBAGLBSA-N 1 2 281.450 3.882 20 0 CHADLO C[C@@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000453143290 331093852 /nfs/dbraw/zinc/09/38/52/331093852.db2.gz DRKXMDVZXROZLD-RULNRJAQSA-N 1 2 277.318 3.518 20 0 CHADLO Cc1cc2cccnc2c(N[C@H]2C[C@@H](C)n3cc[nH+]c32)c1 ZINC000453175253 331097290 /nfs/dbraw/zinc/09/72/90/331097290.db2.gz CGXHNNBVSRCHSZ-DOMZBBRYSA-N 1 2 278.359 3.858 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1ccc(F)c(Cl)c1 ZINC000453180163 331097884 /nfs/dbraw/zinc/09/78/84/331097884.db2.gz KIADISHDSUCYPP-VIFPVBQESA-N 1 2 267.735 3.869 20 0 CHADLO CCc1cc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)ccc1F ZINC000453212744 331101992 /nfs/dbraw/zinc/10/19/92/331101992.db2.gz PESMXCDCTMJBIE-IINYFYTJSA-N 1 2 259.328 3.703 20 0 CHADLO CCn1cc([C@@H](C)[NH2+][C@H](c2ccco2)c2ccccc2)cn1 ZINC000194983439 331104407 /nfs/dbraw/zinc/10/44/07/331104407.db2.gz ICIWEWSETGKCGR-KDOFPFPSSA-N 1 2 295.386 3.936 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccccc1OC(F)F)C(F)F ZINC000453232026 331104563 /nfs/dbraw/zinc/10/45/63/331104563.db2.gz GBBKMVUDLGMADA-PSASIEDQSA-N 1 2 279.277 3.982 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccccc1OC(F)F)C(F)F ZINC000453232028 331104591 /nfs/dbraw/zinc/10/45/91/331104591.db2.gz GBBKMVUDLGMADA-SCZZXKLOSA-N 1 2 279.277 3.982 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cc(F)c(Cl)cc1F ZINC000453234019 331104892 /nfs/dbraw/zinc/10/48/92/331104892.db2.gz YKFUKMJWYSEBMP-SECBINFHSA-N 1 2 299.752 3.685 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCSc2ccc(F)cc21)C(F)F ZINC000453248335 331107198 /nfs/dbraw/zinc/10/71/98/331107198.db2.gz PJAVFDXMXBZRES-MNOVXSKESA-N 1 2 275.339 3.996 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)C(C)(F)F ZINC000453286555 331111307 /nfs/dbraw/zinc/11/13/07/331111307.db2.gz KKHYULSXTQWAPG-VHSXEESVSA-N 1 2 296.361 3.611 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1ccc2ccccc2n1 ZINC000453334507 331118187 /nfs/dbraw/zinc/11/81/87/331118187.db2.gz CMOSYVYJKYXBGF-GDZNZVCISA-N 1 2 292.386 3.788 20 0 CHADLO CCc1ccc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cc1OC ZINC000453389287 331127029 /nfs/dbraw/zinc/12/70/29/331127029.db2.gz XGGWOUAEIBKNAU-BXUZGUMPSA-N 1 2 271.364 3.572 20 0 CHADLO CCc1ccccc1NC(=O)NCc1c[nH+]c(C)cc1C ZINC000454243491 331142680 /nfs/dbraw/zinc/14/26/80/331142680.db2.gz LQDOXYUBTFASJO-UHFFFAOYSA-N 1 2 283.375 3.583 20 0 CHADLO Cc1ccc(NC(=O)NCCC(C)(C)C2CC2)c(C)[nH+]1 ZINC000455059617 331159861 /nfs/dbraw/zinc/15/98/61/331159861.db2.gz ZIPSLTWZSGQLAS-UHFFFAOYSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1cccc([C@H](C)NC(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000455450499 331170151 /nfs/dbraw/zinc/17/01/51/331170151.db2.gz ZYEVRPWIEVRXLD-ZDUSSCGKSA-N 1 2 283.375 3.890 20 0 CHADLO CC[C@@H](NC(=O)Nc1c(C)cc(C)[nH+]c1C)C(C)(C)C ZINC000455459935 331170262 /nfs/dbraw/zinc/17/02/62/331170262.db2.gz ACRWVDQFJRAETF-CYBMUJFWSA-N 1 2 277.412 3.953 20 0 CHADLO CCCC(C)(C)NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455473008 331170484 /nfs/dbraw/zinc/17/04/84/331170484.db2.gz CAFBCMJYPPMXEI-UHFFFAOYSA-N 1 2 263.385 3.707 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@H](C(C)C)C2)c(C)[nH+]1 ZINC000455521256 331171760 /nfs/dbraw/zinc/17/17/60/331171760.db2.gz NDFYMVIVCISNJH-HNNXBMFYSA-N 1 2 289.423 3.907 20 0 CHADLO CCC[C@H](CC1CCCC1)C(=O)NCc1[nH+]ccn1CC ZINC000456094962 331184126 /nfs/dbraw/zinc/18/41/26/331184126.db2.gz GKHOJEISXDNTKP-OAHLLOKOSA-N 1 2 291.439 3.516 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1ccccc1C(C)(C)C ZINC000456338396 331190252 /nfs/dbraw/zinc/19/02/52/331190252.db2.gz BNMSSFHMJJRNDU-CYBMUJFWSA-N 1 2 299.418 3.692 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1ccc2sccc2c1 ZINC000456337489 331190303 /nfs/dbraw/zinc/19/03/03/331190303.db2.gz AXJWVEOEXSMXCI-LLVKDONJSA-N 1 2 299.399 3.609 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)CC/C=C\c1ccccc1 ZINC000456363384 331191007 /nfs/dbraw/zinc/19/10/07/331191007.db2.gz UQDNPIUOGJUVMG-QARUFBMTSA-N 1 2 297.402 3.574 20 0 CHADLO CC[C@@H](CC(=O)N[C@H](C)c1[nH+]ccn1CC)c1ccccc1 ZINC000456380292 331191821 /nfs/dbraw/zinc/19/18/21/331191821.db2.gz JRODQIORIAYGFK-CABCVRRESA-N 1 2 299.418 3.664 20 0 CHADLO CC(C)CC(CC(C)C)C(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000456426072 331192538 /nfs/dbraw/zinc/19/25/38/331192538.db2.gz QKABAXKAWMNANE-HIFRSBDPSA-N 1 2 291.439 3.714 20 0 CHADLO CCCC[C@@H](CC)CC(=O)Nc1nc(C[NH+](C)C)cs1 ZINC000457317168 331218904 /nfs/dbraw/zinc/21/89/04/331218904.db2.gz RPPRJFCERIVSJI-GFCCVEGCSA-N 1 2 297.468 3.750 20 0 CHADLO Cc1cc(C)c(CNC(=O)Cc2cccc(C(C)C)c2)c[nH+]1 ZINC000457854247 331236550 /nfs/dbraw/zinc/23/65/50/331236550.db2.gz XHXSRWCGILILKH-UHFFFAOYSA-N 1 2 296.414 3.681 20 0 CHADLO CCC[C@H](NC(=O)c1ccc2[nH+]c(C)n(C)c2c1)C1CCC1 ZINC000458326921 331248815 /nfs/dbraw/zinc/24/88/15/331248815.db2.gz JVUUZRBADHYATA-HNNXBMFYSA-N 1 2 299.418 3.580 20 0 CHADLO COc1ccc(CC[C@@H](C)Nc2ccc(C)[nH+]c2)cc1 ZINC000164605299 331262973 /nfs/dbraw/zinc/26/29/73/331262973.db2.gz QGWAPYIKDDMRQI-CQSZACIVSA-N 1 2 270.376 3.832 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc(C)[nH+]c2)C12CCCC2 ZINC000164627702 331269142 /nfs/dbraw/zinc/26/91/42/331269142.db2.gz AMQZZVRMWSYMIV-GJZGRUSLSA-N 1 2 260.381 3.540 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[N@@H+]1CC[C@@](C)(F)C1 ZINC000459361570 331278224 /nfs/dbraw/zinc/27/82/24/331278224.db2.gz PIMWSJQPSMJJTP-OAHLLOKOSA-N 1 2 293.411 3.516 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[N@H+]1CC[C@@](C)(F)C1 ZINC000459361570 331278225 /nfs/dbraw/zinc/27/82/25/331278225.db2.gz PIMWSJQPSMJJTP-OAHLLOKOSA-N 1 2 293.411 3.516 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1N[C@@H]1CC[C@@H]1C1CCC1 ZINC000510670706 332910410 /nfs/dbraw/zinc/91/04/10/332910410.db2.gz OATWVGGGAOCNJA-HZPDHXFCSA-N 1 2 271.408 3.672 20 0 CHADLO CCC1(CC)C[NH+](Cc2cnc(C(F)(F)F)s2)C1 ZINC000459600802 331290190 /nfs/dbraw/zinc/29/01/90/331290190.db2.gz VHQIVLGLXKPESJ-UHFFFAOYSA-N 1 2 278.343 3.784 20 0 CHADLO COCC1(C)C[NH+](Cc2ccc(F)c(Cl)c2Cl)C1 ZINC000459621698 331290812 /nfs/dbraw/zinc/29/08/12/331290812.db2.gz LROSBFKCPVELOZ-UHFFFAOYSA-N 1 2 292.181 3.601 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(F)c1F)c1nc2c(s1)CCC2 ZINC000459637754 331291559 /nfs/dbraw/zinc/29/15/59/331291559.db2.gz LZLVCISJGCANGZ-SECBINFHSA-N 1 2 294.370 3.761 20 0 CHADLO CCCc1csc(C[NH+]2CC(C)(CC(F)(F)F)C2)n1 ZINC000459640949 331291675 /nfs/dbraw/zinc/29/16/75/331291675.db2.gz QWJQHORNWKYIEV-UHFFFAOYSA-N 1 2 292.370 3.870 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+][C@@H](C)c2nc3c(s2)CCC3)c1 ZINC000459659803 331292635 /nfs/dbraw/zinc/29/26/35/331292635.db2.gz ZWIYONJISTUUNP-NEPJUHHUSA-N 1 2 287.432 3.747 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459752762 331298450 /nfs/dbraw/zinc/29/84/50/331298450.db2.gz CCBOQMSQYONZPZ-UHFFFAOYSA-N 1 2 276.767 3.715 20 0 CHADLO CCc1noc(C)c1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459752762 331298451 /nfs/dbraw/zinc/29/84/51/331298451.db2.gz CCBOQMSQYONZPZ-UHFFFAOYSA-N 1 2 276.767 3.715 20 0 CHADLO CCCC[C@H](CC)CC(=O)Nc1cccc2[nH+]ccn21 ZINC000460324973 331309113 /nfs/dbraw/zinc/30/91/13/331309113.db2.gz NEHBCPLNIKGPJP-ZDUSSCGKSA-N 1 2 273.380 3.879 20 0 CHADLO CC[C@@H](C(=O)Nc1cccc2[nH+]ccn21)c1ccc(F)cc1 ZINC000461016524 331310455 /nfs/dbraw/zinc/31/04/55/331310455.db2.gz AOSWFDSPKUJDNX-CQSZACIVSA-N 1 2 297.333 3.606 20 0 CHADLO CC(C)[N@H+](CCCc1ccncc1)c1ccccc1 ZINC000462322706 331319648 /nfs/dbraw/zinc/31/96/48/331319648.db2.gz ZTMDTEGLEBQVRY-UHFFFAOYSA-N 1 2 254.377 3.929 20 0 CHADLO CC(C)[N@@H+](CCCc1ccncc1)c1ccccc1 ZINC000462322706 331319649 /nfs/dbraw/zinc/31/96/49/331319649.db2.gz ZTMDTEGLEBQVRY-UHFFFAOYSA-N 1 2 254.377 3.929 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](C)C3(CCCCC3)C2)no1 ZINC000462473097 331322525 /nfs/dbraw/zinc/32/25/25/331322525.db2.gz HLYGABCTHZIPCR-CQSZACIVSA-N 1 2 291.439 3.985 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](C)C3(CCCCC3)C2)no1 ZINC000462473097 331322526 /nfs/dbraw/zinc/32/25/26/331322526.db2.gz HLYGABCTHZIPCR-CQSZACIVSA-N 1 2 291.439 3.985 20 0 CHADLO Cc1cc(N[C@@H](C)C2CCCCC2)nc(-c2cccnc2)[nH+]1 ZINC000462875147 331333744 /nfs/dbraw/zinc/33/37/44/331333744.db2.gz RSLPBTCYQUQXQC-AWEZNQCLSA-N 1 2 296.418 3.650 20 0 CHADLO COc1ccc(C[C@@H]2CCCN(c3cccc[nH+]3)C2)cc1 ZINC000462888096 331334080 /nfs/dbraw/zinc/33/40/80/331334080.db2.gz DFGQSTVYKNTNEO-INIZCTEOSA-N 1 2 282.387 3.549 20 0 CHADLO CC/C=C/CC[N@@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000466222042 331391200 /nfs/dbraw/zinc/39/12/00/331391200.db2.gz GRIKKXWNLMINOG-CWDCEQMOSA-N 1 2 296.418 3.965 20 0 CHADLO CC/C=C/CC[N@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000466222042 331391201 /nfs/dbraw/zinc/39/12/01/331391201.db2.gz GRIKKXWNLMINOG-CWDCEQMOSA-N 1 2 296.418 3.965 20 0 CHADLO CC/C=C\CC[N@@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000466222043 331391207 /nfs/dbraw/zinc/39/12/07/331391207.db2.gz GRIKKXWNLMINOG-MJSXRHKHSA-N 1 2 296.418 3.965 20 0 CHADLO CC/C=C\CC[N@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000466222043 331391208 /nfs/dbraw/zinc/39/12/08/331391208.db2.gz GRIKKXWNLMINOG-MJSXRHKHSA-N 1 2 296.418 3.965 20 0 CHADLO CC/C=C/CCSCc1cc(OC)cc(C)[nH+]1 ZINC000467315473 331414907 /nfs/dbraw/zinc/41/49/07/331414907.db2.gz PZZMRAQGRDGTJV-AATRIKPKSA-N 1 2 251.395 3.988 20 0 CHADLO C[C@H](CCC1CC1)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000469795754 331459981 /nfs/dbraw/zinc/45/99/81/331459981.db2.gz AUJWDBDMHHYJAS-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](C)c1cccc(CC(C)C)c1 ZINC000510693427 332912001 /nfs/dbraw/zinc/91/20/01/332912001.db2.gz PXZBAPGRMGILQY-OAHLLOKOSA-N 1 2 296.414 3.753 20 0 CHADLO C[C@@H]([NH2+]C[C@H](C)c1cccc(Cl)c1)C(=O)OC(C)(C)C ZINC000470355494 331480244 /nfs/dbraw/zinc/48/02/44/331480244.db2.gz WMMLIDLUSJZFJO-NWDGAFQWSA-N 1 2 297.826 3.763 20 0 CHADLO C[C@H](Nc1c[nH+]c2c(c1)CCCC2)C1CCSCC1 ZINC000474927608 331604346 /nfs/dbraw/zinc/60/43/46/331604346.db2.gz RCUUGWZQBWRMNP-LBPRGKRZSA-N 1 2 276.449 3.904 20 0 CHADLO CCC[C@H]([NH2+]C1CC(c2cccc(Cl)c2)C1)C(=O)OC ZINC000475106179 331615287 /nfs/dbraw/zinc/61/52/87/331615287.db2.gz FIPNKFOMKPYPEC-ZALBZXLWSA-N 1 2 295.810 3.517 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCc2cc(Cl)ccc2C1 ZINC000477940051 331695007 /nfs/dbraw/zinc/69/50/07/331695007.db2.gz BSKGXKIJXXBSON-UHFFFAOYSA-N 1 2 297.789 3.789 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)CN1c1[nH]c2ccccc2[nH+]1 ZINC000480063287 331756555 /nfs/dbraw/zinc/75/65/55/331756555.db2.gz SCQDFRYKBPSQTK-CXAGYDPISA-N 1 2 293.370 3.529 20 0 CHADLO CCC[C@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000480737985 331786592 /nfs/dbraw/zinc/78/65/92/331786592.db2.gz IMQGIYQRHHXCRN-INIZCTEOSA-N 1 2 285.391 3.883 20 0 CHADLO CCCC[C@@H](CCC)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000482595448 331839789 /nfs/dbraw/zinc/83/97/89/331839789.db2.gz CVQLTOFMUFXVBZ-MRXNPFEDSA-N 1 2 299.418 3.961 20 0 CHADLO CC[C@H]([NH2+]C(C)(C)c1cccs1)C(=O)OC(C)(C)C ZINC000483731588 331883487 /nfs/dbraw/zinc/88/34/87/331883487.db2.gz SPFTYVHIPDNWAY-NSHDSACASA-N 1 2 283.437 3.693 20 0 CHADLO Cc1cc2cc(CNc3cc[nH+]cc3F)oc2cc1C ZINC000483829974 331885489 /nfs/dbraw/zinc/88/54/89/331885489.db2.gz GKDRRCVEWJOZNV-UHFFFAOYSA-N 1 2 270.307 3.618 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+]C[C@H]1C[C@@H]1C ZINC000488324182 331999861 /nfs/dbraw/zinc/99/98/61/331999861.db2.gz RTUWAZMDUURVKA-GXTWGEPZSA-N 1 2 276.449 3.616 20 0 CHADLO C[C@@H]1C[C@@H]1CNc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000488329598 331999942 /nfs/dbraw/zinc/99/99/42/331999942.db2.gz HMFUCQDJSAMYHA-UKRRQHHQSA-N 1 2 281.403 3.954 20 0 CHADLO C[C@@H]1C[C@@H]1CNc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000488352393 332001520 /nfs/dbraw/zinc/00/15/20/332001520.db2.gz NQRSEFPFQQLYRJ-GHMZBOCLSA-N 1 2 261.756 3.594 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000488521379 332015394 /nfs/dbraw/zinc/01/53/94/332015394.db2.gz XPEWHIKNAMVJPW-LALPHHSUSA-N 1 2 299.336 3.735 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000488521379 332015395 /nfs/dbraw/zinc/01/53/95/332015395.db2.gz XPEWHIKNAMVJPW-LALPHHSUSA-N 1 2 299.336 3.735 20 0 CHADLO Cc1cc(NC(=O)C2=CCC2)ccc1Oc1cc[nH+]cc1 ZINC000489416257 332051005 /nfs/dbraw/zinc/05/10/05/332051005.db2.gz VJUBWRRJRZWKFD-UHFFFAOYSA-N 1 2 280.327 3.841 20 0 CHADLO Cc1ccc2[nH+]c(CSc3cccs3)cn2c1 ZINC000172159575 335096360 /nfs/dbraw/zinc/09/63/60/335096360.db2.gz JKMOHNLULRNANW-UHFFFAOYSA-N 1 2 260.387 3.997 20 0 CHADLO C/C(=C/c1ccccc1)CN(C)c1cc[nH+]c(C2CC2)n1 ZINC000491806405 332359519 /nfs/dbraw/zinc/35/95/19/332359519.db2.gz HDAYPMYTGJZXPG-OWBHPGMISA-N 1 2 279.387 3.894 20 0 CHADLO CCCc1csc(CNc2c[nH+]c3c(c2)CCCC3)n1 ZINC000492209511 332387235 /nfs/dbraw/zinc/38/72/35/332387235.db2.gz LQPGOBIXMMLGJP-UHFFFAOYSA-N 1 2 287.432 3.982 20 0 CHADLO COCCOc1ccc(-c2[nH]c3cc(C)c(C)cc3[nH+]2)cc1 ZINC000500479978 332610657 /nfs/dbraw/zinc/61/06/57/332610657.db2.gz DFXQZKRMPWVSRT-UHFFFAOYSA-N 1 2 296.370 3.872 20 0 CHADLO CCC[C@H]([NH2+][C@H]1C[C@H](c2ccccc2C)C1)C(=O)OCC ZINC000500804601 332619342 /nfs/dbraw/zinc/61/93/42/332619342.db2.gz ODRUWNGWFWPVID-ZOBUZTSGSA-N 1 2 289.419 3.562 20 0 CHADLO Cc1[nH]c(CNCc2c(Cl)cccc2Cl)[nH+]c1C ZINC000566497375 334652827 /nfs/dbraw/zinc/65/28/27/334652827.db2.gz WKNYBABVALOPCT-UHFFFAOYSA-N 1 2 284.190 3.623 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](c2ccc(F)cc2)C(C)C)n1 ZINC000502511264 332663976 /nfs/dbraw/zinc/66/39/76/332663976.db2.gz LXIKOWROYQBGJY-IAQYHMDHSA-N 1 2 291.370 3.819 20 0 CHADLO CC(C)c1noc(C[NH2+][C@@H](c2ccc(F)cc2)C(C)C)n1 ZINC000502513959 332664152 /nfs/dbraw/zinc/66/41/52/332664152.db2.gz SQEPVYBCBUAOAT-OAHLLOKOSA-N 1 2 291.370 3.819 20 0 CHADLO CCCc1nc(C[NH2+][C@@]2(c3cccc(C)c3)C[C@H]2CC)no1 ZINC000502582541 332666472 /nfs/dbraw/zinc/66/64/72/332666472.db2.gz RLACGCYHRXOKOV-KDOFPFPSSA-N 1 2 299.418 3.746 20 0 CHADLO CCCc1nc(C[NH2+][C@]2(c3cccc(C)c3)C[C@H]2CC)no1 ZINC000502582543 332666485 /nfs/dbraw/zinc/66/64/85/332666485.db2.gz RLACGCYHRXOKOV-RDTXWAMCSA-N 1 2 299.418 3.746 20 0 CHADLO CCC(CC)CN(CC)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000567316103 334702942 /nfs/dbraw/zinc/70/29/42/334702942.db2.gz SYIALYHEWMJTJS-UHFFFAOYSA-N 1 2 288.395 3.624 20 0 CHADLO Cc1ccc([C@H](C)N(C)C(=O)Nc2cc[nH+]c(C)c2)c(C)c1 ZINC000504149080 332704794 /nfs/dbraw/zinc/70/47/94/332704794.db2.gz NBDKJIMUJKRXPY-HNNXBMFYSA-N 1 2 297.402 3.654 20 0 CHADLO CCCCC(=O)Nc1c[nH+]c(N2CCCC[C@H]2C)c(C)c1 ZINC000504857243 332722696 /nfs/dbraw/zinc/72/26/96/332722696.db2.gz JEAHDDRPJSAHRP-CQSZACIVSA-N 1 2 289.423 3.898 20 0 CHADLO Cc1[nH+]cccc1NC/C=C/c1ccc(F)c(F)c1 ZINC000512036630 332951303 /nfs/dbraw/zinc/95/13/03/332951303.db2.gz AIXUMKMZVISJIQ-DUXPYHPUSA-N 1 2 260.287 3.794 20 0 CHADLO CC[C@@]([NH2+]CCC1CC1)(C(=O)OC)c1ccc(Cl)cc1 ZINC000512247336 332960279 /nfs/dbraw/zinc/96/02/79/332960279.db2.gz XSTFLABEKFYKQU-INIZCTEOSA-N 1 2 295.810 3.508 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)Nc1c[nH+]c2c(c1)CCCC2 ZINC000512365505 332964943 /nfs/dbraw/zinc/96/49/43/332964943.db2.gz ZSGOFGGFWCOWFJ-ZDUSSCGKSA-N 1 2 282.387 3.709 20 0 CHADLO FC(F)(C[NH2+][C@@H]1CCCSC1)c1ccc(Cl)cc1 ZINC000512982200 332996738 /nfs/dbraw/zinc/99/67/38/332996738.db2.gz BZKSTTQRKIHZOG-GFCCVEGCSA-N 1 2 291.794 3.917 20 0 CHADLO CCCC[N@H+](Cc1ccn(C)n1)Cc1ccccc1Cl ZINC000513296753 333012958 /nfs/dbraw/zinc/01/29/58/333012958.db2.gz QLBOQXDLONMSOA-UHFFFAOYSA-N 1 2 291.826 3.876 20 0 CHADLO CCCC[N@@H+](Cc1ccn(C)n1)Cc1ccccc1Cl ZINC000513296753 333012960 /nfs/dbraw/zinc/01/29/60/333012960.db2.gz QLBOQXDLONMSOA-UHFFFAOYSA-N 1 2 291.826 3.876 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]C/C=C\c2ccc(Cl)cc2)no1 ZINC000513538528 333024573 /nfs/dbraw/zinc/02/45/73/333024573.db2.gz LKCIRCOOISWHDB-PLNGDYQASA-N 1 2 291.782 3.570 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cncc(Br)c1)C(F)F ZINC000575815195 335118612 /nfs/dbraw/zinc/11/86/12/335118612.db2.gz DDLWKBZFNMJQAY-XVKPBYJWSA-N 1 2 293.155 3.538 20 0 CHADLO CC(C)C[C@@H](C)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000184755989 333112739 /nfs/dbraw/zinc/11/27/39/333112739.db2.gz PNCCDHKDNFSUSI-GFCCVEGCSA-N 1 2 271.364 3.697 20 0 CHADLO CC(C)C[C@H](C)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000184756005 333112754 /nfs/dbraw/zinc/11/27/54/333112754.db2.gz PNCCDHKDNFSUSI-LBPRGKRZSA-N 1 2 271.364 3.697 20 0 CHADLO COC(=O)C1([NH2+]Cc2ccc(C(F)F)cc2)CCCCC1 ZINC000183948257 333123769 /nfs/dbraw/zinc/12/37/69/333123769.db2.gz KKHSJELOWOTCBH-UHFFFAOYSA-N 1 2 297.345 3.590 20 0 CHADLO COC(=O)[C@H](C)[NH2+][C@@H](C)c1ccc(Cl)c2ccccc21 ZINC000184924699 333133288 /nfs/dbraw/zinc/13/32/88/333133288.db2.gz BDFJIWPIXVUIJT-QWRGUYRKSA-N 1 2 291.778 3.705 20 0 CHADLO CC(C)C[C@@H](C)C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000185251742 333137501 /nfs/dbraw/zinc/13/75/01/333137501.db2.gz XSIAUDBFQHGGAJ-CYBMUJFWSA-N 1 2 285.391 3.708 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@H](C)CCC1CC1 ZINC000186445434 333161124 /nfs/dbraw/zinc/16/11/24/333161124.db2.gz PNGKEOPYKRKXIJ-OAHLLOKOSA-N 1 2 288.435 3.822 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2ccc(F)cc2F)o1 ZINC000220889216 333170332 /nfs/dbraw/zinc/17/03/32/333170332.db2.gz POPVPVRDZVPDLB-ZJUUUORDSA-N 1 2 266.291 3.673 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ncc(C)o1)c1ccc(F)cc1 ZINC000220927022 333170749 /nfs/dbraw/zinc/17/07/49/333170749.db2.gz KBPPSFAKPALQGF-BXUZGUMPSA-N 1 2 262.328 3.924 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ncc(C)o1)c1ccc(F)cc1 ZINC000220927076 333170966 /nfs/dbraw/zinc/17/09/66/333170966.db2.gz KBPPSFAKPALQGF-RISCZKNCSA-N 1 2 262.328 3.924 20 0 CHADLO c1ccc2nc(CCNc3ccc4ccccc4[nH+]3)ccc2c1 ZINC000521565324 333178791 /nfs/dbraw/zinc/17/87/91/333178791.db2.gz UTZHDBQXDSZZTO-UHFFFAOYSA-N 1 2 299.377 3.860 20 0 CHADLO CCCC[C@H](CCC)Sc1nc(N)cc(C)[nH+]1 ZINC000521669250 333183259 /nfs/dbraw/zinc/18/32/59/333183259.db2.gz WRSBXMXDEDMHPV-NSHDSACASA-N 1 2 253.415 3.649 20 0 CHADLO Cc1cccc2[nH+]c(CNc3cccc4ccn(C)c43)cn21 ZINC000190796428 333210836 /nfs/dbraw/zinc/21/08/36/333210836.db2.gz CFHFMDQHHMZGAX-UHFFFAOYSA-N 1 2 290.370 3.747 20 0 CHADLO Cc1cc(OCc2noc(C(C)(C)C)n2)c2ccccc2[nH+]1 ZINC000192192511 333232084 /nfs/dbraw/zinc/23/20/84/333232084.db2.gz WBPYYOWTKSXCOI-UHFFFAOYSA-N 1 2 297.358 3.803 20 0 CHADLO CCCCc1nc(COc2cc(C)[nH+]c3ccccc32)no1 ZINC000192192518 333232093 /nfs/dbraw/zinc/23/20/93/333232093.db2.gz ADBNTWACOIIZBL-UHFFFAOYSA-N 1 2 297.358 3.848 20 0 CHADLO COc1cccc(CCNc2ccc3ccccc3[nH+]2)c1 ZINC000225729264 333239503 /nfs/dbraw/zinc/23/95/03/333239503.db2.gz WSIWKYFLKSOMFN-UHFFFAOYSA-N 1 2 278.355 3.898 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccccc2OC(C)C)o1 ZINC000522157084 333253067 /nfs/dbraw/zinc/25/30/67/333253067.db2.gz LWPBBPKXRIKKNB-RYUDHWBXSA-N 1 2 289.379 3.577 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccccc2OC(C)C)o1 ZINC000522157083 333253076 /nfs/dbraw/zinc/25/30/76/333253076.db2.gz LWPBBPKXRIKKNB-NWDGAFQWSA-N 1 2 289.379 3.577 20 0 CHADLO CCc1ccc([C@@H](CC)[NH2+]Cc2nn(C)cc2Cl)cc1 ZINC000393353737 333267476 /nfs/dbraw/zinc/26/74/76/333267476.db2.gz DSAMGAQALHSMGC-OAHLLOKOSA-N 1 2 291.826 3.877 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]C(C)(C)c1nccs1 ZINC000227863418 333289540 /nfs/dbraw/zinc/28/95/40/333289540.db2.gz UEZCUZCRDFQMRE-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO COCc1cccc(C[NH2+]CC(F)(F)c2ccccc2)c1 ZINC000227899600 333290276 /nfs/dbraw/zinc/29/02/76/333290276.db2.gz NLKKXEQUSFKLQU-UHFFFAOYSA-N 1 2 291.341 3.715 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2c(F)cccc2F)C[C@@H](C)O1 ZINC000303445242 333342269 /nfs/dbraw/zinc/34/22/69/333342269.db2.gz GTDOHWJNFBEXGG-IJLUTSLNSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2c(F)cccc2F)C[C@@H](C)O1 ZINC000303445242 333342271 /nfs/dbraw/zinc/34/22/71/333342271.db2.gz GTDOHWJNFBEXGG-IJLUTSLNSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H](Cc1ccc(Cl)cc1)Nc1cc(C)[nH+]cn1 ZINC000523873842 333348770 /nfs/dbraw/zinc/34/87/70/333348770.db2.gz WLLKSCATGURYFT-AWEZNQCLSA-N 1 2 275.783 3.872 20 0 CHADLO CC[C@H](CSC)Nc1[nH+]ccc(C)c1Br ZINC000234022671 333357141 /nfs/dbraw/zinc/35/71/41/333357141.db2.gz NEOIWGOVFASTCT-SECBINFHSA-N 1 2 289.242 3.706 20 0 CHADLO COCCC(C)(C)CNc1[nH+]ccc2c(OC)cccc21 ZINC000234724489 333361818 /nfs/dbraw/zinc/36/18/18/333361818.db2.gz LCPRHJUGVZFAKZ-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@H+](C)Cc2nnc(C3CC3)o2)c1 ZINC000524592900 333367622 /nfs/dbraw/zinc/36/76/22/333367622.db2.gz WJUFAGBHKGIZHP-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@@H+](C)Cc2nnc(C3CC3)o2)c1 ZINC000524592900 333367624 /nfs/dbraw/zinc/36/76/24/333367624.db2.gz WJUFAGBHKGIZHP-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(-c3ccc(C)cc3)o2)no1 ZINC000524680661 333371128 /nfs/dbraw/zinc/37/11/28/333371128.db2.gz NYYQHLPXKFZQPQ-UHFFFAOYSA-N 1 2 282.343 3.841 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)CCc1c[nH+]cn1C ZINC000525999269 333418116 /nfs/dbraw/zinc/41/81/16/333418116.db2.gz CSBRLBHHTAGLMU-UHFFFAOYSA-N 1 2 299.418 3.580 20 0 CHADLO CO[C@@]1(C)CCCN(c2[nH+]c3ccccc3cc2C)C1 ZINC000530373120 333545358 /nfs/dbraw/zinc/54/53/58/333545358.db2.gz VEKRROJHHDDCGW-KRWDZBQOSA-N 1 2 270.376 3.549 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+][C@H](C)C(=O)OC(C)(C)C)C1CC1 ZINC000538389545 333587910 /nfs/dbraw/zinc/58/79/10/333587910.db2.gz GMPXLVLKEIUJJW-CJNGLKHVSA-N 1 2 289.419 3.766 20 0 CHADLO COc1cc(C)[nH+]c(CSCCc2ccccc2)c1 ZINC000538525691 333604024 /nfs/dbraw/zinc/60/40/24/333604024.db2.gz HGJGOLMMFPJNAW-UHFFFAOYSA-N 1 2 273.401 3.875 20 0 CHADLO COC[C@H](CC(C)C)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000538638653 333610333 /nfs/dbraw/zinc/61/03/33/333610333.db2.gz JPQVHTRNXWYGJO-INIZCTEOSA-N 1 2 291.439 3.545 20 0 CHADLO CC(C)(C)CCCC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000538680631 333611612 /nfs/dbraw/zinc/61/16/12/333611612.db2.gz YAJRBODFMGMLLH-UHFFFAOYSA-N 1 2 299.418 3.909 20 0 CHADLO CCc1cc(C[NH2+][C@H](c2ccccc2)c2ccccn2)on1 ZINC000538712674 333613457 /nfs/dbraw/zinc/61/34/57/333613457.db2.gz SJYNRIATTBMTRE-GOSISDBHSA-N 1 2 293.370 3.511 20 0 CHADLO C[C@H]1CC[C@@H](CC(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000128427700 333670883 /nfs/dbraw/zinc/67/08/83/333670883.db2.gz RJMXFCMDCYQJNW-QWHCGFSZSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nnsc1Cl)C1CC1 ZINC000539493365 333673869 /nfs/dbraw/zinc/67/38/69/333673869.db2.gz LYQHRRHGTLRMAZ-CYBMUJFWSA-N 1 2 293.823 3.741 20 0 CHADLO CCCCS(=O)(=O)Nc1ccc([NH2+]C[C@H](C)CC)cc1 ZINC000540067996 333708546 /nfs/dbraw/zinc/70/85/46/333708546.db2.gz KAXHSEVXLLETGG-CYBMUJFWSA-N 1 2 298.452 3.686 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccnn1-c1ccccc1)c1cscn1 ZINC000564227858 333710731 /nfs/dbraw/zinc/71/07/31/333710731.db2.gz SXSYRNSNLVLPIW-CHWSQXEVSA-N 1 2 298.415 3.741 20 0 CHADLO Cc1cc(N2CC[C@H](C(C)(C)C)C2)nc(-c2ccncc2)[nH+]1 ZINC000541341126 333773023 /nfs/dbraw/zinc/77/30/23/333773023.db2.gz FYBWHXJIGUNUBL-HNNXBMFYSA-N 1 2 296.418 3.719 20 0 CHADLO Cc1[nH]c(CNCC(F)(F)c2ccc(Cl)cc2)[nH+]c1C ZINC000576173559 335165294 /nfs/dbraw/zinc/16/52/94/335165294.db2.gz VCDVCHFAPPJKNU-UHFFFAOYSA-N 1 2 299.752 3.562 20 0 CHADLO CC(C)(C)c1noc(C[NH2+][C@H](c2cccs2)C2CC2)n1 ZINC000543750746 333895318 /nfs/dbraw/zinc/89/53/18/333895318.db2.gz ZMDPIRHGFNDBHH-ZDUSSCGKSA-N 1 2 291.420 3.670 20 0 CHADLO Cc1cc(NC(=O)NCCC2=CCCCCC2)c(C)c[nH+]1 ZINC000545231327 333971688 /nfs/dbraw/zinc/97/16/88/333971688.db2.gz QSPICXGCQMWGRJ-UHFFFAOYSA-N 1 2 287.407 3.522 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)CCC1CCC1 ZINC000132123248 333972864 /nfs/dbraw/zinc/97/28/64/333972864.db2.gz MMPWFMCKBYISMP-UHFFFAOYSA-N 1 2 276.380 3.778 20 0 CHADLO C[C@@H]1CCC[C@H](c2nc(Cc3cn4ccccc4[nH+]3)no2)C1 ZINC000545600172 333990779 /nfs/dbraw/zinc/99/07/79/333990779.db2.gz APLNOXUCLLYLQI-OLZOCXBDSA-N 1 2 296.374 3.602 20 0 CHADLO COCC[C@H](C)Nc1cccc([NH+]2CCCCCC2)c1 ZINC000546006616 334011770 /nfs/dbraw/zinc/01/17/70/334011770.db2.gz SMSAAJRVYJPHQY-HNNXBMFYSA-N 1 2 276.424 3.904 20 0 CHADLO CC(C)OC[C@H]1C[N@@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000546031425 334013817 /nfs/dbraw/zinc/01/38/17/334013817.db2.gz IBAKTURNRHJUGU-UONOGXRCSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@H]1C[N@H+]([C@@H](C)c2ccccc2Cl)CCO1 ZINC000546031425 334013818 /nfs/dbraw/zinc/01/38/18/334013818.db2.gz IBAKTURNRHJUGU-UONOGXRCSA-N 1 2 297.826 3.527 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cc(O)cc(F)c1 ZINC000546035429 334013886 /nfs/dbraw/zinc/01/38/86/334013886.db2.gz GZPIJMSBWFAMQD-JTQLQIEISA-N 1 2 277.364 3.712 20 0 CHADLO Cc1cc(C(=O)C[NH+]2Cc3ccccc3C2)c(C)n1C1CC1 ZINC000133144947 334020039 /nfs/dbraw/zinc/02/00/39/334020039.db2.gz PNWBYBGUPSJNHE-UHFFFAOYSA-N 1 2 294.398 3.638 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+]Cc1noc(C(C)(C)C)n1 ZINC000546228252 334020757 /nfs/dbraw/zinc/02/07/57/334020757.db2.gz JITLDNFRFVIGBR-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO CC1(C)CC[C@@H](c2nc(Cc3cn4ccccc4[nH+]3)no2)C1 ZINC000546310069 334026660 /nfs/dbraw/zinc/02/66/60/334026660.db2.gz AUAYJQNMZKOYFJ-GFCCVEGCSA-N 1 2 296.374 3.602 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)o1 ZINC000075586226 334045707 /nfs/dbraw/zinc/04/57/07/334045707.db2.gz PZRMYDMFBGKVOQ-LBPRGKRZSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)o1 ZINC000075586226 334045708 /nfs/dbraw/zinc/04/57/08/334045708.db2.gz PZRMYDMFBGKVOQ-LBPRGKRZSA-N 1 2 270.332 3.922 20 0 CHADLO C[N@H+](Cc1ccccc1F)Cc1ccncc1Cl ZINC000075589496 334045963 /nfs/dbraw/zinc/04/59/63/334045963.db2.gz BKJRFKIFRRUXQG-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccc1F)Cc1ccncc1Cl ZINC000075589496 334045964 /nfs/dbraw/zinc/04/59/64/334045964.db2.gz BKJRFKIFRRUXQG-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[C@H]1CCC[C@H](c2noc(Cc3cn4ccccc4[nH+]3)n2)C1 ZINC000547056399 334064227 /nfs/dbraw/zinc/06/42/27/334064227.db2.gz IQKRYXHDBKRSIM-STQMWFEESA-N 1 2 296.374 3.602 20 0 CHADLO CCc1nc(C[N@@H+]2CCCC[C@H]2c2cccc(C)c2C)no1 ZINC000075999592 334065736 /nfs/dbraw/zinc/06/57/36/334065736.db2.gz WFNNRISVYXCIII-INIZCTEOSA-N 1 2 299.418 3.976 20 0 CHADLO CCc1nc(C[N@H+]2CCCC[C@H]2c2cccc(C)c2C)no1 ZINC000075999592 334065737 /nfs/dbraw/zinc/06/57/37/334065737.db2.gz WFNNRISVYXCIII-INIZCTEOSA-N 1 2 299.418 3.976 20 0 CHADLO CC[C@]1(C)C[C@@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000547109218 334067103 /nfs/dbraw/zinc/06/71/03/334067103.db2.gz QPZNUVAIRORYCN-RHSMWYFYSA-N 1 2 294.358 3.826 20 0 CHADLO CC1(C)CCC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000133933826 334072824 /nfs/dbraw/zinc/07/28/24/334072824.db2.gz NRBSOZSGMHLWMI-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO CC1(C)CCC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000133933826 334072825 /nfs/dbraw/zinc/07/28/25/334072825.db2.gz NRBSOZSGMHLWMI-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2ccc(F)cc21)c1cccc(F)c1F ZINC000547356973 334083163 /nfs/dbraw/zinc/08/31/63/334083163.db2.gz RDOWWUSXNHIQSS-YMTOWFKASA-N 1 2 293.288 3.888 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[N@H+]2[C@H](C)C[C@@H]2C)c(Cl)c1 ZINC000547768923 334116200 /nfs/dbraw/zinc/11/62/00/334116200.db2.gz SYTIBJAHQKHZET-XQQFMLRXSA-N 1 2 294.826 3.767 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[N@@H+]2[C@H](C)C[C@@H]2C)c(Cl)c1 ZINC000547768923 334116201 /nfs/dbraw/zinc/11/62/01/334116201.db2.gz SYTIBJAHQKHZET-XQQFMLRXSA-N 1 2 294.826 3.767 20 0 CHADLO Cc1ccc(-c2nc(C[NH+]3[C@H](C)C[C@H]3C)cs2)o1 ZINC000547768646 334116342 /nfs/dbraw/zinc/11/63/42/334116342.db2.gz JBGFVLCIIBPOOU-NXEZZACHSA-N 1 2 262.378 3.694 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)CCC(C)(C)C)c1 ZINC000078080773 334123407 /nfs/dbraw/zinc/12/34/07/334123407.db2.gz PIZZKRUDKRQEHU-UHFFFAOYSA-N 1 2 285.391 3.852 20 0 CHADLO CC(C)[N@@H+](Cc1cc2ccccc2o1)CC(F)F ZINC000135401509 334128724 /nfs/dbraw/zinc/12/87/24/334128724.db2.gz UWTXDXSTEYWGPX-UHFFFAOYSA-N 1 2 253.292 3.908 20 0 CHADLO CC(C)[N@H+](Cc1cc2ccccc2o1)CC(F)F ZINC000135401509 334128725 /nfs/dbraw/zinc/12/87/25/334128725.db2.gz UWTXDXSTEYWGPX-UHFFFAOYSA-N 1 2 253.292 3.908 20 0 CHADLO Cc1ncsc1CCn1c[nH+]c2cc(C)c(C)cc21 ZINC000135588336 334133906 /nfs/dbraw/zinc/13/39/06/334133906.db2.gz SKYPWPGBYGEPGR-UHFFFAOYSA-N 1 2 271.389 3.661 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1ccc(Br)cc1F ZINC000548097923 334139885 /nfs/dbraw/zinc/13/98/85/334139885.db2.gz PRXIIZIAZTUZHV-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1ccc(Br)cc1F ZINC000548097923 334139887 /nfs/dbraw/zinc/13/98/87/334139887.db2.gz PRXIIZIAZTUZHV-DTORHVGOSA-N 1 2 272.161 3.571 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1cccc2cc[nH]c21 ZINC000548139998 334142059 /nfs/dbraw/zinc/14/20/59/334142059.db2.gz VAXJQPRWYOYRLZ-UHFFFAOYSA-N 1 2 254.337 3.557 20 0 CHADLO O=C(NCc1cccc(-c2[nH]cc[nH+]2)c1)C1=CCCCCC1 ZINC000548165266 334144478 /nfs/dbraw/zinc/14/44/78/334144478.db2.gz FVZYLGGWCTVEOQ-UHFFFAOYSA-N 1 2 295.386 3.583 20 0 CHADLO CC/C=C\CC[N@@H+]1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC000548300352 334149659 /nfs/dbraw/zinc/14/96/59/334149659.db2.gz CDBPOWHEKWZZNP-MJSXRHKHSA-N 1 2 281.346 3.694 20 0 CHADLO CC/C=C\CC[N@H+]1CCO[C@@H](c2ccc(F)cc2F)C1 ZINC000548300352 334149660 /nfs/dbraw/zinc/14/96/60/334149660.db2.gz CDBPOWHEKWZZNP-MJSXRHKHSA-N 1 2 281.346 3.694 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccccc2SC)o1 ZINC000548427425 334155888 /nfs/dbraw/zinc/15/58/88/334155888.db2.gz LAWMTPNVRVVYHZ-UHFFFAOYSA-N 1 2 276.405 3.591 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccccc2SC)o1 ZINC000548427425 334155889 /nfs/dbraw/zinc/15/58/89/334155889.db2.gz LAWMTPNVRVVYHZ-UHFFFAOYSA-N 1 2 276.405 3.591 20 0 CHADLO FC(F)C[NH2+]Cc1cc(-c2ccccc2)cs1 ZINC000136572414 334157804 /nfs/dbraw/zinc/15/78/04/334157804.db2.gz DHGBLTQFGTWGKI-UHFFFAOYSA-N 1 2 253.317 3.770 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@H]3C[C@@]3(C)C(C)C)ccc2n1C ZINC000548495801 334160630 /nfs/dbraw/zinc/16/06/30/334160630.db2.gz BGVHKLFGWZPKTH-DYVFJYSZSA-N 1 2 285.391 3.502 20 0 CHADLO COc1ccc[nH+]c1NC/C(C)=C\c1ccccc1 ZINC000548780184 334173860 /nfs/dbraw/zinc/17/38/60/334173860.db2.gz GUUNGNCCLGJTDY-QBFSEMIESA-N 1 2 254.333 3.606 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1ccncc1Cl ZINC000081671593 334203212 /nfs/dbraw/zinc/20/32/12/334203212.db2.gz NWQQEJADCCXKQI-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1ccncc1Cl ZINC000081671593 334203213 /nfs/dbraw/zinc/20/32/13/334203213.db2.gz NWQQEJADCCXKQI-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO CCC[C@@H](C(=O)NCc1ccccc1-n1cc[nH+]c1)C(C)C ZINC000138492224 334216917 /nfs/dbraw/zinc/21/69/17/334216917.db2.gz DHIFQWFUMAVSJP-MRXNPFEDSA-N 1 2 299.418 3.561 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2ccccc2C)cc(C)[nH+]1 ZINC000550738444 334228025 /nfs/dbraw/zinc/22/80/25/334228025.db2.gz ZGZNIDJZTPPFDK-MRXNPFEDSA-N 1 2 281.403 3.997 20 0 CHADLO CC(C)[C@@H]([NH2+]CC(C)(F)F)c1cc(F)ccc1F ZINC000550860712 334230829 /nfs/dbraw/zinc/23/08/29/334230829.db2.gz NLIZNJRKTIHJLO-GFCCVEGCSA-N 1 2 263.278 3.907 20 0 CHADLO Fc1cc(F)cc([C@]2(F)CC[N@@H+]([C@@H]3C=CCCC3)C2)c1 ZINC000551407731 334249574 /nfs/dbraw/zinc/24/95/74/334249574.db2.gz SNPCDHBKOYFLSD-CVEARBPZSA-N 1 2 281.321 3.944 20 0 CHADLO Fc1cc(F)cc([C@]2(F)CC[N@H+]([C@@H]3C=CCCC3)C2)c1 ZINC000551407731 334249575 /nfs/dbraw/zinc/24/95/75/334249575.db2.gz SNPCDHBKOYFLSD-CVEARBPZSA-N 1 2 281.321 3.944 20 0 CHADLO CCC1CC(Nc2ccc(-n3cc[nH+]c3)c(F)c2)C1 ZINC000551534929 334253403 /nfs/dbraw/zinc/25/34/03/334253403.db2.gz ZLTCDVSGTCKVAD-UHFFFAOYSA-N 1 2 259.328 3.612 20 0 CHADLO CC[C@@H](C)n1ncc(N[C@H](C)c2[nH]cc[nH+]2)c1C1CC1 ZINC000552066392 334284708 /nfs/dbraw/zinc/28/47/08/334284708.db2.gz ILBQLWZIEOMWDS-GHMZBOCLSA-N 1 2 273.384 3.628 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cc2F)[C@H]1c1ccncc1 ZINC000552068860 334285311 /nfs/dbraw/zinc/28/53/11/334285311.db2.gz QIRATPPPXNQKPR-INIZCTEOSA-N 1 2 288.341 3.943 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cc2F)[C@H]1c1ccncc1 ZINC000552068860 334285312 /nfs/dbraw/zinc/28/53/12/334285312.db2.gz QIRATPPPXNQKPR-INIZCTEOSA-N 1 2 288.341 3.943 20 0 CHADLO COC[C@H]([NH2+]CC(F)(F)c1ccc(Cl)cc1)C(C)C ZINC000552098665 334289593 /nfs/dbraw/zinc/28/95/93/334289593.db2.gz KZJNNUYHZFNAFU-ZDUSSCGKSA-N 1 2 291.769 3.692 20 0 CHADLO Cc1oncc1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000552138381 334294043 /nfs/dbraw/zinc/29/40/43/334294043.db2.gz NNLGKZFOLGYCGX-CQSZACIVSA-N 1 2 262.740 3.583 20 0 CHADLO Cc1oncc1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000552138381 334294044 /nfs/dbraw/zinc/29/40/44/334294044.db2.gz NNLGKZFOLGYCGX-CQSZACIVSA-N 1 2 262.740 3.583 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1nnc(C2CC2)o1)C1CC1 ZINC000552155661 334296176 /nfs/dbraw/zinc/29/61/76/334296176.db2.gz ROVBUCHCTSTIRA-LBPRGKRZSA-N 1 2 283.375 3.673 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1nnc(C2CC2)o1)C1CC1 ZINC000552155661 334296177 /nfs/dbraw/zinc/29/61/77/334296177.db2.gz ROVBUCHCTSTIRA-LBPRGKRZSA-N 1 2 283.375 3.673 20 0 CHADLO CCCCc1noc(C[N@@H+]2C[C@@H](C)[C@H]2c2ccccc2)n1 ZINC000248328096 334298343 /nfs/dbraw/zinc/29/83/43/334298343.db2.gz CRLIFMFUDKRVEP-DYVFJYSZSA-N 1 2 285.391 3.605 20 0 CHADLO CCCCc1noc(C[N@H+]2C[C@@H](C)[C@H]2c2ccccc2)n1 ZINC000248328096 334298344 /nfs/dbraw/zinc/29/83/44/334298344.db2.gz CRLIFMFUDKRVEP-DYVFJYSZSA-N 1 2 285.391 3.605 20 0 CHADLO Cc1ccnc([C@@H](C)Nc2ccc(N3CCCCC3)c[nH+]2)c1 ZINC000552274884 334311535 /nfs/dbraw/zinc/31/15/35/334311535.db2.gz FDLVIVMEHXVFOF-OAHLLOKOSA-N 1 2 296.418 3.948 20 0 CHADLO CC[C@]1(C)C[N@@H+]([C@H](C)c2ccc(F)c(F)c2)CCO1 ZINC000248899135 334321405 /nfs/dbraw/zinc/32/14/05/334321405.db2.gz GZGNXQWUDXUSCA-IAQYHMDHSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@]1(C)C[N@H+]([C@H](C)c2ccc(F)c(F)c2)CCO1 ZINC000248899135 334321407 /nfs/dbraw/zinc/32/14/07/334321407.db2.gz GZGNXQWUDXUSCA-IAQYHMDHSA-N 1 2 269.335 3.527 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3ccc(Cl)cc3C2)n1 ZINC000090616173 334364994 /nfs/dbraw/zinc/36/49/94/334364994.db2.gz GJTKQMXJLNNRCR-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1csc(C[N@H+]2CCc3ccc(Cl)cc3C2)n1 ZINC000090616173 334364995 /nfs/dbraw/zinc/36/49/95/334364995.db2.gz GJTKQMXJLNNRCR-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[NH+]1CC(C(F)(F)F)C1 ZINC000554851916 334456131 /nfs/dbraw/zinc/45/61/31/334456131.db2.gz NPPMWEKISSTYHJ-QMMMGPOBSA-N 1 2 263.690 3.895 20 0 CHADLO C[C@@H](CO)Nc1ccc2c(Cl)c3c([nH+]c2c1)CCCC3 ZINC000555141971 334469645 /nfs/dbraw/zinc/46/96/45/334469645.db2.gz DNWMWLDOUNCNIM-JTQLQIEISA-N 1 2 290.794 3.560 20 0 CHADLO C[C@H]1CSCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000566149419 334628513 /nfs/dbraw/zinc/62/85/13/334628513.db2.gz VKHQBWCQZFJSEK-LLVKDONJSA-N 1 2 276.380 3.563 20 0 CHADLO COCc1ccc(C[NH2+][C@H](C)c2c(F)cccc2F)o1 ZINC000268789102 334716116 /nfs/dbraw/zinc/71/61/16/334716116.db2.gz LHSPIUYSESXJIU-SNVBAGLBSA-N 1 2 281.302 3.555 20 0 CHADLO Cc1ccc([S@@](=O)Cc2cn3c(cccc3C)[nH+]2)c(C)c1 ZINC000155559481 334723849 /nfs/dbraw/zinc/72/38/49/334723849.db2.gz AIGOVHPQLPRCCM-OAQYLSRUSA-N 1 2 298.411 3.567 20 0 CHADLO COc1cccc2c1CC[C@H]2Nc1cc[nH+]c(C(C)C)n1 ZINC000163250949 334735165 /nfs/dbraw/zinc/73/51/65/334735165.db2.gz FPAIDGNOSGEVJI-CQSZACIVSA-N 1 2 283.375 3.708 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2cccs2)n1)c1ccccn1 ZINC000156252057 334738071 /nfs/dbraw/zinc/73/80/71/334738071.db2.gz HUSDFRRMUUEKOH-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2csc(Cl)c2)s1 ZINC000276490073 334801854 /nfs/dbraw/zinc/80/18/54/334801854.db2.gz HOUAJDHXZNCGTB-RQJHMYQMSA-N 1 2 287.841 3.973 20 0 CHADLO CCn1cc[nH+]c1/C=C/C(=O)Nc1ccc2ccccc2c1 ZINC000158615782 334807802 /nfs/dbraw/zinc/80/78/02/334807802.db2.gz KEFWXDNXQWHMSD-MDZDMXLPSA-N 1 2 291.354 3.708 20 0 CHADLO C[C@H](CO)Nc1ccc2c(Cl)c3c([nH+]c2c1)CCCC3 ZINC000568338843 334816124 /nfs/dbraw/zinc/81/61/24/334816124.db2.gz DNWMWLDOUNCNIM-SNVBAGLBSA-N 1 2 290.794 3.560 20 0 CHADLO CCc1cccc(N(C)C(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000568410386 334823620 /nfs/dbraw/zinc/82/36/20/334823620.db2.gz LBSHXUYSGBWIIU-UHFFFAOYSA-N 1 2 283.375 3.929 20 0 CHADLO c1[nH]cc(NCC2CCC(c3ccccc3)CC2)[nH+]1 ZINC000568810148 334841802 /nfs/dbraw/zinc/84/18/02/334841802.db2.gz JCOOZBAFXMKEQF-UHFFFAOYSA-N 1 2 255.365 3.796 20 0 CHADLO COC(=O)CCC[C@H]1CCC[C@H](Nc2ccc(C)[nH+]c2)C1 ZINC000572861908 334885488 /nfs/dbraw/zinc/88/54/88/334885488.db2.gz GEXMECLLGPEPBK-CABCVRRESA-N 1 2 290.407 3.704 20 0 CHADLO c1cc(NCC[C@H]2CCOC2)cc([NH+]2CCCCCC2)c1 ZINC000576301570 335186713 /nfs/dbraw/zinc/18/67/13/335186713.db2.gz IYMMTNGKXPCCPF-INIZCTEOSA-N 1 2 288.435 3.906 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(C(C)(C)C)cs2)CCC1(F)F ZINC000576434762 335202942 /nfs/dbraw/zinc/20/29/42/335202942.db2.gz MSQMFQLFDJWGOQ-SNVBAGLBSA-N 1 2 288.407 3.918 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(C(C)(C)C)cs2)CCC1(F)F ZINC000576434762 335202943 /nfs/dbraw/zinc/20/29/43/335202943.db2.gz MSQMFQLFDJWGOQ-SNVBAGLBSA-N 1 2 288.407 3.918 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3cc(F)ccc32)C[C@@H](C)S1 ZINC000576699932 335236379 /nfs/dbraw/zinc/23/63/79/335236379.db2.gz XRNWBXVGGIIIPK-GHMZBOCLSA-N 1 2 276.380 3.704 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](CC)c2ccc(C)cc2)n1 ZINC000192520951 335272087 /nfs/dbraw/zinc/27/20/87/335272087.db2.gz BFURXGRPGNDRBD-AWEZNQCLSA-N 1 2 273.380 3.571 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(C(C)C)no1)c1ccccc1 ZINC000193279142 335274387 /nfs/dbraw/zinc/27/43/87/335274387.db2.gz VGKKOURCKHEIMC-CQSZACIVSA-N 1 2 273.380 3.824 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](CCC)c2ccccc2)n1 ZINC000192543287 335276361 /nfs/dbraw/zinc/27/63/61/335276361.db2.gz WMMYWUGJOWWQMO-AWEZNQCLSA-N 1 2 273.380 3.653 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1cc(F)ccc1F ZINC000345350589 335316861 /nfs/dbraw/zinc/31/68/61/335316861.db2.gz IARNHTFMKBPCDU-ISTVAULSSA-N 1 2 277.318 3.518 20 0 CHADLO Clc1ccc2c(c1)[C@H]([N@@H+]1Cc3cccnc3C1)CC2 ZINC000577385575 335346140 /nfs/dbraw/zinc/34/61/40/335346140.db2.gz HFRDETMWJDVZKM-MRXNPFEDSA-N 1 2 270.763 3.738 20 0 CHADLO Clc1ccc2c(c1)[C@H]([N@H+]1Cc3cccnc3C1)CC2 ZINC000577385575 335346141 /nfs/dbraw/zinc/34/61/41/335346141.db2.gz HFRDETMWJDVZKM-MRXNPFEDSA-N 1 2 270.763 3.738 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(C2CCC2)s1)c1cscn1 ZINC000577627968 335382062 /nfs/dbraw/zinc/38/20/62/335382062.db2.gz NBPHACKETBENDY-SECBINFHSA-N 1 2 279.434 3.718 20 0 CHADLO C[C@H]1CC[C@H](C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CC1 ZINC000577640533 335384690 /nfs/dbraw/zinc/38/46/90/335384690.db2.gz MYQSSDKTFNMZKY-JOCQHMNTSA-N 1 2 283.375 3.842 20 0 CHADLO CC[C@H](C)CCc1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000577658848 335386791 /nfs/dbraw/zinc/38/67/91/335386791.db2.gz BLCZYFXOGCFYOD-LBPRGKRZSA-N 1 2 298.390 3.595 20 0 CHADLO c1c[nH+]c(COCCCOc2cccc3ccccc32)[nH]1 ZINC000180053383 335545313 /nfs/dbraw/zinc/54/53/13/335545313.db2.gz LKCITPVIMJKBHX-UHFFFAOYSA-N 1 2 282.343 3.549 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(CSCCC(C)C)n1 ZINC000351240654 335586482 /nfs/dbraw/zinc/58/64/82/335586482.db2.gz XOJAULLLCIDDMW-UHFFFAOYSA-N 1 2 291.420 3.643 20 0 CHADLO CC(C)c1ccccc1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000579478982 335769750 /nfs/dbraw/zinc/76/97/50/335769750.db2.gz GXEHJFOQRBNYFR-CYBMUJFWSA-N 1 2 271.364 3.596 20 0 CHADLO C[C@H](Nc1ccc(CC(F)(F)F)cc1)c1[nH+]ccn1C ZINC000182264741 335806957 /nfs/dbraw/zinc/80/69/57/335806957.db2.gz MJDVGXSGAGTXSA-JTQLQIEISA-N 1 2 283.297 3.698 20 0 CHADLO Cc1ccc2[nH+]c(CNc3c(C)cccc3F)cn2c1 ZINC000182744915 335840027 /nfs/dbraw/zinc/84/00/27/335840027.db2.gz JWFAHDDSJPNPCT-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1nc(-c2cccc(N[C@@H](C)c3[nH+]ccn3C)c2)oc1C ZINC000183016507 335856453 /nfs/dbraw/zinc/85/64/53/335856453.db2.gz AVBAABNWNNAQSR-LBPRGKRZSA-N 1 2 296.374 3.865 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+][C@@H](C)c1cccc(F)c1F ZINC000183271357 335884307 /nfs/dbraw/zinc/88/43/07/335884307.db2.gz IODDGBYCQMQGCR-LBPRGKRZSA-N 1 2 293.361 3.668 20 0 CHADLO Cc1cc(NC(=O)Cc2cccc(Cl)c2Cl)cc[nH+]1 ZINC000194103601 336001746 /nfs/dbraw/zinc/00/17/46/336001746.db2.gz CNKLGLBCSRQSGH-UHFFFAOYSA-N 1 2 295.169 3.878 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+]Cc1ccsc1Cl)CO2 ZINC000581226111 336026926 /nfs/dbraw/zinc/02/69/26/336026926.db2.gz PDTHVDJFZCCMQN-GFCCVEGCSA-N 1 2 279.792 3.933 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3cc(F)ccc32)CC2(CCC2)O1 ZINC000581307034 336044511 /nfs/dbraw/zinc/04/45/11/336044511.db2.gz BGHXUYUSOMIZBK-LBPRGKRZSA-N 1 2 286.350 3.522 20 0 CHADLO C[N@H+](Cc1sccc1Cl)Cc1cccc(O)c1 ZINC000581501417 336091245 /nfs/dbraw/zinc/09/12/45/336091245.db2.gz WRGSDQUVFIPHQR-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO C[N@@H+](Cc1sccc1Cl)Cc1cccc(O)c1 ZINC000581501417 336091247 /nfs/dbraw/zinc/09/12/47/336091247.db2.gz WRGSDQUVFIPHQR-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO CSCC1CCC(Nc2c[nH+]cc(C)c2)CC1 ZINC000385521804 336150825 /nfs/dbraw/zinc/15/08/25/336150825.db2.gz ILSHUPRVDVTQPG-UHFFFAOYSA-N 1 2 250.411 3.724 20 0 CHADLO CC(C)[N@H+](Cc1ccc(Br)cc1)CC(F)F ZINC000386808458 336179798 /nfs/dbraw/zinc/17/97/98/336179798.db2.gz PHUILUAVBBDYLI-UHFFFAOYSA-N 1 2 292.167 3.925 20 0 CHADLO CC(C)[N@@H+](Cc1ccc(Br)cc1)CC(F)F ZINC000386808458 336179797 /nfs/dbraw/zinc/17/97/97/336179797.db2.gz PHUILUAVBBDYLI-UHFFFAOYSA-N 1 2 292.167 3.925 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)Cc2ccc(Cl)s2)n1 ZINC000265353070 519996614 /nfs/dbraw/zinc/99/66/14/519996614.db2.gz UGKGLVRAMICBOM-UHFFFAOYSA-N 1 2 283.828 3.811 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)Cc2ccc(Cl)s2)n1 ZINC000265353070 519996624 /nfs/dbraw/zinc/99/66/24/519996624.db2.gz UGKGLVRAMICBOM-UHFFFAOYSA-N 1 2 283.828 3.811 20 0 CHADLO CS[C@@H](CNC(=O)Nc1c(C)cc[nH+]c1C)C(C)(C)C ZINC000351929560 533926102 /nfs/dbraw/zinc/92/61/02/533926102.db2.gz AMHFQAURSBZXKI-LBPRGKRZSA-N 1 2 295.452 3.598 20 0 CHADLO C[C@H]([NH2+]Cc1nccs1)c1nccc2ccccc21 ZINC000353385835 533946253 /nfs/dbraw/zinc/94/62/53/533946253.db2.gz MTWPSZGPAYSCEW-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2c(Cl)cccc21)c1csnn1 ZINC000334846005 533986112 /nfs/dbraw/zinc/98/61/12/533986112.db2.gz DWUGMEYRFBQPFO-TVQRCGJNSA-N 1 2 293.823 3.920 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000356083139 533989985 /nfs/dbraw/zinc/98/99/85/533989985.db2.gz JFYLSHNEDNZLNK-LBPRGKRZSA-N 1 2 269.348 3.657 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000356083139 533989990 /nfs/dbraw/zinc/98/99/90/533989990.db2.gz JFYLSHNEDNZLNK-LBPRGKRZSA-N 1 2 269.348 3.657 20 0 CHADLO C[C@@H]1CCN(c2[nH+]ccc3ccc(F)cc32)C[C@H]1C ZINC000354872365 534061379 /nfs/dbraw/zinc/06/13/79/534061379.db2.gz AALWIAXOCXQNIU-VXGBXAGGSA-N 1 2 258.340 3.856 20 0 CHADLO C[C@H]1Cc2ccccc2[C@@H]1Nc1cc[nH+]c(C2CC2)n1 ZINC000112558638 534116241 /nfs/dbraw/zinc/11/62/41/534116241.db2.gz UXNNSWZQWJNJOB-MEDUHNTESA-N 1 2 265.360 3.699 20 0 CHADLO Cc1ccc(-c2ncc(C[N@@H+]3CCC[C@H]3CF)s2)o1 ZINC000354522429 534216918 /nfs/dbraw/zinc/21/69/18/534216918.db2.gz RAFGMQQGMVVIDZ-NSHDSACASA-N 1 2 280.368 3.645 20 0 CHADLO Cc1ccc(-c2ncc(C[N@H+]3CCC[C@H]3CF)s2)o1 ZINC000354522429 534216919 /nfs/dbraw/zinc/21/69/19/534216919.db2.gz RAFGMQQGMVVIDZ-NSHDSACASA-N 1 2 280.368 3.645 20 0 CHADLO CC(C)c1cc(N2CC(C(F)(F)F)C2)nc(C(C)C)[nH+]1 ZINC000568680646 517484724 /nfs/dbraw/zinc/48/47/24/517484724.db2.gz MUWTZSVYGQCMLG-UHFFFAOYSA-N 1 2 287.329 3.722 20 0 CHADLO CC[C@H](CC(=O)NCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC000421576479 517812114 /nfs/dbraw/zinc/81/21/14/517812114.db2.gz MTORVTBIFVNREH-QGZVFWFLSA-N 1 2 296.414 3.899 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N(C)[C@@H]1CCC(C)(C)C1 ZINC000336535152 534387120 /nfs/dbraw/zinc/38/71/20/534387120.db2.gz ZPGZALHFCJXLCP-CYBMUJFWSA-N 1 2 275.396 3.741 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+]Cc2ccccc2F)s1 ZINC000279218516 519071594 /nfs/dbraw/zinc/07/15/94/519071594.db2.gz WYDVTAJWACOCGF-UHFFFAOYSA-N 1 2 278.396 3.870 20 0 CHADLO CC(C)(C)c1nnc(C[N@@H+]2CCC[C@@H]2c2ccsc2)[nH]1 ZINC000292628019 519097285 /nfs/dbraw/zinc/09/72/85/519097285.db2.gz NHVAOWMJSSBYTK-GFCCVEGCSA-N 1 2 290.436 3.501 20 0 CHADLO CC(C)(C)c1nnc(C[N@H+]2CCC[C@@H]2c2ccsc2)[nH]1 ZINC000292628019 519097293 /nfs/dbraw/zinc/09/72/93/519097293.db2.gz NHVAOWMJSSBYTK-GFCCVEGCSA-N 1 2 290.436 3.501 20 0 CHADLO CC(C)(C)c1noc(C[NH2+][C@@H](c2ccccc2)C2CCC2)n1 ZINC000104697491 519134215 /nfs/dbraw/zinc/13/42/15/519134215.db2.gz ZKJVNMPPWGYIFO-INIZCTEOSA-N 1 2 299.418 3.998 20 0 CHADLO Cc1cc[nH+]c(N[C@@H]2CCO[C@]3(CCSC3)C2)c1Cl ZINC000334601638 534405391 /nfs/dbraw/zinc/40/53/91/534405391.db2.gz KLGFWCFEPCDOGK-BXUZGUMPSA-N 1 2 298.839 3.510 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@@H](c2ccccc2Cl)C(C)C)n1 ZINC000113256781 534406099 /nfs/dbraw/zinc/40/60/99/534406099.db2.gz HTZPGQKCUNQYHH-OAHLLOKOSA-N 1 2 293.798 3.861 20 0 CHADLO Cc1noc(C[N@H+](C)[C@@H](c2ccccc2Cl)C(C)C)n1 ZINC000113256781 534406105 /nfs/dbraw/zinc/40/61/05/534406105.db2.gz HTZPGQKCUNQYHH-OAHLLOKOSA-N 1 2 293.798 3.861 20 0 CHADLO CC(C)N(C)c1ccc(CNc2ncccc2Cl)c[nH+]1 ZINC000280946601 519632868 /nfs/dbraw/zinc/63/28/68/519632868.db2.gz IJKHGKNBONECOZ-UHFFFAOYSA-N 1 2 290.798 3.587 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1c(Cl)cnn1C ZINC000275774663 519662031 /nfs/dbraw/zinc/66/20/31/519662031.db2.gz WOWKHAWKULOYCJ-UHFFFAOYSA-N 1 2 283.828 3.546 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1c(Cl)cnn1C ZINC000275774663 519662033 /nfs/dbraw/zinc/66/20/33/519662033.db2.gz WOWKHAWKULOYCJ-UHFFFAOYSA-N 1 2 283.828 3.546 20 0 CHADLO CC(C)[C@H](NC(=O)c1csc2ccccc12)c1[nH]cc[nH+]1 ZINC000276711004 519820537 /nfs/dbraw/zinc/82/05/37/519820537.db2.gz ZOZYFIAONSWLIB-AWEZNQCLSA-N 1 2 299.399 3.752 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H](C)c2ccco2)n1 ZINC000128641093 519855105 /nfs/dbraw/zinc/85/51/05/519855105.db2.gz HIGDGAAHXPVFEA-SNVBAGLBSA-N 1 2 250.367 3.710 20 0 CHADLO CC(C)c1nc(N[C@H](CCCO)c2ccccc2)cc[nH+]1 ZINC000156878960 519878374 /nfs/dbraw/zinc/87/83/74/519878374.db2.gz VGXAKTSNKJSOSE-OAHLLOKOSA-N 1 2 285.391 3.526 20 0 CHADLO CC(C)c1noc(C[N@H+]([C@@H](C)c2ccccc2)C2CC2)n1 ZINC000299388903 519902774 /nfs/dbraw/zinc/90/27/74/519902774.db2.gz QPWGEWFKAQOLIS-ZDUSSCGKSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)c1noc(C[N@@H+]([C@@H](C)c2ccccc2)C2CC2)n1 ZINC000299388903 519902777 /nfs/dbraw/zinc/90/27/77/519902777.db2.gz QPWGEWFKAQOLIS-ZDUSSCGKSA-N 1 2 285.391 3.919 20 0 CHADLO CC(C)n1cc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)nn1 ZINC000289655253 519958394 /nfs/dbraw/zinc/95/83/94/519958394.db2.gz HXLHFFPIKAAHOQ-SNVBAGLBSA-N 1 2 296.777 3.502 20 0 CHADLO CC(C)n1ccnc1SCc1ccc(-n2cc[nH+]c2)cc1 ZINC000276636691 520036346 /nfs/dbraw/zinc/03/63/46/520036346.db2.gz YKQIYEHAFUWTLZ-UHFFFAOYSA-N 1 2 298.415 3.942 20 0 CHADLO CC(C)n1cnnc1C[N@H+](C)Cc1cccc2ccccc21 ZINC000292338988 520057054 /nfs/dbraw/zinc/05/70/54/520057054.db2.gz GPBNOGCGFPUOQP-UHFFFAOYSA-N 1 2 294.402 3.644 20 0 CHADLO CC(C)n1cnnc1C[N@@H+](C)Cc1cccc2ccccc21 ZINC000292338988 520057065 /nfs/dbraw/zinc/05/70/65/520057065.db2.gz GPBNOGCGFPUOQP-UHFFFAOYSA-N 1 2 294.402 3.644 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000337243387 520096842 /nfs/dbraw/zinc/09/68/42/520096842.db2.gz NUNZTGKJYVNFFB-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000337243387 520096852 /nfs/dbraw/zinc/09/68/52/520096852.db2.gz NUNZTGKJYVNFFB-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO Fc1ccc(C[NH2+]Cc2csc(-c3ccccc3)n2)nc1 ZINC000344908655 534467805 /nfs/dbraw/zinc/46/78/05/534467805.db2.gz QWKBCDXCIJWEBN-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO CC(C)n1nccc1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000279971388 520110782 /nfs/dbraw/zinc/11/07/82/520110782.db2.gz PUERVBJQGHFRCW-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO CC1(C)CC(CC(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000339903330 520281802 /nfs/dbraw/zinc/28/18/02/520281802.db2.gz TWSHBXWEDMJJTJ-UHFFFAOYSA-N 1 2 283.375 3.842 20 0 CHADLO CC1(C)C[N@H+](Cc2cncs2)[C@@H]1c1cccs1 ZINC000290144831 520486374 /nfs/dbraw/zinc/48/63/74/520486374.db2.gz KRNCHEMVAOXKKF-GFCCVEGCSA-N 1 2 264.419 3.788 20 0 CHADLO CC1(C)C[N@@H+](Cc2cncs2)[C@@H]1c1cccs1 ZINC000290144831 520486382 /nfs/dbraw/zinc/48/63/82/520486382.db2.gz KRNCHEMVAOXKKF-GFCCVEGCSA-N 1 2 264.419 3.788 20 0 CHADLO Fc1ccc2cc[nH+]c(NC[C@@H]3CCCS3)c2c1 ZINC000354537322 534508863 /nfs/dbraw/zinc/50/88/63/534508863.db2.gz JZNHWRKMGWNAGT-LBPRGKRZSA-N 1 2 262.353 3.681 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3CCC[C@H]4OCC[C@H]43)c2c1 ZINC000354841804 534509050 /nfs/dbraw/zinc/50/90/50/534509050.db2.gz HCARHLMCQRQEQJ-NUEKZKHPSA-N 1 2 286.350 3.743 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3CCC[C@H]4OCC[C@@H]43)c2c1 ZINC000354841802 534509380 /nfs/dbraw/zinc/50/93/80/534509380.db2.gz HCARHLMCQRQEQJ-FVQBIDKESA-N 1 2 286.350 3.743 20 0 CHADLO CCCCOC1CC[NH+](Cc2ncc(C(C)(C)C)o2)CC1 ZINC000336840500 520731352 /nfs/dbraw/zinc/73/13/52/520731352.db2.gz MIEHVZGATBAJAN-UHFFFAOYSA-N 1 2 294.439 3.753 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2COc3ccc(Cl)cc32)cs1 ZINC000336618363 534545634 /nfs/dbraw/zinc/54/56/34/534545634.db2.gz FWDNBYXIUDHPOT-PELKAZGASA-N 1 2 294.807 3.889 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@H](C(F)(F)F)C3(CCC3)C2)o1 ZINC000334878093 534550628 /nfs/dbraw/zinc/55/06/28/534550628.db2.gz WLBBMCBSYMTWLF-NSHDSACASA-N 1 2 288.313 3.538 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@H](C(F)(F)F)C3(CCC3)C2)o1 ZINC000334878093 534550635 /nfs/dbraw/zinc/55/06/35/534550635.db2.gz WLBBMCBSYMTWLF-NSHDSACASA-N 1 2 288.313 3.538 20 0 CHADLO CCCN(C(=O)CCn1cc[nH+]c1)[C@@H](CC)c1ccccc1 ZINC000299677049 521419278 /nfs/dbraw/zinc/41/92/78/521419278.db2.gz QTXAGQRCCPWKNQ-KRWDZBQOSA-N 1 2 299.418 3.663 20 0 CHADLO CC[N@H+](Cc1nc(C)c(C)o1)[C@H](C)c1cccc(O)c1 ZINC000080933084 521447809 /nfs/dbraw/zinc/44/78/09/521447809.db2.gz RYHRGBXGNIBZIE-GFCCVEGCSA-N 1 2 274.364 3.580 20 0 CHADLO CC[N@@H+](Cc1nc(C)c(C)o1)[C@H](C)c1cccc(O)c1 ZINC000080933084 521447814 /nfs/dbraw/zinc/44/78/14/521447814.db2.gz RYHRGBXGNIBZIE-GFCCVEGCSA-N 1 2 274.364 3.580 20 0 CHADLO CCCc1nc(C[N@H+](C)[C@H](C)c2ccncc2)cs1 ZINC000127544974 521505076 /nfs/dbraw/zinc/50/50/76/521505076.db2.gz MMPPAEMPORFMJB-GFCCVEGCSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1nc(C[N@@H+](C)[C@H](C)c2ccncc2)cs1 ZINC000127544974 521505083 /nfs/dbraw/zinc/50/50/83/521505083.db2.gz MMPPAEMPORFMJB-GFCCVEGCSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1nc(C[N@H+](C)[C@@H](C)c2ccncc2)cs1 ZINC000127544725 521505424 /nfs/dbraw/zinc/50/54/24/521505424.db2.gz MMPPAEMPORFMJB-LBPRGKRZSA-N 1 2 275.421 3.684 20 0 CHADLO CCCc1nc(C[N@@H+](C)[C@@H](C)c2ccncc2)cs1 ZINC000127544725 521505429 /nfs/dbraw/zinc/50/54/29/521505429.db2.gz MMPPAEMPORFMJB-LBPRGKRZSA-N 1 2 275.421 3.684 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2occc2C)on1 ZINC000266160747 521633876 /nfs/dbraw/zinc/63/38/76/521633876.db2.gz NMIYVCOMRDCBSN-UHFFFAOYSA-N 1 2 262.353 3.769 20 0 CHADLO CCC[N@H+](Cc1nc(C(C)C)no1)Cc1ccc(F)cc1 ZINC000299408421 521692596 /nfs/dbraw/zinc/69/25/96/521692596.db2.gz YTMAPFFLEQRXCG-UHFFFAOYSA-N 1 2 291.370 3.744 20 0 CHADLO CCC[N@@H+](Cc1nc(C(C)C)no1)Cc1ccc(F)cc1 ZINC000299408421 521692598 /nfs/dbraw/zinc/69/25/98/521692598.db2.gz YTMAPFFLEQRXCG-UHFFFAOYSA-N 1 2 291.370 3.744 20 0 CHADLO CCS[C@H]1CCCC[C@H]1NC(=O)Nc1cc[nH+]cc1C ZINC000121875884 521730910 /nfs/dbraw/zinc/73/09/10/521730910.db2.gz GNSCBLRZSYZSRP-KGLIPLIRSA-N 1 2 293.436 3.576 20 0 CHADLO CCS[C@H]1CCC[C@H](NC(=O)Nc2cc[nH+]c(C)c2)C1 ZINC000119701495 521731827 /nfs/dbraw/zinc/73/18/27/521731827.db2.gz GYMGEAWHTHABOP-JSGCOSHPSA-N 1 2 293.436 3.576 20 0 CHADLO CCSc1cc(C[NH2+]Cc2csc(CC)n2)ccn1 ZINC000275034648 521734061 /nfs/dbraw/zinc/73/40/61/521734061.db2.gz DIYUUAQYIHYDOA-UHFFFAOYSA-N 1 2 293.461 3.502 20 0 CHADLO COc1c2ccccc2oc1C[NH2+][C@@H](C)c1ccco1 ZINC000353348060 521892972 /nfs/dbraw/zinc/89/29/72/521892972.db2.gz WVHZISLOTFTDBR-NSHDSACASA-N 1 2 271.316 3.885 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1ccccc1OCC1CC1 ZINC000265225804 522082823 /nfs/dbraw/zinc/08/28/23/522082823.db2.gz OKVWDBLKHJMLJV-UHFFFAOYSA-N 1 2 296.370 3.685 20 0 CHADLO CCc1nc(C)c(C[NH2+]Cc2cccc(Cl)c2F)o1 ZINC000291760176 522204621 /nfs/dbraw/zinc/20/46/21/522204621.db2.gz MOKBOSYIZLQUTF-UHFFFAOYSA-N 1 2 282.746 3.628 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](C)c2csc(C(C)C)n2)o1 ZINC000292020659 522209427 /nfs/dbraw/zinc/20/94/27/522209427.db2.gz ZRRRHEIIEVDKGQ-SNVBAGLBSA-N 1 2 293.436 3.976 20 0 CHADLO CCc1nc(C[NH2+][C@H](c2ccc(Cl)cc2)C(C)C)no1 ZINC000264677099 522356608 /nfs/dbraw/zinc/35/66/08/522356608.db2.gz BEQWWMQJFWTADH-HNNXBMFYSA-N 1 2 293.798 3.772 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1cc(C)oc1C)c1ccc(C)o1 ZINC000278387442 522370791 /nfs/dbraw/zinc/37/07/91/522370791.db2.gz DKWZFNZPXUUCSN-SWLSCSKDSA-N 1 2 277.364 3.836 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1cscn1)c1cccc(Cl)c1 ZINC000290236382 522380395 /nfs/dbraw/zinc/38/03/95/522380395.db2.gz IYKLLRYBSXNQTD-ZWNOBZJWSA-N 1 2 296.823 3.835 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc(Cl)c(F)c1)c1ccco1 ZINC000080239319 522746950 /nfs/dbraw/zinc/74/69/50/522746950.db2.gz KPTPOZGWFODWNW-ZDUSSCGKSA-N 1 2 283.730 3.549 20 0 CHADLO CCc1nnc(C[NH2+][C@H](c2ccccc2)C(C)(C)C)o1 ZINC000104675740 522747591 /nfs/dbraw/zinc/74/75/91/522747591.db2.gz FNFMRGWEKMRJDN-OAHLLOKOSA-N 1 2 273.380 3.509 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CCCCC3(F)F)cc2[nH+]1 ZINC000332649777 534663891 /nfs/dbraw/zinc/66/38/91/534663891.db2.gz UHUSPOSQBSXZSA-NSHDSACASA-N 1 2 293.317 3.635 20 0 CHADLO CC[C@H]([NH2+]c1ccc(OCc2noc(C)n2)cc1)C1CC1 ZINC000272234230 522770159 /nfs/dbraw/zinc/77/01/59/522770159.db2.gz IIZHIIYLFZTMPP-HNNXBMFYSA-N 1 2 287.363 3.558 20 0 CHADLO CCc1noc(C)c1CNc1ccc2ccccc2[nH+]1 ZINC000292654585 522848144 /nfs/dbraw/zinc/84/81/44/522848144.db2.gz BYLVLONXEROAAO-UHFFFAOYSA-N 1 2 267.332 3.706 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1noc(C)n1)c1ccc(Cl)s1 ZINC000289569865 522917373 /nfs/dbraw/zinc/91/73/73/522917373.db2.gz QIEFNXJQCHVPHA-IONNQARKSA-N 1 2 285.800 3.895 20 0 CHADLO CCc1nocc1C[NH2+]Cc1cc(Cl)sc1Cl ZINC000339334272 522947617 /nfs/dbraw/zinc/94/76/17/522947617.db2.gz IYYJZLLAZXEPLU-UHFFFAOYSA-N 1 2 291.203 3.895 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1cc(-c2ccco2)on1 ZINC000299716649 523120132 /nfs/dbraw/zinc/12/01/32/523120132.db2.gz RLQNFLBNJYSJSR-HNNXBMFYSA-N 1 2 297.358 3.913 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1cc(-c2ccco2)on1 ZINC000299716649 523120143 /nfs/dbraw/zinc/12/01/43/523120143.db2.gz RLQNFLBNJYSJSR-HNNXBMFYSA-N 1 2 297.358 3.913 20 0 CHADLO C[N@@H+](Cc1cc(C(C)(C)C)on1)Cc1cccs1 ZINC000289695676 523167413 /nfs/dbraw/zinc/16/74/13/523167413.db2.gz FEDTZSXJMHBEAY-UHFFFAOYSA-N 1 2 264.394 3.666 20 0 CHADLO C[N@H+](Cc1cc(C(C)(C)C)on1)Cc1cccs1 ZINC000289695676 523167421 /nfs/dbraw/zinc/16/74/21/523167421.db2.gz FEDTZSXJMHBEAY-UHFFFAOYSA-N 1 2 264.394 3.666 20 0 CHADLO CO[C@@H](C)[C@H](C)Nc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000367965443 523445099 /nfs/dbraw/zinc/44/50/99/523445099.db2.gz XJMLDOPVLOBLOE-KBPBESRZSA-N 1 2 299.418 3.722 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2csc(-c3ccco3)n2)CCS1 ZINC000076073064 523457414 /nfs/dbraw/zinc/45/74/14/523457414.db2.gz PDRNHZKSIVPMIU-GFCCVEGCSA-N 1 2 294.445 3.731 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2csc(-c3ccco3)n2)CCS1 ZINC000076073064 523457422 /nfs/dbraw/zinc/45/74/22/523457422.db2.gz PDRNHZKSIVPMIU-GFCCVEGCSA-N 1 2 294.445 3.731 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@H]2CCCc3occc32)cs1 ZINC000278747767 523474509 /nfs/dbraw/zinc/47/45/09/523474509.db2.gz NSTNCBVRQVVBHO-GWCFXTLKSA-N 1 2 292.404 3.611 20 0 CHADLO COCCC[C@H](C)C(=O)OCc1ccc(C(C)C)[nH+]c1C ZINC000293165242 523488157 /nfs/dbraw/zinc/48/81/57/523488157.db2.gz VDDAFIPVJJYUFM-ZDUSSCGKSA-N 1 2 293.407 3.619 20 0 CHADLO CC[C@@H]1C[C@H]1NC(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000281084254 523584612 /nfs/dbraw/zinc/58/46/12/523584612.db2.gz PKJMWITUNIFTLW-MLGOLLRUSA-N 1 2 297.358 3.794 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2noc(C)n2)o1 ZINC000150727007 524053361 /nfs/dbraw/zinc/05/33/61/524053361.db2.gz QIJPSECSEQUDHU-CQSZACIVSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@H+]2Cc2noc(C)n2)o1 ZINC000150727007 524053362 /nfs/dbraw/zinc/05/33/62/524053362.db2.gz QIJPSECSEQUDHU-CQSZACIVSA-N 1 2 289.379 3.651 20 0 CHADLO Cc1ccc2oc(N[C@@H](c3[nH]cc[nH+]3)C(C)C)nc2c1 ZINC000291073174 524096572 /nfs/dbraw/zinc/09/65/72/524096572.db2.gz HGMRASBROMPVEP-CYBMUJFWSA-N 1 2 270.336 3.669 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1cnc(C)s1 ZINC000047258981 524205634 /nfs/dbraw/zinc/20/56/34/524205634.db2.gz OAPMCCLFFJGAEY-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1cnc(C)s1 ZINC000047258981 524205643 /nfs/dbraw/zinc/20/56/43/524205643.db2.gz OAPMCCLFFJGAEY-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(F)c(C[NH2+]Cc2nc(C(C)C)cs2)c1 ZINC000293399987 524278732 /nfs/dbraw/zinc/27/87/32/524278732.db2.gz XZVVATBSHXSJAK-UHFFFAOYSA-N 1 2 294.395 3.704 20 0 CHADLO Cc1cccc(CC[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)c1 ZINC000292135621 524362459 /nfs/dbraw/zinc/36/24/59/524362459.db2.gz LXWUJQBWTLOHIP-KRWDZBQOSA-N 1 2 298.434 3.567 20 0 CHADLO COc1ccccc1C[N@H+](Cc1nc(C)cs1)C1CC1 ZINC000081666544 524443653 /nfs/dbraw/zinc/44/36/53/524443653.db2.gz IPSPVRILUBWYOA-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO COc1ccccc1C[N@@H+](Cc1nc(C)cs1)C1CC1 ZINC000081666544 524443659 /nfs/dbraw/zinc/44/36/59/524443659.db2.gz IPSPVRILUBWYOA-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO C[C@H]1C[N@H+](Cc2coc(-c3cccs3)n2)CCC1(F)F ZINC000289811194 524643115 /nfs/dbraw/zinc/64/31/15/524643115.db2.gz NLQPKFFSPKUCSW-JTQLQIEISA-N 1 2 298.358 3.880 20 0 CHADLO C[C@H]1C[N@@H+](Cc2coc(-c3cccs3)n2)CCC1(F)F ZINC000289811194 524643126 /nfs/dbraw/zinc/64/31/26/524643126.db2.gz NLQPKFFSPKUCSW-JTQLQIEISA-N 1 2 298.358 3.880 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)ncn1 ZINC000292003632 524668068 /nfs/dbraw/zinc/66/80/68/524668068.db2.gz CBXBMQNWPMGGHO-YVEFUNNKSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)ncn1 ZINC000292003632 524668079 /nfs/dbraw/zinc/66/80/79/524668079.db2.gz CBXBMQNWPMGGHO-YVEFUNNKSA-N 1 2 297.402 3.666 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCOC3(CCC3)C2)c(C)c1 ZINC000094372306 524704903 /nfs/dbraw/zinc/70/49/03/524704903.db2.gz GBUIIMHWXHCAQS-CYBMUJFWSA-N 1 2 261.365 3.517 20 0 CHADLO COc1ccc([NH2+][C@@H]2C[C@@H](C)CC[C@H]2C)cc1NC(C)=O ZINC000294704699 524705154 /nfs/dbraw/zinc/70/51/54/524705154.db2.gz FGOQNOOMDYFSPO-YWPYICTPSA-N 1 2 290.407 3.890 20 0 CHADLO COc1ccc([NH2+][C@H]2CCOC3(CCC3)C2)c(C)c1 ZINC000094372307 524711734 /nfs/dbraw/zinc/71/17/34/524711734.db2.gz GBUIIMHWXHCAQS-ZDUSSCGKSA-N 1 2 261.365 3.517 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)no1 ZINC000044693015 524765555 /nfs/dbraw/zinc/76/55/55/524765555.db2.gz HGZQGMGFZBUVKQ-VIFPVBQESA-N 1 2 268.719 3.626 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccccc2Br)on1 ZINC000044299378 524767300 /nfs/dbraw/zinc/76/73/00/524767300.db2.gz QOSCPIXKTFIFGX-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1nnc(C(C)C)s1 ZINC000269129480 524779970 /nfs/dbraw/zinc/77/99/70/524779970.db2.gz ZGWQIVRVBPPAMN-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@H](C)c1nccs1 ZINC000080267323 524784265 /nfs/dbraw/zinc/78/42/65/524784265.db2.gz AUZOVMMBMHQMQB-WDEREUQCSA-N 1 2 262.378 3.564 20 0 CHADLO COc1cc[nH+]cc1CSC[C@H](OC)c1ccccc1 ZINC000289434319 524860962 /nfs/dbraw/zinc/86/09/62/524860962.db2.gz RNUTWEWGERYMDI-INIZCTEOSA-N 1 2 289.400 3.711 20 0 CHADLO Cc1cccc2[nH+]c(CNc3cc(F)ccc3F)cn21 ZINC000157264704 524989443 /nfs/dbraw/zinc/98/94/43/524989443.db2.gz SSKNTJFAFCKFIC-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)nc2Cl)CCC1(F)F ZINC000289824698 524993905 /nfs/dbraw/zinc/99/39/05/524993905.db2.gz OIKBFFRADCKLJN-MRVPVSSYSA-N 1 2 295.160 3.866 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)nc2Cl)CCC1(F)F ZINC000289824698 524993913 /nfs/dbraw/zinc/99/39/13/524993913.db2.gz OIKBFFRADCKLJN-MRVPVSSYSA-N 1 2 295.160 3.866 20 0 CHADLO Cc1cc(N2CCC[C@@H](C3CC3)C2)nc(C(C)C)[nH+]1 ZINC000340779536 525066943 /nfs/dbraw/zinc/06/69/43/525066943.db2.gz MPAPNWDEQJNIIJ-CQSZACIVSA-N 1 2 259.397 3.535 20 0 CHADLO C[C@H]1Oc2ccccc2[C@H]1[NH2+]Cc1cccc(O)c1Cl ZINC000293119830 525069869 /nfs/dbraw/zinc/06/98/69/525069869.db2.gz ZCGXJPYFPFGAQJ-HWPZZCPQSA-N 1 2 289.762 3.657 20 0 CHADLO Cc1ccccc1C1(C(=O)Nc2cc[nH+]cc2C)CCC1 ZINC000276654008 525077923 /nfs/dbraw/zinc/07/79/23/525077923.db2.gz MROYPVAVFNIARO-UHFFFAOYSA-N 1 2 280.371 3.759 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1nc(CC(C)C)no1)C1CC1 ZINC000339419475 525133405 /nfs/dbraw/zinc/13/34/05/525133405.db2.gz AXRAEKSDFVTTBN-UHFFFAOYSA-N 1 2 299.418 3.741 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1nc(CC(C)C)no1)C1CC1 ZINC000339419475 525133410 /nfs/dbraw/zinc/13/34/10/525133410.db2.gz AXRAEKSDFVTTBN-UHFFFAOYSA-N 1 2 299.418 3.741 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)c2nc(C(C)C)no2)cc1 ZINC000299392710 525163561 /nfs/dbraw/zinc/16/35/61/525163561.db2.gz KDCXLCZVGWPUIO-VXGBXAGGSA-N 1 2 289.379 3.613 20 0 CHADLO COc1ccc([C@@H](C)Nc2cc[nH+]c(C3CC3)n2)cc1 ZINC000264734165 525165461 /nfs/dbraw/zinc/16/54/61/525165461.db2.gz XMJGQSDMLSANHX-LLVKDONJSA-N 1 2 269.348 3.536 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](OC(C)C)c2ccccc2)c(C)[nH+]1 ZINC000292407569 525197150 /nfs/dbraw/zinc/19/71/50/525197150.db2.gz KEKYOYHBLBMVAE-KRWDZBQOSA-N 1 2 298.386 3.803 20 0 CHADLO Cc1cc(N[C@@H](C)C[C@@H](O)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000268200252 525289409 /nfs/dbraw/zinc/28/94/09/525289409.db2.gz OGEUEGLPXWZMLW-BLLLJJGKSA-N 1 2 297.402 3.587 20 0 CHADLO Cc1ccc(N[C@@H](c2cccnc2)C2CCC2)c[nH+]1 ZINC000280192807 525312143 /nfs/dbraw/zinc/31/21/43/525312143.db2.gz AXAWDIUYZRVJJQ-MRXNPFEDSA-N 1 2 253.349 3.738 20 0 CHADLO CSC1(CNc2ccc3ccccc3[nH+]2)CCOCC1 ZINC000266217613 525341538 /nfs/dbraw/zinc/34/15/38/525341538.db2.gz HFFVZTGWZUSXTL-UHFFFAOYSA-N 1 2 288.416 3.559 20 0 CHADLO Cc1nc(SCCn2cc[nH+]c2C)[nH]c1-c1ccccc1 ZINC000275006398 525412961 /nfs/dbraw/zinc/41/29/61/525412961.db2.gz LSVKACIQHDUASJ-UHFFFAOYSA-N 1 2 298.415 3.682 20 0 CHADLO Cc1ccc(OCc2ccc(C(C)C)[nH+]c2C)nc1 ZINC000338550727 525443002 /nfs/dbraw/zinc/44/30/02/525443002.db2.gz FGIWYLMJQJDJIM-UHFFFAOYSA-N 1 2 256.349 3.796 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)N(c2cc[nH+]c3ccncc32)C1 ZINC000363780350 525467958 /nfs/dbraw/zinc/46/79/58/525467958.db2.gz VOZSHYBTXWQJIG-FZKQIMNGSA-N 1 2 290.370 3.612 20 0 CHADLO C[C@H]([NH2+]Cc1cccs1)c1nc(Cc2ccccc2)no1 ZINC000237511323 525468710 /nfs/dbraw/zinc/46/87/10/525468710.db2.gz ZVMURTZJPWVIBC-LBPRGKRZSA-N 1 2 299.399 3.573 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccccc2C(F)(F)F)no1 ZINC000282939446 525468875 /nfs/dbraw/zinc/46/88/75/525468875.db2.gz YTPVXRIPXWGOSB-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1ccccc1OC(F)F ZINC000040436079 525482976 /nfs/dbraw/zinc/48/29/76/525482976.db2.gz ZBNVKNHEYZRECX-VIFPVBQESA-N 1 2 284.331 3.595 20 0 CHADLO CSCC[C@@H](C)N(C)c1cc(C(F)(F)F)cc[nH+]1 ZINC000301753006 525528150 /nfs/dbraw/zinc/52/81/50/525528150.db2.gz VTIPSLKEEMRYBJ-SECBINFHSA-N 1 2 278.343 3.678 20 0 CHADLO COc1ccc2c(c1F)CC[C@H]2Nc1ccc(C)[nH+]c1 ZINC000289849500 525610511 /nfs/dbraw/zinc/61/05/11/525610511.db2.gz BEVRFPBJPWLAOH-CQSZACIVSA-N 1 2 272.323 3.637 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nncn1C)c1ccc(Cl)c(Cl)c1 ZINC000037017049 525623616 /nfs/dbraw/zinc/62/36/16/525623616.db2.gz QAEAOGXXTBBWHV-BDAKNGLRSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1ccc(F)cc1F ZINC000070984355 525625271 /nfs/dbraw/zinc/62/52/71/525625271.db2.gz OSOZGLADBQFIAM-BDAKNGLRSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H](O)c1ccsc1 ZINC000225357511 525655377 /nfs/dbraw/zinc/65/53/77/525655377.db2.gz JOGCITXNWGPAOY-HNNXBMFYSA-N 1 2 284.384 3.750 20 0 CHADLO CSC[C@H](C)C(=O)OCc1ccc(C(C)C)[nH+]c1C ZINC000292988520 525677109 /nfs/dbraw/zinc/67/71/09/525677109.db2.gz HYGGJQDVAYQISZ-NSHDSACASA-N 1 2 281.421 3.556 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1ccncc1 ZINC000036988655 525708963 /nfs/dbraw/zinc/70/89/63/525708963.db2.gz XMRIKVNQEZCTAU-CQSZACIVSA-N 1 2 282.391 3.640 20 0 CHADLO CSCc1cnc(C[NH2+][C@H](C)c2cccc(O)c2)s1 ZINC000293197809 525758077 /nfs/dbraw/zinc/75/80/77/525758077.db2.gz IKIUFKYWJKBXIF-SNVBAGLBSA-N 1 2 294.445 3.563 20 0 CHADLO CSCc1cnc(C[NH2+][C@H](C)c2nc(C)cs2)s1 ZINC000339224623 525760604 /nfs/dbraw/zinc/76/06/04/525760604.db2.gz KKFDFRPQPYPYHJ-SECBINFHSA-N 1 2 299.490 3.622 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000135154368 525804138 /nfs/dbraw/zinc/80/41/38/525804138.db2.gz OGMVCUNXYSAQFQ-JTQLQIEISA-N 1 2 251.276 3.717 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CCC(F)(F)F ZINC000279920132 525832082 /nfs/dbraw/zinc/83/20/82/525832082.db2.gz HGKZEUCDDJIGKO-UHFFFAOYSA-N 1 2 297.280 3.666 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C1=CCCCC1 ZINC000280179653 525832501 /nfs/dbraw/zinc/83/25/01/525832501.db2.gz ZQNZSBXBPLHTOR-UHFFFAOYSA-N 1 2 281.359 3.824 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1C[C@H]1C(C)C ZINC000280286582 525832767 /nfs/dbraw/zinc/83/27/67/525832767.db2.gz RXJFXZNGWCEBIY-KBPBESRZSA-N 1 2 283.375 3.616 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](c2cnn(C)c2)c2ccccc2)o1 ZINC000366685599 525938404 /nfs/dbraw/zinc/93/84/04/525938404.db2.gz YAQNGHAODPCWSZ-KBXCAEBGSA-N 1 2 295.386 3.762 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccccn1 ZINC000264272207 526030093 /nfs/dbraw/zinc/03/00/93/526030093.db2.gz MMQDUXOHZUDZQU-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccccn1 ZINC000264272207 526030104 /nfs/dbraw/zinc/03/01/04/526030104.db2.gz MMQDUXOHZUDZQU-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+](C)Cc1cccnc1 ZINC000263773676 526032182 /nfs/dbraw/zinc/03/21/82/526032182.db2.gz NMHWFAYCIBYJFK-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+](C)Cc1cccnc1 ZINC000263773676 526032194 /nfs/dbraw/zinc/03/21/94/526032194.db2.gz NMHWFAYCIBYJFK-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO Cc1cnc(C[NH2+]C2(c3ccc(Cl)cc3)CCC2)nc1 ZINC000340707243 526037641 /nfs/dbraw/zinc/03/76/41/526037641.db2.gz ILNNXORTXGGTNT-UHFFFAOYSA-N 1 2 287.794 3.607 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1nc(C2CC2)no1)C1CC1 ZINC000299370551 526090142 /nfs/dbraw/zinc/09/01/42/526090142.db2.gz KSVPJGXYSZERHR-LBPRGKRZSA-N 1 2 283.375 3.673 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1nc(C2CC2)no1)C1CC1 ZINC000299370551 526090151 /nfs/dbraw/zinc/09/01/51/526090151.db2.gz KSVPJGXYSZERHR-LBPRGKRZSA-N 1 2 283.375 3.673 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1c(Cl)nc2ccccn21 ZINC000131038424 526135161 /nfs/dbraw/zinc/13/51/61/526135161.db2.gz VCPNXOIYNFUHFC-NSHDSACASA-N 1 2 289.766 3.774 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1c(Cl)nc2ccccn21 ZINC000131038424 526135183 /nfs/dbraw/zinc/13/51/83/526135183.db2.gz VCPNXOIYNFUHFC-NSHDSACASA-N 1 2 289.766 3.774 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1nc2ccccc2n1C)C1CC1 ZINC000086233098 526139249 /nfs/dbraw/zinc/13/92/49/526139249.db2.gz OVUUXOGHIRALPI-ZDUSSCGKSA-N 1 2 295.386 3.892 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1nc2ccccc2n1C)C1CC1 ZINC000086233098 526139260 /nfs/dbraw/zinc/13/92/60/526139260.db2.gz OVUUXOGHIRALPI-ZDUSSCGKSA-N 1 2 295.386 3.892 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1nc(C(C)C)no1 ZINC000280272751 526156894 /nfs/dbraw/zinc/15/68/94/526156894.db2.gz DAVQUONVNAPQSY-UHFFFAOYSA-N 1 2 291.420 3.547 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1nc(C(C)C)no1 ZINC000280272751 526156906 /nfs/dbraw/zinc/15/69/06/526156906.db2.gz DAVQUONVNAPQSY-UHFFFAOYSA-N 1 2 291.420 3.547 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cccc(-c3ccncc3)c2)o1 ZINC000337249847 526159218 /nfs/dbraw/zinc/15/92/18/526159218.db2.gz JOKXTHFWRDGOSI-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](c2ncccc2C)C(C)C)o1 ZINC000356983897 526160588 /nfs/dbraw/zinc/16/05/88/526160588.db2.gz MPEJQQZUSSSSOC-KBPBESRZSA-N 1 2 273.380 3.734 20 0 CHADLO Cc1cncc(C[N@@H+]2CCc3[nH]c4ccc(F)cc4c3C2)c1 ZINC000276101419 526197391 /nfs/dbraw/zinc/19/73/91/526197391.db2.gz VUVVYKCHRIOYFW-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1cncc(C[N@H+]2CCc3[nH]c4ccc(F)cc4c3C2)c1 ZINC000276101419 526197401 /nfs/dbraw/zinc/19/74/01/526197401.db2.gz VUVVYKCHRIOYFW-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H]2c2ccncc2)cc(F)c1F ZINC000289375466 526295570 /nfs/dbraw/zinc/29/55/70/526295570.db2.gz BHSPBGOBLRFZAE-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H]2c2ccncc2)cc(F)c1F ZINC000289375466 526295574 /nfs/dbraw/zinc/29/55/74/526295574.db2.gz BHSPBGOBLRFZAE-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)cc1F ZINC000127446687 526303200 /nfs/dbraw/zinc/30/32/00/526303200.db2.gz XRWWJHZUZIMZIL-OAHLLOKOSA-N 1 2 280.293 3.769 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)cc1F ZINC000127446687 526303207 /nfs/dbraw/zinc/30/32/07/526303207.db2.gz XRWWJHZUZIMZIL-OAHLLOKOSA-N 1 2 280.293 3.769 20 0 CHADLO Cc1csc(C2([NH2+]Cc3cccnc3Cl)CCC2)n1 ZINC000264411865 526325573 /nfs/dbraw/zinc/32/55/73/526325573.db2.gz ZRVHAURXJNPIRD-UHFFFAOYSA-N 1 2 293.823 3.669 20 0 CHADLO Cc1csc(C2([NH2+]Cc3nc(C)c(C)o3)CCCC2)n1 ZINC000081596330 526326242 /nfs/dbraw/zinc/32/62/42/526326242.db2.gz MOJYBSZNXPLYCM-UHFFFAOYSA-N 1 2 291.420 3.615 20 0 CHADLO Fc1ccc(C[N@H+](Cc2cncs2)C2CC2)c(F)c1 ZINC000130118176 526330935 /nfs/dbraw/zinc/33/09/35/526330935.db2.gz XGZHRWOJFMJKSY-UHFFFAOYSA-N 1 2 280.343 3.586 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2cncs2)C2CC2)c(F)c1 ZINC000130118176 526330940 /nfs/dbraw/zinc/33/09/40/526330940.db2.gz XGZHRWOJFMJKSY-UHFFFAOYSA-N 1 2 280.343 3.586 20 0 CHADLO Cc1csc(C[NH2+]Cc2ccc(Cl)cc2F)n1 ZINC000157300911 526357747 /nfs/dbraw/zinc/35/77/47/526357747.db2.gz SMHSIIXVHIBOHO-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ccn[nH]2)C2CCCC2)cc1 ZINC000066990762 526360757 /nfs/dbraw/zinc/36/07/57/526360757.db2.gz GLLSNISDUWGHBW-INIZCTEOSA-N 1 2 273.355 3.570 20 0 CHADLO Fc1ccc([C@H](CC(F)(F)F)[NH2+]Cc2cocn2)cc1 ZINC000192340315 526364791 /nfs/dbraw/zinc/36/47/91/526364791.db2.gz AVTJGDNTEGRQDU-LBPRGKRZSA-N 1 2 288.244 3.597 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2ccccc2C(F)(F)F)o1 ZINC000079878044 526402774 /nfs/dbraw/zinc/40/27/74/526402774.db2.gz LDHOLBFYNSBPKO-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2ccccc2C(F)(F)F)o1 ZINC000079878044 526402778 /nfs/dbraw/zinc/40/27/78/526402778.db2.gz LDHOLBFYNSBPKO-VIFPVBQESA-N 1 2 299.296 3.590 20 0 CHADLO c1c[nH+]c(CNc2ccc(COCc3ccccc3)cc2)[nH]1 ZINC000151073246 526407653 /nfs/dbraw/zinc/40/76/53/526407653.db2.gz TTZBCRFXOXNMKF-UHFFFAOYSA-N 1 2 293.370 3.739 20 0 CHADLO Fc1ccccc1[C@@H]1CC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000339374830 526417301 /nfs/dbraw/zinc/41/73/01/526417301.db2.gz CTJPUWKVFUREDL-SNVBAGLBSA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccccc1[C@@H]1CC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000339374830 526417306 /nfs/dbraw/zinc/41/73/06/526417306.db2.gz CTJPUWKVFUREDL-SNVBAGLBSA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccccc1[C@H]1CC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000339374828 526418436 /nfs/dbraw/zinc/41/84/36/526418436.db2.gz CTJPUWKVFUREDL-JTQLQIEISA-N 1 2 296.798 3.925 20 0 CHADLO Fc1ccccc1[C@H]1CC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000339374828 526418441 /nfs/dbraw/zinc/41/84/41/526418441.db2.gz CTJPUWKVFUREDL-JTQLQIEISA-N 1 2 296.798 3.925 20 0 CHADLO Fc1cncc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000275121034 526422326 /nfs/dbraw/zinc/42/23/26/526422326.db2.gz JAUKNOQCEVTIKC-OAHLLOKOSA-N 1 2 276.742 3.821 20 0 CHADLO Fc1cncc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000275121034 526422328 /nfs/dbraw/zinc/42/23/28/526422328.db2.gz JAUKNOQCEVTIKC-OAHLLOKOSA-N 1 2 276.742 3.821 20 0 CHADLO c1cn(-c2ccc([NH2+]C[C@H]3CC=CCC3)cc2)cn1 ZINC000338137190 526524585 /nfs/dbraw/zinc/52/45/85/526524585.db2.gz MJGKLGPCOSZNHQ-AWEZNQCLSA-N 1 2 253.349 3.641 20 0 CHADLO Cc1[nH]ncc1C[NH2+]Cc1ccc(C2CCCC2)cc1 ZINC000291218154 526554541 /nfs/dbraw/zinc/55/45/41/526554541.db2.gz OTCSGYWBXXFHEC-UHFFFAOYSA-N 1 2 269.392 3.666 20 0 CHADLO c1coc(-c2cc(C[N@@H+]3CCC[C@H]3c3ccncc3)no2)c1 ZINC000299494564 526561197 /nfs/dbraw/zinc/56/11/97/526561197.db2.gz AZKONTVEJKNKOF-HNNXBMFYSA-N 1 2 295.342 3.667 20 0 CHADLO c1coc(-c2cc(C[N@H+]3CCC[C@H]3c3ccncc3)no2)c1 ZINC000299494564 526561199 /nfs/dbraw/zinc/56/11/99/526561199.db2.gz AZKONTVEJKNKOF-HNNXBMFYSA-N 1 2 295.342 3.667 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2ccc(F)c(C)c2)n1 ZINC000289847317 526667399 /nfs/dbraw/zinc/66/73/99/526667399.db2.gz PUMZNLFHMFDHMS-OAHLLOKOSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2ccc(F)c(C)c2)n1 ZINC000289847317 526667404 /nfs/dbraw/zinc/66/74/04/526667404.db2.gz PUMZNLFHMFDHMS-OAHLLOKOSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSc1nncs1 ZINC000338521592 526668645 /nfs/dbraw/zinc/66/86/45/526668645.db2.gz DOZSFNLZLKBLJZ-UHFFFAOYSA-N 1 2 265.407 3.657 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cc(-c2cccs2)on1 ZINC000055054218 526822803 /nfs/dbraw/zinc/82/28/03/526822803.db2.gz DPLQFVCFNLZJDB-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cc(-c2cccs2)on1 ZINC000055054218 526822806 /nfs/dbraw/zinc/82/28/06/526822806.db2.gz DPLQFVCFNLZJDB-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2OC(F)F)cs1 ZINC000035231608 526894565 /nfs/dbraw/zinc/89/45/65/526894565.db2.gz MJZPLNXOVMKPTD-SECBINFHSA-N 1 2 298.358 3.904 20 0 CHADLO Cc1sccc1C[NH2+][C@H](c1nc(C2CC2)no1)C(C)C ZINC000339256368 526920583 /nfs/dbraw/zinc/92/05/83/526920583.db2.gz NDYIQBSQEMDKKQ-ZDUSSCGKSA-N 1 2 291.420 3.804 20 0 CHADLO Clc1cccc(Cl)c1[C@H](NCc1[nH]cc[nH+]1)C1CC1 ZINC000289707227 526979549 /nfs/dbraw/zinc/97/95/49/526979549.db2.gz IVFSOOWMPQPEDV-CQSZACIVSA-N 1 2 296.201 3.957 20 0 CHADLO Clc1cccc(Cl)c1[C@H]([NH2+]Cc1ncc[nH]1)C1CC1 ZINC000289707227 526979554 /nfs/dbraw/zinc/97/95/54/526979554.db2.gz IVFSOOWMPQPEDV-CQSZACIVSA-N 1 2 296.201 3.957 20 0 CHADLO Cc1nc([C@@H](C)[N@H+](C)Cc2ccc3ccccc3c2)no1 ZINC000278593375 527025127 /nfs/dbraw/zinc/02/51/27/527025127.db2.gz LGESGCFLTHNNMQ-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nc([C@@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)no1 ZINC000278593375 527025133 /nfs/dbraw/zinc/02/51/33/527025133.db2.gz LGESGCFLTHNNMQ-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)no1 ZINC000289380664 527032968 /nfs/dbraw/zinc/03/29/68/527032968.db2.gz POCKHSXXVYCQAX-NWDGAFQWSA-N 1 2 285.391 3.669 20 0 CHADLO Cn1c2ccc(CNC(=O)CC(C)(C)C)cc2[nH+]c1C1CC1 ZINC000074999156 527100091 /nfs/dbraw/zinc/10/00/91/527100091.db2.gz LOFMCARBGMIQJU-UHFFFAOYSA-N 1 2 299.418 3.503 20 0 CHADLO Cc1nc(N2CC[C@@](F)(c3cccc(Cl)c3)C2)cc[nH+]1 ZINC000337876229 527348365 /nfs/dbraw/zinc/34/83/65/527348365.db2.gz QTIPAUUCMKTODR-HNNXBMFYSA-N 1 2 291.757 3.514 20 0 CHADLO Cc1ncsc1CCSCc1cn2ccccc2[nH+]1 ZINC000276557945 527491502 /nfs/dbraw/zinc/49/15/02/527491502.db2.gz CANGVXVCHPPOEG-UHFFFAOYSA-N 1 2 289.429 3.575 20 0 CHADLO Cn1c[nH+]cc1CS[C@H]1CCCc2ccccc21 ZINC000267735743 527511192 /nfs/dbraw/zinc/51/11/92/527511192.db2.gz DWJXEVAZIZVNMP-HNNXBMFYSA-N 1 2 258.390 3.731 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000127792885 527666119 /nfs/dbraw/zinc/66/61/19/527666119.db2.gz DVOUNBJKZOOQJP-NSHDSACASA-N 1 2 293.798 3.701 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000127792885 527666121 /nfs/dbraw/zinc/66/61/21/527666121.db2.gz DVOUNBJKZOOQJP-NSHDSACASA-N 1 2 293.798 3.701 20 0 CHADLO FC(F)(F)c1ccc(N2CCCC[C@@H]2c2[nH]cc[nH+]2)nc1 ZINC000276560052 527682770 /nfs/dbraw/zinc/68/27/70/527682770.db2.gz GGLLFLXKKUAFSV-LLVKDONJSA-N 1 2 296.296 3.555 20 0 CHADLO FC(F)O[C@@H]1CCC[C@H]1Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000336909548 527694864 /nfs/dbraw/zinc/69/48/64/527694864.db2.gz WIFLCQBUEFVZGV-RKDXNWHRSA-N 1 2 296.239 3.673 20 0 CHADLO CCOC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccc(C(F)F)c1 ZINC000347962068 527822239 /nfs/dbraw/zinc/82/22/39/527822239.db2.gz HRPDEBLXSLCQSO-AWEZNQCLSA-N 1 2 297.345 3.542 20 0 CHADLO CCOC(=O)[C@@H]1CCCC[N@H+]1Cc1cccc(C(F)F)c1 ZINC000347962068 527822248 /nfs/dbraw/zinc/82/22/48/527822248.db2.gz HRPDEBLXSLCQSO-AWEZNQCLSA-N 1 2 297.345 3.542 20 0 CHADLO CC1=C(C(=O)N[C@H]2C[C@H](C)n3cc[nH+]c32)CCC(C)(C)C1 ZINC000334612377 528079516 /nfs/dbraw/zinc/07/95/16/528079516.db2.gz VOXOHUGFFGKLTI-JSGCOSHPSA-N 1 2 287.407 3.532 20 0 CHADLO CC1CCC(CC(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)CC1 ZINC000334737418 528174169 /nfs/dbraw/zinc/17/41/69/528174169.db2.gz JAWJYQRKXXFJFC-YMAMQOFZSA-N 1 2 289.423 3.680 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+]1CCC[C@@H]1CF ZINC000354522902 528177331 /nfs/dbraw/zinc/17/73/31/528177331.db2.gz VOAMBMTUGLOIQS-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+]1CCC[C@@H]1CF ZINC000354522902 528177339 /nfs/dbraw/zinc/17/73/39/528177339.db2.gz VOAMBMTUGLOIQS-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO CCC[C@H]([NH2+]Cc1nnc(C(C)C)s1)c1ccccn1 ZINC000346975574 528230388 /nfs/dbraw/zinc/23/03/88/528230388.db2.gz MIFJOYBQCLZJQQ-LBPRGKRZSA-N 1 2 290.436 3.688 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2cc(C)ccn2)c[nH+]1 ZINC000353655759 528313451 /nfs/dbraw/zinc/31/34/51/528313451.db2.gz QEQJGPSTXGDTLF-AWEZNQCLSA-N 1 2 284.407 3.804 20 0 CHADLO CCCCOc1cccc(CNc2cc(C)[nH+]cn2)c1 ZINC000301226886 528695503 /nfs/dbraw/zinc/69/55/03/528695503.db2.gz FILRCSFGMPYLJD-UHFFFAOYSA-N 1 2 271.364 3.576 20 0 CHADLO CCC(CC)(CO)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354881113 528707702 /nfs/dbraw/zinc/70/77/02/528707702.db2.gz FBEWYUAASNISSG-UHFFFAOYSA-N 1 2 276.355 3.585 20 0 CHADLO CC(C)N(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CCCC1 ZINC000344247364 528789319 /nfs/dbraw/zinc/78/93/19/528789319.db2.gz HZHHDMPNAQQTDD-UHFFFAOYSA-N 1 2 297.402 3.666 20 0 CHADLO CC(C)[N@@H+](Cc1ccc2occc2c1)CC(F)F ZINC000347907719 528943225 /nfs/dbraw/zinc/94/32/25/528943225.db2.gz FWCLIBNPUXZKPI-UHFFFAOYSA-N 1 2 253.292 3.908 20 0 CHADLO CC(C)[N@H+](Cc1ccc2occc2c1)CC(F)F ZINC000347907719 528943228 /nfs/dbraw/zinc/94/32/28/528943228.db2.gz FWCLIBNPUXZKPI-UHFFFAOYSA-N 1 2 253.292 3.908 20 0 CHADLO CC1(C)CCC[C@@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000356062704 528962682 /nfs/dbraw/zinc/96/26/82/528962682.db2.gz DBGCEPHOFDPNSP-OAHLLOKOSA-N 1 2 283.375 3.637 20 0 CHADLO CC1(C)CC[C@@H]([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000330204419 529029282 /nfs/dbraw/zinc/02/92/82/529029282.db2.gz BQRVTUOLAPXCHL-KGLIPLIRSA-N 1 2 276.428 3.594 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)c3c[nH]cc3C)cc2[nH+]1 ZINC000344452888 529047352 /nfs/dbraw/zinc/04/73/52/529047352.db2.gz TYFXUGPGJDHYTO-UHFFFAOYSA-N 1 2 296.374 3.794 20 0 CHADLO CC1(C)C[N@H+](Cc2ccn(C(F)F)n2)Cc2ccccc21 ZINC000347705539 529124539 /nfs/dbraw/zinc/12/45/39/529124539.db2.gz PEGLVDJRFDMQKM-UHFFFAOYSA-N 1 2 291.345 3.572 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccn(C(F)F)n2)Cc2ccccc21 ZINC000347705539 529124540 /nfs/dbraw/zinc/12/45/40/529124540.db2.gz PEGLVDJRFDMQKM-UHFFFAOYSA-N 1 2 291.345 3.572 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@H+](C)Cc1cc(C)on1 ZINC000347556533 529184226 /nfs/dbraw/zinc/18/42/26/529184226.db2.gz IZAUGWSGBYPMLY-OAHLLOKOSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@@H+](C)Cc1cc(C)on1 ZINC000347556533 529184228 /nfs/dbraw/zinc/18/42/28/529184228.db2.gz IZAUGWSGBYPMLY-OAHLLOKOSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)c1cc(F)ccc1F)c1ccn(C)n1 ZINC000349511984 529201135 /nfs/dbraw/zinc/20/11/35/529201135.db2.gz GWBXRTVZMRUDGG-LSDHHAIUSA-N 1 2 293.361 3.890 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@H+](C)Cc1nc(C)c(C)o1 ZINC000347568213 529258710 /nfs/dbraw/zinc/25/87/10/529258710.db2.gz YGPKQCLDIZTNTP-HNNXBMFYSA-N 1 2 288.391 3.883 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@@H+](C)Cc1nc(C)c(C)o1 ZINC000347568213 529258712 /nfs/dbraw/zinc/25/87/12/529258712.db2.gz YGPKQCLDIZTNTP-HNNXBMFYSA-N 1 2 288.391 3.883 20 0 CHADLO CC[C@@H]1CCCN1C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000352799645 529301558 /nfs/dbraw/zinc/30/15/58/529301558.db2.gz XDPCTXZAJYUEAT-OAHLLOKOSA-N 1 2 296.370 3.889 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](CC)c2cc(F)ccc2F)o1 ZINC000341991526 535857833 /nfs/dbraw/zinc/85/78/33/535857833.db2.gz AJWQJIIHRSZTHM-NOZJJQNGSA-N 1 2 295.333 3.712 20 0 CHADLO CC[C@H](C)[C@H]([NH2+]Cc1nnc(C)o1)c1ccc(Cl)cc1 ZINC000341849050 535979225 /nfs/dbraw/zinc/97/92/25/535979225.db2.gz VSQVCIIALMLFFY-BONVTDFDSA-N 1 2 293.798 3.908 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+][C@@H](C)c2cccnc2Cl)c1 ZINC000282874452 1125459107 /nfs/dbraw/zinc/45/91/07/1125459107.db2.gz VJZDDVFMPQSQIV-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO C[N@H+](CC(=O)Nc1ccccc1)Cc1cc2ccccc2o1 ZINC000127360963 1125461006 /nfs/dbraw/zinc/46/10/06/1125461006.db2.gz ZORPVYFPGQQWAL-UHFFFAOYSA-N 1 2 294.354 3.503 20 0 CHADLO C[N@@H+](CC(=O)Nc1ccccc1)Cc1cc2ccccc2o1 ZINC000127360963 1125461009 /nfs/dbraw/zinc/46/10/09/1125461009.db2.gz ZORPVYFPGQQWAL-UHFFFAOYSA-N 1 2 294.354 3.503 20 0 CHADLO CCC(F)(F)C[NH2+]C/C=C\c1ccc(F)cc1F ZINC000623678954 1117888376 /nfs/dbraw/zinc/88/83/76/1117888376.db2.gz CNHSSBRKGQVIGH-ARJAWSKDSA-N 1 2 261.262 3.613 20 0 CHADLO CCc1nc(C[NH2+][C@H](c2ccccc2)C2CCCC2)no1 ZINC000111246544 1125481301 /nfs/dbraw/zinc/48/13/01/1125481301.db2.gz KBTFPFQGKGXJOS-QGZVFWFLSA-N 1 2 285.391 3.653 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](CC(C)C)c1ccncc1 ZINC000624148919 1117990174 /nfs/dbraw/zinc/99/01/74/1117990174.db2.gz RGCCMMFILXWPTA-ZDUSSCGKSA-N 1 2 256.340 3.804 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCO[C@@H](C(C)C)C2)c1 ZINC001238568834 1118017884 /nfs/dbraw/zinc/01/78/84/1118017884.db2.gz JZTVXRXNUVNGGV-OAHLLOKOSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCO[C@@H](C(C)C)C2)c1 ZINC001238568834 1118017889 /nfs/dbraw/zinc/01/78/89/1118017889.db2.gz JZTVXRXNUVNGGV-OAHLLOKOSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Cl)ncc2F)c1C ZINC001213525283 1118527991 /nfs/dbraw/zinc/52/79/91/1118527991.db2.gz QFYQKUHDDQWPIW-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO FC(F)(F)C[C@H]([NH2+]Cc1nccs1)c1ccccc1 ZINC000041012882 1118930167 /nfs/dbraw/zinc/93/01/67/1118930167.db2.gz QQKHALMNZSMTHY-NSHDSACASA-N 1 2 286.322 3.926 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc3ccccc3c2)o1 ZINC000112516017 1125486127 /nfs/dbraw/zinc/48/61/27/1125486127.db2.gz OSAJXUYZHAVVEE-VXGBXAGGSA-N 1 2 281.359 3.943 20 0 CHADLO Cc1ccccc1[C@H](CC(C)C)[NH2+]Cc1ccn[nH]1 ZINC000112379166 1125486932 /nfs/dbraw/zinc/48/69/32/1125486932.db2.gz QLGQCYJPHFTYIR-INIZCTEOSA-N 1 2 257.381 3.595 20 0 CHADLO FCCC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1csc(Cl)n1 ZINC000934453208 1119073774 /nfs/dbraw/zinc/07/37/74/1119073774.db2.gz QERSVSKGPQCXHC-CLYYMRHHSA-N 1 2 288.819 3.899 20 0 CHADLO FCCC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1csc(Cl)n1 ZINC000934453208 1119073779 /nfs/dbraw/zinc/07/37/79/1119073779.db2.gz QERSVSKGPQCXHC-CLYYMRHHSA-N 1 2 288.819 3.899 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC000684892735 1119097238 /nfs/dbraw/zinc/09/72/38/1119097238.db2.gz IHOWPHJOANQUPO-ZJUUUORDSA-N 1 2 277.393 3.512 20 0 CHADLO CC[C@H]([NH2+]Cc1cc2ccc(C)cc2[nH]1)C(F)F ZINC000657476736 1119120439 /nfs/dbraw/zinc/12/04/39/1119120439.db2.gz LBROZLPWBKYAJR-LBPRGKRZSA-N 1 2 252.308 3.610 20 0 CHADLO Cc1ccc2c(Nc3ccc4c(c3)CC(=O)C4)cccc2[nH+]1 ZINC001212798874 1125494323 /nfs/dbraw/zinc/49/43/23/1125494323.db2.gz XQCFCHWMHOYGDH-UHFFFAOYSA-N 1 2 288.350 3.955 20 0 CHADLO FC(F)C[N@H+](C[C@@H]1C[C@@H]1c1ccccc1)C1CC1 ZINC000093276604 1119570590 /nfs/dbraw/zinc/57/05/90/1119570590.db2.gz VOMAAKLPTMRMCN-GXTWGEPZSA-N 1 2 251.320 3.520 20 0 CHADLO FC(F)C[N@@H+](C[C@@H]1C[C@@H]1c1ccccc1)C1CC1 ZINC000093276604 1119570595 /nfs/dbraw/zinc/57/05/95/1119570595.db2.gz VOMAAKLPTMRMCN-GXTWGEPZSA-N 1 2 251.320 3.520 20 0 CHADLO COc1ccccc1C[C@H](C)[N@@H+](C)C/C(Cl)=C\Cl ZINC000255628505 1119664401 /nfs/dbraw/zinc/66/44/01/1119664401.db2.gz PAAPVGXFEOPMEF-GJSJWPQCSA-N 1 2 288.218 3.877 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](C)c2cccc(C)c2C)n1 ZINC000115602457 1125504573 /nfs/dbraw/zinc/50/45/73/1125504573.db2.gz AYBBBNYXXRBQET-CHWSQXEVSA-N 1 2 273.380 3.661 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H]2CCCC2(F)F)cc1OC ZINC000397971366 1120538250 /nfs/dbraw/zinc/53/82/50/1120538250.db2.gz JBBZKGRIYXVXLM-HZMBPMFUSA-N 1 2 285.334 3.542 20 0 CHADLO CSc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1 ZINC000398016772 1120554159 /nfs/dbraw/zinc/55/41/59/1120554159.db2.gz HHICDOTVPHYAOM-LBPRGKRZSA-N 1 2 257.349 3.686 20 0 CHADLO COc1ccc[nH+]c1NCC1(C)CCC(F)(F)CC1 ZINC001162028029 1120622337 /nfs/dbraw/zinc/62/23/37/1120622337.db2.gz ACYHSMGMJLJISF-UHFFFAOYSA-N 1 2 270.323 3.718 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@@H+](C/C=C\Cl)CC2 ZINC001175140772 1121199099 /nfs/dbraw/zinc/19/90/99/1121199099.db2.gz QNERQSHWKKDBJQ-KXFIGUGUSA-N 1 2 275.701 3.816 20 0 CHADLO Cc1cc(C[NH2+][C@@H](CF)c2ccc(F)cc2)cs1 ZINC000631284040 1121240143 /nfs/dbraw/zinc/24/01/43/1121240143.db2.gz NOCBJKIODFFXQQ-AWEZNQCLSA-N 1 2 267.344 3.996 20 0 CHADLO COC[C@H]1CCC[N@H+]1Cc1cc(Cl)cc(Cl)c1F ZINC001175325225 1121282962 /nfs/dbraw/zinc/28/29/62/1121282962.db2.gz ZMCVCQYNRHUHET-LLVKDONJSA-N 1 2 292.181 3.743 20 0 CHADLO CC(C)CCc1nc(C[N@@H+](C)CCOC(C)(C)C)cs1 ZINC000676043055 1121401429 /nfs/dbraw/zinc/40/14/29/1121401429.db2.gz JPXMKRASNQSMCG-UHFFFAOYSA-N 1 2 298.496 3.979 20 0 CHADLO Cc1ccsc1-c1nn(C[N@@H+](C)CC(C)C)c(=S)o1 ZINC000730253647 1121801139 /nfs/dbraw/zinc/80/11/39/1121801139.db2.gz SYPRUQQVNJJUEW-UHFFFAOYSA-N 1 2 297.449 3.788 20 0 CHADLO Cc1ccsc1-c1nn(C[N@H+](C)CC(C)C)c(=S)o1 ZINC000730253647 1121801149 /nfs/dbraw/zinc/80/11/49/1121801149.db2.gz SYPRUQQVNJJUEW-UHFFFAOYSA-N 1 2 297.449 3.788 20 0 CHADLO Cc1c[nH+]cc(Cc2ccc(F)c(F)c2F)c1C ZINC001250220068 1122365972 /nfs/dbraw/zinc/36/59/72/1122365972.db2.gz AAYJQFONRIANDN-UHFFFAOYSA-N 1 2 251.251 3.707 20 0 CHADLO Cc1cc(CCn2cc[nH+]c2)c(OC(C)C)cc1C ZINC001250320924 1122492725 /nfs/dbraw/zinc/49/27/25/1122492725.db2.gz SXNOTFVHVONXEW-UHFFFAOYSA-N 1 2 258.365 3.530 20 0 CHADLO Cc1ccc(C)c(C[N@H+]2CCCCC(F)(F)C2)c1 ZINC001203741865 1122608508 /nfs/dbraw/zinc/60/85/08/1122608508.db2.gz WLJBOYYYXDHFJC-UHFFFAOYSA-N 1 2 253.336 3.925 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnnc(Cl)c2)cc1 ZINC001201489051 1123108301 /nfs/dbraw/zinc/10/83/01/1123108301.db2.gz YLGIEZDLGXJXEL-UHFFFAOYSA-N 1 2 262.744 3.694 20 0 CHADLO Cc1cnc(Cl)c(C[NH+]2CC(C)(CC(F)F)C2)c1 ZINC000895105265 1123206490 /nfs/dbraw/zinc/20/64/90/1123206490.db2.gz QACHAQZKLBUGCV-UHFFFAOYSA-N 1 2 274.742 3.521 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)cc1Cl ZINC000665242508 1123248283 /nfs/dbraw/zinc/24/82/83/1123248283.db2.gz HRDQPAKQUFEUDB-BDAKNGLRSA-N 1 2 297.811 3.612 20 0 CHADLO CC[C@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(OC)cc1 ZINC000389472265 1124028430 /nfs/dbraw/zinc/02/84/30/1124028430.db2.gz SPMVPPVOVFIWCZ-MFKMUULPSA-N 1 2 257.324 3.780 20 0 CHADLO CS[C@@H]1CC[N@H+](Cc2csc(Cl)c2Cl)C1 ZINC000449447206 1124742588 /nfs/dbraw/zinc/74/25/88/1124742588.db2.gz WXBVRPHJGMINNY-MRVPVSSYSA-N 1 2 282.261 3.992 20 0 CHADLO CS[C@@H]1CC[N@@H+](Cc2csc(Cl)c2Cl)C1 ZINC000449447206 1124742591 /nfs/dbraw/zinc/74/25/91/1124742591.db2.gz WXBVRPHJGMINNY-MRVPVSSYSA-N 1 2 282.261 3.992 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2ccccc2)c1F ZINC001138115024 1131468193 /nfs/dbraw/zinc/46/81/93/1131468193.db2.gz VWINMQFWEXLLMI-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2ccccc2)c1F ZINC001138115024 1131468195 /nfs/dbraw/zinc/46/81/95/1131468195.db2.gz VWINMQFWEXLLMI-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2ccc(C)c(Cl)n2)s1 ZINC000878418009 1125151637 /nfs/dbraw/zinc/15/16/37/1125151637.db2.gz TVGKRJIGMBWQBB-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO CC(C)OCC[N@H+]1CCc2cc(Cl)cc(Cl)c2C1 ZINC000531231296 1125204405 /nfs/dbraw/zinc/20/44/05/1125204405.db2.gz VUMBSGQRPDFWJA-UHFFFAOYSA-N 1 2 288.218 3.777 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CC[C@@](C)(C(F)(F)F)C2)o1 ZINC000880001028 1125242842 /nfs/dbraw/zinc/24/28/42/1125242842.db2.gz OZRYUPYAKSWSGJ-CYBMUJFWSA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CC[C@@](C)(C(F)(F)F)C2)o1 ZINC000880001028 1125242845 /nfs/dbraw/zinc/24/28/45/1125242845.db2.gz OZRYUPYAKSWSGJ-CYBMUJFWSA-N 1 2 290.329 3.746 20 0 CHADLO COc1ccc(C[N@@H+]2CCCC[C@@H](F)C2)cc1Cl ZINC001138470314 1131510779 /nfs/dbraw/zinc/51/07/79/1131510779.db2.gz SWRMNEDUBXTGSF-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(C[N@H+]2CCCC[C@@H](F)C2)cc1Cl ZINC001138470314 1131510783 /nfs/dbraw/zinc/51/07/83/1131510783.db2.gz SWRMNEDUBXTGSF-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@H](F)C(F)(F)CC2)cs1 ZINC001138885076 1131558220 /nfs/dbraw/zinc/55/82/20/1131558220.db2.gz QKZPNSZRDISXQP-NSHDSACASA-N 1 2 292.370 3.836 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@H](F)C(F)(F)CC2)cs1 ZINC001138885076 1131558225 /nfs/dbraw/zinc/55/82/25/1131558225.db2.gz QKZPNSZRDISXQP-NSHDSACASA-N 1 2 292.370 3.836 20 0 CHADLO C[N@H+](Cc1c(Cl)ccnc1Cl)CC(C)(C)C ZINC001139478482 1131610661 /nfs/dbraw/zinc/61/06/61/1131610661.db2.gz MIQRINCVYQVSLI-UHFFFAOYSA-N 1 2 261.196 3.866 20 0 CHADLO C[N@@H+](Cc1c(Cl)ccnc1Cl)CC(C)(C)C ZINC001139478482 1131610663 /nfs/dbraw/zinc/61/06/63/1131610663.db2.gz MIQRINCVYQVSLI-UHFFFAOYSA-N 1 2 261.196 3.866 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1cnc(Cl)c(F)c1 ZINC000846884065 1131755473 /nfs/dbraw/zinc/75/54/73/1131755473.db2.gz FQMFWRYONXIIFM-VXNVDRBHSA-N 1 2 296.695 3.647 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1cnc(Cl)c(F)c1 ZINC000846884065 1131755476 /nfs/dbraw/zinc/75/54/76/1131755476.db2.gz FQMFWRYONXIIFM-VXNVDRBHSA-N 1 2 296.695 3.647 20 0 CHADLO FC(F)(F)c1ccc(Cl)cc1C[N@H+]1CCCOCC1 ZINC001140565295 1131797624 /nfs/dbraw/zinc/79/76/24/1131797624.db2.gz NVHLZYNQLYHSPU-UHFFFAOYSA-N 1 2 293.716 3.581 20 0 CHADLO F[C@@H]1C[C@@H]2C[C@H]1C[N@@H+]2Cc1cc(Cl)sc1Cl ZINC001140588770 1131812813 /nfs/dbraw/zinc/81/28/13/1131812813.db2.gz LEHBDMUBQFOPEW-CNUIFLNQSA-N 1 2 280.195 3.987 20 0 CHADLO F[C@@H]1C[C@@H]2C[C@H]1C[N@H+]2Cc1cc(Cl)sc1Cl ZINC001140588770 1131812819 /nfs/dbraw/zinc/81/28/19/1131812819.db2.gz LEHBDMUBQFOPEW-CNUIFLNQSA-N 1 2 280.195 3.987 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(Cl)c(C)c1 ZINC001141236675 1132054489 /nfs/dbraw/zinc/05/44/89/1132054489.db2.gz XWZXUYLLPLQULO-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCOCC1(C)C ZINC001172853222 1132340923 /nfs/dbraw/zinc/34/09/23/1132340923.db2.gz KFZZRHSOVJWMFY-LLVKDONJSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCOCC1(C)C ZINC001172853222 1132340930 /nfs/dbraw/zinc/34/09/30/1132340930.db2.gz KFZZRHSOVJWMFY-LLVKDONJSA-N 1 2 285.790 3.521 20 0 CHADLO C[N@H+](Cc1cnoc1)Cc1ccc(Cl)c(Cl)c1 ZINC001205678382 1133601584 /nfs/dbraw/zinc/60/15/84/1133601584.db2.gz YTRPSANJHCUVQR-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1cnoc1)Cc1ccc(Cl)c(Cl)c1 ZINC001205678382 1133601588 /nfs/dbraw/zinc/60/15/88/1133601588.db2.gz YTRPSANJHCUVQR-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[C@@]1(F)CC[N@@H+](CCc2ccc(F)cc2Cl)C[C@H]1F ZINC001209109912 1133976614 /nfs/dbraw/zinc/97/66/14/1133976614.db2.gz ZFGPJSADUUBJDP-ZIAGYGMSSA-N 1 2 291.744 3.794 20 0 CHADLO C[C@@]1(F)CC[N@H+](CCc2ccc(F)cc2Cl)C[C@H]1F ZINC001209109912 1133976611 /nfs/dbraw/zinc/97/66/11/1133976611.db2.gz ZFGPJSADUUBJDP-ZIAGYGMSSA-N 1 2 291.744 3.794 20 0 CHADLO COc1cc(Nc2c(Cl)ccc(O)c2F)cc(C)[nH+]1 ZINC001214557579 1134551141 /nfs/dbraw/zinc/55/11/41/1134551141.db2.gz CCNAHTVEILNZAY-UHFFFAOYSA-N 1 2 282.702 3.640 20 0 CHADLO Fc1ccc(C[NH2+]C2(c3nccs3)CCCC2)c(F)c1 ZINC000116374285 1125508772 /nfs/dbraw/zinc/50/87/72/1125508772.db2.gz LBFDZHVONYIJKZ-UHFFFAOYSA-N 1 2 294.370 3.980 20 0 CHADLO CCCc1noc(C[N@H+](CC)[C@@H](C)c2ccc(F)cc2)n1 ZINC000054341201 1125528997 /nfs/dbraw/zinc/52/89/97/1125528997.db2.gz KOWVCFBWVXLRSW-LBPRGKRZSA-N 1 2 291.370 3.744 20 0 CHADLO CCCc1noc(C[N@@H+](CC)[C@@H](C)c2ccc(F)cc2)n1 ZINC000054341201 1125529009 /nfs/dbraw/zinc/52/90/09/1125529009.db2.gz KOWVCFBWVXLRSW-LBPRGKRZSA-N 1 2 291.370 3.744 20 0 CHADLO c1coc(C[NH2+]Cc2csc(-c3ccsc3)n2)c1 ZINC000061803879 1125546150 /nfs/dbraw/zinc/54/61/50/1125546150.db2.gz XYIOZZBYAOQSJU-UHFFFAOYSA-N 1 2 276.386 3.754 20 0 CHADLO CC(=O)c1cc(Cl)c(C)cc1OC1C[NH+](C(C)(C)C)C1 ZINC001229357654 1125546543 /nfs/dbraw/zinc/54/65/43/1125546543.db2.gz WPPGFGIXKLAJCM-UHFFFAOYSA-N 1 2 295.810 3.713 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2cscn2)c1 ZINC000194071168 1125551203 /nfs/dbraw/zinc/55/12/03/1125551203.db2.gz CHZYDSJRLFXCIK-CQSZACIVSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2cscn2)c1 ZINC000194071168 1125551210 /nfs/dbraw/zinc/55/12/10/1125551210.db2.gz CHZYDSJRLFXCIK-CQSZACIVSA-N 1 2 262.353 3.619 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(-c3ccccc3)c[nH]2)o1 ZINC000237489176 1125564664 /nfs/dbraw/zinc/56/46/64/1125564664.db2.gz RURUQWAYFAXKBZ-ZDUSSCGKSA-N 1 2 281.359 3.829 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2Cl)C[N@@H+]1CCCCF ZINC000509674323 1125573527 /nfs/dbraw/zinc/57/35/27/1125573527.db2.gz VVGNEKLAXGDVSG-IUODEOHRSA-N 1 2 285.790 3.852 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2Cl)C[N@H+]1CCCCF ZINC000509674323 1125573534 /nfs/dbraw/zinc/57/35/34/1125573534.db2.gz VVGNEKLAXGDVSG-IUODEOHRSA-N 1 2 285.790 3.852 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)C[C@@H](C)O1 ZINC000245567827 1125581497 /nfs/dbraw/zinc/58/14/97/1125581497.db2.gz UWURTKOCDXNSTO-OCCSQVGLSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)C[C@@H](C)O1 ZINC000245567827 1125581503 /nfs/dbraw/zinc/58/15/03/1125581503.db2.gz UWURTKOCDXNSTO-OCCSQVGLSA-N 1 2 294.464 3.800 20 0 CHADLO C[NH+](C)c1ccc(NCc2cncc3ccccc32)cc1 ZINC000135381631 1125588062 /nfs/dbraw/zinc/58/80/62/1125588062.db2.gz XAGIWZMXTCKYAS-UHFFFAOYSA-N 1 2 277.371 3.913 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)C[C@H]1C ZINC000245856270 1125596656 /nfs/dbraw/zinc/59/66/56/1125596656.db2.gz DPNNIPVFKGBNKP-CHWSQXEVSA-N 1 2 277.412 3.595 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)C[C@H]1C ZINC000245856270 1125596660 /nfs/dbraw/zinc/59/66/60/1125596660.db2.gz DPNNIPVFKGBNKP-CHWSQXEVSA-N 1 2 277.412 3.595 20 0 CHADLO CC[NH+](CC)Cc1ccccc1Nc1nnc(C(C)C)o1 ZINC001211986109 1125600567 /nfs/dbraw/zinc/60/05/67/1125600567.db2.gz GHLXUKSUDLYWTQ-UHFFFAOYSA-N 1 2 288.395 3.778 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nc(C(F)(F)F)cs2)C[C@H]1C ZINC000246075647 1125602861 /nfs/dbraw/zinc/60/28/61/1125602861.db2.gz ZXSAALXZZOYWCR-RKDXNWHRSA-N 1 2 278.343 3.640 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nc(C(F)(F)F)cs2)C[C@H]1C ZINC000246075647 1125602865 /nfs/dbraw/zinc/60/28/65/1125602865.db2.gz ZXSAALXZZOYWCR-RKDXNWHRSA-N 1 2 278.343 3.640 20 0 CHADLO Cc1ccc2nc(C[N@H+](C)Cc3cncs3)ccc2c1 ZINC001137218865 1125611298 /nfs/dbraw/zinc/61/12/98/1125611298.db2.gz OYWROJFFXMDJFM-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccc2nc(C[N@@H+](C)Cc3cncs3)ccc2c1 ZINC001137218865 1125611303 /nfs/dbraw/zinc/61/13/03/1125611303.db2.gz OYWROJFFXMDJFM-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(F)c2)C[C@@H](c2ccsc2)O1 ZINC000246305749 1125618881 /nfs/dbraw/zinc/61/88/81/1125618881.db2.gz CIDVPKKMVCCXAV-LRDDRELGSA-N 1 2 291.391 3.849 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(F)c2)C[C@@H](c2ccsc2)O1 ZINC000246305749 1125618889 /nfs/dbraw/zinc/61/88/89/1125618889.db2.gz CIDVPKKMVCCXAV-LRDDRELGSA-N 1 2 291.391 3.849 20 0 CHADLO CC(C)([NH2+]Cc1ncc(C2CC2)o1)c1cccs1 ZINC000348352414 1125617231 /nfs/dbraw/zinc/61/72/31/1125617231.db2.gz GPOZSHKDMIXXBR-UHFFFAOYSA-N 1 2 262.378 3.638 20 0 CHADLO Cc1[nH]c2ccccc2c1C(=O)C[N@@H+](C)[C@@H](C)c1ccco1 ZINC000069069700 1125629710 /nfs/dbraw/zinc/62/97/10/1125629710.db2.gz UJOWUPRJZFNFFU-ZDUSSCGKSA-N 1 2 296.370 3.945 20 0 CHADLO Cc1[nH]c2ccccc2c1C(=O)C[N@H+](C)[C@@H](C)c1ccco1 ZINC000069069700 1125629712 /nfs/dbraw/zinc/62/97/12/1125629712.db2.gz UJOWUPRJZFNFFU-ZDUSSCGKSA-N 1 2 296.370 3.945 20 0 CHADLO CCCCOc1ccc(C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000074948134 1125644225 /nfs/dbraw/zinc/64/42/25/1125644225.db2.gz KBOROOOQEMUQGU-UHFFFAOYSA-N 1 2 284.359 3.821 20 0 CHADLO Cc1c[nH+]c(Nc2cc3ccccc3n2C)c(C)c1 ZINC001212043065 1125660561 /nfs/dbraw/zinc/66/05/61/1125660561.db2.gz LHJIVAMWNOXCHP-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO C[C@@H]([NH2+][C@@H](CN(C)C)c1ccc(Cl)cc1)c1ccco1 ZINC000282953834 1125662693 /nfs/dbraw/zinc/66/26/93/1125662693.db2.gz CBPIIIWZLOTPHD-DOMZBBRYSA-N 1 2 292.810 3.887 20 0 CHADLO CCCCC(=O)Nc1nc(C[N@@H+]2CCC[C@@H](C)C2)cs1 ZINC000248259545 1125663681 /nfs/dbraw/zinc/66/36/81/1125663681.db2.gz VTLBKRBURSHPKA-GFCCVEGCSA-N 1 2 295.452 3.504 20 0 CHADLO CCCCC(=O)Nc1nc(C[N@H+]2CCC[C@@H](C)C2)cs1 ZINC000248259545 1125663683 /nfs/dbraw/zinc/66/36/83/1125663683.db2.gz VTLBKRBURSHPKA-GFCCVEGCSA-N 1 2 295.452 3.504 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(F)(F)F)cc1)c1ncco1 ZINC000886275809 1125665385 /nfs/dbraw/zinc/66/53/85/1125665385.db2.gz MVXYOUIFVKLHDU-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(OC(C)(C)C)c1)c1ncco1 ZINC000886272225 1125665679 /nfs/dbraw/zinc/66/56/79/1125665679.db2.gz KLRPMYXOENNYHL-LBPRGKRZSA-N 1 2 274.364 3.703 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(C(F)(F)F)c1)c1ncco1 ZINC000886276083 1125665973 /nfs/dbraw/zinc/66/59/73/1125665973.db2.gz WGPIIPYARIKJNR-VIFPVBQESA-N 1 2 270.254 3.544 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1N1CCCCC1)c1ncco1 ZINC000886275514 1125666136 /nfs/dbraw/zinc/66/61/36/1125666136.db2.gz BLEFTHHUFLPHOL-CQSZACIVSA-N 1 2 285.391 3.516 20 0 CHADLO FC(F)Oc1cccc(Nc2cccc3[nH+]ccn32)c1 ZINC001212053880 1125671640 /nfs/dbraw/zinc/67/16/40/1125671640.db2.gz LCFFZZRAUNUWNK-UHFFFAOYSA-N 1 2 275.258 3.679 20 0 CHADLO Cc1cc(CNc2ccc3[nH+]c(C)cc(C)c3c2)n(C)n1 ZINC000886369919 1125674237 /nfs/dbraw/zinc/67/42/37/1125674237.db2.gz RWHVDDSSWNPMEY-UHFFFAOYSA-N 1 2 280.375 3.506 20 0 CHADLO Cc1cc(CNc2ccc3[nH+]c(C)cc(C)c3c2)nn1C ZINC000886369455 1125674603 /nfs/dbraw/zinc/67/46/03/1125674603.db2.gz GOSQNGSLHJUPQB-UHFFFAOYSA-N 1 2 280.375 3.506 20 0 CHADLO CC(C)CC(=O)CC[N@@H+]1C[C@H](C)O[C@@H](c2ccsc2)C1 ZINC000828003261 1125679246 /nfs/dbraw/zinc/67/92/46/1125679246.db2.gz HEZJCCCQORLGSC-XJKSGUPXSA-N 1 2 295.448 3.515 20 0 CHADLO CC(C)CC(=O)CC[N@H+]1C[C@H](C)O[C@@H](c2ccsc2)C1 ZINC000828003261 1125679248 /nfs/dbraw/zinc/67/92/48/1125679248.db2.gz HEZJCCCQORLGSC-XJKSGUPXSA-N 1 2 295.448 3.515 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ncc(Cl)n2C)[C@H]1c1ccccc1 ZINC000886545278 1125689317 /nfs/dbraw/zinc/68/93/17/1125689317.db2.gz KDUKWZRNFYFINY-BLLLJJGKSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ncc(Cl)n2C)[C@H]1c1ccccc1 ZINC000886545278 1125689321 /nfs/dbraw/zinc/68/93/21/1125689321.db2.gz KDUKWZRNFYFINY-BLLLJJGKSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ncc(Cl)n2C)[C@@H]1c1ccccc1 ZINC000886545281 1125688718 /nfs/dbraw/zinc/68/87/18/1125688718.db2.gz KDUKWZRNFYFINY-WBMJQRKESA-N 1 2 289.810 3.657 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ncc(Cl)n2C)[C@@H]1c1ccccc1 ZINC000886545281 1125688722 /nfs/dbraw/zinc/68/87/22/1125688722.db2.gz KDUKWZRNFYFINY-WBMJQRKESA-N 1 2 289.810 3.657 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)[N@H+](C)C/C(Cl)=C/Cl ZINC000138374708 1125694276 /nfs/dbraw/zinc/69/42/76/1125694276.db2.gz QVDIZENZTUYOLE-BKLZJWBFSA-N 1 2 274.191 3.574 20 0 CHADLO C[C@H](Cc1ccc(O)cc1)[N@@H+](C)C/C(Cl)=C/Cl ZINC000138374708 1125694281 /nfs/dbraw/zinc/69/42/81/1125694281.db2.gz QVDIZENZTUYOLE-BKLZJWBFSA-N 1 2 274.191 3.574 20 0 CHADLO FC[C@H]([NH2+][C@@H]1CCc2cccnc21)c1ccc(F)cc1 ZINC000886648609 1125702397 /nfs/dbraw/zinc/70/23/97/1125702397.db2.gz YXRFPCDNDUMBBX-CABCVRRESA-N 1 2 274.314 3.508 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2cc(C3CC3)no2)o1 ZINC000886682806 1125707192 /nfs/dbraw/zinc/70/71/92/1125707192.db2.gz PSKRNGXWVHMOHT-JTQLQIEISA-N 1 2 260.337 3.558 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc2cccc(Cl)c2n1 ZINC001137230150 1125714173 /nfs/dbraw/zinc/71/41/73/1125714173.db2.gz JTKSFGCLQWUACG-CYBMUJFWSA-N 1 2 278.758 3.822 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc2cccc(Cl)c2n1 ZINC001137230150 1125714178 /nfs/dbraw/zinc/71/41/78/1125714178.db2.gz JTKSFGCLQWUACG-CYBMUJFWSA-N 1 2 278.758 3.822 20 0 CHADLO Brc1ccc(CSCCn2cc[nH+]c2)cc1 ZINC000090199216 1125738847 /nfs/dbraw/zinc/73/88/47/1125738847.db2.gz GDUCHUWMYRMKMG-UHFFFAOYSA-N 1 2 297.221 3.579 20 0 CHADLO CCOc1cccc(C[NH+]2CC(C)(C)C2)c1Br ZINC001238694445 1131232078 /nfs/dbraw/zinc/23/20/78/1131232078.db2.gz VVJZRMYIKJSUBL-UHFFFAOYSA-N 1 2 298.224 3.690 20 0 CHADLO CC[C@@H](C(=O)Nc1cc[nH+]c(C)c1)C1CCC(C)CC1 ZINC000622368382 1129253398 /nfs/dbraw/zinc/25/33/98/1129253398.db2.gz UZXCSHUJTRDMFE-LDZOIKDWSA-N 1 2 274.408 3.603 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)[C@H]1CC1(F)F ZINC000638399757 1129256739 /nfs/dbraw/zinc/25/67/39/1129256739.db2.gz SPEWBCSOYQRWJX-DGCLKSJQSA-N 1 2 281.350 3.528 20 0 CHADLO CCOc1cncc(C[N@H+](C)Cc2ccccc2Cl)c1 ZINC000621710167 1129182399 /nfs/dbraw/zinc/18/23/99/1129182399.db2.gz VPGLMFQRAPQYIA-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1cncc(C[N@@H+](C)Cc2ccccc2Cl)c1 ZINC000621710167 1129182400 /nfs/dbraw/zinc/18/24/00/1129182400.db2.gz VPGLMFQRAPQYIA-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO Clc1ccc2c(c1Cl)OC[C@@H]2[NH2+]Cc1ccoc1 ZINC000742383817 1129472884 /nfs/dbraw/zinc/47/28/84/1129472884.db2.gz VAEGNHJTWSPCPL-NSHDSACASA-N 1 2 284.142 3.810 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nccn1CC(C)C)c1ccccn1 ZINC000159213807 1129194061 /nfs/dbraw/zinc/19/40/61/1129194061.db2.gz VSTVAIULYQZTRR-OAHLLOKOSA-N 1 2 286.423 3.565 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@H](C)CC(C)(C)C)c[nH+]1 ZINC000654382907 1129826454 /nfs/dbraw/zinc/82/64/54/1129826454.db2.gz JOTPGFYUBJOPAS-LBPRGKRZSA-N 1 2 276.424 3.777 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCC[C@@H](Nc2ccccc2)C1 ZINC000768556947 1129863716 /nfs/dbraw/zinc/86/37/16/1129863716.db2.gz QYWPKHLYSLUOAF-BRADTZPFSA-N 1 2 285.218 3.882 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCC[C@@H](Nc2ccccc2)C1 ZINC000768556947 1129863719 /nfs/dbraw/zinc/86/37/19/1129863719.db2.gz QYWPKHLYSLUOAF-BRADTZPFSA-N 1 2 285.218 3.882 20 0 CHADLO CCOc1ccc(C)c(C[NH+]2CC(Oc3ccccc3)C2)c1 ZINC001238716014 1131237332 /nfs/dbraw/zinc/23/73/32/1131237332.db2.gz DNBKLYVZHCQDMY-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncc1F)c1nc2ccccc2n1C ZINC000338009165 1126709819 /nfs/dbraw/zinc/70/98/19/1126709819.db2.gz AKOQKGMPWOGKFU-NWDGAFQWSA-N 1 2 298.365 3.519 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[NH2+]CC(C)(C)C(F)(F)CC ZINC000600613330 1126722547 /nfs/dbraw/zinc/72/25/47/1126722547.db2.gz IJIPDVOIBBCWKQ-GFCCVEGCSA-N 1 2 293.398 3.625 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000600643072 1126731088 /nfs/dbraw/zinc/73/10/88/1126731088.db2.gz FNSKRINNNKJVET-CYBMUJFWSA-N 1 2 277.371 3.682 20 0 CHADLO C[C@H](c1ccncc1)[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000600643072 1126731090 /nfs/dbraw/zinc/73/10/90/1126731090.db2.gz FNSKRINNNKJVET-CYBMUJFWSA-N 1 2 277.371 3.682 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOc3ccccc3C2)cc1 ZINC000036450694 1126732494 /nfs/dbraw/zinc/73/24/94/1126732494.db2.gz GZMUCBTWFPQPQG-UHFFFAOYSA-N 1 2 273.763 3.735 20 0 CHADLO Clc1ccc(C[N@H+]2CCOc3ccccc3C2)cc1 ZINC000036450694 1126732495 /nfs/dbraw/zinc/73/24/95/1126732495.db2.gz GZMUCBTWFPQPQG-UHFFFAOYSA-N 1 2 273.763 3.735 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1ccc(F)c(F)c1F ZINC000667976363 1126733483 /nfs/dbraw/zinc/73/34/83/1126733483.db2.gz PKORHVMRVVYIQK-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1ccc(F)c(F)c1F ZINC000667976363 1126733487 /nfs/dbraw/zinc/73/34/87/1126733487.db2.gz PKORHVMRVVYIQK-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccnc1Cl)c1ccccn1 ZINC000152349326 1126757140 /nfs/dbraw/zinc/75/71/40/1126757140.db2.gz QSZZXOLVBAXWNE-GHMZBOCLSA-N 1 2 261.756 3.542 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC[C@H](C(F)(F)F)[C@@H]2C)o1 ZINC000791585841 1130386712 /nfs/dbraw/zinc/38/67/12/1130386712.db2.gz UWCGWNAOUCAHAO-UWVGGRQHSA-N 1 2 276.302 3.571 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC[C@H](C(F)(F)F)[C@@H]2C)o1 ZINC000791585841 1130386716 /nfs/dbraw/zinc/38/67/16/1130386716.db2.gz UWCGWNAOUCAHAO-UWVGGRQHSA-N 1 2 276.302 3.571 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+]Cc2cccc(F)c2F)o1 ZINC000174482448 1126771151 /nfs/dbraw/zinc/77/11/51/1126771151.db2.gz YUWCGVLVWWXYQD-AWEZNQCLSA-N 1 2 295.329 3.598 20 0 CHADLO Fc1ccc(Oc2ccc(C[N@H+]3CC[C@@H](F)C3)cn2)cc1 ZINC000668213794 1126771242 /nfs/dbraw/zinc/77/12/42/1126771242.db2.gz LORVVECFIWJAAI-CQSZACIVSA-N 1 2 290.313 3.557 20 0 CHADLO Fc1ccc(Oc2ccc(C[N@@H+]3CC[C@@H](F)C3)cn2)cc1 ZINC000668213794 1126771244 /nfs/dbraw/zinc/77/12/44/1126771244.db2.gz LORVVECFIWJAAI-CQSZACIVSA-N 1 2 290.313 3.557 20 0 CHADLO Clc1sccc1C[N@@H+]1CCc2ccccc2C1 ZINC000483161019 1126771658 /nfs/dbraw/zinc/77/16/58/1126771658.db2.gz SENYGZXZUDWDTG-UHFFFAOYSA-N 1 2 263.793 3.960 20 0 CHADLO Clc1sccc1C[N@H+]1CCc2ccccc2C1 ZINC000483161019 1126771660 /nfs/dbraw/zinc/77/16/60/1126771660.db2.gz SENYGZXZUDWDTG-UHFFFAOYSA-N 1 2 263.793 3.960 20 0 CHADLO CC(C)Oc1ccc(C[N@H+](C)Cc2nccs2)cc1 ZINC000175066005 1126776817 /nfs/dbraw/zinc/77/68/17/1126776817.db2.gz JTIBRQOYXRXMPQ-UHFFFAOYSA-N 1 2 276.405 3.562 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+](C)Cc2nccs2)cc1 ZINC000175066005 1126776821 /nfs/dbraw/zinc/77/68/21/1126776821.db2.gz JTIBRQOYXRXMPQ-UHFFFAOYSA-N 1 2 276.405 3.562 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCCC[C@H]1c1cccn1C ZINC000175142880 1126778015 /nfs/dbraw/zinc/77/80/15/1126778015.db2.gz PKVZXTOWMRLLCF-INIZCTEOSA-N 1 2 286.423 3.530 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCCC[C@H]1c1cccn1C ZINC000175142880 1126778018 /nfs/dbraw/zinc/77/80/18/1126778018.db2.gz PKVZXTOWMRLLCF-INIZCTEOSA-N 1 2 286.423 3.530 20 0 CHADLO CC(C)[C@@H](C)[NH2+][C@@H](Cc1ccccc1)C(=O)OC(C)(C)C ZINC000777304636 1126787456 /nfs/dbraw/zinc/78/74/56/1126787456.db2.gz WKLZYWZNTCWKAG-ZBFHGGJFSA-N 1 2 291.435 3.574 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679228349 1130505291 /nfs/dbraw/zinc/50/52/91/1130505291.db2.gz WQOFZJKJYQAVTN-RULNRJAQSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CC[C@@H](C)[C@@H](F)C1 ZINC000679228349 1130505294 /nfs/dbraw/zinc/50/52/94/1130505294.db2.gz WQOFZJKJYQAVTN-RULNRJAQSA-N 1 2 257.299 3.706 20 0 CHADLO Cc1csc(C[NH2+][C@@H](C)c2ccc3ccccc3n2)n1 ZINC000177779850 1126805001 /nfs/dbraw/zinc/80/50/01/1126805001.db2.gz VJZYMUPORDKVKD-LBPRGKRZSA-N 1 2 283.400 3.851 20 0 CHADLO C[N@H+](Cc1nccn1C(F)F)[C@H]1CCc2ccc(F)cc21 ZINC000177822002 1126805493 /nfs/dbraw/zinc/80/54/93/1126805493.db2.gz VWXLMIHMVFURQK-ZDUSSCGKSA-N 1 2 295.308 3.537 20 0 CHADLO C[N@@H+](Cc1nccn1C(F)F)[C@H]1CCc2ccc(F)cc21 ZINC000177822002 1126805496 /nfs/dbraw/zinc/80/54/96/1126805496.db2.gz VWXLMIHMVFURQK-ZDUSSCGKSA-N 1 2 295.308 3.537 20 0 CHADLO CCS[C@@H](C)c1noc([C@@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923952880 1126813274 /nfs/dbraw/zinc/81/32/74/1126813274.db2.gz QLOVJPJOOVRCBE-NWDGAFQWSA-N 1 2 294.424 3.716 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2cnc(CC(C)C)s2)s1 ZINC000179384317 1126827677 /nfs/dbraw/zinc/82/76/77/1126827677.db2.gz SPSVPXGKQWNDNJ-UHFFFAOYSA-N 1 2 295.477 3.739 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2cnc(CC(C)C)s2)s1 ZINC000179384317 1126827681 /nfs/dbraw/zinc/82/76/81/1126827681.db2.gz SPSVPXGKQWNDNJ-UHFFFAOYSA-N 1 2 295.477 3.739 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cnc(CC(C)C)s1 ZINC000179430097 1126828767 /nfs/dbraw/zinc/82/87/67/1126828767.db2.gz ZCCOMCURMCMUCM-UHFFFAOYSA-N 1 2 295.477 3.739 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cnc(CC(C)C)s1 ZINC000179430097 1126828770 /nfs/dbraw/zinc/82/87/70/1126828770.db2.gz ZCCOMCURMCMUCM-UHFFFAOYSA-N 1 2 295.477 3.739 20 0 CHADLO CCc1ccc(C(C)(C)[NH2+]Cc2ncc(C)o2)cc1 ZINC000180100914 1126839585 /nfs/dbraw/zinc/83/95/85/1126839585.db2.gz WQPPZFZBMRGUND-UHFFFAOYSA-N 1 2 258.365 3.570 20 0 CHADLO CCc1ccc(C(C)(C)[NH2+]Cc2nnc(C(C)C)o2)cc1 ZINC000180101990 1126839607 /nfs/dbraw/zinc/83/96/07/1126839607.db2.gz MDALXHDHBYMPQE-UHFFFAOYSA-N 1 2 287.407 3.780 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc(C2CC(C(C)(C)C)C2)n1 ZINC000904267509 1126840251 /nfs/dbraw/zinc/84/02/51/1126840251.db2.gz DURNDAGLBLRISX-UHFFFAOYSA-N 1 2 286.379 3.562 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc(C2[C@H]3CCCCCC[C@H]23)n1 ZINC000904265390 1126840295 /nfs/dbraw/zinc/84/02/95/1126840295.db2.gz JDOREZYOXBZSPS-RYUDHWBXSA-N 1 2 298.390 3.706 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc(C2[C@H]3CCCCCC[C@H]23)n1 ZINC000904262244 1126840302 /nfs/dbraw/zinc/84/03/02/1126840302.db2.gz BGFUKEPJUZACHU-STQMWFEESA-N 1 2 298.390 3.706 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc(-c2sccc2C2CC2)n1 ZINC000904269203 1126840655 /nfs/dbraw/zinc/84/06/55/1126840655.db2.gz XNDWKBAQJJNLJS-UHFFFAOYSA-N 1 2 298.371 3.628 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc(C2CC3(C2)CCCCC3)n1 ZINC000904262535 1126840844 /nfs/dbraw/zinc/84/08/44/1126840844.db2.gz ICFPCQWXNKBGJW-UHFFFAOYSA-N 1 2 298.390 3.850 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H]2CCCc3nc(C)sc32)s1 ZINC000180585394 1126846565 /nfs/dbraw/zinc/84/65/65/1126846565.db2.gz YTYLDOVCVUJAJT-GFCCVEGCSA-N 1 2 293.461 3.726 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H]2CCCc3nc(C)sc32)s1 ZINC000180585394 1126846568 /nfs/dbraw/zinc/84/65/68/1126846568.db2.gz YTYLDOVCVUJAJT-GFCCVEGCSA-N 1 2 293.461 3.726 20 0 CHADLO Fc1ccc(F)c(C[N@H+](Cc2ccccn2)C2CC2)c1 ZINC000180861769 1126849756 /nfs/dbraw/zinc/84/97/56/1126849756.db2.gz ZOSGCZUIBFXBRY-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1ccc(F)c(C[N@@H+](Cc2ccccn2)C2CC2)c1 ZINC000180861769 1126849758 /nfs/dbraw/zinc/84/97/58/1126849758.db2.gz ZOSGCZUIBFXBRY-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO CCc1ccc(C2=CC[N@@H+]([C@@H](C)c3nnc(C)o3)CC2)cc1 ZINC000180914842 1126850110 /nfs/dbraw/zinc/85/01/10/1126850110.db2.gz DTAPMYAMIQHOMR-ZDUSSCGKSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1ccc(C2=CC[N@H+]([C@@H](C)c3nnc(C)o3)CC2)cc1 ZINC000180914842 1126850113 /nfs/dbraw/zinc/85/01/13/1126850113.db2.gz DTAPMYAMIQHOMR-ZDUSSCGKSA-N 1 2 297.402 3.791 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@@H](C)c2nc3ccccc3o2)s1 ZINC000180976927 1126850962 /nfs/dbraw/zinc/85/09/62/1126850962.db2.gz RSWFEYGECRAHDI-JTQLQIEISA-N 1 2 287.388 3.786 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@@H](C)c2nc3ccccc3o2)s1 ZINC000180976927 1126850967 /nfs/dbraw/zinc/85/09/67/1126850967.db2.gz RSWFEYGECRAHDI-JTQLQIEISA-N 1 2 287.388 3.786 20 0 CHADLO FCC[N@@H+]1CCC[C@H]1c1ccc(Br)cc1 ZINC000759328238 1130651450 /nfs/dbraw/zinc/65/14/50/1130651450.db2.gz OMDIECFBPFLTRG-LBPRGKRZSA-N 1 2 272.161 3.556 20 0 CHADLO FCC[N@H+]1CCC[C@H]1c1ccc(Br)cc1 ZINC000759328238 1130651454 /nfs/dbraw/zinc/65/14/54/1130651454.db2.gz OMDIECFBPFLTRG-LBPRGKRZSA-N 1 2 272.161 3.556 20 0 CHADLO CCOc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1ccon1 ZINC000181258695 1126853613 /nfs/dbraw/zinc/85/36/13/1126853613.db2.gz GOHXOPPAFHJLQD-MRXNPFEDSA-N 1 2 288.391 3.950 20 0 CHADLO CCS[C@H](C)c1noc(C[NH2+][C@H](C)c2ccccc2)n1 ZINC000181347325 1126855064 /nfs/dbraw/zinc/85/50/64/1126855064.db2.gz SUPKAXJBUBLMFE-VXGBXAGGSA-N 1 2 291.420 3.735 20 0 CHADLO CC(C)CCc1nc(C[NH2+][C@H](C)c2ccccn2)cs1 ZINC000181799889 1126861871 /nfs/dbraw/zinc/86/18/71/1126861871.db2.gz DKTDRROWUAXXSW-CYBMUJFWSA-N 1 2 289.448 3.978 20 0 CHADLO COc1ccc2oc([C@H](C)[NH2+]Cc3cocn3)c(C)c2c1 ZINC000182066926 1126865833 /nfs/dbraw/zinc/86/58/33/1126865833.db2.gz OVIVOMYVCJRXDG-NSHDSACASA-N 1 2 286.331 3.589 20 0 CHADLO CC(C)c1nn(C)cc1-c1cc(F)cc(Cn2cc[nH+]c2)c1 ZINC000904796926 1126876538 /nfs/dbraw/zinc/87/65/38/1126876538.db2.gz PWGJHWBSQPFYHE-UHFFFAOYSA-N 1 2 298.365 3.594 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(C(C)C)no2)c(C)s1 ZINC000182738249 1126876650 /nfs/dbraw/zinc/87/66/50/1126876650.db2.gz SLZGUMLFHKKRFF-JTQLQIEISA-N 1 2 279.409 3.722 20 0 CHADLO COCC[C@@H](C)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000182883405 1126879403 /nfs/dbraw/zinc/87/94/03/1126879403.db2.gz LVLCSWZRMKSOMW-CQSZACIVSA-N 1 2 299.418 3.723 20 0 CHADLO Cc1nc2ccc(-c3c[nH+]c(C(C)C)n3C)cc2o1 ZINC000904846763 1126879627 /nfs/dbraw/zinc/87/96/27/1126879627.db2.gz WBZAKKJATDIVPQ-UHFFFAOYSA-N 1 2 255.321 3.660 20 0 CHADLO Cc1nnc(C[NH2+][C@H](c2oc3ccccc3c2C)C(C)C)o1 ZINC000183270486 1126884743 /nfs/dbraw/zinc/88/47/43/1126884743.db2.gz ZWWLBUXVVGXQSK-INIZCTEOSA-N 1 2 299.374 3.920 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2nc(C(C)(C)C)no2)c1C ZINC000183730438 1126891631 /nfs/dbraw/zinc/89/16/31/1126891631.db2.gz LCDYGZMQPPBVHS-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO CCC[C@H]1CCCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000183896046 1126893720 /nfs/dbraw/zinc/89/37/20/1126893720.db2.gz NHXHWVUSLPWOSB-KRWDZBQOSA-N 1 2 297.402 3.667 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000905253645 1126896047 /nfs/dbraw/zinc/89/60/47/1126896047.db2.gz RWARJKSQQSSUOF-ZDUSSCGKSA-N 1 2 282.387 3.540 20 0 CHADLO c1nc(CNc2[nH+]c3ccccc3n2CC2CC2)cs1 ZINC000905428670 1126902096 /nfs/dbraw/zinc/90/20/96/1126902096.db2.gz ABRJUVSSFNKMHC-UHFFFAOYSA-N 1 2 284.388 3.515 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCCC[C@@](C)(F)C2)c(F)c1 ZINC001235190812 1130720360 /nfs/dbraw/zinc/72/03/60/1130720360.db2.gz SBOXYCGBTSCFLJ-OAHLLOKOSA-N 1 2 271.326 3.987 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCCC[C@@](C)(F)C2)c(F)c1 ZINC001235190812 1130720365 /nfs/dbraw/zinc/72/03/65/1130720365.db2.gz SBOXYCGBTSCFLJ-OAHLLOKOSA-N 1 2 271.326 3.987 20 0 CHADLO Cc1ccc(NCc2cn(C)nc2C2CCCC2)c(C)[nH+]1 ZINC000905412090 1126900412 /nfs/dbraw/zinc/90/04/12/1126900412.db2.gz WRVNSGATCQMQDL-UHFFFAOYSA-N 1 2 284.407 3.702 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2cc(O)cc(F)c2)on1 ZINC000185555489 1126900492 /nfs/dbraw/zinc/90/04/92/1126900492.db2.gz PZZIFCLJMGADDW-UHFFFAOYSA-N 1 2 292.354 3.713 20 0 CHADLO Cc1nc(CNc2[nH+]c3ccccc3n2CC2CC2)cs1 ZINC000905430001 1126901928 /nfs/dbraw/zinc/90/19/28/1126901928.db2.gz NSQZCTGLAPSVRM-UHFFFAOYSA-N 1 2 298.415 3.823 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@@H+]1Cc1nnc(C(C)C)o1 ZINC000185801018 1126903323 /nfs/dbraw/zinc/90/33/23/1126903323.db2.gz ZAQHHXSJQHNLSI-HNNXBMFYSA-N 1 2 285.391 3.530 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@H+]1Cc1nnc(C(C)C)o1 ZINC000185801018 1126903324 /nfs/dbraw/zinc/90/33/24/1126903324.db2.gz ZAQHHXSJQHNLSI-HNNXBMFYSA-N 1 2 285.391 3.530 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(F)c(F)c(F)c2F)C2(CC2)C1 ZINC001235347798 1130735040 /nfs/dbraw/zinc/73/50/40/1130735040.db2.gz FNHXZVIJWBLQRH-MRVPVSSYSA-N 1 2 273.273 3.617 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(F)c(F)c(F)c2F)C2(CC2)C1 ZINC001235347798 1130735045 /nfs/dbraw/zinc/73/50/45/1130735045.db2.gz FNHXZVIJWBLQRH-MRVPVSSYSA-N 1 2 273.273 3.617 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(F)c(F)c(F)c2F)[C@@H](C)C1 ZINC001235354042 1130735849 /nfs/dbraw/zinc/73/58/49/1130735849.db2.gz HUJIKGQFLNSCRQ-IUCAKERBSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(F)c(F)c(F)c2F)[C@@H](C)C1 ZINC001235354042 1130735852 /nfs/dbraw/zinc/73/58/52/1130735852.db2.gz HUJIKGQFLNSCRQ-IUCAKERBSA-N 1 2 275.289 3.863 20 0 CHADLO CCCC[C@H](C)N(C)C(=O)c1c(C)cc(C)[nH+]c1C ZINC000905713524 1126912348 /nfs/dbraw/zinc/91/23/48/1126912348.db2.gz RAZQJPZCJLFDSV-ZDUSSCGKSA-N 1 2 262.397 3.658 20 0 CHADLO CCCC[C@@H](C)N(C)C(=O)c1c(C)cc(C)[nH+]c1C ZINC000905713523 1126912522 /nfs/dbraw/zinc/91/25/22/1126912522.db2.gz RAZQJPZCJLFDSV-CYBMUJFWSA-N 1 2 262.397 3.658 20 0 CHADLO CCSc1cc(C[NH2+][C@@H](C)c2nccs2)ccn1 ZINC000187796365 1126914982 /nfs/dbraw/zinc/91/49/82/1126914982.db2.gz NBXIJTHJRCRUSB-JTQLQIEISA-N 1 2 279.434 3.501 20 0 CHADLO Fc1cc(Cl)ccc1CSCCn1cc[nH+]c1 ZINC000187952471 1126917606 /nfs/dbraw/zinc/91/76/06/1126917606.db2.gz HLFKCVNFEVSUKD-UHFFFAOYSA-N 1 2 270.760 3.609 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000188222696 1126921457 /nfs/dbraw/zinc/92/14/57/1126921457.db2.gz CNMWDLBAWFJIKV-ONGXEEELSA-N 1 2 293.773 3.989 20 0 CHADLO FC[C@H]1[C@@H]2C[N@@H+](Cc3c(F)cc(Cl)cc3Cl)C[C@H]12 ZINC001235751990 1130776547 /nfs/dbraw/zinc/77/65/47/1130776547.db2.gz ZKMUGMYMHAGZJY-OWUUHHOZSA-N 1 2 292.156 3.780 20 0 CHADLO FC(F)c1cscc1-c1c[nH+]c2n1CCCC2 ZINC000906195901 1126940628 /nfs/dbraw/zinc/94/06/28/1126940628.db2.gz RPJDFRHNPSAWAR-UHFFFAOYSA-N 1 2 254.305 3.886 20 0 CHADLO Fc1cc(CSCCn2cc[nH+]c2)ccc1Cl ZINC000190860603 1126945758 /nfs/dbraw/zinc/94/57/58/1126945758.db2.gz LWZPZLXFHWLUTL-UHFFFAOYSA-N 1 2 270.760 3.609 20 0 CHADLO Cn1cc(CNc2cc[nH+]cc2F)c(C2CCCCC2)n1 ZINC000192150013 1126958471 /nfs/dbraw/zinc/95/84/71/1126958471.db2.gz XUCBQIKQJONBBC-UHFFFAOYSA-N 1 2 288.370 3.614 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1sccc1Cl ZINC000194480972 1126974867 /nfs/dbraw/zinc/97/48/67/1126974867.db2.gz MCYLQXVMOZZBCH-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1sccc1Cl ZINC000194480972 1126974869 /nfs/dbraw/zinc/97/48/69/1126974869.db2.gz MCYLQXVMOZZBCH-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO Cc1c2cc(C)ccc2sc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000486431647 1126981891 /nfs/dbraw/zinc/98/18/91/1126981891.db2.gz IUTYLRCTFXBYPF-UHFFFAOYSA-N 1 2 296.395 3.896 20 0 CHADLO Cc1cc(C[NH2+]C(c2ccccc2)c2ccccc2)nn1C ZINC000486428323 1126982124 /nfs/dbraw/zinc/98/21/24/1126982124.db2.gz CKFOARJTQKBDIV-UHFFFAOYSA-N 1 2 291.398 3.608 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C1(C2CC2)CCC1 ZINC000907905764 1126987615 /nfs/dbraw/zinc/98/76/15/1126987615.db2.gz GQUGRMLIDVGSGT-UHFFFAOYSA-N 1 2 295.386 3.904 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@@H]2CCCC(F)(F)C2)c(C)[nH+]1 ZINC000908013390 1126989299 /nfs/dbraw/zinc/98/92/99/1126989299.db2.gz OLSOBGABBNMSQQ-CYBMUJFWSA-N 1 2 296.361 3.562 20 0 CHADLO Cc1ccccc1[C@@H](C)N(CC(C)C)C(=O)Cc1c[nH+]c[nH]1 ZINC000908116078 1126990575 /nfs/dbraw/zinc/99/05/75/1126990575.db2.gz WMUAOMBFYLEGCE-OAHLLOKOSA-N 1 2 299.418 3.506 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1nc2c(s1)CCCC2 ZINC000267011988 1126991873 /nfs/dbraw/zinc/99/18/73/1126991873.db2.gz MVQKOYJEGMMELV-LBPRGKRZSA-N 1 2 287.432 3.610 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1nc2c(s1)CCCC2 ZINC000267011988 1126991874 /nfs/dbraw/zinc/99/18/74/1126991874.db2.gz MVQKOYJEGMMELV-LBPRGKRZSA-N 1 2 287.432 3.610 20 0 CHADLO Cc1cnc(C[N@@H+]2CCCC[C@@H]2c2ccc(F)cc2)o1 ZINC000267610454 1127002964 /nfs/dbraw/zinc/00/29/64/1127002964.db2.gz BHWXSFGSIVBQTK-OAHLLOKOSA-N 1 2 274.339 3.849 20 0 CHADLO Cc1cnc(C[N@H+]2CCCC[C@@H]2c2ccc(F)cc2)o1 ZINC000267610454 1127002967 /nfs/dbraw/zinc/00/29/67/1127002967.db2.gz BHWXSFGSIVBQTK-OAHLLOKOSA-N 1 2 274.339 3.849 20 0 CHADLO CN(C)c1cc(C2=CC[C@@H](C(F)(F)F)CC2)cc[nH+]1 ZINC001236253720 1130905962 /nfs/dbraw/zinc/90/59/62/1130905962.db2.gz JTEVARAHQMWAFN-GFCCVEGCSA-N 1 2 270.298 3.893 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(F)c2)sc1C ZINC000268045344 1127010497 /nfs/dbraw/zinc/01/04/97/1127010497.db2.gz RPJIMYCKNCMREY-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(F)c2)sc1C ZINC000268045344 1127010501 /nfs/dbraw/zinc/01/05/01/1127010501.db2.gz RPJIMYCKNCMREY-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nnc(C(C)(C)C)s2)cc1C ZINC000268157959 1127013064 /nfs/dbraw/zinc/01/30/64/1127013064.db2.gz CPBVCIXEYGAQAC-UHFFFAOYSA-N 1 2 289.448 3.742 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2cc(Cl)cs2)[nH]1 ZINC000487159755 1127019953 /nfs/dbraw/zinc/01/99/53/1127019953.db2.gz NQUAOQVUDDFALF-BDAKNGLRSA-N 1 2 298.843 3.884 20 0 CHADLO Cc1cc2cc([C@@H](C)[NH2+][C@@H](C)c3nncn3C)oc2cc1C ZINC000268954840 1127029571 /nfs/dbraw/zinc/02/95/71/1127029571.db2.gz YNWCZWSUPTWSRZ-OLZOCXBDSA-N 1 2 298.390 3.590 20 0 CHADLO Cc1cc(C[N@H+]2CC=C(C(F)(F)F)CC2)cc(Cl)n1 ZINC000797591861 1130996076 /nfs/dbraw/zinc/99/60/76/1130996076.db2.gz IJHSRJXZLKUFCX-UHFFFAOYSA-N 1 2 290.716 3.738 20 0 CHADLO Cc1cc(C[N@@H+]2CC=C(C(F)(F)F)CC2)cc(Cl)n1 ZINC000797591861 1130996080 /nfs/dbraw/zinc/99/60/80/1130996080.db2.gz IJHSRJXZLKUFCX-UHFFFAOYSA-N 1 2 290.716 3.738 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@H](C)c1cscn1 ZINC000271126136 1127069512 /nfs/dbraw/zinc/06/95/12/1127069512.db2.gz KQECARSCXUVIOY-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1ncc(C2CC2)o1 ZINC000271391121 1127078137 /nfs/dbraw/zinc/07/81/37/1127078137.db2.gz LYVUBDGTCQTLNC-AWEZNQCLSA-N 1 2 271.364 3.530 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1ncc(C2CC2)o1 ZINC000271391121 1127078139 /nfs/dbraw/zinc/07/81/39/1127078139.db2.gz LYVUBDGTCQTLNC-AWEZNQCLSA-N 1 2 271.364 3.530 20 0 CHADLO CCc1cccc2c1OCC[C@H]2[NH2+][C@@H](C)c1cscn1 ZINC000271443371 1127081782 /nfs/dbraw/zinc/08/17/82/1127081782.db2.gz GXJIDVGZBUOXJK-SMDDNHRTSA-N 1 2 288.416 3.880 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H]2COc3c2ccc(C)c3C)cs1 ZINC000271509320 1127087374 /nfs/dbraw/zinc/08/73/74/1127087374.db2.gz SDCNGFJSMBYQSJ-SMDDNHRTSA-N 1 2 288.416 3.853 20 0 CHADLO CCc1cc(C(=O)Nc2ccc3[nH+]c(C)cn3c2)sc1C ZINC000339116942 1127114784 /nfs/dbraw/zinc/11/47/84/1127114784.db2.gz RQBOFROZFPNKNT-UHFFFAOYSA-N 1 2 299.399 3.827 20 0 CHADLO Cc1oc2ccccc2c1C[N@H+]1CCC[C@@H]1C(F)F ZINC000348829885 1127129150 /nfs/dbraw/zinc/12/91/50/1127129150.db2.gz BDCXNQNYAOWMSC-CYBMUJFWSA-N 1 2 265.303 3.971 20 0 CHADLO Cc1oc2ccccc2c1C[N@@H+]1CCC[C@@H]1C(F)F ZINC000348829885 1127129147 /nfs/dbraw/zinc/12/91/47/1127129147.db2.gz BDCXNQNYAOWMSC-CYBMUJFWSA-N 1 2 265.303 3.971 20 0 CHADLO CC(C)CC[C@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348834477 1127130402 /nfs/dbraw/zinc/13/04/02/1127130402.db2.gz PXEVLFOCEVGVHX-AWEZNQCLSA-N 1 2 272.396 3.563 20 0 CHADLO C[C@@H]1CC(C)(C)CC[C@@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348838090 1127130788 /nfs/dbraw/zinc/13/07/88/1127130788.db2.gz MBCANXMRQPUGQE-ZBFHGGJFSA-N 1 2 298.434 3.953 20 0 CHADLO CO[C@H](C[N@H+](C)Cc1cscn1)c1ccc(Cl)cc1 ZINC000283361200 1127134530 /nfs/dbraw/zinc/13/45/30/1127134530.db2.gz BQJADVIBXUEOQK-CQSZACIVSA-N 1 2 296.823 3.616 20 0 CHADLO CO[C@H](C[N@@H+](C)Cc1cscn1)c1ccc(Cl)cc1 ZINC000283361200 1127134533 /nfs/dbraw/zinc/13/45/33/1127134533.db2.gz BQJADVIBXUEOQK-CQSZACIVSA-N 1 2 296.823 3.616 20 0 CHADLO CC(C)c1[nH]ccc1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000349315957 1127146514 /nfs/dbraw/zinc/14/65/14/1127146514.db2.gz AWECVTXQKDBJLC-UHFFFAOYSA-N 1 2 294.358 3.576 20 0 CHADLO COc1ccsc1[C@H](C)[NH2+]Cc1nnc(C(C)C)s1 ZINC000349342128 1127147990 /nfs/dbraw/zinc/14/79/90/1127147990.db2.gz MSCSOSQCKHHBGT-VIFPVBQESA-N 1 2 297.449 3.582 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccccc2Cl)no1 ZINC000044590029 1127154150 /nfs/dbraw/zinc/15/41/50/1127154150.db2.gz MEQRUEOQLFHAJO-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccccc2Cl)no1 ZINC000044590029 1127154152 /nfs/dbraw/zinc/15/41/52/1127154152.db2.gz MEQRUEOQLFHAJO-HNNXBMFYSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1nc(C(C)C)sc1[C@@H](C)[NH2+][C@H](C)c1ccon1 ZINC000349828583 1127163818 /nfs/dbraw/zinc/16/38/18/1127163818.db2.gz GLIAWFQUWABPER-NXEZZACHSA-N 1 2 279.409 3.975 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@@H](C)c2ccon2)ccc1SC ZINC000349843479 1127164910 /nfs/dbraw/zinc/16/49/10/1127164910.db2.gz XORKBSBCNMGHHM-MNOVXSKESA-N 1 2 292.404 3.817 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1ccc(C2CCC2)cc1 ZINC000350144719 1127174296 /nfs/dbraw/zinc/17/42/96/1127174296.db2.gz FNEOZQBILZTJBY-UHFFFAOYSA-N 1 2 291.354 3.854 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000351063296 1127184672 /nfs/dbraw/zinc/18/46/72/1127184672.db2.gz LSDNGSRFLZMGRV-HNNXBMFYSA-N 1 2 285.391 3.534 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000351063296 1127184674 /nfs/dbraw/zinc/18/46/74/1127184674.db2.gz LSDNGSRFLZMGRV-HNNXBMFYSA-N 1 2 285.391 3.534 20 0 CHADLO Oc1cccc(/C=[NH+]/CCc2cccc3ccccc32)c1O ZINC000032074653 1127199889 /nfs/dbraw/zinc/19/98/89/1127199889.db2.gz SFPWXSBEQDBRJO-DEDYPNTBSA-N 1 2 291.350 3.913 20 0 CHADLO Cc1[nH]c(CNc2cccc(COC(C)C)c2)[nH+]c1C ZINC000572497930 1127201928 /nfs/dbraw/zinc/20/19/28/1127201928.db2.gz CKCKBZHOOZPUOY-UHFFFAOYSA-N 1 2 273.380 3.564 20 0 CHADLO CC[C@H](C)c1ccc(C(=O)OCCCn2cc[nH+]c2)cc1 ZINC000784082609 1127211261 /nfs/dbraw/zinc/21/12/61/1127211261.db2.gz JAQCJUXXWBHWQH-AWEZNQCLSA-N 1 2 286.375 3.644 20 0 CHADLO CCCC[C@H](C(=O)Nc1ccn2cc[nH+]c2c1)C(C)C ZINC001274969335 1127211708 /nfs/dbraw/zinc/21/17/08/1127211708.db2.gz JSVARBLDNUAJOI-AWEZNQCLSA-N 1 2 273.380 3.735 20 0 CHADLO Cc1ccc(-c2csc(C[NH+]3CCSCC3)n2)cc1 ZINC000351856956 1127211786 /nfs/dbraw/zinc/21/17/86/1127211786.db2.gz LRLHERWDGLFTNL-UHFFFAOYSA-N 1 2 290.457 3.667 20 0 CHADLO CC[C@H](C)CCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000351956896 1127214392 /nfs/dbraw/zinc/21/43/92/1127214392.db2.gz DBSSWWLYPKPPIQ-LBPRGKRZSA-N 1 2 271.364 3.842 20 0 CHADLO CCOC1CC(CSCc2[nH+]cc(C)c(OC)c2C)C1 ZINC000285084463 1127216953 /nfs/dbraw/zinc/21/69/53/1127216953.db2.gz MPLUVDQTWHYHIG-UHFFFAOYSA-N 1 2 295.448 3.755 20 0 CHADLO c1coc(C2=CCC[N@@H+](Cc3csc(C4CC4)n3)C2)c1 ZINC000285233195 1127220129 /nfs/dbraw/zinc/22/01/29/1127220129.db2.gz GBDUBJWFOKZCPV-UHFFFAOYSA-N 1 2 286.400 3.903 20 0 CHADLO c1coc(C2=CCC[N@H+](Cc3csc(C4CC4)n3)C2)c1 ZINC000285233195 1127220133 /nfs/dbraw/zinc/22/01/33/1127220133.db2.gz GBDUBJWFOKZCPV-UHFFFAOYSA-N 1 2 286.400 3.903 20 0 CHADLO Cc1c[nH+]c(CCSCC[C@@H]2CCCO2)c(C)c1 ZINC000572881041 1127228667 /nfs/dbraw/zinc/22/86/67/1127228667.db2.gz YRJCICKZLUXGBX-AWEZNQCLSA-N 1 2 265.422 3.543 20 0 CHADLO CC[C@H]([NH2+]Cc1n[nH]c2ccccc21)c1ccc(OC)cc1 ZINC000589634996 1127231259 /nfs/dbraw/zinc/23/12/59/1127231259.db2.gz DTYHOKNJQVCOTM-INIZCTEOSA-N 1 2 295.386 3.812 20 0 CHADLO CC(C)Cc1cccc([C@H](C)[NH2+]Cc2ncccn2)c1 ZINC000572901087 1127229155 /nfs/dbraw/zinc/22/91/55/1127229155.db2.gz XTVDLYJTDAFWMY-AWEZNQCLSA-N 1 2 269.392 3.526 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+]Cc1[nH]nc2ccccc21 ZINC000589647169 1127233203 /nfs/dbraw/zinc/23/32/03/1127233203.db2.gz KFZCPDRTJDHDTG-UHFFFAOYSA-N 1 2 289.741 3.645 20 0 CHADLO Cc1cc(C)c(C[NH2+]Cc2n[nH]c3ccccc32)c(C)c1 ZINC000589647226 1127233545 /nfs/dbraw/zinc/23/35/45/1127233545.db2.gz OGWQLOOXXOIDJL-UHFFFAOYSA-N 1 2 279.387 3.778 20 0 CHADLO C[C@@H](CC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)CC(C)(C)C ZINC000589955810 1127241948 /nfs/dbraw/zinc/24/19/48/1127241948.db2.gz CPWOFKVFJHIUIT-UONOGXRCSA-N 1 2 291.439 3.578 20 0 CHADLO C[N@H+](CCc1cccs1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237990796 1131158497 /nfs/dbraw/zinc/15/84/97/1131158497.db2.gz XZRCBHZKUDBWQO-UHFFFAOYSA-N 1 2 299.798 3.921 20 0 CHADLO C[N@@H+](CCc1cccs1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237990796 1131158500 /nfs/dbraw/zinc/15/85/00/1131158500.db2.gz XZRCBHZKUDBWQO-UHFFFAOYSA-N 1 2 299.798 3.921 20 0 CHADLO CCc1cnc(C[NH2+]Cc2c(F)cccc2SC)s1 ZINC000352921933 1127251112 /nfs/dbraw/zinc/25/11/12/1127251112.db2.gz MIOIXDSKAZONPG-UHFFFAOYSA-N 1 2 296.436 3.856 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(OCC(F)(F)F)c1 ZINC001212164535 1127260222 /nfs/dbraw/zinc/26/02/22/1127260222.db2.gz VAFLKVAWEJPFER-UHFFFAOYSA-N 1 2 298.264 3.775 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238150900 1131174167 /nfs/dbraw/zinc/17/41/67/1131174167.db2.gz DFDCLZVUHBHTSH-VHSXEESVSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238150900 1131174172 /nfs/dbraw/zinc/17/41/72/1131174172.db2.gz DFDCLZVUHBHTSH-VHSXEESVSA-N 1 2 271.763 3.805 20 0 CHADLO COc1ccnc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)c1 ZINC000286936517 1127275867 /nfs/dbraw/zinc/27/58/67/1127275867.db2.gz LNWFRMZRGBIDLX-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO COc1ccnc(C[N@H+]2CC(C)(C)[C@@H]2c2cccs2)c1 ZINC000286936517 1127275869 /nfs/dbraw/zinc/27/58/69/1127275869.db2.gz LNWFRMZRGBIDLX-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+][C@@H](C)c1c(F)cncc1F ZINC000353934955 1127280330 /nfs/dbraw/zinc/28/03/30/1127280330.db2.gz SAZWDYQVBIILRQ-WDEREUQCSA-N 1 2 291.345 3.729 20 0 CHADLO C=C(Br)C[N@@H+](C)[C@@H](C)c1ccc(C)cc1 ZINC000057754321 1127277871 /nfs/dbraw/zinc/27/78/71/1127277871.db2.gz QGTWLUDYWJWAKZ-LBPRGKRZSA-N 1 2 268.198 3.896 20 0 CHADLO C=C(Br)C[N@H+](C)[C@@H](C)c1ccc(C)cc1 ZINC000057754321 1127277873 /nfs/dbraw/zinc/27/78/73/1127277873.db2.gz QGTWLUDYWJWAKZ-LBPRGKRZSA-N 1 2 268.198 3.896 20 0 CHADLO C=C(Br)C[N@@H+](C)[C@H](C)c1ccc(C)cc1 ZINC000057754319 1127277925 /nfs/dbraw/zinc/27/79/25/1127277925.db2.gz QGTWLUDYWJWAKZ-GFCCVEGCSA-N 1 2 268.198 3.896 20 0 CHADLO C=C(Br)C[N@H+](C)[C@H](C)c1ccc(C)cc1 ZINC000057754319 1127277927 /nfs/dbraw/zinc/27/79/27/1127277927.db2.gz QGTWLUDYWJWAKZ-GFCCVEGCSA-N 1 2 268.198 3.896 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC000590948862 1127279258 /nfs/dbraw/zinc/27/92/58/1127279258.db2.gz NDXJIVOGWDBNSX-WDEREUQCSA-N 1 2 291.420 3.902 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2ccn(C)n2)o1 ZINC000353928154 1127279575 /nfs/dbraw/zinc/27/95/75/1127279575.db2.gz APWNZLOUPDBMLR-CZUORRHYSA-N 1 2 287.407 3.549 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2ccn(C)n2)o1 ZINC000353928154 1127279576 /nfs/dbraw/zinc/27/95/76/1127279576.db2.gz APWNZLOUPDBMLR-CZUORRHYSA-N 1 2 287.407 3.549 20 0 CHADLO C[C@H](Nc1cc[nH+]c2c(Cl)cccc12)[C@@H]1CCOC1 ZINC000287156458 1127293461 /nfs/dbraw/zinc/29/34/61/1127293461.db2.gz FKTFFUHJBKZDOZ-WDEREUQCSA-N 1 2 276.767 3.725 20 0 CHADLO CC1(C)C[N@H+](Cc2ccsc2)C[C@@](C)(C(F)(F)F)O1 ZINC000354174256 1127297352 /nfs/dbraw/zinc/29/73/52/1127297352.db2.gz ASDJHFBWPUBYCB-LBPRGKRZSA-N 1 2 293.354 3.680 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccsc2)C[C@@](C)(C(F)(F)F)O1 ZINC000354174256 1127297354 /nfs/dbraw/zinc/29/73/54/1127297354.db2.gz ASDJHFBWPUBYCB-LBPRGKRZSA-N 1 2 293.354 3.680 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)c[nH+]1)C1CCSCC1 ZINC000354180957 1127297520 /nfs/dbraw/zinc/29/75/20/1127297520.db2.gz KJWMNGPZAIAEEC-CYBMUJFWSA-N 1 2 291.464 3.625 20 0 CHADLO C[N@H+](C/C=C/c1ccncc1)Cc1c(F)cccc1F ZINC000354354379 1127304943 /nfs/dbraw/zinc/30/49/43/1127304943.db2.gz DHCBPQNMAXWYCL-ONEGZZNKSA-N 1 2 274.314 3.505 20 0 CHADLO C[N@@H+](C/C=C/c1ccncc1)Cc1c(F)cccc1F ZINC000354354379 1127304946 /nfs/dbraw/zinc/30/49/46/1127304946.db2.gz DHCBPQNMAXWYCL-ONEGZZNKSA-N 1 2 274.314 3.505 20 0 CHADLO CC(C)(C[NH2+][C@H](c1ncn[nH]1)C1CCCCC1)C1CCC1 ZINC000573438393 1127308332 /nfs/dbraw/zinc/30/83/32/1127308332.db2.gz NLOXXKIFTWROSO-HNNXBMFYSA-N 1 2 290.455 3.842 20 0 CHADLO CCCN(Cc1ccc(-n2cc[nH+]c2)cc1)CC(F)(F)F ZINC000591809383 1127323124 /nfs/dbraw/zinc/32/31/24/1127323124.db2.gz GBSQNBYQKDIOLO-UHFFFAOYSA-N 1 2 297.324 3.647 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccc(F)c(F)c3C2)s1 ZINC000355202326 1127324989 /nfs/dbraw/zinc/32/49/89/1127324989.db2.gz CNTQKLNKLBRUIS-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccc(F)c(F)c3C2)s1 ZINC000355202326 1127324990 /nfs/dbraw/zinc/32/49/90/1127324990.db2.gz CNTQKLNKLBRUIS-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@H]2C)nc1 ZINC000355273994 1127330149 /nfs/dbraw/zinc/33/01/49/1127330149.db2.gz CTSSGTDRZRSHID-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(F)cc(F)cc3[C@H]2C)nc1 ZINC000355273994 1127330152 /nfs/dbraw/zinc/33/01/52/1127330152.db2.gz CTSSGTDRZRSHID-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO O=C(CCC1CCCCC1)Nc1ccn2cc[nH+]c2c1 ZINC000355288776 1127331708 /nfs/dbraw/zinc/33/17/08/1127331708.db2.gz JXAOEJDVLIOSPH-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO CCCn1cc[nH+]c1CCCN(C)C(=O)CC[C@H](C)CC ZINC000355352179 1127335813 /nfs/dbraw/zinc/33/58/13/1127335813.db2.gz ZTIWSGYUUHEDNF-OAHLLOKOSA-N 1 2 293.455 3.510 20 0 CHADLO CC(C)(C)[C@H]1CN(C(=O)CCc2[nH]cc[nH+]2)c2ccccc21 ZINC000288484952 1127342567 /nfs/dbraw/zinc/34/25/67/1127342567.db2.gz QJBSSABZNFOBLN-AWEZNQCLSA-N 1 2 297.402 3.519 20 0 CHADLO CCC1(O)CCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000288498450 1127343219 /nfs/dbraw/zinc/34/32/19/1127343219.db2.gz RYDNWEHXYGKHIR-UHFFFAOYSA-N 1 2 290.794 3.630 20 0 CHADLO COC[C@H]([NH2+]Cc1csc(C)n1)c1cccc(Cl)c1 ZINC000288708087 1127358104 /nfs/dbraw/zinc/35/81/04/1127358104.db2.gz ZDAXDKBSJFQXMY-AWEZNQCLSA-N 1 2 296.823 3.582 20 0 CHADLO c1cc2c(o1)CCC[N@@H+](Cc1ccn(C3CCCC3)n1)C2 ZINC000288748208 1127360936 /nfs/dbraw/zinc/36/09/36/1127360936.db2.gz HWQKPTYAMPEMPP-UHFFFAOYSA-N 1 2 285.391 3.540 20 0 CHADLO c1cc2c(o1)CCC[N@H+](Cc1ccn(C3CCCC3)n1)C2 ZINC000288748208 1127360939 /nfs/dbraw/zinc/36/09/39/1127360939.db2.gz HWQKPTYAMPEMPP-UHFFFAOYSA-N 1 2 285.391 3.540 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000574148913 1127371738 /nfs/dbraw/zinc/37/17/38/1127371738.db2.gz FMNRCMNSVSOIGV-BPLDGKMQSA-N 1 2 295.386 3.760 20 0 CHADLO Cc1cnn(C)c1C[N@@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000289239560 1127378722 /nfs/dbraw/zinc/37/87/22/1127378722.db2.gz ZLAOYSLTIIRKBP-WBMJQRKESA-N 1 2 299.418 3.610 20 0 CHADLO Cc1cnn(C)c1C[N@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000289239560 1127378725 /nfs/dbraw/zinc/37/87/25/1127378725.db2.gz ZLAOYSLTIIRKBP-WBMJQRKESA-N 1 2 299.418 3.610 20 0 CHADLO CCC(=O)CCC[NH+](Cc1ccco1)Cc1ccco1 ZINC000289338577 1127381879 /nfs/dbraw/zinc/38/18/79/1127381879.db2.gz LPBWIXQSPZSTIK-UHFFFAOYSA-N 1 2 275.348 3.634 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccco2)C(C)C)nc2ccccc12 ZINC000594371025 1127415198 /nfs/dbraw/zinc/41/51/98/1127415198.db2.gz IFXUEPNNTSPGQJ-UHFFFAOYSA-N 1 2 295.386 3.942 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccco2)C(C)C)nc2ccccc12 ZINC000594371025 1127415200 /nfs/dbraw/zinc/41/52/00/1127415200.db2.gz IFXUEPNNTSPGQJ-UHFFFAOYSA-N 1 2 295.386 3.942 20 0 CHADLO COCC[C@@H](C)SCc1ccc(-n2cc[nH+]c2)cc1C ZINC000359037502 1127446581 /nfs/dbraw/zinc/44/65/81/1127446581.db2.gz RIJNTXKLMJUHFR-CQSZACIVSA-N 1 2 290.432 3.839 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@@H+](Cc1cscn1)[C@@H]2C ZINC000359302303 1127457218 /nfs/dbraw/zinc/45/72/18/1127457218.db2.gz UCYGNOLASTXXRF-VXGBXAGGSA-N 1 2 288.416 3.660 20 0 CHADLO COc1ccc2c(c1)C[C@@H](C)[N@H+](Cc1cscn1)[C@@H]2C ZINC000359302303 1127457220 /nfs/dbraw/zinc/45/72/20/1127457220.db2.gz UCYGNOLASTXXRF-VXGBXAGGSA-N 1 2 288.416 3.660 20 0 CHADLO CC1(C)CC[C@@H](C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000359182258 1127453225 /nfs/dbraw/zinc/45/32/25/1127453225.db2.gz XMVSZIJJUYQLGD-CYBMUJFWSA-N 1 2 283.375 3.842 20 0 CHADLO CCC[N@H+](Cc1nnc(C(C)(C)C)o1)Cc1ccccc1 ZINC000046837796 1127460938 /nfs/dbraw/zinc/46/09/38/1127460938.db2.gz XHCQBVOVSPILNH-UHFFFAOYSA-N 1 2 287.407 3.779 20 0 CHADLO CCC[N@@H+](Cc1nnc(C(C)(C)C)o1)Cc1ccccc1 ZINC000046837796 1127460941 /nfs/dbraw/zinc/46/09/41/1127460941.db2.gz XHCQBVOVSPILNH-UHFFFAOYSA-N 1 2 287.407 3.779 20 0 CHADLO FC1=CCC[N@H+](Cc2ccc(OCc3ccccn3)cc2)C1 ZINC000359515807 1127465895 /nfs/dbraw/zinc/46/58/95/1127465895.db2.gz OQMKXTWPTSNWHP-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO FC1=CCC[N@@H+](Cc2ccc(OCc3ccccn3)cc2)C1 ZINC000359515807 1127465897 /nfs/dbraw/zinc/46/58/97/1127465897.db2.gz OQMKXTWPTSNWHP-UHFFFAOYSA-N 1 2 298.361 3.720 20 0 CHADLO Cc1csc2c1ncnc2Nc1ccc2c(c1)[nH+]cn2C ZINC000359533716 1127466591 /nfs/dbraw/zinc/46/65/91/1127466591.db2.gz QKWSVXVJJZLCGG-UHFFFAOYSA-N 1 2 295.371 3.630 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[NH2+][C@@H](C)c1ccccn1 ZINC000359659424 1127471582 /nfs/dbraw/zinc/47/15/82/1127471582.db2.gz UQTHIWMEVUBSPA-ZDUSSCGKSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H](C)c2ccc(Cl)cn2)n1 ZINC000359660988 1127471660 /nfs/dbraw/zinc/47/16/60/1127471660.db2.gz DVSCUNVVSNWRCD-ZJUUUORDSA-N 1 2 281.812 3.912 20 0 CHADLO Cc1nc(NC2CCC(F)(F)CC2)c2c([nH+]1)CCCC2 ZINC000359734355 1127475559 /nfs/dbraw/zinc/47/55/59/1127475559.db2.gz WNRCVRYDZXBJKN-UHFFFAOYSA-N 1 2 281.350 3.654 20 0 CHADLO Cc1nc(NC2CC(c3ccc(Cl)cc3)C2)cc[nH+]1 ZINC000360249586 1127494006 /nfs/dbraw/zinc/49/40/06/1127494006.db2.gz MKAAXPNUHINYSO-UHFFFAOYSA-N 1 2 273.767 3.797 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cc(F)cc(Cl)c1 ZINC000293543384 1127505018 /nfs/dbraw/zinc/50/50/18/1127505018.db2.gz DEBHGVNURZDODL-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cc(F)cc(Cl)c1 ZINC000293543384 1127505021 /nfs/dbraw/zinc/50/50/21/1127505021.db2.gz DEBHGVNURZDODL-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO C[N@H+](CCF)Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC000293590892 1127507913 /nfs/dbraw/zinc/50/79/13/1127507913.db2.gz IMDGNAFDKBFBQV-UHFFFAOYSA-N 1 2 284.787 3.865 20 0 CHADLO C[N@@H+](CCF)Cc1cnc(-c2ccc(Cl)cc2)s1 ZINC000293590892 1127507916 /nfs/dbraw/zinc/50/79/16/1127507916.db2.gz IMDGNAFDKBFBQV-UHFFFAOYSA-N 1 2 284.787 3.865 20 0 CHADLO Cc1nc(N[C@H](C)c2nc(-c3ccccc3)cs2)cc[nH+]1 ZINC000361032297 1127531582 /nfs/dbraw/zinc/53/15/82/1127531582.db2.gz OQWUQMPGOZLOMK-LLVKDONJSA-N 1 2 296.399 3.504 20 0 CHADLO Cc1nc(N[C@H]2C[C@@H]2c2c(F)cccc2Cl)cc[nH+]1 ZINC000361042431 1127533517 /nfs/dbraw/zinc/53/35/17/1127533517.db2.gz BAJZQTBYCLNIFG-CABZTGNLSA-N 1 2 277.730 3.546 20 0 CHADLO Cc1nc(N[C@H](C)c2ccc(CC(C)C)cc2)cc[nH+]1 ZINC000361162377 1127543502 /nfs/dbraw/zinc/54/35/02/1127543502.db2.gz SRVLTHISXGWHQM-CYBMUJFWSA-N 1 2 269.392 3.579 20 0 CHADLO Cc1cc(NC[C@@H](C)Sc2ccccc2)nc(C2CC2)[nH+]1 ZINC000361296274 1127554374 /nfs/dbraw/zinc/55/43/74/1127554374.db2.gz NPCDBZNIUJEDAE-CYBMUJFWSA-N 1 2 299.443 3.677 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H]2c2ccsc2)c(F)cn1 ZINC000294506949 1127579237 /nfs/dbraw/zinc/57/92/37/1127579237.db2.gz CCURAQFSALDVGL-ZDUSSCGKSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H]2c2ccsc2)c(F)cn1 ZINC000294506949 1127579239 /nfs/dbraw/zinc/57/92/39/1127579239.db2.gz CCURAQFSALDVGL-ZDUSSCGKSA-N 1 2 280.343 3.758 20 0 CHADLO Cc1cc(N[C@H]2CCOC3(CCC3)C2)ccc1[NH+](C)C ZINC000294743204 1127595496 /nfs/dbraw/zinc/59/54/96/1127595496.db2.gz KATQBNBSFUYRCZ-HNNXBMFYSA-N 1 2 274.408 3.575 20 0 CHADLO Cc1cc([NH2+][C@H]2CCOC3(CCC3)C2)ccc1N(C)C ZINC000294743204 1127595497 /nfs/dbraw/zinc/59/54/97/1127595497.db2.gz KATQBNBSFUYRCZ-HNNXBMFYSA-N 1 2 274.408 3.575 20 0 CHADLO Fc1cc(CNc2ccc([NH+]3CCCC3)cc2)c(F)cn1 ZINC000294796122 1127600163 /nfs/dbraw/zinc/60/01/63/1127600163.db2.gz LCFHOMRLUYDBEZ-UHFFFAOYSA-N 1 2 289.329 3.572 20 0 CHADLO CSCc1cnc(C[NH+]2CC(c3ccccc3)C2)s1 ZINC000602728753 1127610217 /nfs/dbraw/zinc/61/02/17/1127610217.db2.gz NFOKGPDXKDHIHK-UHFFFAOYSA-N 1 2 290.457 3.606 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C(F)(F)F)C[C@H]2C)cs1 ZINC000294981789 1127611569 /nfs/dbraw/zinc/61/15/69/1127611569.db2.gz JXHRVDARGIQVJK-PSASIEDQSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)C[C@H]2C)cs1 ZINC000294981789 1127611571 /nfs/dbraw/zinc/61/15/71/1127611571.db2.gz JXHRVDARGIQVJK-PSASIEDQSA-N 1 2 278.343 3.614 20 0 CHADLO CCS[C@@H]1CCC[C@@H](Nc2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000362128080 1127613400 /nfs/dbraw/zinc/61/34/00/1127613400.db2.gz COXGVTNNGVVWBU-ZIAGYGMSSA-N 1 2 291.464 3.561 20 0 CHADLO Clc1cccc(CC[N@@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000362294880 1127626130 /nfs/dbraw/zinc/62/61/30/1127626130.db2.gz JCCHWPRVLWPNSI-MRXNPFEDSA-N 1 2 291.778 3.549 20 0 CHADLO Clc1cccc(CC[N@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000362294880 1127626132 /nfs/dbraw/zinc/62/61/32/1127626132.db2.gz JCCHWPRVLWPNSI-MRXNPFEDSA-N 1 2 291.778 3.549 20 0 CHADLO FC(F)[C@@H]([NH2+]CC1CC(F)(F)C1)c1ccccc1 ZINC000295200711 1127626778 /nfs/dbraw/zinc/62/67/78/1127626778.db2.gz NCXPEGIPXLCKEP-NSHDSACASA-N 1 2 261.262 3.628 20 0 CHADLO Cc1cc(C[NH+]2CCC(=Cc3ccccc3F)CC2)nn1C ZINC000362968378 1127656361 /nfs/dbraw/zinc/65/63/61/1127656361.db2.gz YRINNPWUGQHRPG-UHFFFAOYSA-N 1 2 299.393 3.547 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C(F)(F)F)cn1)c1ccccc1 ZINC000296288526 1127667554 /nfs/dbraw/zinc/66/75/54/1127667554.db2.gz FICLWGPWRASHBH-ZDUSSCGKSA-N 1 2 295.308 3.736 20 0 CHADLO O=C(CCC1CCCCC1)Nc1ccc2[nH+]ccn2c1 ZINC000603785755 1127671496 /nfs/dbraw/zinc/67/14/96/1127671496.db2.gz YMNFMEHYQBBRQG-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Cc1ccc(C(C)(C)C(=O)Nc2ccc3[nH+]ccn3c2)cc1 ZINC000603808807 1127673100 /nfs/dbraw/zinc/67/31/00/1127673100.db2.gz IIVGSPLLPXFLNI-UHFFFAOYSA-N 1 2 293.370 3.559 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCn2ccnc21)c1cc(Cl)ccc1Cl ZINC000296621526 1127678770 /nfs/dbraw/zinc/67/87/70/1127678770.db2.gz ZFKHEWQBWRDYNL-TVQRCGJNSA-N 1 2 296.201 3.986 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1cccc(F)c1F ZINC000296706115 1127681804 /nfs/dbraw/zinc/68/18/04/1127681804.db2.gz HXXDXPRODYLVNQ-GBIKHYSHSA-N 1 2 277.318 3.518 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1nc(C(C)C)no1 ZINC000603942285 1127683907 /nfs/dbraw/zinc/68/39/07/1127683907.db2.gz CVTKZHOPWJQWRZ-OAHLLOKOSA-N 1 2 285.391 3.702 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1nc(C(C)C)no1 ZINC000603942285 1127683910 /nfs/dbraw/zinc/68/39/10/1127683910.db2.gz CVTKZHOPWJQWRZ-OAHLLOKOSA-N 1 2 285.391 3.702 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cc(F)cnc2Cl)oc1C ZINC000297340651 1127693701 /nfs/dbraw/zinc/69/37/01/1127693701.db2.gz OLEVMWDPCDLNGA-SECBINFHSA-N 1 2 282.746 3.935 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2cc(C3CC3)ccc2F)c2nccn21 ZINC000297390291 1127695125 /nfs/dbraw/zinc/69/51/25/1127695125.db2.gz RTJUMXKMBHPENL-MEDUHNTESA-N 1 2 285.366 3.695 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[N@@H+]1CCC[C@H]1CF ZINC000297406058 1127695503 /nfs/dbraw/zinc/69/55/03/1127695503.db2.gz KYZZOVJVZUDMLE-LBPRGKRZSA-N 1 2 293.411 3.516 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[N@H+]1CCC[C@H]1CF ZINC000297406058 1127695504 /nfs/dbraw/zinc/69/55/04/1127695504.db2.gz KYZZOVJVZUDMLE-LBPRGKRZSA-N 1 2 293.411 3.516 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1C[C@@H]1CCOc2ccccc21 ZINC000669716707 1127709108 /nfs/dbraw/zinc/70/91/08/1127709108.db2.gz ZIBZGIQLEULCAR-GXTWGEPZSA-N 1 2 281.346 3.672 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1C[C@@H]1CCOc2ccccc21 ZINC000669716707 1127709111 /nfs/dbraw/zinc/70/91/11/1127709111.db2.gz ZIBZGIQLEULCAR-GXTWGEPZSA-N 1 2 281.346 3.672 20 0 CHADLO Cc1cccc2c1CC[N@@H+]([C@H](C)c1nc(C(C)C)no1)C2 ZINC000171609016 1127716526 /nfs/dbraw/zinc/71/65/26/1127716526.db2.gz SAZNEAIIONWAOK-CYBMUJFWSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1cccc2c1CC[N@H+]([C@H](C)c1nc(C(C)C)no1)C2 ZINC000171609016 1127716531 /nfs/dbraw/zinc/71/65/31/1127716531.db2.gz SAZNEAIIONWAOK-CYBMUJFWSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nc(C(C)(C)C)no2)cc1 ZINC000298586572 1127726735 /nfs/dbraw/zinc/72/67/35/1127726735.db2.gz PQXQHFXSGGKNEJ-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nc(C(C)(C)C)no2)cc1 ZINC000298586572 1127726738 /nfs/dbraw/zinc/72/67/38/1127726738.db2.gz PQXQHFXSGGKNEJ-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000298835054 1127734192 /nfs/dbraw/zinc/73/41/92/1127734192.db2.gz AXFGKCMONNQVGK-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000298835054 1127734195 /nfs/dbraw/zinc/73/41/95/1127734195.db2.gz AXFGKCMONNQVGK-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO CCSc1nn(C[N@H+](C)C[C@H](C)CC)c(=S)s1 ZINC000171890296 1127734278 /nfs/dbraw/zinc/73/42/78/1127734278.db2.gz JRVZMWURUXNDED-SECBINFHSA-N 1 2 291.511 3.721 20 0 CHADLO CCSc1nn(C[N@@H+](C)C[C@H](C)CC)c(=S)s1 ZINC000171890296 1127734280 /nfs/dbraw/zinc/73/42/80/1127734280.db2.gz JRVZMWURUXNDED-SECBINFHSA-N 1 2 291.511 3.721 20 0 CHADLO CCCc1noc(C[N@H+](C)[C@@H](C)c2ccccc2C)n1 ZINC000298853196 1127734627 /nfs/dbraw/zinc/73/46/27/1127734627.db2.gz NGDAIGUTENWXQA-ZDUSSCGKSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1noc(C[N@@H+](C)[C@@H](C)c2ccccc2C)n1 ZINC000298853196 1127734630 /nfs/dbraw/zinc/73/46/30/1127734630.db2.gz NGDAIGUTENWXQA-ZDUSSCGKSA-N 1 2 273.380 3.524 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2nccn2C(F)F)c1 ZINC000298871510 1127735341 /nfs/dbraw/zinc/73/53/41/1127735341.db2.gz XNEJLYAHCOBFAN-CQSZACIVSA-N 1 2 291.345 3.924 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2nccn2C(F)F)c1 ZINC000298871510 1127735346 /nfs/dbraw/zinc/73/53/46/1127735346.db2.gz XNEJLYAHCOBFAN-CQSZACIVSA-N 1 2 291.345 3.924 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1CCC[C@H]1c1ccsc1 ZINC000298778083 1127732440 /nfs/dbraw/zinc/73/24/40/1127732440.db2.gz VCWPVQYFISQJEW-MFKMUULPSA-N 1 2 289.404 3.907 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1CCC[C@H]1c1ccsc1 ZINC000298778083 1127732443 /nfs/dbraw/zinc/73/24/43/1127732443.db2.gz VCWPVQYFISQJEW-MFKMUULPSA-N 1 2 289.404 3.907 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2nc3c(s2)CCCC3)o1 ZINC000605593860 1127743672 /nfs/dbraw/zinc/74/36/72/1127743672.db2.gz CXXJNYRSUPZQTK-NSHDSACASA-N 1 2 276.405 3.774 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COc2c1cccc2Cl)c1ccco1 ZINC000366265146 1127744161 /nfs/dbraw/zinc/74/41/61/1127744161.db2.gz GZVHAVOOESKBTH-BXKDBHETSA-N 1 2 263.724 3.717 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2c1cccc2Cl)c1ccco1 ZINC000366265149 1127744919 /nfs/dbraw/zinc/74/49/19/1127744919.db2.gz GZVHAVOOESKBTH-SKDRFNHKSA-N 1 2 263.724 3.717 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCCc4occc4C3)oc2c1 ZINC000367301602 1127752310 /nfs/dbraw/zinc/75/23/10/1127752310.db2.gz RYNRALSUZXCYDB-UHFFFAOYSA-N 1 2 286.306 3.508 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCCc4occc4C3)oc2c1 ZINC000367301602 1127752314 /nfs/dbraw/zinc/75/23/14/1127752314.db2.gz RYNRALSUZXCYDB-UHFFFAOYSA-N 1 2 286.306 3.508 20 0 CHADLO Clc1ccccc1C1=CC[N@H+](Cc2ccno2)CC1 ZINC000367352146 1127753236 /nfs/dbraw/zinc/75/32/36/1127753236.db2.gz YEUXBOUJNQCTPR-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO Clc1ccccc1C1=CC[N@@H+](Cc2ccno2)CC1 ZINC000367352146 1127753240 /nfs/dbraw/zinc/75/32/40/1127753240.db2.gz YEUXBOUJNQCTPR-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](CC(C)C)[C@H]1CCCc2cccnc21 ZINC000369012607 1127759139 /nfs/dbraw/zinc/75/91/39/1127759139.db2.gz WLUGMGIEQQZQLS-KRWDZBQOSA-N 1 2 298.434 3.649 20 0 CHADLO Cc1[nH]ncc1C[N@H+](CC(C)C)[C@H]1CCCc2cccnc21 ZINC000369012607 1127759142 /nfs/dbraw/zinc/75/91/42/1127759142.db2.gz WLUGMGIEQQZQLS-KRWDZBQOSA-N 1 2 298.434 3.649 20 0 CHADLO Cc1cccc(NC(=O)Nc2ccc3[nH+]ccn3c2)c1C ZINC000606583817 1127767864 /nfs/dbraw/zinc/76/78/64/1127767864.db2.gz OSXPRFREZSUJPK-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H](C)c3cccc(C)c3)ccc2[nH+]1 ZINC000606775750 1127773735 /nfs/dbraw/zinc/77/37/35/1127773735.db2.gz ATIJVYOVDYTNJG-CQSZACIVSA-N 1 2 293.370 3.693 20 0 CHADLO CC[C@H]1CCCCCN1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606951011 1127784089 /nfs/dbraw/zinc/78/40/89/1127784089.db2.gz YALIZCNRAGLQSV-AWEZNQCLSA-N 1 2 286.379 3.521 20 0 CHADLO Clc1ccc(C[NH2+]Cc2nc3c(s2)CCCC3)o1 ZINC000607288192 1127798749 /nfs/dbraw/zinc/79/87/49/1127798749.db2.gz ZZEZFQBQKGBHBM-UHFFFAOYSA-N 1 2 282.796 3.558 20 0 CHADLO C[C@@H](C[N@@H+]1CCC[C@H]1c1ncon1)c1cccc(Cl)c1 ZINC000372670802 1127808600 /nfs/dbraw/zinc/80/86/00/1127808600.db2.gz AWEAWLSXTDZVDI-FZMZJTMJSA-N 1 2 291.782 3.664 20 0 CHADLO C[C@@H](C[N@H+]1CCC[C@H]1c1ncon1)c1cccc(Cl)c1 ZINC000372670802 1127808604 /nfs/dbraw/zinc/80/86/04/1127808604.db2.gz AWEAWLSXTDZVDI-FZMZJTMJSA-N 1 2 291.782 3.664 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2cccnc2)cs1 ZINC000372953709 1127818638 /nfs/dbraw/zinc/81/86/38/1127818638.db2.gz KORGKTPXPNJLOB-SWLSCSKDSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1nc(C[N@H+]2C[C@@H](C)C[C@@H]2c2cccnc2)cs1 ZINC000372953709 1127818641 /nfs/dbraw/zinc/81/86/41/1127818641.db2.gz KORGKTPXPNJLOB-SWLSCSKDSA-N 1 2 287.432 3.684 20 0 CHADLO CC[C@H](C[C@@H](C)CC)[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000372903282 1127817442 /nfs/dbraw/zinc/81/74/42/1127817442.db2.gz HJGMNYVEKVAHDJ-IMJJTQAJSA-N 1 2 286.423 3.699 20 0 CHADLO Cc1ccc(CCCC(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000607715044 1127825252 /nfs/dbraw/zinc/82/52/52/1127825252.db2.gz ISYYISPBBIIVAQ-UHFFFAOYSA-N 1 2 293.370 3.604 20 0 CHADLO Cc1c(Cl)nccc1C[N@H+](C)Cc1cccc(F)c1F ZINC001232754470 1127837965 /nfs/dbraw/zinc/83/79/65/1127837965.db2.gz FVDUOTLWGFRZAV-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1c(Cl)nccc1C[N@@H+](C)Cc1cccc(F)c1F ZINC001232754470 1127837973 /nfs/dbraw/zinc/83/79/73/1127837973.db2.gz FVDUOTLWGFRZAV-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@H+](Cc2cccnc2)CC1 ZINC000373503958 1127847407 /nfs/dbraw/zinc/84/74/07/1127847407.db2.gz DFYICSFAEGOJQU-UHFFFAOYSA-N 1 2 286.325 3.649 20 0 CHADLO Fc1cccc(F)c1C1=CC[N@@H+](Cc2cccnc2)CC1 ZINC000373503958 1127847410 /nfs/dbraw/zinc/84/74/10/1127847410.db2.gz DFYICSFAEGOJQU-UHFFFAOYSA-N 1 2 286.325 3.649 20 0 CHADLO Cc1nc(N[C@@H](CC2CC2)c2ccccc2)cc[nH+]1 ZINC000608090750 1127847544 /nfs/dbraw/zinc/84/75/44/1127847544.db2.gz ZKVGBVJPTNBHLM-HNNXBMFYSA-N 1 2 253.349 3.738 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2ccc(F)c(F)c2)cn1 ZINC000373526608 1127849178 /nfs/dbraw/zinc/84/91/78/1127849178.db2.gz KWALCXPDDCEXSL-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2ccc(F)c(F)c2)cn1 ZINC000373526608 1127849180 /nfs/dbraw/zinc/84/91/80/1127849180.db2.gz KWALCXPDDCEXSL-HNNXBMFYSA-N 1 2 292.304 3.836 20 0 CHADLO CC(C)[C@H](C(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000608133683 1127850910 /nfs/dbraw/zinc/85/09/10/1127850910.db2.gz SYEKXFQXDJOKBN-KRWDZBQOSA-N 1 2 293.370 3.713 20 0 CHADLO Cc1ccc(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)o1 ZINC000373618205 1127852688 /nfs/dbraw/zinc/85/26/88/1127852688.db2.gz JSWNLJLEGVRZEM-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO CCc1ccc(C[NH2+][C@@](C)(CC)c2nccs2)nc1 ZINC000411385977 1127857735 /nfs/dbraw/zinc/85/77/35/1127857735.db2.gz JINLMBNCDBALQY-HNNXBMFYSA-N 1 2 275.421 3.516 20 0 CHADLO CCCC[N@H+](CC)Cn1nc(C2CC2)sc1=S ZINC000173882898 1127858375 /nfs/dbraw/zinc/85/83/75/1127858375.db2.gz HYEJFXAPSVXFDV-UHFFFAOYSA-N 1 2 271.455 3.631 20 0 CHADLO CCCC[N@@H+](CC)Cn1nc(C2CC2)sc1=S ZINC000173882898 1127858380 /nfs/dbraw/zinc/85/83/80/1127858380.db2.gz HYEJFXAPSVXFDV-UHFFFAOYSA-N 1 2 271.455 3.631 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000173883683 1127858534 /nfs/dbraw/zinc/85/85/34/1127858534.db2.gz NREDYNAOKJWMRF-SNVBAGLBSA-N 1 2 283.466 3.631 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000173883683 1127858537 /nfs/dbraw/zinc/85/85/37/1127858537.db2.gz NREDYNAOKJWMRF-SNVBAGLBSA-N 1 2 283.466 3.631 20 0 CHADLO Cc1cccc2nc(N3CCC(c4c[nH]c[nH+]4)CC3)sc21 ZINC000373831007 1127862273 /nfs/dbraw/zinc/86/22/73/1127862273.db2.gz ZTCILOLGGKIVMN-UHFFFAOYSA-N 1 2 298.415 3.712 20 0 CHADLO CCc1ccc(C[NH2+][C@H](CC)c2nc(C)cs2)nc1 ZINC000411513434 1127862771 /nfs/dbraw/zinc/86/27/71/1127862771.db2.gz OUXRMQDYEIVJBF-CQSZACIVSA-N 1 2 275.421 3.650 20 0 CHADLO CCCCc1nc(C[NH+]2C[C@@H](C)S[C@H](C)C2)cs1 ZINC000608502034 1127872489 /nfs/dbraw/zinc/87/24/89/1127872489.db2.gz HYPHZVDCUXPXEZ-VXGBXAGGSA-N 1 2 284.494 3.812 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccncc2F)cc1Cl ZINC000412023691 1127888519 /nfs/dbraw/zinc/88/85/19/1127888519.db2.gz AGCBUVFGCCBKDK-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccncc2F)cc1Cl ZINC000412023691 1127888524 /nfs/dbraw/zinc/88/85/24/1127888524.db2.gz AGCBUVFGCCBKDK-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCc3cc(C)cc(C)c32)c1 ZINC000655781150 1127900081 /nfs/dbraw/zinc/90/00/81/1127900081.db2.gz WDTHPXABTOZOHL-MRXNPFEDSA-N 1 2 282.387 3.944 20 0 CHADLO Oc1cc(C[N@H+]2CCC23CCCCC3)cc(F)c1F ZINC001232971099 1127905622 /nfs/dbraw/zinc/90/56/22/1127905622.db2.gz UJLFXWOZRNZOEF-UHFFFAOYSA-N 1 2 267.319 3.579 20 0 CHADLO Cc1ccc(O)c(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001214225431 1127903448 /nfs/dbraw/zinc/90/34/48/1127903448.db2.gz JOHJBCPHRIZLBX-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCO[C@H](c2cccc(F)c2)C1 ZINC000929485872 1127909893 /nfs/dbraw/zinc/90/98/93/1127909893.db2.gz OFNNFMILQHUYJZ-AWEZNQCLSA-N 1 2 287.325 3.634 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCO[C@H](c2cccc(F)c2)C1 ZINC000929485872 1127909898 /nfs/dbraw/zinc/90/98/98/1127909898.db2.gz OFNNFMILQHUYJZ-AWEZNQCLSA-N 1 2 287.325 3.634 20 0 CHADLO CCN(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CC(C)(C)C1 ZINC000412814900 1127929288 /nfs/dbraw/zinc/92/92/88/1127929288.db2.gz SVOKTWLBSUQRSA-UHFFFAOYSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1ccc(C(C)(C)C)cc1SCC[NH+]1CCOCC1 ZINC000913588122 1127934281 /nfs/dbraw/zinc/93/42/81/1127934281.db2.gz QQBAUTAZZVYIQY-UHFFFAOYSA-N 1 2 293.476 3.717 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(-c3ccccc3)cs2)nc1 ZINC000400816139 1127936609 /nfs/dbraw/zinc/93/66/09/1127936609.db2.gz ALENZCPVVKNRDU-UHFFFAOYSA-N 1 2 295.411 3.803 20 0 CHADLO Cc1c(C)c(C[N@@H+]2CC[C@H](F)C2)ccc1Br ZINC001233218877 1127939046 /nfs/dbraw/zinc/93/90/46/1127939046.db2.gz JBSCKOGOTLRGIU-LBPRGKRZSA-N 1 2 286.188 3.610 20 0 CHADLO Cc1c(C)c(C[N@H+]2CC[C@H](F)C2)ccc1Br ZINC001233218877 1127939047 /nfs/dbraw/zinc/93/90/47/1127939047.db2.gz JBSCKOGOTLRGIU-LBPRGKRZSA-N 1 2 286.188 3.610 20 0 CHADLO FCCCCC[N@@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000375328894 1127941880 /nfs/dbraw/zinc/94/18/80/1127941880.db2.gz XAJPMORXULDBKS-CYBMUJFWSA-N 1 2 281.375 3.614 20 0 CHADLO FCCCCC[N@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000375328894 1127941884 /nfs/dbraw/zinc/94/18/84/1127941884.db2.gz XAJPMORXULDBKS-CYBMUJFWSA-N 1 2 281.375 3.614 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCC=Cc3ccccc32)c1 ZINC000467273599 1127962167 /nfs/dbraw/zinc/96/21/67/1127962167.db2.gz RNONVSAINUIODR-UHFFFAOYSA-N 1 2 280.371 3.822 20 0 CHADLO CC[C@@]1(C)C[C@@H]1c1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000544657549 1127980016 /nfs/dbraw/zinc/98/00/16/1127980016.db2.gz VWOHXLKJNMODIL-DYVFJYSZSA-N 1 2 296.374 3.520 20 0 CHADLO CCC[C@@H](C)Cc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000544768266 1127983517 /nfs/dbraw/zinc/98/35/17/1127983517.db2.gz MGDRUWWWBHIXDV-CYBMUJFWSA-N 1 2 296.374 3.901 20 0 CHADLO CC(C)C[N@@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000540996938 1128004389 /nfs/dbraw/zinc/00/43/89/1128004389.db2.gz XOHHUZXLLSQMHZ-CQSZACIVSA-N 1 2 287.325 3.735 20 0 CHADLO CC(C)C[N@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000540996938 1128004392 /nfs/dbraw/zinc/00/43/92/1128004392.db2.gz XOHHUZXLLSQMHZ-CQSZACIVSA-N 1 2 287.325 3.735 20 0 CHADLO CC[N@H+](CCC1CC1)Cc1noc(Cc2ccccc2C)n1 ZINC000545519141 1128018704 /nfs/dbraw/zinc/01/87/04/1128018704.db2.gz WPVZOQJWLVVNPO-UHFFFAOYSA-N 1 2 299.418 3.591 20 0 CHADLO CC[N@@H+](CCC1CC1)Cc1noc(Cc2ccccc2C)n1 ZINC000545519141 1128018707 /nfs/dbraw/zinc/01/87/07/1128018707.db2.gz WPVZOQJWLVVNPO-UHFFFAOYSA-N 1 2 299.418 3.591 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)C1CCSCC1)C(F)(F)F ZINC000469244062 1128039025 /nfs/dbraw/zinc/03/90/25/1128039025.db2.gz WCPIAFDQZCYHFJ-ONGXEEELSA-N 1 2 269.376 3.695 20 0 CHADLO Cc1cc(NC(=O)c2cc(C)c(C)cc2Cl)c(C)c[nH+]1 ZINC000541898377 1128041993 /nfs/dbraw/zinc/04/19/93/1128041993.db2.gz ZTXATNHZXHXBKU-UHFFFAOYSA-N 1 2 288.778 3.643 20 0 CHADLO C[C@H](Cc1ccsc1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000542152000 1128053016 /nfs/dbraw/zinc/05/30/16/1128053016.db2.gz AQSURBIZXNJRTL-CYBMUJFWSA-N 1 2 283.400 3.977 20 0 CHADLO Fc1ccccc1CC[N@@H+](CC(F)F)CC1CCC1 ZINC000469977193 1128059897 /nfs/dbraw/zinc/05/98/97/1128059897.db2.gz QJXZKIPNDKZSIV-UHFFFAOYSA-N 1 2 271.326 3.735 20 0 CHADLO Fc1ccccc1CC[N@H+](CC(F)F)CC1CCC1 ZINC000469977193 1128059901 /nfs/dbraw/zinc/05/99/01/1128059901.db2.gz QJXZKIPNDKZSIV-UHFFFAOYSA-N 1 2 271.326 3.735 20 0 CHADLO O=C(/C=C/c1ccccc1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000029951580 1128071159 /nfs/dbraw/zinc/07/11/59/1128071159.db2.gz FPWXDALQYFDUIK-IZZDOVSWSA-N 1 2 289.338 3.524 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470908583 1128080380 /nfs/dbraw/zinc/08/03/80/1128080380.db2.gz JIOGHVXCUAGTET-GLKRBJQHSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470908583 1128080385 /nfs/dbraw/zinc/08/03/85/1128080385.db2.gz JIOGHVXCUAGTET-GLKRBJQHSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@H]1C[N@H+](CCc2c(F)cccc2Cl)C[C@H](C2CC2)O1 ZINC000470911315 1128080928 /nfs/dbraw/zinc/08/09/28/1128080928.db2.gz RXQZTAYTMKGOTF-MEDUHNTESA-N 1 2 297.801 3.521 20 0 CHADLO C[C@H]1C[N@@H+](CCc2c(F)cccc2Cl)C[C@H](C2CC2)O1 ZINC000470911315 1128080933 /nfs/dbraw/zinc/08/09/33/1128080933.db2.gz RXQZTAYTMKGOTF-MEDUHNTESA-N 1 2 297.801 3.521 20 0 CHADLO CC(C)(C(=O)Nc1cscc1Cl)[NH+]1CCCCC1 ZINC000913814052 1128084628 /nfs/dbraw/zinc/08/46/28/1128084628.db2.gz MLIIRNFEKRONTA-UHFFFAOYSA-N 1 2 286.828 3.605 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cc(F)ccc2F)s1 ZINC000134906302 1128091202 /nfs/dbraw/zinc/09/12/02/1128091202.db2.gz NSXZMNHAYQHGOQ-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO CCc1noc(CC)c1CNc1cc2ccccc2c[nH+]1 ZINC000631163824 1128100001 /nfs/dbraw/zinc/10/00/01/1128100001.db2.gz PPSJITAWQNSWIG-UHFFFAOYSA-N 1 2 281.359 3.960 20 0 CHADLO CC(C)Oc1cccc([C@H](C)[NH2+][C@H](C)c2ncco2)c1 ZINC000924681940 1128110209 /nfs/dbraw/zinc/11/02/09/1128110209.db2.gz UKMKIAWSXVBGBJ-QWHCGFSZSA-N 1 2 274.364 3.874 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCSc2ccc(F)cc21)c1ncco1 ZINC000924811593 1128114073 /nfs/dbraw/zinc/11/40/73/1128114073.db2.gz XCJOTFOVFYMNGW-JOYOIKCWSA-N 1 2 278.352 3.701 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+]Cc1ccc(F)c(Cl)c1 ZINC000924902994 1128115876 /nfs/dbraw/zinc/11/58/76/1128115876.db2.gz RISLZUHHWHQTQU-CYBMUJFWSA-N 1 2 294.757 3.943 20 0 CHADLO CC(C)COC[C@H]([NH2+][C@H](C)c1ccns1)c1ccco1 ZINC000924889757 1128116241 /nfs/dbraw/zinc/11/62/41/1128116241.db2.gz MRDRBBMATWKVES-OLZOCXBDSA-N 1 2 294.420 3.801 20 0 CHADLO Cc1c2ccccc2oc1C[NH2+][C@@H](C)c1cn(C(C)C)nn1 ZINC000925072353 1128120707 /nfs/dbraw/zinc/12/07/07/1128120707.db2.gz RROTULHHKPDYIR-ZDUSSCGKSA-N 1 2 298.390 3.764 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(OC)no1)c1ccc(F)cc1F ZINC000925100271 1128121538 /nfs/dbraw/zinc/12/15/38/1128121538.db2.gz VGXIAJDXENXLET-NOZJJQNGSA-N 1 2 296.317 3.763 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCc2oc(C)nc21)c1c(C)noc1C ZINC000925637034 1128134715 /nfs/dbraw/zinc/13/47/15/1128134715.db2.gz GXLBPEDUDFPMFR-OLZOCXBDSA-N 1 2 289.379 3.706 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@H](C)c1cccc(F)c1F ZINC000925670495 1128136353 /nfs/dbraw/zinc/13/63/53/1128136353.db2.gz LNUHMCDRMJBKFM-RNCFNFMXSA-N 1 2 292.329 3.989 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccc(F)cc2)CCC1)c1ncco1 ZINC000925781232 1128142303 /nfs/dbraw/zinc/14/23/03/1128142303.db2.gz VVXAEFVCWTWYME-LLVKDONJSA-N 1 2 260.312 3.544 20 0 CHADLO COc1ccc(C2([NH2+][C@H]3CCCc4oc(C)nc43)CC2)cc1 ZINC000925819693 1128143351 /nfs/dbraw/zinc/14/33/51/1128143351.db2.gz GFWTYNMQVSPYCO-HNNXBMFYSA-N 1 2 298.386 3.648 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCC(F)(F)C1)C(F)(F)c1ccccc1 ZINC000925928327 1128146763 /nfs/dbraw/zinc/14/67/63/1128146763.db2.gz BDHZFJBLXQWVGW-PWSUYJOCSA-N 1 2 275.289 3.944 20 0 CHADLO CSC1CC([NH2+]c2ccc(N3CCSCC3)cc2)C1 ZINC000926089659 1128153733 /nfs/dbraw/zinc/15/37/33/1128153733.db2.gz AEFCBFQXZGMXRS-UHFFFAOYSA-N 1 2 294.489 3.546 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000494230700 1128166400 /nfs/dbraw/zinc/16/64/00/1128166400.db2.gz MHDVCZHZILSFPD-SDNWHVSQSA-N 1 2 299.418 3.902 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nc(C(C)(C)C)no1 ZINC000494230700 1128166402 /nfs/dbraw/zinc/16/64/02/1128166402.db2.gz MHDVCZHZILSFPD-SDNWHVSQSA-N 1 2 299.418 3.902 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nnc(C(C)C)o1 ZINC000494242565 1128167533 /nfs/dbraw/zinc/16/75/33/1128167533.db2.gz TZEPYRFVTYSZIN-GXDHUFHOSA-N 1 2 285.391 3.728 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nnc(C(C)C)o1 ZINC000494242565 1128167537 /nfs/dbraw/zinc/16/75/37/1128167537.db2.gz TZEPYRFVTYSZIN-GXDHUFHOSA-N 1 2 285.391 3.728 20 0 CHADLO C[C@@H](Nc1ccc([NH+](C)C)cc1)c1ccnc(Cl)c1 ZINC000777602330 1128182222 /nfs/dbraw/zinc/18/22/22/1128182222.db2.gz KZDCPKRNFJAPCC-LLVKDONJSA-N 1 2 275.783 3.974 20 0 CHADLO COCc1cc[nH+]c(N[C@H](c2ccccn2)C2CCC2)c1 ZINC000926661144 1128186647 /nfs/dbraw/zinc/18/66/47/1128186647.db2.gz LUIXIHUEAHSHSY-KRWDZBQOSA-N 1 2 283.375 3.576 20 0 CHADLO Cc1ccc(OCCCO[NH+]=C(N)c2ccccc2)cc1C ZINC000914786274 1128244208 /nfs/dbraw/zinc/24/42/08/1128244208.db2.gz BOCPDEVKORKRAD-UHFFFAOYSA-N 1 2 298.386 3.619 20 0 CHADLO CCc1cc(C[N@@H+]2CCC[C@H]2c2cc(F)ccc2F)on1 ZINC001203225144 1128253605 /nfs/dbraw/zinc/25/36/05/1128253605.db2.gz LVRFSUWLFNMBNG-INIZCTEOSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1cc(C[N@H+]2CCC[C@H]2c2cc(F)ccc2F)on1 ZINC001203225144 1128253606 /nfs/dbraw/zinc/25/36/06/1128253606.db2.gz LVRFSUWLFNMBNG-INIZCTEOSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@@H+]2CCCC(C)(F)F)no1 ZINC000929306421 1128269098 /nfs/dbraw/zinc/26/90/98/1128269098.db2.gz BAOPYKGGIPUYAI-NSHDSACASA-N 1 2 287.354 3.594 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@H+]2CCCC(C)(F)F)no1 ZINC000929306421 1128269100 /nfs/dbraw/zinc/26/91/00/1128269100.db2.gz BAOPYKGGIPUYAI-NSHDSACASA-N 1 2 287.354 3.594 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000926899035 1128201065 /nfs/dbraw/zinc/20/10/65/1128201065.db2.gz RYKUJNUGYSSZTR-ABAIWWIYSA-N 1 2 273.355 3.585 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+][C@@H](c2cccnc2)C2CC2)o1 ZINC000542519049 1128205958 /nfs/dbraw/zinc/20/59/58/1128205958.db2.gz DJGKIAHSNIENOV-MRXNPFEDSA-N 1 2 285.391 3.608 20 0 CHADLO CCn1c(=S)n(C[N@H+](C)[C@H](C)C(C)(C)C)nc1C1CC1 ZINC000914569655 1128211610 /nfs/dbraw/zinc/21/16/10/1128211610.db2.gz ZPNWNJYCKHQKSN-LLVKDONJSA-N 1 2 296.484 3.635 20 0 CHADLO CCn1c(=S)n(C[N@@H+](C)[C@H](C)C(C)(C)C)nc1C1CC1 ZINC000914569655 1128211613 /nfs/dbraw/zinc/21/16/13/1128211613.db2.gz ZPNWNJYCKHQKSN-LLVKDONJSA-N 1 2 296.484 3.635 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2cnc(C)nc2C)c(F)c1 ZINC000927095248 1128216347 /nfs/dbraw/zinc/21/63/47/1128216347.db2.gz YEUPTLZNIUCSNI-JTQLQIEISA-N 1 2 291.345 3.531 20 0 CHADLO COC[C@@H](Cc1ccccc1)Nc1ccc([NH+](C)C)c(C)c1 ZINC000777642985 1128232420 /nfs/dbraw/zinc/23/24/20/1128232420.db2.gz HEJSEAJGQCEIAC-GOSISDBHSA-N 1 2 298.430 3.731 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc3c(c2)OCO3)ccc1[NH+](C)C ZINC000777643473 1128233643 /nfs/dbraw/zinc/23/36/43/1128233643.db2.gz PGESMPPWNURJRA-CYBMUJFWSA-N 1 2 298.386 3.963 20 0 CHADLO CC[C@H]1CCC[N@H+](Cn2ncn(C(C)(C)C)c2=S)CC1 ZINC000153324459 1128235281 /nfs/dbraw/zinc/23/52/81/1128235281.db2.gz WMRFCNUWBVFPBT-ZDUSSCGKSA-N 1 2 296.484 3.639 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cn2ncn(C(C)(C)C)c2=S)CC1 ZINC000153324459 1128235283 /nfs/dbraw/zinc/23/52/83/1128235283.db2.gz WMRFCNUWBVFPBT-ZDUSSCGKSA-N 1 2 296.484 3.639 20 0 CHADLO CCCC[C@H](C(=O)NCc1cc(C)[nH+]c(C)c1)C(C)C ZINC000622109861 1129227497 /nfs/dbraw/zinc/22/74/97/1129227497.db2.gz FOIRIHURGRKIGZ-INIZCTEOSA-N 1 2 276.424 3.777 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)[C@@H](C)c1ncccn1 ZINC000929379027 1128272056 /nfs/dbraw/zinc/27/20/56/1128272056.db2.gz HUIRBBGDTPPPOM-LBPRGKRZSA-N 1 2 275.783 3.713 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)[C@@H](C)c1ncccn1 ZINC000929379027 1128272057 /nfs/dbraw/zinc/27/20/57/1128272057.db2.gz HUIRBBGDTPPPOM-LBPRGKRZSA-N 1 2 275.783 3.713 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@@H]3CCCC3(F)F)cc2[nH+]1 ZINC000929611377 1128279208 /nfs/dbraw/zinc/27/92/08/1128279208.db2.gz KHPUTPUEAKMWAL-JTQLQIEISA-N 1 2 293.317 3.635 20 0 CHADLO CC(F)(F)CCC[N@@H+]1CCO[C@@H](c2ccsc2)C1 ZINC000929827521 1128289463 /nfs/dbraw/zinc/28/94/63/1128289463.db2.gz CQUTYBIVJBGIFL-GFCCVEGCSA-N 1 2 275.364 3.557 20 0 CHADLO CC(F)(F)CCC[N@H+]1CCO[C@@H](c2ccsc2)C1 ZINC000929827521 1128289466 /nfs/dbraw/zinc/28/94/66/1128289466.db2.gz CQUTYBIVJBGIFL-GFCCVEGCSA-N 1 2 275.364 3.557 20 0 CHADLO CNc1ccc(Nc2c(C)cnn2-c2cccc(C)c2)c[nH+]1 ZINC001203451723 1128285817 /nfs/dbraw/zinc/28/58/17/1128285817.db2.gz ARAUSXIKULMRJH-UHFFFAOYSA-N 1 2 293.374 3.669 20 0 CHADLO CC(=O)NCCCCC[N@H+](c1ccc(C)cc1)C(C)C ZINC000929950147 1128294842 /nfs/dbraw/zinc/29/48/42/1128294842.db2.gz JXQDASPTYQEDQJ-UHFFFAOYSA-N 1 2 276.424 3.516 20 0 CHADLO CC(=O)NCCCCC[N@@H+](c1ccc(C)cc1)C(C)C ZINC000929950147 1128294845 /nfs/dbraw/zinc/29/48/45/1128294845.db2.gz JXQDASPTYQEDQJ-UHFFFAOYSA-N 1 2 276.424 3.516 20 0 CHADLO CCCCC[C@H](c1nc(Cc2c[nH+]cn2C)no1)C(C)C ZINC000762411833 1128297720 /nfs/dbraw/zinc/29/77/20/1128297720.db2.gz OZMZXCCSDJJDLK-AWEZNQCLSA-N 1 2 290.411 3.714 20 0 CHADLO CN(C)c1cc(COc2ccc(Cl)cc2F)cc[nH+]1 ZINC000762467936 1128301512 /nfs/dbraw/zinc/30/15/12/1128301512.db2.gz FWYOTXAYEBXEFA-UHFFFAOYSA-N 1 2 280.730 3.519 20 0 CHADLO CCSc1ccccc1C[N@@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000930166282 1128302958 /nfs/dbraw/zinc/30/29/58/1128302958.db2.gz DFJWHCQSOXVXPS-AWEZNQCLSA-N 1 2 287.432 3.859 20 0 CHADLO CCSc1ccccc1C[N@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000930166282 1128302961 /nfs/dbraw/zinc/30/29/61/1128302961.db2.gz DFJWHCQSOXVXPS-AWEZNQCLSA-N 1 2 287.432 3.859 20 0 CHADLO CN(C)c1cc(CSc2ncc(C(C)(C)C)o2)cc[nH+]1 ZINC000762481976 1128303375 /nfs/dbraw/zinc/30/33/75/1128303375.db2.gz WUYMRNPPVGVDDT-UHFFFAOYSA-N 1 2 291.420 3.725 20 0 CHADLO CC(C)Sc1ccc([C@H](C)[NH2+]Cc2nncs2)cc1 ZINC000930216043 1128307055 /nfs/dbraw/zinc/30/70/55/1128307055.db2.gz WBELHHKACXFCSM-NSHDSACASA-N 1 2 293.461 3.889 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nncs1)c1ccccc1Cl ZINC000930224162 1128309294 /nfs/dbraw/zinc/30/92/94/1128309294.db2.gz DGHCNBOTFYLLSR-ZDUSSCGKSA-N 1 2 281.812 3.678 20 0 CHADLO Fc1ccccc1[C@H]([NH2+]Cc1nncs1)C1CCCC1 ZINC000930233894 1128310029 /nfs/dbraw/zinc/31/00/29/1128310029.db2.gz XBGXZKYALRTUIR-OAHLLOKOSA-N 1 2 291.395 3.698 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@H](C)c2ncccn2)cc1 ZINC000930313049 1128313701 /nfs/dbraw/zinc/31/37/01/1128313701.db2.gz QVQIBLMIYNZCMG-NWDGAFQWSA-N 1 2 273.405 3.610 20 0 CHADLO CC1=C(c2ccco2)C[N@H+](Cc2c(F)cncc2F)CC1 ZINC000930350461 1128315193 /nfs/dbraw/zinc/31/51/93/1128315193.db2.gz ILLFGVXWGOQRGB-UHFFFAOYSA-N 1 2 290.313 3.632 20 0 CHADLO CC1=C(c2ccco2)C[N@@H+](Cc2c(F)cncc2F)CC1 ZINC000930350461 1128315196 /nfs/dbraw/zinc/31/51/96/1128315196.db2.gz ILLFGVXWGOQRGB-UHFFFAOYSA-N 1 2 290.313 3.632 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N(C1CC1)C1CCCCC1 ZINC000930532892 1128324617 /nfs/dbraw/zinc/32/46/17/1128324617.db2.gz JIJOGMXYFRSVCL-UHFFFAOYSA-N 1 2 283.375 3.500 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cnccn1)c1cc(Cl)ccc1F ZINC001168495461 1128325995 /nfs/dbraw/zinc/32/59/95/1128325995.db2.gz DETFHCBLPHJRON-ZJUUUORDSA-N 1 2 279.746 3.681 20 0 CHADLO CCc1ccccc1CNc1cc(N2CCCCC2)nc[nH+]1 ZINC000525357322 1128338480 /nfs/dbraw/zinc/33/84/80/1128338480.db2.gz JXOVUXJRSTWCPJ-UHFFFAOYSA-N 1 2 296.418 3.641 20 0 CHADLO CCc1ccccc1CNc1cc(N2CCCCC2)[nH+]cn1 ZINC000525357322 1128338484 /nfs/dbraw/zinc/33/84/84/1128338484.db2.gz JXOVUXJRSTWCPJ-UHFFFAOYSA-N 1 2 296.418 3.641 20 0 CHADLO Fc1cc2c(cc1F)C[NH+](C[C@H]1C[C@@H]3[C@H](C1)C3(F)F)C2 ZINC000930887277 1128338741 /nfs/dbraw/zinc/33/87/41/1128338741.db2.gz MMKDUFGSKKYXTP-ZSPDNNCOSA-N 1 2 285.284 3.572 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1c(F)cncc1F)c1ccccc1F ZINC000930968716 1128342549 /nfs/dbraw/zinc/34/25/49/1128342549.db2.gz JLXBCCADCOCSRR-INIZCTEOSA-N 1 2 294.320 3.986 20 0 CHADLO Cc1cccc([C@H](C)NC(=O)c2c(C)cc(C)[nH+]c2C)c1 ZINC001127388009 1128347227 /nfs/dbraw/zinc/34/72/27/1128347227.db2.gz GTOLWSPBZGUQHN-AWEZNQCLSA-N 1 2 282.387 3.806 20 0 CHADLO CC(C)C[N@H+](Cc1nncs1)Cc1cccc(Cl)c1 ZINC000931102494 1128347303 /nfs/dbraw/zinc/34/73/03/1128347303.db2.gz HBJSIUQOLXECKH-UHFFFAOYSA-N 1 2 295.839 3.850 20 0 CHADLO CC(C)C[N@@H+](Cc1nncs1)Cc1cccc(Cl)c1 ZINC000931102494 1128347306 /nfs/dbraw/zinc/34/73/06/1128347306.db2.gz HBJSIUQOLXECKH-UHFFFAOYSA-N 1 2 295.839 3.850 20 0 CHADLO Cc1cc(C)nc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)c1 ZINC000931084807 1128347819 /nfs/dbraw/zinc/34/78/19/1128347819.db2.gz SFLPZGGJQYOOCH-KRWDZBQOSA-N 1 2 281.403 3.677 20 0 CHADLO Cc1cc(C)nc(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)c1 ZINC000931084807 1128347822 /nfs/dbraw/zinc/34/78/22/1128347822.db2.gz SFLPZGGJQYOOCH-KRWDZBQOSA-N 1 2 281.403 3.677 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nncs2)C(C)(C)C)cc1 ZINC000931164394 1128350820 /nfs/dbraw/zinc/35/08/20/1128350820.db2.gz ODPJEDFXQLPSRI-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nncs2)C(C)(C)C)cc1 ZINC000931164394 1128350824 /nfs/dbraw/zinc/35/08/24/1128350824.db2.gz ODPJEDFXQLPSRI-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO CC1(CCC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)CC1 ZINC000931273091 1128354749 /nfs/dbraw/zinc/35/47/49/1128354749.db2.gz YKDMLBWIFQGZCD-UHFFFAOYSA-N 1 2 269.348 3.596 20 0 CHADLO O=C(CCc1ccccc1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000074830003 1128355081 /nfs/dbraw/zinc/35/50/81/1128355081.db2.gz BEPRMOVYYHZGHK-UHFFFAOYSA-N 1 2 291.354 3.648 20 0 CHADLO Cc1cc(NC(=O)c2ccc(OC(C)(C)C)cc2)cc[nH+]1 ZINC000074948295 1128355413 /nfs/dbraw/zinc/35/54/13/1128355413.db2.gz GJSPIBYWLVJEFX-UHFFFAOYSA-N 1 2 284.359 3.820 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@H]2c2ccccc2C)no1 ZINC000054990292 1128365367 /nfs/dbraw/zinc/36/53/67/1128365367.db2.gz ZTMMYAHXLLXNKU-HNNXBMFYSA-N 1 2 285.391 3.668 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@H]2c2ccccc2C)no1 ZINC000054990292 1128365371 /nfs/dbraw/zinc/36/53/71/1128365371.db2.gz ZTMMYAHXLLXNKU-HNNXBMFYSA-N 1 2 285.391 3.668 20 0 CHADLO CON(Cc1cc(C)cc(C)[nH+]1)[C@@H](C)c1csc(C)n1 ZINC000931547105 1128369016 /nfs/dbraw/zinc/36/90/16/1128369016.db2.gz MFUVKWJTHFCIJQ-LBPRGKRZSA-N 1 2 291.420 3.588 20 0 CHADLO C[C@@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1ccc(F)nc1 ZINC000931559343 1128369026 /nfs/dbraw/zinc/36/90/26/1128369026.db2.gz YDPNTGPKKSHYDL-YGRLFVJLSA-N 1 2 280.293 3.721 20 0 CHADLO C[C@@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1ccc(F)nc1 ZINC000931559341 1128369145 /nfs/dbraw/zinc/36/91/45/1128369145.db2.gz YDPNTGPKKSHYDL-QMTHXVAHSA-N 1 2 280.293 3.721 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)no1)c1ccccc1 ZINC000107071544 1128378989 /nfs/dbraw/zinc/37/89/89/1128378989.db2.gz CFCSWAMVXNQHKZ-NEPJUHHUSA-N 1 2 273.380 3.779 20 0 CHADLO CC(C)c1nsc(C[NH2+][C@@H]2CCc3c2cccc3F)n1 ZINC000931756532 1128380095 /nfs/dbraw/zinc/38/00/95/1128380095.db2.gz URUIMPDYKADXJZ-CYBMUJFWSA-N 1 2 291.395 3.578 20 0 CHADLO Fc1ccc(CNc2ccn3cc[nH+]c3c2)c(F)c1Cl ZINC001168506545 1128382112 /nfs/dbraw/zinc/38/21/12/1128382112.db2.gz WHSPJQPBHPEKRS-UHFFFAOYSA-N 1 2 293.704 3.878 20 0 CHADLO Cc1cc(-c2cc(O)cc(Cl)c2)cn2cc[nH+]c12 ZINC001235903226 1128387025 /nfs/dbraw/zinc/38/70/25/1128387025.db2.gz DIONYHMVQDOERU-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO C[C@@H](CCc1ccsc1)[NH2+][C@@H](C)C(=O)OC1CCCC1 ZINC000763287560 1128388305 /nfs/dbraw/zinc/38/83/05/1128388305.db2.gz IYRVNNATZMOJTQ-STQMWFEESA-N 1 2 295.448 3.533 20 0 CHADLO CC[C@@H](C)[C@H](C)N(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CC1 ZINC000932049010 1128393572 /nfs/dbraw/zinc/39/35/72/1128393572.db2.gz QXNDAJIBEVMIKG-NEPJUHHUSA-N 1 2 285.391 3.602 20 0 CHADLO CCCCC[N@@H+]1CCC[C@H]1c1ncc(Br)cn1 ZINC000932255594 1128402003 /nfs/dbraw/zinc/40/20/03/1128402003.db2.gz FDUQLZKALUVIKT-LBPRGKRZSA-N 1 2 298.228 3.566 20 0 CHADLO CCCCC[N@H+]1CCC[C@H]1c1ncc(Br)cn1 ZINC000932255594 1128402004 /nfs/dbraw/zinc/40/20/04/1128402004.db2.gz FDUQLZKALUVIKT-LBPRGKRZSA-N 1 2 298.228 3.566 20 0 CHADLO Cn1nccc1[C@@H]1CCC[N@@H+]1Cc1ccc(F)c(Cl)c1 ZINC000932259818 1128402389 /nfs/dbraw/zinc/40/23/89/1128402389.db2.gz CALVJWRYKIGOSF-HNNXBMFYSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1nccc1[C@@H]1CCC[N@H+]1Cc1ccc(F)c(Cl)c1 ZINC000932259818 1128402392 /nfs/dbraw/zinc/40/23/92/1128402392.db2.gz CALVJWRYKIGOSF-HNNXBMFYSA-N 1 2 293.773 3.550 20 0 CHADLO c1coc(-c2cc(C[NH2+][C@@H](c3ccccn3)C3CC3)no2)c1 ZINC000932375262 1128406178 /nfs/dbraw/zinc/40/61/78/1128406178.db2.gz MUHOPZJZKBTVFV-QGZVFWFLSA-N 1 2 295.342 3.571 20 0 CHADLO CC(C)C[N@H+](Cc1ccccn1)Cc1ncccc1Cl ZINC000932448478 1128411050 /nfs/dbraw/zinc/41/10/50/1128411050.db2.gz RFYYCUXMVHYLQL-UHFFFAOYSA-N 1 2 289.810 3.788 20 0 CHADLO CC(C)C[N@@H+](Cc1ccccn1)Cc1ncccc1Cl ZINC000932448478 1128411051 /nfs/dbraw/zinc/41/10/51/1128411051.db2.gz RFYYCUXMVHYLQL-UHFFFAOYSA-N 1 2 289.810 3.788 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2ccc(Cl)cc21)c1csnn1 ZINC000562735977 1128419235 /nfs/dbraw/zinc/41/92/35/1128419235.db2.gz CHWNXVSUISIUPO-TVQRCGJNSA-N 1 2 293.823 3.920 20 0 CHADLO CC[C@H](C)[C@H]([NH2+][C@H](c1ccccc1C)C1CC1)C(=O)OC ZINC001168518378 1128447201 /nfs/dbraw/zinc/44/72/01/1128447201.db2.gz CLDHTZYUHNGJKQ-ZLIFDBKOSA-N 1 2 289.419 3.623 20 0 CHADLO CC(=O)c1cccc(C[N@H+](Cc2ccoc2)C2CC2)c1 ZINC000934003885 1128449216 /nfs/dbraw/zinc/44/92/16/1128449216.db2.gz KMLQGEYRFQIMFK-UHFFFAOYSA-N 1 2 269.344 3.647 20 0 CHADLO CC(=O)c1cccc(C[N@@H+](Cc2ccoc2)C2CC2)c1 ZINC000934003885 1128449217 /nfs/dbraw/zinc/44/92/17/1128449217.db2.gz KMLQGEYRFQIMFK-UHFFFAOYSA-N 1 2 269.344 3.647 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@H](C)c2cncs2)n1 ZINC000934196351 1128454542 /nfs/dbraw/zinc/45/45/42/1128454542.db2.gz YOWBTIIFWXAQNB-LLVKDONJSA-N 1 2 278.425 3.552 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CC23CCC(CC2)C3)C2CCCCC2)n1 ZINC000563700761 1128475254 /nfs/dbraw/zinc/47/52/54/1128475254.db2.gz VCZFRUVPFLLOQR-GULBITTBSA-N 1 2 288.439 3.596 20 0 CHADLO COc1cc(C)ccc1[C@@H](C)[NH2+]Cc1ccn(C(C)C)n1 ZINC000564825798 1128516483 /nfs/dbraw/zinc/51/64/83/1128516483.db2.gz YGAQXTIEJSCYHL-CQSZACIVSA-N 1 2 287.407 3.632 20 0 CHADLO Fc1cc(-c2ccncc2)ccc1C[N@H+]1CCC[C@H](F)C1 ZINC000565100781 1128519216 /nfs/dbraw/zinc/51/92/16/1128519216.db2.gz YSXPCCZFHZQYSO-INIZCTEOSA-N 1 2 288.341 3.822 20 0 CHADLO Fc1cc(-c2ccncc2)ccc1C[N@@H+]1CCC[C@H](F)C1 ZINC000565100781 1128519219 /nfs/dbraw/zinc/51/92/19/1128519219.db2.gz YSXPCCZFHZQYSO-INIZCTEOSA-N 1 2 288.341 3.822 20 0 CHADLO CCc1nocc1CSCCc1[nH+]cc(C)cc1C ZINC000565224259 1128522596 /nfs/dbraw/zinc/52/25/96/1128522596.db2.gz GBFRCXBTFDUYLX-UHFFFAOYSA-N 1 2 276.405 3.725 20 0 CHADLO Cc1ccc([C@@H]2[C@H](C)CCC[N@@H+]2Cc2csnn2)cc1 ZINC000129083736 1128530541 /nfs/dbraw/zinc/53/05/41/1128530541.db2.gz QOQUKZSGYNTLNW-CJNGLKHVSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@@H]2[C@H](C)CCC[N@H+]2Cc2csnn2)cc1 ZINC000129083736 1128530542 /nfs/dbraw/zinc/53/05/42/1128530542.db2.gz QOQUKZSGYNTLNW-CJNGLKHVSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1nc(C[NH2+][C@H](CC(F)F)c2ccccc2)[nH]c1C ZINC000566499140 1128545655 /nfs/dbraw/zinc/54/56/55/1128545655.db2.gz NGTIDBLVNCRCKQ-CYBMUJFWSA-N 1 2 279.334 3.513 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2c(C)nsc2C)cs1 ZINC000566716873 1128549906 /nfs/dbraw/zinc/54/99/06/1128549906.db2.gz NBOLWRZNSYVQPT-SECBINFHSA-N 1 2 281.450 3.630 20 0 CHADLO Cc1ccc2ncc(C[N@@H+](C)[C@@H](C)c3ccccc3F)n2c1 ZINC000129743005 1128555381 /nfs/dbraw/zinc/55/53/81/1128555381.db2.gz BPVRKTAPPBWGQW-AWEZNQCLSA-N 1 2 297.377 3.975 20 0 CHADLO Cc1ccc2ncc(C[N@H+](C)[C@@H](C)c3ccccc3F)n2c1 ZINC000129743005 1128555385 /nfs/dbraw/zinc/55/53/85/1128555385.db2.gz BPVRKTAPPBWGQW-AWEZNQCLSA-N 1 2 297.377 3.975 20 0 CHADLO CCc1nc(C[N@H+](C)C[C@H](OC)c2ccccc2)cs1 ZINC000567370372 1128563030 /nfs/dbraw/zinc/56/30/30/1128563030.db2.gz WCEZCISUFMFEQB-HNNXBMFYSA-N 1 2 290.432 3.525 20 0 CHADLO CCc1nc(C[N@@H+](C)C[C@H](OC)c2ccccc2)cs1 ZINC000567370372 1128563032 /nfs/dbraw/zinc/56/30/32/1128563032.db2.gz WCEZCISUFMFEQB-HNNXBMFYSA-N 1 2 290.432 3.525 20 0 CHADLO Cc1cc(NC(=O)c2cc(C)c(F)cc2Cl)cc[nH+]1 ZINC000130210037 1128573262 /nfs/dbraw/zinc/57/32/62/1128573262.db2.gz ZFDYWGUJVFCLRE-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO Cc1cnccc1C[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000567905347 1128573877 /nfs/dbraw/zinc/57/38/77/1128573877.db2.gz TYFPWAYLJNBOCY-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnccc1C[N@H+]1CCc2sc(Cl)cc2C1 ZINC000567905347 1128573878 /nfs/dbraw/zinc/57/38/78/1128573878.db2.gz TYFPWAYLJNBOCY-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)CC2CCCC2)c(N(C)C)[nH+]1 ZINC001127451793 1128579649 /nfs/dbraw/zinc/57/96/49/1128579649.db2.gz FZLHIEUNXUMDON-LBPRGKRZSA-N 1 2 289.423 3.611 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2nc3ccccc3n2C)o1 ZINC000071006994 1128581464 /nfs/dbraw/zinc/58/14/64/1128581464.db2.gz FPAZQEMRKIUZMZ-GXTWGEPZSA-N 1 2 295.386 3.580 20 0 CHADLO Cc1[nH]c(CNc2ccc(CC(F)(F)F)cc2)[nH+]c1C ZINC000568273117 1128581557 /nfs/dbraw/zinc/58/15/57/1128581557.db2.gz KBHUMOBUCIHUFN-UHFFFAOYSA-N 1 2 283.297 3.743 20 0 CHADLO CC(C)[C@@H]1CCC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000568696630 1128589782 /nfs/dbraw/zinc/58/97/82/1128589782.db2.gz OVZKBOBFTKQBBS-SJORKVTESA-N 1 2 298.434 3.953 20 0 CHADLO c1sc(C2CC2)nc1Cn1c[nH+]c(Cc2ccccc2)c1 ZINC000919150853 1128591910 /nfs/dbraw/zinc/59/19/10/1128591910.db2.gz NPOHURAFXJTMOL-UHFFFAOYSA-N 1 2 295.411 3.856 20 0 CHADLO COc1c(C)c[nH+]c(CN2CC3(CC3)c3ccccc32)c1C ZINC000570129429 1128616987 /nfs/dbraw/zinc/61/69/87/1128616987.db2.gz BEMZBCVPTWKHAA-UHFFFAOYSA-N 1 2 294.398 3.759 20 0 CHADLO COc1cc(C[N@H+](C)Cc2c(F)cccc2F)ccc1C ZINC000570620517 1128628438 /nfs/dbraw/zinc/62/84/38/1128628438.db2.gz ZAGYZOOARWGMHA-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2c(F)cccc2F)ccc1C ZINC000570620517 1128628440 /nfs/dbraw/zinc/62/84/40/1128628440.db2.gz ZAGYZOOARWGMHA-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1cc(NC(=O)CSc2ccccc2Cl)cc[nH+]1 ZINC000072710047 1128628800 /nfs/dbraw/zinc/62/88/00/1128628800.db2.gz HJZWJFZIRLTLFG-UHFFFAOYSA-N 1 2 292.791 3.774 20 0 CHADLO Clc1ccccc1[C@H]1COCC[N@@H+]1[C@@H]1CCCSC1 ZINC001257681110 1128637379 /nfs/dbraw/zinc/63/73/79/1128637379.db2.gz HLNWMKRPNSSHCC-IUODEOHRSA-N 1 2 297.851 3.609 20 0 CHADLO Clc1ccccc1[C@H]1COCC[N@H+]1[C@@H]1CCCSC1 ZINC001257681110 1128637380 /nfs/dbraw/zinc/63/73/80/1128637380.db2.gz HLNWMKRPNSSHCC-IUODEOHRSA-N 1 2 297.851 3.609 20 0 CHADLO c1coc(C2=CCC[N@@H+](Cc3nc(C4CCCC4)no3)C2)c1 ZINC000571905031 1128639746 /nfs/dbraw/zinc/63/97/46/1128639746.db2.gz ZCNQOZJJRPQCEF-UHFFFAOYSA-N 1 2 299.374 3.610 20 0 CHADLO c1coc(C2=CCC[N@H+](Cc3nc(C4CCCC4)no3)C2)c1 ZINC000571905031 1128639747 /nfs/dbraw/zinc/63/97/47/1128639747.db2.gz ZCNQOZJJRPQCEF-UHFFFAOYSA-N 1 2 299.374 3.610 20 0 CHADLO Clc1ccc([C@@H]2CC[N@@H+]2Cc2cnn3ccccc23)cc1 ZINC000556926300 1128644652 /nfs/dbraw/zinc/64/46/52/1128644652.db2.gz BXHVYFLJZGWSSJ-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccc([C@@H]2CC[N@H+]2Cc2cnn3ccccc23)cc1 ZINC000556926300 1128644654 /nfs/dbraw/zinc/64/46/54/1128644654.db2.gz BXHVYFLJZGWSSJ-INIZCTEOSA-N 1 2 297.789 3.935 20 0 CHADLO CC(C)c1nc(C[NH2+]Cc2ccc(F)cc2)c2ccccn21 ZINC000572688752 1128646874 /nfs/dbraw/zinc/64/68/74/1128646874.db2.gz FCSIWCYNYVGRPQ-UHFFFAOYSA-N 1 2 297.377 3.887 20 0 CHADLO C[C@H](Cc1c[nH]c[nH+]1)C(=O)N1CCC[C@H](C)c2ccccc21 ZINC000572983706 1128650145 /nfs/dbraw/zinc/65/01/45/1128650145.db2.gz ZBXBKNAGYDKGSP-UONOGXRCSA-N 1 2 297.402 3.519 20 0 CHADLO C[C@H](Cc1c[nH+]c[nH]1)C(=O)N1CCC[C@H](C)c2ccccc21 ZINC000572983706 1128650147 /nfs/dbraw/zinc/65/01/47/1128650147.db2.gz ZBXBKNAGYDKGSP-UONOGXRCSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1nnc(C[NH2+][C@H](C)c2cc3ccc(C)cc3o2)s1 ZINC000573515318 1128655506 /nfs/dbraw/zinc/65/55/06/1128655506.db2.gz GZXQJLMNGVHZAW-SNVBAGLBSA-N 1 2 287.388 3.752 20 0 CHADLO Clc1cc(C[NH+]2C3CCC2CC3)cc(Cl)n1 ZINC000920085374 1128664100 /nfs/dbraw/zinc/66/41/00/1128664100.db2.gz ONQYYSWEDMUEAB-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO CCCC(C)=CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000920284983 1128676481 /nfs/dbraw/zinc/67/64/81/1128676481.db2.gz JHEHBFJANOFZSV-CSKARUKUSA-N 1 2 257.337 3.556 20 0 CHADLO CCC1CCC([N@H+](C)Cn2nc(C)sc2=S)CC1 ZINC000921045833 1128699396 /nfs/dbraw/zinc/69/93/96/1128699396.db2.gz FWWXCKOKTDUBNP-UHFFFAOYSA-N 1 2 285.482 3.841 20 0 CHADLO CCC1CCC([N@@H+](C)Cn2nc(C)sc2=S)CC1 ZINC000921045833 1128699400 /nfs/dbraw/zinc/69/94/00/1128699400.db2.gz FWWXCKOKTDUBNP-UHFFFAOYSA-N 1 2 285.482 3.841 20 0 CHADLO CC(C)Cc1noc(C[N@@H+]2CCc3ccccc3C2(C)C)n1 ZINC000577971468 1128702201 /nfs/dbraw/zinc/70/22/01/1128702201.db2.gz KEYLRTYDKMKMHJ-UHFFFAOYSA-N 1 2 299.418 3.562 20 0 CHADLO CC(C)Cc1noc(C[N@H+]2CCc3ccccc3C2(C)C)n1 ZINC000577971468 1128702203 /nfs/dbraw/zinc/70/22/03/1128702203.db2.gz KEYLRTYDKMKMHJ-UHFFFAOYSA-N 1 2 299.418 3.562 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1nc(C(F)F)no1)C1CC1 ZINC000578065606 1128705531 /nfs/dbraw/zinc/70/55/31/1128705531.db2.gz XEMZWGYOIXCRAX-ZDUSSCGKSA-N 1 2 293.317 3.557 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)c2ccc3cc[nH]c3c2)c1C ZINC000470994115 1128708152 /nfs/dbraw/zinc/70/81/52/1128708152.db2.gz MIUQIAPZQHLXJZ-UHFFFAOYSA-N 1 2 295.386 3.825 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(SC(F)F)cc2)no1 ZINC000064556695 1128725945 /nfs/dbraw/zinc/72/59/45/1128725945.db2.gz UWYILLQDEPCRLU-UHFFFAOYSA-N 1 2 298.358 3.930 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(SC(F)F)cc2)no1 ZINC000064556695 1128725948 /nfs/dbraw/zinc/72/59/48/1128725948.db2.gz UWYILLQDEPCRLU-UHFFFAOYSA-N 1 2 298.358 3.930 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2Cc3ccccc3[C@@H](C)C2)s1 ZINC000801508880 1128732249 /nfs/dbraw/zinc/73/22/49/1128732249.db2.gz VNNCGIWTNGCTBJ-WDEREUQCSA-N 1 2 273.405 3.527 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2Cc3ccccc3[C@@H](C)C2)s1 ZINC000801508880 1128732252 /nfs/dbraw/zinc/73/22/52/1128732252.db2.gz VNNCGIWTNGCTBJ-WDEREUQCSA-N 1 2 273.405 3.527 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1csc(C)n1 ZINC000035015277 1117760343 /nfs/dbraw/zinc/76/03/43/1117760343.db2.gz ZLBJAEJTAILJQZ-LLVKDONJSA-N 1 2 276.405 3.619 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@@H](C)CC2)cc(C)c1Cl ZINC001238089690 1117771480 /nfs/dbraw/zinc/77/14/80/1117771480.db2.gz XWYONMRJLFZXEQ-NSHDSACASA-N 1 2 279.811 3.758 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@@H](C)CC2)cc(C)c1Cl ZINC001238089690 1117771481 /nfs/dbraw/zinc/77/14/81/1117771481.db2.gz XWYONMRJLFZXEQ-NSHDSACASA-N 1 2 279.811 3.758 20 0 CHADLO CCCCc1noc(C[N@H+](C)C(C)(C)c2ccccc2)n1 ZINC000671246218 1117785311 /nfs/dbraw/zinc/78/53/11/1117785311.db2.gz NYZBDEXMOSOXKL-UHFFFAOYSA-N 1 2 287.407 3.779 20 0 CHADLO CCCCc1noc(C[N@@H+](C)C(C)(C)c2ccccc2)n1 ZINC000671246218 1117785313 /nfs/dbraw/zinc/78/53/13/1117785313.db2.gz NYZBDEXMOSOXKL-UHFFFAOYSA-N 1 2 287.407 3.779 20 0 CHADLO Cc1ccc(Cl)cc1NC(=O)c1cccc2[nH+]ccn21 ZINC000082324837 1117813844 /nfs/dbraw/zinc/81/38/44/1117813844.db2.gz ZPHJDJATULOVMD-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO COCCCOc1ccc(-c2cc(C)cn3cc[nH+]c23)cc1 ZINC000623169924 1117821530 /nfs/dbraw/zinc/82/15/30/1117821530.db2.gz ROYYNKLDCDKEKS-UHFFFAOYSA-N 1 2 296.370 3.725 20 0 CHADLO Cc1nc(N2CC(c3ccccc3)C2)cc(C(C)C)[nH+]1 ZINC001167061214 1117839092 /nfs/dbraw/zinc/83/90/92/1117839092.db2.gz HESQPOYSLZBWQW-UHFFFAOYSA-N 1 2 267.376 3.512 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000430205255 1117862729 /nfs/dbraw/zinc/86/27/29/1117862729.db2.gz MNQRHEZZESQNJI-LLVKDONJSA-N 1 2 261.262 3.829 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000430205255 1117862734 /nfs/dbraw/zinc/86/27/34/1117862734.db2.gz MNQRHEZZESQNJI-LLVKDONJSA-N 1 2 261.262 3.829 20 0 CHADLO Cc1nc(-c2ccc([C@H](C)[NH2+]Cc3cocn3)cc2)cs1 ZINC000683200430 1117865694 /nfs/dbraw/zinc/86/56/94/1117865694.db2.gz HPCYQDQPMQLEKI-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(C3CC3)cc2)o1 ZINC000579213041 1128741261 /nfs/dbraw/zinc/74/12/61/1128741261.db2.gz QWIFBPLMIFZJDF-GHMZBOCLSA-N 1 2 271.364 3.667 20 0 CHADLO C[C@H]([NH2+]Cc1cc[nH]n1)c1ccc(-c2ccccc2)o1 ZINC000177958547 1117882278 /nfs/dbraw/zinc/88/22/78/1117882278.db2.gz UBLNGDZWLVVQPO-LBPRGKRZSA-N 1 2 267.332 3.521 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](C(F)(F)F)OC(C)(C)C2)s1 ZINC000430216428 1117883605 /nfs/dbraw/zinc/88/36/05/1117883605.db2.gz HHKPCFJKYIQYEM-LLVKDONJSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](C(F)(F)F)OC(C)(C)C2)s1 ZINC000430216428 1117883606 /nfs/dbraw/zinc/88/36/06/1117883606.db2.gz HHKPCFJKYIQYEM-LLVKDONJSA-N 1 2 293.354 3.598 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1ccc(OCC(F)(F)F)cc1 ZINC000623679597 1117887640 /nfs/dbraw/zinc/88/76/40/1117887640.db2.gz AMQSKNFQEROAHQ-UHFFFAOYSA-N 1 2 297.267 3.763 20 0 CHADLO CC(C)CCc1nc(C[N@@H+]2C[C@@H](C)[C@@H]2C)cs1 ZINC000339583148 1117888787 /nfs/dbraw/zinc/88/87/87/1117888787.db2.gz VLHBFWRKTMKACS-NEPJUHHUSA-N 1 2 252.427 3.572 20 0 CHADLO CC(C)CCc1nc(C[N@H+]2C[C@@H](C)[C@@H]2C)cs1 ZINC000339583148 1117888795 /nfs/dbraw/zinc/88/87/95/1117888795.db2.gz VLHBFWRKTMKACS-NEPJUHHUSA-N 1 2 252.427 3.572 20 0 CHADLO CCCOc1ccc(C[NH2+]CC(F)(F)CC)cc1OC ZINC000623680478 1117888957 /nfs/dbraw/zinc/88/89/57/1117888957.db2.gz MASKAJOBIJHDCN-UHFFFAOYSA-N 1 2 287.350 3.619 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1c[nH]nc1-c1cccc(Cl)c1 ZINC000623680039 1117889048 /nfs/dbraw/zinc/88/90/48/1117889048.db2.gz VOCDZGICXBSDGO-UHFFFAOYSA-N 1 2 299.752 3.865 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2noc3c2CCCC3)c1 ZINC000683224218 1117899182 /nfs/dbraw/zinc/89/91/82/1117899182.db2.gz WMTOPMPRUMDTTC-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2noc3c2CCCC3)c1 ZINC000683224218 1117899186 /nfs/dbraw/zinc/89/91/86/1117899186.db2.gz WMTOPMPRUMDTTC-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1cnn2ccccc12 ZINC000179310764 1117903594 /nfs/dbraw/zinc/90/35/94/1117903594.db2.gz DYYHFDMNFDKFFM-UHFFFAOYSA-N 1 2 296.374 3.519 20 0 CHADLO Fc1c[nH+]ccc1NCc1cccc(C(F)(F)F)c1 ZINC000180187833 1117924420 /nfs/dbraw/zinc/92/44/20/1117924420.db2.gz TURLDYOVUITSKK-UHFFFAOYSA-N 1 2 270.229 3.852 20 0 CHADLO Cc1nn(-c2ccccc2)c(C)c1CNc1cc[nH+]cc1F ZINC000180189365 1117924526 /nfs/dbraw/zinc/92/45/26/1117924526.db2.gz FKQVWKFUXQKWGI-UHFFFAOYSA-N 1 2 296.349 3.635 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccc2c(c1)CCCC2 ZINC000430251448 1117933173 /nfs/dbraw/zinc/93/31/73/1117933173.db2.gz OCCCXZAHZOVXGZ-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccc2c(c1)CCCC2 ZINC000430251448 1117933176 /nfs/dbraw/zinc/93/31/76/1117933176.db2.gz OCCCXZAHZOVXGZ-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO Cc1ccnc(C[N@@H+](Cc2cccc(Cl)c2)C(C)C)n1 ZINC000339648506 1117946738 /nfs/dbraw/zinc/94/67/38/1117946738.db2.gz GAFVOEINKYCHBU-UHFFFAOYSA-N 1 2 289.810 3.849 20 0 CHADLO Cc1ccnc(C[N@H+](Cc2cccc(Cl)c2)C(C)C)n1 ZINC000339648506 1117946742 /nfs/dbraw/zinc/94/67/42/1117946742.db2.gz GAFVOEINKYCHBU-UHFFFAOYSA-N 1 2 289.810 3.849 20 0 CHADLO Cc1ccnc(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)n1 ZINC000339654286 1117947708 /nfs/dbraw/zinc/94/77/08/1117947708.db2.gz VJLBKOUQKUJILO-ZDUSSCGKSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1ccnc(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)n1 ZINC000339654286 1117947711 /nfs/dbraw/zinc/94/77/11/1117947711.db2.gz VJLBKOUQKUJILO-ZDUSSCGKSA-N 1 2 281.359 3.724 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1nccc(C)n1 ZINC000339662240 1117949398 /nfs/dbraw/zinc/94/93/98/1117949398.db2.gz RQJHDMXRZALKSH-UHFFFAOYSA-N 1 2 295.386 3.726 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1nccc(C)n1 ZINC000339662240 1117949401 /nfs/dbraw/zinc/94/94/01/1117949401.db2.gz RQJHDMXRZALKSH-UHFFFAOYSA-N 1 2 295.386 3.726 20 0 CHADLO Cc1ccnc(C[N@@H+]2C[C@H](C)C[C@@H]2c2cccc(F)c2)n1 ZINC000339661111 1117950561 /nfs/dbraw/zinc/95/05/61/1117950561.db2.gz QZKHIJZEOXFNHE-MLGOLLRUSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccnc(C[N@H+]2C[C@H](C)C[C@@H]2c2cccc(F)c2)n1 ZINC000339661111 1117950563 /nfs/dbraw/zinc/95/05/63/1117950563.db2.gz QZKHIJZEOXFNHE-MLGOLLRUSA-N 1 2 285.366 3.507 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCc2scnc21)c1c(C)noc1C ZINC000623925627 1117957801 /nfs/dbraw/zinc/95/78/01/1117957801.db2.gz KRXGHEOMHNAMRI-RYUDHWBXSA-N 1 2 291.420 3.866 20 0 CHADLO F[C@H]1CCC2(C1)CC[NH+](Cc1csc(Cl)n1)CC2 ZINC001139978753 1117967787 /nfs/dbraw/zinc/96/77/87/1117967787.db2.gz YYLPPPSTEDODHT-JTQLQIEISA-N 1 2 288.819 3.901 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3ccccc32)C[C@@H](C)S1 ZINC000624002713 1117968757 /nfs/dbraw/zinc/96/87/57/1117968757.db2.gz CAAFEHZBKGRHOY-VXGBXAGGSA-N 1 2 258.390 3.565 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1ncc(OC)cc1Cl ZINC001238482898 1117974132 /nfs/dbraw/zinc/97/41/32/1117974132.db2.gz OMUMGFLDUZTJAT-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1ncc(OC)cc1Cl ZINC001238482898 1117974136 /nfs/dbraw/zinc/97/41/36/1117974136.db2.gz OMUMGFLDUZTJAT-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+]CC(F)(F)CC)c1 ZINC000624147909 1117989084 /nfs/dbraw/zinc/98/90/84/1117989084.db2.gz OQMKJVTYNLBPQT-LLVKDONJSA-N 1 2 257.324 3.781 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc(OC)cc1C ZINC000624148679 1117989916 /nfs/dbraw/zinc/98/99/16/1117989916.db2.gz KZHDVSAIRHJNIG-NSHDSACASA-N 1 2 257.324 3.700 20 0 CHADLO CCn1c(C)nn(C[NH+]2CCC(CC)(CC)CC2)c1=S ZINC000186112847 1117999257 /nfs/dbraw/zinc/99/92/57/1117999257.db2.gz ZFRSYPCUZJCKHI-UHFFFAOYSA-N 1 2 296.484 3.602 20 0 CHADLO Cc1ccc(Oc2ccc(NCc3c[nH+]cn3C)cn2)cc1 ZINC000181644381 1118002518 /nfs/dbraw/zinc/00/25/18/1118002518.db2.gz ZEQABRSZRXVDNG-UHFFFAOYSA-N 1 2 294.358 3.528 20 0 CHADLO CC1CC[NH+](Cc2ncc(Cl)cc2Cl)CC1 ZINC000181991396 1118011694 /nfs/dbraw/zinc/01/16/94/1118011694.db2.gz XYEFPCAMFCTYFK-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](CC)c2c(C)noc2C)cs1 ZINC000182417298 1118021227 /nfs/dbraw/zinc/02/12/27/1118021227.db2.gz XBINFWVMEBQFRR-ZDUSSCGKSA-N 1 2 293.436 3.941 20 0 CHADLO CCCc1cc(N(C)[C@@H](C)c2ccccc2OC)nc(C)[nH+]1 ZINC001167110066 1118025204 /nfs/dbraw/zinc/02/52/04/1118025204.db2.gz UFZCKUGKZTXVAP-ZDUSSCGKSA-N 1 2 299.418 3.944 20 0 CHADLO C[C@@H]1CCN(CCCn2cc[nH+]c2)c2ccccc2S1 ZINC000182869634 1118030721 /nfs/dbraw/zinc/03/07/21/1118030721.db2.gz MAIWNUVSQIACHD-CQSZACIVSA-N 1 2 287.432 3.664 20 0 CHADLO C[C@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1ccncc1 ZINC000182913362 1118032714 /nfs/dbraw/zinc/03/27/14/1118032714.db2.gz DKRXUFPLOPYELD-LBPRGKRZSA-N 1 2 282.322 3.580 20 0 CHADLO C[C@H](Nc1ccccc1OCCn1cc[nH+]c1)C1CCCC1 ZINC000182940439 1118033493 /nfs/dbraw/zinc/03/34/93/1118033493.db2.gz JBCJELYFRZTAQO-HNNXBMFYSA-N 1 2 299.418 3.953 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)c1ccccc1Cl ZINC000047514826 1118034246 /nfs/dbraw/zinc/03/42/46/1118034246.db2.gz STVFJICOLZOZII-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@@]2(CCSC2)C1 ZINC000624262768 1118035130 /nfs/dbraw/zinc/03/51/30/1118035130.db2.gz WWDJZEXXYLHEIX-QGZVFWFLSA-N 1 2 284.428 3.958 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCS[C@H](C)[C@H]2C)s1 ZINC000183082926 1118038244 /nfs/dbraw/zinc/03/82/44/1118038244.db2.gz FDQKKIKRHGLISD-GHMZBOCLSA-N 1 2 270.467 3.592 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCS[C@H](C)[C@H]2C)s1 ZINC000183082926 1118038248 /nfs/dbraw/zinc/03/82/48/1118038248.db2.gz FDQKKIKRHGLISD-GHMZBOCLSA-N 1 2 270.467 3.592 20 0 CHADLO CC(C)c1noc(C[NH2+]C2(c3ccccc3)CCCC2)n1 ZINC000183539114 1118046040 /nfs/dbraw/zinc/04/60/40/1118046040.db2.gz PZBCYHLBXUJWOW-UHFFFAOYSA-N 1 2 285.391 3.752 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCCc2[nH]ncc21)c1ccc(C)cc1 ZINC000367601551 1118055264 /nfs/dbraw/zinc/05/52/64/1118055264.db2.gz OKQNETFPKQTKNA-CVEARBPZSA-N 1 2 269.392 3.836 20 0 CHADLO CC(C)Oc1cccc([C@@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)c1 ZINC000367629338 1118055890 /nfs/dbraw/zinc/05/58/90/1118055890.db2.gz BAOVWHKNWJEYNV-DYVFJYSZSA-N 1 2 299.418 3.925 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+][C@H](c1ccccc1)C1CC1)CCC2 ZINC000367601594 1118055954 /nfs/dbraw/zinc/05/59/54/1118055954.db2.gz OOWYJJOXJJNKGF-NVXWUHKLSA-N 1 2 267.376 3.528 20 0 CHADLO Cc1[nH]c(CNc2ccc(C)c(OCC(F)F)c2)[nH+]c1C ZINC000579615656 1128753091 /nfs/dbraw/zinc/75/30/91/1128753091.db2.gz OLDBYRAAXFUWJT-UHFFFAOYSA-N 1 2 295.333 3.591 20 0 CHADLO C[C@H]([NH2+]Cc1cc(C#N)ccc1F)c1cccnc1Cl ZINC000090117552 1118060049 /nfs/dbraw/zinc/06/00/49/1118060049.db2.gz XVDFBNSAGPAOSY-JTQLQIEISA-N 1 2 289.741 3.597 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1cccc(F)c1Cl ZINC000093170283 1118070230 /nfs/dbraw/zinc/07/02/30/1118070230.db2.gz OZLIEEIKNUVYAL-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1cccc(F)c1Cl ZINC000093170283 1118070233 /nfs/dbraw/zinc/07/02/33/1118070233.db2.gz OZLIEEIKNUVYAL-UHFFFAOYSA-N 1 2 269.669 3.863 20 0 CHADLO Cc1cc(NC(=O)c2ccccc2CC(C)(C)C)c(C)c[nH+]1 ZINC001127733874 1118075370 /nfs/dbraw/zinc/07/53/70/1118075370.db2.gz PSGDQNDNIWPUGF-UHFFFAOYSA-N 1 2 296.414 3.961 20 0 CHADLO CCSc1cc[nH+]c(N2CC3(C2)CCCCC3)c1 ZINC001167183952 1118089798 /nfs/dbraw/zinc/08/97/98/1118089798.db2.gz SXPRAQLGJNSCKW-UHFFFAOYSA-N 1 2 262.422 3.964 20 0 CHADLO FC(F)C(F)(F)C[N@@H+]1CCC2(C1)CCCCC2 ZINC000741808358 1118092975 /nfs/dbraw/zinc/09/29/75/1118092975.db2.gz RJYZJURCXXKQAY-UHFFFAOYSA-N 1 2 253.283 3.543 20 0 CHADLO FC(F)C(F)(F)C[N@H+]1CCC2(C1)CCCCC2 ZINC000741808358 1118092980 /nfs/dbraw/zinc/09/29/80/1118092980.db2.gz RJYZJURCXXKQAY-UHFFFAOYSA-N 1 2 253.283 3.543 20 0 CHADLO FC(F)(F)c1csc(Nc2ccc3[nH]c[nH+]c3c2)n1 ZINC001209841396 1118098510 /nfs/dbraw/zinc/09/85/10/1118098510.db2.gz MPGGAGPOFFYEPB-UHFFFAOYSA-N 1 2 284.266 3.782 20 0 CHADLO CC(C)Oc1ccccc1Nc1cccn2cc[nH+]c12 ZINC001210023180 1118133430 /nfs/dbraw/zinc/13/34/30/1118133430.db2.gz UJTACSQGWHFWLJ-UHFFFAOYSA-N 1 2 267.332 3.865 20 0 CHADLO FC1(F)CC=C(Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC001210032215 1118136055 /nfs/dbraw/zinc/13/60/55/1118136055.db2.gz IQLIBLWEUFXIGG-UHFFFAOYSA-N 1 2 275.302 3.987 20 0 CHADLO CCCc1nc2ccc(Nc3ccc(NC)[nH+]c3)cc2o1 ZINC001203456642 1118143524 /nfs/dbraw/zinc/14/35/24/1118143524.db2.gz OIUGQTBWPUSTEL-UHFFFAOYSA-N 1 2 282.347 3.961 20 0 CHADLO CNc1ccc(Nc2ccc(F)cc2Cl)c[nH+]1 ZINC001203456755 1118144136 /nfs/dbraw/zinc/14/41/36/1118144136.db2.gz UWDWORBRKOWQKF-UHFFFAOYSA-N 1 2 251.692 3.659 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)c2ncc(C)o2)cc1F ZINC000220896963 1118159351 /nfs/dbraw/zinc/15/93/51/1118159351.db2.gz XDFKXNLTBDHGKL-GHMZBOCLSA-N 1 2 278.327 3.543 20 0 CHADLO Cc1nc(C)c([C@@H](C)[N@@H+](C)Cc2ccn(C(C)C)n2)s1 ZINC000172014815 1118168191 /nfs/dbraw/zinc/16/81/91/1118168191.db2.gz OAOVOZAUIKHOPD-GFCCVEGCSA-N 1 2 292.452 3.730 20 0 CHADLO Cc1nc(C)c([C@@H](C)[N@H+](C)Cc2ccn(C(C)C)n2)s1 ZINC000172014815 1118168194 /nfs/dbraw/zinc/16/81/94/1118168194.db2.gz OAOVOZAUIKHOPD-GFCCVEGCSA-N 1 2 292.452 3.730 20 0 CHADLO c1cn2c(n1)[C@H]([NH2+]Cc1ccc(-c3ccccc3)o1)CCC2 ZINC000655696316 1118187468 /nfs/dbraw/zinc/18/74/68/1118187468.db2.gz FTSFVGYLLOKZOD-MRXNPFEDSA-N 1 2 293.370 3.768 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2ccc(N(C)C(C)C)nc2)c1 ZINC000650242539 1118189223 /nfs/dbraw/zinc/18/92/23/1118189223.db2.gz OFZFPTJGTRTFNW-UHFFFAOYSA-N 1 2 284.407 3.550 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1c(Cl)cccc1Br ZINC001137809639 1118193038 /nfs/dbraw/zinc/19/30/38/1118193038.db2.gz MHLUKISGGZJYNZ-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1c(Cl)cccc1Br ZINC001137809639 1118193040 /nfs/dbraw/zinc/19/30/40/1118193040.db2.gz MHLUKISGGZJYNZ-QMMMGPOBSA-N 1 2 274.589 3.697 20 0 CHADLO c1cc2cc(CNc3ccc(N4CCCC4)c[nH+]3)ccc2[nH]1 ZINC000655722346 1118193851 /nfs/dbraw/zinc/19/38/51/1118193851.db2.gz CRJLQBXIBJQOAR-UHFFFAOYSA-N 1 2 292.386 3.775 20 0 CHADLO COc1cccc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)co2)c1 ZINC000921563037 1118201317 /nfs/dbraw/zinc/20/13/17/1118201317.db2.gz KRGNCKLCXVTIBI-GFCCVEGCSA-N 1 2 288.391 3.832 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(COC)s1)c1ccccc1F ZINC000655805123 1118216375 /nfs/dbraw/zinc/21/63/75/1118216375.db2.gz CQVOFPQZFDGYRA-CQSZACIVSA-N 1 2 294.395 3.670 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2cnc3ccccc3c2)n1 ZINC000921620292 1118225262 /nfs/dbraw/zinc/22/52/62/1118225262.db2.gz SFZKYJRMLWBNDN-UHFFFAOYSA-N 1 2 295.386 3.810 20 0 CHADLO CNc1ccc(Nc2cccc3nc(C)ccc32)c[nH+]1 ZINC001203458877 1118228551 /nfs/dbraw/zinc/22/85/51/1118228551.db2.gz SQLTZPHUISRWPT-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(OC(C)C)c2)cc1N ZINC001210288130 1118234502 /nfs/dbraw/zinc/23/45/02/1118234502.db2.gz LQOZAFNTMCMGJG-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(Br)c2C)cc1N ZINC001210288819 1118235307 /nfs/dbraw/zinc/23/53/07/1118235307.db2.gz WIRHBLVZVWGVPP-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1ccc(Nc2c[nH+]c(C)c(N)c2)cc1Br ZINC001210290215 1118236508 /nfs/dbraw/zinc/23/65/08/1118236508.db2.gz GMNJYFQUCQWMAC-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO COc1ccc(C[N@H+](Cc2ccn(C(C)C)n2)C2CC2)cc1 ZINC000172334176 1118240950 /nfs/dbraw/zinc/24/09/50/1118240950.db2.gz JXRRFSOWBRUZKA-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO COc1ccc(C[N@@H+](Cc2ccn(C(C)C)n2)C2CC2)cc1 ZINC000172334176 1118240953 /nfs/dbraw/zinc/24/09/53/1118240953.db2.gz JXRRFSOWBRUZKA-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO c1cc(N2CCSCC2)ccc1[NH2+]C(C1CC1)C1CC1 ZINC000179901125 1128764976 /nfs/dbraw/zinc/76/49/76/1128764976.db2.gz WZSMSAYRIROSKD-UHFFFAOYSA-N 1 2 288.460 3.840 20 0 CHADLO CC(C)=CC[N@@H+]1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000052248246 1118288293 /nfs/dbraw/zinc/28/82/93/1118288293.db2.gz QEXLCQXACYXMQW-HNNXBMFYSA-N 1 2 265.784 3.680 20 0 CHADLO CC(C)=CC[N@H+]1CCO[C@H](c2ccc(Cl)cc2)C1 ZINC000052248246 1118288294 /nfs/dbraw/zinc/28/82/94/1118288294.db2.gz QEXLCQXACYXMQW-HNNXBMFYSA-N 1 2 265.784 3.680 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(C)n(C)n1)c1ccc(F)cc1F ZINC000282208073 1118290762 /nfs/dbraw/zinc/29/07/62/1118290762.db2.gz OFSKZDBTFFJTJH-NHYWBVRUSA-N 1 2 293.361 3.809 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cc(F)cc1F)c1cccc(O)c1 ZINC000340487676 1118294799 /nfs/dbraw/zinc/29/47/99/1118294799.db2.gz LWHFIONXHMSPGM-VIFPVBQESA-N 1 2 281.277 3.660 20 0 CHADLO Cc1cc(N)nc(S[C@@H](C)c2ccc(Cl)cc2)[nH+]1 ZINC000060427524 1118298671 /nfs/dbraw/zinc/29/86/71/1118298671.db2.gz WFARDVUYTDPTPR-VIFPVBQESA-N 1 2 279.796 3.874 20 0 CHADLO FC(F)(F)[C@@H]1CCN(c2cccc[nH+]2)CC12CCC2 ZINC000282241652 1118306521 /nfs/dbraw/zinc/30/65/21/1118306521.db2.gz LZTWQECPKKAEJH-LLVKDONJSA-N 1 2 270.298 3.641 20 0 CHADLO CC[N@H+](Cc1cncc(F)c1)Cc1cccc(F)c1F ZINC001207024667 1118307792 /nfs/dbraw/zinc/30/77/92/1118307792.db2.gz DJUUNXSFDFRSNN-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO CC[N@@H+](Cc1cncc(F)c1)Cc1cccc(F)c1F ZINC001207024667 1118307797 /nfs/dbraw/zinc/30/77/97/1118307797.db2.gz DJUUNXSFDFRSNN-UHFFFAOYSA-N 1 2 280.293 3.521 20 0 CHADLO Cc1cn2cc(NC(=O)C[C@@H](C)CC(C)C)ccc2[nH+]1 ZINC000340515549 1118314686 /nfs/dbraw/zinc/31/46/86/1118314686.db2.gz RYXUNZLQOHMPIE-LBPRGKRZSA-N 1 2 273.380 3.654 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccco2)C2CCCC2)oc1C ZINC000064142274 1118323218 /nfs/dbraw/zinc/32/32/18/1118323218.db2.gz PJUWQKHWGDPQCA-UHFFFAOYSA-N 1 2 274.364 3.829 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccco2)C2CCCC2)oc1C ZINC000064142274 1118323220 /nfs/dbraw/zinc/32/32/20/1118323220.db2.gz PJUWQKHWGDPQCA-UHFFFAOYSA-N 1 2 274.364 3.829 20 0 CHADLO CC(=O)c1ccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)cc1C ZINC001125404852 1118329464 /nfs/dbraw/zinc/32/94/64/1118329464.db2.gz ULXFSGNXAPIKQD-UHFFFAOYSA-N 1 2 296.370 3.770 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(-c3ccccc3)cc2)n1 ZINC000069096240 1118368030 /nfs/dbraw/zinc/36/80/30/1118368030.db2.gz GVLQLROEJYPFPO-CYBMUJFWSA-N 1 2 293.370 3.896 20 0 CHADLO CC(=O)Nc1cc(Nc2cc3cc(F)ccc3o2)cc[nH+]1 ZINC001210726430 1118369761 /nfs/dbraw/zinc/36/97/61/1118369761.db2.gz MTBCIZXOAOHFMA-UHFFFAOYSA-N 1 2 285.278 3.669 20 0 CHADLO CC(C)(C)CNc1[nH+]cccc1CNC(=O)OC(C)(C)C ZINC000834478599 1118371553 /nfs/dbraw/zinc/37/15/53/1118371553.db2.gz MFTRCWQCUUFELT-UHFFFAOYSA-N 1 2 293.411 3.564 20 0 CHADLO CC(C)([NH2+]Cc1cc(-c2ccccc2)no1)c1nccs1 ZINC000072662704 1118375329 /nfs/dbraw/zinc/37/53/29/1118375329.db2.gz VKVJJRAGZLRTKL-UHFFFAOYSA-N 1 2 299.399 3.823 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1coc(-c2ccc(C)cc2)n1 ZINC000072927143 1118376592 /nfs/dbraw/zinc/37/65/92/1118376592.db2.gz OEEQSUYUJMXFDL-UHFFFAOYSA-N 1 2 282.343 3.841 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cc(C)cc(C)c1 ZINC001239525583 1118379010 /nfs/dbraw/zinc/37/90/10/1118379010.db2.gz DTHVWMRIXZCGOR-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO COc1cc(Nc2ccc(F)c3cccnc23)cc(C)[nH+]1 ZINC001210780980 1118384703 /nfs/dbraw/zinc/38/47/03/1118384703.db2.gz UZKZPPIYGSUMNI-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO COc1cc(Nc2cccc3oc(C)nc32)cc(C)[nH+]1 ZINC001210780857 1118384894 /nfs/dbraw/zinc/38/48/94/1118384894.db2.gz JEIUVHLEFKEICA-UHFFFAOYSA-N 1 2 269.304 3.592 20 0 CHADLO COc1cc(Nc2cc(O)c(Cl)cc2F)cc(C)[nH+]1 ZINC001210781092 1118385894 /nfs/dbraw/zinc/38/58/94/1118385894.db2.gz MJQQQXRTKZEJDN-UHFFFAOYSA-N 1 2 282.702 3.640 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(-c3ccco3)s2)C[C@@H]1F ZINC000683834823 1118401615 /nfs/dbraw/zinc/40/16/15/1118401615.db2.gz KFEJUWLDGUDIHR-PWSUYJOCSA-N 1 2 280.368 3.583 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(-c3ccco3)s2)C[C@@H]1F ZINC000683834823 1118401616 /nfs/dbraw/zinc/40/16/16/1118401616.db2.gz KFEJUWLDGUDIHR-PWSUYJOCSA-N 1 2 280.368 3.583 20 0 CHADLO CCCc1ccc(C[NH2+][C@H](C)c2ncc(C)o2)s1 ZINC000621351066 1118423438 /nfs/dbraw/zinc/42/34/38/1118423438.db2.gz DJOZBFDYCPDDOE-LLVKDONJSA-N 1 2 264.394 3.848 20 0 CHADLO Cc1ccc(Nc2ccc(OC3CCOCC3)cc2)[nH+]c1 ZINC001211012297 1118439121 /nfs/dbraw/zinc/43/91/21/1118439121.db2.gz GAGKDLRGZHOSMF-UHFFFAOYSA-N 1 2 284.359 3.691 20 0 CHADLO Cc1oc(-c2cccs2)nc1C[NH2+]C(C)(C)CF ZINC001657824074 1118459729 /nfs/dbraw/zinc/45/97/29/1118459729.db2.gz ADLLMSNYVIXSPC-UHFFFAOYSA-N 1 2 268.357 3.549 20 0 CHADLO COc1cc(C)ccc1Nc1cccn2cc(C)[nH+]c12 ZINC001211115380 1118466285 /nfs/dbraw/zinc/46/62/85/1118466285.db2.gz AVSWNOXQIBOBRD-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CCCc1noc(C[N@H+](C)[C@@H](C)c2ccc(C)cc2)n1 ZINC000047960008 1118481364 /nfs/dbraw/zinc/48/13/64/1118481364.db2.gz QFWXOXQTHUUHNQ-ZDUSSCGKSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1noc(C[N@@H+](C)[C@@H](C)c2ccc(C)cc2)n1 ZINC000047960008 1118481366 /nfs/dbraw/zinc/48/13/66/1118481366.db2.gz QFWXOXQTHUUHNQ-ZDUSSCGKSA-N 1 2 273.380 3.524 20 0 CHADLO CC[C@H]1CC[C@H](C)[N@@H+]1Cc1ncc(Br)s1 ZINC000683996391 1118482664 /nfs/dbraw/zinc/48/26/64/1118482664.db2.gz SODRTORWWMQTNK-IUCAKERBSA-N 1 2 289.242 3.669 20 0 CHADLO CC[C@H]1CC[C@H](C)[N@H+]1Cc1ncc(Br)s1 ZINC000683996391 1118482668 /nfs/dbraw/zinc/48/26/68/1118482668.db2.gz SODRTORWWMQTNK-IUCAKERBSA-N 1 2 289.242 3.669 20 0 CHADLO Clc1cccc(-c2cnc(C[NH+]3CCSCC3)o2)c1 ZINC000047993804 1118494862 /nfs/dbraw/zinc/49/48/62/1118494862.db2.gz XMAJUCNLROQOQC-UHFFFAOYSA-N 1 2 294.807 3.544 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H](C)c2cccc(C#N)c2)c1 ZINC000091729479 1118510463 /nfs/dbraw/zinc/51/04/63/1118510463.db2.gz FXAMULCQJOHLSV-LBPRGKRZSA-N 1 2 283.400 3.989 20 0 CHADLO COC(=O)CCc1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001213518250 1118514850 /nfs/dbraw/zinc/51/48/50/1118514850.db2.gz CYMSOWVDSJQFGN-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO COc1cc(C(C)=O)c(Nc2c[nH+]c(C)cc2C)cc1F ZINC001213520951 1118521775 /nfs/dbraw/zinc/52/17/75/1118521775.db2.gz LZSDTIWEFBYCPV-UHFFFAOYSA-N 1 2 288.322 3.792 20 0 CHADLO Cc1c[nH+]cc(Nc2nc(C(C)(C)C)ns2)c1C ZINC001213522765 1118524701 /nfs/dbraw/zinc/52/47/01/1118524701.db2.gz GHAVKQATOSPVEY-UHFFFAOYSA-N 1 2 262.382 3.591 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(N3CCCCC3)nc2)c1C ZINC001213523396 1118526166 /nfs/dbraw/zinc/52/61/66/1118526166.db2.gz QXNFJAHAQVKWKQ-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO C=C(Br)C[N@H+](Cc1ccco1)C1CCCC1 ZINC000052441902 1118536541 /nfs/dbraw/zinc/53/65/41/1118536541.db2.gz HIIRYQZTGOZMSI-UHFFFAOYSA-N 1 2 284.197 3.933 20 0 CHADLO C=C(Br)C[N@@H+](Cc1ccco1)C1CCCC1 ZINC000052441902 1118536542 /nfs/dbraw/zinc/53/65/42/1118536542.db2.gz HIIRYQZTGOZMSI-UHFFFAOYSA-N 1 2 284.197 3.933 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2csc(C(C)C)n2)cn1 ZINC000155994072 1118537941 /nfs/dbraw/zinc/53/79/41/1118537941.db2.gz YWNRNDRNLVTLAL-GFCCVEGCSA-N 1 2 275.421 3.821 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1ncc(C(C)(C)C)s1 ZINC000684142933 1118539219 /nfs/dbraw/zinc/53/92/19/1118539219.db2.gz AQWRNVJHLUWQMX-UHFFFAOYSA-N 1 2 284.469 3.688 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1ncc(C(C)(C)C)s1 ZINC000684142933 1118539221 /nfs/dbraw/zinc/53/92/21/1118539221.db2.gz AQWRNVJHLUWQMX-UHFFFAOYSA-N 1 2 284.469 3.688 20 0 CHADLO CCn1ccc(C[N@H+](C)Cc2ncc(C(C)(C)C)s2)c1 ZINC000684145063 1118540089 /nfs/dbraw/zinc/54/00/89/1118540089.db2.gz SJITUQLZUGFWBM-UHFFFAOYSA-N 1 2 291.464 3.894 20 0 CHADLO CCn1ccc(C[N@@H+](C)Cc2ncc(C(C)(C)C)s2)c1 ZINC000684145063 1118540093 /nfs/dbraw/zinc/54/00/93/1118540093.db2.gz SJITUQLZUGFWBM-UHFFFAOYSA-N 1 2 291.464 3.894 20 0 CHADLO Cc1c[nH+]cc(Nc2cncc(-c3ccccn3)c2)c1C ZINC001213528732 1118542328 /nfs/dbraw/zinc/54/23/28/1118542328.db2.gz NMPSPZYMQQOBLV-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2CC2CCC(F)(F)CC2)no1 ZINC000656415952 1118543023 /nfs/dbraw/zinc/54/30/23/1118543023.db2.gz UYQPUKVATDNWHH-ZDUSSCGKSA-N 1 2 299.365 3.731 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2CC2CCC(F)(F)CC2)no1 ZINC000656415952 1118543024 /nfs/dbraw/zinc/54/30/24/1118543024.db2.gz UYQPUKVATDNWHH-ZDUSSCGKSA-N 1 2 299.365 3.731 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(C)cc(=O)oc3c2)c1C ZINC001213531220 1118548169 /nfs/dbraw/zinc/54/81/69/1118548169.db2.gz WSQXDLGUMQXAFU-UHFFFAOYSA-N 1 2 280.327 3.857 20 0 CHADLO C[C@H]1CC[N@H+](Cc2csc(Cl)c2Cl)C[C@H]1CO ZINC000621737374 1118553736 /nfs/dbraw/zinc/55/37/36/1118553736.db2.gz PMEJRNBIMMBLGV-IUCAKERBSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2csc(Cl)c2Cl)C[C@H]1CO ZINC000621737374 1118553738 /nfs/dbraw/zinc/55/37/38/1118553738.db2.gz PMEJRNBIMMBLGV-IUCAKERBSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@@H]([NH2+]Cc1ccno1)c1cc(Cl)cc(Cl)c1F ZINC000692311431 1118569584 /nfs/dbraw/zinc/56/95/84/1118569584.db2.gz GHRWLYKQZONKNX-SSDOTTSWSA-N 1 2 289.137 3.971 20 0 CHADLO C[C@H]1C[N@H+](C/C(Cl)=C\Cl)Cc2ccccc21 ZINC000763476440 1128787069 /nfs/dbraw/zinc/78/70/69/1128787069.db2.gz MXNLCSNNRBBAMF-PQTFEYQYSA-N 1 2 256.176 3.925 20 0 CHADLO C[C@H]1C[N@@H+](C/C(Cl)=C\Cl)Cc2ccccc21 ZINC000763476440 1128787070 /nfs/dbraw/zinc/78/70/70/1128787070.db2.gz MXNLCSNNRBBAMF-PQTFEYQYSA-N 1 2 256.176 3.925 20 0 CHADLO C[C@@H]([NH2+]C/C(Cl)=C\Cl)c1ccc(F)cc1F ZINC000255574590 1118584872 /nfs/dbraw/zinc/58/48/72/1118584872.db2.gz KAORKIKJMRBTDX-KBUNYLKBSA-N 1 2 266.118 3.934 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](c1cccs1)C1(CO)CCC1 ZINC000639669959 1118585521 /nfs/dbraw/zinc/58/55/21/1118585521.db2.gz FIMMJKPEVNEOLV-GFCCVEGCSA-N 1 2 289.391 3.587 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(OCCC(C)C)c2)n1C ZINC000341045080 1118592838 /nfs/dbraw/zinc/59/28/38/1118592838.db2.gz QLKOMFQHWTWHJA-UHFFFAOYSA-N 1 2 287.407 3.766 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000891404084 1118598155 /nfs/dbraw/zinc/59/81/55/1118598155.db2.gz DCSYEBJTMQFGDC-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)c1 ZINC000891404084 1118598157 /nfs/dbraw/zinc/59/81/57/1118598157.db2.gz DCSYEBJTMQFGDC-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1c[nH+]c(CN[C@H](C)c2cc(Cl)ccc2Cl)n1C ZINC000341061701 1118598368 /nfs/dbraw/zinc/59/83/68/1118598368.db2.gz XSWOVHWHZVIQCP-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@H](C)c2ccc(F)cc2)cs1 ZINC000341070200 1118599639 /nfs/dbraw/zinc/59/96/39/1118599639.db2.gz GSFWWXOAQZFWEY-MNOVXSKESA-N 1 2 294.395 3.840 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@@H](C)c2ccc(F)cc2)cs1 ZINC000341070201 1118599952 /nfs/dbraw/zinc/59/99/52/1118599952.db2.gz GSFWWXOAQZFWEY-QWRGUYRKSA-N 1 2 294.395 3.840 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1ncc(Br)s1 ZINC000341140573 1118604961 /nfs/dbraw/zinc/60/49/61/1118604961.db2.gz QXUNHWDTUQCGKM-VIFPVBQESA-N 1 2 289.242 3.670 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1ncc(Br)s1 ZINC000341140573 1118604962 /nfs/dbraw/zinc/60/49/62/1118604962.db2.gz QXUNHWDTUQCGKM-VIFPVBQESA-N 1 2 289.242 3.670 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(CCC(C)C)no1)c1ccccc1 ZINC000341208196 1118621763 /nfs/dbraw/zinc/62/17/63/1118621763.db2.gz KZBJOFOTTJYWOW-HNNXBMFYSA-N 1 2 287.407 3.899 20 0 CHADLO CC[C@H]1C[C@H](C[NH2+]c2ccc(-n3ccc(C)n3)cc2)CCO1 ZINC000341224570 1118627908 /nfs/dbraw/zinc/62/79/08/1118627908.db2.gz ADSIGWHCFGPKPX-QAPCUYQASA-N 1 2 299.418 3.798 20 0 CHADLO FC(F)(F)CC1C[NH+](Cc2cscc2Cl)C1 ZINC000656688422 1118636771 /nfs/dbraw/zinc/63/67/71/1118636771.db2.gz PEDPHVGJTSMMJF-UHFFFAOYSA-N 1 2 269.719 3.786 20 0 CHADLO CCOc1cc(NCc2cccc3[nH+]ccn32)ccc1C ZINC000341262498 1118643772 /nfs/dbraw/zinc/64/37/72/1118643772.db2.gz HHOOGZDZOIXPAV-UHFFFAOYSA-N 1 2 281.359 3.654 20 0 CHADLO Cc1ccc(CNc2[nH+]c3ccccc3n2C(C)C)nc1 ZINC000656781288 1118654727 /nfs/dbraw/zinc/65/47/27/1118654727.db2.gz MPHVZCIVRGMVML-UHFFFAOYSA-N 1 2 280.375 3.933 20 0 CHADLO CO[C@@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)C12CCC2 ZINC000308388722 1118659859 /nfs/dbraw/zinc/65/98/59/1118659859.db2.gz HJHHKRRBGSFQPG-DLBZAZTESA-N 1 2 286.419 3.656 20 0 CHADLO CC(C)COc1ccc(C[NH2+]C(C)(C)C(F)F)cc1 ZINC000684413917 1118688645 /nfs/dbraw/zinc/68/86/45/1118688645.db2.gz KWLRASJUMFOTEE-UHFFFAOYSA-N 1 2 271.351 3.855 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cc(C)cnc2Cl)c1 ZINC000891470782 1118691246 /nfs/dbraw/zinc/69/12/46/1118691246.db2.gz OYBZCLFZUAEUJZ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cc(C)cnc2Cl)c1 ZINC000891470782 1118691249 /nfs/dbraw/zinc/69/12/49/1118691249.db2.gz OYBZCLFZUAEUJZ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)c1 ZINC000891480838 1118692400 /nfs/dbraw/zinc/69/24/00/1118692400.db2.gz WFVWBHFHGTVVIU-NSHDSACASA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCC[C@H](C(F)(F)F)C2)c1 ZINC000891480838 1118692403 /nfs/dbraw/zinc/69/24/03/1118692403.db2.gz WFVWBHFHGTVVIU-NSHDSACASA-N 1 2 292.732 3.818 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2cncc(F)c2)c(C)s1 ZINC000656900615 1118693351 /nfs/dbraw/zinc/69/33/51/1118693351.db2.gz ISOPFADNFGIFEU-IUCAKERBSA-N 1 2 279.384 3.706 20 0 CHADLO O=C(CCC(F)F)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000684422113 1118697696 /nfs/dbraw/zinc/69/76/96/1118697696.db2.gz MFWCYYTXMBORQU-UHFFFAOYSA-N 1 2 299.708 3.510 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+](C)Cc2ccsc2)c1 ZINC000891516185 1118700336 /nfs/dbraw/zinc/70/03/36/1118700336.db2.gz MLQNKNOQJAHLDG-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+](C)Cc2ccsc2)c1 ZINC000891516185 1118700338 /nfs/dbraw/zinc/70/03/38/1118700338.db2.gz MLQNKNOQJAHLDG-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CCN(c1cc(C)[nH+]c(C2CC2)n1)C1CCCCC1 ZINC000341468617 1118715169 /nfs/dbraw/zinc/71/51/69/1118715169.db2.gz VDTSIBSSKFPBFE-UHFFFAOYSA-N 1 2 259.397 3.821 20 0 CHADLO CCn1cc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)c(C)n1 ZINC000891605702 1118720395 /nfs/dbraw/zinc/72/03/95/1118720395.db2.gz CSIZILWQZFYUSV-GFCCVEGCSA-N 1 2 293.361 3.683 20 0 CHADLO CCn1cc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)c(C)n1 ZINC000891605702 1118720396 /nfs/dbraw/zinc/72/03/96/1118720396.db2.gz CSIZILWQZFYUSV-GFCCVEGCSA-N 1 2 293.361 3.683 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2cccnc2)nc(C2CCC2)[nH+]1 ZINC000891611351 1118721206 /nfs/dbraw/zinc/72/12/06/1118721206.db2.gz LMPXUAPGOPYQND-MRXNPFEDSA-N 1 2 294.402 3.789 20 0 CHADLO COc1nccc(C[N@@H+]2CCC[C@H]2c2ccsc2)c1F ZINC000891617842 1118721764 /nfs/dbraw/zinc/72/17/64/1118721764.db2.gz KNTBHSYHPQBHQS-ZDUSSCGKSA-N 1 2 292.379 3.628 20 0 CHADLO COc1nccc(C[N@H+]2CCC[C@H]2c2ccsc2)c1F ZINC000891617842 1118721768 /nfs/dbraw/zinc/72/17/68/1118721768.db2.gz KNTBHSYHPQBHQS-ZDUSSCGKSA-N 1 2 292.379 3.628 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cc(C)cnc2Cl)on1 ZINC000891719964 1118750844 /nfs/dbraw/zinc/75/08/44/1118750844.db2.gz UVLJOIOWNALMNZ-ZDUSSCGKSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cc(C)cnc2Cl)on1 ZINC000891719964 1118750847 /nfs/dbraw/zinc/75/08/47/1118750847.db2.gz UVLJOIOWNALMNZ-ZDUSSCGKSA-N 1 2 291.782 3.677 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)c(O)c(Cl)c1 ZINC001216085092 1118751317 /nfs/dbraw/zinc/75/13/17/1118751317.db2.gz RNTCOCRRQXQRMZ-UHFFFAOYSA-N 1 2 278.739 3.891 20 0 CHADLO CC(C)[N@H+](CCSCc1ccccc1)CC(F)F ZINC000430748234 1118767032 /nfs/dbraw/zinc/76/70/32/1118767032.db2.gz PLUTZUVJUJUODA-UHFFFAOYSA-N 1 2 273.392 3.895 20 0 CHADLO CC(C)[N@@H+](CCSCc1ccccc1)CC(F)F ZINC000430748234 1118767033 /nfs/dbraw/zinc/76/70/33/1118767033.db2.gz PLUTZUVJUJUODA-UHFFFAOYSA-N 1 2 273.392 3.895 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccn(C)n1)c1ccc(Cl)cc1Cl ZINC000213105958 1118794583 /nfs/dbraw/zinc/79/45/83/1118794583.db2.gz NZYNJXHUHSJRRR-CQSZACIVSA-N 1 2 298.217 3.968 20 0 CHADLO CCC(CC)[C@H](C)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000341682483 1118796428 /nfs/dbraw/zinc/79/64/28/1118796428.db2.gz CFTYBYIVJORWPR-AWEZNQCLSA-N 1 2 299.418 3.561 20 0 CHADLO C[C@@H]([N@H+](C)Cc1cccc(Cl)c1O)C1(C)CC1 ZINC000180702533 1128802199 /nfs/dbraw/zinc/80/21/99/1128802199.db2.gz LJIBVOMNYKHCHG-SNVBAGLBSA-N 1 2 253.773 3.666 20 0 CHADLO C[C@@H]([N@@H+](C)Cc1cccc(Cl)c1O)C1(C)CC1 ZINC000180702533 1128802204 /nfs/dbraw/zinc/80/22/04/1128802204.db2.gz LJIBVOMNYKHCHG-SNVBAGLBSA-N 1 2 253.773 3.666 20 0 CHADLO CCc1nc(N(C)[C@H](C)c2cccs2)cc(C)[nH+]1 ZINC000341721190 1118815530 /nfs/dbraw/zinc/81/55/30/1118815530.db2.gz JSUOMZRUYTVOET-LLVKDONJSA-N 1 2 261.394 3.606 20 0 CHADLO COc1cccc2c1CC[N@H+](Cc1cc(Cl)cs1)C2 ZINC000426165706 1118837978 /nfs/dbraw/zinc/83/79/78/1118837978.db2.gz JSJFUIAVPUAJDV-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc2c1CC[N@@H+](Cc1cc(Cl)cs1)C2 ZINC000426165706 1118837984 /nfs/dbraw/zinc/83/79/84/1118837984.db2.gz JSJFUIAVPUAJDV-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO Cc1cccn2c(CN(CC(C)C)CC(F)(F)F)c[nH+]c12 ZINC000092030617 1118839132 /nfs/dbraw/zinc/83/91/32/1118839132.db2.gz YFIDUMRIYJWZOU-UHFFFAOYSA-N 1 2 299.340 3.663 20 0 CHADLO COC(=O)[C@H]([NH2+]CCc1ccc2ccccc2c1)C(C)(C)C ZINC000610736150 1118846615 /nfs/dbraw/zinc/84/66/15/1118846615.db2.gz MLDNOKCQTLDKND-KRWDZBQOSA-N 1 2 299.414 3.560 20 0 CHADLO Cc1cccc2nc(N3CCC[C@@H](n4cc[nH+]c4)C3)sc21 ZINC000684573378 1118858189 /nfs/dbraw/zinc/85/81/89/1118858189.db2.gz YNKWAGDPZXZHGB-CYBMUJFWSA-N 1 2 298.415 3.643 20 0 CHADLO Cc1nc2ccc(C[NH2+][C@@H](C)C(C)(F)F)cc2s1 ZINC000449413909 1118876314 /nfs/dbraw/zinc/87/63/14/1118876314.db2.gz KOVGCUURKQWKPR-QMMMGPOBSA-N 1 2 270.348 3.738 20 0 CHADLO COc1cc(C[NH2+][C@@H](C)C(C)(F)F)ccc1SC ZINC000449417684 1118878624 /nfs/dbraw/zinc/87/86/24/1118878624.db2.gz YTWUAXNCNFKUBW-VIFPVBQESA-N 1 2 275.364 3.550 20 0 CHADLO Cc1cc(NC(=O)c2cccc(-c3ccoc3)c2)c(C)c[nH+]1 ZINC000535748871 1118882244 /nfs/dbraw/zinc/88/22/44/1118882244.db2.gz OGBPGDNQAQRHEU-UHFFFAOYSA-N 1 2 292.338 3.633 20 0 CHADLO Cc1cc(N(Cc2cccnc2)C2CC2)nc(C2CCC2)[nH+]1 ZINC000891960287 1118895689 /nfs/dbraw/zinc/89/56/89/1118895689.db2.gz IUWJRZDIWOXJLO-UHFFFAOYSA-N 1 2 294.402 3.617 20 0 CHADLO CC[C@H](C)N(CC)C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000616975773 1128809775 /nfs/dbraw/zinc/80/97/75/1128809775.db2.gz IEFGZCXFJZITLA-HOCLYGCPSA-N 1 2 299.418 3.579 20 0 CHADLO COc1ccc(F)c(F)c1C[N@H+](C)Cc1ccccc1C ZINC001143421304 1118923200 /nfs/dbraw/zinc/92/32/00/1118923200.db2.gz ROPIIDGEOUXHRN-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(F)c(F)c1C[N@@H+](C)Cc1ccccc1C ZINC001143421304 1118923203 /nfs/dbraw/zinc/92/32/03/1118923203.db2.gz ROPIIDGEOUXHRN-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2F)[N@H+](Cc2nccn2C2CC2)C1 ZINC000892074912 1118956975 /nfs/dbraw/zinc/95/69/75/1118956975.db2.gz COCBOBQWYCUVIC-SUMWQHHRSA-N 1 2 299.393 3.940 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2F)[N@@H+](Cc2nccn2C2CC2)C1 ZINC000892074912 1118956978 /nfs/dbraw/zinc/95/69/78/1118956978.db2.gz COCBOBQWYCUVIC-SUMWQHHRSA-N 1 2 299.393 3.940 20 0 CHADLO Cc1cc(N(C)[C@@H](C)c2ccccn2)nc(C2CCC2)[nH+]1 ZINC000892085736 1118959630 /nfs/dbraw/zinc/95/96/30/1118959630.db2.gz JERWYQBMVZQLRO-ZDUSSCGKSA-N 1 2 282.391 3.645 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2ccccc2o1)c1cncs1 ZINC000934195484 1118962377 /nfs/dbraw/zinc/96/23/77/1118962377.db2.gz OUBPOIWNGHJOKF-ZJUUUORDSA-N 1 2 273.361 3.696 20 0 CHADLO Cc1ccc(-c2cnc(C[NH2+][C@H](C)c3cncs3)o2)cc1 ZINC000934197540 1118963462 /nfs/dbraw/zinc/96/34/62/1118963462.db2.gz DLZKWKMJIFFMNT-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CCc1ccccc1C[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000724382690 1118984352 /nfs/dbraw/zinc/98/43/52/1118984352.db2.gz VRMCADFSDDAWTP-UHFFFAOYSA-N 1 2 272.392 3.824 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nccn2C2CC2)[C@@H](c2ccco2)C1 ZINC000892153317 1118989666 /nfs/dbraw/zinc/98/96/66/1118989666.db2.gz OCLIMVYWNSCGQS-DZGCQCFKSA-N 1 2 285.391 3.784 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nccn2C2CC2)[C@@H](c2ccco2)C1 ZINC000892153317 1118989670 /nfs/dbraw/zinc/98/96/70/1118989670.db2.gz OCLIMVYWNSCGQS-DZGCQCFKSA-N 1 2 285.391 3.784 20 0 CHADLO CC[N@@H+]1CCN(CC[C@H]2CC=C(C)C2(C)C)C(C)(C)C1 ZINC001207274732 1118991583 /nfs/dbraw/zinc/99/15/83/1118991583.db2.gz FIRNGMDDVSHSML-MRXNPFEDSA-N 1 2 278.484 3.785 20 0 CHADLO CC[N@H+]1CCN(CC[C@H]2CC=C(C)C2(C)C)C(C)(C)C1 ZINC001207274732 1118991586 /nfs/dbraw/zinc/99/15/86/1118991586.db2.gz FIRNGMDDVSHSML-MRXNPFEDSA-N 1 2 278.484 3.785 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2cccc(Cl)c2)n1 ZINC000724429049 1119000370 /nfs/dbraw/zinc/00/03/70/1119000370.db2.gz KWFYXHRHRRKCQD-UHFFFAOYSA-N 1 2 278.783 3.915 20 0 CHADLO CCCc1csc(C[NH2+][C@@H]2c3ccccc3O[C@@H]2C)n1 ZINC000342413520 1119009725 /nfs/dbraw/zinc/00/97/25/1119009725.db2.gz GFNKJTXEHCJVHC-BZNIZROVSA-N 1 2 288.416 3.707 20 0 CHADLO Cc1[nH]c(CN(Cc2ccc(Cl)cc2)C2CC2)[nH+]c1C ZINC000628130817 1128815680 /nfs/dbraw/zinc/81/56/80/1128815680.db2.gz KSBDDZVWEFMQBM-UHFFFAOYSA-N 1 2 289.810 3.845 20 0 CHADLO CC(C)[C@H]1CC[N@H+](Cc2csc(C(F)(F)F)n2)C1 ZINC000892222063 1119034425 /nfs/dbraw/zinc/03/44/25/1119034425.db2.gz MYQLXWWDLPCTBS-VIFPVBQESA-N 1 2 278.343 3.640 20 0 CHADLO CC(C)[C@H]1CC[N@@H+](Cc2csc(C(F)(F)F)n2)C1 ZINC000892222063 1119034430 /nfs/dbraw/zinc/03/44/30/1119034430.db2.gz MYQLXWWDLPCTBS-VIFPVBQESA-N 1 2 278.343 3.640 20 0 CHADLO CCc1cnc(COc2cc(C)[nH+]c3ccccc32)o1 ZINC000582216636 1119035717 /nfs/dbraw/zinc/03/57/17/1119035717.db2.gz FXMVMNVSHGWOBI-UHFFFAOYSA-N 1 2 268.316 3.673 20 0 CHADLO CC[N@H+](Cc1nc(C)c(C)[nH]1)[C@H](C)c1ccc(F)cc1 ZINC000628148548 1128817977 /nfs/dbraw/zinc/81/79/77/1128817977.db2.gz SHYKJEBAFFPROP-CYBMUJFWSA-N 1 2 275.371 3.749 20 0 CHADLO CC[N@@H+](Cc1nc(C)c(C)[nH]1)[C@H](C)c1ccc(F)cc1 ZINC000628148548 1128817981 /nfs/dbraw/zinc/81/79/81/1128817981.db2.gz SHYKJEBAFFPROP-CYBMUJFWSA-N 1 2 275.371 3.749 20 0 CHADLO CC[N@H+](Cc1nc(C)c(C)[nH]1)[C@@H](C)c1ccc(F)cc1 ZINC000628148549 1128818161 /nfs/dbraw/zinc/81/81/61/1128818161.db2.gz SHYKJEBAFFPROP-ZDUSSCGKSA-N 1 2 275.371 3.749 20 0 CHADLO CC[N@@H+](Cc1nc(C)c(C)[nH]1)[C@@H](C)c1ccc(F)cc1 ZINC000628148549 1128818165 /nfs/dbraw/zinc/81/81/65/1128818165.db2.gz SHYKJEBAFFPROP-ZDUSSCGKSA-N 1 2 275.371 3.749 20 0 CHADLO COc1cccc2c1CC[N@H+](Cc1ccc(Cl)s1)C2 ZINC000544030155 1119081866 /nfs/dbraw/zinc/08/18/66/1119081866.db2.gz APFRTZPBUUCIOI-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc2c1CC[N@@H+](Cc1ccc(Cl)s1)C2 ZINC000544030155 1119081869 /nfs/dbraw/zinc/08/18/69/1119081869.db2.gz APFRTZPBUUCIOI-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628189300 1128825468 /nfs/dbraw/zinc/82/54/68/1128825468.db2.gz XFDNGRIDYKZDNG-IUODEOHRSA-N 1 2 287.407 3.847 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628189300 1128825473 /nfs/dbraw/zinc/82/54/73/1128825473.db2.gz XFDNGRIDYKZDNG-IUODEOHRSA-N 1 2 287.407 3.847 20 0 CHADLO Cc1cccc2[nH]c(C[NH2+][C@H]3CCCC3(F)F)cc21 ZINC000657467163 1119113501 /nfs/dbraw/zinc/11/35/01/1119113501.db2.gz GBCPMEZXGASBDB-AWEZNQCLSA-N 1 2 264.319 3.754 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C)[C@@H]2c2cccc(F)c2)[nH]c1C ZINC000628185450 1128823391 /nfs/dbraw/zinc/82/33/91/1128823391.db2.gz ZHQRCOKGIYOCMR-APPDUMDISA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C)[C@@H]2c2cccc(F)c2)[nH]c1C ZINC000628185450 1128823395 /nfs/dbraw/zinc/82/33/95/1128823395.db2.gz ZHQRCOKGIYOCMR-APPDUMDISA-N 1 2 287.382 3.749 20 0 CHADLO Clc1ccncc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213085194 1119148510 /nfs/dbraw/zinc/14/85/10/1119148510.db2.gz ACBXEKXFCAGHKP-UHFFFAOYSA-N 1 2 270.723 3.869 20 0 CHADLO CCCCCCC(=O)NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000128060164 1119149054 /nfs/dbraw/zinc/14/90/54/1119149054.db2.gz BQHWWYSFVWQPHB-UHFFFAOYSA-N 1 2 299.418 3.518 20 0 CHADLO Cc1c[nH+]c(CN2CCc3ccc(C(C)(C)C)cc3C2)n1C ZINC000342770466 1119159104 /nfs/dbraw/zinc/15/91/04/1119159104.db2.gz YEFIBJGALGCIBD-UHFFFAOYSA-N 1 2 297.446 3.584 20 0 CHADLO CC(C)C[C@@H]1CC[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000074994455 1119171892 /nfs/dbraw/zinc/17/18/92/1119171892.db2.gz OYJOUHYKYGPWCF-INIZCTEOSA-N 1 2 299.418 3.528 20 0 CHADLO CC(C)C[C@@H]1CC[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000074994455 1119171895 /nfs/dbraw/zinc/17/18/95/1119171895.db2.gz OYJOUHYKYGPWCF-INIZCTEOSA-N 1 2 299.418 3.528 20 0 CHADLO Cc1c[nH+]c(CNc2ccc(C(F)(F)F)c(C)c2)n1C ZINC000342799430 1119175217 /nfs/dbraw/zinc/17/52/17/1119175217.db2.gz NTORZKIYTGARIO-UHFFFAOYSA-N 1 2 283.297 3.668 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)[C@@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000628138672 1119182348 /nfs/dbraw/zinc/18/23/48/1119182348.db2.gz MUNBUHNERQRWQT-NSHDSACASA-N 1 2 297.324 3.930 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)[C@@H](C)c2ccc(C(F)(F)F)cc2)n1 ZINC000628138672 1119182350 /nfs/dbraw/zinc/18/23/50/1119182350.db2.gz MUNBUHNERQRWQT-NSHDSACASA-N 1 2 297.324 3.930 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2ccc(Cl)o2)CC1 ZINC000076767066 1119187145 /nfs/dbraw/zinc/18/71/45/1119187145.db2.gz YPIIDEWJWPKHBU-UHFFFAOYSA-N 1 2 265.715 3.851 20 0 CHADLO CC(C)(CCO)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000342821691 1119194473 /nfs/dbraw/zinc/19/44/73/1119194473.db2.gz ABXAWNGTEYPESZ-UHFFFAOYSA-N 1 2 278.783 3.709 20 0 CHADLO Cc1ccccc1[C@@H]1C[C@H](C)N(c2cccc[nH+]2)C1 ZINC000077983929 1119196797 /nfs/dbraw/zinc/19/67/97/1119196797.db2.gz YCQRJXKVSLEMGH-LSDHHAIUSA-N 1 2 252.361 3.772 20 0 CHADLO CCc1cc(N[C@H](C)c2nc(C)cs2)nc(CC)[nH+]1 ZINC000892301717 1119208302 /nfs/dbraw/zinc/20/83/02/1119208302.db2.gz BMRUDXZDXMJNAL-SNVBAGLBSA-N 1 2 276.409 3.539 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CC3)o2)C[C@@H](c2ccccc2)O1 ZINC000628155181 1119208553 /nfs/dbraw/zinc/20/85/53/1119208553.db2.gz SUICMOGYWJMWMC-DYVFJYSZSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CC3)o2)C[C@@H](c2ccccc2)O1 ZINC000628155181 1119208556 /nfs/dbraw/zinc/20/85/56/1119208556.db2.gz SUICMOGYWJMWMC-DYVFJYSZSA-N 1 2 298.386 3.514 20 0 CHADLO Cc1nc(N[C@@H]2CCCOc3c(Cl)cccc32)cc[nH+]1 ZINC000342854302 1119209588 /nfs/dbraw/zinc/20/95/88/1119209588.db2.gz SVXCIKVMVNWHFK-CYBMUJFWSA-N 1 2 289.766 3.764 20 0 CHADLO Cc1cc(CNc2cccc(-n3cc[nH+]c3)c2)ccc1F ZINC000050545185 1119211868 /nfs/dbraw/zinc/21/18/68/1119211868.db2.gz OYJHPVNKNIHWEV-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO Cc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC000120053820 1119228035 /nfs/dbraw/zinc/22/80/35/1119228035.db2.gz VZUDQVIDHZVTNM-NEPJUHHUSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1cc(N[C@H]2C[C@H](c3ccc(F)cc3)C2)nc(C2CC2)[nH+]1 ZINC000431496387 1119234690 /nfs/dbraw/zinc/23/46/90/1119234690.db2.gz NFGUJAGCUACNJH-KOMQPUFPSA-N 1 2 297.377 3.582 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CCC[C@H](C(F)(F)F)C2)cc[nH+]1 ZINC000120342814 1119237970 /nfs/dbraw/zinc/23/79/70/1119237970.db2.gz OTJHJZNGARGNDN-QWRGUYRKSA-N 1 2 286.297 3.697 20 0 CHADLO COC(=O)c1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cs1 ZINC001213086377 1119262321 /nfs/dbraw/zinc/26/23/21/1119262321.db2.gz OURLOVMTHYDHMJ-UHFFFAOYSA-N 1 2 299.355 3.668 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCSc2ccccc21)c1ccon1 ZINC000349837372 1119302344 /nfs/dbraw/zinc/30/23/44/1119302344.db2.gz QZEKHEWFVCHLAM-ZWNOBZJWSA-N 1 2 260.362 3.562 20 0 CHADLO C[N@H+](Cc1nnc(-c2ccccc2)s1)Cc1ccccc1 ZINC000155088811 1119327046 /nfs/dbraw/zinc/32/70/46/1119327046.db2.gz CKJYRTBNMTXOCB-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1nnc(-c2ccccc2)s1)Cc1ccccc1 ZINC000155088811 1119327049 /nfs/dbraw/zinc/32/70/49/1119327049.db2.gz CKJYRTBNMTXOCB-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Cc1cc(F)nc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213087922 1119343313 /nfs/dbraw/zinc/34/33/13/1119343313.db2.gz HNALRFIYZCDQEW-UHFFFAOYSA-N 1 2 268.295 3.663 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cscn2)c(OC(C)(C)C)c1 ZINC000150970483 1119353627 /nfs/dbraw/zinc/35/36/27/1119353627.db2.gz APUMVQKVYPPQAC-UHFFFAOYSA-N 1 2 290.432 3.919 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)O[C@@H](C)C2)cc(C(F)(F)F)c1 ZINC001143449130 1119357592 /nfs/dbraw/zinc/35/75/92/1119357592.db2.gz WTRPLSCVKJSGRF-TXEJJXNPSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)O[C@@H](C)C2)cc(C(F)(F)F)c1 ZINC001143449130 1119357596 /nfs/dbraw/zinc/35/75/96/1119357596.db2.gz WTRPLSCVKJSGRF-TXEJJXNPSA-N 1 2 287.325 3.623 20 0 CHADLO CCN(Cc1cc(OC)cc(C)[nH+]1)c1ccc(F)c(F)c1 ZINC000536041530 1119364704 /nfs/dbraw/zinc/36/47/04/1119364704.db2.gz HMGZLDDWKWQWFI-UHFFFAOYSA-N 1 2 292.329 3.703 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)CCOCC1CCC1 ZINC000583645577 1119390096 /nfs/dbraw/zinc/39/00/96/1119390096.db2.gz CHKUPNVXALLMMI-GFCCVEGCSA-N 1 2 283.362 3.774 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)CCOCC1CCC1 ZINC000583645577 1119390099 /nfs/dbraw/zinc/39/00/99/1119390099.db2.gz CHKUPNVXALLMMI-GFCCVEGCSA-N 1 2 283.362 3.774 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000611784232 1119401222 /nfs/dbraw/zinc/40/12/22/1119401222.db2.gz BODVXPTZAZXJCS-JKSUJKDBSA-N 1 2 293.382 3.550 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000611784232 1119401223 /nfs/dbraw/zinc/40/12/23/1119401223.db2.gz BODVXPTZAZXJCS-JKSUJKDBSA-N 1 2 293.382 3.550 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccc(C2CC2)cc1 ZINC000583921927 1119435966 /nfs/dbraw/zinc/43/59/66/1119435966.db2.gz UDDKIESRVUPFJS-LLVKDONJSA-N 1 2 283.375 3.675 20 0 CHADLO Cc1nc(C[N@H+](C)CCSc2ccccc2F)cs1 ZINC000343528226 1119443238 /nfs/dbraw/zinc/44/32/38/1119443238.db2.gz SFCXHBHPLIUWMZ-UHFFFAOYSA-N 1 2 296.436 3.815 20 0 CHADLO Cc1nc(C[N@@H+](C)CCSc2ccccc2F)cs1 ZINC000343528226 1119443240 /nfs/dbraw/zinc/44/32/40/1119443240.db2.gz SFCXHBHPLIUWMZ-UHFFFAOYSA-N 1 2 296.436 3.815 20 0 CHADLO CC(C)C[C@@H](C(=O)Nc1cccc2[nH]ccc21)n1cc[nH+]c1 ZINC000635471049 1119475241 /nfs/dbraw/zinc/47/52/41/1119475241.db2.gz FWBTVDCSSOEYSD-INIZCTEOSA-N 1 2 296.374 3.590 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)[nH]c1C ZINC000628180517 1119491596 /nfs/dbraw/zinc/49/15/96/1119491596.db2.gz SAWCEVIVXZPBDC-ZBEGNZNMSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)[nH]c1C ZINC000628180517 1119491598 /nfs/dbraw/zinc/49/15/98/1119491598.db2.gz SAWCEVIVXZPBDC-ZBEGNZNMSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1[nH]c(CN2C[C@@H](C)C[C@H]2c2ccccc2F)[nH+]c1C ZINC000628180517 1119491600 /nfs/dbraw/zinc/49/16/00/1119491600.db2.gz SAWCEVIVXZPBDC-ZBEGNZNMSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(C)c(C)c2)C2CC2)[nH]c1C ZINC000628182963 1119492709 /nfs/dbraw/zinc/49/27/09/1119492709.db2.gz MKYJRMWREJKIQK-UHFFFAOYSA-N 1 2 283.419 3.808 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(C)c(C)c2)C2CC2)[nH]c1C ZINC000628182963 1119492711 /nfs/dbraw/zinc/49/27/11/1119492711.db2.gz MKYJRMWREJKIQK-UHFFFAOYSA-N 1 2 283.419 3.808 20 0 CHADLO c1sc(-c2ccccc2)nc1CCNc1cccc[nH+]1 ZINC000084935517 1119531603 /nfs/dbraw/zinc/53/16/03/1119531603.db2.gz DNTUQTIDPCPHQH-UHFFFAOYSA-N 1 2 281.384 3.860 20 0 CHADLO Cn1c2ccc(Nc3ncc(Cl)cn3)cc2[nH+]c1C1CC1 ZINC000089649024 1119540438 /nfs/dbraw/zinc/54/04/38/1119540438.db2.gz DXSVYKLABVUUOF-UHFFFAOYSA-N 1 2 299.765 3.638 20 0 CHADLO Cn1c2ccc(Nc3ncc(F)cn3)cc2[nH+]c1C(C)(C)C ZINC000089649356 1119540531 /nfs/dbraw/zinc/54/05/31/1119540531.db2.gz FWIORHSBJUYADO-UHFFFAOYSA-N 1 2 299.353 3.544 20 0 CHADLO CC(C)OCCC[N@@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000170900651 1119563016 /nfs/dbraw/zinc/56/30/16/1119563016.db2.gz UMORVIJVLVETHJ-MRXNPFEDSA-N 1 2 297.826 3.528 20 0 CHADLO CC(C)OCCC[N@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000170900651 1119563019 /nfs/dbraw/zinc/56/30/19/1119563019.db2.gz UMORVIJVLVETHJ-MRXNPFEDSA-N 1 2 297.826 3.528 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1ccc(Cl)o1 ZINC000093845289 1119573055 /nfs/dbraw/zinc/57/30/55/1119573055.db2.gz IREDJANFKDGMRN-CQSZACIVSA-N 1 2 278.783 3.999 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1ccc(Cl)o1 ZINC000093845289 1119573057 /nfs/dbraw/zinc/57/30/57/1119573057.db2.gz IREDJANFKDGMRN-CQSZACIVSA-N 1 2 278.783 3.999 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@@H]1CCc2c[nH+]cn2C1 ZINC000611919454 1119604915 /nfs/dbraw/zinc/60/49/15/1119604915.db2.gz NXEXMDPZBJIGPJ-CQSZACIVSA-N 1 2 297.402 3.516 20 0 CHADLO Cc1cc(F)c(C[N@@H+]2CCC[C@@H]3C[C@@H]32)cc1Br ZINC001140698269 1119607681 /nfs/dbraw/zinc/60/76/81/1119607681.db2.gz ASLXSEAYZLQXKY-YGRLFVJLSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1cc(F)c(C[N@H+]2CCC[C@@H]3C[C@@H]32)cc1Br ZINC001140698269 1119607682 /nfs/dbraw/zinc/60/76/82/1119607682.db2.gz ASLXSEAYZLQXKY-YGRLFVJLSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2coc3ccccc23)n1 ZINC000685448141 1119610033 /nfs/dbraw/zinc/61/00/33/1119610033.db2.gz QWWRRPJVFNUJGG-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2coc3ccccc23)n1 ZINC000685448141 1119610035 /nfs/dbraw/zinc/61/00/35/1119610035.db2.gz QWWRRPJVFNUJGG-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO COc1ccc(F)cc1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000271822079 1119613855 /nfs/dbraw/zinc/61/38/55/1119613855.db2.gz VUDWHSAESYPFBC-JTQLQIEISA-N 1 2 295.304 3.963 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](C)c2c(F)cccc2F)n1 ZINC000051573756 1119622995 /nfs/dbraw/zinc/62/29/95/1119622995.db2.gz VOAVEXISBIOWBH-NSHDSACASA-N 1 2 262.303 3.519 20 0 CHADLO CCC(CC)N(C(=O)Nc1ccn2cc[nH+]c2c1)C1CC1 ZINC000685651138 1119644525 /nfs/dbraw/zinc/64/45/25/1119644525.db2.gz DUMQCSAFVRKBQT-UHFFFAOYSA-N 1 2 286.379 3.519 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)[nH+]c1)C(C)(C)C(F)F ZINC000657951869 1119650377 /nfs/dbraw/zinc/65/03/77/1119650377.db2.gz GAWHIRHSPCKIGG-NSHDSACASA-N 1 2 283.366 3.774 20 0 CHADLO COc1ccccc1C[C@H](C)[N@H+](C)C/C(Cl)=C\Cl ZINC000255628505 1119664399 /nfs/dbraw/zinc/66/43/99/1119664399.db2.gz PAAPVGXFEOPMEF-GJSJWPQCSA-N 1 2 288.218 3.877 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+][C@@H](C)c2ccccc2Cl)nn1 ZINC000924527384 1119710824 /nfs/dbraw/zinc/71/08/24/1119710824.db2.gz YHHYYJTXPHVDHQ-RYUDHWBXSA-N 1 2 292.814 3.924 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc(F)c(N)c1 ZINC001212337094 1128864681 /nfs/dbraw/zinc/86/46/81/1128864681.db2.gz WCABSCPQHRYBIB-UHFFFAOYSA-N 1 2 259.328 3.978 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+][C@H](c1cncc(F)c1)C1CC1 ZINC000658338791 1119727573 /nfs/dbraw/zinc/72/75/73/1119727573.db2.gz LPUOGZOUWFKAAU-ZDUSSCGKSA-N 1 2 286.341 3.943 20 0 CHADLO Fc1cc(C[NH+]2CC(Cc3cccs3)C2)cc(F)c1F ZINC000628479090 1128865023 /nfs/dbraw/zinc/86/50/23/1128865023.db2.gz ZISUTRVJOYAAFN-UHFFFAOYSA-N 1 2 297.345 3.840 20 0 CHADLO COCC1=CC[N@H+](Cc2csc(C(F)(F)F)c2)CC1 ZINC000628240496 1119760208 /nfs/dbraw/zinc/76/02/08/1119760208.db2.gz HUZKKQQOBKRQQW-UHFFFAOYSA-N 1 2 291.338 3.545 20 0 CHADLO COCC1=CC[N@@H+](Cc2csc(C(F)(F)F)c2)CC1 ZINC000628240496 1119760213 /nfs/dbraw/zinc/76/02/13/1119760213.db2.gz HUZKKQQOBKRQQW-UHFFFAOYSA-N 1 2 291.338 3.545 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+]1Cc1cc(C)cc(C(F)(F)F)c1 ZINC001143462760 1119767714 /nfs/dbraw/zinc/76/77/14/1119767714.db2.gz OYRKHDJQJUDNLT-AWEZNQCLSA-N 1 2 285.309 3.567 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+]1Cc1cc(C)cc(C(F)(F)F)c1 ZINC001143462760 1119767716 /nfs/dbraw/zinc/76/77/16/1119767716.db2.gz OYRKHDJQJUDNLT-AWEZNQCLSA-N 1 2 285.309 3.567 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2cccc3[nH]ccc32)no1 ZINC000375845968 1119769039 /nfs/dbraw/zinc/76/90/39/1119769039.db2.gz ZRHOPHXTDIBMMD-MRXNPFEDSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2cccc3[nH]ccc32)no1 ZINC000375845968 1119769043 /nfs/dbraw/zinc/76/90/43/1119769043.db2.gz ZRHOPHXTDIBMMD-MRXNPFEDSA-N 1 2 296.374 3.587 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+]Cc1ccc(C3CCC3)cc1)CCC2 ZINC000375890665 1119780110 /nfs/dbraw/zinc/78/01/10/1119780110.db2.gz SYAGHVSFDHQLIY-QGZVFWFLSA-N 1 2 281.403 3.844 20 0 CHADLO Clc1ccnc2c1CC[C@@H]2[NH2+]Cc1noc2ccccc12 ZINC000926680109 1119798737 /nfs/dbraw/zinc/79/87/37/1119798737.db2.gz YRPZILRYSVYBOY-ZDUSSCGKSA-N 1 2 299.761 3.653 20 0 CHADLO COCOc1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001211635899 1119809738 /nfs/dbraw/zinc/80/97/38/1119809738.db2.gz QPTQJWCQURIDQM-UHFFFAOYSA-N 1 2 270.332 3.685 20 0 CHADLO C[C@@H]([NH2+]C[C@]1(C)CC1(F)F)C(F)(F)c1ccccc1 ZINC000926703185 1119828644 /nfs/dbraw/zinc/82/86/44/1119828644.db2.gz DNSJGYUDARHUED-PWSUYJOCSA-N 1 2 275.289 3.802 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2[nH]ncc2C)o1 ZINC000685839570 1119858975 /nfs/dbraw/zinc/85/89/75/1119858975.db2.gz KPSTXDOMYJTLCD-WBMJQRKESA-N 1 2 287.407 3.847 20 0 CHADLO CCc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2[nH]ncc2C)o1 ZINC000685839570 1119858976 /nfs/dbraw/zinc/85/89/76/1119858976.db2.gz KPSTXDOMYJTLCD-WBMJQRKESA-N 1 2 287.407 3.847 20 0 CHADLO COc1ccc[nH+]c1NC1CCC(C(F)(F)F)CC1 ZINC000623831553 1119876513 /nfs/dbraw/zinc/87/65/13/1119876513.db2.gz MHYMYNYKJGXSDM-UHFFFAOYSA-N 1 2 274.286 3.623 20 0 CHADLO C[C@@H]([NH2+][C@@H](CC(F)(F)F)c1ccccc1)c1ccn(C)n1 ZINC000414065143 1119876929 /nfs/dbraw/zinc/87/69/29/1119876929.db2.gz GOGUQXDRKLZAJC-RISCZKNCSA-N 1 2 297.324 3.764 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000414154787 1119919556 /nfs/dbraw/zinc/91/95/56/1119919556.db2.gz LMBVKAGAYGSZET-NFAWXSAZSA-N 1 2 285.391 3.557 20 0 CHADLO CCCCC[C@H](CC)C(=O)Nc1c(C)c[nH+]cc1C ZINC001625884897 1119931226 /nfs/dbraw/zinc/93/12/26/1119931226.db2.gz WEABGKDPXHPTFZ-AWEZNQCLSA-N 1 2 262.397 3.665 20 0 CHADLO Oc1cc(Cl)cc(C[N@@H+]2CC[C@H]2c2ccccc2)c1 ZINC001140797735 1119947546 /nfs/dbraw/zinc/94/75/46/1119947546.db2.gz OELZAMUYKJNERH-INIZCTEOSA-N 1 2 273.763 3.993 20 0 CHADLO Oc1cc(Cl)cc(C[N@H+]2CC[C@H]2c2ccccc2)c1 ZINC001140797735 1119947549 /nfs/dbraw/zinc/94/75/49/1119947549.db2.gz OELZAMUYKJNERH-INIZCTEOSA-N 1 2 273.763 3.993 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2ccnc(Cl)c2)C1 ZINC000801588095 1128880980 /nfs/dbraw/zinc/88/09/80/1128880980.db2.gz GDWIJTTUSNOBPJ-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2ccnc(Cl)c2)C1 ZINC000801588095 1128880985 /nfs/dbraw/zinc/88/09/85/1128880985.db2.gz GDWIJTTUSNOBPJ-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1csnn1)c1ccc(OC)cc1 ZINC000414298497 1119971506 /nfs/dbraw/zinc/97/15/06/1119971506.db2.gz IVLDZUXKONAFLW-BXUZGUMPSA-N 1 2 291.420 3.739 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2F)o1 ZINC000892633155 1119997454 /nfs/dbraw/zinc/99/74/54/1119997454.db2.gz UCBPPCDBOLDXAE-JTQLQIEISA-N 1 2 281.302 3.807 20 0 CHADLO Cc1ccc(CNc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC000106409913 1120053107 /nfs/dbraw/zinc/05/31/07/1120053107.db2.gz SUWPBYFJHKTINQ-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO CC=C(CC)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921658857 1120057462 /nfs/dbraw/zinc/05/74/62/1120057462.db2.gz DWYKAACNHIBKDO-ACAGNQJTSA-N 1 2 283.375 3.988 20 0 CHADLO C/C=C(/CC)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921658857 1120057466 /nfs/dbraw/zinc/05/74/66/1120057466.db2.gz DWYKAACNHIBKDO-ACAGNQJTSA-N 1 2 283.375 3.988 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2ccn[nH]2)C2CCCC2)cc1 ZINC000187981291 1120062991 /nfs/dbraw/zinc/06/29/91/1120062991.db2.gz CTINWCLCZUTKRU-QGZVFWFLSA-N 1 2 269.392 3.739 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2cc(C)cnc2Cl)n1 ZINC000921668403 1120063152 /nfs/dbraw/zinc/06/31/52/1120063152.db2.gz YXANMUDSJYDPEL-SNVBAGLBSA-N 1 2 281.812 3.659 20 0 CHADLO C[N@H+](Cc1ncsc1Br)C1(C)CCCC1 ZINC000660436360 1120075084 /nfs/dbraw/zinc/07/50/84/1120075084.db2.gz RZRKKASGDKUANW-UHFFFAOYSA-N 1 2 289.242 3.670 20 0 CHADLO C[N@@H+](Cc1ncsc1Br)C1(C)CCCC1 ZINC000660436360 1120075089 /nfs/dbraw/zinc/07/50/89/1120075089.db2.gz RZRKKASGDKUANW-UHFFFAOYSA-N 1 2 289.242 3.670 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](c2ccccn2)C2CC2)cs1 ZINC000903335691 1120084621 /nfs/dbraw/zinc/08/46/21/1120084621.db2.gz RYARRFORLKSTLO-BMIGLBTASA-N 1 2 273.405 3.648 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCCOc2cccnc2)c1 ZINC001168620875 1120084998 /nfs/dbraw/zinc/08/49/98/1120084998.db2.gz GPCJOCBCINWFCG-UHFFFAOYSA-N 1 2 299.418 3.727 20 0 CHADLO C[C@@H](C1CC1)[N@H+](Cc1noc(C2CCCCC2)n1)C1CC1 ZINC000178914081 1120088006 /nfs/dbraw/zinc/08/80/06/1120088006.db2.gz FLGRWKSUNWGLKZ-LBPRGKRZSA-N 1 2 289.423 3.880 20 0 CHADLO C[C@@H](C1CC1)[N@@H+](Cc1noc(C2CCCCC2)n1)C1CC1 ZINC000178914081 1120088008 /nfs/dbraw/zinc/08/80/08/1120088008.db2.gz FLGRWKSUNWGLKZ-LBPRGKRZSA-N 1 2 289.423 3.880 20 0 CHADLO C(=C/c1cccc2[nH+]ccn21)\c1nc2ccccc2o1 ZINC000178967948 1120089886 /nfs/dbraw/zinc/08/98/86/1120089886.db2.gz BEROQHDLVYYKOX-CMDGGOBGSA-N 1 2 261.284 3.646 20 0 CHADLO Cc1cccc([C@H](NC(=O)C[C@@H](C)n2cc[nH+]c2)C(C)C)c1 ZINC000628969958 1128889475 /nfs/dbraw/zinc/88/94/75/1128889475.db2.gz XVICUMRKHUAZEN-CRAIPNDOSA-N 1 2 299.418 3.656 20 0 CHADLO OCC[C@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000648368711 1120091758 /nfs/dbraw/zinc/09/17/58/1120091758.db2.gz NGXCIMRVUODKMQ-SECBINFHSA-N 1 2 294.247 3.649 20 0 CHADLO OCC[C@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000648368711 1120091763 /nfs/dbraw/zinc/09/17/63/1120091763.db2.gz NGXCIMRVUODKMQ-SECBINFHSA-N 1 2 294.247 3.649 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(OC(F)F)cc2)oc1C ZINC000179613349 1120095196 /nfs/dbraw/zinc/09/51/96/1120095196.db2.gz VMILJWHUAXARKE-JTQLQIEISA-N 1 2 296.317 3.744 20 0 CHADLO CCC[N@@H+](Cc1ncsc1Br)CC1CC1 ZINC000659816350 1120115904 /nfs/dbraw/zinc/11/59/04/1120115904.db2.gz QSOLMHCPRQOBSS-UHFFFAOYSA-N 1 2 289.242 3.528 20 0 CHADLO CCC[N@H+](Cc1ncsc1Br)CC1CC1 ZINC000659816350 1120115906 /nfs/dbraw/zinc/11/59/06/1120115906.db2.gz QSOLMHCPRQOBSS-UHFFFAOYSA-N 1 2 289.242 3.528 20 0 CHADLO CC(C)c1cccc(C(C)C)c1NC(=O)Cc1c[nH+]cn1C ZINC000188246596 1120118983 /nfs/dbraw/zinc/11/89/83/1120118983.db2.gz DEWITQVZHSZOAL-UHFFFAOYSA-N 1 2 299.418 3.848 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(Cl)c2)c(C)o1 ZINC000659829811 1120124501 /nfs/dbraw/zinc/12/45/01/1120124501.db2.gz CAGNURXJKZZELF-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(Cl)c2)c(C)o1 ZINC000659829811 1120124504 /nfs/dbraw/zinc/12/45/04/1120124504.db2.gz CAGNURXJKZZELF-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO C[N@H+](Cc1cc2n(n1)CCC2)Cc1csc2ccccc12 ZINC000649487312 1128892018 /nfs/dbraw/zinc/89/20/18/1128892018.db2.gz ZDYRDJKVQCQWPC-UHFFFAOYSA-N 1 2 297.427 3.676 20 0 CHADLO C[N@@H+](Cc1cc2n(n1)CCC2)Cc1csc2ccccc12 ZINC000649487312 1128892023 /nfs/dbraw/zinc/89/20/23/1128892023.db2.gz ZDYRDJKVQCQWPC-UHFFFAOYSA-N 1 2 297.427 3.676 20 0 CHADLO COc1c[nH+]c(N[C@@H]2CCNc3ccccc32)c2[nH]ccc21 ZINC001168640451 1120127608 /nfs/dbraw/zinc/12/76/08/1120127608.db2.gz ITQKOXZOGXKPDX-CQSZACIVSA-N 1 2 294.358 3.540 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2ncco2)c(C)s1 ZINC000924602355 1120131432 /nfs/dbraw/zinc/13/14/32/1120131432.db2.gz LXMHZIYKNHJWIW-ZJUUUORDSA-N 1 2 250.367 3.765 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1cccc(Br)c1 ZINC000378864758 1120135886 /nfs/dbraw/zinc/13/58/86/1120135886.db2.gz YAURVZPIBUWYQA-MRVPVSSYSA-N 1 2 278.140 3.755 20 0 CHADLO Clc1ccccc1C[N@@H+]1CCOc2ccccc2C1 ZINC000108093955 1120139833 /nfs/dbraw/zinc/13/98/33/1120139833.db2.gz JWAXCXCFKAORFU-UHFFFAOYSA-N 1 2 273.763 3.735 20 0 CHADLO Clc1ccccc1C[N@H+]1CCOc2ccccc2C1 ZINC000108093955 1120139838 /nfs/dbraw/zinc/13/98/38/1120139838.db2.gz JWAXCXCFKAORFU-UHFFFAOYSA-N 1 2 273.763 3.735 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(-c2ccco2)cc1F)c1ncc[nH]1 ZINC000353599168 1120145156 /nfs/dbraw/zinc/14/51/56/1120145156.db2.gz WLZFXFWXIIUTML-LLVKDONJSA-N 1 2 285.322 3.660 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc(C(C)(C)C)cs2)cnc1F ZINC000892930505 1120163659 /nfs/dbraw/zinc/16/36/59/1120163659.db2.gz YZEBEXJCPCMCTH-UHFFFAOYSA-N 1 2 293.411 3.573 20 0 CHADLO C[NH+](C)c1ccc(NCc2cccc(O)c2Cl)cc1 ZINC000328316880 1120171847 /nfs/dbraw/zinc/17/18/47/1120171847.db2.gz GWNIKFKBIBBOLX-UHFFFAOYSA-N 1 2 276.767 3.724 20 0 CHADLO COc1ccnc([C@@H](C)[NH2+][C@@H](C)c2cc(F)ccc2F)c1 ZINC000924612692 1120171935 /nfs/dbraw/zinc/17/19/35/1120171935.db2.gz JHNSCZLZGGVSLG-WDEREUQCSA-N 1 2 292.329 3.780 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1)[N@@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000661514529 1120172084 /nfs/dbraw/zinc/17/20/84/1120172084.db2.gz YOERYEQRBMTZRN-SUMWQHHRSA-N 1 2 298.361 3.600 20 0 CHADLO C[C@@H](C(=O)Nc1ccccc1)[N@H+]1CC[C@@H]1c1ccc(F)cc1 ZINC000661514529 1120172087 /nfs/dbraw/zinc/17/20/87/1120172087.db2.gz YOERYEQRBMTZRN-SUMWQHHRSA-N 1 2 298.361 3.600 20 0 CHADLO COc1ccc(C[NH2+]C2(c3nc(C)cs3)CCCC2)o1 ZINC000892951287 1120178415 /nfs/dbraw/zinc/17/84/15/1120178415.db2.gz MIXOEPOEZURSSX-UHFFFAOYSA-N 1 2 292.404 3.612 20 0 CHADLO COc1ccc2ccc(N[C@@H]3CCOC(C)(C)C3)[nH+]c2c1 ZINC000892972333 1120185343 /nfs/dbraw/zinc/18/53/43/1120185343.db2.gz WHTHKFDINOHOKH-CYBMUJFWSA-N 1 2 286.375 3.613 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cc(O)cc(Cl)c1)c1nccs1 ZINC000892990459 1120190992 /nfs/dbraw/zinc/19/09/92/1120190992.db2.gz BIAZWCVKMTXOOV-CQSZACIVSA-N 1 2 296.823 3.917 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1C1CC1)c1cc(F)ccc1F ZINC000893019825 1120199526 /nfs/dbraw/zinc/19/95/26/1120199526.db2.gz RZBULKVEQOLLPR-HNNXBMFYSA-N 1 2 291.345 3.737 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1csc(C(C)(C)C)n1 ZINC000073007188 1120206389 /nfs/dbraw/zinc/20/63/89/1120206389.db2.gz DLLNOMFGKXBMKW-SECBINFHSA-N 1 2 293.436 3.896 20 0 CHADLO FC(F)(F)c1cc(Cl)ccc1C[NH+]1CC=CC1 ZINC001143498767 1120210523 /nfs/dbraw/zinc/21/05/23/1120210523.db2.gz HKMGAJITWUQLMD-UHFFFAOYSA-N 1 2 261.674 3.731 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cncc(OC)c2)c1 ZINC001239932178 1120210633 /nfs/dbraw/zinc/21/06/33/1120210633.db2.gz DKXNUHFMGYAXBP-UHFFFAOYSA-N 1 2 270.376 3.912 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccccc2Cl)s1 ZINC000177379981 1120217279 /nfs/dbraw/zinc/21/72/79/1120217279.db2.gz LJZVZVGSQDLPBV-JTQLQIEISA-N 1 2 266.797 3.956 20 0 CHADLO Cc1[nH]c(CNc2cc(Cl)cc3cccnc32)[nH+]c1C ZINC000709029861 1120219979 /nfs/dbraw/zinc/21/99/79/1120219979.db2.gz QESBUANDVVVOIQ-UHFFFAOYSA-N 1 2 286.766 3.840 20 0 CHADLO COCC1(CCNc2cc(C(F)(F)F)cc[nH+]2)CCC1 ZINC000338734318 1120225242 /nfs/dbraw/zinc/22/52/42/1120225242.db2.gz APNCXDGSOVWMAB-UHFFFAOYSA-N 1 2 288.313 3.719 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]C/C(Cl)=C\Cl ZINC000309053377 1120231070 /nfs/dbraw/zinc/23/10/70/1120231070.db2.gz KRGHKSZDZHLKBD-HZAKCSEPSA-N 1 2 260.164 3.665 20 0 CHADLO CCOc1ccc(C[NH2+][C@H]2COc3c2cccc3Cl)o1 ZINC000893133962 1120232877 /nfs/dbraw/zinc/23/28/77/1120232877.db2.gz HNRNSHRECUJAIC-ZDUSSCGKSA-N 1 2 293.750 3.555 20 0 CHADLO c1cc2c(s1)CCC[C@H]2[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000353673134 1128901870 /nfs/dbraw/zinc/90/18/70/1128901870.db2.gz RGWPFOJBTCOECQ-CHWSQXEVSA-N 1 2 273.405 3.516 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000271387168 1120248473 /nfs/dbraw/zinc/24/84/73/1120248473.db2.gz LHKPXCCOFOZTMC-GOSISDBHSA-N 1 2 298.434 3.976 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1ccn(C2CCCC2)n1 ZINC000271387168 1120248474 /nfs/dbraw/zinc/24/84/74/1120248474.db2.gz LHKPXCCOFOZTMC-GOSISDBHSA-N 1 2 298.434 3.976 20 0 CHADLO CCc1nc(N2CCc3cc(F)ccc3[C@@H]2C)cc(C)[nH+]1 ZINC000672251498 1120267053 /nfs/dbraw/zinc/26/70/53/1120267053.db2.gz IUIFXQADWGGKOD-LBPRGKRZSA-N 1 2 285.366 3.610 20 0 CHADLO O=C(CC1=CCCCC1)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000106627311 1120273574 /nfs/dbraw/zinc/27/35/74/1120273574.db2.gz ITXVVFGIUHEEIA-UHFFFAOYSA-N 1 2 299.349 3.840 20 0 CHADLO Cc1nc(C[N@@H+]2CCSC[C@H]2c2ccccc2)c(C)o1 ZINC000659932447 1120292460 /nfs/dbraw/zinc/29/24/60/1120292460.db2.gz FDKHSEBKZCEUCI-INIZCTEOSA-N 1 2 288.416 3.582 20 0 CHADLO Cc1nc(C[N@H+]2CCSC[C@H]2c2ccccc2)c(C)o1 ZINC000659932447 1120292464 /nfs/dbraw/zinc/29/24/64/1120292464.db2.gz FDKHSEBKZCEUCI-INIZCTEOSA-N 1 2 288.416 3.582 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(C)c(C)c2)C2CC2)co1 ZINC000659945691 1120301104 /nfs/dbraw/zinc/30/11/04/1120301104.db2.gz BZTJURITJUIIFM-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(C)c(C)c2)C2CC2)co1 ZINC000659945691 1120301110 /nfs/dbraw/zinc/30/11/10/1120301110.db2.gz BZTJURITJUIIFM-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO C[C@H](CCCC(C)(C)O)Nc1cc(-c2ccncc2)cc[nH+]1 ZINC001168697855 1120304566 /nfs/dbraw/zinc/30/45/66/1120304566.db2.gz RSKQKRHKHSFBBY-CQSZACIVSA-N 1 2 299.418 3.885 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)cs1 ZINC000679539404 1120308430 /nfs/dbraw/zinc/30/84/30/1120308430.db2.gz AITSDVKKBSIMKM-WPRPVWTQSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@@H]2C)cs1 ZINC000679539404 1120308435 /nfs/dbraw/zinc/30/84/35/1120308435.db2.gz AITSDVKKBSIMKM-WPRPVWTQSA-N 1 2 278.343 3.614 20 0 CHADLO CCc1cnccc1[C@H](C)[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000921963269 1120309260 /nfs/dbraw/zinc/30/92/60/1120309260.db2.gz HEZSKZFNCBLTDW-LBPRGKRZSA-N 1 2 287.407 3.780 20 0 CHADLO N=C1C=C(Cl)C=C(N)[N@H+]1c1ccc(C2CCCC2)cc1 ZINC001212456718 1120309792 /nfs/dbraw/zinc/30/97/92/1120309792.db2.gz RIPLVMBPUYGUQH-UHFFFAOYSA-N 1 2 287.794 3.850 20 0 CHADLO N=C1C=C(Cl)C=C(N)[N@@H+]1c1ccc(C2CCCC2)cc1 ZINC001212456718 1120309796 /nfs/dbraw/zinc/30/97/96/1120309796.db2.gz RIPLVMBPUYGUQH-UHFFFAOYSA-N 1 2 287.794 3.850 20 0 CHADLO Cc1cc(CNc2ccc3c(c2)COC3)c2c([nH+]1)CCCC2 ZINC000893223928 1120318159 /nfs/dbraw/zinc/31/81/59/1120318159.db2.gz QXVNLSRMIFDMPL-UHFFFAOYSA-N 1 2 294.398 3.911 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc3ccccc3o2)c(C)o1 ZINC000659979835 1120320683 /nfs/dbraw/zinc/32/06/83/1120320683.db2.gz PJUOSCFMFHLOPL-UHFFFAOYSA-N 1 2 270.332 3.670 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc3ccccc3o2)c(C)o1 ZINC000659979835 1120320679 /nfs/dbraw/zinc/32/06/79/1120320679.db2.gz PJUOSCFMFHLOPL-UHFFFAOYSA-N 1 2 270.332 3.670 20 0 CHADLO Cc1cc(N[C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)ccc1[NH+](C)C ZINC000182851170 1120330605 /nfs/dbraw/zinc/33/06/05/1120330605.db2.gz FTDNFPOFGRZSAI-UAGQMJEPSA-N 1 2 288.435 3.677 20 0 CHADLO Cc1cc([NH2+][C@@H]2[C@@H]3CCCO[C@@H]3C2(C)C)ccc1N(C)C ZINC000182851170 1120330610 /nfs/dbraw/zinc/33/06/10/1120330610.db2.gz FTDNFPOFGRZSAI-UAGQMJEPSA-N 1 2 288.435 3.677 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H]1CCCC1(F)F)c1cccnc1 ZINC000672622188 1120336375 /nfs/dbraw/zinc/33/63/75/1120336375.db2.gz BNYCTRHXJBGRDD-OLZOCXBDSA-N 1 2 254.324 3.556 20 0 CHADLO Fc1ccc2c(c1)CCC[C@@H]2[NH2+][C@H]1CCCC1(F)F ZINC000672621970 1120336701 /nfs/dbraw/zinc/33/67/01/1120336701.db2.gz PTHDOFYSDVIIPF-KBPBESRZSA-N 1 2 269.310 3.981 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+][C@H]1CSCCc2ccccc21 ZINC000672622663 1120337554 /nfs/dbraw/zinc/33/75/54/1120337554.db2.gz PNXCQNLDZMHHCW-KBPBESRZSA-N 1 2 283.387 3.794 20 0 CHADLO C[NH2+]c1ccc(NCc2ccc(OC)c(C)c2)cc1 ZINC000629389042 1128909774 /nfs/dbraw/zinc/90/97/74/1128909774.db2.gz IRPGDMLJHWNNLJ-UHFFFAOYSA-N 1 2 256.349 3.657 20 0 CHADLO CCCCCC(C)(C)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178353501 1120345752 /nfs/dbraw/zinc/34/57/52/1120345752.db2.gz BZEWGHVKJHGLMW-UHFFFAOYSA-N 1 2 287.407 3.557 20 0 CHADLO C[NH2+]c1ccc(NCc2cc(OC)cc3c2O[C@H](C)C3)cc1 ZINC000629389390 1128910177 /nfs/dbraw/zinc/91/01/77/1128910177.db2.gz LSZBRTQGSAFRKI-GFCCVEGCSA-N 1 2 298.386 3.672 20 0 CHADLO CNc1ccc([NH2+]C[C@@H]2CCOc3ccccc32)cc1 ZINC000629389284 1128910262 /nfs/dbraw/zinc/91/02/62/1128910262.db2.gz URQPTWBIIYBHQH-ZDUSSCGKSA-N 1 2 268.360 3.707 20 0 CHADLO C[NH2+]c1ccc(NCc2cc(C)ccc2OC)cc1 ZINC000629389504 1128910382 /nfs/dbraw/zinc/91/03/82/1128910382.db2.gz NZOMQJMCTAAURA-UHFFFAOYSA-N 1 2 256.349 3.657 20 0 CHADLO Cc1cc2cc(N[C@@H](C)CCCC(C)(C)O)[nH+]cc2[nH]1 ZINC001168700448 1120356611 /nfs/dbraw/zinc/35/66/11/1120356611.db2.gz ZCMHOPZIOQPWKJ-NSHDSACASA-N 1 2 275.396 3.613 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1cc(C2CC2)no1)C1CC1 ZINC000660097026 1120374055 /nfs/dbraw/zinc/37/40/55/1120374055.db2.gz GWZRRRXKAAUUPU-LLVKDONJSA-N 1 2 272.348 3.871 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1cc(C2CC2)no1)C1CC1 ZINC000660097026 1120374058 /nfs/dbraw/zinc/37/40/58/1120374058.db2.gz GWZRRRXKAAUUPU-LLVKDONJSA-N 1 2 272.348 3.871 20 0 CHADLO Clc1cccc([C@@H]2CC[N@H+](Cc3cocn3)C2)c1Cl ZINC001141129464 1120374557 /nfs/dbraw/zinc/37/45/57/1120374557.db2.gz JCJZAECKCPLLCA-SNVBAGLBSA-N 1 2 297.185 3.971 20 0 CHADLO Clc1cccc([C@@H]2CC[N@@H+](Cc3cocn3)C2)c1Cl ZINC001141129464 1120374562 /nfs/dbraw/zinc/37/45/62/1120374562.db2.gz JCJZAECKCPLLCA-SNVBAGLBSA-N 1 2 297.185 3.971 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191269984 1128912447 /nfs/dbraw/zinc/91/24/47/1128912447.db2.gz DWCIBCIENYLOBV-UHFFFAOYSA-N 1 2 283.730 3.718 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191269984 1128912449 /nfs/dbraw/zinc/91/24/49/1128912449.db2.gz DWCIBCIENYLOBV-UHFFFAOYSA-N 1 2 283.730 3.718 20 0 CHADLO COc1c(F)ccc(Nc2[nH+]c(C)ccc2C)c1F ZINC001212496588 1120393084 /nfs/dbraw/zinc/39/30/84/1120393084.db2.gz TWHOBCHJHVSRKB-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1ccc(F)c(Cl)c1F ZINC001143615529 1120392974 /nfs/dbraw/zinc/39/29/74/1120392974.db2.gz DSLNZWCYDLWMJV-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1ccc(F)c(Cl)c1F ZINC001143615529 1120392976 /nfs/dbraw/zinc/39/29/76/1120392976.db2.gz DSLNZWCYDLWMJV-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1nsc2ccccc12 ZINC000660140124 1120394591 /nfs/dbraw/zinc/39/45/91/1120394591.db2.gz JRKBNFZUYVCITM-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1nsc2ccccc12 ZINC000660140124 1120394596 /nfs/dbraw/zinc/39/45/96/1120394596.db2.gz JRKBNFZUYVCITM-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO CC(C)(CCO)Nc1[nH+]ccc2cccc(C(F)(F)F)c21 ZINC001167741109 1120396186 /nfs/dbraw/zinc/39/61/86/1120396186.db2.gz OTSIDRLFKRTWIC-UHFFFAOYSA-N 1 2 298.308 3.827 20 0 CHADLO Cc1nc(C[N@@H+]2[C@@H](C)Cc3cc(F)ccc3[C@@H]2C)c(C)o1 ZINC000660163384 1120407006 /nfs/dbraw/zinc/40/70/06/1120407006.db2.gz GPIDZWGDRRVMQI-QWRGUYRKSA-N 1 2 288.366 3.938 20 0 CHADLO Cc1nc(C[N@H+]2[C@@H](C)Cc3cc(F)ccc3[C@@H]2C)c(C)o1 ZINC000660163384 1120407009 /nfs/dbraw/zinc/40/70/09/1120407009.db2.gz GPIDZWGDRRVMQI-QWRGUYRKSA-N 1 2 288.366 3.938 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2OC(C)C)co1 ZINC000660181274 1120421357 /nfs/dbraw/zinc/42/13/57/1120421357.db2.gz KARIJESMFLGPCC-GFCCVEGCSA-N 1 2 274.364 3.621 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CC[C@@H]2C2CC2)c1Cl ZINC000628297631 1120423906 /nfs/dbraw/zinc/42/39/06/1120423906.db2.gz GMHVHGQBWXCRDG-GFCCVEGCSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CC[C@@H]2C2CC2)c1Cl ZINC000628297631 1120423910 /nfs/dbraw/zinc/42/39/10/1120423910.db2.gz GMHVHGQBWXCRDG-GFCCVEGCSA-N 1 2 257.711 3.603 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2coc(C)n2)cc1 ZINC000660193919 1120430158 /nfs/dbraw/zinc/43/01/58/1120430158.db2.gz CMKFUOXOPIPGPR-LLVKDONJSA-N 1 2 276.405 3.946 20 0 CHADLO CCn1ccc(C[N@H+](C)Cc2cscc2Cl)c1 ZINC000683000346 1120433967 /nfs/dbraw/zinc/43/39/67/1120433967.db2.gz VTUIPKSQKCZHHY-UHFFFAOYSA-N 1 2 268.813 3.855 20 0 CHADLO CCn1ccc(C[N@@H+](C)Cc2cscc2Cl)c1 ZINC000683000346 1120433969 /nfs/dbraw/zinc/43/39/69/1120433969.db2.gz VTUIPKSQKCZHHY-UHFFFAOYSA-N 1 2 268.813 3.855 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2c(F)cccc2Cl)nc(C)n1 ZINC000660200717 1120437546 /nfs/dbraw/zinc/43/75/46/1120437546.db2.gz JGAPHAFPGDBJDJ-JTQLQIEISA-N 1 2 293.773 3.737 20 0 CHADLO Cc1cc([NH2+][C@@H](C)CCCC(C)(C)O)ccc1N(C)C ZINC001168709685 1120453207 /nfs/dbraw/zinc/45/32/07/1120453207.db2.gz YDAGJSHKSLZSLP-AWEZNQCLSA-N 1 2 278.440 3.803 20 0 CHADLO Cc1cc(N[C@@H](C)CCCC(C)(C)O)ccc1[NH+](C)C ZINC001168709685 1120453212 /nfs/dbraw/zinc/45/32/12/1120453212.db2.gz YDAGJSHKSLZSLP-AWEZNQCLSA-N 1 2 278.440 3.803 20 0 CHADLO CC(C)c1cccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000584842938 1120463398 /nfs/dbraw/zinc/46/33/98/1120463398.db2.gz JOGASASVWQZLKA-CYBMUJFWSA-N 1 2 271.364 3.596 20 0 CHADLO CCc1cccc2cc(C[NH2+][C@@H]3C[C@@H](C)n4ccnc43)oc21 ZINC000657483154 1120470439 /nfs/dbraw/zinc/47/04/39/1120470439.db2.gz HGNBUZMLIVIUOX-MLGOLLRUSA-N 1 2 295.386 3.987 20 0 CHADLO CC(C)([NH2+]Cc1noc2c1CCCC2)c1ccccc1F ZINC000644783404 1120483063 /nfs/dbraw/zinc/48/30/63/1120483063.db2.gz ZEFAKWSLAXMLOV-UHFFFAOYSA-N 1 2 288.366 3.717 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(OCCC(C)C)c1 ZINC000417802278 1120483123 /nfs/dbraw/zinc/48/31/23/1120483123.db2.gz DKNRIEROELLCGH-UHFFFAOYSA-N 1 2 287.407 3.940 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(OC2CCCC2)c1 ZINC000417818397 1120484232 /nfs/dbraw/zinc/48/42/32/1120484232.db2.gz SGAAMTJVYGXLDC-UHFFFAOYSA-N 1 2 285.391 3.837 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(-c2nc(C)cs2)cc1 ZINC000417818690 1120484379 /nfs/dbraw/zinc/48/43/79/1120484379.db2.gz BDEHFYKTZUPVDZ-UHFFFAOYSA-N 1 2 298.415 3.947 20 0 CHADLO CCSCc1cccc(NCc2c[nH+]cn2CC)c1 ZINC000417843788 1120485099 /nfs/dbraw/zinc/48/50/99/1120485099.db2.gz VRBPRGUAAKQACA-UHFFFAOYSA-N 1 2 275.421 3.768 20 0 CHADLO CCn1cc(C[NH2+][C@H](c2ccco2)c2ccccc2)c(C)n1 ZINC000893323271 1120487252 /nfs/dbraw/zinc/48/72/52/1120487252.db2.gz AAYSFDFGRUOGKX-SFHVURJKSA-N 1 2 295.386 3.684 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@H]2c2ccccc2)cs1 ZINC000348540186 1120507183 /nfs/dbraw/zinc/50/71/83/1120507183.db2.gz FSAQIMNTJJRVAP-AWEZNQCLSA-N 1 2 258.390 3.653 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@H]2c2ccccc2)cs1 ZINC000348540186 1120507186 /nfs/dbraw/zinc/50/71/86/1120507186.db2.gz FSAQIMNTJJRVAP-AWEZNQCLSA-N 1 2 258.390 3.653 20 0 CHADLO FC[C@@H]([NH2+]Cc1ccnn1C1CCC1)c1ccc(F)cc1 ZINC000922317586 1120509705 /nfs/dbraw/zinc/50/97/05/1120509705.db2.gz IASDLBMFNYIDLI-MRXNPFEDSA-N 1 2 291.345 3.548 20 0 CHADLO Cc1nc(C(C)(C)C)sc1[C@@H](C)[NH2+]Cc1cocn1 ZINC000397822901 1120523567 /nfs/dbraw/zinc/52/35/67/1120523567.db2.gz KQCWBLMKIXSIAK-SECBINFHSA-N 1 2 279.409 3.588 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1OC ZINC000397971373 1120537776 /nfs/dbraw/zinc/53/77/76/1120537776.db2.gz JBBZKGRIYXVXLM-QMTHXVAHSA-N 1 2 285.334 3.542 20 0 CHADLO COc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1Cl ZINC000397970595 1120538576 /nfs/dbraw/zinc/53/85/76/1120538576.db2.gz ISYFEHYOCPVYBG-GFCCVEGCSA-N 1 2 275.726 3.626 20 0 CHADLO COc1cc[nH+]cc1NCc1ccc(C(F)(F)F)s1 ZINC000428864859 1120543235 /nfs/dbraw/zinc/54/32/35/1120543235.db2.gz ARXYSACDELQQBX-UHFFFAOYSA-N 1 2 288.294 3.783 20 0 CHADLO COc1cccc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)c1 ZINC000398001180 1120548299 /nfs/dbraw/zinc/54/82/99/1120548299.db2.gz FAFOZWYWPWFNHW-MFKMUULPSA-N 1 2 255.308 3.534 20 0 CHADLO Fc1cccc2c1CC[C@@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000398002430 1120549158 /nfs/dbraw/zinc/54/91/58/1120549158.db2.gz FPJHZMRNGWFKMT-QWHCGFSZSA-N 1 2 255.283 3.590 20 0 CHADLO C[NH2+]c1ccc(NCc2ccc(C)c(OC)c2)cc1 ZINC000629656796 1128924070 /nfs/dbraw/zinc/92/40/70/1128924070.db2.gz QXHBHNFRVFEKGF-UHFFFAOYSA-N 1 2 256.349 3.657 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccnc2ccccc12 ZINC000398040453 1120558741 /nfs/dbraw/zinc/55/87/41/1120558741.db2.gz KYLMCVMHSWAFKH-CQSZACIVSA-N 1 2 262.303 3.512 20 0 CHADLO Cc1cc(NC(=O)[C@H](CC(C)C)c2ccccc2)cc[nH+]1 ZINC000500303543 1120564629 /nfs/dbraw/zinc/56/46/29/1120564629.db2.gz NYYSMESCQKKZFW-QGZVFWFLSA-N 1 2 282.387 3.580 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+][C@H](C)c1nc2ccccc2s1 ZINC000783247451 1120564686 /nfs/dbraw/zinc/56/46/86/1120564686.db2.gz XMOBTHAUDWSCNN-NWDGAFQWSA-N 1 2 298.415 3.807 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H](C(F)(F)F)OC(C)(C)C2)cc1 ZINC000428928579 1120572940 /nfs/dbraw/zinc/57/29/40/1120572940.db2.gz IDOPLXUNDMTFPZ-CYBMUJFWSA-N 1 2 287.325 3.537 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H](C(F)(F)F)OC(C)(C)C2)cc1 ZINC000428928579 1120572941 /nfs/dbraw/zinc/57/29/41/1120572941.db2.gz IDOPLXUNDMTFPZ-CYBMUJFWSA-N 1 2 287.325 3.537 20 0 CHADLO Cn1c2cccc(F)c2nc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC000123940366 1120594823 /nfs/dbraw/zinc/59/48/23/1120594823.db2.gz RKGJJICSGYTZQC-UHFFFAOYSA-N 1 2 292.317 3.565 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H]2CCCc3cccnc32)s1 ZINC000922876524 1120616118 /nfs/dbraw/zinc/61/61/18/1120616118.db2.gz CGODPUPDIIKTGZ-CYBMUJFWSA-N 1 2 287.432 3.829 20 0 CHADLO Cc1cc(N[C@@H]2CCc3c2cccc3F)nc(C(C)C)[nH+]1 ZINC000511697406 1120625931 /nfs/dbraw/zinc/62/59/31/1120625931.db2.gz JNOZTMKUOBOLJG-OAHLLOKOSA-N 1 2 285.366 3.569 20 0 CHADLO COc1ccc(-c2ccc([C@H]3CC(=O)CC[N@@H+]3C)cc2)cc1 ZINC000629712447 1128929282 /nfs/dbraw/zinc/92/92/82/1128929282.db2.gz NOGDHNYITWTZFE-LJQANCHMSA-N 1 2 295.382 3.698 20 0 CHADLO COc1ccc(-c2ccc([C@H]3CC(=O)CC[N@H+]3C)cc2)cc1 ZINC000629712447 1128929285 /nfs/dbraw/zinc/92/92/85/1128929285.db2.gz NOGDHNYITWTZFE-LJQANCHMSA-N 1 2 295.382 3.698 20 0 CHADLO Cc1ccccc1-c1ccc([C@H]2CC(=O)CC[N@H+]2C)cc1 ZINC000629711376 1128929478 /nfs/dbraw/zinc/92/94/78/1128929478.db2.gz FRZBWBKYAPLFIB-LJQANCHMSA-N 1 2 279.383 3.998 20 0 CHADLO Cc1ccccc1-c1ccc([C@H]2CC(=O)CC[N@@H+]2C)cc1 ZINC000629711376 1128929481 /nfs/dbraw/zinc/92/94/81/1128929481.db2.gz FRZBWBKYAPLFIB-LJQANCHMSA-N 1 2 279.383 3.998 20 0 CHADLO Cc1cc(CNc2cc(-c3ccc(C)cc3)cc[nH+]2)nn1C ZINC001167765816 1120635520 /nfs/dbraw/zinc/63/55/20/1120635520.db2.gz DQFLGTMODBBJFF-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2ccccc2OC(F)F)no1 ZINC000282454856 1120683854 /nfs/dbraw/zinc/68/38/54/1120683854.db2.gz FPJQIZRPBJWZRB-GHMZBOCLSA-N 1 2 296.317 3.996 20 0 CHADLO C[C@@H](Nc1ccc(F)cc1)c1cn2c([nH+]1)CCCC2 ZINC000925720364 1120695954 /nfs/dbraw/zinc/69/59/54/1120695954.db2.gz SBPUYDRTWBKAAM-LLVKDONJSA-N 1 2 259.328 3.532 20 0 CHADLO CCNc1nc(C[NH2+][C@H](C)c2ccc(F)cc2F)cs1 ZINC001168749368 1120704253 /nfs/dbraw/zinc/70/42/53/1120704253.db2.gz FTJVZQXTMFOMRO-SECBINFHSA-N 1 2 297.374 3.704 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@@H]2c2c(C)cccc2C)no1 ZINC000661134941 1120724458 /nfs/dbraw/zinc/72/44/58/1120724458.db2.gz XUTFJJYDPVQMTB-CQSZACIVSA-N 1 2 285.391 3.586 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@@H]2c2c(C)cccc2C)no1 ZINC000661134941 1120724461 /nfs/dbraw/zinc/72/44/61/1120724461.db2.gz XUTFJJYDPVQMTB-CQSZACIVSA-N 1 2 285.391 3.586 20 0 CHADLO Cc1cccnc1C[N@@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000093159439 1128938448 /nfs/dbraw/zinc/93/84/48/1128938448.db2.gz OKSAYCSXCDENEI-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cccnc1C[N@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000093159439 1128938451 /nfs/dbraw/zinc/93/84/51/1128938451.db2.gz OKSAYCSXCDENEI-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ccc2ncc(C[NH2+][C@@H](C)c3cscn3)cc2c1 ZINC000661413766 1120763654 /nfs/dbraw/zinc/76/36/54/1120763654.db2.gz GRPYGJAQJBVJPF-LBPRGKRZSA-N 1 2 283.400 3.851 20 0 CHADLO C[C@H](c1cnccn1)[N@@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661435782 1120765859 /nfs/dbraw/zinc/76/58/59/1120765859.db2.gz HMSQNEPHRLTFKH-CZUORRHYSA-N 1 2 283.375 3.748 20 0 CHADLO C[C@H](c1cnccn1)[N@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000661435782 1120765862 /nfs/dbraw/zinc/76/58/62/1120765862.db2.gz HMSQNEPHRLTFKH-CZUORRHYSA-N 1 2 283.375 3.748 20 0 CHADLO COc1cccc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)n1 ZINC000661444650 1120766501 /nfs/dbraw/zinc/76/65/01/1120766501.db2.gz BDQNKPIIAGRFHE-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO COc1cccc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)n1 ZINC000661444650 1120766504 /nfs/dbraw/zinc/76/65/04/1120766504.db2.gz BDQNKPIIAGRFHE-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2ccccc2F)C(C)C)o1 ZINC000661488106 1120771249 /nfs/dbraw/zinc/77/12/49/1120771249.db2.gz SPGCNVNJPYMZCR-HNNXBMFYSA-N 1 2 262.328 3.609 20 0 CHADLO FC1(F)CCC[N@H+](C[C@H]2C[C@H]2c2ccccc2)C1 ZINC000651895786 1120773046 /nfs/dbraw/zinc/77/30/46/1120773046.db2.gz XCVLZGQDOAEOHM-KGLIPLIRSA-N 1 2 251.320 3.521 20 0 CHADLO FC1(F)CCC[N@@H+](C[C@H]2C[C@H]2c2ccccc2)C1 ZINC000651895786 1120773048 /nfs/dbraw/zinc/77/30/48/1120773048.db2.gz XCVLZGQDOAEOHM-KGLIPLIRSA-N 1 2 251.320 3.521 20 0 CHADLO CC(C)(C)n1cc(C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)cn1 ZINC000661506655 1120773085 /nfs/dbraw/zinc/77/30/85/1120773085.db2.gz GSHZMEAZBXSOPR-MRXNPFEDSA-N 1 2 287.382 3.724 20 0 CHADLO CC(C)(C)n1cc(C[N@H+]2CC[C@@H]2c2ccc(F)cc2)cn1 ZINC000661506655 1120773087 /nfs/dbraw/zinc/77/30/87/1120773087.db2.gz GSHZMEAZBXSOPR-MRXNPFEDSA-N 1 2 287.382 3.724 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[NH+]1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000661547664 1120777677 /nfs/dbraw/zinc/77/76/77/1120777677.db2.gz QXQTVJRBKRPNSR-IJLUTSLNSA-N 1 2 265.784 3.558 20 0 CHADLO c1cn(-c2cccc(NCc3ccsc3)c2)c[nH+]1 ZINC000036939918 1120780533 /nfs/dbraw/zinc/78/05/33/1120780533.db2.gz QLKZKEIJIMNBGK-UHFFFAOYSA-N 1 2 255.346 3.546 20 0 CHADLO C[C@H]1C[NH+](Cc2cc(Cl)sc2Cl)C[C@H](C)C1O ZINC000661622267 1120786290 /nfs/dbraw/zinc/78/62/90/1120786290.db2.gz RNGDSJFLVLBLHP-YUMQZZPRSA-N 1 2 294.247 3.504 20 0 CHADLO c1coc([C@H]([NH2+]Cc2cncs2)c2ccccc2)c1 ZINC000054770919 1120814446 /nfs/dbraw/zinc/81/44/46/1120814446.db2.gz KXFXRWIUTMYOCJ-OAHLLOKOSA-N 1 2 270.357 3.615 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCC[C@H]2CCCF)c1 ZINC000652330828 1120828774 /nfs/dbraw/zinc/82/87/74/1120828774.db2.gz QKYYSLQUXGPNGG-UONOGXRCSA-N 1 2 266.360 3.558 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCc3sccc32)c1 ZINC000652330836 1120829116 /nfs/dbraw/zinc/82/91/16/1120829116.db2.gz QPFDNYAMHNCOTI-CYBMUJFWSA-N 1 2 274.389 3.779 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cccc(C)c2)c1 ZINC000652330720 1120829174 /nfs/dbraw/zinc/82/91/74/1120829174.db2.gz NLBQAKOLJXVORS-ZDUSSCGKSA-N 1 2 256.349 3.710 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cc(C)oc2C)c1 ZINC000652331146 1120829577 /nfs/dbraw/zinc/82/95/77/1120829577.db2.gz ZHSGCOXAUZIWDO-NSHDSACASA-N 1 2 260.337 3.611 20 0 CHADLO COCc1cc[nH+]c(N[C@H](c2ccc(F)cn2)C(C)C)c1 ZINC000652331154 1120830157 /nfs/dbraw/zinc/83/01/57/1120830157.db2.gz ZOACQEJGQJGXQB-INIZCTEOSA-N 1 2 289.354 3.571 20 0 CHADLO C[N@H+](Cc1nnc(-c2ccccc2)o1)Cc1cccc(F)c1 ZINC000048811875 1120843864 /nfs/dbraw/zinc/84/38/64/1120843864.db2.gz JXBIKSQSOINVMY-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1nnc(-c2ccccc2)o1)Cc1cccc(F)c1 ZINC000048811875 1120843868 /nfs/dbraw/zinc/84/38/68/1120843868.db2.gz JXBIKSQSOINVMY-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO CC(C)(C)[C@H]1CSCC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000931592015 1120861125 /nfs/dbraw/zinc/86/11/25/1120861125.db2.gz GMLGBOAJBIFLHV-CYBMUJFWSA-N 1 2 280.437 3.516 20 0 CHADLO CC(C)(C)[C@H]1CSCC[N@H+]1Cc1coc(C2CC2)n1 ZINC000931592015 1120861126 /nfs/dbraw/zinc/86/11/26/1120861126.db2.gz GMLGBOAJBIFLHV-CYBMUJFWSA-N 1 2 280.437 3.516 20 0 CHADLO CCOCc1ccc(-c2c[nH+]c(C(C)C)n2C)cc1F ZINC000630051788 1128945808 /nfs/dbraw/zinc/94/58/08/1128945808.db2.gz OSTXJBZGOXYOMO-UHFFFAOYSA-N 1 2 276.355 3.886 20 0 CHADLO CC[C@@H]([NH2+]CC(F)(F)CC)c1ccc(C(=O)OC)cc1 ZINC000599068705 1120872391 /nfs/dbraw/zinc/87/23/91/1120872391.db2.gz NKYPCLGLGNIQCM-CYBMUJFWSA-N 1 2 285.334 3.559 20 0 CHADLO FC(F)(F)c1cc(-c2c[nH+]c3n2CCCC3)cs1 ZINC000630054327 1128946348 /nfs/dbraw/zinc/94/63/48/1128946348.db2.gz NXWNDTPDMIQHGI-UHFFFAOYSA-N 1 2 272.295 3.967 20 0 CHADLO CC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1noc(C2CCCCC2)n1 ZINC000662130697 1120882242 /nfs/dbraw/zinc/88/22/42/1120882242.db2.gz UKFDPHJXSBLJOZ-LQDVMPOASA-N 1 2 289.423 3.880 20 0 CHADLO CC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1noc(C2CCCCC2)n1 ZINC000662130697 1120882245 /nfs/dbraw/zinc/88/22/45/1120882245.db2.gz UKFDPHJXSBLJOZ-LQDVMPOASA-N 1 2 289.423 3.880 20 0 CHADLO c1cn(Cc2nc(C3CC3)c(-c3ccccc3)s2)c[nH+]1 ZINC000663219299 1120892840 /nfs/dbraw/zinc/89/28/40/1120892840.db2.gz HJTSCHRUWPSBQE-UHFFFAOYSA-N 1 2 281.384 3.932 20 0 CHADLO Cc1cc(C)c(/C=C/C[NH2+][C@H](C)c2csnn2)cc1C ZINC000631158886 1120898174 /nfs/dbraw/zinc/89/81/74/1120898174.db2.gz JDGULBMLFHFWRR-VBROQKIQSA-N 1 2 287.432 3.827 20 0 CHADLO Cc1nnc(C[N@@H+](C)Cc2c(C)ccc3ccccc32)s1 ZINC000662261095 1120912694 /nfs/dbraw/zinc/91/26/94/1120912694.db2.gz TXZPLPKHPOCTFA-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO Cc1nnc(C[N@H+](C)Cc2c(C)ccc3ccccc32)s1 ZINC000662261095 1120912699 /nfs/dbraw/zinc/91/26/99/1120912699.db2.gz TXZPLPKHPOCTFA-UHFFFAOYSA-N 1 2 297.427 3.940 20 0 CHADLO C[N@@H+](Cc1csc(-c2ccoc2)n1)CC1=CCSC1 ZINC000662268629 1120914317 /nfs/dbraw/zinc/91/43/17/1120914317.db2.gz FQCMOJZTHSRUSJ-UHFFFAOYSA-N 1 2 292.429 3.508 20 0 CHADLO C[N@H+](Cc1csc(-c2ccoc2)n1)CC1=CCSC1 ZINC000662268629 1120914319 /nfs/dbraw/zinc/91/43/19/1120914319.db2.gz FQCMOJZTHSRUSJ-UHFFFAOYSA-N 1 2 292.429 3.508 20 0 CHADLO Cc1ccc(-c2ccc(C[N@@H+]3CCO[C@H]4C[C@H]43)s2)cc1 ZINC000644836301 1120951051 /nfs/dbraw/zinc/95/10/51/1120951051.db2.gz BYWJHXMNRFGXBA-CVEARBPZSA-N 1 2 285.412 3.697 20 0 CHADLO Cc1ccc(-c2ccc(C[N@H+]3CCO[C@H]4C[C@H]43)s2)cc1 ZINC000644836301 1120951054 /nfs/dbraw/zinc/95/10/54/1120951054.db2.gz BYWJHXMNRFGXBA-CVEARBPZSA-N 1 2 285.412 3.697 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2ccc(C(C)(C)C)cc2)n1 ZINC000630344023 1128952768 /nfs/dbraw/zinc/95/27/68/1128952768.db2.gz LLWVCBBEKXWVEW-GFCCVEGCSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)n1 ZINC000630344023 1128952770 /nfs/dbraw/zinc/95/27/70/1128952770.db2.gz LLWVCBBEKXWVEW-GFCCVEGCSA-N 1 2 287.407 3.869 20 0 CHADLO c1csc(-c2nc(C[NH+]3CC(C4CC4)C3)cs2)c1 ZINC000643345885 1120969941 /nfs/dbraw/zinc/96/99/41/1120969941.db2.gz SBHFWLPHRXWOJZ-UHFFFAOYSA-N 1 2 276.430 3.713 20 0 CHADLO COCC(C)(C)CNc1[nH+]c2ccccc2cc1C ZINC000312021057 1120984160 /nfs/dbraw/zinc/98/41/60/1120984160.db2.gz VDWBMLNQLQKWLA-UHFFFAOYSA-N 1 2 258.365 3.628 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cncc(C(F)(F)F)c1 ZINC001174739767 1121018057 /nfs/dbraw/zinc/01/80/57/1121018057.db2.gz CZRSPYBJICQPFT-UHFFFAOYSA-N 1 2 292.264 3.731 20 0 CHADLO Cc1cc(C)c(Nc2cncc(C(F)(F)F)c2)c[nH+]1 ZINC001174739301 1121018152 /nfs/dbraw/zinc/01/81/52/1121018152.db2.gz FJBJHEBXCNDTPJ-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO COc1ccc(C(F)(F)F)cc1Nc1[nH+]cc(C)cc1N ZINC001174775070 1121026543 /nfs/dbraw/zinc/02/65/43/1121026543.db2.gz GWAJXJVQLCODSC-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@@H](CF)c2ccc(F)cc2)s1 ZINC000631282822 1121037305 /nfs/dbraw/zinc/03/73/05/1121037305.db2.gz DNEJOFPQVBVGQA-ZDUSSCGKSA-N 1 2 282.359 3.699 20 0 CHADLO Cc1cncc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001174755083 1121039247 /nfs/dbraw/zinc/03/92/47/1121039247.db2.gz SZDOGLVUQZQGDR-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(C(C)(C)C)on2)C[C@H](C)C1(F)F ZINC000625652032 1121047506 /nfs/dbraw/zinc/04/75/06/1121047506.db2.gz GDUYQBXEYHHGRP-PHIMTYICSA-N 1 2 286.366 3.695 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(C(C)(C)C)on2)C[C@H](C)C1(F)F ZINC000625652032 1121047514 /nfs/dbraw/zinc/04/75/14/1121047514.db2.gz GDUYQBXEYHHGRP-PHIMTYICSA-N 1 2 286.366 3.695 20 0 CHADLO CC1(C)CCC[C@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000343999067 1121049775 /nfs/dbraw/zinc/04/97/75/1121049775.db2.gz WOQFURRLVBLWGX-HNNXBMFYSA-N 1 2 297.402 3.571 20 0 CHADLO Cc1nn(C[N@H+](C)CC2CCCCC2)c(=S)n1C1CC1 ZINC000074068001 1121053413 /nfs/dbraw/zinc/05/34/13/1121053413.db2.gz IVHVKAZDBYGKIM-UHFFFAOYSA-N 1 2 294.468 3.527 20 0 CHADLO Cc1nn(C[N@@H+](C)CC2CCCCC2)c(=S)n1C1CC1 ZINC000074068001 1121053421 /nfs/dbraw/zinc/05/34/21/1121053421.db2.gz IVHVKAZDBYGKIM-UHFFFAOYSA-N 1 2 294.468 3.527 20 0 CHADLO C[NH+](C)c1ccc(-n2ccc3cc(Cl)cc(N)c32)cc1 ZINC001174883911 1121059607 /nfs/dbraw/zinc/05/96/07/1121059607.db2.gz KJJWNSUWRRNHQE-UHFFFAOYSA-N 1 2 285.778 3.932 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1ccc([NH+](C)C)cc1 ZINC001174878162 1121078137 /nfs/dbraw/zinc/07/81/37/1121078137.db2.gz DKUCEYFCUWPCLF-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO Cc1oc2ccccc2c1C[N@@H+]1CCC[C@H]1C(F)F ZINC000348829886 1121085668 /nfs/dbraw/zinc/08/56/68/1121085668.db2.gz BDCXNQNYAOWMSC-ZDUSSCGKSA-N 1 2 265.303 3.971 20 0 CHADLO Cc1oc2ccccc2c1C[N@H+]1CCC[C@H]1C(F)F ZINC000348829886 1121085676 /nfs/dbraw/zinc/08/56/76/1121085676.db2.gz BDCXNQNYAOWMSC-ZDUSSCGKSA-N 1 2 265.303 3.971 20 0 CHADLO CC(C)OC(=O)c1cccc(Nc2cccn3cc[nH+]c23)c1 ZINC001174914275 1121090575 /nfs/dbraw/zinc/09/05/75/1121090575.db2.gz UTUMJVRGFRKTHP-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO Cc1ccc(C[NH2+]C2(C(F)F)CCCC2)cc1C ZINC000674135171 1121093762 /nfs/dbraw/zinc/09/37/62/1121093762.db2.gz BHDDWGLHDUTFHA-UHFFFAOYSA-N 1 2 253.336 3.971 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(C)c(N)c2)cc1 ZINC001174938646 1121104451 /nfs/dbraw/zinc/10/44/51/1121104451.db2.gz SEAYQFKVGGGXJZ-UHFFFAOYSA-N 1 2 255.365 3.777 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(C)c(N)c2)cc1 ZINC001174938646 1121104454 /nfs/dbraw/zinc/10/44/54/1121104454.db2.gz SEAYQFKVGGGXJZ-UHFFFAOYSA-N 1 2 255.365 3.777 20 0 CHADLO Cc1ccc(Nc2ccc3occc(=O)c3c2)c(C)[nH+]1 ZINC001174939725 1121106158 /nfs/dbraw/zinc/10/61/58/1121106158.db2.gz SIKYRRTZUDZWNR-UHFFFAOYSA-N 1 2 266.300 3.548 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3occc(=O)c3c2)cc1 ZINC001174945766 1121106899 /nfs/dbraw/zinc/10/68/99/1121106899.db2.gz IAIRVHJXCBLSGN-UHFFFAOYSA-N 1 2 294.354 3.993 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3occc(=O)c3c2)cc1 ZINC001174945766 1121106903 /nfs/dbraw/zinc/10/69/03/1121106903.db2.gz IAIRVHJXCBLSGN-UHFFFAOYSA-N 1 2 294.354 3.993 20 0 CHADLO CC(C)[C@H](C)N(C(=O)c1cccc(-n2cc[nH+]c2)c1)C1CC1 ZINC000344183437 1121113132 /nfs/dbraw/zinc/11/31/32/1121113132.db2.gz AMBWRYKCHJLUGI-AWEZNQCLSA-N 1 2 297.402 3.521 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000344204745 1121121017 /nfs/dbraw/zinc/12/10/17/1121121017.db2.gz DVWSVYNHICBHTC-PBHICJAKSA-N 1 2 297.402 3.571 20 0 CHADLO COc1cccc(F)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001174991604 1121121472 /nfs/dbraw/zinc/12/14/72/1121121472.db2.gz AQSDPWXDAJYRJI-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO COc1cccc(F)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001174994747 1121123149 /nfs/dbraw/zinc/12/31/49/1121123149.db2.gz YIQQOMAZNHRHCS-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO Cc1cc(N(C)[C@@H](C)c2cccc(O)c2)nc(C2CC2)[nH+]1 ZINC000663677831 1121127661 /nfs/dbraw/zinc/12/76/61/1121127661.db2.gz DWJKHSMGXYCSOP-LBPRGKRZSA-N 1 2 283.375 3.565 20 0 CHADLO COc1ccc(C[N@H+](CC(F)F)[C@@H](C)C2CC2)cc1 ZINC000171990963 1121135634 /nfs/dbraw/zinc/13/56/34/1121135634.db2.gz WTUQNRNDKXEKPL-NSHDSACASA-N 1 2 269.335 3.561 20 0 CHADLO COc1ccc(C[N@@H+](CC(F)F)[C@@H](C)C2CC2)cc1 ZINC000171990963 1121135636 /nfs/dbraw/zinc/13/56/36/1121135636.db2.gz WTUQNRNDKXEKPL-NSHDSACASA-N 1 2 269.335 3.561 20 0 CHADLO CC[C@@H](OCC[N@@H+]1Cc2ccc(O)cc2C1)c1ccccc1 ZINC000625728555 1121146037 /nfs/dbraw/zinc/14/60/37/1121146037.db2.gz YXEXTOTXYCVVMT-LJQANCHMSA-N 1 2 297.398 3.876 20 0 CHADLO CC[C@@H](OCC[N@H+]1Cc2ccc(O)cc2C1)c1ccccc1 ZINC000625728555 1121146041 /nfs/dbraw/zinc/14/60/41/1121146041.db2.gz YXEXTOTXYCVVMT-LJQANCHMSA-N 1 2 297.398 3.876 20 0 CHADLO CC(C)CCc1nc(C[N@@H+]2CCSC[C@H]2C)cs1 ZINC000172436549 1121149738 /nfs/dbraw/zinc/14/97/38/1121149738.db2.gz DCAWKYSUIFZSSC-GFCCVEGCSA-N 1 2 284.494 3.669 20 0 CHADLO CC(C)CCc1nc(C[N@H+]2CCSC[C@H]2C)cs1 ZINC000172436549 1121149741 /nfs/dbraw/zinc/14/97/41/1121149741.db2.gz DCAWKYSUIFZSSC-GFCCVEGCSA-N 1 2 284.494 3.669 20 0 CHADLO CCOc1cccc(Nc2c[nH+]ccc2OCC)c1 ZINC001175091610 1121181058 /nfs/dbraw/zinc/18/10/58/1121181058.db2.gz CCARFTJWEPVLFN-UHFFFAOYSA-N 1 2 258.321 3.623 20 0 CHADLO CCOC(=O)Cc1cccc(Nc2c[nH+]c(C)cc2C)c1 ZINC001212523960 1121190034 /nfs/dbraw/zinc/19/00/34/1121190034.db2.gz ASHUHMNDYPRNTD-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@H+](C/C=C\Cl)CC2 ZINC001175140772 1121199097 /nfs/dbraw/zinc/19/90/97/1121199097.db2.gz QNERQSHWKKDBJQ-KXFIGUGUSA-N 1 2 275.701 3.816 20 0 CHADLO Cn1c[nH+]c2cc(Nc3c(F)cc(F)cc3F)ccc21 ZINC001175145365 1121201392 /nfs/dbraw/zinc/20/13/92/1121201392.db2.gz SILPPWODPCKLTK-UHFFFAOYSA-N 1 2 277.249 3.734 20 0 CHADLO Cc1cc(O)cc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001206241448 1121203792 /nfs/dbraw/zinc/20/37/92/1121203792.db2.gz UWZKMMFRXBATOL-UHFFFAOYSA-N 1 2 264.328 3.612 20 0 CHADLO CN(C)c1ccc(Nc2ccc(Cl)c(F)c2)c[nH+]1 ZINC001175204171 1121206791 /nfs/dbraw/zinc/20/67/91/1121206791.db2.gz BDMCLYTWWOMTDV-UHFFFAOYSA-N 1 2 265.719 3.684 20 0 CHADLO CC[C@H]1C[C@@]1([NH2+]Cc1nnc(C)s1)c1cccc(C)c1 ZINC000337388524 1121206803 /nfs/dbraw/zinc/20/68/03/1121206803.db2.gz GPCOSGCNSHMIRM-BBRMVZONSA-N 1 2 287.432 3.570 20 0 CHADLO CCCCC[NH2+][C@H](Cc1ccccc1Cl)C(=O)OCC ZINC001175173447 1121216068 /nfs/dbraw/zinc/21/60/68/1121216068.db2.gz INVUXOSRSDRXSR-OAHLLOKOSA-N 1 2 297.826 3.594 20 0 CHADLO Clc1ccc(C[NH2+][C@H]2COc3c2cccc3Cl)o1 ZINC000675103466 1121217405 /nfs/dbraw/zinc/21/74/05/1121217405.db2.gz UBMLLFTZPCGIOL-NSHDSACASA-N 1 2 284.142 3.810 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000675125073 1121220680 /nfs/dbraw/zinc/22/06/80/1121220680.db2.gz UNOWDIDVAWFXTD-INIZCTEOSA-N 1 2 274.339 3.632 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000675125073 1121220684 /nfs/dbraw/zinc/22/06/84/1121220684.db2.gz UNOWDIDVAWFXTD-INIZCTEOSA-N 1 2 274.339 3.632 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N2CCCC2)ccc1F ZINC001175252767 1121222674 /nfs/dbraw/zinc/22/26/74/1121222674.db2.gz KIXRFELEJQNSDB-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO CCOc1cccc(F)c1Nc1cccc2[nH+]ccn21 ZINC001175222459 1121229836 /nfs/dbraw/zinc/22/98/36/1121229836.db2.gz YVMRJLYKIWWBJB-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](C)c2ccc3ccccc3c2)n1 ZINC000348965553 1121232997 /nfs/dbraw/zinc/23/29/97/1121232997.db2.gz MWNQLUKMQXSVLP-VXGBXAGGSA-N 1 2 281.359 3.943 20 0 CHADLO CSc1cc(Nc2ccc(C(C)=O)c(F)c2)cc[nH+]1 ZINC001175232634 1121233981 /nfs/dbraw/zinc/23/39/81/1121233981.db2.gz OQVLGUPCADAHTE-UHFFFAOYSA-N 1 2 276.336 3.889 20 0 CHADLO CC(=O)c1ccc(Nc2cccc3[nH+]c(C)cn32)cc1F ZINC001175231441 1121234578 /nfs/dbraw/zinc/23/45/78/1121234578.db2.gz MIYSHTSUGZYSSM-UHFFFAOYSA-N 1 2 283.306 3.728 20 0 CHADLO Cc1cc(C)c(NC(=O)C[C@@H](C)c2ccncc2)c(C)[nH+]1 ZINC000675212644 1121236181 /nfs/dbraw/zinc/23/61/81/1121236181.db2.gz LGGOZEKGNMLHKY-LLVKDONJSA-N 1 2 283.375 3.534 20 0 CHADLO COC1(CNc2ccc([NH+](C)C)cc2)CCCCC1 ZINC000319240171 1121239031 /nfs/dbraw/zinc/23/90/31/1121239031.db2.gz WPYQCNDEAOOTGY-UHFFFAOYSA-N 1 2 262.397 3.514 20 0 CHADLO COCC[N@H+](Cc1cccs1)Cc1cc(F)ccc1F ZINC000051283117 1121239483 /nfs/dbraw/zinc/23/94/83/1121239483.db2.gz PHIKRMSJVJWTEN-UHFFFAOYSA-N 1 2 297.370 3.675 20 0 CHADLO COCC[N@@H+](Cc1cccs1)Cc1cc(F)ccc1F ZINC000051283117 1121239488 /nfs/dbraw/zinc/23/94/88/1121239488.db2.gz PHIKRMSJVJWTEN-UHFFFAOYSA-N 1 2 297.370 3.675 20 0 CHADLO CCOc1ccc(Nc2cccn3cc[nH+]c23)c(C)c1 ZINC001175243460 1121243210 /nfs/dbraw/zinc/24/32/10/1121243210.db2.gz TYNNLXPPHDGVPN-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO CCOc1ccc(Nc2[nH+]c(C)ccc2O)c(C)c1 ZINC001175243398 1121243315 /nfs/dbraw/zinc/24/33/15/1121243315.db2.gz QQJXFCGPZAECKV-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO COc1ccc2oc(C)c(C(=O)Nc3cc[nH+]c(C)c3)c2c1 ZINC000078892338 1128972309 /nfs/dbraw/zinc/97/23/09/1128972309.db2.gz PSFYWVJCYPNAJW-UHFFFAOYSA-N 1 2 296.326 3.706 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccccn1 ZINC000094965447 1121247482 /nfs/dbraw/zinc/24/74/82/1121247482.db2.gz DHVLUWUECKQWCR-NSHDSACASA-N 1 2 275.421 3.686 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+]C1(c2ccc(F)cc2)CC1 ZINC000925845308 1121260317 /nfs/dbraw/zinc/26/03/17/1121260317.db2.gz GCZHALZPTCKPCK-CQSZACIVSA-N 1 2 286.350 3.778 20 0 CHADLO CN(C)c1ccc(Nc2ccsc2Br)c[nH+]1 ZINC001175341735 1121272969 /nfs/dbraw/zinc/27/29/69/1121272969.db2.gz JGIYRYMQBNVQTK-UHFFFAOYSA-N 1 2 298.209 3.715 20 0 CHADLO CN(C)c1ccc(Nc2cccnc2-c2ccccc2)c[nH+]1 ZINC001175341442 1121273091 /nfs/dbraw/zinc/27/30/91/1121273091.db2.gz RMBWNJRRHWXVNY-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CN(C)c1ccc(Nc2ccc3c(c2)CCCCC3=O)c[nH+]1 ZINC001175345105 1121274477 /nfs/dbraw/zinc/27/44/77/1121274477.db2.gz KWBKRYQYCLIGOE-UHFFFAOYSA-N 1 2 295.386 3.800 20 0 CHADLO CN(C)c1ccc(Nc2ccc(CC(F)(F)F)cc2)c[nH+]1 ZINC001175344160 1121274793 /nfs/dbraw/zinc/27/47/93/1121274793.db2.gz AAXQCVDRNGWANO-UHFFFAOYSA-N 1 2 295.308 3.996 20 0 CHADLO Cc1cc(Cl)nc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175348163 1121275714 /nfs/dbraw/zinc/27/57/14/1121275714.db2.gz APNKYJHOHPLGHB-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO COC[C@H]1CCC[N@@H+]1Cc1cc(Cl)cc(Cl)c1F ZINC001175325225 1121282957 /nfs/dbraw/zinc/28/29/57/1121282957.db2.gz ZMCVCQYNRHUHET-LLVKDONJSA-N 1 2 292.181 3.743 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1ccccc1N(C)C ZINC000182489226 1128975226 /nfs/dbraw/zinc/97/52/26/1128975226.db2.gz VKPUJRYDMVYQSP-AWEZNQCLSA-N 1 2 283.419 3.992 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@@H+](CC1=CCCOC1)C2 ZINC000093818887 1128975322 /nfs/dbraw/zinc/97/53/22/1128975322.db2.gz COHRHNXQXIQKPT-UHFFFAOYSA-N 1 2 298.213 3.698 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@H+](CC1=CCCOC1)C2 ZINC000093818887 1128975323 /nfs/dbraw/zinc/97/53/23/1128975323.db2.gz COHRHNXQXIQKPT-UHFFFAOYSA-N 1 2 298.213 3.698 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(C2CC2)cc1F ZINC001213459616 1121287566 /nfs/dbraw/zinc/28/75/66/1121287566.db2.gz FYBTXZPRDIZYSF-UHFFFAOYSA-N 1 2 281.334 3.933 20 0 CHADLO COc1ncc(C)cc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001175335977 1121287881 /nfs/dbraw/zinc/28/78/81/1121287881.db2.gz DCQJYOUCXHVMRS-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO Cc1c(Cl)nccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175349125 1121288075 /nfs/dbraw/zinc/28/80/75/1121288075.db2.gz LIHPPCJTBZLTMA-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO Cc1nc2ccc(Nc3ccc(N4CCCC4)[nH+]c3)cc2o1 ZINC001175350945 1121292252 /nfs/dbraw/zinc/29/22/52/1121292252.db2.gz FXHGXMPCRGMWRM-UHFFFAOYSA-N 1 2 294.358 3.875 20 0 CHADLO COc1cc(C)cc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175353046 1121293108 /nfs/dbraw/zinc/29/31/08/1121293108.db2.gz XTJOAPIKHXZZSI-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO COc1ccc(C)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175351927 1121294456 /nfs/dbraw/zinc/29/44/56/1121294456.db2.gz XQYGUWUXWVXYDS-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO c1cnc2ccc(Nc3[nH+]cccc3N3CCCC3)cc2c1 ZINC001175376606 1121305144 /nfs/dbraw/zinc/30/51/44/1121305144.db2.gz VJMSVRRWCJVMGT-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO Clc1ccc(C2=CC[N@H+](Cc3cocn3)CC2)cc1 ZINC000432741970 1121306325 /nfs/dbraw/zinc/30/63/25/1121306325.db2.gz KTGPDBSHHXFXJO-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO Clc1ccc(C2=CC[N@@H+](Cc3cocn3)CC2)cc1 ZINC000432741970 1121306333 /nfs/dbraw/zinc/30/63/33/1121306333.db2.gz KTGPDBSHHXFXJO-UHFFFAOYSA-N 1 2 274.751 3.617 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1nc2ccc(F)cc2s1 ZINC000432746556 1121313069 /nfs/dbraw/zinc/31/30/69/1121313069.db2.gz ISLDGHQKQZCQCQ-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1nc2ccc(F)cc2s1 ZINC000432746556 1121313079 /nfs/dbraw/zinc/31/30/79/1121313079.db2.gz ISLDGHQKQZCQCQ-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1nccn1C)c1cc(F)ccc1F ZINC000658339661 1121316227 /nfs/dbraw/zinc/31/62/27/1121316227.db2.gz UHUCMHWVRFRZTF-NHYWBVRUSA-N 1 2 293.361 3.746 20 0 CHADLO Fc1cc(C[NH2+]Cc2nccs2)cc(C(F)(F)F)c1 ZINC000125095702 1121340565 /nfs/dbraw/zinc/34/05/65/1121340565.db2.gz NEUSVJVMTCNTOR-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO CC(C)(C)c1c[nH]c(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)c1 ZINC001249477326 1121356678 /nfs/dbraw/zinc/35/66/78/1121356678.db2.gz ZGCUFOUFRXTXRX-UKRRQHHQSA-N 1 2 270.367 3.584 20 0 CHADLO CC(C)(C)c1c[nH]c(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)c1 ZINC001249477326 1121356684 /nfs/dbraw/zinc/35/66/84/1121356684.db2.gz ZGCUFOUFRXTXRX-UKRRQHHQSA-N 1 2 270.367 3.584 20 0 CHADLO Cc1cc(F)cc(NC2=CCC[N@@H+](C)C2)c1Br ZINC001175582702 1121372297 /nfs/dbraw/zinc/37/22/97/1121372297.db2.gz KKSMYVUVDIQOEZ-UHFFFAOYSA-N 1 2 299.187 3.528 20 0 CHADLO Cc1cc(F)cc(NC2=CCC[N@H+](C)C2)c1Br ZINC001175582702 1121372302 /nfs/dbraw/zinc/37/23/02/1121372302.db2.gz KKSMYVUVDIQOEZ-UHFFFAOYSA-N 1 2 299.187 3.528 20 0 CHADLO Cc1cc(F)c(Br)c(NC2=CCC[N@@H+](C)C2)c1 ZINC001175582578 1121372633 /nfs/dbraw/zinc/37/26/33/1121372633.db2.gz RZFABALZFZVTNO-UHFFFAOYSA-N 1 2 299.187 3.528 20 0 CHADLO Cc1cc(F)c(Br)c(NC2=CCC[N@H+](C)C2)c1 ZINC001175582578 1121372642 /nfs/dbraw/zinc/37/26/42/1121372642.db2.gz RZFABALZFZVTNO-UHFFFAOYSA-N 1 2 299.187 3.528 20 0 CHADLO Cn1cc2c(cccc2Nc2ccc(-n3cc[nH+]c3)cc2)n1 ZINC001175591071 1121374531 /nfs/dbraw/zinc/37/45/31/1121374531.db2.gz UNYNRIRBPZZKGU-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1c[nH+]c(NC=Cc2ccc(Cl)cc2)c(N)c1 ZINC001175593670 1121376019 /nfs/dbraw/zinc/37/60/19/1121376019.db2.gz HTOKPRMJVJFSQS-VOTSOKGWSA-N 1 2 259.740 3.708 20 0 CHADLO Cc1ccc(O)c(NC=Cc2ccc(Cl)cc2)[nH+]1 ZINC001175593534 1121376543 /nfs/dbraw/zinc/37/65/43/1121376543.db2.gz GNJVDKYFVNMDJA-CMDGGOBGSA-N 1 2 260.724 3.832 20 0 CHADLO CCCOc1cccc(Nc2[nH+]cc(O)cc2C)c1 ZINC001175598824 1121379420 /nfs/dbraw/zinc/37/94/20/1121379420.db2.gz RSINZJQDAIWRRD-UHFFFAOYSA-N 1 2 258.321 3.628 20 0 CHADLO Clc1ccc2c(c1Cl)CC[C@@H]2[NH2+]Cc1ccon1 ZINC000675927569 1121384911 /nfs/dbraw/zinc/38/49/11/1121384911.db2.gz JZKSDGSHHXHIQM-LBPRGKRZSA-N 1 2 283.158 3.759 20 0 CHADLO CC(C)Sc1ccc(N(C)c2[nH+]cccc2N)cc1 ZINC001175623895 1121400138 /nfs/dbraw/zinc/40/01/38/1121400138.db2.gz PRCQRSBFZPOLDQ-UHFFFAOYSA-N 1 2 273.405 3.932 20 0 CHADLO CC(C)CCc1nc(C[N@H+](C)CCOC(C)(C)C)cs1 ZINC000676043055 1121401427 /nfs/dbraw/zinc/40/14/27/1121401427.db2.gz JPXMKRASNQSMCG-UHFFFAOYSA-N 1 2 298.496 3.979 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc2c[nH]nc21 ZINC001175635852 1121402948 /nfs/dbraw/zinc/40/29/48/1121402948.db2.gz LEIAOCYBVBGUPM-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1cn2cc(NC(=O)c3sccc3Cl)ccc2[nH+]1 ZINC000351836302 1121409161 /nfs/dbraw/zinc/40/91/61/1121409161.db2.gz JIQRIXSNHUZLSK-UHFFFAOYSA-N 1 2 291.763 3.610 20 0 CHADLO CSc1ccc(Nc2cc[nH+]c(SC)c2)cn1 ZINC001175652863 1121409669 /nfs/dbraw/zinc/40/96/69/1121409669.db2.gz DEMZVALNBGCORJ-UHFFFAOYSA-N 1 2 263.391 3.664 20 0 CHADLO Cc1scc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)c1C ZINC000631081678 1128983653 /nfs/dbraw/zinc/98/36/53/1128983653.db2.gz UUVGRVRPJJKPSO-JTQLQIEISA-N 1 2 293.436 3.896 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2nn(C)cc2c1 ZINC001175619779 1121413262 /nfs/dbraw/zinc/41/32/62/1121413262.db2.gz LCGKGLLMUGGAND-UHFFFAOYSA-N 1 2 266.348 3.583 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@H]1CCCCO1 ZINC000705983371 1121416518 /nfs/dbraw/zinc/41/65/18/1121416518.db2.gz HETLIQDQMGAQNN-RHSMWYFYSA-N 1 2 274.408 3.656 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H]1c2cccc(F)c2CC[C@H]1C ZINC000245960579 1121421148 /nfs/dbraw/zinc/42/11/48/1121421148.db2.gz MOKBIAUAFDKNCC-RLFDGXBXSA-N 1 2 287.382 3.831 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H]1c2cccc(F)c2CC[C@H]1C ZINC000245960584 1121421836 /nfs/dbraw/zinc/42/18/36/1121421836.db2.gz MOKBIAUAFDKNCC-VGTOOOLASA-N 1 2 287.382 3.831 20 0 CHADLO COc1c(F)cccc1C[N@@H+]1CCc2c(F)cccc2C1 ZINC001143537529 1121425733 /nfs/dbraw/zinc/42/57/33/1121425733.db2.gz ZYIVGGKZILHIFQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1c(F)cccc1C[N@H+]1CCc2c(F)cccc2C1 ZINC001143537529 1121425738 /nfs/dbraw/zinc/42/57/38/1121425738.db2.gz ZYIVGGKZILHIFQ-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1n[nH]c2cc(Nc3ccc(-n4cc[nH+]c4)cc3)ccc12 ZINC001175661764 1121426492 /nfs/dbraw/zinc/42/64/92/1121426492.db2.gz QNGFGMOXXLHCBT-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3[nH]nc(C)c3c2)[nH+]1 ZINC001175692622 1121434928 /nfs/dbraw/zinc/43/49/28/1121434928.db2.gz ATVNNGVNEBVPJW-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO CCC(=CC(=O)Nc1ccc2c(cc[nH+]c2N(C)C)c1)CC ZINC001129230345 1121444798 /nfs/dbraw/zinc/44/47/98/1121444798.db2.gz MFBDAIOXUDWEIH-UHFFFAOYSA-N 1 2 297.402 3.986 20 0 CHADLO CCc1cc(N2CC(C)(C)[C@@H]2c2cccnc2)nc(CC)[nH+]1 ZINC000893947312 1121445439 /nfs/dbraw/zinc/44/54/39/1121445439.db2.gz HCKBQLVFLUKQLJ-KRWDZBQOSA-N 1 2 296.418 3.584 20 0 CHADLO c1nn(C2CCC2)cc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001175756327 1121453276 /nfs/dbraw/zinc/45/32/76/1121453276.db2.gz SGTNRZARPOTAFV-UHFFFAOYSA-N 1 2 297.406 3.737 20 0 CHADLO Cc1cscc1Nc1ccccc1-n1cc[nH+]c1 ZINC001175765231 1121458168 /nfs/dbraw/zinc/45/81/68/1121458168.db2.gz KFYPDZNEWUSXSS-UHFFFAOYSA-N 1 2 255.346 3.986 20 0 CHADLO Cc1conc1C[NH2+][C@@H](C)c1ccccc1C(F)(F)F ZINC000708223367 1121462506 /nfs/dbraw/zinc/46/25/06/1121462506.db2.gz YQVDGDRNUFVSCH-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OCCOC)cc1 ZINC001175785712 1121465487 /nfs/dbraw/zinc/46/54/87/1121465487.db2.gz YUDKKDVISXEZLU-UHFFFAOYSA-N 1 2 286.375 3.721 20 0 CHADLO COCCOc1ccc(Nc2cccc(C(C)C)[nH+]2)cc1 ZINC001175786637 1121465396 /nfs/dbraw/zinc/46/53/96/1121465396.db2.gz XJSYIYHMUUMDMI-UHFFFAOYSA-N 1 2 286.375 3.974 20 0 CHADLO Cc1cc(C)c(Nc2cnn(-c3ccccc3)c2)c[nH+]1 ZINC001175792052 1121465407 /nfs/dbraw/zinc/46/54/07/1121465407.db2.gz DBFXQQWQSLCYQJ-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2ccc(C)cc2F)[nH]1 ZINC000631119843 1128986496 /nfs/dbraw/zinc/98/64/96/1128986496.db2.gz DNTZWYZTCYSRGN-VXGBXAGGSA-N 1 2 290.386 3.617 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@H](C)c2nc(C3CC3)no2)c1C ZINC000428736738 1121473703 /nfs/dbraw/zinc/47/37/03/1121473703.db2.gz UVMGWOLSGKCPRN-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@H](C)c2nc(C3CC3)no2)c1C ZINC000428736738 1121473708 /nfs/dbraw/zinc/47/37/08/1121473708.db2.gz UVMGWOLSGKCPRN-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO CO[C@@H]1C[N@H+](Cc2csc(Cl)c2Cl)CC[C@@H]1C ZINC000432917559 1121481273 /nfs/dbraw/zinc/48/12/73/1121481273.db2.gz LMRNIGGORKTJEO-WCBMZHEXSA-N 1 2 294.247 3.912 20 0 CHADLO CO[C@@H]1C[N@@H+](Cc2csc(Cl)c2Cl)CC[C@@H]1C ZINC000432917559 1121481278 /nfs/dbraw/zinc/48/12/78/1121481278.db2.gz LMRNIGGORKTJEO-WCBMZHEXSA-N 1 2 294.247 3.912 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[N@@H+]1CCCC(F)(F)CC1 ZINC000625767347 1121489335 /nfs/dbraw/zinc/48/93/35/1121489335.db2.gz JZYJJTHMVCBPGY-SNVBAGLBSA-N 1 2 287.354 3.549 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[N@H+]1CCCC(F)(F)CC1 ZINC000625767347 1121489339 /nfs/dbraw/zinc/48/93/39/1121489339.db2.gz JZYJJTHMVCBPGY-SNVBAGLBSA-N 1 2 287.354 3.549 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cn3c(cccc3C)n2)C2CC2)o1 ZINC000051967690 1121489630 /nfs/dbraw/zinc/48/96/30/1121489630.db2.gz MMSGMKOULXGYFG-UHFFFAOYSA-N 1 2 295.386 3.709 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cn3c(cccc3C)n2)C2CC2)o1 ZINC000051967690 1121489634 /nfs/dbraw/zinc/48/96/34/1121489634.db2.gz MMSGMKOULXGYFG-UHFFFAOYSA-N 1 2 295.386 3.709 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(F)cc2)c(Cl)n1 ZINC000711175722 1121492296 /nfs/dbraw/zinc/49/22/96/1121492296.db2.gz QUXXSHUKQOTOGK-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(F)cc2)c(Cl)n1 ZINC000711175722 1121492300 /nfs/dbraw/zinc/49/23/00/1121492300.db2.gz QUXXSHUKQOTOGK-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC000429273973 1121497508 /nfs/dbraw/zinc/49/75/08/1121497508.db2.gz OERJENFNELVFIR-NSHDSACASA-N 1 2 275.726 3.926 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC000429273973 1121497511 /nfs/dbraw/zinc/49/75/11/1121497511.db2.gz OERJENFNELVFIR-NSHDSACASA-N 1 2 275.726 3.926 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(C2(C(=O)OC)CC2)cc1 ZINC001175918369 1121498946 /nfs/dbraw/zinc/49/89/46/1121498946.db2.gz ZOPHIPPWUTYFEL-UHFFFAOYSA-N 1 2 296.370 3.592 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2C2CC2)cc(C)c1N ZINC001175933058 1121500670 /nfs/dbraw/zinc/50/06/70/1121500670.db2.gz SVICIBUDNALQKM-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO Cc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)cc(C)c1N ZINC001175933533 1121501655 /nfs/dbraw/zinc/50/16/55/1121501655.db2.gz GQCPEPIZVOYWJR-UHFFFAOYSA-N 1 2 286.766 3.930 20 0 CHADLO c1nn(C2CC2)cc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001175960306 1121503254 /nfs/dbraw/zinc/50/32/54/1121503254.db2.gz HHIDGPWFXALRHR-UHFFFAOYSA-N 1 2 282.391 3.952 20 0 CHADLO C[C@@H]([NH2+]Cc1nccs1)c1cc(Cl)cc2c1OCC2 ZINC000922986797 1121505116 /nfs/dbraw/zinc/50/51/16/1121505116.db2.gz LVKISZYRXABHCC-SECBINFHSA-N 1 2 294.807 3.582 20 0 CHADLO COc1cc(Nc2ccccc2OC(F)F)cc(C)[nH+]1 ZINC001176010717 1121524281 /nfs/dbraw/zinc/52/42/81/1121524281.db2.gz BTAMSAXZHQSMNZ-UHFFFAOYSA-N 1 2 280.274 3.744 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001176086539 1121534694 /nfs/dbraw/zinc/53/46/94/1121534694.db2.gz KMWIXOCJBSGWSS-CLPVEKAFSA-N 1 2 268.187 3.579 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1C[C@@H]2C[C@]2(c2ccccc2)C1 ZINC001176086539 1121534700 /nfs/dbraw/zinc/53/47/00/1121534700.db2.gz KMWIXOCJBSGWSS-CLPVEKAFSA-N 1 2 268.187 3.579 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c(F)c1F ZINC001176093626 1121538453 /nfs/dbraw/zinc/53/84/53/1121538453.db2.gz GMHFSQAHTFNKBB-UHFFFAOYSA-N 1 2 273.286 3.904 20 0 CHADLO CCc1cccc(Nc2cc3c(cccc3OC)[nH]2)[nH+]1 ZINC001176062091 1121544727 /nfs/dbraw/zinc/54/47/27/1121544727.db2.gz RFBSWBRKDRMXFL-UHFFFAOYSA-N 1 2 267.332 3.878 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(-c2ccc(F)cc2)no1 ZINC001176118878 1121556037 /nfs/dbraw/zinc/55/60/37/1121556037.db2.gz QFOPJZQBLILKKU-UHFFFAOYSA-N 1 2 285.278 3.628 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2ccccc2nc1C ZINC001176117971 1121556431 /nfs/dbraw/zinc/55/64/31/1121556431.db2.gz FKSCXBCQHMMWEN-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc(C(F)(F)F)cc1C ZINC001176116688 1121557105 /nfs/dbraw/zinc/55/71/05/1121557105.db2.gz JJMTZWKQXRANEC-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cl)cc1C(C)=O ZINC001176123945 1121558578 /nfs/dbraw/zinc/55/85/78/1121558578.db2.gz ZHYYNPRXWUPXQX-UHFFFAOYSA-N 1 2 276.723 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)cc2ncoc21 ZINC001176123645 1121558996 /nfs/dbraw/zinc/55/89/96/1121558996.db2.gz CSJCZRGOJJXFGK-UHFFFAOYSA-N 1 2 275.695 3.628 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(C2CC2)c1 ZINC001176124172 1121559106 /nfs/dbraw/zinc/55/91/06/1121559106.db2.gz ISTJTBQNTXZOLY-UHFFFAOYSA-N 1 2 258.296 3.850 20 0 CHADLO Oc1cccc(C[NH2+]Cc2ccc(Cl)o2)c1Cl ZINC000645143868 1121565685 /nfs/dbraw/zinc/56/56/85/1121565685.db2.gz NBKSPYHXLQUWHC-UHFFFAOYSA-N 1 2 272.131 3.582 20 0 CHADLO C[C@H]([NH2+]Cc1nccn1C1CC1)c1ccccc1Cl ZINC000711764978 1121574052 /nfs/dbraw/zinc/57/40/52/1121574052.db2.gz NSGAEIXCAUYNKN-NSHDSACASA-N 1 2 275.783 3.722 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc(C)c(OC)c1)C2 ZINC000626023887 1121583608 /nfs/dbraw/zinc/58/36/08/1121583608.db2.gz UPVVXLLINGFVFG-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc(C)c(OC)c1)C2 ZINC000626023887 1121583614 /nfs/dbraw/zinc/58/36/14/1121583614.db2.gz UPVVXLLINGFVFG-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO C[C@H](CC(=O)N(C)C[C@@H](C)C1CCCCC1)n1cc[nH+]c1 ZINC000628981388 1121586627 /nfs/dbraw/zinc/58/66/27/1121586627.db2.gz NUYKUGJMLRSSQK-HUUCEWRRSA-N 1 2 291.439 3.509 20 0 CHADLO CCCC(CCC)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000178757076 1121593308 /nfs/dbraw/zinc/59/33/08/1121593308.db2.gz NFWKNEXNOZFUPU-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO COc1ccc(Nc2c(C)cc[nH+]c2C(C)C)cc1O ZINC001176257597 1121594787 /nfs/dbraw/zinc/59/47/87/1121594787.db2.gz WALZTWROSTVCOK-UHFFFAOYSA-N 1 2 272.348 3.971 20 0 CHADLO Cc1cc(NC(=O)c2cc3cc(Cl)ccc3[nH]2)cc[nH+]1 ZINC000074043929 1121604498 /nfs/dbraw/zinc/60/44/98/1121604498.db2.gz PQSLSLGAMWGCRJ-UHFFFAOYSA-N 1 2 285.734 3.777 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@H+](Cc2cc(C(C)(C)C)on2)C1 ZINC000429697330 1121605334 /nfs/dbraw/zinc/60/53/34/1121605334.db2.gz KEHAPUWYGPZXON-OAHLLOKOSA-N 1 2 294.439 3.609 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@@H+](Cc2cc(C(C)(C)C)on2)C1 ZINC000429697330 1121605336 /nfs/dbraw/zinc/60/53/36/1121605336.db2.gz KEHAPUWYGPZXON-OAHLLOKOSA-N 1 2 294.439 3.609 20 0 CHADLO COc1cc(Cl)cc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001176347791 1121605331 /nfs/dbraw/zinc/60/53/31/1121605331.db2.gz SJXBXBGMLZUQPT-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO Cc1cc(OC(C)C)ccc1N(C)c1[nH+]cccc1N ZINC001211809976 1121606088 /nfs/dbraw/zinc/60/60/88/1121606088.db2.gz NMSJPLDDVQVEQN-UHFFFAOYSA-N 1 2 271.364 3.527 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(Oc3ccccc3)nc2)c(N)c1 ZINC001176374361 1121618724 /nfs/dbraw/zinc/61/87/24/1121618724.db2.gz VJQMJSBFCDEXLH-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)cc(N2CCCC2)c1 ZINC001176418784 1121629423 /nfs/dbraw/zinc/62/94/23/1121629423.db2.gz SLZOLQCKQORMPS-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc3c(c2)CCCC3)cs1 ZINC000430028506 1121629844 /nfs/dbraw/zinc/62/98/44/1121629844.db2.gz SWIAHWJKEXBPJG-UHFFFAOYSA-N 1 2 286.444 3.962 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc3c(c2)CCCC3)cs1 ZINC000430028506 1121629847 /nfs/dbraw/zinc/62/98/47/1121629847.db2.gz SWIAHWJKEXBPJG-UHFFFAOYSA-N 1 2 286.444 3.962 20 0 CHADLO Cc1cc([C@H]2CCCC[N@@H+]2Cc2ccc3c(c2)CCC3)n[nH]1 ZINC001176485956 1121637850 /nfs/dbraw/zinc/63/78/50/1121637850.db2.gz QPDISWOEMURJGD-LJQANCHMSA-N 1 2 295.430 3.934 20 0 CHADLO Cc1cc([C@H]2CCCC[N@H+]2Cc2ccc3c(c2)CCC3)n[nH]1 ZINC001176485956 1121637854 /nfs/dbraw/zinc/63/78/54/1121637854.db2.gz QPDISWOEMURJGD-LJQANCHMSA-N 1 2 295.430 3.934 20 0 CHADLO COc1cc[nH+]c(Nc2cc(C)c(Cl)cc2F)c1 ZINC001176521628 1121643052 /nfs/dbraw/zinc/64/30/52/1121643052.db2.gz CFUNCCJTCSLEDU-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO c1cc(NC2CCCCCC2)[nH+]cc1N1CCCC1 ZINC000513008304 1121662666 /nfs/dbraw/zinc/66/26/66/1121662666.db2.gz DNESJFCTMUFTNH-UHFFFAOYSA-N 1 2 259.397 3.817 20 0 CHADLO Clc1ccc2c(c1)[C@H]([NH2+]Cc1ccon1)CCS2 ZINC000148613328 1121676253 /nfs/dbraw/zinc/67/62/53/1121676253.db2.gz ZLAZYCUJORPJOO-GFCCVEGCSA-N 1 2 280.780 3.655 20 0 CHADLO Cc1nnc(C[N@@H+]2CCCC[C@H]2c2ccccc2)s1 ZINC000273886037 1121681315 /nfs/dbraw/zinc/68/13/15/1121681315.db2.gz UIYPLWXMNCKTAJ-AWEZNQCLSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1nnc(C[N@H+]2CCCC[C@H]2c2ccccc2)s1 ZINC000273886037 1121681320 /nfs/dbraw/zinc/68/13/20/1121681320.db2.gz UIYPLWXMNCKTAJ-AWEZNQCLSA-N 1 2 273.405 3.574 20 0 CHADLO Fc1cc(Cl)cnc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176744245 1121686926 /nfs/dbraw/zinc/68/69/26/1121686926.db2.gz RKUTXRYQPMACCL-UHFFFAOYSA-N 1 2 292.745 3.613 20 0 CHADLO Cc1cc(Cl)nc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001176750375 1121687623 /nfs/dbraw/zinc/68/76/23/1121687623.db2.gz QMQYBAPTSXBEEB-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2ccc(C3CC3)nc2)c1 ZINC001176750161 1121687803 /nfs/dbraw/zinc/68/78/03/1121687803.db2.gz RVYOUJCDVASNET-UHFFFAOYSA-N 1 2 280.375 3.698 20 0 CHADLO c1nc2c(cccc2Nc2ccc[nH+]c2N2CCCC2)o1 ZINC001176750765 1121688170 /nfs/dbraw/zinc/68/81/70/1121688170.db2.gz LPWHZGKMTYBDRJ-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO CC(C)C[C@H]1CCCCC[N@@H+]1Cc1nnsc1Cl ZINC000607156990 1121716760 /nfs/dbraw/zinc/71/67/60/1121716760.db2.gz QZFZZOUADMEQCG-LLVKDONJSA-N 1 2 287.860 3.982 20 0 CHADLO CC(C)C[C@H]1CCCCC[N@H+]1Cc1nnsc1Cl ZINC000607156990 1121716767 /nfs/dbraw/zinc/71/67/67/1121716767.db2.gz QZFZZOUADMEQCG-LLVKDONJSA-N 1 2 287.860 3.982 20 0 CHADLO CC(C)[N@H+](CC(=O)c1ccccc1)Cc1ccccc1F ZINC001203353453 1121719184 /nfs/dbraw/zinc/71/91/84/1121719184.db2.gz GXNLMANMVKXDII-UHFFFAOYSA-N 1 2 285.362 3.919 20 0 CHADLO CC(C)[N@@H+](CC(=O)c1ccccc1)Cc1ccccc1F ZINC001203353453 1121719187 /nfs/dbraw/zinc/71/91/87/1121719187.db2.gz GXNLMANMVKXDII-UHFFFAOYSA-N 1 2 285.362 3.919 20 0 CHADLO C[C@@H](Oc1cc[nH+]cc1)c1c(Cl)cncc1Cl ZINC001225290921 1121722323 /nfs/dbraw/zinc/72/23/23/1121722323.db2.gz LCUAVTDBJRBWTR-MRVPVSSYSA-N 1 2 269.131 3.923 20 0 CHADLO C[C@@H](CNCc1ccc(Cl)s1)[N@@H+]1CCCC[C@H]1C ZINC001177278161 1121723182 /nfs/dbraw/zinc/72/31/82/1121723182.db2.gz TWTOCHVJKMYNCJ-NEPJUHHUSA-N 1 2 286.872 3.754 20 0 CHADLO C[C@@H](CNCc1ccc(Cl)s1)[N@H+]1CCCC[C@H]1C ZINC001177278161 1121723186 /nfs/dbraw/zinc/72/31/86/1121723186.db2.gz TWTOCHVJKMYNCJ-NEPJUHHUSA-N 1 2 286.872 3.754 20 0 CHADLO CSc1cc(Nc2ccc(N)cc2Cl)cc[nH+]1 ZINC001249731806 1121725463 /nfs/dbraw/zinc/72/54/63/1121725463.db2.gz RLCONAPULGNJSX-UHFFFAOYSA-N 1 2 265.769 3.783 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)c2ccc(C)c(C)c2)c1C ZINC000727588175 1121725683 /nfs/dbraw/zinc/72/56/83/1121725683.db2.gz QAZFMBVWPVUOKE-UHFFFAOYSA-N 1 2 284.403 3.960 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@@H](c2ccccc2)c2cccnc2)o1 ZINC001177656305 1121744345 /nfs/dbraw/zinc/74/43/45/1121744345.db2.gz JCRNNOPGJHMIBL-SFHVURJKSA-N 1 2 293.370 3.566 20 0 CHADLO CC[C@@H](C)c1ccc(NC(=O)[C@@H](C)n2cc[nH+]c2)cc1 ZINC001177918139 1121756968 /nfs/dbraw/zinc/75/69/68/1121756968.db2.gz QKRXPYGSJYGLQB-CHWSQXEVSA-N 1 2 271.364 3.596 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@H+](Cc2noc(C3CC3)n2)C1 ZINC000285622100 1121764294 /nfs/dbraw/zinc/76/42/94/1121764294.db2.gz KJZQKNQPXZXSTA-SWLSCSKDSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@@H+](Cc2noc(C3CC3)n2)C1 ZINC000285622100 1121764304 /nfs/dbraw/zinc/76/43/04/1121764304.db2.gz KJZQKNQPXZXSTA-SWLSCSKDSA-N 1 2 283.375 3.530 20 0 CHADLO Cc1ccc(CNc2ccc(-n3cc[nH+]c3)c(C)c2)o1 ZINC001178139567 1121766861 /nfs/dbraw/zinc/76/68/61/1121766861.db2.gz YOZZHRVUQIIHEF-UHFFFAOYSA-N 1 2 267.332 3.694 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2csc3ccccc23)n1 ZINC000052806141 1121772401 /nfs/dbraw/zinc/77/24/01/1121772401.db2.gz PWFYWXBFXCAFOD-JTQLQIEISA-N 1 2 287.388 3.786 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2csc3ccccc23)n1 ZINC000052806141 1121772407 /nfs/dbraw/zinc/77/24/07/1121772407.db2.gz PWFYWXBFXCAFOD-JTQLQIEISA-N 1 2 287.388 3.786 20 0 CHADLO CC(C)(C)c1nnc(C[N@@H+]2CCc3ccccc3C2(C)C)o1 ZINC000773759377 1121802362 /nfs/dbraw/zinc/80/23/62/1121802362.db2.gz DWKYGYULJGUXNZ-UHFFFAOYSA-N 1 2 299.418 3.661 20 0 CHADLO CC(C)(C)c1nnc(C[N@H+]2CCc3ccccc3C2(C)C)o1 ZINC000773759377 1121802374 /nfs/dbraw/zinc/80/23/74/1121802374.db2.gz DWKYGYULJGUXNZ-UHFFFAOYSA-N 1 2 299.418 3.661 20 0 CHADLO Cc1nc(N2CCC(c3ccco3)CC2)c2c([nH+]1)CCCC2 ZINC000664177677 1121813941 /nfs/dbraw/zinc/81/39/41/1121813941.db2.gz TXPMHZDGRWRDNC-UHFFFAOYSA-N 1 2 297.402 3.641 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(COC(C)(C)C)c1)c1ncco1 ZINC000924905380 1121827333 /nfs/dbraw/zinc/82/73/33/1121827333.db2.gz UIGPQRCTSRHZIH-CYBMUJFWSA-N 1 2 288.391 3.841 20 0 CHADLO Cc1cc(N[C@@H]2COCc3ccccc32)nc(C2CCC2)[nH+]1 ZINC000894231140 1121827943 /nfs/dbraw/zinc/82/79/43/1121827943.db2.gz LUUDKDJOJQINKV-MRXNPFEDSA-N 1 2 295.386 3.736 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1cccc(F)c1 ZINC000414336906 1121838851 /nfs/dbraw/zinc/83/88/51/1121838851.db2.gz ZYOVWGMGFCSLOL-ZDUSSCGKSA-N 1 2 291.395 3.796 20 0 CHADLO Fc1ccc(/C=C\CNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC001179044531 1121842045 /nfs/dbraw/zinc/84/20/45/1121842045.db2.gz HQYUCUGRBYDSNV-UPHRSURJSA-N 1 2 267.307 3.827 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H](C)Cc3ccccc3)cc2[nH+]1 ZINC000345127914 1121848826 /nfs/dbraw/zinc/84/88/26/1121848826.db2.gz UTHNHJVVALSLAQ-LBPRGKRZSA-N 1 2 293.370 3.689 20 0 CHADLO COc1ccc2nc(/C=C/c3[nH]cc[nH+]3)cc(Cl)c2c1 ZINC000731155583 1121850050 /nfs/dbraw/zinc/85/00/50/1121850050.db2.gz LMOGKGLHCWJFTJ-GORDUTHDSA-N 1 2 285.734 3.790 20 0 CHADLO c1cn(Cc2ccccc2)c(Cc2nc(C3CC3)cs2)[nH+]1 ZINC000345162232 1121850331 /nfs/dbraw/zinc/85/03/31/1121850331.db2.gz LMENRIUHGUISKF-UHFFFAOYSA-N 1 2 295.411 3.856 20 0 CHADLO COc1ccc2nc(/C=C\c3[nH]cc[nH+]3)cc(Cl)c2c1 ZINC000731155582 1121851361 /nfs/dbraw/zinc/85/13/61/1121851361.db2.gz LMOGKGLHCWJFTJ-DJWKRKHSSA-N 1 2 285.734 3.790 20 0 CHADLO FC[C@@H]([NH2+]Cc1cc2cnccc2o1)c1ccc(F)cc1 ZINC001179402583 1121874431 /nfs/dbraw/zinc/87/44/31/1121874431.db2.gz VUHWBVRDJGSRNV-OAHLLOKOSA-N 1 2 288.297 3.767 20 0 CHADLO Cc1cc(NCc2ccc3c(c2)OC(F)(F)O3)c[nH+]c1C ZINC001179447300 1121877143 /nfs/dbraw/zinc/87/71/43/1121877143.db2.gz AZCJLXOQVQQDAU-UHFFFAOYSA-N 1 2 292.285 3.632 20 0 CHADLO Cc1ccc2[nH+]c(NC/C=C/c3ccccc3)[nH]c2c1 ZINC001179438031 1121877633 /nfs/dbraw/zinc/87/76/33/1121877633.db2.gz OYZWWUHIZQTCEE-VMPITWQZSA-N 1 2 263.344 3.997 20 0 CHADLO Cc1ccc2c(c1)CN(c1cc(C)[nH+]c(C3CC3)n1)CC2 ZINC000664224754 1121890350 /nfs/dbraw/zinc/89/03/50/1121890350.db2.gz TZEQXRSEGWZASM-UHFFFAOYSA-N 1 2 279.387 3.534 20 0 CHADLO Cc1nccc2c(NCc3c[nH+]cn3C(C)C)cccc12 ZINC001179588612 1121890669 /nfs/dbraw/zinc/89/06/69/1121890669.db2.gz IRFPARXMVUTCDA-UHFFFAOYSA-N 1 2 280.375 3.933 20 0 CHADLO Cc1cc(CNc2cc(C)[nH+]c(C3CCC3)n2)cc(C)n1 ZINC000894240285 1121894055 /nfs/dbraw/zinc/89/40/55/1121894055.db2.gz RBBMRNVILXLELU-UHFFFAOYSA-N 1 2 282.391 3.676 20 0 CHADLO Cc1occc1CNc1[nH+]c2cc(Cl)ccc2n1C ZINC001179811474 1121912552 /nfs/dbraw/zinc/91/25/52/1121912552.db2.gz WOOSPBUCPOLLGU-UHFFFAOYSA-N 1 2 275.739 3.740 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@H](C)c1ccc(OC(C)C)cc1 ZINC000821971574 1121940265 /nfs/dbraw/zinc/94/02/65/1121940265.db2.gz RNXQNCSFKBRIKG-VXGBXAGGSA-N 1 2 289.379 3.577 20 0 CHADLO O=C(Nc1cccc(F)c1)Nc1ccccc1-n1cc[nH+]c1 ZINC000039338996 1121940864 /nfs/dbraw/zinc/94/08/64/1121940864.db2.gz YGUIDRZKEFQHGX-UHFFFAOYSA-N 1 2 296.305 3.655 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](c2ncc(C)s2)C2CC2)o1 ZINC001120033980 1121950502 /nfs/dbraw/zinc/95/05/02/1121950502.db2.gz XCBPEAQIXJLYKW-AWEZNQCLSA-N 1 2 292.404 3.684 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H](c2ncc(C)s2)C2CC2)o1 ZINC001120033981 1121950507 /nfs/dbraw/zinc/95/05/07/1121950507.db2.gz XCBPEAQIXJLYKW-CQSZACIVSA-N 1 2 292.404 3.684 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1cn(C2CC2)cn1)C1CCC1 ZINC001180112855 1121951794 /nfs/dbraw/zinc/95/17/94/1121951794.db2.gz PHQYSMXEOCVVLG-UHFFFAOYSA-N 1 2 299.393 3.912 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1cn(C2CC2)cn1)C1CCC1 ZINC001180112855 1121951798 /nfs/dbraw/zinc/95/17/98/1121951798.db2.gz PHQYSMXEOCVVLG-UHFFFAOYSA-N 1 2 299.393 3.912 20 0 CHADLO CCn1cnc(Cl)c1C[N@@H+]1Cc2ccccc2C[C@@H]1C ZINC001180098316 1121951882 /nfs/dbraw/zinc/95/18/82/1121951882.db2.gz LGCBHDUSROEPJU-LBPRGKRZSA-N 1 2 289.810 3.503 20 0 CHADLO CCn1cnc(Cl)c1C[N@H+]1Cc2ccccc2C[C@@H]1C ZINC001180098316 1121951886 /nfs/dbraw/zinc/95/18/86/1121951886.db2.gz LGCBHDUSROEPJU-LBPRGKRZSA-N 1 2 289.810 3.503 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(C(F)(F)F)c(C)c2)n1 ZINC000414432168 1121972337 /nfs/dbraw/zinc/97/23/37/1121972337.db2.gz IOILDMKJZXWVPF-VIFPVBQESA-N 1 2 299.296 3.556 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[N@@H+]1CC[C@@](C)(F)C1 ZINC000501398123 1121973871 /nfs/dbraw/zinc/97/38/71/1121973871.db2.gz ZFFSWGOLJYFYKJ-NOZJJQNGSA-N 1 2 259.727 3.974 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[N@H+]1CC[C@@](C)(F)C1 ZINC000501398123 1121973875 /nfs/dbraw/zinc/97/38/75/1121973875.db2.gz ZFFSWGOLJYFYKJ-NOZJJQNGSA-N 1 2 259.727 3.974 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1ncc(C(C)C)o1)C2 ZINC000733309210 1121974751 /nfs/dbraw/zinc/97/47/51/1121974751.db2.gz FTGAETXUWNJFOZ-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1ncc(C(C)C)o1)C2 ZINC000733309210 1121974753 /nfs/dbraw/zinc/97/47/53/1121974753.db2.gz FTGAETXUWNJFOZ-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Fc1cccnc1C[N@@H+]1CCSC[C@H]1c1ccccc1 ZINC001180379104 1121983130 /nfs/dbraw/zinc/98/31/30/1121983130.db2.gz UBVGCFVAYLYCPY-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1cccnc1C[N@H+]1CCSC[C@H]1c1ccccc1 ZINC001180379104 1121983134 /nfs/dbraw/zinc/98/31/34/1121983134.db2.gz UBVGCFVAYLYCPY-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cncc(Cl)c1Cl ZINC001180430671 1121986919 /nfs/dbraw/zinc/98/69/19/1121986919.db2.gz RHNGNGXKZXIJKX-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cncc(Cl)c1Cl ZINC001180430671 1121986925 /nfs/dbraw/zinc/98/69/25/1121986925.db2.gz RHNGNGXKZXIJKX-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO CCc1ncc(C[N@@H+]2CC(C)(C)[C@H]2c2cccs2)cn1 ZINC000414444666 1121989189 /nfs/dbraw/zinc/98/91/89/1121989189.db2.gz QICBSSYDXUAPAX-OAHLLOKOSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1ncc(C[N@H+]2CC(C)(C)[C@H]2c2cccs2)cn1 ZINC000414444666 1121989194 /nfs/dbraw/zinc/98/91/94/1121989194.db2.gz QICBSSYDXUAPAX-OAHLLOKOSA-N 1 2 287.432 3.684 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1nccn1CC)c1cc(C)ccn1 ZINC000631632927 1121996792 /nfs/dbraw/zinc/99/67/92/1121996792.db2.gz PFNBEBGCKMTBKG-CABCVRRESA-N 1 2 286.423 3.798 20 0 CHADLO Cc1ccc(C)c(N(C)Cc2c[nH+]c3ccc(C)cn23)c1 ZINC001180654238 1122006788 /nfs/dbraw/zinc/00/67/88/1122006788.db2.gz FSSNLMXITBXJOU-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO CC(C)(C)c1ccc2c(c1)CCCN2Cc1ccc[nH+]c1N ZINC001180759520 1122012682 /nfs/dbraw/zinc/01/26/82/1122012682.db2.gz SAKZYZQUNDXWEN-UHFFFAOYSA-N 1 2 295.430 3.914 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@H]1C[C@@H](OC(C)C)C1(C)C ZINC001180950544 1122024960 /nfs/dbraw/zinc/02/49/60/1122024960.db2.gz CCRKRCLLUKUIHM-LSDHHAIUSA-N 1 2 292.423 3.873 20 0 CHADLO CSCC[C@H](C)[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001258224995 1129028317 /nfs/dbraw/zinc/02/83/17/1129028317.db2.gz RLKVERYWRHGOIB-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO CSCC[C@H](C)[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001258224995 1129028320 /nfs/dbraw/zinc/02/83/20/1129028320.db2.gz RLKVERYWRHGOIB-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO CC(C)[N@H+](Cc1nc(C2CC2)no1)[C@H](C)c1ccsc1 ZINC000421009940 1122055539 /nfs/dbraw/zinc/05/55/39/1122055539.db2.gz OAEYAJKQLLXBQF-LLVKDONJSA-N 1 2 291.420 3.980 20 0 CHADLO CC(C)[N@@H+](Cc1nc(C2CC2)no1)[C@H](C)c1ccsc1 ZINC000421009940 1122055548 /nfs/dbraw/zinc/05/55/48/1122055548.db2.gz OAEYAJKQLLXBQF-LLVKDONJSA-N 1 2 291.420 3.980 20 0 CHADLO CCC[C@@H](C[NH2+]CC(F)(F)c1ccc(Cl)cc1)OC ZINC001181621708 1122066681 /nfs/dbraw/zinc/06/66/81/1122066681.db2.gz GIIGKMLGVMNRAV-ZDUSSCGKSA-N 1 2 291.769 3.837 20 0 CHADLO Cc1cncc([C@@H](C)[NH2+][C@@H](CF)c2ccc(F)cc2)c1 ZINC000631797898 1129029784 /nfs/dbraw/zinc/02/97/84/1129029784.db2.gz JPFRARCTQLAIRF-WBMJQRKESA-N 1 2 276.330 3.891 20 0 CHADLO Cc1c(Cl)c(C[N@@H+]2CCC[C@H]2c2cccs2)nn1C ZINC000421326538 1122094122 /nfs/dbraw/zinc/09/41/22/1122094122.db2.gz GVAROTDGCIHTFM-LBPRGKRZSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1c(Cl)c(C[N@H+]2CCC[C@H]2c2cccs2)nn1C ZINC000421326538 1122094128 /nfs/dbraw/zinc/09/41/28/1122094128.db2.gz GVAROTDGCIHTFM-LBPRGKRZSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1c(Cl)c(C[N@@H+]2CCC[C@@H]2c2cccs2)nn1C ZINC000421326537 1122094288 /nfs/dbraw/zinc/09/42/88/1122094288.db2.gz GVAROTDGCIHTFM-GFCCVEGCSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1c(Cl)c(C[N@H+]2CCC[C@@H]2c2cccs2)nn1C ZINC000421326537 1122094294 /nfs/dbraw/zinc/09/42/94/1122094294.db2.gz GVAROTDGCIHTFM-GFCCVEGCSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2ccc(F)c(C)c2)nn1C ZINC000421351801 1122098351 /nfs/dbraw/zinc/09/83/51/1122098351.db2.gz CDWFEWLTCCPOAR-SNVBAGLBSA-N 1 2 295.789 3.680 20 0 CHADLO Cc1ccc(Br)c(F)c1C[N@H+]1C[C@H](C)[C@H]1C ZINC001182061267 1122107969 /nfs/dbraw/zinc/10/79/69/1122107969.db2.gz CQOAKPZQQFESFQ-VHSXEESVSA-N 1 2 286.188 3.737 20 0 CHADLO Cc1ccc(Br)c(F)c1C[N@@H+]1C[C@H](C)[C@H]1C ZINC001182061267 1122107971 /nfs/dbraw/zinc/10/79/71/1122107971.db2.gz CQOAKPZQQFESFQ-VHSXEESVSA-N 1 2 286.188 3.737 20 0 CHADLO CCCn1cncc1C[N@H+](C)Cc1ccc(Cl)c(F)c1 ZINC001204324644 1122117794 /nfs/dbraw/zinc/11/77/94/1122117794.db2.gz VFTDZJWGYIJFOR-UHFFFAOYSA-N 1 2 295.789 3.718 20 0 CHADLO CCCn1cncc1C[N@@H+](C)Cc1ccc(Cl)c(F)c1 ZINC001204324644 1122117798 /nfs/dbraw/zinc/11/77/98/1122117798.db2.gz VFTDZJWGYIJFOR-UHFFFAOYSA-N 1 2 295.789 3.718 20 0 CHADLO Cc1ccc(Nc2ccc(OC(F)(F)F)nc2)c(C)[nH+]1 ZINC001203370049 1122118569 /nfs/dbraw/zinc/11/85/69/1122118569.db2.gz FXZWZVHKSRPERU-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ccc(Cl)cc2)CCO1 ZINC000502607301 1122120011 /nfs/dbraw/zinc/12/00/11/1122120011.db2.gz GOUUYONNSITKNL-BXUZGUMPSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ccc(Cl)cc2)CCO1 ZINC000502607301 1122120014 /nfs/dbraw/zinc/12/00/14/1122120014.db2.gz GOUUYONNSITKNL-BXUZGUMPSA-N 1 2 253.773 3.512 20 0 CHADLO Cc1ccc(Nc2c[nH]c(=O)c3ccccc23)c(C)[nH+]1 ZINC001203370847 1122123024 /nfs/dbraw/zinc/12/30/24/1122123024.db2.gz WZNTYTXVVMAEBC-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO CC(=O)Nc1cc(Nc2ccc(C)[nH+]c2C)ccc1C ZINC001203372509 1122164457 /nfs/dbraw/zinc/16/44/57/1122164457.db2.gz BYDWPOBNAIBDDN-UHFFFAOYSA-N 1 2 269.348 3.709 20 0 CHADLO Cc1ccc(Nc2ccc(O)c(Br)c2)c(C)[nH+]1 ZINC001203372518 1122164797 /nfs/dbraw/zinc/16/47/97/1122164797.db2.gz CHVKUCDJYFKCTA-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCC[C@@H](c2cccnc2)C1 ZINC000878752922 1122167024 /nfs/dbraw/zinc/16/70/24/1122167024.db2.gz DAZVCIZAPMHCSG-GKWYLQRDSA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCC[C@@H](c2cccnc2)C1 ZINC000878752922 1122167026 /nfs/dbraw/zinc/16/70/26/1122167026.db2.gz DAZVCIZAPMHCSG-GKWYLQRDSA-N 1 2 271.191 3.580 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](c1oc2ccccc2c1C)C(C)C ZINC000271716508 1122172482 /nfs/dbraw/zinc/17/24/82/1122172482.db2.gz PFBUTTXGADISKG-MRXNPFEDSA-N 1 2 299.374 3.920 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1nc(C(C)(C)C)no1)C1CC1 ZINC000272015889 1122187319 /nfs/dbraw/zinc/18/73/19/1122187319.db2.gz KNIFVRRAEFAMON-INIZCTEOSA-N 1 2 299.418 3.916 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2ccc(F)c(C)c2)C2CC2)o1 ZINC000272079847 1122188721 /nfs/dbraw/zinc/18/87/21/1122188721.db2.gz LGLBYNJMCWNBEV-MRXNPFEDSA-N 1 2 274.339 3.671 20 0 CHADLO CCc1noc(C)c1CSCc1cc(OC)cc(C)[nH+]1 ZINC000272097987 1122190057 /nfs/dbraw/zinc/19/00/57/1122190057.db2.gz WNVUKHJNUXMLPT-UHFFFAOYSA-N 1 2 292.404 3.691 20 0 CHADLO COC1(C[C@H](C)Nc2c[nH+]cc3c2CCCC3)CCC1 ZINC001182845035 1122190192 /nfs/dbraw/zinc/19/01/92/1122190192.db2.gz QXIKLPUAWQXMDT-ZDUSSCGKSA-N 1 2 274.408 3.720 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]C2(c3cccc(F)c3)CC2)cs1 ZINC000272138736 1122192651 /nfs/dbraw/zinc/19/26/51/1122192651.db2.gz MJTVDZCPMHZKIO-SNVBAGLBSA-N 1 2 276.380 3.931 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1cscn1 ZINC000272139079 1122192707 /nfs/dbraw/zinc/19/27/07/1122192707.db2.gz LHLPNKMCTHJZHS-LLVKDONJSA-N 1 2 273.405 3.699 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1cscn1 ZINC000272139079 1122192711 /nfs/dbraw/zinc/19/27/11/1122192711.db2.gz LHLPNKMCTHJZHS-LLVKDONJSA-N 1 2 273.405 3.699 20 0 CHADLO CCc1cc(N2CCc3cccc(F)c3[C@@H]2C)nc(C)[nH+]1 ZINC000545913718 1122202717 /nfs/dbraw/zinc/20/27/17/1122202717.db2.gz WNFMPFRQBHKNBK-NSHDSACASA-N 1 2 285.366 3.610 20 0 CHADLO Cc1nn(C)c2ccc(Nc3ccc(C)[nH+]c3C)cc12 ZINC001203374498 1122206291 /nfs/dbraw/zinc/20/62/91/1122206291.db2.gz KGFOBLHTPJSKPS-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO Cc1ccc2[nH+]cc(CN3c4ccccc4CC3(C)C)n2c1 ZINC001183170907 1122209064 /nfs/dbraw/zinc/20/90/64/1122209064.db2.gz OIQICJPHAIYNBU-UHFFFAOYSA-N 1 2 291.398 3.984 20 0 CHADLO CO[C@@H](c1ccccc1)[C@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC001183527351 1122226504 /nfs/dbraw/zinc/22/65/04/1122226504.db2.gz VHLQEVPZCUYQCO-WMLDXEAASA-N 1 2 270.376 3.887 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CCC[C@@H]2CCC[C@@H]21 ZINC000153493253 1129040038 /nfs/dbraw/zinc/04/00/38/1129040038.db2.gz ARFPNHFKCJEZIS-ZFWWWQNUSA-N 1 2 289.423 3.882 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CCC[C@@H]2CCC[C@@H]21 ZINC000153493253 1129040039 /nfs/dbraw/zinc/04/00/39/1129040039.db2.gz ARFPNHFKCJEZIS-ZFWWWQNUSA-N 1 2 289.423 3.882 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@@H](C)c1cc(C)sc1C ZINC000273380545 1122230785 /nfs/dbraw/zinc/23/07/85/1122230785.db2.gz YSTXZOVABBMEKD-JTQLQIEISA-N 1 2 293.388 3.595 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(C)o1)c1ccc(Cl)s1 ZINC000273463239 1122234030 /nfs/dbraw/zinc/23/40/30/1122234030.db2.gz DLUIYGDMYZHVFZ-VIFPVBQESA-N 1 2 270.785 3.939 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)c(N)n1 ZINC000711197115 1122269897 /nfs/dbraw/zinc/26/98/97/1122269897.db2.gz GLTAQRMVKZNVHD-LBPRGKRZSA-N 1 2 289.810 3.819 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)c(N)n1 ZINC000711197115 1122269899 /nfs/dbraw/zinc/26/98/99/1122269899.db2.gz GLTAQRMVKZNVHD-LBPRGKRZSA-N 1 2 289.810 3.819 20 0 CHADLO CSc1ccccc1C[C@@H](C)[NH2+]C1(C(F)F)CC1 ZINC000503869348 1122277477 /nfs/dbraw/zinc/27/74/77/1122277477.db2.gz DSEFPSYVNHINGP-SNVBAGLBSA-N 1 2 271.376 3.727 20 0 CHADLO Cc1nc(N(C)Cc2c(F)cccc2Cl)c(C)c(C)[nH+]1 ZINC001184547505 1122280295 /nfs/dbraw/zinc/28/02/95/1122280295.db2.gz FYRHRGLGJREXNW-UHFFFAOYSA-N 1 2 293.773 3.831 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](C)c1ccc(Cl)c(Cl)c1 ZINC000774787161 1122296828 /nfs/dbraw/zinc/29/68/28/1122296828.db2.gz ZLDWHSXRNAJPAU-ZETCQYMHSA-N 1 2 286.162 3.536 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2cccc3c2OCCC3)c1 ZINC001184964288 1122303220 /nfs/dbraw/zinc/30/32/20/1122303220.db2.gz KULUDWMUUDECPU-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO Oc1cccc(Nc2ccc[nH+]c2N2CCCC2)c1Cl ZINC001184967923 1122304735 /nfs/dbraw/zinc/30/47/35/1122304735.db2.gz GVQOQWTXZPVPRK-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO C[N@@H+](Cc1c(F)cccc1Cl)C[C@H]1CCC=CO1 ZINC000774821819 1122319085 /nfs/dbraw/zinc/31/90/85/1122319085.db2.gz GQGFGLTZONPJEX-LLVKDONJSA-N 1 2 269.747 3.604 20 0 CHADLO C[N@H+](Cc1c(F)cccc1Cl)C[C@H]1CCC=CO1 ZINC000774821819 1122319091 /nfs/dbraw/zinc/31/90/91/1122319091.db2.gz GQGFGLTZONPJEX-LLVKDONJSA-N 1 2 269.747 3.604 20 0 CHADLO C[C@@]1(CNc2cc(C(F)(F)F)cc[nH+]2)CC1(F)F ZINC000664399119 1122333955 /nfs/dbraw/zinc/33/39/55/1122333955.db2.gz QYEIIROEWVXXPT-VIFPVBQESA-N 1 2 266.213 3.558 20 0 CHADLO C[C@]1(CNc2cc(C(F)(F)F)cc[nH+]2)CC1(F)F ZINC000664399118 1122334358 /nfs/dbraw/zinc/33/43/58/1122334358.db2.gz QYEIIROEWVXXPT-SECBINFHSA-N 1 2 266.213 3.558 20 0 CHADLO Cc1cc(N(C)CCc2cccs2)nc(C2CCC2)[nH+]1 ZINC001185555240 1122346138 /nfs/dbraw/zinc/34/61/38/1122346138.db2.gz MIYZCKVXXQWBFL-UHFFFAOYSA-N 1 2 287.432 3.793 20 0 CHADLO c1cc(CCNc2nc3ccccc3n3c[nH+]cc23)cs1 ZINC001185847550 1122362973 /nfs/dbraw/zinc/36/29/73/1122362973.db2.gz HNUCQYVEMVCWSV-UHFFFAOYSA-N 1 2 294.383 3.599 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+]1CCOc2c(F)cccc2C1 ZINC000434622410 1122383276 /nfs/dbraw/zinc/38/32/76/1122383276.db2.gz DNEFCKAJWNMBDM-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+]1CCOc2c(F)cccc2C1 ZINC000434622410 1122383281 /nfs/dbraw/zinc/38/32/81/1122383281.db2.gz DNEFCKAJWNMBDM-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO Cc1nc(NC[C@H]2CCC[C@@H]2c2ccccc2)cc[nH+]1 ZINC001186564840 1122397565 /nfs/dbraw/zinc/39/75/65/1122397565.db2.gz GHWALDYRAZJKDZ-HZPDHXFCSA-N 1 2 267.376 3.781 20 0 CHADLO CCC[NH+](CCC)Cc1noc(Cc2ccccc2C)n1 ZINC000434832839 1122416478 /nfs/dbraw/zinc/41/64/78/1122416478.db2.gz SUEFAEGOQWWQIU-UHFFFAOYSA-N 1 2 287.407 3.591 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccccc2-n2cccn2)c1 ZINC001203660845 1122427215 /nfs/dbraw/zinc/42/72/15/1122427215.db2.gz PISTWFHHFQTELK-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1cc(NC[C@@H]2CCC3(CCC3)O2)nc(C2CCC2)[nH+]1 ZINC001187430848 1122429453 /nfs/dbraw/zinc/42/94/53/1122429453.db2.gz QPYXPWQFRBVOTF-AWEZNQCLSA-N 1 2 287.407 3.566 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCC[C@H]3CCC[C@H]32)s1 ZINC000435134209 1122437498 /nfs/dbraw/zinc/43/74/98/1122437498.db2.gz WHVHPKNKTXXTSR-NXEZZACHSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCC[C@H]3CCC[C@H]32)s1 ZINC000435134209 1122437499 /nfs/dbraw/zinc/43/74/99/1122437499.db2.gz WHVHPKNKTXXTSR-NXEZZACHSA-N 1 2 290.354 3.926 20 0 CHADLO Cc1[nH]c(CNc2nc3c(ccc(C)c3C)s2)[nH+]c1C ZINC001187676591 1122437610 /nfs/dbraw/zinc/43/76/10/1122437610.db2.gz CZXCTCYADBNLNV-UHFFFAOYSA-N 1 2 286.404 3.865 20 0 CHADLO Cc1c[nH+]c(C)c(-n2ccc3cc(Cl)cc(N)c32)c1 ZINC001203668213 1122441177 /nfs/dbraw/zinc/44/11/77/1122441177.db2.gz CFDIDZLIKGCUOF-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1ccccc1C(F)(F)F ZINC000505639865 1122447319 /nfs/dbraw/zinc/44/73/19/1122447319.db2.gz RFINCWQMWSOAMJ-WCQYABFASA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@H+]1Cc1ccccc1C(F)(F)F ZINC000505639865 1122447321 /nfs/dbraw/zinc/44/73/21/1122447321.db2.gz RFINCWQMWSOAMJ-WCQYABFASA-N 1 2 287.325 3.705 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)Cc1ccccc1Cl ZINC000425325534 1122453005 /nfs/dbraw/zinc/45/30/05/1122453005.db2.gz OPQCNPKBCGXGDG-UHFFFAOYSA-N 1 2 277.799 3.749 20 0 CHADLO Cc1ccc(C)c(N(C)Cc2c[nH+]cn2C(C)C)c1 ZINC000425331532 1122455443 /nfs/dbraw/zinc/45/54/43/1122455443.db2.gz BQEVGFXGQVDEEB-UHFFFAOYSA-N 1 2 257.381 3.717 20 0 CHADLO CC(C)n1cncc1C[N@H+](C)Cc1ccc(Cl)s1 ZINC000425328323 1122456630 /nfs/dbraw/zinc/45/66/30/1122456630.db2.gz UCYSWILKLNIHBO-UHFFFAOYSA-N 1 2 283.828 3.811 20 0 CHADLO CC(C)n1cncc1C[N@@H+](C)Cc1ccc(Cl)s1 ZINC000425328323 1122456632 /nfs/dbraw/zinc/45/66/32/1122456632.db2.gz UCYSWILKLNIHBO-UHFFFAOYSA-N 1 2 283.828 3.811 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2cccc(F)c2C)[nH+]1 ZINC001189016866 1122485186 /nfs/dbraw/zinc/48/51/86/1122485186.db2.gz XKRCJILTGQXHSR-UHFFFAOYSA-N 1 2 298.321 3.734 20 0 CHADLO FC(F)(F)c1cc(Cl)ccc1CCn1cc[nH+]c1 ZINC001250321165 1122493180 /nfs/dbraw/zinc/49/31/80/1122493180.db2.gz XDQLIMMINCNFDB-UHFFFAOYSA-N 1 2 274.673 3.798 20 0 CHADLO CC(C)(C)c1cn(CCOc2ccc(Cl)cc2)c[nH+]1 ZINC001189876293 1122517677 /nfs/dbraw/zinc/51/76/77/1122517677.db2.gz OVVTXYMEPOWLMD-UHFFFAOYSA-N 1 2 278.783 3.913 20 0 CHADLO CC(C)c1cccc(NC(=O)Cn2c[nH+]c(C(C)(C)C)c2)c1 ZINC001189876325 1122517743 /nfs/dbraw/zinc/51/77/43/1122517743.db2.gz PLXRLJYJVMWCOI-UHFFFAOYSA-N 1 2 299.418 3.943 20 0 CHADLO CCc1cccc(C)c1NC(=O)Nc1cccc2[nH+]ccn21 ZINC001189940145 1122519443 /nfs/dbraw/zinc/51/94/43/1122519443.db2.gz MQSJVKPOHXDDDG-UHFFFAOYSA-N 1 2 294.358 3.849 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)c1ccccc1-n1cc[nH+]c1 ZINC001190108063 1122524942 /nfs/dbraw/zinc/52/49/42/1122524942.db2.gz ZCIQHDASHLHGKD-HNNXBMFYSA-N 1 2 299.418 3.817 20 0 CHADLO CC1=CCC[N@H+](Cc2cc(F)cc(Br)c2)C1 ZINC000436258882 1122529040 /nfs/dbraw/zinc/52/90/40/1122529040.db2.gz CNYMDNBRFDYNQU-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CCC[N@@H+](Cc2cc(F)cc(Br)c2)C1 ZINC000436258882 1122529046 /nfs/dbraw/zinc/52/90/46/1122529046.db2.gz CNYMDNBRFDYNQU-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO COc1ccc(C2([NH2+]C/C(Cl)=C/Cl)CC2)cc1 ZINC000775412915 1122554989 /nfs/dbraw/zinc/55/49/89/1122554989.db2.gz ZUCYCBGVISHTOM-FLIBITNWSA-N 1 2 272.175 3.593 20 0 CHADLO COc1ccc(C2([NH2+]C/C(Cl)=C\Cl)CC2)cc1 ZINC000775412914 1122555193 /nfs/dbraw/zinc/55/51/93/1122555193.db2.gz ZUCYCBGVISHTOM-DHZHZOJOSA-N 1 2 272.175 3.593 20 0 CHADLO Cc1cccc(CNC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000436486166 1122556332 /nfs/dbraw/zinc/55/63/32/1122556332.db2.gz BKCPWPYVEFLNDD-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1ccc(C2CC2)c(C(=O)Nc2cc(C)[nH+]cc2C)c1 ZINC000516277392 1122584644 /nfs/dbraw/zinc/58/46/44/1122584644.db2.gz DBFGVVWBTSKAPH-UHFFFAOYSA-N 1 2 280.371 3.558 20 0 CHADLO c1nnc([C@H]([NH2+][C@@H]2CCc3ccccc32)C2CCCCC2)[nH]1 ZINC000638317860 1122599048 /nfs/dbraw/zinc/59/90/48/1122599048.db2.gz UVVFGOKEBXPQPU-IAGOWNOFSA-N 1 2 296.418 3.703 20 0 CHADLO Cc1ccc(C)c(C[N@@H+]2CCCCC(F)(F)C2)c1 ZINC001203741865 1122608503 /nfs/dbraw/zinc/60/85/03/1122608503.db2.gz WLJBOYYYXDHFJC-UHFFFAOYSA-N 1 2 253.336 3.925 20 0 CHADLO C[C@@H](CNc1[nH+]cnc2[nH]c3ccccc3c21)CC(F)F ZINC000645306299 1122615228 /nfs/dbraw/zinc/61/52/28/1122615228.db2.gz GDDFECMHJJMXPH-SECBINFHSA-N 1 2 290.317 3.814 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)c2ccccc21 ZINC001151976738 1122617440 /nfs/dbraw/zinc/61/74/40/1122617440.db2.gz RXGOMCBPNHLYNA-MEDUHNTESA-N 1 2 291.354 3.541 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccccc1F)C1CCCCC1 ZINC001192334420 1122639649 /nfs/dbraw/zinc/63/96/49/1122639649.db2.gz IEAYUAZHNPFCHA-UHFFFAOYSA-N 1 2 293.382 3.524 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccccc1F)C1CCCCC1 ZINC001192334420 1122639650 /nfs/dbraw/zinc/63/96/50/1122639650.db2.gz IEAYUAZHNPFCHA-UHFFFAOYSA-N 1 2 293.382 3.524 20 0 CHADLO CC[C@@H](C)C[N@H+](CC)Cc1noc(C2CCCCC2)n1 ZINC000437579413 1122642508 /nfs/dbraw/zinc/64/25/08/1122642508.db2.gz QVSICSMSLIPVMO-CYBMUJFWSA-N 1 2 279.428 3.985 20 0 CHADLO CC[C@@H](C)C[N@@H+](CC)Cc1noc(C2CCCCC2)n1 ZINC000437579413 1122642510 /nfs/dbraw/zinc/64/25/10/1122642510.db2.gz QVSICSMSLIPVMO-CYBMUJFWSA-N 1 2 279.428 3.985 20 0 CHADLO C[N@H+](CC(F)F)[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437693667 1122651040 /nfs/dbraw/zinc/65/10/40/1122651040.db2.gz RSWZEOJWXRMUGL-UKRRQHHQSA-N 1 2 253.336 3.694 20 0 CHADLO C[N@@H+](CC(F)F)[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437693667 1122651041 /nfs/dbraw/zinc/65/10/41/1122651041.db2.gz RSWZEOJWXRMUGL-UKRRQHHQSA-N 1 2 253.336 3.694 20 0 CHADLO C[N@H+](CC(F)F)[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437693670 1122651161 /nfs/dbraw/zinc/65/11/61/1122651161.db2.gz RSWZEOJWXRMUGL-ZFWWWQNUSA-N 1 2 253.336 3.694 20 0 CHADLO C[N@@H+](CC(F)F)[C@H]1CC[C@](C)(c2ccccc2)C1 ZINC000437693670 1122651164 /nfs/dbraw/zinc/65/11/64/1122651164.db2.gz RSWZEOJWXRMUGL-ZFWWWQNUSA-N 1 2 253.336 3.694 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](c1ccccc1F)C1CCCC1 ZINC000775538683 1122651909 /nfs/dbraw/zinc/65/19/09/1122651909.db2.gz NMSHRXBRHRXIIL-MRXNPFEDSA-N 1 2 289.354 3.538 20 0 CHADLO C[C@@H]1CCOCC[N@@H+]1Cc1cc(Cl)ccc1Cl ZINC000775569924 1122674888 /nfs/dbraw/zinc/67/48/88/1122674888.db2.gz IUQBXHIOUFMAGB-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1CCOCC[N@H+]1Cc1cc(Cl)ccc1Cl ZINC000775569924 1122674891 /nfs/dbraw/zinc/67/48/91/1122674891.db2.gz IUQBXHIOUFMAGB-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO CC(C)[C@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000438480476 1122702241 /nfs/dbraw/zinc/70/22/41/1122702241.db2.gz FVEFSOHEGZLMKX-AWEZNQCLSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)[C@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000438480476 1122702246 /nfs/dbraw/zinc/70/22/46/1122702246.db2.gz FVEFSOHEGZLMKX-AWEZNQCLSA-N 1 2 277.412 3.595 20 0 CHADLO CC[C@@H]1CC[N@H+]([C@@H](C(=O)OC)c2ccc(Cl)cc2)[C@@H]1C ZINC001193607008 1122705816 /nfs/dbraw/zinc/70/58/16/1122705816.db2.gz RMPCULMJSNGHDX-LALPHHSUSA-N 1 2 295.810 3.675 20 0 CHADLO CC[C@@H]1CC[N@@H+]([C@@H](C(=O)OC)c2ccc(Cl)cc2)[C@@H]1C ZINC001193607008 1122705818 /nfs/dbraw/zinc/70/58/18/1122705818.db2.gz RMPCULMJSNGHDX-LALPHHSUSA-N 1 2 295.810 3.675 20 0 CHADLO CCSCC[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000438543706 1122709392 /nfs/dbraw/zinc/70/93/92/1122709392.db2.gz DJDFIBYAVGDETH-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO CCSCC[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000438543706 1122709395 /nfs/dbraw/zinc/70/93/95/1122709395.db2.gz DJDFIBYAVGDETH-IUODEOHRSA-N 1 2 299.867 3.855 20 0 CHADLO Clc1cccc(NCc2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000193383290 1129073180 /nfs/dbraw/zinc/07/31/80/1129073180.db2.gz IDJAENNIKCWPAY-UHFFFAOYSA-N 1 2 298.777 3.592 20 0 CHADLO COc1ccc2c(c1)[nH+]c(CC1CCCCC1)n2C ZINC001250540251 1122718561 /nfs/dbraw/zinc/71/85/61/1122718561.db2.gz JBKYTOMSWRKLRG-UHFFFAOYSA-N 1 2 258.365 3.705 20 0 CHADLO Cc1cc(F)cc2[nH+]c([C@@H]3CCOC(C)(C)C3)[nH]c21 ZINC001250544705 1122723591 /nfs/dbraw/zinc/72/35/91/1122723591.db2.gz JWTZHZZSBADLIV-SNVBAGLBSA-N 1 2 262.328 3.683 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](c3ccccc3)C2)cc1F ZINC000506355482 1122724727 /nfs/dbraw/zinc/72/47/27/1122724727.db2.gz JERQWAAQTJOFEW-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](c3ccccc3)C2)cc1F ZINC000506355482 1122724731 /nfs/dbraw/zinc/72/47/31/1122724731.db2.gz JERQWAAQTJOFEW-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cccc2[nH]c(C[NH2+]Cc3ccc([C@@H]4C[C@H]4C)o3)nc21 ZINC000153635487 1129074271 /nfs/dbraw/zinc/07/42/71/1129074271.db2.gz CFEOQKDYHPJMQH-TZMCWYRMSA-N 1 2 295.386 3.878 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2ccncc2F)c[nH+]1 ZINC000340513979 1122757685 /nfs/dbraw/zinc/75/76/85/1122757685.db2.gz DWHUFVAPUZBELV-LBPRGKRZSA-N 1 2 288.370 3.635 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2ccc(CC)o2)o1 ZINC000426353928 1122772546 /nfs/dbraw/zinc/77/25/46/1122772546.db2.gz XEFFBAJONOULGK-LLVKDONJSA-N 1 2 276.380 3.942 20 0 CHADLO CC[C@H]1C[N@H+](Cc2csc(C3CCCC3)n2)CCCO1 ZINC000439488230 1122782903 /nfs/dbraw/zinc/78/29/03/1122782903.db2.gz WHBHUBUFYBTNKD-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2csc(C3CCCC3)n2)CCCO1 ZINC000439488230 1122782907 /nfs/dbraw/zinc/78/29/07/1122782907.db2.gz WHBHUBUFYBTNKD-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO CCC(CC)COC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001194679390 1122790774 /nfs/dbraw/zinc/79/07/74/1122790774.db2.gz MFVSMOFCYBXVDS-UHFFFAOYSA-N 1 2 287.363 3.857 20 0 CHADLO CC[C@@H](C)COC(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001194800595 1122804787 /nfs/dbraw/zinc/80/47/87/1122804787.db2.gz LMFAPYBFINUZRE-CYBMUJFWSA-N 1 2 287.363 3.526 20 0 CHADLO Cc1cccn2cc(Cc3noc([C@H]4CCC4(C)C)n3)[nH+]c12 ZINC000664776774 1122816449 /nfs/dbraw/zinc/81/64/49/1122816449.db2.gz DLVPYJVGMWJMFT-CYBMUJFWSA-N 1 2 296.374 3.520 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cccc(OC)c2F)cs1 ZINC000192682547 1122817805 /nfs/dbraw/zinc/81/78/05/1122817805.db2.gz YSQMDYOMYYXXIH-JTQLQIEISA-N 1 2 294.395 3.704 20 0 CHADLO CC[C@H](C)n1c(CCCOC)[nH+]c2cc(C)ccc21 ZINC001250604917 1122821105 /nfs/dbraw/zinc/82/11/05/1122821105.db2.gz WUBBQLYECXFAFT-ZDUSSCGKSA-N 1 2 260.381 3.895 20 0 CHADLO C[N@H+](CCC1CCCCC1)Cn1ncn(C2CC2)c1=S ZINC000101539089 1122828792 /nfs/dbraw/zinc/82/87/92/1122828792.db2.gz MWENEDKBVXLOOO-UHFFFAOYSA-N 1 2 294.468 3.609 20 0 CHADLO C[N@@H+](CCC1CCCCC1)Cn1ncn(C2CC2)c1=S ZINC000101539089 1122828795 /nfs/dbraw/zinc/82/87/95/1122828795.db2.gz MWENEDKBVXLOOO-UHFFFAOYSA-N 1 2 294.468 3.609 20 0 CHADLO CC[C@@H]1CN(c2[nH+]ccc3c(C)cccc32)C[C@H](C)O1 ZINC000519546010 1122857260 /nfs/dbraw/zinc/85/72/60/1122857260.db2.gz UVVFLHUDEXYLKE-UONOGXRCSA-N 1 2 270.376 3.547 20 0 CHADLO Cc1ccc([N@@H+](CC[C@H]2COC(C)(C)O2)CC(C)C)cc1 ZINC001195276750 1122860507 /nfs/dbraw/zinc/86/05/07/1122860507.db2.gz AQQHNYBQEOJOKQ-KRWDZBQOSA-N 1 2 291.435 3.999 20 0 CHADLO Cc1ccc([N@H+](CC[C@H]2COC(C)(C)O2)CC(C)C)cc1 ZINC001195276750 1122860511 /nfs/dbraw/zinc/86/05/11/1122860511.db2.gz AQQHNYBQEOJOKQ-KRWDZBQOSA-N 1 2 291.435 3.999 20 0 CHADLO CCn1ccnc1C[NH2+][C@@H](C)c1ccc(F)cc1Cl ZINC000265646976 1129082897 /nfs/dbraw/zinc/08/28/97/1129082897.db2.gz LJXJOVPADFVFLB-JTQLQIEISA-N 1 2 281.762 3.546 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)c1cnn(C)n1)c1ccccc1Cl ZINC000925074884 1122866224 /nfs/dbraw/zinc/86/62/24/1122866224.db2.gz HJMUBKWQSLUWKZ-XHDPSFHLSA-N 1 2 292.814 3.516 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)c1cnn(C)n1)c1ccccc1Cl ZINC000925074878 1122866878 /nfs/dbraw/zinc/86/68/78/1122866878.db2.gz HJMUBKWQSLUWKZ-ABAIWWIYSA-N 1 2 292.814 3.516 20 0 CHADLO CCCCOc1ccc(C[NH2+]Cc2c[nH]cn2)cc1Cl ZINC000894532476 1122869943 /nfs/dbraw/zinc/86/99/43/1122869943.db2.gz LEBVHVZNFLHWER-UHFFFAOYSA-N 1 2 293.798 3.532 20 0 CHADLO Cc1cc(N[C@H]2C[C@@H](O)c3ccccc32)nc(C2CCC2)[nH+]1 ZINC000894550155 1122872470 /nfs/dbraw/zinc/87/24/70/1122872470.db2.gz VRBLHKTVYILDLL-JKSUJKDBSA-N 1 2 295.386 3.643 20 0 CHADLO CC1(C)C[N@H+](Cc2cnn(C3CCC3)c2)[C@@H]1c1cccnc1 ZINC000894584058 1122878105 /nfs/dbraw/zinc/87/81/05/1122878105.db2.gz FAGMYICWDHQHJC-QGZVFWFLSA-N 1 2 296.418 3.586 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnn(C3CCC3)c2)[C@@H]1c1cccnc1 ZINC000894584058 1122878108 /nfs/dbraw/zinc/87/81/08/1122878108.db2.gz FAGMYICWDHQHJC-QGZVFWFLSA-N 1 2 296.418 3.586 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000894744227 1122901256 /nfs/dbraw/zinc/90/12/56/1122901256.db2.gz PSJJGLDHVNVLPR-BXUZGUMPSA-N 1 2 287.407 3.878 20 0 CHADLO CCC(C)(C)c1noc(-c2cccc(Cn3cc[nH+]c3)c2)n1 ZINC000867170907 1122911047 /nfs/dbraw/zinc/91/10/47/1122911047.db2.gz AOXFWGJKCUVDQJ-UHFFFAOYSA-N 1 2 296.374 3.669 20 0 CHADLO CCOC1CC(CSCc2ccc(C)[nH+]c2C)C1 ZINC000507103333 1122926230 /nfs/dbraw/zinc/92/62/30/1122926230.db2.gz MNLYTFJPZPRGLX-UHFFFAOYSA-N 1 2 265.422 3.747 20 0 CHADLO CCC(C)(C)c1ccc(C[NH2+]Cc2ncc(C)o2)cc1 ZINC000638771265 1122930991 /nfs/dbraw/zinc/93/09/91/1122930991.db2.gz CINNVCAHDPVYGL-UHFFFAOYSA-N 1 2 272.392 3.960 20 0 CHADLO Cc1c[nH+]c(NC2=CC[C@@H](C(F)(F)F)CC2)c(N)c1 ZINC001196766618 1122931906 /nfs/dbraw/zinc/93/19/06/1122931906.db2.gz FNDHGIAHZYZFMF-SECBINFHSA-N 1 2 271.286 3.630 20 0 CHADLO C[N@H+](CCCc1ccccc1)Cc1c(F)ccc(O)c1F ZINC000507243137 1122943075 /nfs/dbraw/zinc/94/30/75/1122943075.db2.gz KFCWDZRBAIMTRT-UHFFFAOYSA-N 1 2 291.341 3.735 20 0 CHADLO C[N@@H+](CCCc1ccccc1)Cc1c(F)ccc(O)c1F ZINC000507243137 1122943077 /nfs/dbraw/zinc/94/30/77/1122943077.db2.gz KFCWDZRBAIMTRT-UHFFFAOYSA-N 1 2 291.341 3.735 20 0 CHADLO Clc1ccc2c(c1)OCCC[C@@H]2[NH2+]Cc1nccs1 ZINC000334201876 1122970985 /nfs/dbraw/zinc/97/09/85/1122970985.db2.gz RMDVQMNVQAHLHP-LBPRGKRZSA-N 1 2 294.807 3.800 20 0 CHADLO CC1=CC[N@H+]([C@H](C)c2nnc(-c3cccs3)o2)CC1 ZINC000334343119 1122990952 /nfs/dbraw/zinc/99/09/52/1122990952.db2.gz QRHFASNQCQHGRQ-LLVKDONJSA-N 1 2 275.377 3.511 20 0 CHADLO CC1=CC[N@@H+]([C@H](C)c2nnc(-c3cccs3)o2)CC1 ZINC000334343119 1122990960 /nfs/dbraw/zinc/99/09/60/1122990960.db2.gz QRHFASNQCQHGRQ-LLVKDONJSA-N 1 2 275.377 3.511 20 0 CHADLO Cc1sccc1C[N@@H+]1Cc2ccccc2C[C@H]1C(N)=O ZINC000334341987 1122991316 /nfs/dbraw/zinc/99/13/16/1122991316.db2.gz PCWGFVQCHYMVDV-HNNXBMFYSA-N 1 2 286.400 3.519 20 0 CHADLO Cc1sccc1C[N@H+]1Cc2ccccc2C[C@H]1C(N)=O ZINC000334341987 1122991320 /nfs/dbraw/zinc/99/13/20/1122991320.db2.gz PCWGFVQCHYMVDV-HNNXBMFYSA-N 1 2 286.400 3.519 20 0 CHADLO Cc1cc(NC(=S)Nc2ccc(C(C)(C)C)cc2)cc[nH+]1 ZINC001198457487 1122992577 /nfs/dbraw/zinc/99/25/77/1122992577.db2.gz JHQCSPBXRQDLBT-UHFFFAOYSA-N 1 2 299.443 3.918 20 0 CHADLO CCc1ccc(-c2noc([C@@H](C)[NH2+]C[C@H](F)CC)n2)cc1 ZINC000440514376 1123010206 /nfs/dbraw/zinc/01/02/06/1123010206.db2.gz BWNBRJNEZRMMFW-BXUZGUMPSA-N 1 2 291.370 3.698 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(C(F)(F)F)c(C)c2)no1 ZINC000519750902 1123023669 /nfs/dbraw/zinc/02/36/69/1123023669.db2.gz RACIWOHZTFCVJF-UHFFFAOYSA-N 1 2 284.281 3.600 20 0 CHADLO CCCc1nc(C[N@@H+](CCC)[C@H]2CCc3ccccc32)no1 ZINC000519907337 1123035599 /nfs/dbraw/zinc/03/55/99/1123035599.db2.gz VOXUDIQGAOTGDX-INIZCTEOSA-N 1 2 299.418 3.922 20 0 CHADLO CCCc1nc(C[N@H+](CCC)[C@H]2CCc3ccccc32)no1 ZINC000519907337 1123035602 /nfs/dbraw/zinc/03/56/02/1123035602.db2.gz VOXUDIQGAOTGDX-INIZCTEOSA-N 1 2 299.418 3.922 20 0 CHADLO Cc1cc(C)cc(NC(=S)Nc2cccc3[nH+]ccn32)c1 ZINC001201194770 1123077542 /nfs/dbraw/zinc/07/75/42/1123077542.db2.gz QQCRIVFTXRCIJF-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO CCc1cc(Nc2ccc(N)[nH+]c2)ccc1Br ZINC001201284217 1123081001 /nfs/dbraw/zinc/08/10/01/1123081001.db2.gz NXQJFGJKAMYWHN-UHFFFAOYSA-N 1 2 292.180 3.732 20 0 CHADLO Cc1ccc(C(F)(F)F)c(Nc2ccc(N)[nH+]c2)c1 ZINC001201293267 1123081221 /nfs/dbraw/zinc/08/12/21/1123081221.db2.gz ZUTDIQNJDCZCGH-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO CCCN1CCCc2ccc(Nc3ccc(N)[nH+]c3)cc21 ZINC001201292576 1123084004 /nfs/dbraw/zinc/08/40/04/1123084004.db2.gz QAHUHTGPWGWKQN-UHFFFAOYSA-N 1 2 282.391 3.570 20 0 CHADLO CC(C)(C)COc1cccc(F)c1Nc1ccc(N)[nH+]c1 ZINC001201295824 1123084761 /nfs/dbraw/zinc/08/47/61/1123084761.db2.gz STBJMYRJTGCYLU-UHFFFAOYSA-N 1 2 289.354 3.971 20 0 CHADLO Nc1ccc(Nc2ccccc2-c2nccs2)c[nH+]1 ZINC001201294747 1123084773 /nfs/dbraw/zinc/08/47/73/1123084773.db2.gz HCODQTBLHKBHKP-UHFFFAOYSA-N 1 2 268.345 3.531 20 0 CHADLO Cc1ncc([C@@H](C)Nc2cc(C)[nH+]c(C3CCC3)n2)s1 ZINC000894924441 1123088973 /nfs/dbraw/zinc/08/89/73/1123088973.db2.gz TWCWYSXXGWPFQB-SNVBAGLBSA-N 1 2 288.420 3.991 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc(-c2ncco2)cc1 ZINC001201465546 1123103321 /nfs/dbraw/zinc/10/33/21/1123103321.db2.gz QVRDTSCGTUHGTJ-UHFFFAOYSA-N 1 2 282.347 3.560 20 0 CHADLO Cc1cc2ncc(C)c(Nc3ccc([NH2+]C(C)C)cc3)n2n1 ZINC001201486915 1123107727 /nfs/dbraw/zinc/10/77/27/1123107727.db2.gz BYHDWUCYPWNCMC-UHFFFAOYSA-N 1 2 295.390 3.910 20 0 CHADLO CC(C)Nc1ccc(Nc2cccn3cc[nH+]c23)cc1 ZINC001201489525 1123108219 /nfs/dbraw/zinc/10/82/19/1123108219.db2.gz RMXCVXCYZAIYHY-UHFFFAOYSA-N 1 2 266.348 3.898 20 0 CHADLO Cc1cn2cccc(Nc3cncc(OC(C)C)c3)c2[nH+]1 ZINC001201539636 1123116711 /nfs/dbraw/zinc/11/67/11/1123116711.db2.gz FXRZLAKKGKQZLH-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO CNc1ccc(C)cc1CNc1ccc(C)[nH+]c1C ZINC001201573264 1123126699 /nfs/dbraw/zinc/12/66/99/1123126699.db2.gz QYGIBTPYFAGCFQ-UHFFFAOYSA-N 1 2 255.365 3.661 20 0 CHADLO Cc1nc(C[NH2+]Cc2oc3ccc(F)cc3c2C)[nH]c1C ZINC000567063349 1123159424 /nfs/dbraw/zinc/15/94/24/1123159424.db2.gz VUVGGDGWTVVJMS-UHFFFAOYSA-N 1 2 287.338 3.510 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)Nc1ccccc1Cl ZINC001202053027 1123170252 /nfs/dbraw/zinc/17/02/52/1123170252.db2.gz CIFLMVMFLUDGRA-UHFFFAOYSA-N 1 2 286.722 3.632 20 0 CHADLO Cc1cn2cc(NC(=O)c3cc(C)c(F)c(C)c3)ccc2[nH+]1 ZINC000343302126 1123172486 /nfs/dbraw/zinc/17/24/86/1123172486.db2.gz GZUQVEHNNPKGHO-UHFFFAOYSA-N 1 2 297.333 3.651 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(C3CCCC3)no2)cc1 ZINC000182352897 1123172511 /nfs/dbraw/zinc/17/25/11/1123172511.db2.gz MRNMBAJBTVACHQ-ZDUSSCGKSA-N 1 2 285.391 3.886 20 0 CHADLO C[C@@H]1SCC[N@H+](Cc2csc(-c3ccco3)n2)[C@H]1C ZINC000118420053 1123203223 /nfs/dbraw/zinc/20/32/23/1123203223.db2.gz IQPILFKYUZNBRY-QWRGUYRKSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1SCC[N@@H+](Cc2csc(-c3ccco3)n2)[C@H]1C ZINC000118420053 1123203226 /nfs/dbraw/zinc/20/32/26/1123203226.db2.gz IQPILFKYUZNBRY-QWRGUYRKSA-N 1 2 294.445 3.729 20 0 CHADLO Cc1cn2c(cccc2NC(=O)Nc2ccc(C)cc2)[nH+]1 ZINC001202420535 1123205232 /nfs/dbraw/zinc/20/52/32/1123205232.db2.gz BASHZMVONYSNDB-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2cc(O)cc(Cl)c2)C1 ZINC000895104406 1123205540 /nfs/dbraw/zinc/20/55/40/1123205540.db2.gz IUTPFFXCOZEPAX-UHFFFAOYSA-N 1 2 275.726 3.523 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCSc2c(F)cccc21)c1ccon1 ZINC000349839207 1123211503 /nfs/dbraw/zinc/21/15/03/1123211503.db2.gz YTMRUHOEHHDQOS-RNCFNFMXSA-N 1 2 278.352 3.701 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cccc(F)c1F ZINC000107038086 1123216565 /nfs/dbraw/zinc/21/65/65/1123216565.db2.gz FVIDDWBEIWLPRW-UHFFFAOYSA-N 1 2 276.330 3.951 20 0 CHADLO Cc1[nH]c(CNc2ccc(OCC(F)(F)F)cc2)[nH+]c1C ZINC000850046118 1123238693 /nfs/dbraw/zinc/23/86/93/1123238693.db2.gz XDGVHOSOWOCPSJ-UHFFFAOYSA-N 1 2 299.296 3.580 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc3c2O[C@@H](C)C3)c(C)[nH+]1 ZINC000334553349 1123252001 /nfs/dbraw/zinc/25/20/01/1123252001.db2.gz LUQOEQQPWICKCQ-LBPRGKRZSA-N 1 2 296.370 3.583 20 0 CHADLO Cc1ccc(F)c(CC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000334552472 1123252079 /nfs/dbraw/zinc/25/20/79/1123252079.db2.gz BXXUIMMOQRYAQV-UHFFFAOYSA-N 1 2 286.350 3.636 20 0 CHADLO CC[N@@H+](Cc1noc(C2CCCCC2)n1)CC(C)C ZINC000156088551 1129109480 /nfs/dbraw/zinc/10/94/80/1129109480.db2.gz PEVRPDWSVCJEEM-UHFFFAOYSA-N 1 2 265.401 3.595 20 0 CHADLO CC[N@H+](Cc1noc(C2CCCCC2)n1)CC(C)C ZINC000156088551 1129109481 /nfs/dbraw/zinc/10/94/81/1129109481.db2.gz PEVRPDWSVCJEEM-UHFFFAOYSA-N 1 2 265.401 3.595 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc2c(c1)CCCO2)C(F)F ZINC000850471234 1123271635 /nfs/dbraw/zinc/27/16/35/1123271635.db2.gz ONXXADXXWFOELA-MFKMUULPSA-N 1 2 269.335 3.706 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2cc3cnccc3o2)no1 ZINC000850467755 1123271873 /nfs/dbraw/zinc/27/18/73/1123271873.db2.gz SSTYCRFSNITGPM-WDEREUQCSA-N 1 2 271.320 3.536 20 0 CHADLO C[C@H](c1csnn1)[N@@H+]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000334624500 1123279475 /nfs/dbraw/zinc/27/94/75/1123279475.db2.gz VEANMOAFZFKSNU-ZYHUDNBSSA-N 1 2 293.823 3.742 20 0 CHADLO C[C@H](c1csnn1)[N@H+]1CC[C@@H](c2cccc(Cl)c2)C1 ZINC000334624500 1123279478 /nfs/dbraw/zinc/27/94/78/1123279478.db2.gz VEANMOAFZFKSNU-ZYHUDNBSSA-N 1 2 293.823 3.742 20 0 CHADLO FC(F)(F)c1cccnc1C[N@@H+]1CCC[C@@H]1c1ccc[nH]1 ZINC000156602975 1129114920 /nfs/dbraw/zinc/11/49/20/1129114920.db2.gz YPMJVOOIQMSTAS-CQSZACIVSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1cccnc1C[N@H+]1CCC[C@@H]1c1ccc[nH]1 ZINC000156602975 1129114923 /nfs/dbraw/zinc/11/49/23/1129114923.db2.gz YPMJVOOIQMSTAS-CQSZACIVSA-N 1 2 295.308 3.766 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1ccccc1OC(F)F ZINC000338936796 1123357493 /nfs/dbraw/zinc/35/74/93/1123357493.db2.gz QRQHRIKZYYQBCS-SNVBAGLBSA-N 1 2 264.275 3.856 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC3(CCC3)[C@@H]2C2CC2)c(C)[nH+]1 ZINC000335590500 1123380553 /nfs/dbraw/zinc/38/05/53/1123380553.db2.gz OYUABTXLAMHYSF-INIZCTEOSA-N 1 2 299.418 3.803 20 0 CHADLO ClC(Cl)=CC[N@@H+]1CCC[C@@H]1c1cccnc1 ZINC000851871750 1123385762 /nfs/dbraw/zinc/38/57/62/1123385762.db2.gz ULEGPHWOPIDLGX-LLVKDONJSA-N 1 2 257.164 3.538 20 0 CHADLO ClC(Cl)=CC[N@H+]1CCC[C@@H]1c1cccnc1 ZINC000851871750 1123385765 /nfs/dbraw/zinc/38/57/65/1123385765.db2.gz ULEGPHWOPIDLGX-LLVKDONJSA-N 1 2 257.164 3.538 20 0 CHADLO CC(C)CC[C@H]([NH2+]Cc1cnon1)c1ccc(Cl)cc1 ZINC000851897596 1123387045 /nfs/dbraw/zinc/38/70/45/1123387045.db2.gz PRKNITSIUGEVLA-HNNXBMFYSA-N 1 2 293.798 3.990 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCC[C@@H](c2ccccn2)C1 ZINC000852086974 1123395803 /nfs/dbraw/zinc/39/58/03/1123395803.db2.gz YTWXDLHBTJDHFT-HQQGHWSLSA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCC[C@@H](c2ccccn2)C1 ZINC000852086974 1123395806 /nfs/dbraw/zinc/39/58/06/1123395806.db2.gz YTWXDLHBTJDHFT-HQQGHWSLSA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(/Cl)C[NH+]1CCC(c2ccco2)CC1 ZINC000852324563 1123403229 /nfs/dbraw/zinc/40/32/29/1123403229.db2.gz VTFVMEPFNMZGLT-DHZHZOJOSA-N 1 2 260.164 3.778 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+][C@H](C)c2csnn2)c1 ZINC000398478100 1123406345 /nfs/dbraw/zinc/40/63/45/1123406345.db2.gz QLDHEFNVSHXUFG-VXGBXAGGSA-N 1 2 261.394 3.567 20 0 CHADLO CC(C)Cn1nccc1C[N@@H+]1Cc2ccccc2[C@H]1C ZINC000648009391 1123425542 /nfs/dbraw/zinc/42/55/42/1123425542.db2.gz FMTAAQPFVGTLIF-CQSZACIVSA-N 1 2 269.392 3.616 20 0 CHADLO CC(C)Cn1nccc1C[N@H+]1Cc2ccccc2[C@H]1C ZINC000648009391 1123425545 /nfs/dbraw/zinc/42/55/45/1123425545.db2.gz FMTAAQPFVGTLIF-CQSZACIVSA-N 1 2 269.392 3.616 20 0 CHADLO c1cn(CCCn2nc(C3CC3)cc2-c2ccccc2)c[nH+]1 ZINC000634633174 1129122215 /nfs/dbraw/zinc/12/22/15/1129122215.db2.gz MLMAOKWOAFNRGF-UHFFFAOYSA-N 1 2 292.386 3.714 20 0 CHADLO Cc1[nH+]c2cc(OCC=C(Cl)Cl)ccc2n1C ZINC000853706739 1123448586 /nfs/dbraw/zinc/44/85/86/1123448586.db2.gz OWARJRZZERGIQV-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO CO[C@@H](CSCc1cc[nH+]c(N(C)C)c1)C1CCCC1 ZINC000853772147 1123453195 /nfs/dbraw/zinc/45/31/95/1123453195.db2.gz KCCCCSYWGLWYPW-HNNXBMFYSA-N 1 2 294.464 3.586 20 0 CHADLO Cc1cc(N)nc(SC[C@H]2CCCc3ccccc32)[nH+]1 ZINC000113649635 1123469553 /nfs/dbraw/zinc/46/95/53/1123469553.db2.gz IYJBOIJCRDJNDK-CYBMUJFWSA-N 1 2 285.416 3.579 20 0 CHADLO Cc1cc(N)ccc1Nc1cc[nH+]c2ccc(Cl)cc12 ZINC001214106084 1123474112 /nfs/dbraw/zinc/47/41/12/1123474112.db2.gz VRGJHWZXFBKJJM-UHFFFAOYSA-N 1 2 283.762 3.944 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCc2cccc(C(F)(F)F)c2C1 ZINC000895405057 1123476667 /nfs/dbraw/zinc/47/66/67/1123476667.db2.gz IZMQEJIFCJMMFE-UHFFFAOYSA-N 1 2 296.292 3.560 20 0 CHADLO Cc1ncoc1C[N@H+]1CCc2cccc(C(F)(F)F)c2C1 ZINC000895405057 1123476669 /nfs/dbraw/zinc/47/66/69/1123476669.db2.gz IZMQEJIFCJMMFE-UHFFFAOYSA-N 1 2 296.292 3.560 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2ccns2)s1 ZINC000895451972 1123481222 /nfs/dbraw/zinc/48/12/22/1123481222.db2.gz HPBONHNZARYUMQ-UHFFFAOYSA-N 1 2 270.448 3.559 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2ccns2)s1 ZINC000895451972 1123481227 /nfs/dbraw/zinc/48/12/27/1123481227.db2.gz HPBONHNZARYUMQ-UHFFFAOYSA-N 1 2 270.448 3.559 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2ccc(Cl)cc2)s1 ZINC000273327881 1129124704 /nfs/dbraw/zinc/12/47/04/1129124704.db2.gz LLAIYILXWAYKHL-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO Cc1cc(NCc2cc(C(F)F)on2)nc(C2CCC2)[nH+]1 ZINC000895602637 1123495073 /nfs/dbraw/zinc/49/50/73/1123495073.db2.gz AUMJHMQMJJQIDL-UHFFFAOYSA-N 1 2 294.305 3.590 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCCC2)cc1)C1CCOCC1 ZINC000776802089 1123512890 /nfs/dbraw/zinc/51/28/90/1123512890.db2.gz BNSJQATZJRCOTF-SFHVURJKSA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@H](Nc1ccc([NH+]2CCCC2)cc1)C1CCOCC1 ZINC000776802089 1123512892 /nfs/dbraw/zinc/51/28/92/1123512892.db2.gz BNSJQATZJRCOTF-SFHVURJKSA-N 1 2 288.435 3.904 20 0 CHADLO C[N@H+](Cc1ccns1)Cc1ccc(C(F)F)c(F)c1 ZINC000895810358 1123515378 /nfs/dbraw/zinc/51/53/78/1123515378.db2.gz ABLLFLQMVLEGGR-UHFFFAOYSA-N 1 2 286.322 3.852 20 0 CHADLO C[N@@H+](Cc1ccns1)Cc1ccc(C(F)F)c(F)c1 ZINC000895810358 1123515381 /nfs/dbraw/zinc/51/53/81/1123515381.db2.gz ABLLFLQMVLEGGR-UHFFFAOYSA-N 1 2 286.322 3.852 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2C[C@@H]3CC=CC[C@@H]3C2)cs1 ZINC000895829668 1123519631 /nfs/dbraw/zinc/51/96/31/1123519631.db2.gz RKPGZLSGBCLTDC-AOOOYVTPSA-N 1 2 288.338 3.560 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2C[C@@H]3CC=CC[C@@H]3C2)cs1 ZINC000895829668 1123519633 /nfs/dbraw/zinc/51/96/33/1123519633.db2.gz RKPGZLSGBCLTDC-AOOOYVTPSA-N 1 2 288.338 3.560 20 0 CHADLO C[C@@H]1CCC[C@H](C2C[NH+](Cc3nnc(C4CC4)s3)C2)C1 ZINC000895862113 1123520927 /nfs/dbraw/zinc/52/09/27/1123520927.db2.gz VLYCRXHGTYZCIF-YPMHNXCESA-N 1 2 291.464 3.674 20 0 CHADLO CCc1ccc([C@H]([NH2+]Cc2noc(C3CC3)n2)C(C)C)cc1 ZINC000097078867 1123530792 /nfs/dbraw/zinc/53/07/92/1123530792.db2.gz WLEQBFSHZSSROO-QGZVFWFLSA-N 1 2 299.418 3.996 20 0 CHADLO CCOc1ccc(C[NH2+][C@H]2c3ccccc3CC[C@@H]2F)o1 ZINC000896030505 1123543732 /nfs/dbraw/zinc/54/37/32/1123543732.db2.gz MADJYDRAJBVJRF-RDJZCZTQSA-N 1 2 289.350 3.794 20 0 CHADLO C(=C/c1ccccc1)\C[N@@H+]1CCO[C@H](c2cccs2)C1 ZINC000157508799 1129128833 /nfs/dbraw/zinc/12/88/33/1129128833.db2.gz CZBZQQOPCFDBLG-YCOJEUHLSA-N 1 2 285.412 3.835 20 0 CHADLO C(=C/c1ccccc1)\C[N@H+]1CCO[C@H](c2cccs2)C1 ZINC000157508799 1129128834 /nfs/dbraw/zinc/12/88/34/1129128834.db2.gz CZBZQQOPCFDBLG-YCOJEUHLSA-N 1 2 285.412 3.835 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)C1CCCC1 ZINC000054079099 1123552824 /nfs/dbraw/zinc/55/28/24/1123552824.db2.gz RAIPZWMVCCLMLI-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO CSCC1CCN(c2[nH+]ccc3ccccc32)CC1 ZINC000896210762 1123561554 /nfs/dbraw/zinc/56/15/54/1123561554.db2.gz QGJGMLAMSWNSTR-UHFFFAOYSA-N 1 2 272.417 3.814 20 0 CHADLO Cc1ncsc1C[N@@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000338995654 1123561636 /nfs/dbraw/zinc/56/16/36/1123561636.db2.gz HFHIPASIYXIYNE-OAHLLOKOSA-N 1 2 294.370 3.661 20 0 CHADLO Cc1ncsc1C[N@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000338995654 1123561639 /nfs/dbraw/zinc/56/16/39/1123561639.db2.gz HFHIPASIYXIYNE-OAHLLOKOSA-N 1 2 294.370 3.661 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@](F)(c3ccccc3F)C2)cn1 ZINC000338996918 1123564060 /nfs/dbraw/zinc/56/40/60/1123564060.db2.gz KXUBDNGGBUGXJB-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@](F)(c3ccccc3F)C2)cn1 ZINC000338996918 1123564065 /nfs/dbraw/zinc/56/40/65/1123564065.db2.gz KXUBDNGGBUGXJB-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1cc(NCC[C@H]2CC2(Cl)Cl)nc(C2CC2)[nH+]1 ZINC000856786117 1123569069 /nfs/dbraw/zinc/56/90/69/1123569069.db2.gz WJWHNLMGAXRDBK-JTQLQIEISA-N 1 2 286.206 3.658 20 0 CHADLO Cn1cc(C[N@H+](Cc2ccco2)C2CCCC2)c(Cl)n1 ZINC000857012350 1123577568 /nfs/dbraw/zinc/57/75/68/1123577568.db2.gz FTEVUNJQVSYTOS-UHFFFAOYSA-N 1 2 293.798 3.611 20 0 CHADLO Cn1cc(C[N@@H+](Cc2ccco2)C2CCCC2)c(Cl)n1 ZINC000857012350 1123577574 /nfs/dbraw/zinc/57/75/74/1123577574.db2.gz FTEVUNJQVSYTOS-UHFFFAOYSA-N 1 2 293.798 3.611 20 0 CHADLO COc1cc(CNc2ccc([NH+](C)C)cc2)ccc1C ZINC000194120687 1129131017 /nfs/dbraw/zinc/13/10/17/1129131017.db2.gz FWLDNNRFCQXEDL-UHFFFAOYSA-N 1 2 270.376 3.682 20 0 CHADLO Cc1nnc(C[N@H+]2CCCC[C@@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000428301410 1123585675 /nfs/dbraw/zinc/58/56/75/1123585675.db2.gz AAYISHGVATXJBY-QGZVFWFLSA-N 1 2 298.434 3.964 20 0 CHADLO Cc1nnc(C[N@@H+]2CCCC[C@@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000428301410 1123585681 /nfs/dbraw/zinc/58/56/81/1123585681.db2.gz AAYISHGVATXJBY-QGZVFWFLSA-N 1 2 298.434 3.964 20 0 CHADLO CC(C)C[N@H+](Cc1nc(C(C)C)c[nH]1)Cc1ccccn1 ZINC000896661849 1123610644 /nfs/dbraw/zinc/61/06/44/1123610644.db2.gz YRLKADQKLZVCKI-UHFFFAOYSA-N 1 2 286.423 3.586 20 0 CHADLO CC(C)C[N@@H+](Cc1nc(C(C)C)c[nH]1)Cc1ccccn1 ZINC000896661849 1123610645 /nfs/dbraw/zinc/61/06/45/1123610645.db2.gz YRLKADQKLZVCKI-UHFFFAOYSA-N 1 2 286.423 3.586 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(F)c(Br)cc2F)C1 ZINC001141910865 1123619386 /nfs/dbraw/zinc/61/93/86/1123619386.db2.gz YZJDYZQNGVZHBX-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(F)c(Br)cc2F)C1 ZINC001141910865 1123619388 /nfs/dbraw/zinc/61/93/88/1123619388.db2.gz YZJDYZQNGVZHBX-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO c1nc(C[N@@H+]2Cc3ccccc3C[C@H]2c2ccccc2)co1 ZINC000191945539 1123631846 /nfs/dbraw/zinc/63/18/46/1123631846.db2.gz PSMPDXFKMSYPKL-IBGZPJMESA-N 1 2 290.366 3.974 20 0 CHADLO c1nc(C[N@H+]2Cc3ccccc3C[C@H]2c2ccccc2)co1 ZINC000191945539 1123631848 /nfs/dbraw/zinc/63/18/48/1123631848.db2.gz PSMPDXFKMSYPKL-IBGZPJMESA-N 1 2 290.366 3.974 20 0 CHADLO Cc1cc(C[NH2+][C@@H](CC(F)(F)F)c2ccccc2)ncn1 ZINC000428312534 1123649074 /nfs/dbraw/zinc/64/90/74/1123649074.db2.gz ODCDUZHSHQUVNY-AWEZNQCLSA-N 1 2 295.308 3.568 20 0 CHADLO Cc1csc([C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)n1 ZINC000858398915 1123650343 /nfs/dbraw/zinc/65/03/43/1123650343.db2.gz LTDFVDWXQKCJPJ-GFCCVEGCSA-N 1 2 275.421 3.999 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)nc2)[C@@H]1c1ccccc1 ZINC000081573606 1129136256 /nfs/dbraw/zinc/13/62/56/1129136256.db2.gz PVMZLIHXOQSBGI-WBMJQRKESA-N 1 2 272.779 3.928 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)nc2)[C@@H]1c1ccccc1 ZINC000081573606 1129136258 /nfs/dbraw/zinc/13/62/58/1129136258.db2.gz PVMZLIHXOQSBGI-WBMJQRKESA-N 1 2 272.779 3.928 20 0 CHADLO Fc1cc(C[N@H+](Cc2ccccc2F)C2CC2)ccn1 ZINC000859055430 1123681124 /nfs/dbraw/zinc/68/11/24/1123681124.db2.gz FZYITQNWVYBBSH-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1cc(C[N@@H+](Cc2ccccc2F)C2CC2)ccn1 ZINC000859055430 1123681126 /nfs/dbraw/zinc/68/11/26/1123681126.db2.gz FZYITQNWVYBBSH-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@H+]1Cc1ccnc(F)c1 ZINC000859222111 1123691251 /nfs/dbraw/zinc/69/12/51/1123691251.db2.gz JKQNKYCYFVBGMT-NWDGAFQWSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1c2ccc(F)cc2C[C@H](C)[N@@H+]1Cc1ccnc(F)c1 ZINC000859222111 1123691252 /nfs/dbraw/zinc/69/12/52/1123691252.db2.gz JKQNKYCYFVBGMT-NWDGAFQWSA-N 1 2 288.341 3.868 20 0 CHADLO Cc1cc(N[C@H](C)c2cccc(F)c2F)nc(C2CC2)[nH+]1 ZINC000346696594 1123707696 /nfs/dbraw/zinc/70/76/96/1123707696.db2.gz SCGFQLFKCWWDJZ-SNVBAGLBSA-N 1 2 289.329 3.536 20 0 CHADLO Cc1nc([C@H](C)[NH2+]C2(c3ccc(F)cc3)CC2)cs1 ZINC000346789905 1123712577 /nfs/dbraw/zinc/71/25/77/1123712577.db2.gz FILYXGQFSPEYCO-JTQLQIEISA-N 1 2 276.380 3.931 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2ncc(Cl)n2C)c(C)c1 ZINC000194970064 1129140457 /nfs/dbraw/zinc/14/04/57/1129140457.db2.gz FGFAIVQQDIGNKY-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2ncc(Cl)n2C)c(C)c1 ZINC000194970064 1129140459 /nfs/dbraw/zinc/14/04/59/1129140459.db2.gz FGFAIVQQDIGNKY-UHFFFAOYSA-N 1 2 291.826 3.631 20 0 CHADLO Cc1ccc(NCc2cnc(C(F)(F)F)s2)c(C)[nH+]1 ZINC000443982160 1123717318 /nfs/dbraw/zinc/71/73/18/1123717318.db2.gz DBUQHJJSJJDUGD-UHFFFAOYSA-N 1 2 287.310 3.786 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2ccccc2o1)c1ccns1 ZINC000860059267 1123720677 /nfs/dbraw/zinc/72/06/77/1123720677.db2.gz XRUIBLCQRLVIDD-ZJUUUORDSA-N 1 2 273.361 3.696 20 0 CHADLO Cc1cnc(C[NH+]2CCC(c3c(F)cccc3F)CC2)o1 ZINC000336088671 1123723601 /nfs/dbraw/zinc/72/36/01/1123723601.db2.gz HKQIPWWADICRMD-UHFFFAOYSA-N 1 2 292.329 3.641 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2cccnc2)c(F)c1 ZINC000347002516 1123738725 /nfs/dbraw/zinc/73/87/25/1123738725.db2.gz VHJGKBCPOFMJRM-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2cccnc2)c(F)c1 ZINC000347002516 1123738728 /nfs/dbraw/zinc/73/87/28/1123738728.db2.gz VHJGKBCPOFMJRM-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO C[C@@H](c1nnc(-c2ccccc2)o1)[N@@H+]1CCC[C@@](C)(F)C1 ZINC000336267308 1123754416 /nfs/dbraw/zinc/75/44/16/1123754416.db2.gz GILYZTYBASCBFL-BLLLJJGKSA-N 1 2 289.354 3.622 20 0 CHADLO C[C@@H](c1nnc(-c2ccccc2)o1)[N@H+]1CCC[C@@](C)(F)C1 ZINC000336267308 1123754418 /nfs/dbraw/zinc/75/44/18/1123754418.db2.gz GILYZTYBASCBFL-BLLLJJGKSA-N 1 2 289.354 3.622 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2c1cccc2Cl)c1csnn1 ZINC000336280831 1123757081 /nfs/dbraw/zinc/75/70/81/1123757081.db2.gz HQPJSLUUMDNAST-QPUJVOFHSA-N 1 2 279.796 3.530 20 0 CHADLO Cc1c(C[N@@H+]2CCc3cccc(F)c3[C@H]2C)ccnc1F ZINC000862245820 1123794554 /nfs/dbraw/zinc/79/45/54/1123794554.db2.gz YHXWTUMJCFGISW-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1c(C[N@H+]2CCc3cccc(F)c3[C@H]2C)ccnc1F ZINC000862245820 1123794556 /nfs/dbraw/zinc/79/45/56/1123794556.db2.gz YHXWTUMJCFGISW-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](CCc1ccc(F)cc1Cl)C2 ZINC000862450525 1123810799 /nfs/dbraw/zinc/81/07/99/1123810799.db2.gz VULCEJKQGYAFFU-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](CCc1ccc(F)cc1Cl)C2 ZINC000862450525 1123810802 /nfs/dbraw/zinc/81/08/02/1123810802.db2.gz VULCEJKQGYAFFU-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCc3c(O)cccc3C2)s1 ZINC000862528908 1123816642 /nfs/dbraw/zinc/81/66/42/1123816642.db2.gz DVWJQRABGSPKIV-UHFFFAOYSA-N 1 2 288.416 3.530 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCc3c(O)cccc3C2)s1 ZINC000862528908 1123816636 /nfs/dbraw/zinc/81/66/36/1123816636.db2.gz DVWJQRABGSPKIV-UHFFFAOYSA-N 1 2 288.416 3.530 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2cncc(Cl)c2)C1 ZINC000621243285 1129152893 /nfs/dbraw/zinc/15/28/93/1129152893.db2.gz TVGIYUXYKDNPGS-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2cncc(Cl)c2)C1 ZINC000621243285 1129152895 /nfs/dbraw/zinc/15/28/95/1129152895.db2.gz TVGIYUXYKDNPGS-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO CNc1ccc(Nc2cc(Cl)ccc2OC)c[nH+]1 ZINC001203455376 1129151022 /nfs/dbraw/zinc/15/10/22/1129151022.db2.gz SBOSQZYISSYEMH-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO Cc1ccc2c(CCNc3cccc[nH+]3)c[nH]c2c1 ZINC000301131914 1123877826 /nfs/dbraw/zinc/87/78/26/1123877826.db2.gz SIGKFJFJQPHYTN-UHFFFAOYSA-N 1 2 251.333 3.526 20 0 CHADLO c1ccc(CC[C@@H]2CCCN2c2cccc[nH+]2)cc1 ZINC000301220914 1123881730 /nfs/dbraw/zinc/88/17/30/1123881730.db2.gz BQMXQCCZXZFRAK-INIZCTEOSA-N 1 2 252.361 3.683 20 0 CHADLO c1cn(Cc2cccc(-c3nc(C4CC4)cs3)c2)c[nH+]1 ZINC000863690236 1123890271 /nfs/dbraw/zinc/89/02/71/1123890271.db2.gz NLYCOXWWNPTCQQ-UHFFFAOYSA-N 1 2 281.384 3.932 20 0 CHADLO CC(C)(C)c1ccc([C@H](O)CNc2cccc[nH+]2)cc1 ZINC000301309149 1123891279 /nfs/dbraw/zinc/89/12/79/1123891279.db2.gz DQSRUGYLDVKOLF-OAHLLOKOSA-N 1 2 270.376 3.525 20 0 CHADLO Fc1cccc(Cl)c1[C@H]1C[C@@H]1Nc1cccc[nH+]1 ZINC000301349610 1123894183 /nfs/dbraw/zinc/89/41/83/1123894183.db2.gz KXCAWJVTGIGAFE-CABZTGNLSA-N 1 2 262.715 3.842 20 0 CHADLO CC[C@H](Nc1cc(C)[nH+]c(C(C)C)n1)c1ccncc1 ZINC000301390984 1123897491 /nfs/dbraw/zinc/89/74/91/1123897491.db2.gz GTRGNHLXIJPFRM-AWEZNQCLSA-N 1 2 270.380 3.867 20 0 CHADLO c1ccc(N2CCC[C@@H](c3nc4ccccc4o3)C2)[nH+]c1 ZINC000301539191 1123909946 /nfs/dbraw/zinc/90/99/46/1123909946.db2.gz RPHWMNZZPWATHE-CYBMUJFWSA-N 1 2 279.343 3.607 20 0 CHADLO COc1cccc2c1CN(c1[nH+]ccc3cc(F)ccc31)C2 ZINC000864022601 1123910859 /nfs/dbraw/zinc/91/08/59/1123910859.db2.gz RTZNCBIXKXFQCL-UHFFFAOYSA-N 1 2 294.329 3.903 20 0 CHADLO c1ccc(NCCCO[C@@H]2CCCc3ccccc32)[nH+]c1 ZINC000301554625 1123911955 /nfs/dbraw/zinc/91/19/55/1123911955.db2.gz RQWZTVGXTBLLJO-QGZVFWFLSA-N 1 2 282.387 3.978 20 0 CHADLO COc1cc(C)ccc1CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000301620638 1123917984 /nfs/dbraw/zinc/91/79/84/1123917984.db2.gz MREQNMKKQXRLSE-UHFFFAOYSA-N 1 2 285.391 3.838 20 0 CHADLO Clc1cc(Cl)c2c(c1)CN(c1cccc[nH+]1)CC2 ZINC000301673726 1123922742 /nfs/dbraw/zinc/92/27/42/1123922742.db2.gz AZFHVEBPLFHERS-UHFFFAOYSA-N 1 2 279.170 3.951 20 0 CHADLO Cc1nc(N2CCC3(C2)CCCCC3)c(C)c(C)[nH+]1 ZINC000301697621 1123924922 /nfs/dbraw/zinc/92/49/22/1123924922.db2.gz QPFOWNARHPGRGD-UHFFFAOYSA-N 1 2 259.397 3.562 20 0 CHADLO Cc1cc(N[C@H]2CCOC3(CCCC3)C2)nc(C(C)C)[nH+]1 ZINC000301702155 1123925385 /nfs/dbraw/zinc/92/53/85/1123925385.db2.gz QLHZJVVBVJCCNZ-AWEZNQCLSA-N 1 2 289.423 3.812 20 0 CHADLO Cc1cccc([C@@H](O)CNc2[nH+]c3ccccc3cc2C)c1 ZINC000301702530 1123925519 /nfs/dbraw/zinc/92/55/19/1123925519.db2.gz FCYHFSDGVGAXDG-SFHVURJKSA-N 1 2 292.382 3.997 20 0 CHADLO Cc1nc(N(C)Cc2cc3ccccc3o2)c(C)c(C)[nH+]1 ZINC000301709694 1123926084 /nfs/dbraw/zinc/92/60/84/1123926084.db2.gz XBODQPMHMAIAPD-UHFFFAOYSA-N 1 2 281.359 3.784 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H](CCO)CC(C)C ZINC000301720039 1123926895 /nfs/dbraw/zinc/92/68/95/1123926895.db2.gz MGPXTWFZTDDWFF-HNNXBMFYSA-N 1 2 286.419 4.000 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@](C)(O)c1cccs1 ZINC000301731422 1123927816 /nfs/dbraw/zinc/92/78/16/1123927816.db2.gz SPSTVLREKZOULG-KRWDZBQOSA-N 1 2 298.411 3.924 20 0 CHADLO CN(Cc1cccc(Cl)c1)c1nc(N)c2ccccc2[nH+]1 ZINC000301793561 1123933334 /nfs/dbraw/zinc/93/33/34/1123933334.db2.gz RVMGXISWSIKORF-UHFFFAOYSA-N 1 2 298.777 3.502 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2cncc(Cl)c2)CC1 ZINC000621260356 1129154289 /nfs/dbraw/zinc/15/42/89/1129154289.db2.gz AXSNOVOJQIMUSR-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO CC(C)c1cc(NC[C@@](C)(O)C(C)C)nc(C(C)C)[nH+]1 ZINC000301848275 1123938092 /nfs/dbraw/zinc/93/80/92/1123938092.db2.gz CLNQKGNUUUDHCR-MRXNPFEDSA-N 1 2 279.428 3.542 20 0 CHADLO CC(C)(CNc1nc(N)c2ccccc2[nH+]1)c1cccs1 ZINC000301862064 1123939183 /nfs/dbraw/zinc/93/91/83/1123939183.db2.gz CLTMPOGAJRNBGX-UHFFFAOYSA-N 1 2 298.415 3.663 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OCc1cccc(C(F)F)c1 ZINC000794715845 1123943004 /nfs/dbraw/zinc/94/30/04/1123943004.db2.gz YOHWDESXSRRLKZ-UHFFFAOYSA-N 1 2 290.313 3.979 20 0 CHADLO Fc1ccc(N2CC[C@@H](Nc3cccc[nH+]3)C2)cc1Cl ZINC000302028259 1123950662 /nfs/dbraw/zinc/95/06/62/1123950662.db2.gz IXYFNEPZRKOLMU-LLVKDONJSA-N 1 2 291.757 3.565 20 0 CHADLO CCN(c1nc(C)[nH+]c2c1CCCC2)[C@@H](C)c1cccnc1 ZINC000302048540 1123952649 /nfs/dbraw/zinc/95/26/49/1123952649.db2.gz MIFZHIMYKRBJAY-ZDUSSCGKSA-N 1 2 296.418 3.646 20 0 CHADLO Cc1cc(C)cc([C@@H]2CCN(c3cccc[nH+]3)C2)c1 ZINC000302117447 1123956180 /nfs/dbraw/zinc/95/61/80/1123956180.db2.gz CMXOHLIMOOWPHG-OAHLLOKOSA-N 1 2 252.361 3.692 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CCC(C)(C)O1 ZINC000302454989 1123969649 /nfs/dbraw/zinc/96/96/49/1123969649.db2.gz SXBSNOFTSTUVRZ-CQSZACIVSA-N 1 2 270.376 3.913 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1C[C@H]2OCCC[C@H]12 ZINC000302582392 1123973889 /nfs/dbraw/zinc/97/38/89/1123973889.db2.gz UFMWRTGAOUXFEM-FVQBIDKESA-N 1 2 268.360 3.523 20 0 CHADLO CC(C)(C)c1cn(CC(=O)c2c[nH]c3ccc(F)cc23)c[nH+]1 ZINC000865376212 1123976306 /nfs/dbraw/zinc/97/63/06/1123976306.db2.gz DOWOKVWRAOTPMN-UHFFFAOYSA-N 1 2 299.349 3.684 20 0 CHADLO CC(C)(C)c1cn(Cc2nc(C(F)(F)F)cs2)c[nH+]1 ZINC000865376182 1123976594 /nfs/dbraw/zinc/97/65/94/1123976594.db2.gz CSZXSCBSURPEEL-UHFFFAOYSA-N 1 2 289.326 3.704 20 0 CHADLO CC(C)(C)c1cn(Cc2nnc(-c3ccccc3)s2)c[nH+]1 ZINC000865376226 1123976619 /nfs/dbraw/zinc/97/66/19/1123976619.db2.gz FETDRUKNZAHFGK-UHFFFAOYSA-N 1 2 298.415 3.747 20 0 CHADLO CC(C)(C)c1cn(Cc2ncccc2C(F)(F)F)c[nH+]1 ZINC000865376700 1123977668 /nfs/dbraw/zinc/97/76/68/1123977668.db2.gz ZLSKDILZVYMIRO-UHFFFAOYSA-N 1 2 283.297 3.643 20 0 CHADLO Fc1cccc(F)c1CN(c1cccc[nH+]1)C1CC1 ZINC000302697715 1123978215 /nfs/dbraw/zinc/97/82/15/1123978215.db2.gz GYAAJFDRHOPHAQ-UHFFFAOYSA-N 1 2 260.287 3.529 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1cncc(Cl)c1 ZINC000621260796 1129157274 /nfs/dbraw/zinc/15/72/74/1129157274.db2.gz QMTQFDAMQNZJLJ-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1cncc(Cl)c1 ZINC000621260796 1129157278 /nfs/dbraw/zinc/15/72/78/1129157278.db2.gz QMTQFDAMQNZJLJ-MRXNPFEDSA-N 1 2 289.810 3.801 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)C1(C)C ZINC000085383762 1129159282 /nfs/dbraw/zinc/15/92/82/1129159282.db2.gz ASULOMMDEGWOHX-IRXDYDNUSA-N 1 2 288.435 3.902 20 0 CHADLO Cc1cccc([C@@H](C)Nc2cc(N3CCCC3)nc[nH+]2)c1C ZINC000302917533 1123990503 /nfs/dbraw/zinc/99/05/03/1123990503.db2.gz XAURLHYZYPENFO-OAHLLOKOSA-N 1 2 296.418 3.867 20 0 CHADLO Cc1cccc([C@@H](C)Nc2cc(N3CCCC3)[nH+]cn2)c1C ZINC000302917533 1123990506 /nfs/dbraw/zinc/99/05/06/1123990506.db2.gz XAURLHYZYPENFO-OAHLLOKOSA-N 1 2 296.418 3.867 20 0 CHADLO Cc1cccc([C@H](C)Nc2cc(N3CCCC3)nc[nH+]2)c1C ZINC000302917531 1123990612 /nfs/dbraw/zinc/99/06/12/1123990612.db2.gz XAURLHYZYPENFO-HNNXBMFYSA-N 1 2 296.418 3.867 20 0 CHADLO Cc1cccc([C@H](C)Nc2cc(N3CCCC3)[nH+]cn2)c1C ZINC000302917531 1123990616 /nfs/dbraw/zinc/99/06/16/1123990616.db2.gz XAURLHYZYPENFO-HNNXBMFYSA-N 1 2 296.418 3.867 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(Cl)cncc2Cl)nc1C ZINC000865599619 1123997961 /nfs/dbraw/zinc/99/79/61/1123997961.db2.gz NMCDUQQAGQSKHX-UHFFFAOYSA-N 1 2 296.201 3.690 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CCCC4(CC4)C3)cc2[nH+]1 ZINC000665796144 1124014292 /nfs/dbraw/zinc/01/42/92/1124014292.db2.gz NUAOZCSIXNKYLX-GFCCVEGCSA-N 1 2 283.375 3.780 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1cncc(F)c1 ZINC000794883499 1124024963 /nfs/dbraw/zinc/02/49/63/1124024963.db2.gz IXJUXYNIDDQZFV-UHFFFAOYSA-N 1 2 298.365 3.831 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(-n2ccnc2)cc1 ZINC000389468272 1124030236 /nfs/dbraw/zinc/03/02/36/1124030236.db2.gz LQKQOZJGFGIELA-VXGBXAGGSA-N 1 2 279.334 3.567 20 0 CHADLO Cc1cc(NCc2noc3ccc(F)cc23)nc(C2CC2)[nH+]1 ZINC000866142865 1124033958 /nfs/dbraw/zinc/03/39/58/1124033958.db2.gz KUCKKCFNCYBVAI-UHFFFAOYSA-N 1 2 298.321 3.555 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+][C@@H]1CCc2ccccc21 ZINC000866221790 1124038316 /nfs/dbraw/zinc/03/83/16/1124038316.db2.gz VCBOUPPSWAWBRO-NUJGCVRESA-N 1 2 283.346 3.735 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)cc1 ZINC000866217695 1124038372 /nfs/dbraw/zinc/03/83/72/1124038372.db2.gz OKEKHTDECCJMBF-WBVHZDCISA-N 1 2 271.335 3.556 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)s1 ZINC000866237292 1124039582 /nfs/dbraw/zinc/03/95/82/1124039582.db2.gz IZBSNIIHEJXPJF-DZGCQCFKSA-N 1 2 277.364 3.618 20 0 CHADLO CC[C@H]([NH2+][C@@H]1c2ccccc2OC[C@H]1F)c1ccncc1 ZINC000866272822 1124045728 /nfs/dbraw/zinc/04/57/28/1124045728.db2.gz VQDFHYPQLMNSCZ-HLLBOEOZSA-N 1 2 286.350 3.594 20 0 CHADLO C[C@@H]([NH2+][C@H]1c2ccccc2OC[C@@H]1F)c1ccccc1 ZINC000866293894 1124048194 /nfs/dbraw/zinc/04/81/94/1124048194.db2.gz GUAMEWCSTCJSNL-PVUWLOKVSA-N 1 2 271.335 3.809 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CCc2cccc3cccc1c32 ZINC000866300945 1124049452 /nfs/dbraw/zinc/04/94/52/1124049452.db2.gz ZYMIGUZUBNJBOM-QGZVFWFLSA-N 1 2 277.371 3.648 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+][C@H](c1cccnc1)C1CC1 ZINC000866310541 1124049841 /nfs/dbraw/zinc/04/98/41/1124049841.db2.gz FVLYLGWSDDQFHM-BPQIPLTHSA-N 1 2 298.361 3.594 20 0 CHADLO CC(C)[C@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccccn1 ZINC000866322986 1124051645 /nfs/dbraw/zinc/05/16/45/1124051645.db2.gz BDMKWFXHAPLUEZ-SFHVURJKSA-N 1 2 296.418 3.886 20 0 CHADLO COc1ccc([NH2+][C@H](C)CCc2cccnc2)c(OC)c1 ZINC000866316533 1124051986 /nfs/dbraw/zinc/05/19/86/1124051986.db2.gz RNBYLHIJAJPSMU-CYBMUJFWSA-N 1 2 286.375 3.532 20 0 CHADLO Cc1cscc1C[NH2+][C@@H]1c2ccccc2OC[C@@H]1F ZINC000866349019 1124055573 /nfs/dbraw/zinc/05/55/73/1124055573.db2.gz FXTKKQNRKGPPNJ-DZGCQCFKSA-N 1 2 277.364 3.618 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CC[C@H](CC(F)(F)F)C2)n1 ZINC000444711233 1124056072 /nfs/dbraw/zinc/05/60/72/1124056072.db2.gz UECNVCUWKPPQPW-VHSXEESVSA-N 1 2 291.317 3.528 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CC[C@H](CC(F)(F)F)C2)n1 ZINC000444711233 1124056074 /nfs/dbraw/zinc/05/60/74/1124056074.db2.gz UECNVCUWKPPQPW-VHSXEESVSA-N 1 2 291.317 3.528 20 0 CHADLO C[C@@H](CC1CCC1)[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000631738810 1124083317 /nfs/dbraw/zinc/08/33/17/1124083317.db2.gz UQUDOEKRVJZDJZ-WFASDCNBSA-N 1 2 276.428 3.594 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1ccc(C(C)(C)C)o1 ZINC000866573679 1124084301 /nfs/dbraw/zinc/08/43/01/1124084301.db2.gz LJDIPMYQOXAXOS-GFCCVEGCSA-N 1 2 275.396 3.644 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2noc3cc(F)ccc32)o1 ZINC000866611832 1124088535 /nfs/dbraw/zinc/08/85/35/1124088535.db2.gz XIZFFTNJZBKJJY-SNVBAGLBSA-N 1 2 274.295 3.719 20 0 CHADLO Fc1ccc(NCc2cc(-n3cc[nH+]c3)cs2)c(F)c1 ZINC000445766294 1124098555 /nfs/dbraw/zinc/09/85/55/1124098555.db2.gz HYPZZFKJFSJSLY-UHFFFAOYSA-N 1 2 291.326 3.824 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2nc3cc(F)ccc3o2)s1 ZINC000634988004 1129168444 /nfs/dbraw/zinc/16/84/44/1129168444.db2.gz KGLNHMVFKHVOMT-QMMMGPOBSA-N 1 2 291.351 3.583 20 0 CHADLO Cc1ccccc1[C@@H]1C[N@H+](Cc2cccc(F)c2)CCO1 ZINC000525126544 1124116108 /nfs/dbraw/zinc/11/61/08/1124116108.db2.gz ANKPWHTXXLYWJN-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccccc1[C@@H]1C[N@@H+](Cc2cccc(F)c2)CCO1 ZINC000525126544 1124116109 /nfs/dbraw/zinc/11/61/09/1124116109.db2.gz ANKPWHTXXLYWJN-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO CCC[N@H+](Cc1ncc(CC)o1)Cc1ccc(F)cc1 ZINC000525269757 1124120950 /nfs/dbraw/zinc/12/09/50/1124120950.db2.gz OLYRLYMFSNXSIC-UHFFFAOYSA-N 1 2 276.355 3.788 20 0 CHADLO CCC[N@@H+](Cc1ncc(CC)o1)Cc1ccc(F)cc1 ZINC000525269757 1124120952 /nfs/dbraw/zinc/12/09/52/1124120952.db2.gz OLYRLYMFSNXSIC-UHFFFAOYSA-N 1 2 276.355 3.788 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(F)c2)[C@@H](c2ccccc2)CO1 ZINC000525268444 1124121079 /nfs/dbraw/zinc/12/10/79/1124121079.db2.gz YSKFYZZRVGBATO-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(F)c2)[C@@H](c2ccccc2)CO1 ZINC000525268444 1124121081 /nfs/dbraw/zinc/12/10/81/1124121081.db2.gz YSKFYZZRVGBATO-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ncsc3C2)cc1Cl ZINC000446796175 1124122990 /nfs/dbraw/zinc/12/29/90/1124122990.db2.gz KKYHVVHPINAVPB-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ncsc3C2)cc1Cl ZINC000446796175 1124122992 /nfs/dbraw/zinc/12/29/92/1124122992.db2.gz KKYHVVHPINAVPB-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2cnc3ccc(C)cn23)c1 ZINC000446978464 1124129630 /nfs/dbraw/zinc/12/96/30/1124129630.db2.gz XGDDURLOMFWGAJ-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2cnc3ccc(C)cn23)c1 ZINC000446978464 1124129633 /nfs/dbraw/zinc/12/96/33/1124129633.db2.gz XGDDURLOMFWGAJ-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1ccc(F)c(CN(C)Cc2c[nH+]c3ccc(C)cn23)c1 ZINC000446978464 1124129635 /nfs/dbraw/zinc/12/96/35/1124129635.db2.gz XGDDURLOMFWGAJ-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1oc(CC)nc1C ZINC000428464418 1124130899 /nfs/dbraw/zinc/13/08/99/1124130899.db2.gz PVDFPDSRXOWAAE-UHFFFAOYSA-N 1 2 288.391 3.576 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1oc(CC)nc1C ZINC000428464418 1124130900 /nfs/dbraw/zinc/13/09/00/1124130900.db2.gz PVDFPDSRXOWAAE-UHFFFAOYSA-N 1 2 288.391 3.576 20 0 CHADLO C[N@H+](Cc1cc(C(C)(C)C)n[nH]1)Cc1cc(F)cc(F)c1 ZINC000447081642 1124131939 /nfs/dbraw/zinc/13/19/39/1124131939.db2.gz HUJCXQYNYCTVPO-UHFFFAOYSA-N 1 2 293.361 3.617 20 0 CHADLO C[N@@H+](Cc1cc(C(C)(C)C)n[nH]1)Cc1cc(F)cc(F)c1 ZINC000447081642 1124131940 /nfs/dbraw/zinc/13/19/40/1124131940.db2.gz HUJCXQYNYCTVPO-UHFFFAOYSA-N 1 2 293.361 3.617 20 0 CHADLO FC(F)(F)c1ccc(C2([NH2+]Cc3cocn3)CCC2)cc1 ZINC000621375803 1129168913 /nfs/dbraw/zinc/16/89/13/1129168913.db2.gz FSJXNRATOMBXBJ-UHFFFAOYSA-N 1 2 296.292 3.862 20 0 CHADLO Cc1ncsc1C[NH2+][C@@H](C(F)F)C1CCCCC1 ZINC000621400548 1129172785 /nfs/dbraw/zinc/17/27/85/1129172785.db2.gz LABLHDCEMCXHEZ-GFCCVEGCSA-N 1 2 274.380 3.755 20 0 CHADLO CC[C@H](NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1)c1ccccc1 ZINC000635224741 1129176104 /nfs/dbraw/zinc/17/61/04/1129176104.db2.gz CXRQINOTVDKWGO-DLBZAZTESA-N 1 2 299.418 3.738 20 0 CHADLO CC(C)Oc1cc(C[NH+]2CC3(C2)CCCCC3)c(F)cn1 ZINC001238799721 1131249129 /nfs/dbraw/zinc/24/91/29/1131249129.db2.gz OOTHGNWUCDNDFM-UHFFFAOYSA-N 1 2 292.398 3.774 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2cccnc2)cc1Cl ZINC001238789522 1131250217 /nfs/dbraw/zinc/25/02/17/1131250217.db2.gz XTYFPDVCLCMPOS-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2cccnc2)cc1Cl ZINC001238789522 1131250219 /nfs/dbraw/zinc/25/02/19/1131250219.db2.gz XTYFPDVCLCMPOS-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(C3CC3)nc2)c1 ZINC001238855830 1131259203 /nfs/dbraw/zinc/25/92/03/1131259203.db2.gz MGZGPMCRYJQMGG-UHFFFAOYSA-N 1 2 266.344 3.988 20 0 CHADLO CSCCC[N@@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000447386633 1124468277 /nfs/dbraw/zinc/46/82/77/1124468277.db2.gz RTNLBJJVJVYNFC-UHFFFAOYSA-N 1 2 294.851 3.863 20 0 CHADLO CSCCC[N@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC000447386633 1124468280 /nfs/dbraw/zinc/46/82/80/1124468280.db2.gz RTNLBJJVJVYNFC-UHFFFAOYSA-N 1 2 294.851 3.863 20 0 CHADLO CCc1nc(C(C)C)ccc1C[NH2+][C@H](C)c1ccon1 ZINC000339090906 1124506613 /nfs/dbraw/zinc/50/66/13/1124506613.db2.gz YKUOMRVFIZEZPW-GFCCVEGCSA-N 1 2 273.380 3.606 20 0 CHADLO Fc1ccc(C[NH2+]Cc2nc(-c3ccccc3)c[nH]2)c(F)c1 ZINC000447853429 1124538741 /nfs/dbraw/zinc/53/87/41/1124538741.db2.gz GXOCSLIBMDILAM-UHFFFAOYSA-N 1 2 299.324 3.645 20 0 CHADLO Cc1cc(N[C@H]2CCOC3(CCC3)C2)nc(C2CCC2)[nH+]1 ZINC001119947536 1131267052 /nfs/dbraw/zinc/26/70/52/1131267052.db2.gz VAUCBBCPUHFKJI-AWEZNQCLSA-N 1 2 287.407 3.566 20 0 CHADLO FC(F)(F)CCC[N@@H+]1Cc2cccc(Cl)c2C1 ZINC001119959053 1131268809 /nfs/dbraw/zinc/26/88/09/1131268809.db2.gz CYPZGGVFAUXPJX-UHFFFAOYSA-N 1 2 263.690 3.998 20 0 CHADLO FC(F)(F)CCC[N@H+]1Cc2cccc(Cl)c2C1 ZINC001119959053 1131268811 /nfs/dbraw/zinc/26/88/11/1131268811.db2.gz CYPZGGVFAUXPJX-UHFFFAOYSA-N 1 2 263.690 3.998 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1nc(C(C)(C)C)co1 ZINC001119969335 1131268863 /nfs/dbraw/zinc/26/88/63/1131268863.db2.gz BFRGOOYUYLZZLQ-CQSZACIVSA-N 1 2 287.407 3.950 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1nc(C(C)(C)C)co1 ZINC001119969335 1131268866 /nfs/dbraw/zinc/26/88/66/1131268866.db2.gz BFRGOOYUYLZZLQ-CQSZACIVSA-N 1 2 287.407 3.950 20 0 CHADLO FC(F)C[N@H+](CCCCOc1ccccc1)C1CC1 ZINC000527365618 1124571358 /nfs/dbraw/zinc/57/13/58/1124571358.db2.gz JWOSTUKLOKRDEV-UHFFFAOYSA-N 1 2 269.335 3.575 20 0 CHADLO FC(F)C[N@@H+](CCCCOc1ccccc1)C1CC1 ZINC000527365618 1124571365 /nfs/dbraw/zinc/57/13/65/1124571365.db2.gz JWOSTUKLOKRDEV-UHFFFAOYSA-N 1 2 269.335 3.575 20 0 CHADLO Cc1oc2ccccc2c1[C@@H](C)[NH2+]Cc1ccon1 ZINC000925212229 1124583011 /nfs/dbraw/zinc/58/30/11/1124583011.db2.gz PNZFRDOWUPOCPM-SNVBAGLBSA-N 1 2 256.305 3.580 20 0 CHADLO CC[C@@H]1CCC[C@@H]1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000448673981 1124606811 /nfs/dbraw/zinc/60/68/11/1124606811.db2.gz VPMDOPZLDIKRFT-KGLIPLIRSA-N 1 2 283.375 3.637 20 0 CHADLO CC[C@H]1CCC[C@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000448722408 1124610015 /nfs/dbraw/zinc/61/00/15/1124610015.db2.gz BZIXYZSJVRWUGJ-XJKSGUPXSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H]1CCCC[C@@H]1N(C)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117596446 1124618961 /nfs/dbraw/zinc/61/89/61/1124618961.db2.gz RSVLPVVEFAVGMN-YOEHRIQHSA-N 1 2 297.402 3.523 20 0 CHADLO C[C@@H]1CCC[C@@H](N(C)C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000117598216 1124619332 /nfs/dbraw/zinc/61/93/32/1124619332.db2.gz MXUDZZPLEWJWSY-GDBMZVCRSA-N 1 2 297.402 3.523 20 0 CHADLO CC[N@H+](CCOC)Cc1cc(Cl)sc1Cl ZINC000449008819 1124631165 /nfs/dbraw/zinc/63/11/65/1124631165.db2.gz FUWNBBDDSJNQNB-UHFFFAOYSA-N 1 2 268.209 3.523 20 0 CHADLO CC[N@@H+](CCOC)Cc1cc(Cl)sc1Cl ZINC000449008819 1124631169 /nfs/dbraw/zinc/63/11/69/1124631169.db2.gz FUWNBBDDSJNQNB-UHFFFAOYSA-N 1 2 268.209 3.523 20 0 CHADLO C[N@@H+](Cc1cc(Cl)sc1Cl)C[C@H]1CCCO1 ZINC000449009354 1124632814 /nfs/dbraw/zinc/63/28/14/1124632814.db2.gz HJTOJWQQBKVZQY-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO C[N@H+](Cc1cc(Cl)sc1Cl)C[C@H]1CCCO1 ZINC000449009354 1124632816 /nfs/dbraw/zinc/63/28/16/1124632816.db2.gz HJTOJWQQBKVZQY-SECBINFHSA-N 1 2 280.220 3.666 20 0 CHADLO CSCC[N@H+](C)Cc1cc(Cl)sc1Cl ZINC000449027548 1124634399 /nfs/dbraw/zinc/63/43/99/1124634399.db2.gz IWFGGUYXZJTSMU-UHFFFAOYSA-N 1 2 270.250 3.850 20 0 CHADLO CSCC[N@@H+](C)Cc1cc(Cl)sc1Cl ZINC000449027548 1124634402 /nfs/dbraw/zinc/63/44/02/1124634402.db2.gz IWFGGUYXZJTSMU-UHFFFAOYSA-N 1 2 270.250 3.850 20 0 CHADLO C/C(Cl)=C\C[N@H+]1C[C@H](c2ccccc2)OC[C@H]1C ZINC000528368233 1124648786 /nfs/dbraw/zinc/64/87/86/1124648786.db2.gz LTBZEMNUDXWBEW-OSEPDQNXSA-N 1 2 265.784 3.591 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1C[C@H](c2ccccc2)OC[C@H]1C ZINC000528368233 1124648789 /nfs/dbraw/zinc/64/87/89/1124648789.db2.gz LTBZEMNUDXWBEW-OSEPDQNXSA-N 1 2 265.784 3.591 20 0 CHADLO CC(C)(C)c1cn(C[C@@H](O)c2ccc(Cl)c(F)c2)c[nH+]1 ZINC000871989549 1124655627 /nfs/dbraw/zinc/65/56/27/1124655627.db2.gz OKXPKVQJWXLSJA-CYBMUJFWSA-N 1 2 296.773 3.707 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@@H](C)c1cc(O)ccc1F ZINC000872034512 1124661436 /nfs/dbraw/zinc/66/14/36/1124661436.db2.gz JWJWPOWUAKYFSM-IUCAKERBSA-N 1 2 278.327 3.548 20 0 CHADLO CC(C)[N@H+](Cc1cccnc1)Cc1ncccc1Cl ZINC000528421339 1124661468 /nfs/dbraw/zinc/66/14/68/1124661468.db2.gz GBHXXOCEVMJTMU-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO CC(C)[N@@H+](Cc1cccnc1)Cc1ncccc1Cl ZINC000528421339 1124661471 /nfs/dbraw/zinc/66/14/71/1124661471.db2.gz GBHXXOCEVMJTMU-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H](C)c2cc(O)ccc2F)n1 ZINC000872031186 1124661605 /nfs/dbraw/zinc/66/16/05/1124661605.db2.gz HDMOSQRZAOUDAD-ZJUUUORDSA-N 1 2 280.368 3.708 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CCC[C@H]1c1nc2ccccc2n1C ZINC000528422279 1124661925 /nfs/dbraw/zinc/66/19/25/1124661925.db2.gz JIHJKDVXVUYBPC-RZXPCSSPSA-N 1 2 289.810 3.853 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CCC[C@H]1c1nc2ccccc2n1C ZINC000528422279 1124661931 /nfs/dbraw/zinc/66/19/31/1124661931.db2.gz JIHJKDVXVUYBPC-RZXPCSSPSA-N 1 2 289.810 3.853 20 0 CHADLO COc1ccc(C2([NH2+]Cc3ncccc3Cl)CC2)cc1 ZINC000528440003 1124667147 /nfs/dbraw/zinc/66/71/47/1124667147.db2.gz VLLHKFNXNBSHOI-UHFFFAOYSA-N 1 2 288.778 3.523 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc3cccc(C)c3[nH]2)cs1 ZINC000449205096 1124668333 /nfs/dbraw/zinc/66/83/33/1124668333.db2.gz KWCVLZIZQLXXQY-UHFFFAOYSA-N 1 2 271.389 3.531 20 0 CHADLO Cc1ccc([C@H]2[C@@H](C)CCC[N@@H+]2Cc2cnsn2)cc1 ZINC001307489601 1124677888 /nfs/dbraw/zinc/67/78/88/1124677888.db2.gz KRLQFWDMEXVDGS-XJKSGUPXSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@H]2[C@@H](C)CCC[N@H+]2Cc2cnsn2)cc1 ZINC001307489601 1124677890 /nfs/dbraw/zinc/67/78/90/1124677890.db2.gz KRLQFWDMEXVDGS-XJKSGUPXSA-N 1 2 287.432 3.820 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1ccnn1C1CCCC1 ZINC000449242034 1124678733 /nfs/dbraw/zinc/67/87/33/1124678733.db2.gz VYNNEOQQMNLTOO-AWEZNQCLSA-N 1 2 284.407 3.586 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1ccnn1C1CCCC1 ZINC000449242034 1124678735 /nfs/dbraw/zinc/67/87/35/1124678735.db2.gz VYNNEOQQMNLTOO-AWEZNQCLSA-N 1 2 284.407 3.586 20 0 CHADLO C/C(Cl)=C\C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000528517745 1124681993 /nfs/dbraw/zinc/68/19/93/1124681993.db2.gz IAKZLOVEBALWOY-KKNAONHISA-N 1 2 251.757 3.949 20 0 CHADLO C/C(Cl)=C\C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000528517745 1124681995 /nfs/dbraw/zinc/68/19/95/1124681995.db2.gz IAKZLOVEBALWOY-KKNAONHISA-N 1 2 251.757 3.949 20 0 CHADLO Fc1c(Cl)cccc1-c1cc(-n2cc[nH+]c2)ccn1 ZINC001238956657 1131277068 /nfs/dbraw/zinc/27/70/68/1131277068.db2.gz YVTZDTBAEGBXTD-UHFFFAOYSA-N 1 2 273.698 3.727 20 0 CHADLO C[C@H]1C[C@@H](CO)CC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000449330327 1124690990 /nfs/dbraw/zinc/69/09/90/1124690990.db2.gz SVLRRQDFFKTTKA-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1C[C@@H](CO)CC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000449330327 1124690992 /nfs/dbraw/zinc/69/09/92/1124690992.db2.gz SVLRRQDFFKTTKA-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(OC(C)C)cc1)C(F)F ZINC000449363276 1124712452 /nfs/dbraw/zinc/71/24/52/1124712452.db2.gz PCACLDIPKSPZBU-ZDUSSCGKSA-N 1 2 257.324 3.607 20 0 CHADLO F[C@@H]1CC[N@H+](Cc2c(Cl)oc3ccccc32)C1 ZINC000449397725 1124713147 /nfs/dbraw/zinc/71/31/47/1124713147.db2.gz VXEMULZHADRISA-SECBINFHSA-N 1 2 253.704 3.630 20 0 CHADLO F[C@@H]1CC[N@@H+](Cc2c(Cl)oc3ccccc32)C1 ZINC000449397725 1124713154 /nfs/dbraw/zinc/71/31/54/1124713154.db2.gz VXEMULZHADRISA-SECBINFHSA-N 1 2 253.704 3.630 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(F)c(-c2cccs2)c1 ZINC000449381509 1124717202 /nfs/dbraw/zinc/71/72/02/1124717202.db2.gz SKGQJFXAAWYKDY-UHFFFAOYSA-N 1 2 285.334 3.951 20 0 CHADLO CCOc1nnc(C[N@H+](C)C[C@@H](C)C2CCCCC2)s1 ZINC000528774689 1124801483 /nfs/dbraw/zinc/80/14/83/1124801483.db2.gz SXDITWQXAWHYGQ-GFCCVEGCSA-N 1 2 297.468 3.585 20 0 CHADLO CCOc1nnc(C[N@@H+](C)C[C@@H](C)C2CCCCC2)s1 ZINC000528774689 1124801490 /nfs/dbraw/zinc/80/14/90/1124801490.db2.gz SXDITWQXAWHYGQ-GFCCVEGCSA-N 1 2 297.468 3.585 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccc(Cl)cc2F)o1 ZINC000449612034 1124817648 /nfs/dbraw/zinc/81/76/48/1124817648.db2.gz JKZAMUHLBNFCOO-UHFFFAOYSA-N 1 2 282.746 3.709 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000449644334 1124829731 /nfs/dbraw/zinc/82/97/31/1124829731.db2.gz ZZDSVFQBBSJZQB-INIZCTEOSA-N 1 2 285.391 3.784 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000449644334 1124829741 /nfs/dbraw/zinc/82/97/41/1124829741.db2.gz ZZDSVFQBBSJZQB-INIZCTEOSA-N 1 2 285.391 3.784 20 0 CHADLO CCc1nocc1C[N@@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000449734157 1124853323 /nfs/dbraw/zinc/85/33/23/1124853323.db2.gz RFVMSCHBJXXOLR-INIZCTEOSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1nocc1C[N@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000449734157 1124853326 /nfs/dbraw/zinc/85/33/26/1124853326.db2.gz RFVMSCHBJXXOLR-INIZCTEOSA-N 1 2 292.329 3.852 20 0 CHADLO COCc1nc(C[N@H+](C)Cc2ccc(C)c(C)c2)cs1 ZINC000449762257 1124859127 /nfs/dbraw/zinc/85/91/27/1124859127.db2.gz GLCROCVNZLITGP-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO COCc1nc(C[N@@H+](C)Cc2ccc(C)c(C)c2)cs1 ZINC000449762257 1124859132 /nfs/dbraw/zinc/85/91/32/1124859132.db2.gz GLCROCVNZLITGP-UHFFFAOYSA-N 1 2 290.432 3.538 20 0 CHADLO CC[C@H](CC(C)C)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000449818433 1124876818 /nfs/dbraw/zinc/87/68/18/1124876818.db2.gz LKMIOGYZZSFTSZ-OAHLLOKOSA-N 1 2 299.418 3.561 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000449819812 1124877684 /nfs/dbraw/zinc/87/76/84/1124877684.db2.gz JUXVYBZUGSSPQB-OAHLLOKOSA-N 1 2 291.439 3.578 20 0 CHADLO C[N@H+](Cc1nnc(C2CC2)s1)[C@@H]1CCc2ccccc21 ZINC000450255034 1124957110 /nfs/dbraw/zinc/95/71/10/1124957110.db2.gz ACZLMKIDLUZWPY-CQSZACIVSA-N 1 2 285.416 3.535 20 0 CHADLO C[N@@H+](Cc1nnc(C2CC2)s1)[C@@H]1CCc2ccccc21 ZINC000450255034 1124957112 /nfs/dbraw/zinc/95/71/12/1124957112.db2.gz ACZLMKIDLUZWPY-CQSZACIVSA-N 1 2 285.416 3.535 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2nc(Cl)cs2)cc1 ZINC000876560387 1124962148 /nfs/dbraw/zinc/96/21/48/1124962148.db2.gz DHKXTUPTJQQQGV-JTQLQIEISA-N 1 2 296.823 3.998 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2nc(Cl)cs2)cc1 ZINC000876560387 1124962153 /nfs/dbraw/zinc/96/21/53/1124962153.db2.gz DHKXTUPTJQQQGV-JTQLQIEISA-N 1 2 296.823 3.998 20 0 CHADLO CCCn1cc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)nn1 ZINC000876573111 1124962368 /nfs/dbraw/zinc/96/23/68/1124962368.db2.gz MOOLKXWEXZEUOA-GFCCVEGCSA-N 1 2 292.814 3.535 20 0 CHADLO CCCn1cc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)nn1 ZINC000876573111 1124962372 /nfs/dbraw/zinc/96/23/72/1124962372.db2.gz MOOLKXWEXZEUOA-GFCCVEGCSA-N 1 2 292.814 3.535 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@H]1CC1(F)F ZINC000876820749 1124981276 /nfs/dbraw/zinc/98/12/76/1124981276.db2.gz SMSPMANDFUMTTQ-SMDDNHRTSA-N 1 2 266.335 3.742 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1nc(Cl)cs1)C(C)C ZINC000877043350 1125002286 /nfs/dbraw/zinc/00/22/86/1125002286.db2.gz GVDQSYKPGRKANG-ZDUSSCGKSA-N 1 2 295.839 3.987 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3cc(C4CC4)no3)ccc21 ZINC000877159843 1125015437 /nfs/dbraw/zinc/01/54/37/1125015437.db2.gz NDSYCFIPIYGQRA-UHFFFAOYSA-N 1 2 283.375 3.547 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3cc(C4CC4)no3)ccc21 ZINC000877159843 1125015445 /nfs/dbraw/zinc/01/54/45/1125015445.db2.gz NDSYCFIPIYGQRA-UHFFFAOYSA-N 1 2 283.375 3.547 20 0 CHADLO FC(F)(C[NH2+]C[C@H]1CC1(Cl)Cl)c1ccccc1 ZINC000877469741 1125030398 /nfs/dbraw/zinc/03/03/98/1125030398.db2.gz YOJHZRCNQYBNSE-SNVBAGLBSA-N 1 2 280.145 3.562 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2cccc(CC3CCC3)c2)n1 ZINC000877471537 1125031139 /nfs/dbraw/zinc/03/11/39/1125031139.db2.gz YLEGERISELRGOZ-GFCCVEGCSA-N 1 2 285.391 3.571 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000450453695 1125032336 /nfs/dbraw/zinc/03/23/36/1125032336.db2.gz MNPPBSUPMNJBDV-ZDUSSCGKSA-N 1 2 265.356 3.966 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000450453695 1125032344 /nfs/dbraw/zinc/03/23/44/1125032344.db2.gz MNPPBSUPMNJBDV-ZDUSSCGKSA-N 1 2 265.356 3.966 20 0 CHADLO CC[N@H+](CC1CCC(=O)CC1)c1cc(C)ccc1C ZINC000450521435 1125051261 /nfs/dbraw/zinc/05/12/61/1125051261.db2.gz XJQOWZNMVNPERP-UHFFFAOYSA-N 1 2 259.393 3.889 20 0 CHADLO CC[N@@H+](CC1CCC(=O)CC1)c1cc(C)ccc1C ZINC000450521435 1125051266 /nfs/dbraw/zinc/05/12/66/1125051266.db2.gz XJQOWZNMVNPERP-UHFFFAOYSA-N 1 2 259.393 3.889 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)Nc1ccc([NH+](C)C)cc1 ZINC000126608330 1125053543 /nfs/dbraw/zinc/05/35/43/1125053543.db2.gz FKQFSTYBCVZKPM-ZDUSSCGKSA-N 1 2 270.376 3.501 20 0 CHADLO C[C@@H](Cc1ccc(O)cc1)[NH2+]c1ccc(N(C)C)cc1 ZINC000126608330 1125053550 /nfs/dbraw/zinc/05/35/50/1125053550.db2.gz FKQFSTYBCVZKPM-ZDUSSCGKSA-N 1 2 270.376 3.501 20 0 CHADLO CO[C@H](C)CN(C)c1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450537789 1125056197 /nfs/dbraw/zinc/05/61/97/1125056197.db2.gz XNWBJUROGCHQCD-SNVBAGLBSA-N 1 2 298.308 3.725 20 0 CHADLO CC1(F)CC[NH+](Cc2ccnc(Cl)c2Cl)CC1 ZINC000877700585 1125064237 /nfs/dbraw/zinc/06/42/37/1125064237.db2.gz RIZUAEGTKHFKER-UHFFFAOYSA-N 1 2 277.170 3.712 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2scnc2Cl)cc1 ZINC000877896563 1125096362 /nfs/dbraw/zinc/09/63/62/1125096362.db2.gz DTOVTBVLADOXNX-JTQLQIEISA-N 1 2 296.823 3.998 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2scnc2Cl)cc1 ZINC000877896563 1125096371 /nfs/dbraw/zinc/09/63/71/1125096371.db2.gz DTOVTBVLADOXNX-JTQLQIEISA-N 1 2 296.823 3.998 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)nc1Cl ZINC000877901860 1125099095 /nfs/dbraw/zinc/09/90/95/1125099095.db2.gz YBSFISJDVHVEGY-JTQLQIEISA-N 1 2 292.732 3.818 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)nc1Cl ZINC000877901860 1125099101 /nfs/dbraw/zinc/09/91/01/1125099101.db2.gz YBSFISJDVHVEGY-JTQLQIEISA-N 1 2 292.732 3.818 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1scnc1Cl ZINC000878535415 1125160095 /nfs/dbraw/zinc/16/00/95/1125160095.db2.gz YVVFKCSICFVJBQ-BQBZGAKWSA-N 1 2 284.734 3.569 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1scnc1Cl ZINC000878535415 1125160099 /nfs/dbraw/zinc/16/00/99/1125160099.db2.gz YVVFKCSICFVJBQ-BQBZGAKWSA-N 1 2 284.734 3.569 20 0 CHADLO Cc1conc1C[N@H+](Cc1ccco1)C1CCCC1 ZINC000877973227 1125108748 /nfs/dbraw/zinc/10/87/48/1125108748.db2.gz WZXQBOWJWPBAST-UHFFFAOYSA-N 1 2 260.337 3.521 20 0 CHADLO Cc1conc1C[N@@H+](Cc1ccco1)C1CCCC1 ZINC000877973227 1125108751 /nfs/dbraw/zinc/10/87/51/1125108751.db2.gz WZXQBOWJWPBAST-UHFFFAOYSA-N 1 2 260.337 3.521 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@@H](C)c2ccc3ccccc3c2)n1 ZINC000053642923 1125111196 /nfs/dbraw/zinc/11/11/96/1125111196.db2.gz QLWGMKZEUPYVTI-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1noc(C[N@H+](C)[C@@H](C)c2ccc3ccccc3c2)n1 ZINC000053642923 1125111199 /nfs/dbraw/zinc/11/11/99/1125111199.db2.gz QLWGMKZEUPYVTI-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO FC(F)C[N@H+](CC[C@@H]1CCCC1(F)F)C1CC1 ZINC000878084285 1125118426 /nfs/dbraw/zinc/11/84/26/1125118426.db2.gz QUOKGGXZEIZNLS-VIFPVBQESA-N 1 2 253.283 3.541 20 0 CHADLO FC(F)C[N@@H+](CC[C@@H]1CCCC1(F)F)C1CC1 ZINC000878084285 1125118429 /nfs/dbraw/zinc/11/84/29/1125118429.db2.gz QUOKGGXZEIZNLS-VIFPVBQESA-N 1 2 253.283 3.541 20 0 CHADLO CC(C)(C)OC1CC[NH+](Cc2scnc2Cl)CC1 ZINC000878191934 1125129795 /nfs/dbraw/zinc/12/97/95/1125129795.db2.gz SZCQMDOVDLGOMJ-UHFFFAOYSA-N 1 2 288.844 3.576 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2nc3c(o2)CCCC3)n1 ZINC000878198394 1125130800 /nfs/dbraw/zinc/13/08/00/1125130800.db2.gz NILZYPVEVCAWDK-INIZCTEOSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2nc3c(o2)CCCC3)n1 ZINC000878198394 1125130803 /nfs/dbraw/zinc/13/08/03/1125130803.db2.gz NILZYPVEVCAWDK-INIZCTEOSA-N 1 2 297.402 3.594 20 0 CHADLO CC1(C)CCc2onc(C[N@@H+]3CCC[C@H]3c3ccc[nH]3)c2C1 ZINC000878213656 1125133114 /nfs/dbraw/zinc/13/31/14/1125133114.db2.gz MEVGSTXBBNLESL-INIZCTEOSA-N 1 2 299.418 3.855 20 0 CHADLO CC1(C)CCc2onc(C[N@H+]3CCC[C@H]3c3ccc[nH]3)c2C1 ZINC000878213656 1125133116 /nfs/dbraw/zinc/13/31/16/1125133116.db2.gz MEVGSTXBBNLESL-INIZCTEOSA-N 1 2 299.418 3.855 20 0 CHADLO Cc1nnc(C[NH2+][C@@H]2C[C@H](C)Sc3sccc32)s1 ZINC000391828178 1125133199 /nfs/dbraw/zinc/13/31/99/1125133199.db2.gz YQLSDOMPGZQPOF-OIBJUYFYSA-N 1 2 297.474 3.623 20 0 CHADLO Cc1ccc(C[NH2+]C(C)(C)c2nccs2)nc1Cl ZINC000878249280 1125135390 /nfs/dbraw/zinc/13/53/90/1125135390.db2.gz MYNOCVSTXINCFB-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000878314646 1125139949 /nfs/dbraw/zinc/13/99/49/1125139949.db2.gz YOGRLTRITAMMMX-SNVBAGLBSA-N 1 2 284.281 3.886 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1cc(C(F)(F)F)co1 ZINC000878314646 1125139950 /nfs/dbraw/zinc/13/99/50/1125139950.db2.gz YOGRLTRITAMMMX-SNVBAGLBSA-N 1 2 284.281 3.886 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1cc(C(F)(F)F)co1 ZINC000878364418 1125144524 /nfs/dbraw/zinc/14/45/24/1125144524.db2.gz HXADWENLXXYQFY-SSDOTTSWSA-N 1 2 288.269 3.754 20 0 CHADLO C[C@H]([NH2+]Cc1scnc1Cl)c1cccc(F)c1F ZINC000878376491 1125146463 /nfs/dbraw/zinc/14/64/63/1125146463.db2.gz RKAGYBKIOACMIL-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2cc(C(F)(F)F)co2)s1 ZINC000878422888 1125153127 /nfs/dbraw/zinc/15/31/27/1125153127.db2.gz CPBBOSNWNIKLNQ-QMMMGPOBSA-N 1 2 290.310 3.914 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2ncccc2F)C2CCC2)o1 ZINC000530470842 1125155609 /nfs/dbraw/zinc/15/56/09/1125155609.db2.gz HTFVQCPUPSUDIJ-MRXNPFEDSA-N 1 2 274.339 3.753 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3ccccc3s2)cn1 ZINC000121898663 1125160630 /nfs/dbraw/zinc/16/06/30/1125160630.db2.gz LPWHXUATYFSLCK-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3ccccc3s2)cn1 ZINC000121898663 1125160632 /nfs/dbraw/zinc/16/06/32/1125160632.db2.gz LPWHXUATYFSLCK-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO FC(F)(F)CC1CC[NH+](Cc2scnc2Cl)CC1 ZINC000878572274 1125162615 /nfs/dbraw/zinc/16/26/15/1125162615.db2.gz AELXYZQTLIDVFC-UHFFFAOYSA-N 1 2 298.761 3.961 20 0 CHADLO c1ccc([C@@H]2CCC[C@H](C[N@@H+]3Cc4ccncc4C3)O2)cc1 ZINC000878609368 1125165836 /nfs/dbraw/zinc/16/58/36/1125165836.db2.gz YNHXVPWGVUURLV-MOPGFXCFSA-N 1 2 294.398 3.708 20 0 CHADLO c1ccc([C@@H]2CCC[C@H](C[N@H+]3Cc4ccncc4C3)O2)cc1 ZINC000878609368 1125165839 /nfs/dbraw/zinc/16/58/39/1125165839.db2.gz YNHXVPWGVUURLV-MOPGFXCFSA-N 1 2 294.398 3.708 20 0 CHADLO C[C@@H]1C[C@@H]2C[C@@H]2C[C@@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001136459019 1131336170 /nfs/dbraw/zinc/33/61/70/1131336170.db2.gz DPQCSLKTQQBTHF-MEWXFMAXSA-N 1 2 295.386 3.697 20 0 CHADLO FC(F)[C@H]([NH2+]CCCOC(F)(F)F)c1ccccc1 ZINC000878646875 1125169147 /nfs/dbraw/zinc/16/91/47/1125169147.db2.gz BKHRXUTUYQKKPJ-SNVBAGLBSA-N 1 2 283.240 3.509 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@@H]1C[C@@H]3CCC[C@H]3O1)C2 ZINC000878703354 1125173692 /nfs/dbraw/zinc/17/36/92/1125173692.db2.gz GKZWBYBGDYVWQJ-KNXALSJPSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@@H]1C[C@@H]3CCC[C@H]3O1)C2 ZINC000878703354 1125173694 /nfs/dbraw/zinc/17/36/94/1125173694.db2.gz GKZWBYBGDYVWQJ-KNXALSJPSA-N 1 2 277.795 3.613 20 0 CHADLO CC(C)Cn1ccnc1CNc1cc2ccccc2c[nH+]1 ZINC000631128512 1125181785 /nfs/dbraw/zinc/18/17/85/1125181785.db2.gz NMBSXJIMZCTDOA-UHFFFAOYSA-N 1 2 280.375 3.699 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCc2occc2C1 ZINC001137139534 1125188622 /nfs/dbraw/zinc/18/86/22/1125188622.db2.gz GFMZGNMJJULRFB-UHFFFAOYSA-N 1 2 271.360 3.625 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCc2occc2C1 ZINC001137139534 1125188625 /nfs/dbraw/zinc/18/86/25/1125188625.db2.gz GFMZGNMJJULRFB-UHFFFAOYSA-N 1 2 271.360 3.625 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1cc(C(F)(F)F)co1 ZINC000879052975 1125194189 /nfs/dbraw/zinc/19/41/89/1125194189.db2.gz LYFADQKPOFEEEZ-MRVPVSSYSA-N 1 2 283.240 3.918 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1cc(C(F)(F)F)co1 ZINC000879052975 1125194191 /nfs/dbraw/zinc/19/41/91/1125194191.db2.gz LYFADQKPOFEEEZ-MRVPVSSYSA-N 1 2 283.240 3.918 20 0 CHADLO CC(C)OCC[N@@H+]1CCc2cc(Cl)cc(Cl)c2C1 ZINC000531231296 1125204398 /nfs/dbraw/zinc/20/43/98/1125204398.db2.gz VUMBSGQRPDFWJA-UHFFFAOYSA-N 1 2 288.218 3.777 20 0 CHADLO C[C@H]1[C@@H](c2ccccc2)CC[N@@H+]1Cc1nnsc1Cl ZINC000531441228 1125219897 /nfs/dbraw/zinc/21/98/97/1125219897.db2.gz XPQLRYMEMJJFIY-JQWIXIFHSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@H]1[C@@H](c2ccccc2)CC[N@H+]1Cc1nnsc1Cl ZINC000531441228 1125219901 /nfs/dbraw/zinc/21/99/01/1125219901.db2.gz XPQLRYMEMJJFIY-JQWIXIFHSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+](C)Cc1ccc2cc[nH]c2c1 ZINC000879725926 1125227828 /nfs/dbraw/zinc/22/78/28/1125227828.db2.gz DLMSTHKBAKNCST-NSHDSACASA-N 1 2 296.374 3.621 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+](C)Cc1ccc2cc[nH]c2c1 ZINC000879725926 1125227833 /nfs/dbraw/zinc/22/78/33/1125227833.db2.gz DLMSTHKBAKNCST-NSHDSACASA-N 1 2 296.374 3.621 20 0 CHADLO CCc1cc(C[NH2+][C@H](C)c2ccc3ccccc3n2)on1 ZINC000531722487 1125228218 /nfs/dbraw/zinc/22/82/18/1125228218.db2.gz LWKYUNODNCBCQD-GFCCVEGCSA-N 1 2 281.359 3.636 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCn2ccnc21)c1ccc(F)cc1Cl ZINC000655728837 1125229292 /nfs/dbraw/zinc/22/92/92/1125229292.db2.gz YVBKWCJMJGFSFU-YGRLFVJLSA-N 1 2 293.773 3.861 20 0 CHADLO Cc1ccc2sc(C[NH2+][C@@H](C)c3nccnc3C)nc2c1 ZINC000880110455 1125252305 /nfs/dbraw/zinc/25/23/05/1125252305.db2.gz QVZNXOYSSQZCNU-LBPRGKRZSA-N 1 2 298.415 3.554 20 0 CHADLO COc1cccc2[nH+]c(NC3(c4ccccn4)CC3)ccc21 ZINC001168146508 1125262518 /nfs/dbraw/zinc/26/25/18/1125262518.db2.gz MBFKQIIEJLANJH-UHFFFAOYSA-N 1 2 291.354 3.740 20 0 CHADLO c1cnc(C2([NH2+]Cc3ccc(C4CCC4)cc3)CCC2)nc1 ZINC000880366306 1125268445 /nfs/dbraw/zinc/26/84/45/1125268445.db2.gz FJORNSFWMZHXGE-UHFFFAOYSA-N 1 2 293.414 3.913 20 0 CHADLO COc1ccc2cc(NC3(c4ccccn4)CC3)[nH+]cc2c1 ZINC001168151362 1125269210 /nfs/dbraw/zinc/26/92/10/1125269210.db2.gz WIHYZAZBFGRYBG-UHFFFAOYSA-N 1 2 291.354 3.740 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1coc(-c2ccccc2)n1 ZINC000102519017 1125298201 /nfs/dbraw/zinc/29/82/01/1125298201.db2.gz MIUPZMHMUNVCST-UHFFFAOYSA-N 1 2 282.343 3.957 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1coc(-c2ccccc2)n1 ZINC000102519017 1125298205 /nfs/dbraw/zinc/29/82/05/1125298205.db2.gz MIUPZMHMUNVCST-UHFFFAOYSA-N 1 2 282.343 3.957 20 0 CHADLO Fc1ccc(CNc2cc[nH+]cc2F)c(C(F)(F)F)c1 ZINC000192149947 1125331020 /nfs/dbraw/zinc/33/10/20/1125331020.db2.gz VDRFGVGZDAWYHG-UHFFFAOYSA-N 1 2 288.219 3.991 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccn1)c1ccc(-c2ccccc2)cc1 ZINC000192147018 1125331064 /nfs/dbraw/zinc/33/10/64/1125331064.db2.gz RFPKKCSNSZZGQQ-OAHLLOKOSA-N 1 2 289.382 3.994 20 0 CHADLO CC(C)Cc1ccc([C@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000192146628 1125331270 /nfs/dbraw/zinc/33/12/70/1125331270.db2.gz FTTDKRDNKWYYGL-AWEZNQCLSA-N 1 2 269.392 3.526 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccon1)c1sccc1Cl ZINC000883194690 1125375834 /nfs/dbraw/zinc/37/58/34/1125375834.db2.gz ANVBFDYZDOKLKL-SNVBAGLBSA-N 1 2 256.758 3.630 20 0 CHADLO CO[C@H]1C[C@H](CNc2cc[nH+]c3c(Cl)cccc23)C1 ZINC000882797208 1125357203 /nfs/dbraw/zinc/35/72/03/1125357203.db2.gz AZZJEZONDOFCCA-XYPYZODXSA-N 1 2 276.767 3.725 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1nc(C(C)C)c[nH]1 ZINC000882906154 1125361245 /nfs/dbraw/zinc/36/12/45/1125361245.db2.gz ONHGYNQXGLWVHO-UHFFFAOYSA-N 1 2 272.396 3.520 20 0 CHADLO CSc1ccc(F)cc1C[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000883026278 1125368384 /nfs/dbraw/zinc/36/83/84/1125368384.db2.gz NYXYORRLMJPKRJ-UHFFFAOYSA-N 1 2 293.411 3.684 20 0 CHADLO Cc1c[nH]nc1CNc1[nH+]c2ccccc2n1C1CCCC1 ZINC000883215023 1125378459 /nfs/dbraw/zinc/37/84/59/1125378459.db2.gz QNTPOQVYXDYTKP-UHFFFAOYSA-N 1 2 295.390 3.795 20 0 CHADLO Clc1ccc2c(c1)[C@@H]([NH2+]C1(c3ncccn3)CCC1)CC2 ZINC000883312621 1125393801 /nfs/dbraw/zinc/39/38/01/1125393801.db2.gz JAYBQEQCWRYQPM-HNNXBMFYSA-N 1 2 299.805 3.786 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3ncccn3)CCC2)cs1 ZINC000883311757 1125393838 /nfs/dbraw/zinc/39/38/38/1125393838.db2.gz KMHCWASDCRUAKT-GFCCVEGCSA-N 1 2 273.405 3.577 20 0 CHADLO Clc1ccc2c(c1)[C@H]([NH2+]C1(c3ncccn3)CCC1)CC2 ZINC000883312622 1125393946 /nfs/dbraw/zinc/39/39/46/1125393946.db2.gz JAYBQEQCWRYQPM-OAHLLOKOSA-N 1 2 299.805 3.786 20 0 CHADLO Clc1ccc2c(c1)CC[C@H]2[NH2+]C1(c2ncccn2)CCC1 ZINC000883314266 1125394574 /nfs/dbraw/zinc/39/45/74/1125394574.db2.gz ZQGWVYBTQDXILW-OAHLLOKOSA-N 1 2 299.805 3.786 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1cc(O)ccc1Cl ZINC000883325911 1125396888 /nfs/dbraw/zinc/39/68/88/1125396888.db2.gz MUMTXZMGRQPZKA-LLVKDONJSA-N 1 2 290.794 3.854 20 0 CHADLO CCCn1nc(C)c(CNc2c[nH+]c(C)c(C)c2)c1C ZINC000883341350 1125397504 /nfs/dbraw/zinc/39/75/04/1125397504.db2.gz NXPVYELGKWDIEQ-UHFFFAOYSA-N 1 2 272.396 3.534 20 0 CHADLO Cc1cc(NCc2ccc(C(C)(C)O)cc2)c[nH+]c1C ZINC000883341311 1125397807 /nfs/dbraw/zinc/39/78/07/1125397807.db2.gz NIAXEDPOJDSPLI-UHFFFAOYSA-N 1 2 270.376 3.538 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc3c(c2)CCC(=O)N3)c[nH+]c1C ZINC000883340925 1125398030 /nfs/dbraw/zinc/39/80/30/1125398030.db2.gz CVCAZDRZKXMHFI-ZDUSSCGKSA-N 1 2 295.386 3.756 20 0 CHADLO Cc1cc(NCc2ccc(-c3cnn(C)c3)s2)c[nH+]c1C ZINC000883341731 1125398283 /nfs/dbraw/zinc/39/82/83/1125398283.db2.gz YPPJRQGCKVVYCS-UHFFFAOYSA-N 1 2 298.415 3.773 20 0 CHADLO Cc1cc(N[C@@H](C)CCc2cccnc2)c[nH+]c1C ZINC000883342713 1125398594 /nfs/dbraw/zinc/39/85/94/1125398594.db2.gz JGICBMHWZNMVSK-ZDUSSCGKSA-N 1 2 255.365 3.527 20 0 CHADLO Cc1nc2c(s1)[C@H](Nc1c[nH+]c(C)c(C)c1)CCC2 ZINC000883342096 1125398906 /nfs/dbraw/zinc/39/89/06/1125398906.db2.gz KMKNJEOTGSNALH-CQSZACIVSA-N 1 2 273.405 3.953 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccc(F)nc1C ZINC000883377541 1125404971 /nfs/dbraw/zinc/40/49/71/1125404971.db2.gz KLPGOFSOQDRVSL-UHFFFAOYSA-N 1 2 291.395 3.789 20 0 CHADLO CC(C)n1cc(C[NH2+][C@@H]2c3ccccc3CC[C@@H]2F)cn1 ZINC000883382936 1125407038 /nfs/dbraw/zinc/40/70/38/1125407038.db2.gz QINOXGGKILEIDS-DLBZAZTESA-N 1 2 287.382 3.579 20 0 CHADLO CSC1(C[N@@H+]2CCO[C@H](c3cccc(Cl)c3)C2)CC1 ZINC000348226387 1125409519 /nfs/dbraw/zinc/40/95/19/1125409519.db2.gz ZPNUEQIDPIIITK-AWEZNQCLSA-N 1 2 297.851 3.609 20 0 CHADLO CSC1(C[N@H+]2CCO[C@H](c3cccc(Cl)c3)C2)CC1 ZINC000348226387 1125409522 /nfs/dbraw/zinc/40/95/22/1125409522.db2.gz ZPNUEQIDPIIITK-AWEZNQCLSA-N 1 2 297.851 3.609 20 0 CHADLO Cc1cc(C(N)=O)c(Nc2cccc3[nH+]c(C)ccc23)s1 ZINC001212795181 1125416145 /nfs/dbraw/zinc/41/61/45/1125416145.db2.gz SRBRTNFIUZLPKB-UHFFFAOYSA-N 1 2 297.383 3.756 20 0 CHADLO Cc1ccc2c(Nc3nonc3C3CC3)cccc2[nH+]1 ZINC001212795874 1125417495 /nfs/dbraw/zinc/41/74/95/1125417495.db2.gz GXMONRQFVNMTHQ-UHFFFAOYSA-N 1 2 266.304 3.547 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@]3(CC=CCC3)C2)no1 ZINC000114494637 1125420338 /nfs/dbraw/zinc/42/03/38/1125420338.db2.gz YWCMECMVANOZMY-MRXNPFEDSA-N 1 2 275.396 3.515 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@]3(CC=CCC3)C2)no1 ZINC000114494637 1125420341 /nfs/dbraw/zinc/42/03/41/1125420341.db2.gz YWCMECMVANOZMY-MRXNPFEDSA-N 1 2 275.396 3.515 20 0 CHADLO Cc1cc(NC[C@H]2C[C@H](F)C2)nc(-c2ccccc2)[nH+]1 ZINC001168234316 1125423132 /nfs/dbraw/zinc/42/31/32/1125423132.db2.gz NATXXTBZDKMSCC-MQMHXKEQSA-N 1 2 271.339 3.612 20 0 CHADLO C[N@H+](Cc1nc(-c2ccccc2)c[nH]1)Cc1ccccc1 ZINC000034269022 1125423237 /nfs/dbraw/zinc/42/32/37/1125423237.db2.gz UGNKZDNGLCKFPV-UHFFFAOYSA-N 1 2 277.371 3.709 20 0 CHADLO C[N@@H+](Cc1nc(-c2ccccc2)c[nH]1)Cc1ccccc1 ZINC000034269022 1125423238 /nfs/dbraw/zinc/42/32/38/1125423238.db2.gz UGNKZDNGLCKFPV-UHFFFAOYSA-N 1 2 277.371 3.709 20 0 CHADLO Cc1cccc2c1C[N@H+]([C@H](C)c1nc(C(C)(C)C)no1)CC2 ZINC000429555241 1125429393 /nfs/dbraw/zinc/42/93/93/1125429393.db2.gz ADXBJDAUMMSDLM-CYBMUJFWSA-N 1 2 299.418 3.795 20 0 CHADLO Cc1cccc2c1C[N@@H+]([C@H](C)c1nc(C(C)(C)C)no1)CC2 ZINC000429555241 1125429394 /nfs/dbraw/zinc/42/93/94/1125429394.db2.gz ADXBJDAUMMSDLM-CYBMUJFWSA-N 1 2 299.418 3.795 20 0 CHADLO CC[N@H+](Cc1cc(-c2ccccc2)on1)Cc1ccccn1 ZINC000348269195 1125455843 /nfs/dbraw/zinc/45/58/43/1125455843.db2.gz FSHVRAZSIRIVNU-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC[N@@H+](Cc1cc(-c2ccccc2)on1)Cc1ccccn1 ZINC000348269195 1125455845 /nfs/dbraw/zinc/45/58/45/1125455845.db2.gz FSHVRAZSIRIVNU-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CCC[N@H+](Cc1cn2ccccc2n1)Cc1ccccc1F ZINC000621734977 1129188653 /nfs/dbraw/zinc/18/86/53/1129188653.db2.gz HAKYTXVFIVUBTK-UHFFFAOYSA-N 1 2 297.377 3.886 20 0 CHADLO CCC[N@@H+](Cc1cn2ccccc2n1)Cc1ccccc1F ZINC000621734977 1129188656 /nfs/dbraw/zinc/18/86/56/1129188656.db2.gz HAKYTXVFIVUBTK-UHFFFAOYSA-N 1 2 297.377 3.886 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)cc1 ZINC000635875381 1129193248 /nfs/dbraw/zinc/19/32/48/1129193248.db2.gz QPDQVAWNOYSCJO-HUUCEWRRSA-N 1 2 297.402 3.840 20 0 CHADLO CCC(C)(C)c1ccc(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)cc1 ZINC000635875381 1129193251 /nfs/dbraw/zinc/19/32/51/1129193251.db2.gz QPDQVAWNOYSCJO-HUUCEWRRSA-N 1 2 297.402 3.840 20 0 CHADLO Cc1cc(CNC(=O)C/C=C/Cc2ccccc2)cc(C)[nH+]1 ZINC000636051138 1129196948 /nfs/dbraw/zinc/19/69/48/1129196948.db2.gz RUCCYGNDMFTLBB-VOTSOKGWSA-N 1 2 294.398 3.504 20 0 CHADLO CCCC[C@H](C(=O)N1CCC(n2cc[nH+]c2)CC1)C(C)C ZINC000621807782 1129198516 /nfs/dbraw/zinc/19/85/16/1129198516.db2.gz NHVCGAVGXAKDSS-INIZCTEOSA-N 1 2 291.439 3.509 20 0 CHADLO CC(C)C[C@@H](C(=O)Nc1ccc2occc2c1)n1cc[nH+]c1 ZINC000636279770 1129199599 /nfs/dbraw/zinc/19/95/99/1129199599.db2.gz BILDMJOSNGGRTK-HNNXBMFYSA-N 1 2 297.358 3.855 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2ccccc2F)sn1 ZINC000637898655 1129234534 /nfs/dbraw/zinc/23/45/34/1129234534.db2.gz YIFSXXLXUVKZCD-LLVKDONJSA-N 1 2 264.369 3.784 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2ccccc2F)sn1 ZINC000637898655 1129234539 /nfs/dbraw/zinc/23/45/39/1129234539.db2.gz YIFSXXLXUVKZCD-LLVKDONJSA-N 1 2 264.369 3.784 20 0 CHADLO Cc1ncc([C@@H](C)[N@@H+]2CC[C@H]2c2cccc(F)c2)c(C)n1 ZINC000638128089 1129245386 /nfs/dbraw/zinc/24/53/86/1129245386.db2.gz PYDJTTSEGWHCAM-PXAZEXFGSA-N 1 2 285.366 3.741 20 0 CHADLO Cc1ncc([C@@H](C)[N@H+]2CC[C@H]2c2cccc(F)c2)c(C)n1 ZINC000638128089 1129245389 /nfs/dbraw/zinc/24/53/89/1129245389.db2.gz PYDJTTSEGWHCAM-PXAZEXFGSA-N 1 2 285.366 3.741 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)c2cccc(CC(C)C)c2)cc[nH+]1 ZINC000622368230 1129253064 /nfs/dbraw/zinc/25/30/64/1129253064.db2.gz NXTOQPGLQZAYTM-OAHLLOKOSA-N 1 2 296.414 3.753 20 0 CHADLO Cc1cc(CNc2c[nH+]ccc2OC(C)(C)C)sn1 ZINC000638904636 1129274269 /nfs/dbraw/zinc/27/42/69/1129274269.db2.gz PSJLHKYRNCNCJE-UHFFFAOYSA-N 1 2 277.393 3.636 20 0 CHADLO CCC[N@H+](Cc1noc(CC)n1)[C@H]1CCc2ccccc21 ZINC000348087799 1129280226 /nfs/dbraw/zinc/28/02/26/1129280226.db2.gz RIVYVPIQQNJRSU-HNNXBMFYSA-N 1 2 285.391 3.532 20 0 CHADLO CCC[N@@H+](Cc1noc(CC)n1)[C@H]1CCc2ccccc21 ZINC000348087799 1129280230 /nfs/dbraw/zinc/28/02/30/1129280230.db2.gz RIVYVPIQQNJRSU-HNNXBMFYSA-N 1 2 285.391 3.532 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2nc3ccccc3o2)c2ccccc2O1 ZINC000639222526 1129294145 /nfs/dbraw/zinc/29/41/45/1129294145.db2.gz LTMFOTFRKQNZQE-IUODEOHRSA-N 1 2 294.354 3.830 20 0 CHADLO C[C@@]1([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC=CCC1 ZINC000639258193 1129296975 /nfs/dbraw/zinc/29/69/75/1129296975.db2.gz BETQWHCFWZVVCL-GDBMZVCRSA-N 1 2 274.412 3.515 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccc(F)cc1)[C@H]1CC1(F)F ZINC000639327898 1129302422 /nfs/dbraw/zinc/30/24/22/1129302422.db2.gz DOZLOJHPLTVSNA-GZMMTYOYSA-N 1 2 279.252 3.551 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@@H+](Cc1ccncc1)CC2 ZINC000639360558 1129306305 /nfs/dbraw/zinc/30/63/05/1129306305.db2.gz OZAVMYJIZOHQKX-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@H+](Cc1ccncc1)CC2 ZINC000639360558 1129306309 /nfs/dbraw/zinc/30/63/09/1129306309.db2.gz OZAVMYJIZOHQKX-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nc(CC3CC3)no2)cc1 ZINC000639452819 1129316531 /nfs/dbraw/zinc/31/65/31/1129316531.db2.gz TWIPKOHQHWGIPC-ZDUSSCGKSA-N 1 2 285.391 3.524 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nc(CC3CC3)no2)cc1 ZINC000639452819 1129316537 /nfs/dbraw/zinc/31/65/37/1129316537.db2.gz TWIPKOHQHWGIPC-ZDUSSCGKSA-N 1 2 285.391 3.524 20 0 CHADLO CC(C)C[C@@H]([NH2+]Cc1nc(CC2CC2)no1)c1ccccc1 ZINC000639560291 1129324498 /nfs/dbraw/zinc/32/44/98/1129324498.db2.gz BUWSFLFPLXZGFX-MRXNPFEDSA-N 1 2 299.418 3.899 20 0 CHADLO Cc1cc(NCCSC(C)(C)C)nc(C2CC2)[nH+]1 ZINC000734835390 1129324670 /nfs/dbraw/zinc/32/46/70/1129324670.db2.gz VGXLTVTZEIZCPG-UHFFFAOYSA-N 1 2 265.426 3.606 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nc(C2CCC2)no1 ZINC000639633746 1129327172 /nfs/dbraw/zinc/32/71/72/1129327172.db2.gz QKYISXWLLNGHIV-SDNWHVSQSA-N 1 2 297.402 3.872 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nc(C2CCC2)no1 ZINC000639633746 1129327175 /nfs/dbraw/zinc/32/71/75/1129327175.db2.gz QKYISXWLLNGHIV-SDNWHVSQSA-N 1 2 297.402 3.872 20 0 CHADLO Cc1ccc(C2([NH2+]Cc3nc(C4CCC4)no3)CCC2)cc1 ZINC000639699310 1129330239 /nfs/dbraw/zinc/33/02/39/1129330239.db2.gz ZOUHVHMVWBHZDU-UHFFFAOYSA-N 1 2 297.402 3.815 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H]2c2cn[nH]c2)ccc1Cl ZINC000639778144 1129332869 /nfs/dbraw/zinc/33/28/69/1129332869.db2.gz PONWFIKTCGFRPQ-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H]2c2cn[nH]c2)ccc1Cl ZINC000639778144 1129332873 /nfs/dbraw/zinc/33/28/73/1129332873.db2.gz PONWFIKTCGFRPQ-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Oc1ccc2c(c1)[C@H]([NH2+]Cc1ncc(Cl)s1)CCC2 ZINC000719420585 1129334260 /nfs/dbraw/zinc/33/42/60/1129334260.db2.gz DILKJFAKWWNIOH-GFCCVEGCSA-N 1 2 294.807 3.669 20 0 CHADLO CC(C)[C@@H]1N(C(=O)c2cc3c[nH+]ccc3[nH]2)CC12CCCC2 ZINC000822166293 1131367239 /nfs/dbraw/zinc/36/72/39/1131367239.db2.gz HHXMXCRDZGBPKZ-INIZCTEOSA-N 1 2 297.402 3.604 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC000639868543 1129337032 /nfs/dbraw/zinc/33/70/32/1129337032.db2.gz MMVHFMNFUPWSAI-CQSZACIVSA-N 1 2 289.329 3.751 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCC[C@@H]2c2ncccn2)c1 ZINC000639868543 1129337035 /nfs/dbraw/zinc/33/70/35/1129337035.db2.gz MMVHFMNFUPWSAI-CQSZACIVSA-N 1 2 289.329 3.751 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccco2)c1 ZINC000639929743 1129340450 /nfs/dbraw/zinc/34/04/50/1129340450.db2.gz PCORUBDXLKMCMS-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1ccnc(C[N@H+]2CC(C)(C)[C@@H]2c2ccco2)c1 ZINC000639929743 1129340454 /nfs/dbraw/zinc/34/04/54/1129340454.db2.gz PCORUBDXLKMCMS-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCc3cc(F)ccc32)c(C)[nH+]1 ZINC000640141200 1129350268 /nfs/dbraw/zinc/35/02/68/1129350268.db2.gz GMPCQIPFQHSVTB-UHFFFAOYSA-N 1 2 299.349 3.741 20 0 CHADLO Cc1nsc(C)c1C[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000640154283 1129350532 /nfs/dbraw/zinc/35/05/32/1129350532.db2.gz FGFRHMBXLCJPDT-AWEZNQCLSA-N 1 2 282.359 3.699 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccnc(Cl)c1 ZINC000795591204 1129378992 /nfs/dbraw/zinc/37/89/92/1129378992.db2.gz KJVRIOCXNQPXIS-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO COc1c[nH+]c(NCCC2=CCCCC2)c2[nH]ccc21 ZINC001168770791 1129379228 /nfs/dbraw/zinc/37/92/28/1129379228.db2.gz KCUXVYLRPAXJMT-UHFFFAOYSA-N 1 2 271.364 3.874 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(OCOC)c2)cc1 ZINC001212903823 1129386063 /nfs/dbraw/zinc/38/60/63/1129386063.db2.gz MSLLSMJJLPUHHH-UHFFFAOYSA-N 1 2 286.375 3.869 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(OCOC)c2)cc1 ZINC001212903823 1129386066 /nfs/dbraw/zinc/38/60/66/1129386066.db2.gz MSLLSMJJLPUHHH-UHFFFAOYSA-N 1 2 286.375 3.869 20 0 CHADLO CC[C@H]1CCC[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC1 ZINC000738254756 1129391345 /nfs/dbraw/zinc/39/13/45/1129391345.db2.gz MEUBUIIUSXQSQU-LBPRGKRZSA-N 1 2 296.484 3.708 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC1 ZINC000738254756 1129391349 /nfs/dbraw/zinc/39/13/49/1129391349.db2.gz MEUBUIIUSXQSQU-LBPRGKRZSA-N 1 2 296.484 3.708 20 0 CHADLO CC(C)[C@@H](C[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000738418229 1129393671 /nfs/dbraw/zinc/39/36/71/1129393671.db2.gz UOMZCRWKZPVPOO-GOEBONIOSA-N 1 2 291.435 3.746 20 0 CHADLO C[C@H]([NH2+]Cc1ccco1)c1nc2ccccc2n1C(F)F ZINC000642194283 1129409430 /nfs/dbraw/zinc/40/94/30/1129409430.db2.gz GKYXMXGNBCLGMI-JTQLQIEISA-N 1 2 291.301 3.875 20 0 CHADLO CCC[C@@H](CC)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001125152917 1131373834 /nfs/dbraw/zinc/37/38/34/1131373834.db2.gz XWMDHJSLQXYSAD-CYBMUJFWSA-N 1 2 271.364 3.637 20 0 CHADLO CO[C@@H]1CCC[C@@H](Nc2ccc(-n3c[nH+]c(C)c3C)cc2)C1 ZINC000643354282 1129439792 /nfs/dbraw/zinc/43/97/92/1129439792.db2.gz ILQOQJOLOXJRFT-SJLPKXTDSA-N 1 2 299.418 3.859 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NCc3ccc(O)cc3)cc2)c1C ZINC000643354274 1129439913 /nfs/dbraw/zinc/43/99/13/1129439913.db2.gz FXRSSYDCCODWAX-UHFFFAOYSA-N 1 2 293.370 3.807 20 0 CHADLO c1cnc2c(c1)CCC[C@@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000643503335 1129442974 /nfs/dbraw/zinc/44/29/74/1129442974.db2.gz WAMQGTUCXQVPKF-INIZCTEOSA-N 1 2 265.360 3.539 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1cn[nH]c1C)c1ccccc1 ZINC000180001891 1129443728 /nfs/dbraw/zinc/44/37/28/1129443728.db2.gz ZYPXRSWEYKDVTL-MLGOLLRUSA-N 1 2 257.381 3.910 20 0 CHADLO CC[C@@H](NC(=O)c1c(C)cc(C)[nH+]c1C)[C@@H]1CC1(C)C ZINC001436878456 1129446800 /nfs/dbraw/zinc/44/68/00/1129446800.db2.gz CQSXOAWKTGUEAJ-UONOGXRCSA-N 1 2 274.408 3.561 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]CCOc1ccc(C(C)C)cc1 ZINC000180288260 1129466809 /nfs/dbraw/zinc/46/68/09/1129466809.db2.gz BMAPRURSPQOQDA-ZDUSSCGKSA-N 1 2 287.407 3.571 20 0 CHADLO Cc1cccc(F)c1C[NH2+]Cc1c(F)cccc1F ZINC000742380747 1129472925 /nfs/dbraw/zinc/47/29/25/1129472925.db2.gz SDMNCNXRRNVLTO-UHFFFAOYSA-N 1 2 265.278 3.702 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](c1ccccc1)C1CCC1 ZINC000180431075 1129475558 /nfs/dbraw/zinc/47/55/58/1129475558.db2.gz QYLGQVGJVPQEKH-SJKOYZFVSA-N 1 2 269.392 3.910 20 0 CHADLO CCCCC[C@H](C)CC(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000742633981 1129492106 /nfs/dbraw/zinc/49/21/06/1129492106.db2.gz IOEPXWPSULBJBO-JKSUJKDBSA-N 1 2 291.439 3.653 20 0 CHADLO Fc1cccc(Cl)c1-c1nc(C[NH+]2CCCCC2)no1 ZINC000645773891 1129505528 /nfs/dbraw/zinc/50/55/28/1129505528.db2.gz FLZNLCKLYYQHLD-UHFFFAOYSA-N 1 2 295.745 3.515 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nnc(C3CC3)s2)CC1 ZINC000645980937 1129516474 /nfs/dbraw/zinc/51/64/74/1129516474.db2.gz UMECYXLQAVIVLP-UHFFFAOYSA-N 1 2 285.416 3.503 20 0 CHADLO CC[C@@]1(C)CCC[N@H+](Cn2nc(C)n(C3CC3)c2=S)C1 ZINC000743386537 1129521612 /nfs/dbraw/zinc/52/16/12/1129521612.db2.gz KACSDYYGLCGKSZ-HNNXBMFYSA-N 1 2 294.468 3.527 20 0 CHADLO CC[C@@]1(C)CCC[N@@H+](Cn2nc(C)n(C3CC3)c2=S)C1 ZINC000743386537 1129521613 /nfs/dbraw/zinc/52/16/13/1129521613.db2.gz KACSDYYGLCGKSZ-HNNXBMFYSA-N 1 2 294.468 3.527 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000181012788 1129530169 /nfs/dbraw/zinc/53/01/69/1129530169.db2.gz WXPZZNVWHWRBHM-DHSIGJKJSA-N 1 2 299.418 3.537 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]C1(c2cccc(C)c2)CCC1 ZINC000647333514 1129570339 /nfs/dbraw/zinc/57/03/39/1129570339.db2.gz TTWJWLCDKBQAFT-CYBMUJFWSA-N 1 2 269.392 3.757 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccccc1F ZINC001239555217 1131382923 /nfs/dbraw/zinc/38/29/23/1131382923.db2.gz ZBWXWRZNOYHEQK-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO CCC[C@@H]1[C@@H](C)CCC[N@@H+]1CC(F)(F)C(F)F ZINC000744415293 1129576479 /nfs/dbraw/zinc/57/64/79/1129576479.db2.gz YZMOVFFNVJIPEZ-VHSXEESVSA-N 1 2 255.299 3.787 20 0 CHADLO CCC[C@@H]1[C@@H](C)CCC[N@H+]1CC(F)(F)C(F)F ZINC000744415293 1129576483 /nfs/dbraw/zinc/57/64/83/1129576483.db2.gz YZMOVFFNVJIPEZ-VHSXEESVSA-N 1 2 255.299 3.787 20 0 CHADLO c1ccc2c(c1)[nH+]c(NCc1cncnc1)n2C1CCCC1 ZINC000181524434 1129576820 /nfs/dbraw/zinc/57/68/20/1129576820.db2.gz SBYDAPMFLUKYON-UHFFFAOYSA-N 1 2 293.374 3.554 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+]Cc3ccoc3)co2)cc1 ZINC000744446779 1129578095 /nfs/dbraw/zinc/57/80/95/1129578095.db2.gz BJOAZTUXMIJREI-UHFFFAOYSA-N 1 2 268.316 3.533 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cc3ccccc3o2)co1 ZINC000647970709 1129589829 /nfs/dbraw/zinc/58/98/29/1129589829.db2.gz ASXVDJVJZKOUKK-JTQLQIEISA-N 1 2 256.305 3.580 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)O[C@@H](C)c1ccccc1)c1ccccc1 ZINC000745101444 1129595110 /nfs/dbraw/zinc/59/51/10/1129595110.db2.gz QWIUNALUDCWLDF-YJBOKZPZSA-N 1 2 297.398 3.984 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)O[C@@H](C)c1ccccc1)c1ccccc1 ZINC000745101444 1129595112 /nfs/dbraw/zinc/59/51/12/1129595112.db2.gz QWIUNALUDCWLDF-YJBOKZPZSA-N 1 2 297.398 3.984 20 0 CHADLO CSc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cn1 ZINC001241636586 1129595327 /nfs/dbraw/zinc/59/53/27/1129595327.db2.gz BMCGXFRICHTCEE-UHFFFAOYSA-N 1 2 285.347 3.795 20 0 CHADLO CCOc1ccccc1-c1cn2cc[nH+]c2cc1C ZINC001239559337 1131385534 /nfs/dbraw/zinc/38/55/34/1131385534.db2.gz QXLSZURFQOWENH-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO CCOc1ccccc1-c1cc(C)c2[nH+]ccn2c1 ZINC001239561429 1131387314 /nfs/dbraw/zinc/38/73/14/1131387314.db2.gz ZNEFPHAVVXHEHJ-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2ncc(C)c(C)n2)cc1 ZINC001212968246 1129636973 /nfs/dbraw/zinc/63/69/73/1129636973.db2.gz QVHXPRFYVSLTDC-UHFFFAOYSA-N 1 2 270.380 3.683 20 0 CHADLO CC[NH+](CC)c1ccc(Nc2onc(C)c2C)cc1 ZINC001212968334 1129637584 /nfs/dbraw/zinc/63/75/84/1129637584.db2.gz WVXCUWOQIWLSFO-UHFFFAOYSA-N 1 2 259.353 3.881 20 0 CHADLO C[C@H](c1csnn1)[NH+]1CCC(C2CCCC2)CC1 ZINC000649325671 1129640872 /nfs/dbraw/zinc/64/08/72/1129640872.db2.gz TZESRZLTDQQNQE-LLVKDONJSA-N 1 2 265.426 3.501 20 0 CHADLO C[C@H]([N@H+](C)Cn1nc(C2CC2)sc1=S)C(C)(C)C ZINC000746374153 1129651867 /nfs/dbraw/zinc/65/18/67/1129651867.db2.gz JKMQMLUIVSRAAQ-VIFPVBQESA-N 1 2 285.482 3.875 20 0 CHADLO C[C@H]([N@@H+](C)Cn1nc(C2CC2)sc1=S)C(C)(C)C ZINC000746374153 1129651869 /nfs/dbraw/zinc/65/18/69/1129651869.db2.gz JKMQMLUIVSRAAQ-VIFPVBQESA-N 1 2 285.482 3.875 20 0 CHADLO Fc1ccc([C@@H]2CCCC[N@@H+]2Cc2cc3n(n2)CCC3)cc1 ZINC000649548373 1129656342 /nfs/dbraw/zinc/65/63/42/1129656342.db2.gz VSXUKGNVNKIJHP-SFHVURJKSA-N 1 2 299.393 3.696 20 0 CHADLO Fc1ccc([C@@H]2CCCC[N@H+]2Cc2cc3n(n2)CCC3)cc1 ZINC000649548373 1129656345 /nfs/dbraw/zinc/65/63/45/1129656345.db2.gz VSXUKGNVNKIJHP-SFHVURJKSA-N 1 2 299.393 3.696 20 0 CHADLO Cc1ccc(C[NH2+]C(C)(C)C(F)F)cc1Br ZINC000390486992 1129657931 /nfs/dbraw/zinc/65/79/31/1129657931.db2.gz OZFUHHCHEVPMDA-UHFFFAOYSA-N 1 2 292.167 3.891 20 0 CHADLO Clc1nc(C[N@@H+]2CCC3(C2)CCCCC3)cs1 ZINC000379769181 1129658147 /nfs/dbraw/zinc/65/81/47/1129658147.db2.gz NYUGQVIBOAJQOM-UHFFFAOYSA-N 1 2 270.829 3.953 20 0 CHADLO Clc1nc(C[N@H+]2CCC3(C2)CCCCC3)cs1 ZINC000379769181 1129658151 /nfs/dbraw/zinc/65/81/51/1129658151.db2.gz NYUGQVIBOAJQOM-UHFFFAOYSA-N 1 2 270.829 3.953 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](c2ccccc2)c2ccccn2)c1 ZINC000392940134 1129660214 /nfs/dbraw/zinc/66/02/14/1129660214.db2.gz PVTNMUOOCBBFKV-LJQANCHMSA-N 1 2 289.382 3.664 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@H]1C[C@@H]1C1CCCC1 ZINC000649624818 1129664084 /nfs/dbraw/zinc/66/40/84/1129664084.db2.gz DUBQXRMSFZEWHW-SJORKVTESA-N 1 2 295.386 3.637 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(SC(F)F)cc1 ZINC000766422533 1129664346 /nfs/dbraw/zinc/66/43/46/1129664346.db2.gz WCNGUVLPDPSOGC-SECBINFHSA-N 1 2 284.331 3.840 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2nc(C(C)(C)C)no2)CCC1 ZINC000649645895 1129665890 /nfs/dbraw/zinc/66/58/90/1129665890.db2.gz LXOGUJXFWORHFW-UHFFFAOYSA-N 1 2 299.418 3.845 20 0 CHADLO Cc1cc(NCCCCc2ccccn2)[nH+]c2cc[nH]c21 ZINC001168804386 1129672919 /nfs/dbraw/zinc/67/29/19/1129672919.db2.gz WYUWFOPAHZKCLT-UHFFFAOYSA-N 1 2 280.375 3.701 20 0 CHADLO Cc1ccc([C@H](C)Nc2[nH+]cccc2[Si](C)(C)C)nc1 ZINC001168849997 1129679642 /nfs/dbraw/zinc/67/96/42/1129679642.db2.gz HOTATUGOZZNQJD-ZDUSSCGKSA-N 1 2 285.467 3.503 20 0 CHADLO C[C@H]1C[N@H+](Cc2sccc2Cl)C2(CCC2)CO1 ZINC000649821500 1129680133 /nfs/dbraw/zinc/68/01/33/1129680133.db2.gz JUADDQVPDVKVML-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO C[C@H]1C[N@@H+](Cc2sccc2Cl)C2(CCC2)CO1 ZINC000649821500 1129680136 /nfs/dbraw/zinc/68/01/36/1129680136.db2.gz JUADDQVPDVKVML-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO Cc1cc(C[NH2+]C2(c3ccc(C(F)(F)F)cc3)CC2)no1 ZINC000649886142 1129683651 /nfs/dbraw/zinc/68/36/51/1129683651.db2.gz OLFGGBXLDRFCTP-UHFFFAOYSA-N 1 2 296.292 3.781 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2c(C)cccc2Cl)nc1 ZINC000649903933 1129685789 /nfs/dbraw/zinc/68/57/89/1129685789.db2.gz AYQDCIZLVMMMII-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2c(C)cccc2Cl)nc1 ZINC000649903933 1129685793 /nfs/dbraw/zinc/68/57/93/1129685793.db2.gz AYQDCIZLVMMMII-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO CO/C=C/c1ccc(NCCc2cn3ccccc3[nH+]2)cc1 ZINC001169008382 1129688981 /nfs/dbraw/zinc/68/89/81/1129688981.db2.gz APZSDQAMPYUACP-JLHYYAGUSA-N 1 2 293.370 3.606 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc(F)cc2O)cc1 ZINC001242219635 1129690874 /nfs/dbraw/zinc/69/08/74/1129690874.db2.gz YSFYOIQNBRHPSF-UHFFFAOYSA-N 1 2 268.291 3.599 20 0 CHADLO CC(C)C[C@@H](Cc1ccccc1)[NH2+][C@@H]1CC1(F)F ZINC001169050929 1129692029 /nfs/dbraw/zinc/69/20/29/1129692029.db2.gz SMTNIBKKNXYKKP-UONOGXRCSA-N 1 2 253.336 3.641 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(Cl)s2)c1 ZINC000650244625 1129702159 /nfs/dbraw/zinc/70/21/59/1129702159.db2.gz IANLQIVJKOOFLX-UHFFFAOYSA-N 1 2 268.769 3.555 20 0 CHADLO FC1(F)CCC[C@H](Nc2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC001169219664 1129709692 /nfs/dbraw/zinc/70/96/92/1129709692.db2.gz VZTIFXZOVDTVHU-HNNXBMFYSA-N 1 2 291.345 3.921 20 0 CHADLO CCC[C@@H]1CCC[N@H+](Cn2ncn(C(C)(C)C)c2=S)C1 ZINC000121341104 1129718537 /nfs/dbraw/zinc/71/85/37/1129718537.db2.gz GCEPNDRZCRMNKF-CYBMUJFWSA-N 1 2 296.484 3.639 20 0 CHADLO CCC[C@@H]1CCC[N@@H+](Cn2ncn(C(C)(C)C)c2=S)C1 ZINC000121341104 1129718540 /nfs/dbraw/zinc/71/85/40/1129718540.db2.gz GCEPNDRZCRMNKF-CYBMUJFWSA-N 1 2 296.484 3.639 20 0 CHADLO Cc1nc(-c2ccccc2)sc1[C@@H](C)[NH2+]Cc1c[nH]cn1 ZINC000796449837 1129728187 /nfs/dbraw/zinc/72/81/87/1129728187.db2.gz LYCPSGBDAUTWDB-LLVKDONJSA-N 1 2 298.415 3.692 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(F)c(F)cc(F)c1F)c1ccncc1 ZINC000651268153 1129735748 /nfs/dbraw/zinc/73/57/48/1129735748.db2.gz QJSKZXMDVHNOSH-CYBMUJFWSA-N 1 2 298.283 3.879 20 0 CHADLO CCCOc1ccccc1C[N@@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001138995166 1129741423 /nfs/dbraw/zinc/74/14/23/1129741423.db2.gz IDFOSKAVXZPORR-CVEARBPZSA-N 1 2 283.362 3.747 20 0 CHADLO CCCOc1ccccc1C[N@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001138995166 1129741425 /nfs/dbraw/zinc/74/14/25/1129741425.db2.gz IDFOSKAVXZPORR-CVEARBPZSA-N 1 2 283.362 3.747 20 0 CHADLO c1ccc(-c2[nH]c3ccc(N[C@H]4CCCOC4)cc3[nH+]2)cc1 ZINC001169668352 1129746003 /nfs/dbraw/zinc/74/60/03/1129746003.db2.gz VNGYTQOYZVWMSS-HNNXBMFYSA-N 1 2 293.370 3.821 20 0 CHADLO c1cc2cc(N[C@@H]3CCO[C@@H](c4ccccc4)C3)[nH+]cc2[nH]1 ZINC001169751902 1129752736 /nfs/dbraw/zinc/75/27/36/1129752736.db2.gz KVUXWAFKOJBFFR-NVXWUHKLSA-N 1 2 293.370 3.895 20 0 CHADLO CCOc1ccccc1OCCNc1c[nH+]c(C)c(C)c1 ZINC001169786159 1129756602 /nfs/dbraw/zinc/75/66/02/1129756602.db2.gz NYRUNIGAHARJBM-UHFFFAOYSA-N 1 2 286.375 3.588 20 0 CHADLO COCc1cc[nH+]c(NC2CC(c3ccc(F)cc3)C2)c1 ZINC000652068652 1129756781 /nfs/dbraw/zinc/75/67/81/1129756781.db2.gz KHKBQEMKJSFONQ-UHFFFAOYSA-N 1 2 286.350 3.725 20 0 CHADLO Cc1cc(C)nc(C[N@@H+]2CCC(C)=C(Br)C2)c1 ZINC001169791534 1129756832 /nfs/dbraw/zinc/75/68/32/1129756832.db2.gz KGWMIMDZILWDFK-UHFFFAOYSA-N 1 2 295.224 3.573 20 0 CHADLO Cc1cc(C)nc(C[N@H+]2CCC(C)=C(Br)C2)c1 ZINC001169791534 1129756835 /nfs/dbraw/zinc/75/68/35/1129756835.db2.gz KGWMIMDZILWDFK-UHFFFAOYSA-N 1 2 295.224 3.573 20 0 CHADLO COCc1cc[nH+]c(NC[C@H](C)c2cc(F)cc(F)c2)c1 ZINC000652067749 1129757377 /nfs/dbraw/zinc/75/73/77/1129757377.db2.gz FSHYXEWVFYKYKC-NSHDSACASA-N 1 2 292.329 3.722 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CC[C@H](c3ccccc3)C2)c1 ZINC000652068144 1129757631 /nfs/dbraw/zinc/75/76/31/1129757631.db2.gz UBTXFWDSGIPACO-DLBZAZTESA-N 1 2 282.387 3.976 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCC[C@H](c3cccnc3)C2)c1 ZINC000652068094 1129757643 /nfs/dbraw/zinc/75/76/43/1129757643.db2.gz RGNZYQKRVKYWTH-RDJZCZTQSA-N 1 2 297.402 3.761 20 0 CHADLO COc1c[nH+]c(N[C@@H]2C[C@H]2c2ccccc2)c2[nH]ccc21 ZINC001169810983 1129757720 /nfs/dbraw/zinc/75/77/20/1129757720.db2.gz PEZCVKOVQJGQIZ-UONOGXRCSA-N 1 2 279.343 3.540 20 0 CHADLO CCOc1ccccc1[C@@H](C)Nc1cc(COC)cc[nH+]1 ZINC000652330493 1129763078 /nfs/dbraw/zinc/76/30/78/1129763078.db2.gz HXYUEOCDEGAHQF-CYBMUJFWSA-N 1 2 286.375 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2c3ccccc3C[C@@H]2C)c1 ZINC000652330283 1129763206 /nfs/dbraw/zinc/76/32/06/1129763206.db2.gz BLANIPLWZASVBS-YVEFUNNKSA-N 1 2 268.360 3.573 20 0 CHADLO CC[C@H](Nc1cc(COC)cc[nH+]1)c1ccc(F)cc1 ZINC000652329879 1129763291 /nfs/dbraw/zinc/76/32/91/1129763291.db2.gz MKKCFISEXGGYQR-HNNXBMFYSA-N 1 2 274.339 3.930 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCc3c2cc(F)cc3F)c1 ZINC000652330397 1129763593 /nfs/dbraw/zinc/76/35/93/1129763593.db2.gz FEYBXLTXCZIPFX-HNNXBMFYSA-N 1 2 290.313 3.606 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCCC[N@@H+]1C/C=C/Cl ZINC000767901802 1129820341 /nfs/dbraw/zinc/82/03/41/1129820341.db2.gz YNRRCEBEVJJNRO-WGPYJDKQSA-N 1 2 289.810 3.853 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCCC[N@H+]1C/C=C/Cl ZINC000767901802 1129820343 /nfs/dbraw/zinc/82/03/43/1129820343.db2.gz YNRRCEBEVJJNRO-WGPYJDKQSA-N 1 2 289.810 3.853 20 0 CHADLO CC(C)CC[C@H]1CCC[N@@H+]1CC(F)(F)C(F)F ZINC000767906385 1129820757 /nfs/dbraw/zinc/82/07/57/1129820757.db2.gz NCBCIVVXMGOWQZ-SNVBAGLBSA-N 1 2 255.299 3.787 20 0 CHADLO CC(C)CC[C@H]1CCC[N@H+]1CC(F)(F)C(F)F ZINC000767906385 1129820761 /nfs/dbraw/zinc/82/07/61/1129820761.db2.gz NCBCIVVXMGOWQZ-SNVBAGLBSA-N 1 2 255.299 3.787 20 0 CHADLO CCCCC[C@@H]1CCC[N@@H+]1CC(F)(F)C(F)F ZINC000767905612 1129820780 /nfs/dbraw/zinc/82/07/80/1129820780.db2.gz JNQRVIYKKTYEHL-SNVBAGLBSA-N 1 2 255.299 3.932 20 0 CHADLO CCCCC[C@@H]1CCC[N@H+]1CC(F)(F)C(F)F ZINC000767905612 1129820781 /nfs/dbraw/zinc/82/07/81/1129820781.db2.gz JNQRVIYKKTYEHL-SNVBAGLBSA-N 1 2 255.299 3.932 20 0 CHADLO CCC1(CC)CC[N@H+](Cc2csc(Cl)n2)C1 ZINC000380804992 1129832493 /nfs/dbraw/zinc/83/24/93/1129832493.db2.gz IDYLSTQQUCGVKX-UHFFFAOYSA-N 1 2 258.818 3.809 20 0 CHADLO CCC1(CC)CC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000380804992 1129832497 /nfs/dbraw/zinc/83/24/97/1129832497.db2.gz IDYLSTQQUCGVKX-UHFFFAOYSA-N 1 2 258.818 3.809 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1N[C@@H]1CC2CCC1CC2 ZINC000382136822 1129854642 /nfs/dbraw/zinc/85/46/42/1129854642.db2.gz PCXCIEXSNURGMU-ZBCRRDGASA-N 1 2 271.408 3.672 20 0 CHADLO CC(C)c1ccc(NC(=O)Cc2c[nH]c[nH+]2)c(C(C)C)c1 ZINC000900180702 1129867801 /nfs/dbraw/zinc/86/78/01/1129867801.db2.gz TVUSDFCDLWJLGH-UHFFFAOYSA-N 1 2 285.391 3.838 20 0 CHADLO CC[N@H+](Cc1coc(C2CC2)n1)[C@H](C)c1cccc(O)c1 ZINC000768872159 1129878601 /nfs/dbraw/zinc/87/86/01/1129878601.db2.gz DXUTXRBVFCOYDZ-GFCCVEGCSA-N 1 2 286.375 3.841 20 0 CHADLO CC[N@@H+](Cc1coc(C2CC2)n1)[C@H](C)c1cccc(O)c1 ZINC000768872159 1129878606 /nfs/dbraw/zinc/87/86/06/1129878606.db2.gz DXUTXRBVFCOYDZ-GFCCVEGCSA-N 1 2 286.375 3.841 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCCC[N@@H+]1Cc1ccoc1 ZINC000768993676 1129885561 /nfs/dbraw/zinc/88/55/61/1129885561.db2.gz ZUHOXGCJNQRGEO-QGZVFWFLSA-N 1 2 295.386 3.894 20 0 CHADLO Cn1c2ccccc2nc1[C@H]1CCCC[N@H+]1Cc1ccoc1 ZINC000768993676 1129885565 /nfs/dbraw/zinc/88/55/65/1129885565.db2.gz ZUHOXGCJNQRGEO-QGZVFWFLSA-N 1 2 295.386 3.894 20 0 CHADLO Oc1cccc(C[N@@H+](Cc2coc(C3CC3)n2)CC2CC2)c1 ZINC000769193451 1129893877 /nfs/dbraw/zinc/89/38/77/1129893877.db2.gz ZUWUEWDBOYUULY-UHFFFAOYSA-N 1 2 298.386 3.670 20 0 CHADLO Oc1cccc(C[N@H+](Cc2coc(C3CC3)n2)CC2CC2)c1 ZINC000769193451 1129893885 /nfs/dbraw/zinc/89/38/85/1129893885.db2.gz ZUWUEWDBOYUULY-UHFFFAOYSA-N 1 2 298.386 3.670 20 0 CHADLO C[C@H]1COCCN1c1ccc([NH2+][C@H]2CCC[C@H]3C[C@H]32)cc1 ZINC000800981780 1129902434 /nfs/dbraw/zinc/90/24/34/1129902434.db2.gz JBRPOTPQSMARFA-ZZCKCESHSA-N 1 2 286.419 3.512 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1coc(C2CC2)n1 ZINC000769353073 1129904104 /nfs/dbraw/zinc/90/41/04/1129904104.db2.gz RWNRWGYQETUQBE-LBPRGKRZSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000769353073 1129904107 /nfs/dbraw/zinc/90/41/07/1129904107.db2.gz RWNRWGYQETUQBE-LBPRGKRZSA-N 1 2 268.360 3.671 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)CNc1cccc[nH+]1 ZINC000900940432 1129905658 /nfs/dbraw/zinc/90/56/58/1129905658.db2.gz GIIZSSNMUHMXQK-UHFFFAOYSA-N 1 2 283.375 3.564 20 0 CHADLO CCC[C@@H](CC1CCCC1)C(=O)NCc1[nH]c(C)c(C)[nH+]1 ZINC000900998392 1129906856 /nfs/dbraw/zinc/90/68/56/1129906856.db2.gz TZJWBBNCNRHMCP-HNNXBMFYSA-N 1 2 291.439 3.639 20 0 CHADLO CCc1ncccc1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001242524555 1129908898 /nfs/dbraw/zinc/90/88/98/1129908898.db2.gz KUKIBBBBGIHVEK-UHFFFAOYSA-N 1 2 263.344 3.712 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@H](F)c1ccccc1 ZINC000769566522 1129918110 /nfs/dbraw/zinc/91/81/10/1129918110.db2.gz VEMWHCOEHIKWDR-HNNXBMFYSA-N 1 2 295.317 3.726 20 0 CHADLO Cc1sc(/C=C/c2cccc3[nH+]ccn32)cc1C=O ZINC000769610685 1129919261 /nfs/dbraw/zinc/91/92/61/1129919261.db2.gz SVJKYJRFFQBZCQ-AATRIKPKSA-N 1 2 268.341 3.687 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC000801588449 1129930232 /nfs/dbraw/zinc/93/02/32/1129930232.db2.gz OMLXBKYFUGEOCU-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC000801588449 1129930234 /nfs/dbraw/zinc/93/02/34/1129930234.db2.gz OMLXBKYFUGEOCU-INIZCTEOSA-N 1 2 290.769 3.806 20 0 CHADLO COc1cccc(NCc2ccc(-n3cc[nH+]c3)cc2)c1F ZINC000769928877 1129933422 /nfs/dbraw/zinc/93/34/22/1129933422.db2.gz DLAGTBIPHCLWQF-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO CC(C)(C)c1cn(C[C@@H]2CCC[C@H](C(F)(F)F)O2)c[nH+]1 ZINC000901588747 1129938124 /nfs/dbraw/zinc/93/81/24/1129938124.db2.gz VWNFNMGIEOEZTH-CMPLNLGQSA-N 1 2 290.329 3.681 20 0 CHADLO C[N@H+](Cc1nc2ccccc2o1)Cc1ccnc(Cl)c1 ZINC000770174984 1129941679 /nfs/dbraw/zinc/94/16/79/1129941679.db2.gz DXYVHYMCVSCPPR-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2o1)Cc1ccnc(Cl)c1 ZINC000770174984 1129941681 /nfs/dbraw/zinc/94/16/81/1129941681.db2.gz DXYVHYMCVSCPPR-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO FC(F)(F)CC[N@@H+](Cc1coc(C2CC2)n1)CC1CC1 ZINC000770305436 1129944605 /nfs/dbraw/zinc/94/46/05/1129944605.db2.gz STVCPXUWFJDZSP-UHFFFAOYSA-N 1 2 288.313 3.716 20 0 CHADLO FC(F)(F)CC[N@H+](Cc1coc(C2CC2)n1)CC1CC1 ZINC000770305436 1129944607 /nfs/dbraw/zinc/94/46/07/1129944607.db2.gz STVCPXUWFJDZSP-UHFFFAOYSA-N 1 2 288.313 3.716 20 0 CHADLO CCc1onc(C)c1CSCc1ccc(C)[nH+]c1C ZINC000901782847 1129952056 /nfs/dbraw/zinc/95/20/56/1129952056.db2.gz PQYFITGJAUOTES-UHFFFAOYSA-N 1 2 276.405 3.991 20 0 CHADLO CCc1nc(C[N@H+](C)CCSC(C)(C)C)cs1 ZINC000801914767 1129958263 /nfs/dbraw/zinc/95/82/63/1129958263.db2.gz DZPADZKKEWKTFC-UHFFFAOYSA-N 1 2 272.483 3.669 20 0 CHADLO CCc1nc(C[N@@H+](C)CCSC(C)(C)C)cs1 ZINC000801914767 1129958266 /nfs/dbraw/zinc/95/82/66/1129958266.db2.gz DZPADZKKEWKTFC-UHFFFAOYSA-N 1 2 272.483 3.669 20 0 CHADLO CCCC[C@H](CC)C(=O)OCc1cccc2[nH+]ccn21 ZINC000770921405 1129962956 /nfs/dbraw/zinc/96/29/56/1129962956.db2.gz AMDVXRKEPOAQAS-ZDUSSCGKSA-N 1 2 274.364 3.594 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cnc(F)c(C)c1 ZINC000902064631 1129976859 /nfs/dbraw/zinc/97/68/59/1129976859.db2.gz BFGDKNPUIPDGQP-UHFFFAOYSA-N 1 2 284.338 3.511 20 0 CHADLO C[C@@H](COC(=O)[C@@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000780091554 1129998187 /nfs/dbraw/zinc/99/81/87/1129998187.db2.gz ZQBBJWHXYCCNRA-MAUKXSAKSA-N 1 2 297.398 3.636 20 0 CHADLO COC(=O)[C@H]([NH3+])c1ccc(-c2ccc(C(C)(C)C)cc2)cc1 ZINC001239606967 1130010619 /nfs/dbraw/zinc/01/06/19/1130010619.db2.gz LGJJGYLWMLRWIK-QGZVFWFLSA-N 1 2 297.398 3.824 20 0 CHADLO COc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)c(OC)c1 ZINC001239622611 1130017635 /nfs/dbraw/zinc/01/76/35/1130017635.db2.gz NWVIFDVGONODIQ-UHFFFAOYSA-N 1 2 294.354 3.771 20 0 CHADLO CC(=O)c1ccc(-c2ccc3[nH+]c(C)cn3c2)cc1 ZINC001239630649 1130022392 /nfs/dbraw/zinc/02/23/92/1130022392.db2.gz ICFXMBNMIBHNMQ-UHFFFAOYSA-N 1 2 250.301 3.512 20 0 CHADLO CC(=O)c1cccc(-c2cc[nH+]c(N3CCCCC3)c2)c1 ZINC001239635770 1130026682 /nfs/dbraw/zinc/02/66/82/1130026682.db2.gz WHCKOSBQMJAIRS-UHFFFAOYSA-N 1 2 280.371 3.942 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)[C@H]1CC1(C)C ZINC000785494422 1130036409 /nfs/dbraw/zinc/03/64/09/1130036409.db2.gz BWGPNWBTFASTSB-JKIFEVAISA-N 1 2 288.435 3.758 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccnc(Cl)c2F)c1 ZINC000823399598 1131425331 /nfs/dbraw/zinc/42/53/31/1131425331.db2.gz KTNJDKLQWXFUPD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COc1cccc(-c2ccc(-n3cc[nH+]c3)cc2OC)c1F ZINC001239751122 1130091717 /nfs/dbraw/zinc/09/17/17/1130091717.db2.gz DXUVDPOEWHGSII-UHFFFAOYSA-N 1 2 298.317 3.696 20 0 CHADLO CCCC(C)(C)CC(=O)OCc1cccc2[nH+]ccn21 ZINC000786472542 1130095224 /nfs/dbraw/zinc/09/52/24/1130095224.db2.gz UHTYHJDSWCQLCE-UHFFFAOYSA-N 1 2 274.364 3.594 20 0 CHADLO CCc1nc(OC)ccc1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001239778174 1130104351 /nfs/dbraw/zinc/10/43/51/1130104351.db2.gz XLZSBQBGCAUKJI-UHFFFAOYSA-N 1 2 293.370 3.720 20 0 CHADLO Cc1ccc2nc(C[NH2+][C@@H](c3ccccn3)C3CC3)[nH]c2c1 ZINC000903104862 1130113966 /nfs/dbraw/zinc/11/39/66/1130113966.db2.gz MNUCWKDXKHLZGV-GOSISDBHSA-N 1 2 292.386 3.507 20 0 CHADLO Cc1ccc(-c2ccc(Cn3cc[nH+]c3)cn2)c(C)c1 ZINC001239809255 1130117073 /nfs/dbraw/zinc/11/70/73/1130117073.db2.gz JZDDPHNAMVJCRE-UHFFFAOYSA-N 1 2 263.344 3.610 20 0 CHADLO c1ccc([C@@H](Nc2ccc(N3CCCC3)[nH+]c2)C2CC2)nc1 ZINC000903139030 1130118359 /nfs/dbraw/zinc/11/83/59/1130118359.db2.gz CNDBNSQMOZJXFX-SFHVURJKSA-N 1 2 294.402 3.640 20 0 CHADLO Cc1cc(N[C@H]2CCOC23CCCC3)ccc1[NH+](C)C ZINC000903144005 1130118557 /nfs/dbraw/zinc/11/85/57/1130118557.db2.gz GEMGLIWSUIPZMH-INIZCTEOSA-N 1 2 274.408 3.575 20 0 CHADLO CO[C@@H](c1ccccc1)[C@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000786892874 1130120377 /nfs/dbraw/zinc/12/03/77/1130120377.db2.gz RKUHBFGXVCTTQN-KBXCAEBGSA-N 1 2 284.403 3.941 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc3c(s2)CCC3)oc1C ZINC000903190837 1130126689 /nfs/dbraw/zinc/12/66/89/1130126689.db2.gz FSAFHGXTJHEOTP-SNVBAGLBSA-N 1 2 276.405 3.692 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc3c(s2)CCC3)oc1C ZINC000903190836 1130127321 /nfs/dbraw/zinc/12/73/21/1130127321.db2.gz FSAFHGXTJHEOTP-JTQLQIEISA-N 1 2 276.405 3.692 20 0 CHADLO C[N@H+](CCC1CC1)Cn1nc(-c2cccs2)oc1=S ZINC000746638544 1130132088 /nfs/dbraw/zinc/13/20/88/1130132088.db2.gz ZOLYOCGWEVMQLP-UHFFFAOYSA-N 1 2 295.433 3.623 20 0 CHADLO C[N@@H+](CCC1CC1)Cn1nc(-c2cccs2)oc1=S ZINC000746638544 1130132092 /nfs/dbraw/zinc/13/20/92/1130132092.db2.gz ZOLYOCGWEVMQLP-UHFFFAOYSA-N 1 2 295.433 3.623 20 0 CHADLO COc1ccc2cc(-c3c[nH+]c4c(c3)CCCN4)ccc2c1 ZINC001239843694 1130132170 /nfs/dbraw/zinc/13/21/70/1130132170.db2.gz UEBLWMUWAAXHPF-UHFFFAOYSA-N 1 2 290.366 3.911 20 0 CHADLO COc1c(Cl)cccc1C[NH2+][C@@H](C)c1nccs1 ZINC000903245785 1130135927 /nfs/dbraw/zinc/13/59/27/1130135927.db2.gz IVVHLZMIVLMIOZ-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CC(C)c1ccccc1-c1c[nH+]c2c(c1)CCCN2 ZINC001239867674 1130142370 /nfs/dbraw/zinc/14/23/70/1130142370.db2.gz RYCLBVOFQCNWEO-UHFFFAOYSA-N 1 2 252.361 3.873 20 0 CHADLO Cc1cc(-c2cccnc2-c2ccccc2)c[nH+]c1N ZINC001239876228 1130144046 /nfs/dbraw/zinc/14/40/46/1130144046.db2.gz KXUIJDCNDZALDX-UHFFFAOYSA-N 1 2 261.328 3.701 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+][C@@H](C)c1ncco1)C(C)(C)C ZINC000903331579 1130158115 /nfs/dbraw/zinc/15/81/15/1130158115.db2.gz ZDQCMCPNAAXLBG-GXTWGEPZSA-N 1 2 273.380 3.816 20 0 CHADLO Cc1cn2c(cccc2-c2cncc3ccccc32)[nH+]1 ZINC001239945981 1130165296 /nfs/dbraw/zinc/16/52/96/1130165296.db2.gz JJWHLFQOOBPIJV-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCOc1ccc2cc(-c3ccc(N)[nH+]c3)ccc2c1 ZINC001239956786 1130170584 /nfs/dbraw/zinc/17/05/84/1130170584.db2.gz IUTBFUIWRAPZGZ-UHFFFAOYSA-N 1 2 264.328 3.883 20 0 CHADLO Cc1cc[nH+]cc1NCC[C@@H]1CCc2ccc3c(c21)CCO3 ZINC001170081297 1130171603 /nfs/dbraw/zinc/17/16/03/1130171603.db2.gz CWRQLDUXKBZQSO-HNNXBMFYSA-N 1 2 294.398 3.857 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+][C@H](c1ccncc1)C1CC1 ZINC000903430460 1130176333 /nfs/dbraw/zinc/17/63/33/1130176333.db2.gz XGEVUDMWOMERQN-BPQIPLTHSA-N 1 2 298.361 3.594 20 0 CHADLO CCCCNC(=O)c1ccc(-c2cc(C)[nH+]c(C)c2)cc1 ZINC001239986731 1130182253 /nfs/dbraw/zinc/18/22/53/1130182253.db2.gz ZNPSZMUPOQGFOT-UHFFFAOYSA-N 1 2 282.387 3.895 20 0 CHADLO Clc1cncc(Nc2ccc(NC3CCCC3)[nH+]c2)n1 ZINC000788533794 1130195195 /nfs/dbraw/zinc/19/51/95/1130195195.db2.gz HBOGDDVAKUNTMK-UHFFFAOYSA-N 1 2 289.770 3.623 20 0 CHADLO Cc1cn2cc(-c3cc(F)cc4[nH]ccc43)ccc2[nH+]1 ZINC001240037420 1130202807 /nfs/dbraw/zinc/20/28/07/1130202807.db2.gz DISKBYPRXRUXDM-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO Nc1ccc(-c2cccc(C(=O)Nc3ccccc3)c2)c[nH+]1 ZINC001240114486 1130213292 /nfs/dbraw/zinc/21/32/92/1130213292.db2.gz YJFHKWLGAMFTDM-UHFFFAOYSA-N 1 2 289.338 3.583 20 0 CHADLO COc1ccc(F)c(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001240117647 1130215125 /nfs/dbraw/zinc/21/51/25/1130215125.db2.gz CKXJIAZGJGFUPR-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)nc1)c1cscn1 ZINC000788982854 1130221163 /nfs/dbraw/zinc/22/11/63/1130221163.db2.gz IWBRWQJJRBPQLT-RKDXNWHRSA-N 1 2 267.785 3.603 20 0 CHADLO Cc1cn2cc(-c3c4cc[nH]c4ccc3F)ccc2[nH+]1 ZINC001240213084 1130233143 /nfs/dbraw/zinc/23/31/43/1130233143.db2.gz AHFHSTZKJVTCIH-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO Fc1cc2ncccc2cc1-c1ccc2[nH+]ccn2c1 ZINC001240217472 1130235224 /nfs/dbraw/zinc/23/52/24/1130235224.db2.gz FMVSQQQCBLMDHF-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1csc2nc(C)nc(-c3ccc4[nH+]ccn4c3)c12 ZINC001240217153 1130235282 /nfs/dbraw/zinc/23/52/82/1130235282.db2.gz DMIDEVRIYFIRFD-UHFFFAOYSA-N 1 2 280.356 3.623 20 0 CHADLO COc1cccc2c1ccnc2-c1ccc2[nH+]ccn2c1 ZINC001240217860 1130235518 /nfs/dbraw/zinc/23/55/18/1130235518.db2.gz ZCBIMDUOZLSNOR-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Fc1cccc(-c2ccc(-c3ccc4[nH+]ccn4c3)nn2)c1 ZINC001240217337 1130235674 /nfs/dbraw/zinc/23/56/74/1130235674.db2.gz NLOCJQXWGFQVCE-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO CCc1cc2ncnc(-c3ccc4[nH+]ccn4c3)c2s1 ZINC001240216531 1130235715 /nfs/dbraw/zinc/23/57/15/1130235715.db2.gz JKOMWXRYRHLXHV-UHFFFAOYSA-N 1 2 280.356 3.568 20 0 CHADLO c1cn2cc(-c3ccc(OC4CCC4)cc3)ccc2[nH+]1 ZINC001240218271 1130236075 /nfs/dbraw/zinc/23/60/75/1130236075.db2.gz IYJBFBNOQGESDU-UHFFFAOYSA-N 1 2 264.328 3.933 20 0 CHADLO Fc1cnc2c(cccc2-c2ccc3[nH+]ccn3c2)c1 ZINC001240218270 1130236386 /nfs/dbraw/zinc/23/63/86/1130236386.db2.gz IWMPSYNKIXFYCE-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO c1cn2cc(-c3ccc4nscc4c3)ccc2[nH+]1 ZINC001240218791 1130236714 /nfs/dbraw/zinc/23/67/14/1130236714.db2.gz ZTKKSAOQSSRNFW-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Cc1cccc2c(-c3ccc4[nH+]ccn4c3)ccnc12 ZINC001240218887 1130237032 /nfs/dbraw/zinc/23/70/32/1130237032.db2.gz NVFMOADKBMELHI-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cc2cccnc2c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240219394 1130237087 /nfs/dbraw/zinc/23/70/87/1130237087.db2.gz KHZURSZJIGHNBS-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1cc(-c2ccc3[nH+]ccn3c2)cc2[nH]ccc21 ZINC001240218614 1130237104 /nfs/dbraw/zinc/23/71/04/1130237104.db2.gz SYXAATMLQSCLOW-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO c1cn2cc(-c3ccccc3OC3CCC3)ccc2[nH+]1 ZINC001240218761 1130237133 /nfs/dbraw/zinc/23/71/33/1130237133.db2.gz XQIVPDFMBPGUMU-UHFFFAOYSA-N 1 2 264.328 3.933 20 0 CHADLO Fc1ccc(-c2ccc3[nH+]ccn3c2)c2ccncc12 ZINC001240219744 1130238723 /nfs/dbraw/zinc/23/87/23/1130238723.db2.gz UBPHXYXTUQTLHL-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccnc(Cl)c2F)c1 ZINC000823399598 1131425334 /nfs/dbraw/zinc/42/53/34/1131425334.db2.gz KTNJDKLQWXFUPD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cn2cc(-c3ccc(C(F)(F)F)nc3)ccc2[nH+]1 ZINC001240268970 1130253201 /nfs/dbraw/zinc/25/32/01/1130253201.db2.gz SHJLTDOFDNGDOC-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cc3ccccc3[nH]2)no1 ZINC000789725399 1130274351 /nfs/dbraw/zinc/27/43/51/1130274351.db2.gz FCQFDRIDYLLWCC-QGZVFWFLSA-N 1 2 281.359 3.801 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cc3ccccc3[nH]2)no1 ZINC000789725399 1130274357 /nfs/dbraw/zinc/27/43/57/1130274357.db2.gz FCQFDRIDYLLWCC-QGZVFWFLSA-N 1 2 281.359 3.801 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2cnc3[nH]ccc3c2)cc1 ZINC001240393593 1130289928 /nfs/dbraw/zinc/28/99/28/1130289928.db2.gz UEBXSZAWBRUXQB-UHFFFAOYSA-N 1 2 274.327 3.582 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2cnc3[nH]ccc3c2)cc1F ZINC001240395266 1130290384 /nfs/dbraw/zinc/29/03/84/1130290384.db2.gz ZEXPLBBLJLJUMA-UHFFFAOYSA-N 1 2 287.313 3.521 20 0 CHADLO CC(C)(O)c1cccc(-c2cc[nH+]c(N3CCCCC3)c2)c1 ZINC001240406621 1130293808 /nfs/dbraw/zinc/29/38/08/1130293808.db2.gz NBCRIFMVOCARKP-UHFFFAOYSA-N 1 2 296.414 3.966 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1ccnc2[nH]ccc21 ZINC001240413946 1130297764 /nfs/dbraw/zinc/29/77/64/1130297764.db2.gz UIBDHANPVRFCLM-UHFFFAOYSA-N 1 2 292.386 3.924 20 0 CHADLO CC(C)CCn1cc(-c2cccc(-c3c[nH+]cn3C)c2)cn1 ZINC001240419812 1130301133 /nfs/dbraw/zinc/30/11/33/1130301133.db2.gz DGIOCGXVZNDQNI-UHFFFAOYSA-N 1 2 294.402 3.997 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccnn2-c2ccccc2)cc1 ZINC000790472003 1130315956 /nfs/dbraw/zinc/31/59/56/1130315956.db2.gz NOTMCYIDSFZLHJ-UHFFFAOYSA-N 1 2 292.386 3.550 20 0 CHADLO CCC(CC)[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790505245 1130318546 /nfs/dbraw/zinc/31/85/46/1130318546.db2.gz WYKMXFWNVQKVPL-OKILXGFUSA-N 1 2 276.424 3.901 20 0 CHADLO CCOc1ncccc1-c1c[nH+]c(N2CCCCC2)cc1C ZINC001240493204 1130326525 /nfs/dbraw/zinc/32/65/25/1130326525.db2.gz ZWULGOVYABDEHX-UHFFFAOYSA-N 1 2 297.402 3.841 20 0 CHADLO FC(F)(F)c1ccccc1C[NH+]1CC2(C1)CC(F)(F)C2 ZINC000677732238 1130326801 /nfs/dbraw/zinc/32/68/01/1130326801.db2.gz NZRSMZDCMRGVGA-UHFFFAOYSA-N 1 2 291.263 3.937 20 0 CHADLO FC(F)[C@@H]1CCC[N@@H+]1CCCOc1cccc(Cl)c1 ZINC000677737100 1130327368 /nfs/dbraw/zinc/32/73/68/1130327368.db2.gz RGHUFVNDBSVAMM-ZDUSSCGKSA-N 1 2 289.753 3.838 20 0 CHADLO FC(F)[C@@H]1CCC[N@H+]1CCCOc1cccc(Cl)c1 ZINC000677737100 1130327373 /nfs/dbraw/zinc/32/73/73/1130327373.db2.gz RGHUFVNDBSVAMM-ZDUSSCGKSA-N 1 2 289.753 3.838 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cccnc2OCC)c1 ZINC001240493524 1130327549 /nfs/dbraw/zinc/32/75/49/1130327549.db2.gz AJBHYDXFOZSTNG-UHFFFAOYSA-N 1 2 270.332 3.509 20 0 CHADLO c1cn2ccc(-c3nccc4sccc43)cc2[nH+]1 ZINC001240496382 1130328160 /nfs/dbraw/zinc/32/81/60/1130328160.db2.gz LLLMNBTUCDMKPC-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO CCc1cc2ncnc(-c3ccn4cc[nH+]c4c3)c2s1 ZINC001240497490 1130329231 /nfs/dbraw/zinc/32/92/31/1130329231.db2.gz QIJKKRRMRMNQGV-UHFFFAOYSA-N 1 2 280.356 3.568 20 0 CHADLO Fc1ccc(-c2ccnc(-c3ccn4cc[nH+]c4c3)n2)cc1 ZINC001240496689 1130329258 /nfs/dbraw/zinc/32/92/58/1130329258.db2.gz QIFFDUGMUIQQJK-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO CC(C)(C)Oc1cccc(-c2ccn3cc[nH+]c3c2)n1 ZINC001240497701 1130329709 /nfs/dbraw/zinc/32/97/09/1130329709.db2.gz WGTMBIIFEWUWOF-UHFFFAOYSA-N 1 2 267.332 3.574 20 0 CHADLO CN(C)c1cccc(C[N@H+](CC(F)F)CC2CCC2)c1 ZINC000677766326 1130331353 /nfs/dbraw/zinc/33/13/53/1130331353.db2.gz DFGQAIOZLXUANT-UHFFFAOYSA-N 1 2 282.378 3.620 20 0 CHADLO CN(C)c1cccc(C[N@@H+](CC(F)F)CC2CCC2)c1 ZINC000677766326 1130331357 /nfs/dbraw/zinc/33/13/57/1130331357.db2.gz DFGQAIOZLXUANT-UHFFFAOYSA-N 1 2 282.378 3.620 20 0 CHADLO C[C@@H]1CCCCN1c1cccc(-c2ccn3cc[nH+]c3c2)n1 ZINC001240504637 1130333699 /nfs/dbraw/zinc/33/36/99/1130333699.db2.gz UECIKFMNGKMROR-CQSZACIVSA-N 1 2 292.386 3.775 20 0 CHADLO COc1nc(-c2ccn3cc[nH+]c3c2)cc2cc(F)ccc21 ZINC001240503683 1130333729 /nfs/dbraw/zinc/33/37/29/1130333729.db2.gz SJZVOEQMMDMUMT-UHFFFAOYSA-N 1 2 293.301 3.697 20 0 CHADLO Cc1ccccc1-c1ccc(-c2ccn3cc[nH+]c3c2)nn1 ZINC001240504837 1130334018 /nfs/dbraw/zinc/33/40/18/1130334018.db2.gz YZSNTVXJLXUAAN-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO Fc1cc(-c2ccn3cc[nH+]c3c2)cc2cccnc12 ZINC001240508634 1130334092 /nfs/dbraw/zinc/33/40/92/1130334092.db2.gz GMUWMWYXLCSIIG-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ccc(-c2ccc(-c3ccn4cc[nH+]c4c3)nn2)cc1 ZINC001240504852 1130334843 /nfs/dbraw/zinc/33/48/43/1130334843.db2.gz ZXGAXXPLWVCNIL-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO COc1ccc2ncc(-c3ccn4cc[nH+]c4c3)cc2c1 ZINC001240510810 1130335351 /nfs/dbraw/zinc/33/53/51/1130335351.db2.gz SQRSUVWPDVNRMA-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC(C)(C)OC(=O)c1ccccc1-c1ccn2cc[nH+]c2c1 ZINC001240510203 1130336630 /nfs/dbraw/zinc/33/66/30/1130336630.db2.gz FKVLMZJXLNAGND-UHFFFAOYSA-N 1 2 294.354 3.957 20 0 CHADLO CC(C)(C)c1ccc(-c2ccn3cc[nH+]c3c2)cn1 ZINC001240512657 1130337258 /nfs/dbraw/zinc/33/72/58/1130337258.db2.gz WPGBRDYUONGPND-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO Cc1nc2cccc(-c3ccn4cc[nH+]c4c3)c2s1 ZINC001240513299 1130337315 /nfs/dbraw/zinc/33/73/15/1130337315.db2.gz KXRHOPMURFBTHU-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO CC[C@@H](C(=O)OC)c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240512722 1130337509 /nfs/dbraw/zinc/33/75/09/1130337509.db2.gz YNDWEHBXWHVJQS-MRXNPFEDSA-N 1 2 294.354 3.668 20 0 CHADLO CCN(CC)c1c(F)cccc1-c1ccn2cc[nH+]c2c1 ZINC001240517697 1130341588 /nfs/dbraw/zinc/34/15/88/1130341588.db2.gz BYRDUUZLPJOQQG-UHFFFAOYSA-N 1 2 283.350 3.987 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cnc([C@H]2CCCO2)s1 ZINC000678126576 1130356048 /nfs/dbraw/zinc/35/60/48/1130356048.db2.gz XWYUPBWPZIDSIB-CYBMUJFWSA-N 1 2 294.445 3.688 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cnc([C@H]2CCCO2)s1 ZINC000678126576 1130356055 /nfs/dbraw/zinc/35/60/55/1130356055.db2.gz XWYUPBWPZIDSIB-CYBMUJFWSA-N 1 2 294.445 3.688 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc2c(c1)OC(F)(F)O2 ZINC001240566519 1130361850 /nfs/dbraw/zinc/36/18/50/1130361850.db2.gz FTQIJEJDAOLPRN-UHFFFAOYSA-N 1 2 263.243 3.687 20 0 CHADLO C/C(=C\C(=O)OCc1ccc(-n2cc[nH+]c2)cc1C)C1CC1 ZINC000791345333 1130363410 /nfs/dbraw/zinc/36/34/10/1130363410.db2.gz ZVSGCSMNTMIAGU-GXDHUFHOSA-N 1 2 296.370 3.580 20 0 CHADLO Cc1cn2c(cccc2-c2ccc3ccn(C)c3c2)[nH+]1 ZINC001240573916 1130367440 /nfs/dbraw/zinc/36/74/40/1130367440.db2.gz NFQRUNORHXADCH-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO CCCC1(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2C)CC1 ZINC000791421909 1130368801 /nfs/dbraw/zinc/36/88/01/1130368801.db2.gz MGNXAPMKDRCHHN-UHFFFAOYSA-N 1 2 298.386 3.804 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1n[nH]c2ccccc21)C1CC1 ZINC000678213417 1130371577 /nfs/dbraw/zinc/37/15/77/1130371577.db2.gz UPVBEPANCJENKW-GFCCVEGCSA-N 1 2 281.359 3.882 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1n[nH]c2ccccc21)C1CC1 ZINC000678213417 1130371580 /nfs/dbraw/zinc/37/15/80/1130371580.db2.gz UPVBEPANCJENKW-GFCCVEGCSA-N 1 2 281.359 3.882 20 0 CHADLO CCC[N@H+](CC(F)F)C[C@H]1CCOc2ccccc21 ZINC000678222943 1130373933 /nfs/dbraw/zinc/37/39/33/1130373933.db2.gz YYSVGYSFVNPAIK-GFCCVEGCSA-N 1 2 269.335 3.530 20 0 CHADLO CCC[N@@H+](CC(F)F)C[C@H]1CCOc2ccccc21 ZINC000678222943 1130373935 /nfs/dbraw/zinc/37/39/35/1130373935.db2.gz YYSVGYSFVNPAIK-GFCCVEGCSA-N 1 2 269.335 3.530 20 0 CHADLO C/C=C(\CC)C(=O)OCc1ccc(-n2cc[nH+]c2)cc1C ZINC000791465262 1130378881 /nfs/dbraw/zinc/37/88/81/1130378881.db2.gz WJUXTHUITIJZID-LNKIKWGQSA-N 1 2 284.359 3.580 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1C/C(Cl)=C\Cl ZINC000791585699 1130387086 /nfs/dbraw/zinc/38/70/86/1130387086.db2.gz UCAWSGLOMHUGGS-AIVTYHFNSA-N 1 2 262.102 3.578 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1C/C(Cl)=C\Cl ZINC000791585699 1130387091 /nfs/dbraw/zinc/38/70/91/1130387091.db2.gz UCAWSGLOMHUGGS-AIVTYHFNSA-N 1 2 262.102 3.578 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC[C@H](C(F)(F)F)[C@H]2C)o1 ZINC000791585853 1130387595 /nfs/dbraw/zinc/38/75/95/1130387595.db2.gz UWCGWNAOUCAHAO-ZJUUUORDSA-N 1 2 276.302 3.571 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC[C@H](C(F)(F)F)[C@H]2C)o1 ZINC000791585853 1130387599 /nfs/dbraw/zinc/38/75/99/1130387599.db2.gz UWCGWNAOUCAHAO-ZJUUUORDSA-N 1 2 276.302 3.571 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+]2Cc2cccc3c2OCO3)c1 ZINC000678283138 1130390554 /nfs/dbraw/zinc/39/05/54/1130390554.db2.gz BBEYODPYRHZDRZ-OAHLLOKOSA-N 1 2 285.318 3.501 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+]2Cc2cccc3c2OCO3)c1 ZINC000678283138 1130390558 /nfs/dbraw/zinc/39/05/58/1130390558.db2.gz BBEYODPYRHZDRZ-OAHLLOKOSA-N 1 2 285.318 3.501 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cn3cccc(F)c3n2)[C@H]1c1ccccc1 ZINC000678295569 1130392301 /nfs/dbraw/zinc/39/23/01/1130392301.db2.gz IZBLVXPTKIRTTP-CXAGYDPISA-N 1 2 295.361 3.666 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cn3cccc(F)c3n2)[C@H]1c1ccccc1 ZINC000678295569 1130392305 /nfs/dbraw/zinc/39/23/05/1130392305.db2.gz IZBLVXPTKIRTTP-CXAGYDPISA-N 1 2 295.361 3.666 20 0 CHADLO Cc1cc(O)ccc1-c1ccccc1Cn1cc[nH+]c1 ZINC001240644312 1130395879 /nfs/dbraw/zinc/39/58/79/1130395879.db2.gz KDIZRQRQXRMGLQ-UHFFFAOYSA-N 1 2 264.328 3.612 20 0 CHADLO Cc1cc(N[C@H](C)c2cnccc2C)nc(C2CC2)[nH+]1 ZINC000678408568 1130410189 /nfs/dbraw/zinc/41/01/89/1130410189.db2.gz NOKWNQGTYCVJRH-GFCCVEGCSA-N 1 2 268.364 3.539 20 0 CHADLO Clc1cc(-c2ccc3[nH+]ccn3c2)cc(Cl)n1 ZINC001243369268 1130413226 /nfs/dbraw/zinc/41/32/26/1130413226.db2.gz JMJAQQNVUNEZJR-UHFFFAOYSA-N 1 2 264.115 3.703 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cnn([C@@H]3CCCCO3)c2)c1 ZINC001240676995 1130413595 /nfs/dbraw/zinc/41/35/95/1130413595.db2.gz KAGAHDWGMZZEMB-INIZCTEOSA-N 1 2 299.374 3.611 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1cnc(C2CC2)nc1 ZINC001240686345 1130417292 /nfs/dbraw/zinc/41/72/92/1130417292.db2.gz KMCTVDYJQAZXRH-UHFFFAOYSA-N 1 2 294.402 3.715 20 0 CHADLO Nc1cn2ccc(-c3cccc(C(F)F)c3)cc2[nH+]1 ZINC001240692175 1130420529 /nfs/dbraw/zinc/42/05/29/1130420529.db2.gz FUPQDWQYQPUZIU-UHFFFAOYSA-N 1 2 259.259 3.521 20 0 CHADLO Cc1ccc(-c2c[nH+]c(N3CCOCC3)cc2C)cc1C ZINC001240700621 1130426242 /nfs/dbraw/zinc/42/62/42/1130426242.db2.gz AGJJKMHETBZTBC-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO CCc1noc(C[NH2+][C@H](CC(C)(C)C)c2ccccc2)n1 ZINC000678749446 1130449821 /nfs/dbraw/zinc/44/98/21/1130449821.db2.gz PQHHLPFPVGOORU-CQSZACIVSA-N 1 2 287.407 3.899 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2nc(CC)no2)cc1 ZINC000678765029 1130451018 /nfs/dbraw/zinc/45/10/18/1130451018.db2.gz VZAUQMRKUQNVPI-LLVKDONJSA-N 1 2 291.420 3.595 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@@H](CC2CC2)c2ccccc2)n1 ZINC000678775959 1130453160 /nfs/dbraw/zinc/45/31/60/1130453160.db2.gz HRMLGQQFQJOUES-INIZCTEOSA-N 1 2 299.418 3.899 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](CC2CC2)c2ccccc2)n1 ZINC000678776123 1130453466 /nfs/dbraw/zinc/45/34/66/1130453466.db2.gz JEQRPUVMUGLCHT-HNNXBMFYSA-N 1 2 285.391 3.653 20 0 CHADLO CCc1noc(C[NH2+][C@H](c2cccc(C)c2)C(C)(C)C)n1 ZINC000678791510 1130454990 /nfs/dbraw/zinc/45/49/90/1130454990.db2.gz PHYLKAPTJNMZCY-MRXNPFEDSA-N 1 2 287.407 3.817 20 0 CHADLO COCOc1cc(C)ccc1-c1cn2cc[nH+]c2cc1C ZINC001240754577 1130456083 /nfs/dbraw/zinc/45/60/83/1130456083.db2.gz BMPFJCJPFKJNHM-UHFFFAOYSA-N 1 2 282.343 3.601 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccc(Cl)o2)c(C)s1 ZINC000678857730 1130465831 /nfs/dbraw/zinc/46/58/31/1130465831.db2.gz QAORZZBIXQKMBA-ZETCQYMHSA-N 1 2 270.785 3.857 20 0 CHADLO Cc1c(-c2ccc3c(c2)CCCO3)ccc2[nH+]ccn21 ZINC001240776021 1130467197 /nfs/dbraw/zinc/46/71/97/1130467197.db2.gz PTRVSBCVOOOGMX-UHFFFAOYSA-N 1 2 264.328 3.635 20 0 CHADLO CCOC(=O)C[N@H+](CC(C)C)[C@H](C)c1ccccc1Cl ZINC001170245772 1130467226 /nfs/dbraw/zinc/46/72/26/1130467226.db2.gz YJRVGQCNLWUKBY-CYBMUJFWSA-N 1 2 297.826 3.922 20 0 CHADLO CCOC(=O)C[N@@H+](CC(C)C)[C@H](C)c1ccccc1Cl ZINC001170245772 1130467229 /nfs/dbraw/zinc/46/72/29/1130467229.db2.gz YJRVGQCNLWUKBY-CYBMUJFWSA-N 1 2 297.826 3.922 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(F)c(Cl)c1F ZINC001157709676 1130468494 /nfs/dbraw/zinc/46/84/94/1130468494.db2.gz PHQSQIYVOBIMHB-UHFFFAOYSA-N 1 2 284.693 3.634 20 0 CHADLO CCC(CC)([NH2+][C@H](C)Cc1ccccc1Cl)C(=O)OC ZINC001170266411 1130471157 /nfs/dbraw/zinc/47/11/57/1130471157.db2.gz OBIXSVMXHJYSQC-GFCCVEGCSA-N 1 2 297.826 3.592 20 0 CHADLO Cc1cn2c(cccc2-c2cncc(C(F)(F)F)c2)[nH+]1 ZINC001240791341 1130473816 /nfs/dbraw/zinc/47/38/16/1130473816.db2.gz QBKWEGYXZFSXNJ-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO C[C@@H]1C[C@@H]1[C@@H]([NH2+]Cc1csnn1)c1ccc(Cl)cc1 ZINC000678931078 1130473795 /nfs/dbraw/zinc/47/37/95/1130473795.db2.gz CPQNUJCGWCLMJM-IIMNLJJBSA-N 1 2 293.823 3.678 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2ccc(O)c(F)c2)cc1F ZINC001240791004 1130474090 /nfs/dbraw/zinc/47/40/90/1130474090.db2.gz VGGYPQDFCGOYPQ-UHFFFAOYSA-N 1 2 281.277 3.538 20 0 CHADLO CC(C)Sc1ccc([C@@H](C)[NH2+]Cc2csnn2)cc1 ZINC000678931071 1130474463 /nfs/dbraw/zinc/47/44/63/1130474463.db2.gz IYUKADFDCIJYHD-LLVKDONJSA-N 1 2 293.461 3.889 20 0 CHADLO Cc1c(-c2cncc(C(F)(F)F)c2)ccc2[nH+]ccn21 ZINC001240793985 1130475806 /nfs/dbraw/zinc/47/58/06/1130475806.db2.gz IOEWQYYGIUXBHC-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO c1cc2ccc(-c3cc[nH+]c(N4CCCC4)c3)cc2[nH]1 ZINC001240795875 1130476802 /nfs/dbraw/zinc/47/68/02/1130476802.db2.gz AVBGFYFKVDFLLE-UHFFFAOYSA-N 1 2 263.344 3.830 20 0 CHADLO Cc1cc2cc([C@H](C)[NH2+]Cc3cocn3)oc2cc1C ZINC000679047370 1130487939 /nfs/dbraw/zinc/48/79/39/1130487939.db2.gz DZQRQYGMCJDWGR-LBPRGKRZSA-N 1 2 270.332 3.888 20 0 CHADLO Cn1ccc2cc(-c3ccc(-c4c[nH+]cn4C)cc3)cnc21 ZINC001240845333 1130491465 /nfs/dbraw/zinc/49/14/65/1130491465.db2.gz VWEWOPJYDZAOHV-UHFFFAOYSA-N 1 2 288.354 3.641 20 0 CHADLO CCOC(COc1cc(CC)[nH+]c2ccccc21)OCC ZINC000792733763 1130497148 /nfs/dbraw/zinc/49/71/48/1130497148.db2.gz YQRQUJBBRQDDRE-UHFFFAOYSA-N 1 2 289.375 3.575 20 0 CHADLO c1cc2c[nH+]c(-c3ccc(Oc4cccnc4)cc3)nc2[nH]1 ZINC001240879850 1130500222 /nfs/dbraw/zinc/50/02/22/1130500222.db2.gz VTZUZOZISHAKBV-UHFFFAOYSA-N 1 2 288.310 3.764 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679224776 1130505766 /nfs/dbraw/zinc/50/57/66/1130505766.db2.gz OUNXQVZAOQBAFW-IJLUTSLNSA-N 1 2 262.328 3.569 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679224776 1130505772 /nfs/dbraw/zinc/50/57/72/1130505772.db2.gz OUNXQVZAOQBAFW-IJLUTSLNSA-N 1 2 262.328 3.569 20 0 CHADLO c1sc(Nc2ccccc2)nc1C[N@H+]1CC=CCC1 ZINC000679322582 1130514653 /nfs/dbraw/zinc/51/46/53/1130514653.db2.gz CFSKDEKZNGLAMK-UHFFFAOYSA-N 1 2 271.389 3.649 20 0 CHADLO c1sc(Nc2ccccc2)nc1C[N@@H+]1CC=CCC1 ZINC000679322582 1130514656 /nfs/dbraw/zinc/51/46/56/1130514656.db2.gz CFSKDEKZNGLAMK-UHFFFAOYSA-N 1 2 271.389 3.649 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](c1ccccc1)C(C)C ZINC000679330225 1130515219 /nfs/dbraw/zinc/51/52/19/1130515219.db2.gz DUQQLHGKYAYFTA-BLLLJJGKSA-N 1 2 257.381 3.766 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(C3CCC3)s2)C[C@H]1F ZINC000679357561 1130519872 /nfs/dbraw/zinc/51/98/72/1130519872.db2.gz AEJBDUCUSYWWIP-ZWNOBZJWSA-N 1 2 268.401 3.591 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(C3CCC3)s2)C[C@H]1F ZINC000679357561 1130519877 /nfs/dbraw/zinc/51/98/77/1130519877.db2.gz AEJBDUCUSYWWIP-ZWNOBZJWSA-N 1 2 268.401 3.591 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](CC1CC1)c1ccccc1 ZINC000679359197 1130520474 /nfs/dbraw/zinc/52/04/74/1130520474.db2.gz CHFWUUZYFBZVDL-SJCJKPOMSA-N 1 2 269.392 3.910 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)[nH]1 ZINC001137372332 1130523435 /nfs/dbraw/zinc/52/34/35/1130523435.db2.gz QRCCCFFTVZHYRX-IUODEOHRSA-N 1 2 270.367 3.584 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)[nH]1 ZINC001137372332 1130523440 /nfs/dbraw/zinc/52/34/40/1130523440.db2.gz QRCCCFFTVZHYRX-IUODEOHRSA-N 1 2 270.367 3.584 20 0 CHADLO Cc1cc(-c2cccc3ncccc32)c2[nH+]ccn2c1 ZINC001240937692 1130524422 /nfs/dbraw/zinc/52/44/22/1130524422.db2.gz CKRAXSXYPHHBBP-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCC[C@@](C)(CC)C(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000793240060 1130535283 /nfs/dbraw/zinc/53/52/83/1130535283.db2.gz RTEVVAITROPREX-GOSISDBHSA-N 1 2 299.418 3.705 20 0 CHADLO CCC[C@H](CC1CCCC1)C(=O)OCCc1c[nH+]cn1C ZINC000793241383 1130535401 /nfs/dbraw/zinc/53/54/01/1130535401.db2.gz KTZANPSPAGZXOG-OAHLLOKOSA-N 1 2 292.423 3.502 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)cs1 ZINC000679546324 1130539226 /nfs/dbraw/zinc/53/92/26/1130539226.db2.gz OTTPBWGOHVNTHO-NXEZZACHSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)cs1 ZINC000679546324 1130539230 /nfs/dbraw/zinc/53/92/30/1130539230.db2.gz OTTPBWGOHVNTHO-NXEZZACHSA-N 1 2 292.370 3.868 20 0 CHADLO CN(C)c1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001240988650 1130554043 /nfs/dbraw/zinc/55/40/43/1130554043.db2.gz QZIGHGWSEGNAMJ-UHFFFAOYSA-N 1 2 281.334 3.744 20 0 CHADLO O=C(OCCCn1cc[nH+]c1)c1ccc(C2CCCC2)cc1 ZINC000811414596 1130608380 /nfs/dbraw/zinc/60/83/80/1130608380.db2.gz USBQBFDHYGEOHS-UHFFFAOYSA-N 1 2 298.386 3.788 20 0 CHADLO CC[C@]1(C)CCC[N@H+](Cn2nc(C(F)(F)F)cc2C)C1 ZINC000811753277 1130643540 /nfs/dbraw/zinc/64/35/40/1130643540.db2.gz RHABHQMDHQDZIP-CYBMUJFWSA-N 1 2 289.345 3.680 20 0 CHADLO CC[C@]1(C)CCC[N@@H+](Cn2nc(C(F)(F)F)cc2C)C1 ZINC000811753277 1130643543 /nfs/dbraw/zinc/64/35/43/1130643543.db2.gz RHABHQMDHQDZIP-CYBMUJFWSA-N 1 2 289.345 3.680 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H]2CCCc3cccnc32)cc1 ZINC001234587247 1130659026 /nfs/dbraw/zinc/65/90/26/1130659026.db2.gz ZMRFEGBRDLOQKU-MRXNPFEDSA-N 1 2 296.370 3.900 20 0 CHADLO CCCC(=O)[C@@H](CCC)Oc1ccc(C(=[NH2+])OCC)cc1 ZINC001234589280 1130659545 /nfs/dbraw/zinc/65/95/45/1130659545.db2.gz ZDAZKCGRZRYSSO-MRXNPFEDSA-N 1 2 291.391 3.965 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1c(F)cc(F)cc1Br ZINC001234733651 1130675465 /nfs/dbraw/zinc/67/54/65/1130675465.db2.gz CEKPPKXOJJWBRV-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1c(F)cc(F)cc1Br ZINC001234733651 1130675466 /nfs/dbraw/zinc/67/54/66/1130675466.db2.gz CEKPPKXOJJWBRV-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1C[C@H](O)C[N@H+]1Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235592382 1130757926 /nfs/dbraw/zinc/75/79/26/1130757926.db2.gz QAMDPUAADBTWFO-APPZFPTMSA-N 1 2 294.609 3.602 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCO[C@@H](C(C)C)C2)c1 ZINC001235538567 1130754865 /nfs/dbraw/zinc/75/48/65/1130754865.db2.gz OYLIRVFCCBELQT-OAHLLOKOSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCO[C@@H](C(C)C)C2)c1 ZINC001235538567 1130754871 /nfs/dbraw/zinc/75/48/71/1130754871.db2.gz OYLIRVFCCBELQT-OAHLLOKOSA-N 1 2 267.800 3.505 20 0 CHADLO Cc1ccc2cc(NCc3cccc4ccnn43)[nH+]cc2c1 ZINC001170701928 1130755354 /nfs/dbraw/zinc/75/53/54/1130755354.db2.gz UXBNZGZOKADBML-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO C[C@@H]1C[C@H](O)C[N@@H+]1Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235592382 1130757924 /nfs/dbraw/zinc/75/79/24/1130757924.db2.gz QAMDPUAADBTWFO-APPZFPTMSA-N 1 2 294.609 3.602 20 0 CHADLO Cc1ccc(N[C@H](C)c2cn3c([nH+]2)CCCC3)cc1 ZINC000813326988 1130759557 /nfs/dbraw/zinc/75/95/57/1130759557.db2.gz BLDFTKFNDORMSP-CYBMUJFWSA-N 1 2 255.365 3.701 20 0 CHADLO C[C@H](C1CC1)[N@@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000782194696 1130760586 /nfs/dbraw/zinc/76/05/86/1130760586.db2.gz UBXFTLRJBJMBGN-MEBBXXQBSA-N 1 2 283.774 3.651 20 0 CHADLO C[C@H](C1CC1)[N@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000782194696 1130760592 /nfs/dbraw/zinc/76/05/92/1130760592.db2.gz UBXFTLRJBJMBGN-MEBBXXQBSA-N 1 2 283.774 3.651 20 0 CHADLO CCc1cnc(C[N@H+](C)C[C@@]2(C)CC2(Cl)Cl)s1 ZINC000827963387 1130763675 /nfs/dbraw/zinc/76/36/75/1130763675.db2.gz JZZPDDFBXFRYCO-LLVKDONJSA-N 1 2 293.263 3.721 20 0 CHADLO CCc1cnc(C[N@@H+](C)C[C@@]2(C)CC2(Cl)Cl)s1 ZINC000827963387 1130763678 /nfs/dbraw/zinc/76/36/78/1130763678.db2.gz JZZPDDFBXFRYCO-LLVKDONJSA-N 1 2 293.263 3.721 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc3cc(F)ccc3[nH]2)Cc2ccccc21 ZINC001235681545 1130767998 /nfs/dbraw/zinc/76/79/98/1130767998.db2.gz CLLFWWYHWBNISI-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc3cc(F)ccc3[nH]2)Cc2ccccc21 ZINC001235681545 1130768002 /nfs/dbraw/zinc/76/80/02/1130768002.db2.gz CLLFWWYHWBNISI-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1nc3cc(F)ccc3[nH]1)C2 ZINC001235685434 1130768650 /nfs/dbraw/zinc/76/86/50/1130768650.db2.gz ZRQBSLZBMQGBHZ-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1nc3cc(F)ccc3[nH]1)C2 ZINC001235685434 1130768656 /nfs/dbraw/zinc/76/86/56/1130768656.db2.gz ZRQBSLZBMQGBHZ-UHFFFAOYSA-N 1 2 295.361 3.569 20 0 CHADLO Fc1cc(Cl)cc(Cl)c1C[NH+]1CC2CC(C2)C1 ZINC001235750897 1130776370 /nfs/dbraw/zinc/77/63/70/1130776370.db2.gz NIBFPTFUVBSPHU-UHFFFAOYSA-N 1 2 274.166 3.974 20 0 CHADLO FC[C@H]1[C@@H]2C[N@H+](Cc3c(F)cc(Cl)cc3Cl)C[C@H]12 ZINC001235751990 1130776542 /nfs/dbraw/zinc/77/65/42/1130776542.db2.gz ZKMUGMYMHAGZJY-OWUUHHOZSA-N 1 2 292.156 3.780 20 0 CHADLO C[C@H]1CCC[C@H](CO[NH+]=C(N)Cc2cccc(F)c2)C1 ZINC000783157267 1130799992 /nfs/dbraw/zinc/79/99/92/1130799992.db2.gz HSPXLOCJMVLRSD-JSGCOSHPSA-N 1 2 278.371 3.693 20 0 CHADLO Cc1ccc(-c2ccc(OC(F)(F)F)cc2)[nH+]c1N ZINC001235931673 1130809747 /nfs/dbraw/zinc/80/97/47/1130809747.db2.gz OQMYSEQYNKYDCQ-UHFFFAOYSA-N 1 2 268.238 3.538 20 0 CHADLO CC(C)Oc1ccc(Cl)cc1-c1cc[nH+]c(N)c1 ZINC001235941477 1130812691 /nfs/dbraw/zinc/81/26/91/1130812691.db2.gz JOPLFCFQVYRQHO-UHFFFAOYSA-N 1 2 262.740 3.771 20 0 CHADLO C[N@H+](Cc1ccc(C(C)(C)C)s1)[C@@H]1CC(C)(C)OC1=O ZINC000814178840 1130834112 /nfs/dbraw/zinc/83/41/12/1130834112.db2.gz FPUHTSNPZBGISD-GFCCVEGCSA-N 1 2 295.448 3.572 20 0 CHADLO C[N@@H+](Cc1ccc(C(C)(C)C)s1)[C@@H]1CC(C)(C)OC1=O ZINC000814178840 1130834117 /nfs/dbraw/zinc/83/41/17/1130834117.db2.gz FPUHTSNPZBGISD-GFCCVEGCSA-N 1 2 295.448 3.572 20 0 CHADLO C[N@H+](Cc1ccc(C(C)(C)C)s1)[C@H]1CC(C)(C)OC1=O ZINC000814178841 1130835039 /nfs/dbraw/zinc/83/50/39/1130835039.db2.gz FPUHTSNPZBGISD-LBPRGKRZSA-N 1 2 295.448 3.572 20 0 CHADLO C[N@@H+](Cc1ccc(C(C)(C)C)s1)[C@H]1CC(C)(C)OC1=O ZINC000814178841 1130835042 /nfs/dbraw/zinc/83/50/42/1130835042.db2.gz FPUHTSNPZBGISD-LBPRGKRZSA-N 1 2 295.448 3.572 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cccnc2OC(C)C)cc1 ZINC001236105979 1130864628 /nfs/dbraw/zinc/86/46/28/1130864628.db2.gz MOYCFRWILLZUNY-UHFFFAOYSA-N 1 2 284.359 3.898 20 0 CHADLO Fc1cccc(C[NH2+]Cc2csc(-c3ccccc3)n2)n1 ZINC000747934496 1130864603 /nfs/dbraw/zinc/86/46/03/1130864603.db2.gz FACBGOFMVOPFQH-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO Cc1ccc(C2=CCN(c3cccc[nH+]3)CC2)cc1 ZINC000784253124 1130894159 /nfs/dbraw/zinc/89/41/59/1130894159.db2.gz DQGLKZQYXBOGLA-UHFFFAOYSA-N 1 2 250.345 3.684 20 0 CHADLO Cc1ccc(C2=CC[C@H](C(F)(F)F)CC2)[nH+]c1N ZINC001236250409 1130906291 /nfs/dbraw/zinc/90/62/91/1130906291.db2.gz PWXWYJOLFZMBQA-JTQLQIEISA-N 1 2 256.271 3.718 20 0 CHADLO CN(C)c1cc(C2=CC[C@H](C(F)(F)F)CC2)cc[nH+]1 ZINC001236253721 1130906491 /nfs/dbraw/zinc/90/64/91/1130906491.db2.gz JTEVARAHQMWAFN-LBPRGKRZSA-N 1 2 270.298 3.893 20 0 CHADLO C[NH+](C)Cc1c(F)cc(C2=CCSCC2)cc1F ZINC001236371518 1130922676 /nfs/dbraw/zinc/92/26/76/1130922676.db2.gz OSEPKGVQTHVNBF-UHFFFAOYSA-N 1 2 269.360 3.547 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+]Cc1cc3c(s1)CCCC3)CCC2 ZINC000796728790 1130926377 /nfs/dbraw/zinc/92/63/77/1130926377.db2.gz MIJOHQPRHYDGPO-CQSZACIVSA-N 1 2 287.432 3.517 20 0 CHADLO CC[C@@H]1CCCC[C@H]1C(=O)OCc1cccc2[nH+]ccn21 ZINC000796784600 1130932890 /nfs/dbraw/zinc/93/28/90/1130932890.db2.gz LOISYUHYNVXDDV-UKRRQHHQSA-N 1 2 286.375 3.594 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+][C@@H](CC1CC1)c1ccccc1)CCC2 ZINC000796877570 1130942932 /nfs/dbraw/zinc/94/29/32/1130942932.db2.gz AQUWGLOAUAJEFX-WMZOPIPTSA-N 1 2 281.403 3.918 20 0 CHADLO CCCOc1ccc(Cl)cc1-c1ccc[nH+]c1N ZINC001236452393 1130945708 /nfs/dbraw/zinc/94/57/08/1130945708.db2.gz HTKPZJIRGIULPT-UHFFFAOYSA-N 1 2 262.740 3.773 20 0 CHADLO CC(C)Nc1ccc(-c2cccn3cc[nH+]c23)cc1 ZINC001236482497 1130952281 /nfs/dbraw/zinc/95/22/81/1130952281.db2.gz ITUZDIIWPFHLEN-UHFFFAOYSA-N 1 2 251.333 3.822 20 0 CHADLO COc1ccc(F)c(CNc2ccc([NH+](C)C)cc2C)c1 ZINC000815116343 1130958506 /nfs/dbraw/zinc/95/85/06/1130958506.db2.gz JRKNGNOBFOPOFW-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cncc1F)c1ccnc(Cl)c1 ZINC000797128439 1130964444 /nfs/dbraw/zinc/96/44/44/1130964444.db2.gz SAYGSTMUKPLEBA-RKDXNWHRSA-N 1 2 297.736 3.820 20 0 CHADLO Cc1cn2c(cccc2-c2cnc(OC(C)C)cc2C)[nH+]1 ZINC001236579673 1130979465 /nfs/dbraw/zinc/97/94/65/1130979465.db2.gz CQNFGQUSFNPXCS-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO O=C(CCCn1cc[nH+]c1)O[C@@H]1CC[C@@H]2CCCC[C@@H]2C1 ZINC000797406703 1130985143 /nfs/dbraw/zinc/98/51/43/1130985143.db2.gz FPJXQSJDJWMYDC-ARFHVFGLSA-N 1 2 290.407 3.565 20 0 CHADLO CCO[C@@H]1CC[N@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236659749 1130989274 /nfs/dbraw/zinc/98/92/74/1130989274.db2.gz RCPCKTZJIFUSRK-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO CCO[C@@H]1CC[N@@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236659749 1130989278 /nfs/dbraw/zinc/98/92/78/1130989278.db2.gz RCPCKTZJIFUSRK-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2C[C@@H](F)C[C@H]2C)c1Cl ZINC001236707841 1130998303 /nfs/dbraw/zinc/99/83/03/1130998303.db2.gz IPPLNXXCJDYMBC-KCJUWKMLSA-N 1 2 259.727 3.720 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2C[C@@H](F)C[C@H]2C)c1Cl ZINC001236707841 1130998307 /nfs/dbraw/zinc/99/83/07/1130998307.db2.gz IPPLNXXCJDYMBC-KCJUWKMLSA-N 1 2 259.727 3.720 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@]1(C)CCCO1 ZINC000815601732 1131003656 /nfs/dbraw/zinc/00/36/56/1131003656.db2.gz DLNIIABJQLIXIN-PBHICJAKSA-N 1 2 274.408 3.656 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+][C@H]1CCCC1(F)F ZINC000815627003 1131010405 /nfs/dbraw/zinc/01/04/05/1131010405.db2.gz QQRRASXWFJSFFZ-MFKMUULPSA-N 1 2 257.299 3.973 20 0 CHADLO COc1cc(C[N@@H+]2CCCC[C@](C)(F)C2)c(F)cc1F ZINC001236815894 1131015132 /nfs/dbraw/zinc/01/51/32/1131015132.db2.gz BBZNFVBSVDMEQI-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO COc1cc(C[N@H+]2CCCC[C@](C)(F)C2)c(F)cc1F ZINC001236815894 1131015137 /nfs/dbraw/zinc/01/51/37/1131015137.db2.gz BBZNFVBSVDMEQI-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3ccncc3C2)c1Cl ZINC001236854814 1131023233 /nfs/dbraw/zinc/02/32/33/1131023233.db2.gz YTKMEUDYBLUXMR-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3ccncc3C2)c1Cl ZINC001236854814 1131023234 /nfs/dbraw/zinc/02/32/34/1131023234.db2.gz YTKMEUDYBLUXMR-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC(C)=CC(=O)Nc1ccccc1-c1[nH+]cc2n1CCCC2 ZINC000798266980 1131032344 /nfs/dbraw/zinc/03/23/44/1131032344.db2.gz CGPVOFMEVFCHES-UHFFFAOYSA-N 1 2 295.386 3.791 20 0 CHADLO Cc1cccc(-c2ccc(C[N@@H+]3CCO[C@@H](C)C3)cn2)c1C ZINC001236986941 1131033607 /nfs/dbraw/zinc/03/36/07/1131033607.db2.gz USVALHZYGJWHNV-HNNXBMFYSA-N 1 2 296.414 3.586 20 0 CHADLO Cc1cccc(-c2ccc(C[N@H+]3CCO[C@@H](C)C3)cn2)c1C ZINC001236986941 1131033614 /nfs/dbraw/zinc/03/36/14/1131033614.db2.gz USVALHZYGJWHNV-HNNXBMFYSA-N 1 2 296.414 3.586 20 0 CHADLO C[N@H+](Cc1ccno1)Cc1cncc(-c2ccc(F)cc2)c1 ZINC001237008510 1131036947 /nfs/dbraw/zinc/03/69/47/1131036947.db2.gz XORAQVQQWSSHLJ-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1ccno1)Cc1cncc(-c2ccc(F)cc2)c1 ZINC001237008510 1131036951 /nfs/dbraw/zinc/03/69/51/1131036951.db2.gz XORAQVQQWSSHLJ-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2ccnc3c(Cl)cccc23)C1 ZINC001237149397 1131057437 /nfs/dbraw/zinc/05/74/37/1131057437.db2.gz ZKXWZSDNOLXSRN-GFCCVEGCSA-N 1 2 278.758 3.822 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2ccnc3c(Cl)cccc23)C1 ZINC001237149397 1131057443 /nfs/dbraw/zinc/05/74/43/1131057443.db2.gz ZKXWZSDNOLXSRN-GFCCVEGCSA-N 1 2 278.758 3.822 20 0 CHADLO Cc1cc(N[C@H]2CCCc3cccnc32)ccc1[NH+](C)C ZINC000798933351 1131062086 /nfs/dbraw/zinc/06/20/86/1131062086.db2.gz OOPALXFPKNRGDM-INIZCTEOSA-N 1 2 281.403 3.946 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cccnc2C)c1Cl ZINC001237198596 1131070248 /nfs/dbraw/zinc/07/02/48/1131070248.db2.gz VFARWCFDKQEJKH-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cccnc2C)c1Cl ZINC001237198596 1131070254 /nfs/dbraw/zinc/07/02/54/1131070254.db2.gz VFARWCFDKQEJKH-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO C[N@H+](CCCOCc1ccccc1)C/C(Cl)=C/Cl ZINC000799200360 1131076963 /nfs/dbraw/zinc/07/69/63/1131076963.db2.gz INOZRFXAGKZRPJ-UVTDQMKNSA-N 1 2 288.218 3.844 20 0 CHADLO C[N@@H+](CCCOCc1ccccc1)C/C(Cl)=C/Cl ZINC000799200360 1131076964 /nfs/dbraw/zinc/07/69/64/1131076964.db2.gz INOZRFXAGKZRPJ-UVTDQMKNSA-N 1 2 288.218 3.844 20 0 CHADLO CCc1cccc(C)c1C[N@@H+]1CCCC[C@H]1c1noc(C)n1 ZINC001237328208 1131084670 /nfs/dbraw/zinc/08/46/70/1131084670.db2.gz WTAZQSJNMIXSPS-KRWDZBQOSA-N 1 2 299.418 3.976 20 0 CHADLO CCc1cccc(C)c1C[N@H+]1CCCC[C@H]1c1noc(C)n1 ZINC001237328208 1131084675 /nfs/dbraw/zinc/08/46/75/1131084675.db2.gz WTAZQSJNMIXSPS-KRWDZBQOSA-N 1 2 299.418 3.976 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2cc3ccccn3n2)cc1 ZINC001237440243 1131096256 /nfs/dbraw/zinc/09/62/56/1131096256.db2.gz ZCJPSPQUTBHMEH-SFHVURJKSA-N 1 2 295.361 3.811 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2cc3ccccn3n2)cc1 ZINC001237440243 1131096262 /nfs/dbraw/zinc/09/62/62/1131096262.db2.gz ZCJPSPQUTBHMEH-SFHVURJKSA-N 1 2 295.361 3.811 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1ccn3nccc3c1)CC2 ZINC001237544639 1131112412 /nfs/dbraw/zinc/11/24/12/1131112412.db2.gz LUKUFYHSUZQZER-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1ccn3nccc3c1)CC2 ZINC001237544639 1131112418 /nfs/dbraw/zinc/11/24/18/1131112418.db2.gz LUKUFYHSUZQZER-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCCSC1CCCCC1 ZINC000799961322 1131116995 /nfs/dbraw/zinc/11/69/95/1131116995.db2.gz JPJQAGOPCHTLIH-UHFFFAOYSA-N 1 2 298.477 3.855 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)N1Cc1c[nH+]cn1CC ZINC001237591961 1131117120 /nfs/dbraw/zinc/11/71/20/1131117120.db2.gz AWBQVEXKLLYRHU-RDJZCZTQSA-N 1 2 293.455 3.666 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)C3=O)c(Cl)cc1Cl ZINC001237697950 1131128558 /nfs/dbraw/zinc/12/85/58/1131128558.db2.gz CQMNDDUQRSLINK-PHIMTYICSA-N 1 2 298.213 3.713 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)C3=O)c(Cl)cc1Cl ZINC001237697950 1131128560 /nfs/dbraw/zinc/12/85/60/1131128560.db2.gz CQMNDDUQRSLINK-PHIMTYICSA-N 1 2 298.213 3.713 20 0 CHADLO CCc1cc(C[N@@H+]2CCc3ccncc3C2)ccc1Cl ZINC001237740115 1131136322 /nfs/dbraw/zinc/13/63/22/1131136322.db2.gz OJCYDSFWWDYWTN-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cc(C[N@H+]2CCc3ccncc3C2)ccc1Cl ZINC001237740115 1131136325 /nfs/dbraw/zinc/13/63/25/1131136325.db2.gz OJCYDSFWWDYWTN-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)c(Cl)c1)C1CCCC1 ZINC001237988448 1131157740 /nfs/dbraw/zinc/15/77/40/1131157740.db2.gz IDHZJMJGFYJEKD-UHFFFAOYSA-N 1 2 257.736 3.559 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)c(Cl)c1)C1CCCC1 ZINC001237988448 1131157742 /nfs/dbraw/zinc/15/77/42/1131157742.db2.gz IDHZJMJGFYJEKD-UHFFFAOYSA-N 1 2 257.736 3.559 20 0 CHADLO CC1(C)CC[N@@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001238009901 1131161163 /nfs/dbraw/zinc/16/11/63/1131161163.db2.gz WDZNLBXNDYLGPJ-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cnc(C3CC3)s1)C2 ZINC001237788084 1131141415 /nfs/dbraw/zinc/14/14/15/1131141415.db2.gz ZFVCCZGNDURJCO-UHFFFAOYSA-N 1 2 270.401 3.845 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cnc(C3CC3)s1)C2 ZINC001237788084 1131141416 /nfs/dbraw/zinc/14/14/16/1131141416.db2.gz ZFVCCZGNDURJCO-UHFFFAOYSA-N 1 2 270.401 3.845 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccccc2C)c(Cl)n1 ZINC001237802370 1131141477 /nfs/dbraw/zinc/14/14/77/1131141477.db2.gz JECVOIDUKKRMND-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccccc2C)c(Cl)n1 ZINC001237802370 1131141479 /nfs/dbraw/zinc/14/14/79/1131141479.db2.gz JECVOIDUKKRMND-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ncoc2C(C)C)c1 ZINC001116453426 1131147656 /nfs/dbraw/zinc/14/76/56/1131147656.db2.gz KNHHOOTZZKMGBH-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ncoc2C(C)C)c1 ZINC001116453426 1131147659 /nfs/dbraw/zinc/14/76/59/1131147659.db2.gz KNHHOOTZZKMGBH-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1cc(N2CCC[C@@H](c3cc[nH]n3)C2)[nH+]c2ccccc12 ZINC001116466030 1131149021 /nfs/dbraw/zinc/14/90/21/1131149021.db2.gz SQRUFIVVUIUURX-CQSZACIVSA-N 1 2 292.386 3.650 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001237904383 1131149925 /nfs/dbraw/zinc/14/99/25/1131149925.db2.gz ZQINLWBCPDLRDX-IUODEOHRSA-N 1 2 283.362 3.794 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001237904383 1131149928 /nfs/dbraw/zinc/14/99/28/1131149928.db2.gz ZQINLWBCPDLRDX-IUODEOHRSA-N 1 2 283.362 3.794 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2ccc(C)cn2)c1 ZINC001116481839 1131150311 /nfs/dbraw/zinc/15/03/11/1131150311.db2.gz HPUZYQRGMDXORU-UHFFFAOYSA-N 1 2 274.389 3.535 20 0 CHADLO CCc1ccc([N@H+](CCCCCNC(C)=O)C(C)C)cc1 ZINC001170858450 1131151360 /nfs/dbraw/zinc/15/13/60/1131151360.db2.gz CCBPEUXDSFKQTJ-UHFFFAOYSA-N 1 2 290.451 3.770 20 0 CHADLO CCc1ccc([N@@H+](CCCCCNC(C)=O)C(C)C)cc1 ZINC001170858450 1131151362 /nfs/dbraw/zinc/15/13/62/1131151362.db2.gz CCBPEUXDSFKQTJ-UHFFFAOYSA-N 1 2 290.451 3.770 20 0 CHADLO CC(C)n1ncc2c1[C@@H]([NH2+][C@@H](C)c1cscn1)CCC2 ZINC001116604969 1131157079 /nfs/dbraw/zinc/15/70/79/1131157079.db2.gz ZQOIJERLZYNZBC-AAEUAGOBSA-N 1 2 290.436 3.649 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@@H+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237993529 1131160555 /nfs/dbraw/zinc/16/05/55/1131160555.db2.gz SDDKJALWWJWXCW-AOOOYVTPSA-N 1 2 271.763 3.663 20 0 CHADLO C[C@@H]1C[C@H](C)C[N@H+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237993529 1131160558 /nfs/dbraw/zinc/16/05/58/1131160558.db2.gz SDDKJALWWJWXCW-AOOOYVTPSA-N 1 2 271.763 3.663 20 0 CHADLO CC1(C)CC[N@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001238009901 1131161159 /nfs/dbraw/zinc/16/11/59/1131161159.db2.gz WDZNLBXNDYLGPJ-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO CCCCCC[C@@H](C)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000817458487 1131162689 /nfs/dbraw/zinc/16/26/89/1131162689.db2.gz IJVWJSMDHSXPSB-CYBMUJFWSA-N 1 2 276.424 3.921 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@@H]1CS[C@H](C(C)C)C1 ZINC001116758551 1131162857 /nfs/dbraw/zinc/16/28/57/1131162857.db2.gz VJBRQUWCFVRMQR-JSGCOSHPSA-N 1 2 280.437 3.811 20 0 CHADLO Cc1cc(NCC2(CO)CC3(CCC3)C2)[nH+]c2ccccc12 ZINC001116838606 1131166267 /nfs/dbraw/zinc/16/62/67/1131166267.db2.gz AFNNROMHXVLNFD-UHFFFAOYSA-N 1 2 296.414 3.898 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1ccc(F)c(Br)c1F ZINC001238080638 1131168514 /nfs/dbraw/zinc/16/85/14/1131168514.db2.gz JFAMRQOMOJZRLY-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1ccc(F)c(Br)c1F ZINC001238080638 1131168517 /nfs/dbraw/zinc/16/85/17/1131168517.db2.gz JFAMRQOMOJZRLY-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO Fc1cccc2c1CC[C@@H]2[N@@H+]1CCC=C(Br)C1 ZINC001116907943 1131169941 /nfs/dbraw/zinc/16/99/41/1131169941.db2.gz JLJZCGUMGUKFKG-AWEZNQCLSA-N 1 2 296.183 3.798 20 0 CHADLO Fc1cccc2c1CC[C@@H]2[N@H+]1CCC=C(Br)C1 ZINC001116907943 1131169943 /nfs/dbraw/zinc/16/99/43/1131169943.db2.gz JLJZCGUMGUKFKG-AWEZNQCLSA-N 1 2 296.183 3.798 20 0 CHADLO CCC[C@H](C)[N@@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116918660 1131170643 /nfs/dbraw/zinc/17/06/43/1131170643.db2.gz HXYZSEQLTNSGFH-QWRGUYRKSA-N 1 2 273.829 3.963 20 0 CHADLO CCC[C@H](C)[N@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC001116918660 1131170645 /nfs/dbraw/zinc/17/06/45/1131170645.db2.gz HXYZSEQLTNSGFH-QWRGUYRKSA-N 1 2 273.829 3.963 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc3c[nH+]cn32)Cc2ccccc21 ZINC001238110400 1131173078 /nfs/dbraw/zinc/17/30/78/1131173078.db2.gz NUIHOBSSCLPDPW-UHFFFAOYSA-N 1 2 291.398 3.628 20 0 CHADLO Oc1cc(F)c(C[NH+]2CCC3(CCC3)CC2)cc1Cl ZINC001238146713 1131174411 /nfs/dbraw/zinc/17/44/11/1131174411.db2.gz MBRHLSIXDKRBCI-UHFFFAOYSA-N 1 2 283.774 3.951 20 0 CHADLO C[N@H+](CCc1ccccc1)Cc1cc(Cl)c(O)cc1F ZINC001238144920 1131174577 /nfs/dbraw/zinc/17/45/77/1131174577.db2.gz ZCVCNUHRAAUHHW-UHFFFAOYSA-N 1 2 293.769 3.859 20 0 CHADLO C[N@@H+](CCc1ccccc1)Cc1cc(Cl)c(O)cc1F ZINC001238144920 1131174580 /nfs/dbraw/zinc/17/45/80/1131174580.db2.gz ZCVCNUHRAAUHHW-UHFFFAOYSA-N 1 2 293.769 3.859 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238146208 1131174721 /nfs/dbraw/zinc/17/47/21/1131174721.db2.gz FRXCANFKJSELMF-JTQLQIEISA-N 1 2 271.763 3.949 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238146208 1131174725 /nfs/dbraw/zinc/17/47/25/1131174725.db2.gz FRXCANFKJSELMF-JTQLQIEISA-N 1 2 271.763 3.949 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238141905 1131174916 /nfs/dbraw/zinc/17/49/16/1131174916.db2.gz ARKSARJCCWVSBI-VIFPVBQESA-N 1 2 257.736 3.559 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238141905 1131174920 /nfs/dbraw/zinc/17/49/20/1131174920.db2.gz ARKSARJCCWVSBI-VIFPVBQESA-N 1 2 257.736 3.559 20 0 CHADLO Cc1ccc(C)c(NC(=S)NCc2cc(C)[nH+]c(C)c2)c1 ZINC000817561939 1131175405 /nfs/dbraw/zinc/17/54/05/1131175405.db2.gz DZMHIXDINQEFBY-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001238319258 1131189463 /nfs/dbraw/zinc/18/94/63/1131189463.db2.gz SVIPHOCWSHPZFZ-IUODEOHRSA-N 1 2 283.362 3.793 20 0 CHADLO CC(C)Oc1c(F)cccc1C[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001238319258 1131189466 /nfs/dbraw/zinc/18/94/66/1131189466.db2.gz SVIPHOCWSHPZFZ-IUODEOHRSA-N 1 2 283.362 3.793 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccccn2)ncc1Cl ZINC001238418383 1131197542 /nfs/dbraw/zinc/19/75/42/1131197542.db2.gz OFVUBIKQBAAWTL-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccccn2)ncc1Cl ZINC001238418383 1131197544 /nfs/dbraw/zinc/19/75/44/1131197544.db2.gz OFVUBIKQBAAWTL-INIZCTEOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1cc(C)c(Cl)cn1)C2 ZINC001238428572 1131199045 /nfs/dbraw/zinc/19/90/45/1131199045.db2.gz ZNQWKPOMNNDVPP-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1cc(C)c(Cl)cn1)C2 ZINC001238428572 1131199047 /nfs/dbraw/zinc/19/90/47/1131199047.db2.gz ZNQWKPOMNNDVPP-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(OCC2CC2)cc1 ZINC000818188156 1131204694 /nfs/dbraw/zinc/20/46/94/1131204694.db2.gz VNKQSADQXRKYPZ-UHFFFAOYSA-N 1 2 285.391 3.865 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2ncccn2)cc1C1CC1 ZINC001238510231 1131210165 /nfs/dbraw/zinc/21/01/65/1131210165.db2.gz YLIBPRWKASJRLK-QGZVFWFLSA-N 1 2 297.377 3.830 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2ncccn2)cc1C1CC1 ZINC001238510231 1131210166 /nfs/dbraw/zinc/21/01/66/1131210166.db2.gz YLIBPRWKASJRLK-QGZVFWFLSA-N 1 2 297.377 3.830 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@H]3CC[C@@H]2CO3)cc1-c1ccccc1 ZINC001238547945 1131214752 /nfs/dbraw/zinc/21/47/52/1131214752.db2.gz FWAYDBBYDMEANX-IAGOWNOFSA-N 1 2 297.373 3.856 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@H]3CC[C@@H]2CO3)cc1-c1ccccc1 ZINC001238547945 1131214755 /nfs/dbraw/zinc/21/47/55/1131214755.db2.gz FWAYDBBYDMEANX-IAGOWNOFSA-N 1 2 297.373 3.856 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)[C@@H](C)n2cc[nH+]c2)c(C)c1 ZINC001118264545 1131217097 /nfs/dbraw/zinc/21/70/97/1131217097.db2.gz CDTZJYBJNOPZQS-MRXNPFEDSA-N 1 2 299.418 3.750 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)C[C@H]2C)cnc1-c1ccccc1 ZINC001238606260 1131221823 /nfs/dbraw/zinc/22/18/23/1131221823.db2.gz JFSSRXVJTRSGFE-OAHLLOKOSA-N 1 2 294.398 3.610 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)C[C@H]2C)cnc1-c1ccccc1 ZINC001238606260 1131221827 /nfs/dbraw/zinc/22/18/27/1131221827.db2.gz JFSSRXVJTRSGFE-OAHLLOKOSA-N 1 2 294.398 3.610 20 0 CHADLO CC1C[NH+](Cc2c(Cl)cccc2Br)C1 ZINC001137809675 1131418468 /nfs/dbraw/zinc/41/84/68/1131418468.db2.gz NXMQEGMRKAECTG-UHFFFAOYSA-N 1 2 274.589 3.554 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(Cl)n1)c1ccsc1 ZINC000829510888 1131422416 /nfs/dbraw/zinc/42/24/16/1131422416.db2.gz QHDKJXAPZGFVIN-SSDOTTSWSA-N 1 2 258.799 3.709 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1ccnc(Cl)c1F ZINC000823399685 1131424820 /nfs/dbraw/zinc/42/48/20/1131424820.db2.gz OKIUNROPTITXRG-UHFFFAOYSA-N 1 2 282.746 3.878 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1ccnc(Cl)c1F ZINC000823399685 1131424825 /nfs/dbraw/zinc/42/48/25/1131424825.db2.gz OKIUNROPTITXRG-UHFFFAOYSA-N 1 2 282.746 3.878 20 0 CHADLO CCCO[C@H]1CC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137881366 1131429028 /nfs/dbraw/zinc/42/90/28/1131429028.db2.gz NUTXBWDBPNEMES-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@H]1CC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137881366 1131429032 /nfs/dbraw/zinc/42/90/32/1131429032.db2.gz NUTXBWDBPNEMES-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO Cc1ccc2nc(Cl)c(C[NH+]3CCSCC3)cc2c1 ZINC001137920155 1131435591 /nfs/dbraw/zinc/43/55/91/1131435591.db2.gz IXKPCFQERMOLBX-UHFFFAOYSA-N 1 2 292.835 3.745 20 0 CHADLO Cc1cc(CNC(=O)c2sccc2C(F)F)cc(C)[nH+]1 ZINC000823735395 1131439232 /nfs/dbraw/zinc/43/92/32/1131439232.db2.gz VUVGWVHHPJXFLB-UHFFFAOYSA-N 1 2 296.342 3.628 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1cccc(Cl)c1F)C2 ZINC001137958570 1131442074 /nfs/dbraw/zinc/44/20/74/1131442074.db2.gz KIDXGFVBFLEERY-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1cccc(Cl)c1F)C2 ZINC001137958570 1131442075 /nfs/dbraw/zinc/44/20/75/1131442075.db2.gz KIDXGFVBFLEERY-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO CC(C)C[C@@H](C)OC(=O)Oc1cccc(-n2cc[nH+]c2)c1 ZINC000830579116 1131461205 /nfs/dbraw/zinc/46/12/05/1131461205.db2.gz UXJZDLFOTIJBNZ-CYBMUJFWSA-N 1 2 288.347 3.822 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCCC[C@](C)(F)C2)c1F ZINC001138115000 1131467815 /nfs/dbraw/zinc/46/78/15/1131467815.db2.gz USBHEUHHHMQIJD-HNNXBMFYSA-N 1 2 271.326 3.987 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCCC[C@](C)(F)C2)c1F ZINC001138115000 1131467818 /nfs/dbraw/zinc/46/78/18/1131467818.db2.gz USBHEUHHHMQIJD-HNNXBMFYSA-N 1 2 271.326 3.987 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2c(F)ccc(C)c2F)c1 ZINC001138118530 1131468638 /nfs/dbraw/zinc/46/86/38/1131468638.db2.gz MYTFYBOYRXRNEF-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2c(F)ccc(C)c2F)c1 ZINC001138118530 1131468641 /nfs/dbraw/zinc/46/86/41/1131468641.db2.gz MYTFYBOYRXRNEF-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO FC1(F)C[C@H]1[NH2+][C@H]1CCc2cc(Cl)cc(Cl)c2C1 ZINC001171057518 1131470854 /nfs/dbraw/zinc/47/08/54/1131470854.db2.gz CETGVIZNHNYHDT-JOYOIKCWSA-N 1 2 292.156 3.848 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(N2CCCC2=O)cc1)C(F)F ZINC000824508163 1131473654 /nfs/dbraw/zinc/47/36/54/1131473654.db2.gz BBXHGYBVKVFRBC-RISCZKNCSA-N 1 2 296.361 3.508 20 0 CHADLO CCc1nc(C[NH2+]Cc2cccc(C(F)(F)F)c2)co1 ZINC000825051112 1131496040 /nfs/dbraw/zinc/49/60/40/1131496040.db2.gz WGBAAXSRCQPFNL-UHFFFAOYSA-N 1 2 284.281 3.546 20 0 CHADLO CC[C@H](C)CNc1[nH+]cccc1CNC(=O)OC(C)(C)C ZINC000825072602 1131498290 /nfs/dbraw/zinc/49/82/90/1131498290.db2.gz JRCDBLBYIDRMNU-LBPRGKRZSA-N 1 2 293.411 3.564 20 0 CHADLO Cc1c2ccccc2[nH]c1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC001138399304 1131498696 /nfs/dbraw/zinc/49/86/96/1131498696.db2.gz AGIFIYVDUHMGJB-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1c2ccccc2[nH]c1C[N@H+]1CCn2cccc2[C@@H]1C ZINC001138399304 1131498697 /nfs/dbraw/zinc/49/86/97/1131498697.db2.gz AGIFIYVDUHMGJB-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001138409752 1131500206 /nfs/dbraw/zinc/50/02/06/1131500206.db2.gz FWDZIMKQOVEUBX-HZPDHXFCSA-N 1 2 296.336 3.887 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001138409752 1131500208 /nfs/dbraw/zinc/50/02/08/1131500208.db2.gz FWDZIMKQOVEUBX-HZPDHXFCSA-N 1 2 296.336 3.887 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CCn4cccc4[C@H]3C)cc2c1 ZINC001138420102 1131502565 /nfs/dbraw/zinc/50/25/65/1131502565.db2.gz OCAHYUAEZVXMOI-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CCn4cccc4[C@H]3C)cc2c1 ZINC001138420102 1131502569 /nfs/dbraw/zinc/50/25/69/1131502569.db2.gz OCAHYUAEZVXMOI-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO COc1c(C)cc(C[N@@H+]2Cc3cccc(F)c3C2)cc1C ZINC001138429139 1131504045 /nfs/dbraw/zinc/50/40/45/1131504045.db2.gz VOSCHTALWXHGCP-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1c(C)cc(C[N@H+]2Cc3cccc(F)c3C2)cc1C ZINC001138429139 1131504047 /nfs/dbraw/zinc/50/40/47/1131504047.db2.gz VOSCHTALWXHGCP-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]3C[C@H]32)c(F)c1Cl ZINC001143627401 1131519192 /nfs/dbraw/zinc/51/91/92/1131519192.db2.gz MAVXBJXNGUJIQK-GZMMTYOYSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]3C[C@H]32)c(F)c1Cl ZINC001143627401 1131519195 /nfs/dbraw/zinc/51/91/95/1131519195.db2.gz MAVXBJXNGUJIQK-GZMMTYOYSA-N 1 2 257.711 3.603 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2ccc(F)c(Cl)c2F)[C@H](C)C1 ZINC001143629000 1131519298 /nfs/dbraw/zinc/51/92/98/1131519298.db2.gz NYHWSGARLIDPRJ-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2ccc(F)c(Cl)c2F)[C@H](C)C1 ZINC001143629000 1131519303 /nfs/dbraw/zinc/51/93/03/1131519303.db2.gz NYHWSGARLIDPRJ-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[NH+]2CC(C(F)F)C2)c1 ZINC001143683731 1131522719 /nfs/dbraw/zinc/52/27/19/1131522719.db2.gz ORQKPVBAJLIQPD-UHFFFAOYSA-N 1 2 279.252 3.711 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[NH+]2C[C@@H](C)O[C@H](C)C2)c1 ZINC001143671042 1131524014 /nfs/dbraw/zinc/52/40/14/1131524014.db2.gz VWTDVRWVFGYUHP-VXGBXAGGSA-N 1 2 287.325 3.623 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+]1Cc1cc(C)ccc1C(F)(F)F ZINC001143683377 1131524948 /nfs/dbraw/zinc/52/49/48/1131524948.db2.gz FBRXAHYVNJRRPB-AWEZNQCLSA-N 1 2 285.309 3.567 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+]1Cc1cc(C)ccc1C(F)(F)F ZINC001143683377 1131524951 /nfs/dbraw/zinc/52/49/51/1131524951.db2.gz FBRXAHYVNJRRPB-AWEZNQCLSA-N 1 2 285.309 3.567 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@@H+]1CCc2c(F)cccc2C1 ZINC001143767714 1131530384 /nfs/dbraw/zinc/53/03/84/1131530384.db2.gz IUYVVMUYLWZIOZ-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(F)cc(F)cc1C[N@H+]1CCc2c(F)cccc2C1 ZINC001143767714 1131530386 /nfs/dbraw/zinc/53/03/86/1131530386.db2.gz IUYVVMUYLWZIOZ-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO O=C1CC[NH+](Cc2ccc(-c3ccc(F)cc3)cc2)CC1 ZINC001138681632 1131536374 /nfs/dbraw/zinc/53/63/74/1131536374.db2.gz FWNHNUHQCAQIQT-UHFFFAOYSA-N 1 2 283.346 3.658 20 0 CHADLO Clc1[nH]c2ccccc2c1C[N@@H+]1CCc2occc2C1 ZINC001138689978 1131537774 /nfs/dbraw/zinc/53/77/74/1131537774.db2.gz XRZWZBMWWJGWHR-UHFFFAOYSA-N 1 2 286.762 3.973 20 0 CHADLO Clc1[nH]c2ccccc2c1C[N@H+]1CCc2occc2C1 ZINC001138689978 1131537777 /nfs/dbraw/zinc/53/77/77/1131537777.db2.gz XRZWZBMWWJGWHR-UHFFFAOYSA-N 1 2 286.762 3.973 20 0 CHADLO COC1CC[NH+](Cc2c(F)ccc(Cl)c2Cl)CC1 ZINC001143863367 1131538814 /nfs/dbraw/zinc/53/88/14/1131538814.db2.gz GVJAHUAVAUPTBV-UHFFFAOYSA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@@H]1CCC[N@@H+]1Cc1c(F)ccc(Cl)c1Cl ZINC001143863339 1131538920 /nfs/dbraw/zinc/53/89/20/1131538920.db2.gz GNFBTWZREKMPGJ-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@@H]1CCC[N@H+]1Cc1c(F)ccc(Cl)c1Cl ZINC001143863339 1131538926 /nfs/dbraw/zinc/53/89/26/1131538926.db2.gz GNFBTWZREKMPGJ-VIFPVBQESA-N 1 2 292.181 3.743 20 0 CHADLO Clc1cc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)ccn1 ZINC001138821473 1131552762 /nfs/dbraw/zinc/55/27/62/1131552762.db2.gz SWUVHLQHGZLGFT-OAHLLOKOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)ccn1 ZINC001138821473 1131552766 /nfs/dbraw/zinc/55/27/66/1131552766.db2.gz SWUVHLQHGZLGFT-OAHLLOKOSA-N 1 2 294.826 3.516 20 0 CHADLO CCCC(C)(C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(C)c1 ZINC001143940108 1131555750 /nfs/dbraw/zinc/55/57/50/1131555750.db2.gz UAGXEFULSSIIQZ-UHFFFAOYSA-N 1 2 285.391 3.946 20 0 CHADLO Cc1c(C[N@@H+]2CCCCC23COC3)cccc1C(F)(F)F ZINC001143924770 1131556432 /nfs/dbraw/zinc/55/64/32/1131556432.db2.gz MSEKUUJBMFULLG-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO Cc1c(C[N@H+]2CCCCC23COC3)cccc1C(F)(F)F ZINC001143924770 1131556433 /nfs/dbraw/zinc/55/64/33/1131556433.db2.gz MSEKUUJBMFULLG-UHFFFAOYSA-N 1 2 299.336 3.769 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143946081 1131558207 /nfs/dbraw/zinc/55/82/07/1131558207.db2.gz NPPCQMRFVUABNW-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143946081 1131558210 /nfs/dbraw/zinc/55/82/10/1131558210.db2.gz NPPCQMRFVUABNW-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1cc(F)cnc1Cl ZINC001138895905 1131558982 /nfs/dbraw/zinc/55/89/82/1131558982.db2.gz MVNBFRRBMJFPBS-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1cc(F)cnc1Cl ZINC001138895905 1131558985 /nfs/dbraw/zinc/55/89/85/1131558985.db2.gz MVNBFRRBMJFPBS-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(Cl)ccc(F)c2F)[C@@H](C)C1 ZINC001143960888 1131559721 /nfs/dbraw/zinc/55/97/21/1131559721.db2.gz DERAPXPOIHUOKM-VHSXEESVSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(Cl)ccc(F)c2F)[C@@H](C)C1 ZINC001143960888 1131559726 /nfs/dbraw/zinc/55/97/26/1131559726.db2.gz DERAPXPOIHUOKM-VHSXEESVSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCC[C@@]3(CC3(F)F)C2)no1 ZINC000844441838 1131565763 /nfs/dbraw/zinc/56/57/63/1131565763.db2.gz UPQAPIYPLOLMGR-CQSZACIVSA-N 1 2 284.350 3.593 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCC[C@@]3(CC3(F)F)C2)no1 ZINC000844441838 1131565765 /nfs/dbraw/zinc/56/57/65/1131565765.db2.gz UPQAPIYPLOLMGR-CQSZACIVSA-N 1 2 284.350 3.593 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139046991 1131576261 /nfs/dbraw/zinc/57/62/61/1131576261.db2.gz BSEMNCKYKJGIHP-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139046991 1131576264 /nfs/dbraw/zinc/57/62/64/1131576264.db2.gz BSEMNCKYKJGIHP-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cc3c(c(Cl)c2)OCO3)C1 ZINC001139071901 1131579833 /nfs/dbraw/zinc/57/98/33/1131579833.db2.gz FNXCAFCVJMUXOA-HNNXBMFYSA-N 1 2 299.773 3.783 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cc3c(c(Cl)c2)OCO3)C1 ZINC001139071901 1131579837 /nfs/dbraw/zinc/57/98/37/1131579837.db2.gz FNXCAFCVJMUXOA-HNNXBMFYSA-N 1 2 299.773 3.783 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000844561807 1131579981 /nfs/dbraw/zinc/57/99/81/1131579981.db2.gz WMAKGXUNXAFVGG-INIZCTEOSA-N 1 2 299.418 3.832 20 0 CHADLO Cc1cc(C)c(CNC(=O)/C=C/C2CCCCCC2)c[nH+]1 ZINC000844563884 1131580656 /nfs/dbraw/zinc/58/06/56/1131580656.db2.gz LNYYHYFMSRSHKU-MDZDMXLPSA-N 1 2 286.419 3.841 20 0 CHADLO CCn1ccc2ccc(C[N@@H+]3Cc4cnc(C)cc4C3)cc21 ZINC001139124427 1131584721 /nfs/dbraw/zinc/58/47/21/1131584721.db2.gz NSSNQGKRMDHATB-UHFFFAOYSA-N 1 2 291.398 3.880 20 0 CHADLO CCn1ccc2ccc(C[N@H+]3Cc4cnc(C)cc4C3)cc21 ZINC001139124427 1131584726 /nfs/dbraw/zinc/58/47/26/1131584726.db2.gz NSSNQGKRMDHATB-UHFFFAOYSA-N 1 2 291.398 3.880 20 0 CHADLO CCCCc1nc(Cl)c(C[NH+]2CCC(CF)CC2)[nH]1 ZINC001139180913 1131592931 /nfs/dbraw/zinc/59/29/31/1131592931.db2.gz GGRGODXIYKEIHF-UHFFFAOYSA-N 1 2 287.810 3.587 20 0 CHADLO Cc1cccc2[nH]cc(C[N@H+](C)Cc3cscn3)c21 ZINC001139195994 1131594702 /nfs/dbraw/zinc/59/47/02/1131594702.db2.gz HONQCWJTTKOBSM-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1cccc2[nH]cc(C[N@@H+](C)Cc3cscn3)c21 ZINC001139195994 1131594703 /nfs/dbraw/zinc/59/47/03/1131594703.db2.gz HONQCWJTTKOBSM-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1ccc(Oc2ccccc2)nc1 ZINC001139246231 1131598838 /nfs/dbraw/zinc/59/88/38/1131598838.db2.gz YFPYGVUKGJZFEG-UKRRQHHQSA-N 1 2 286.350 3.806 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1ccc(Oc2ccccc2)nc1 ZINC001139246231 1131598840 /nfs/dbraw/zinc/59/88/40/1131598840.db2.gz YFPYGVUKGJZFEG-UKRRQHHQSA-N 1 2 286.350 3.806 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139355047 1131604452 /nfs/dbraw/zinc/60/44/52/1131604452.db2.gz IUJKPMSWOPSOIR-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139355047 1131604454 /nfs/dbraw/zinc/60/44/54/1131604454.db2.gz IUJKPMSWOPSOIR-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cc(Cl)cnc1Cl ZINC001139359651 1131604815 /nfs/dbraw/zinc/60/48/15/1131604815.db2.gz VOWDUVOYDGXTCR-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cc(Cl)cnc1Cl ZINC001139359651 1131604818 /nfs/dbraw/zinc/60/48/18/1131604818.db2.gz VOWDUVOYDGXTCR-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO Oc1ccc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)s2)nc1 ZINC001144265053 1131607590 /nfs/dbraw/zinc/60/75/90/1131607590.db2.gz JJXDBTWCIFVEHW-LBPRGKRZSA-N 1 2 294.807 3.839 20 0 CHADLO Oc1ccc(C[N@H+]2CCC[C@H]2c2ccc(Cl)s2)nc1 ZINC001144265053 1131607593 /nfs/dbraw/zinc/60/75/93/1131607593.db2.gz JJXDBTWCIFVEHW-LBPRGKRZSA-N 1 2 294.807 3.839 20 0 CHADLO FC1(F)C[C@@]12CC[N@H+](Cc1c(Cl)ccnc1Cl)C2 ZINC001139480166 1131610782 /nfs/dbraw/zinc/61/07/82/1131610782.db2.gz VXFQXTAMAFLZOX-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@@]12CC[N@@H+](Cc1c(Cl)ccnc1Cl)C2 ZINC001139480166 1131610785 /nfs/dbraw/zinc/61/07/85/1131610785.db2.gz VXFQXTAMAFLZOX-LLVKDONJSA-N 1 2 293.144 3.620 20 0 CHADLO Clc1ccnc(Cl)c1C[N@H+](CC1CC1)C1CC1 ZINC001139481142 1131610925 /nfs/dbraw/zinc/61/09/25/1131610925.db2.gz FWDMHJYGQXKJCN-UHFFFAOYSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1ccnc(Cl)c1C[N@@H+](CC1CC1)C1CC1 ZINC001139481142 1131610927 /nfs/dbraw/zinc/61/09/27/1131610927.db2.gz FWDMHJYGQXKJCN-UHFFFAOYSA-N 1 2 271.191 3.763 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2cccc(Cl)n2)cn1 ZINC001139552016 1131615578 /nfs/dbraw/zinc/61/55/78/1131615578.db2.gz KWVABFKKXMGTIQ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2cccc(Cl)n2)cn1 ZINC001139552016 1131615581 /nfs/dbraw/zinc/61/55/81/1131615581.db2.gz KWVABFKKXMGTIQ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1c[nH]c(-c3ccccc3)n1)C2 ZINC001139553260 1131615786 /nfs/dbraw/zinc/61/57/86/1131615786.db2.gz RKTMWBILRYLLAU-UHFFFAOYSA-N 1 2 289.382 3.901 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1c[nH]c(-c3ccccc3)n1)C2 ZINC001139553260 1131615789 /nfs/dbraw/zinc/61/57/89/1131615789.db2.gz RKTMWBILRYLLAU-UHFFFAOYSA-N 1 2 289.382 3.901 20 0 CHADLO Clc1ccccc1-c1ccccc1C[N@H+]1C[C@H]2C[C@@H](C1)O2 ZINC001139577393 1131617403 /nfs/dbraw/zinc/61/74/03/1131617403.db2.gz YXEQXXGIRIFIBT-GASCZTMLSA-N 1 2 299.801 3.980 20 0 CHADLO Clc1ccccc1-c1ccccc1C[N@@H+]1C[C@H]2C[C@@H](C1)O2 ZINC001139577393 1131617405 /nfs/dbraw/zinc/61/74/05/1131617405.db2.gz YXEQXXGIRIFIBT-GASCZTMLSA-N 1 2 299.801 3.980 20 0 CHADLO COC1C[NH+](Cc2ccccc2-c2ccccc2Cl)C1 ZINC001139571865 1131617979 /nfs/dbraw/zinc/61/79/79/1131617979.db2.gz IMCDYMUEKDALRB-UHFFFAOYSA-N 1 2 287.790 3.838 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)ccc1Cl ZINC001139611922 1131621651 /nfs/dbraw/zinc/62/16/51/1131621651.db2.gz HHGLWUGPSVALED-ZWNOBZJWSA-N 1 2 271.763 3.529 20 0 CHADLO COc1cc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)ccc1Cl ZINC001139611922 1131621655 /nfs/dbraw/zinc/62/16/55/1131621655.db2.gz HHGLWUGPSVALED-ZWNOBZJWSA-N 1 2 271.763 3.529 20 0 CHADLO C[C@H](CC(=O)O[C@@H](C)c1ccc2c(c1)CCC2)n1cc[nH+]c1 ZINC000845285106 1131624549 /nfs/dbraw/zinc/62/45/49/1131624549.db2.gz GRLQYHKNDNFMIP-KGLIPLIRSA-N 1 2 298.386 3.627 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc(-c2cccnc2)cc1 ZINC001139639312 1131625652 /nfs/dbraw/zinc/62/56/52/1131625652.db2.gz BZRKRQZYVGJWQI-IRXDYDNUSA-N 1 2 288.341 3.631 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc(-c2cccnc2)cc1 ZINC001139639312 1131625655 /nfs/dbraw/zinc/62/56/55/1131625655.db2.gz BZRKRQZYVGJWQI-IRXDYDNUSA-N 1 2 288.341 3.631 20 0 CHADLO C[N@H+](Cc1ccnc(F)c1)Cc1ccccc1Cl ZINC001139832851 1131639737 /nfs/dbraw/zinc/63/97/37/1131639737.db2.gz RNXIPZPSWHAYIN-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccnc(F)c1)Cc1ccccc1Cl ZINC001139832851 1131639739 /nfs/dbraw/zinc/63/97/39/1131639739.db2.gz RNXIPZPSWHAYIN-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Clc1nc(Cl)c(C[N@@H+]2CC3CCC2CC3)s1 ZINC001139956433 1131653131 /nfs/dbraw/zinc/65/31/31/1131653131.db2.gz CHNSFBSBKSNJTA-UHFFFAOYSA-N 1 2 277.220 3.824 20 0 CHADLO Clc1nc(Cl)c(C[N@H+]2CC3CCC2CC3)s1 ZINC001139956433 1131653132 /nfs/dbraw/zinc/65/31/32/1131653132.db2.gz CHNSFBSBKSNJTA-UHFFFAOYSA-N 1 2 277.220 3.824 20 0 CHADLO CC(C)C1CC[NH+](Cc2c(F)cc(O)cc2F)CC1 ZINC001144558786 1131659359 /nfs/dbraw/zinc/65/93/59/1131659359.db2.gz DLQJRJSUXQRQAP-UHFFFAOYSA-N 1 2 269.335 3.538 20 0 CHADLO CC[C@@H](Nc1cc(N2CCCC2)nc[nH+]1)c1ccccc1C ZINC001171198069 1131659588 /nfs/dbraw/zinc/65/95/88/1131659588.db2.gz NSUCKUMDOCMWGP-MRXNPFEDSA-N 1 2 296.418 3.948 20 0 CHADLO CC[C@@H](Nc1cc(N2CCCC2)[nH+]cn1)c1ccccc1C ZINC001171198069 1131659591 /nfs/dbraw/zinc/65/95/91/1131659591.db2.gz NSUCKUMDOCMWGP-MRXNPFEDSA-N 1 2 296.418 3.948 20 0 CHADLO FC(F)(F)c1ccnc(C[NH+]2CC3(C2)CCCCC3)c1 ZINC001140108928 1131663730 /nfs/dbraw/zinc/66/37/30/1131663730.db2.gz TXBKNMZKKLQHQC-UHFFFAOYSA-N 1 2 284.325 3.867 20 0 CHADLO c1csc([C@H]2CCCN2Cc2[nH+]cn3ccccc23)c1 ZINC001144622824 1131668960 /nfs/dbraw/zinc/66/89/60/1131668960.db2.gz MRJJMYXZFOGSKE-OAHLLOKOSA-N 1 2 283.400 3.733 20 0 CHADLO C[N@H+](C/C=C/c1ccccc1)Cc1cc(F)c(O)cc1F ZINC001144657936 1131676650 /nfs/dbraw/zinc/67/66/50/1131676650.db2.gz NQCBDSKXCNPKTJ-VMPITWQZSA-N 1 2 289.325 3.816 20 0 CHADLO C[N@@H+](C/C=C/c1ccccc1)Cc1cc(F)c(O)cc1F ZINC001144657936 1131676653 /nfs/dbraw/zinc/67/66/53/1131676653.db2.gz NQCBDSKXCNPKTJ-VMPITWQZSA-N 1 2 289.325 3.816 20 0 CHADLO c1cc(N2CCOCC2)ccc1[NH2+][C@@H](C1CC1)C1CCC1 ZINC000834706359 1131696141 /nfs/dbraw/zinc/69/61/41/1131696141.db2.gz VMUZJADDYADEIE-GOSISDBHSA-N 1 2 286.419 3.514 20 0 CHADLO C[C@@H](CCCc1cccnc1)[NH2+]c1ccc(N(C)C)cc1 ZINC000834740963 1131699969 /nfs/dbraw/zinc/69/99/69/1131699969.db2.gz DUBRNEOBSZQFBE-HNNXBMFYSA-N 1 2 283.419 3.971 20 0 CHADLO Clc1ccc([C@H]2CCC[N@@H+]2Cc2ccncn2)s1 ZINC001140347895 1131704188 /nfs/dbraw/zinc/70/41/88/1131704188.db2.gz ZXYWMQQHKKFROQ-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@H]2CCC[N@H+]2Cc2ccncn2)s1 ZINC001140347895 1131704189 /nfs/dbraw/zinc/70/41/89/1131704189.db2.gz ZXYWMQQHKKFROQ-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Cc1[nH+]c[nH]c1CC(=O)N(CC(C)C)c1ccc(C)cc1C ZINC000846300067 1131705866 /nfs/dbraw/zinc/70/58/66/1131705866.db2.gz KKFHLZVSDWFOQO-UHFFFAOYSA-N 1 2 299.418 3.567 20 0 CHADLO CCc1nc(C[NH2+][C@@H](CC)c2cc(C)ccc2OC)co1 ZINC000834898151 1131722820 /nfs/dbraw/zinc/72/28/20/1131722820.db2.gz JLOLCNPQBGNPOW-HNNXBMFYSA-N 1 2 288.391 3.795 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cnc3ccc(Cl)cn23)C1 ZINC001140429683 1131733303 /nfs/dbraw/zinc/73/33/03/1131733303.db2.gz PPFVJKKONVCCOB-OAHLLOKOSA-N 1 2 295.789 3.702 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cnc3ccc(Cl)cn23)C1 ZINC001140429683 1131733307 /nfs/dbraw/zinc/73/33/07/1131733307.db2.gz PPFVJKKONVCCOB-OAHLLOKOSA-N 1 2 295.789 3.702 20 0 CHADLO c1cc2n(c1)CC[N@@H+](Cc1ccccc1-c1nccs1)C2 ZINC001140453163 1131744335 /nfs/dbraw/zinc/74/43/35/1131744335.db2.gz YIZUHINBIQKNCG-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO c1cc2n(c1)CC[N@H+](Cc1ccccc1-c1nccs1)C2 ZINC001140453163 1131744339 /nfs/dbraw/zinc/74/43/39/1131744339.db2.gz YIZUHINBIQKNCG-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO CCC[C@H](CC)OC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000835229139 1131794680 /nfs/dbraw/zinc/79/46/80/1131794680.db2.gz MCDZHONTUZRMKI-INIZCTEOSA-N 1 2 286.375 3.667 20 0 CHADLO FC(F)(F)c1ccc(Cl)cc1C[N@@H+]1CCCOCC1 ZINC001140565295 1131797618 /nfs/dbraw/zinc/79/76/18/1131797618.db2.gz NVHLZYNQLYHSPU-UHFFFAOYSA-N 1 2 293.716 3.581 20 0 CHADLO COc1cc2c(cc1O[C@@H](C)c1ccccc1)C=[NH+]CC2 ZINC001228088418 1131806617 /nfs/dbraw/zinc/80/66/17/1131806617.db2.gz VAAMVKIBHYEQNB-ZDUSSCGKSA-N 1 2 281.355 3.810 20 0 CHADLO CSc1ccc(OC2C[NH+](Cc3ccccc3)C2)cc1 ZINC001228158051 1131820193 /nfs/dbraw/zinc/82/01/93/1131820193.db2.gz MWUBYIAIDUVWJE-UHFFFAOYSA-N 1 2 285.412 3.672 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](C)c3ccncc3F)cc2[nH+]1 ZINC001228329758 1131858809 /nfs/dbraw/zinc/85/88/09/1131858809.db2.gz QBGRGDNPOZWKKW-VIFPVBQESA-N 1 2 271.295 3.545 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140702286 1131883844 /nfs/dbraw/zinc/88/38/44/1131883844.db2.gz LNMQRJDGWVGRBM-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2cc(F)c(Cl)cc2F)C1 ZINC001140702286 1131883848 /nfs/dbraw/zinc/88/38/48/1131883848.db2.gz LNMQRJDGWVGRBM-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H](CSCCn1cc[nH+]c1)c1ccc(Cl)cc1 ZINC000282739284 1131888103 /nfs/dbraw/zinc/88/81/03/1131888103.db2.gz XTOBSWXDGIDBFR-AWEZNQCLSA-N 1 2 296.823 3.657 20 0 CHADLO C[NH+]1CC(Oc2ccccc2-c2nc3ccccc3s2)C1 ZINC001228486677 1131894187 /nfs/dbraw/zinc/89/41/87/1131894187.db2.gz ZDRXRRSNVIKTBI-UHFFFAOYSA-N 1 2 296.395 3.656 20 0 CHADLO C[NH+]1CC(Oc2ccc(-c3nc4ccccc4s3)cc2)C1 ZINC001228695750 1131936006 /nfs/dbraw/zinc/93/60/06/1131936006.db2.gz UZOSPCBBTRZIJS-UHFFFAOYSA-N 1 2 296.395 3.656 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1c(F)cc(OC)cc1F ZINC001140823497 1131937472 /nfs/dbraw/zinc/93/74/72/1131937472.db2.gz KFVITQLYTPOYTE-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1c(F)cc(OC)cc1F ZINC001140823497 1131937478 /nfs/dbraw/zinc/93/74/78/1131937478.db2.gz KFVITQLYTPOYTE-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO Nc1cccc(F)c1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC001140875443 1131954263 /nfs/dbraw/zinc/95/42/63/1131954263.db2.gz MQOQOJPPZWVPJL-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1cccc(F)c1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC001140875443 1131954269 /nfs/dbraw/zinc/95/42/69/1131954269.db2.gz MQOQOJPPZWVPJL-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cnccc2C(F)(F)F)C1 ZINC001140915879 1131963069 /nfs/dbraw/zinc/96/30/69/1131963069.db2.gz UIUKVTMEGHOUHY-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cnccc2C(F)(F)F)C1 ZINC001140915879 1131963075 /nfs/dbraw/zinc/96/30/75/1131963075.db2.gz UIUKVTMEGHOUHY-CYBMUJFWSA-N 1 2 290.304 3.815 20 0 CHADLO COC(=O)Cc1ccc(Nc2[nH+]cccc2C(C)C)cc1 ZINC001212619427 1131965947 /nfs/dbraw/zinc/96/59/47/1131965947.db2.gz YXLNAWWIPQCQJS-UHFFFAOYSA-N 1 2 284.359 3.664 20 0 CHADLO Brc1cnc(C[NH+]2CCCCCCC2)s1 ZINC000713709239 1131966442 /nfs/dbraw/zinc/96/64/42/1131966442.db2.gz XSPUWXATRCVOBV-UHFFFAOYSA-N 1 2 289.242 3.672 20 0 CHADLO COc1cc(F)cc(CNc2cc[nH+]c(OC(C)C)c2)c1 ZINC001171412774 1131981619 /nfs/dbraw/zinc/98/16/19/1131981619.db2.gz LDWOEYVGGVUOPM-UHFFFAOYSA-N 1 2 290.338 3.629 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H](C)c1ccccn1 ZINC000036934796 1131984859 /nfs/dbraw/zinc/98/48/59/1131984859.db2.gz CVORHGGFXYZOMU-ZDUSSCGKSA-N 1 2 255.365 3.629 20 0 CHADLO Cc1cc(C)c(CNC(=O)N2CCCCCCCC2)c[nH+]1 ZINC000836657322 1131985093 /nfs/dbraw/zinc/98/50/93/1131985093.db2.gz QJGCUXBXYXJQFV-UHFFFAOYSA-N 1 2 289.423 3.564 20 0 CHADLO CCCCc1ncc(C[N@H+](C)C/C=C/c2ccccc2)[nH]1 ZINC001141071494 1132004303 /nfs/dbraw/zinc/00/43/03/1132004303.db2.gz RDPLCDQAALZZRY-DHZHZOJOSA-N 1 2 283.419 3.898 20 0 CHADLO CCCCc1ncc(C[N@@H+](C)C/C=C/c2ccccc2)[nH]1 ZINC001141071494 1132004308 /nfs/dbraw/zinc/00/43/08/1132004308.db2.gz RDPLCDQAALZZRY-DHZHZOJOSA-N 1 2 283.419 3.898 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1ncc(Cl)nc1Cl ZINC001141137454 1132026061 /nfs/dbraw/zinc/02/60/61/1132026061.db2.gz WLFYZPOYTJOFOF-VIFPVBQESA-N 1 2 274.195 3.548 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1ncc(Cl)nc1Cl ZINC001141137454 1132026069 /nfs/dbraw/zinc/02/60/69/1132026069.db2.gz WLFYZPOYTJOFOF-VIFPVBQESA-N 1 2 274.195 3.548 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@H]3CCC[C@H]32)c(Cl)n1 ZINC001141136022 1132026954 /nfs/dbraw/zinc/02/69/54/1132026954.db2.gz JZRYLIXXOYEMFZ-GXSJLCMTSA-N 1 2 286.206 3.548 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@H]3CCC[C@H]32)c(Cl)n1 ZINC001141136022 1132026956 /nfs/dbraw/zinc/02/69/56/1132026956.db2.gz JZRYLIXXOYEMFZ-GXSJLCMTSA-N 1 2 286.206 3.548 20 0 CHADLO CC(=O)CC(C)(C)Nc1cc2cc(F)ccc2c[nH+]1 ZINC001171497078 1132039508 /nfs/dbraw/zinc/03/95/08/1132039508.db2.gz GKRAYWWDBYTBKC-UHFFFAOYSA-N 1 2 260.312 3.544 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](c3ccccc3)C2)c(F)c1 ZINC001141194492 1132039967 /nfs/dbraw/zinc/03/99/67/1132039967.db2.gz AKNDKMLDHKCHTR-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](c3ccccc3)C2)c(F)c1 ZINC001141194492 1132039973 /nfs/dbraw/zinc/03/99/73/1132039973.db2.gz AKNDKMLDHKCHTR-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(F)cccc3C2)c(F)c1 ZINC001141195195 1132040553 /nfs/dbraw/zinc/04/05/53/1132040553.db2.gz DVBLZOFZOLEDJF-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(F)cccc3C2)c(F)c1 ZINC001141195195 1132040562 /nfs/dbraw/zinc/04/05/62/1132040562.db2.gz DVBLZOFZOLEDJF-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(Cl)c(C)c1 ZINC001141236675 1132054481 /nfs/dbraw/zinc/05/44/81/1132054481.db2.gz XWZXUYLLPLQULO-HNNXBMFYSA-N 1 2 265.784 3.592 20 0 CHADLO COC(=O)c1cc(Nc2c[nH+]c(C)cc2C)ccc1C ZINC001212624987 1132067866 /nfs/dbraw/zinc/06/78/66/1132067866.db2.gz QYRFKIPCANYOOA-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Clc1ccnc(C[N@@H+]2C[C@H]3CCCC[C@H]32)c1Cl ZINC001171756422 1132087499 /nfs/dbraw/zinc/08/74/99/1132087499.db2.gz KCXGGHCKRQOLPL-BXKDBHETSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1ccnc(C[N@H+]2C[C@H]3CCCC[C@H]32)c1Cl ZINC001171756422 1132087508 /nfs/dbraw/zinc/08/75/08/1132087508.db2.gz KCXGGHCKRQOLPL-BXKDBHETSA-N 1 2 271.191 3.763 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)c1ccc(CF)cc1 ZINC001141525083 1132150117 /nfs/dbraw/zinc/15/01/17/1132150117.db2.gz CENCOBFEMAXVLX-UHFFFAOYSA-N 1 2 295.317 3.594 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(C2CCOCC2)cc1 ZINC001212650402 1132157646 /nfs/dbraw/zinc/15/76/46/1132157646.db2.gz GBLUVXHJMSDRKC-UHFFFAOYSA-N 1 2 284.359 3.733 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001172334814 1132173733 /nfs/dbraw/zinc/17/37/33/1132173733.db2.gz CTUDPKHFPSYICE-AGIUHOORSA-N 1 2 281.362 3.649 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001172334814 1132173735 /nfs/dbraw/zinc/17/37/35/1132173735.db2.gz CTUDPKHFPSYICE-AGIUHOORSA-N 1 2 281.362 3.649 20 0 CHADLO CCOCC[C@@H](C)[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001172426954 1132204640 /nfs/dbraw/zinc/20/46/40/1132204640.db2.gz KAEKCOJWXGHTPI-CZUORRHYSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCC[C@@H](C)[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001172426954 1132204645 /nfs/dbraw/zinc/20/46/45/1132204645.db2.gz KAEKCOJWXGHTPI-CZUORRHYSA-N 1 2 297.826 3.528 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc3cccnc3cc2F)cn1 ZINC001141634942 1132218589 /nfs/dbraw/zinc/21/85/89/1132218589.db2.gz XVJKPVWBNAPIFP-UHFFFAOYSA-N 1 2 295.361 3.709 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc3cccnc3cc2F)cn1 ZINC001141634942 1132218592 /nfs/dbraw/zinc/21/85/92/1132218592.db2.gz XVJKPVWBNAPIFP-UHFFFAOYSA-N 1 2 295.361 3.709 20 0 CHADLO C[C@H](Oc1cc2c(cc1O)C=[NH+]CC2)c1cccs1 ZINC001230915626 1132227253 /nfs/dbraw/zinc/22/72/53/1132227253.db2.gz SNRJRYGFDUERPX-JTQLQIEISA-N 1 2 273.357 3.569 20 0 CHADLO C[C@@H]1CC[C@H](Oc2cc3c(cc2O)C=[NH+]CC3)C[C@H]1C ZINC001230920342 1132227503 /nfs/dbraw/zinc/22/75/03/1132227503.db2.gz MHQYOPMHZZZEIU-JMSVASOKSA-N 1 2 273.376 3.571 20 0 CHADLO CC[C@H]1CCCC[C@@H]1Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920537 1132228435 /nfs/dbraw/zinc/22/84/35/1132228435.db2.gz OTLSZWXVVMAJEG-LRDDRELGSA-N 1 2 273.376 3.715 20 0 CHADLO COC1=CC[C@H](Oc2ccc(-c3[nH]cc[nH+]3)cc2)CC1 ZINC001231085488 1132254569 /nfs/dbraw/zinc/25/45/69/1132254569.db2.gz UVXKMVHLHWKRGL-HNNXBMFYSA-N 1 2 270.332 3.538 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2coc3ccc(F)cc23)C[C@H]1F ZINC001141847065 1132256634 /nfs/dbraw/zinc/25/66/34/1132256634.db2.gz VTOBISGJWVLSSL-HUUCEWRRSA-N 1 2 283.293 3.844 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2coc3ccc(F)cc23)C[C@H]1F ZINC001141847065 1132256641 /nfs/dbraw/zinc/25/66/41/1132256641.db2.gz VTOBISGJWVLSSL-HUUCEWRRSA-N 1 2 283.293 3.844 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CC[C@H]3CCC[C@H]3C2)cs1 ZINC001141872022 1132258915 /nfs/dbraw/zinc/25/89/15/1132258915.db2.gz NQDMJLZWQQRKJX-ZJUUUORDSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CC[C@H]3CCC[C@H]3C2)cs1 ZINC001141872022 1132258921 /nfs/dbraw/zinc/25/89/21/1132258921.db2.gz NQDMJLZWQQRKJX-ZJUUUORDSA-N 1 2 290.354 3.784 20 0 CHADLO CCCCCOC(=O)C[NH2+][C@@H]1CC[C@@H](c2ccccc2)C1 ZINC001172553696 1132265614 /nfs/dbraw/zinc/26/56/14/1132265614.db2.gz AOOBINQIVGOUJP-IAGOWNOFSA-N 1 2 289.419 3.646 20 0 CHADLO CC(C)[NH+]1CC(Oc2ccccc2Oc2ccccc2O)C1 ZINC001231219182 1132272576 /nfs/dbraw/zinc/27/25/76/1132272576.db2.gz RRLZBLVPWOIDCJ-UHFFFAOYSA-N 1 2 299.370 3.656 20 0 CHADLO Oc1ccc(C[N@@H+]2CCc3c4ccccc4oc3C2)cc1 ZINC001204095596 1132286877 /nfs/dbraw/zinc/28/68/77/1132286877.db2.gz BLGCUGYTBLAJAS-UHFFFAOYSA-N 1 2 279.339 3.697 20 0 CHADLO Oc1ccc(C[N@H+]2CCc3c4ccccc4oc3C2)cc1 ZINC001204095596 1132286880 /nfs/dbraw/zinc/28/68/80/1132286880.db2.gz BLGCUGYTBLAJAS-UHFFFAOYSA-N 1 2 279.339 3.697 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C)cc1Cl ZINC001231423588 1132292093 /nfs/dbraw/zinc/29/20/93/1132292093.db2.gz FMNLIXKTTNICEO-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C)cc1Cl ZINC001231423588 1132292100 /nfs/dbraw/zinc/29/21/00/1132292100.db2.gz FMNLIXKTTNICEO-LBPRGKRZSA-N 1 2 297.826 3.810 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1ccc(C)c(Cl)c1)CC2 ZINC001231423463 1132292511 /nfs/dbraw/zinc/29/25/11/1132292511.db2.gz BHVLUMIKBSMKMH-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1ccc(C)c(Cl)c1)CC2 ZINC001231423463 1132292515 /nfs/dbraw/zinc/29/25/15/1132292515.db2.gz BHVLUMIKBSMKMH-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Clc1ccc2c(c1)C[C@@H]([NH+]1CC(Oc3ccccc3)C1)C2 ZINC001172720767 1132296510 /nfs/dbraw/zinc/29/65/10/1132296510.db2.gz WYEGPYKGWJQXOI-INIZCTEOSA-N 1 2 299.801 3.570 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2CCC[C@](C)(F)C2)c1F ZINC001231477111 1132296917 /nfs/dbraw/zinc/29/69/17/1132296917.db2.gz ZAXHUIGBUZRIGE-AWEZNQCLSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2CCC[C@](C)(F)C2)c1F ZINC001231477111 1132296922 /nfs/dbraw/zinc/29/69/22/1132296922.db2.gz ZAXHUIGBUZRIGE-AWEZNQCLSA-N 1 2 257.299 3.597 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1ccc(F)c(C)c1F ZINC001231478729 1132297984 /nfs/dbraw/zinc/29/79/84/1132297984.db2.gz LTTOOLNSTOAJND-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1ccc(F)c(C)c1F ZINC001231478729 1132297989 /nfs/dbraw/zinc/29/79/89/1132297989.db2.gz LTTOOLNSTOAJND-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1c(C)c(C)c(C[NH+]2C[C@@H](F)[C@H](F)C2)c(C)c1C ZINC001231492913 1132303776 /nfs/dbraw/zinc/30/37/76/1132303776.db2.gz QCBCUOAAPBGAAQ-HZPDHXFCSA-N 1 2 267.363 3.721 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1ccc(Cl)c(Br)c1 ZINC001231567504 1132314624 /nfs/dbraw/zinc/31/46/24/1132314624.db2.gz UGRCUTFWEJYRGE-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1ccc(Cl)c(Br)c1 ZINC001231567504 1132314629 /nfs/dbraw/zinc/31/46/29/1132314629.db2.gz UGRCUTFWEJYRGE-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO COc1ccc([NH2+]CCCCOc2ccccc2)cc1N ZINC000841040220 1132322411 /nfs/dbraw/zinc/32/24/11/1132322411.db2.gz IUMIXKRHIQSVTG-UHFFFAOYSA-N 1 2 286.375 3.549 20 0 CHADLO COc1cc([NH2+]C[C@H](C)Cc2ccccc2)ccc1N ZINC000841043565 1132324475 /nfs/dbraw/zinc/32/44/75/1132324475.db2.gz XKKMBWQHSRGYBQ-CYBMUJFWSA-N 1 2 270.376 3.568 20 0 CHADLO c1ccc2c(c1)C=C(CNc1c[nH+]cc3c1CCCC3)CO2 ZINC001203118197 1132330939 /nfs/dbraw/zinc/33/09/39/1132330939.db2.gz BEZKGCINLZYBOY-UHFFFAOYSA-N 1 2 292.382 3.848 20 0 CHADLO CC(C)OC1C[NH+]([C@H](C)Cc2ccc(F)cc2Cl)C1 ZINC001172870972 1132349172 /nfs/dbraw/zinc/34/91/72/1132349172.db2.gz GFRXNDAFDRVWSX-LLVKDONJSA-N 1 2 285.790 3.519 20 0 CHADLO CCOc1ncccc1C[N@H+](CC)Cc1ccccc1F ZINC001231768871 1132358007 /nfs/dbraw/zinc/35/80/07/1132358007.db2.gz QNNLGLGWEXNIHL-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CCOc1ncccc1C[N@@H+](CC)Cc1ccccc1F ZINC001231768871 1132358016 /nfs/dbraw/zinc/35/80/16/1132358016.db2.gz QNNLGLGWEXNIHL-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CN(C(=O)c1cccc2[nH+]ccn21)[C@@H]1CCC[C@H]1C(C)(C)C ZINC000841453000 1132365743 /nfs/dbraw/zinc/36/57/43/1132365743.db2.gz MOTGJYRBIJBKHE-ZIAGYGMSSA-N 1 2 299.418 3.621 20 0 CHADLO COc1cccc(C)c1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212695565 1132373044 /nfs/dbraw/zinc/37/30/44/1132373044.db2.gz MCZFKMDNFBSEOY-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO Cc1ccc(F)c(Nc2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC001212695157 1132373219 /nfs/dbraw/zinc/37/32/19/1132373219.db2.gz QHILYJJXOHWZJZ-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO CCc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC001212695191 1132374155 /nfs/dbraw/zinc/37/41/55/1132374155.db2.gz SBXHLGYJCWDJKN-UHFFFAOYSA-N 1 2 278.359 3.632 20 0 CHADLO c1cn(Cc2ccc(Nc3cnccc3C3CC3)cc2)c[nH+]1 ZINC001212693987 1132374579 /nfs/dbraw/zinc/37/45/79/1132374579.db2.gz DCDOOZDITHCGJX-UHFFFAOYSA-N 1 2 290.370 3.947 20 0 CHADLO Cc1ccc(N)c(N(C)c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212697951 1132376950 /nfs/dbraw/zinc/37/69/50/1132376950.db2.gz DDAICUPAFGTCNA-UHFFFAOYSA-N 1 2 292.386 3.590 20 0 CHADLO CCCOC1CCC([NH2+]CC(F)(F)C(F)(F)F)CC1 ZINC001173090934 1132383966 /nfs/dbraw/zinc/38/39/66/1132383966.db2.gz KKNZVRMCGNVRKJ-UHFFFAOYSA-N 1 2 289.288 3.511 20 0 CHADLO FC(F)c1cc(C[N@@H+]2CCc3sccc3C2)ccn1 ZINC001231889159 1132393094 /nfs/dbraw/zinc/39/30/94/1132393094.db2.gz IZBONJUJMOBBQR-UHFFFAOYSA-N 1 2 280.343 3.639 20 0 CHADLO FC(F)c1cc(C[N@H+]2CCc3sccc3C2)ccn1 ZINC001231889159 1132393100 /nfs/dbraw/zinc/39/31/00/1132393100.db2.gz IZBONJUJMOBBQR-UHFFFAOYSA-N 1 2 280.343 3.639 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ccnc(C(F)F)c1)C2 ZINC001231887561 1132395290 /nfs/dbraw/zinc/39/52/90/1132395290.db2.gz UYJJXPMTRWNDCT-UHFFFAOYSA-N 1 2 274.314 3.843 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ccnc(C(F)F)c1)C2 ZINC001231887561 1132395298 /nfs/dbraw/zinc/39/52/98/1132395298.db2.gz UYJJXPMTRWNDCT-UHFFFAOYSA-N 1 2 274.314 3.843 20 0 CHADLO CC[N@H+](Cc1cnc(F)c(C)c1)Cc1cccc(F)c1F ZINC001231930661 1132402123 /nfs/dbraw/zinc/40/21/23/1132402123.db2.gz OVNOIOMPTLRQEM-UHFFFAOYSA-N 1 2 294.320 3.829 20 0 CHADLO CC[N@@H+](Cc1cnc(F)c(C)c1)Cc1cccc(F)c1F ZINC001231930661 1132402125 /nfs/dbraw/zinc/40/21/25/1132402125.db2.gz OVNOIOMPTLRQEM-UHFFFAOYSA-N 1 2 294.320 3.829 20 0 CHADLO Cc1cc(F)ncc1C[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC001231979128 1132406090 /nfs/dbraw/zinc/40/60/90/1132406090.db2.gz JZVANPXRLBXUOK-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1cc(F)ncc1C[N@H+]1CCc2ccc(Cl)cc2C1 ZINC001231979128 1132406093 /nfs/dbraw/zinc/40/60/93/1132406093.db2.gz JZVANPXRLBXUOK-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1scnc1C[N@@H+]1CCC[C@H]1c1ccc(F)cc1 ZINC001232204340 1132435789 /nfs/dbraw/zinc/43/57/89/1132435789.db2.gz LHTKCUYQKAIABF-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1scnc1C[N@H+]1CCC[C@H]1c1ccc(F)cc1 ZINC001232204340 1132435792 /nfs/dbraw/zinc/43/57/92/1132435792.db2.gz LHTKCUYQKAIABF-HNNXBMFYSA-N 1 2 276.380 3.928 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1nccc3ccccc31)C2 ZINC001232248493 1132444544 /nfs/dbraw/zinc/44/45/44/1132444544.db2.gz IQFHDEXXMVYYGW-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1nccc3ccccc31)C2 ZINC001232248493 1132444548 /nfs/dbraw/zinc/44/45/48/1132444548.db2.gz IQFHDEXXMVYYGW-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Oc1ccc(C[N@@H+]2Cc3cccc(F)c3C2)c2cccnc12 ZINC001232276268 1132446867 /nfs/dbraw/zinc/44/68/67/1132446867.db2.gz QKQQBOMXXLGPHA-UHFFFAOYSA-N 1 2 294.329 3.595 20 0 CHADLO Oc1ccc(C[N@H+]2Cc3cccc(F)c3C2)c2cccnc12 ZINC001232276268 1132446870 /nfs/dbraw/zinc/44/68/70/1132446870.db2.gz QKQQBOMXXLGPHA-UHFFFAOYSA-N 1 2 294.329 3.595 20 0 CHADLO CC(C)Cn1nccc1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000842670556 1132449486 /nfs/dbraw/zinc/44/94/86/1132449486.db2.gz IHZXWMYCUKZSNW-LBPRGKRZSA-N 1 2 293.361 3.668 20 0 CHADLO Cc1sc(C[N@@H+]2CCC[C@@H]2CF)cc1Br ZINC001232295142 1132450700 /nfs/dbraw/zinc/45/07/00/1132450700.db2.gz MNGHQYNNTVVQKT-SECBINFHSA-N 1 2 292.217 3.753 20 0 CHADLO Cc1sc(C[N@H+]2CCC[C@@H]2CF)cc1Br ZINC001232295142 1132450703 /nfs/dbraw/zinc/45/07/03/1132450703.db2.gz MNGHQYNNTVVQKT-SECBINFHSA-N 1 2 292.217 3.753 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2nc3ccccc3o2)cn1 ZINC001232332663 1132455614 /nfs/dbraw/zinc/45/56/14/1132455614.db2.gz LFQNFFWBKPLRQT-UHFFFAOYSA-N 1 2 299.399 3.577 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2nc3ccccc3o2)cn1 ZINC001232332663 1132455618 /nfs/dbraw/zinc/45/56/18/1132455618.db2.gz LFQNFFWBKPLRQT-UHFFFAOYSA-N 1 2 299.399 3.577 20 0 CHADLO CSc1cc(C)c(C[N@@H+]2Cc3cccc(C)c3C2)cn1 ZINC001232341825 1132458880 /nfs/dbraw/zinc/45/88/80/1132458880.db2.gz HBZYLIOMGMELBY-UHFFFAOYSA-N 1 2 284.428 3.936 20 0 CHADLO CSc1cc(C)c(C[N@H+]2Cc3cccc(C)c3C2)cn1 ZINC001232341825 1132458881 /nfs/dbraw/zinc/45/88/81/1132458881.db2.gz HBZYLIOMGMELBY-UHFFFAOYSA-N 1 2 284.428 3.936 20 0 CHADLO CCC[N@H+](CC)Cc1cc(F)c(O)c(Br)c1 ZINC001232630732 1132498012 /nfs/dbraw/zinc/49/80/12/1132498012.db2.gz WHULZDJXJBSELD-UHFFFAOYSA-N 1 2 290.176 3.526 20 0 CHADLO CCC[N@@H+](CC)Cc1cc(F)c(O)c(Br)c1 ZINC001232630732 1132498016 /nfs/dbraw/zinc/49/80/16/1132498016.db2.gz WHULZDJXJBSELD-UHFFFAOYSA-N 1 2 290.176 3.526 20 0 CHADLO CCc1csc(C[N@H+](C)Cc2ccc(C)cc2)n1 ZINC001232473298 1132476543 /nfs/dbraw/zinc/47/65/43/1132476543.db2.gz MILZKFKRVDZLOA-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO CCc1csc(C[N@@H+](C)Cc2ccc(C)cc2)n1 ZINC001232473298 1132476547 /nfs/dbraw/zinc/47/65/47/1132476547.db2.gz MILZKFKRVDZLOA-UHFFFAOYSA-N 1 2 260.406 3.646 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC001232489705 1132479461 /nfs/dbraw/zinc/47/94/61/1132479461.db2.gz MHFNLIVZNRJAIK-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC001232489705 1132479463 /nfs/dbraw/zinc/47/94/63/1132479463.db2.gz MHFNLIVZNRJAIK-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Clc1ccc2c(c1)CN(Cc1ccc3[nH+]ccn3c1)C2 ZINC001143033767 1132494894 /nfs/dbraw/zinc/49/48/94/1132494894.db2.gz HGHLPXMHIITBTM-UHFFFAOYSA-N 1 2 283.762 3.504 20 0 CHADLO C[N@H+](CCc1cccc(F)c1)Cc1c(Cl)ccnc1F ZINC001232619677 1132496015 /nfs/dbraw/zinc/49/60/15/1132496015.db2.gz YLKXXILIFLXRPG-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1cccc(F)c1)Cc1c(Cl)ccnc1F ZINC001232619677 1132496019 /nfs/dbraw/zinc/49/60/19/1132496019.db2.gz YLKXXILIFLXRPG-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO CC[NH2+]Cc1noc(CSc2ccc3ccccc3c2)n1 ZINC000843145228 1132496305 /nfs/dbraw/zinc/49/63/05/1132496305.db2.gz XWQFQAMDHHYRMX-UHFFFAOYSA-N 1 2 299.399 3.625 20 0 CHADLO CC[NH2+]Cc1noc([C@@H]2C[C@H]2c2ccc3ccccc3c2)n1 ZINC000843142775 1132496491 /nfs/dbraw/zinc/49/64/91/1132496491.db2.gz IKMUQODGNJFQLS-JKSUJKDBSA-N 1 2 293.370 3.603 20 0 CHADLO CC[NH2+]Cc1noc([C@@H](C)c2ccc(CC(C)C)cc2)n1 ZINC000843141614 1132496586 /nfs/dbraw/zinc/49/65/86/1132496586.db2.gz QYXCVQLSVAUFSE-ZDUSSCGKSA-N 1 2 287.407 3.529 20 0 CHADLO Cc1cc(CO)cc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001212753154 1132512694 /nfs/dbraw/zinc/51/26/94/1132512694.db2.gz BQUWNVXXBLIMQK-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccc2ncsc2c1 ZINC001232742623 1132515018 /nfs/dbraw/zinc/51/50/18/1132515018.db2.gz CBOQNOTWRUBSDZ-GFCCVEGCSA-N 1 2 283.400 3.675 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccc2ncsc2c1 ZINC001232742623 1132515022 /nfs/dbraw/zinc/51/50/22/1132515022.db2.gz CBOQNOTWRUBSDZ-GFCCVEGCSA-N 1 2 283.400 3.675 20 0 CHADLO Cc1c(Cl)nccc1C[N@@H+]1CCc2sccc2C1 ZINC001232750080 1132515344 /nfs/dbraw/zinc/51/53/44/1132515344.db2.gz RLLQULXVFBQLMJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1c(Cl)nccc1C[N@H+]1CCc2sccc2C1 ZINC001232750080 1132515351 /nfs/dbraw/zinc/51/53/51/1132515351.db2.gz RLLQULXVFBQLMJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001173815412 1132520035 /nfs/dbraw/zinc/52/00/35/1132520035.db2.gz SOGYQVHLJRLYIG-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO Fc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001173799523 1132533940 /nfs/dbraw/zinc/53/39/40/1132533940.db2.gz LEFHJSWLOCEFHQ-UHFFFAOYSA-N 1 2 253.280 3.755 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)c(F)c2Cl)CCCO1 ZINC001232876376 1132536300 /nfs/dbraw/zinc/53/63/00/1132536300.db2.gz PORSNTGRTDYJJC-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)c(F)c2Cl)CCCO1 ZINC001232876376 1132536307 /nfs/dbraw/zinc/53/63/07/1132536307.db2.gz PORSNTGRTDYJJC-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@]3(C)CC=C(C)CC3)cc2[nH+]1 ZINC001150107087 1132551159 /nfs/dbraw/zinc/55/11/59/1132551159.db2.gz VSRBWHNDVVWVIU-QGZVFWFLSA-N 1 2 283.375 3.946 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CCCC[C@H]3C)cc2[nH+]1 ZINC001150106310 1132551583 /nfs/dbraw/zinc/55/15/83/1132551583.db2.gz KKTDLURRCQLVAK-MFKMUULPSA-N 1 2 271.364 3.636 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(O)c(F)c(F)c2)Cc2ccccc21 ZINC001232968457 1132552539 /nfs/dbraw/zinc/55/25/39/1132552539.db2.gz BTXDIZYUZSKNSW-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(O)c(F)c(F)c2)Cc2ccccc21 ZINC001232968457 1132552545 /nfs/dbraw/zinc/55/25/45/1132552545.db2.gz BTXDIZYUZSKNSW-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO Cc1cc(C)c(C[N@H+](C)Cc2ccc(F)cc2F)cn1 ZINC001233012932 1132563632 /nfs/dbraw/zinc/56/36/32/1132563632.db2.gz PVJCWGJWKNEHPE-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cc(C)c(C[N@@H+](C)Cc2ccc(F)cc2F)cn1 ZINC001233012932 1132563635 /nfs/dbraw/zinc/56/36/35/1132563635.db2.gz PVJCWGJWKNEHPE-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO CCOC(=O)c1ccsc1C[N@@H+]1CCCC[C@](C)(F)C1 ZINC001233018506 1132563651 /nfs/dbraw/zinc/56/36/51/1132563651.db2.gz XFZZJQXDGSPQPF-HNNXBMFYSA-N 1 2 299.411 3.639 20 0 CHADLO CCOC(=O)c1ccsc1C[N@H+]1CCCC[C@](C)(F)C1 ZINC001233018506 1132563653 /nfs/dbraw/zinc/56/36/53/1132563653.db2.gz XFZZJQXDGSPQPF-HNNXBMFYSA-N 1 2 299.411 3.639 20 0 CHADLO Oc1cccc(C[N@@H+]2CCSc3ccccc3C2)c1F ZINC001233052928 1132567870 /nfs/dbraw/zinc/56/78/70/1132567870.db2.gz AKOIXZSATPSYGM-UHFFFAOYSA-N 1 2 289.375 3.639 20 0 CHADLO Oc1cccc(C[N@H+]2CCSc3ccccc3C2)c1F ZINC001233052928 1132567873 /nfs/dbraw/zinc/56/78/73/1132567873.db2.gz AKOIXZSATPSYGM-UHFFFAOYSA-N 1 2 289.375 3.639 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(F)c(F)c3)ccc21 ZINC001173867249 1132569653 /nfs/dbraw/zinc/56/96/53/1132569653.db2.gz LMLJORDGXSDCMA-UHFFFAOYSA-N 1 2 259.259 3.595 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)cnc1C ZINC001233161449 1132573641 /nfs/dbraw/zinc/57/36/41/1132573641.db2.gz CHZYQOLZNJOSLL-HNAYVOBHSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)cnc1C ZINC001233161449 1132573644 /nfs/dbraw/zinc/57/36/44/1132573644.db2.gz CHZYQOLZNJOSLL-HNAYVOBHSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cccc([C@@H](C)NC(=O)c2cc3c[nH+]ccc3[nH]2)c1C ZINC001151979161 1132577015 /nfs/dbraw/zinc/57/70/15/1132577015.db2.gz MDTSORXBUHUBIY-CYBMUJFWSA-N 1 2 293.370 3.671 20 0 CHADLO CCOc1cc(Nc2cccc(OC)c2)cc(C)[nH+]1 ZINC001173824959 1132580488 /nfs/dbraw/zinc/58/04/88/1132580488.db2.gz YMHBOFAEVHYQDU-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO COc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001173825831 1132580628 /nfs/dbraw/zinc/58/06/28/1132580628.db2.gz VSJSIBQEHYGHQG-UHFFFAOYSA-N 1 2 279.343 3.684 20 0 CHADLO CSC1CC[NH+](Cc2ccc(Cl)c(F)c2F)CC1 ZINC001233211412 1132581200 /nfs/dbraw/zinc/58/12/00/1132581200.db2.gz URVIZFXVLFCGLL-UHFFFAOYSA-N 1 2 291.794 3.946 20 0 CHADLO C[N@H+](CCF)Cc1ccc(F)cc1OCc1ccccc1 ZINC001233245858 1132586925 /nfs/dbraw/zinc/58/69/25/1132586925.db2.gz NSHDFAKVOASRPU-UHFFFAOYSA-N 1 2 291.341 3.806 20 0 CHADLO C[N@@H+](CCF)Cc1ccc(F)cc1OCc1ccccc1 ZINC001233245858 1132586933 /nfs/dbraw/zinc/58/69/33/1132586933.db2.gz NSHDFAKVOASRPU-UHFFFAOYSA-N 1 2 291.341 3.806 20 0 CHADLO COc1ccccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001173840635 1132595104 /nfs/dbraw/zinc/59/51/04/1132595104.db2.gz HLFWPNMNZZOUIC-UHFFFAOYSA-N 1 2 265.316 3.625 20 0 CHADLO CC(C)c1ccc(Nc2ccc(N3CCOCC3)[nH+]c2)cc1 ZINC001173893879 1132597940 /nfs/dbraw/zinc/59/79/40/1132597940.db2.gz MVHSYKUTECPIJT-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO CC(=O)c1ccc(Nc2cccn3cc(C)[nH+]c23)cc1 ZINC001173896076 1132600248 /nfs/dbraw/zinc/60/02/48/1132600248.db2.gz OQBMFRSLKNAKEO-UHFFFAOYSA-N 1 2 265.316 3.589 20 0 CHADLO Clc1ccn2cc(C[N@@H+]3CC[C@@H]3c3ccccc3)nc2c1 ZINC001233392393 1132616151 /nfs/dbraw/zinc/61/61/51/1132616151.db2.gz XSHIJDUUOGXCPU-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO Clc1ccn2cc(C[N@H+]3CC[C@@H]3c3ccccc3)nc2c1 ZINC001233392393 1132616157 /nfs/dbraw/zinc/61/61/57/1132616157.db2.gz XSHIJDUUOGXCPU-MRXNPFEDSA-N 1 2 297.789 3.935 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1c(Cl)ncnc1Cl ZINC001233409756 1132618498 /nfs/dbraw/zinc/61/84/98/1132618498.db2.gz LRDSIOVMADCZIH-VIFPVBQESA-N 1 2 274.195 3.548 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1c(Cl)ncnc1Cl ZINC001233409756 1132618502 /nfs/dbraw/zinc/61/85/02/1132618502.db2.gz LRDSIOVMADCZIH-VIFPVBQESA-N 1 2 274.195 3.548 20 0 CHADLO Cc1nc(N[C@@H](c2ccccc2)C2CC2)c2c([nH+]1)CCC2 ZINC001154644757 1132621237 /nfs/dbraw/zinc/62/12/37/1132621237.db2.gz JCBMDXRJSSFNQI-KRWDZBQOSA-N 1 2 279.387 3.837 20 0 CHADLO CCc1coc(CNc2ccc(C(C)(C)C)c[nH+]2)n1 ZINC001154779749 1132624303 /nfs/dbraw/zinc/62/43/03/1132624303.db2.gz DVGOTDAXOODDPQ-UHFFFAOYSA-N 1 2 259.353 3.542 20 0 CHADLO C[C@@H](Nc1ccc(C(C)(C)C)c[nH+]1)c1nnc2ccccn21 ZINC001154819425 1132625465 /nfs/dbraw/zinc/62/54/65/1132625465.db2.gz MOBSQOFFSGDTDI-GFCCVEGCSA-N 1 2 295.390 3.595 20 0 CHADLO COc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1OC ZINC001173887735 1132627886 /nfs/dbraw/zinc/62/78/86/1132627886.db2.gz XRCCBGPEDWMSOJ-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO COc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c(OC)c1 ZINC001173891106 1132629138 /nfs/dbraw/zinc/62/91/38/1132629138.db2.gz LFJPLATYJWBOKN-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO Cc1cccc2ncc(CNc3cc4ccccc4c[nH+]3)n21 ZINC001154941562 1132632810 /nfs/dbraw/zinc/63/28/10/1132632810.db2.gz CDDXRRPUIYSSBP-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(C(=O)OC)c2)cc1 ZINC001173965530 1132636088 /nfs/dbraw/zinc/63/60/88/1132636088.db2.gz MLAWUUUJDNERNL-UHFFFAOYSA-N 1 2 284.359 3.673 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(C(=O)OC)c2)cc1 ZINC001173965530 1132636092 /nfs/dbraw/zinc/63/60/92/1132636092.db2.gz MLAWUUUJDNERNL-UHFFFAOYSA-N 1 2 284.359 3.673 20 0 CHADLO CCc1ccccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001173967747 1132636965 /nfs/dbraw/zinc/63/69/65/1132636965.db2.gz IAFFPDKELSTUTI-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO Cc1cc(F)ccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001173968934 1132639223 /nfs/dbraw/zinc/63/92/23/1132639223.db2.gz YZHKOXRYZUORBK-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO CC(C)(Nc1[nH+]cnc2[nH]c3cc(F)ccc3c21)C1CC1 ZINC001155072547 1132639402 /nfs/dbraw/zinc/63/94/02/1132639402.db2.gz LSVLZJYGADTUSV-UHFFFAOYSA-N 1 2 284.338 3.851 20 0 CHADLO CCSc1cc[nH+]c(NCc2c(C)cc(C)[nH]c2=O)c1 ZINC001155136892 1132642108 /nfs/dbraw/zinc/64/21/08/1132642108.db2.gz XZUZZAZKZLQQAR-UHFFFAOYSA-N 1 2 289.404 3.523 20 0 CHADLO C=Cc1ccc(NCCc2ccc(C(F)(F)F)nc2)[nH+]c1 ZINC001155146437 1132642701 /nfs/dbraw/zinc/64/27/01/1132642701.db2.gz VGKIVUDIQSFSGY-UHFFFAOYSA-N 1 2 293.292 3.793 20 0 CHADLO C/C=C(/C=C/C(=O)N1CCC[C@H]1c1[nH]c(C)c(C)[nH+]1)CC ZINC001155173525 1132645188 /nfs/dbraw/zinc/64/51/88/1132645188.db2.gz FXOIFUIIUBOASU-UTOKCAKISA-N 1 2 287.407 3.603 20 0 CHADLO CSCc1cc[nH+]c(NCc2cnc3cc(C)ccn23)c1 ZINC001155281025 1132651071 /nfs/dbraw/zinc/65/10/71/1132651071.db2.gz CVLRRSPDJVADSP-UHFFFAOYSA-N 1 2 298.415 3.513 20 0 CHADLO Cc1cn2cccc(Nc3cccc(F)c3F)c2[nH+]1 ZINC001173945041 1132652780 /nfs/dbraw/zinc/65/27/80/1132652780.db2.gz HGCSBVDNHCBCNI-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO Fc1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1F ZINC001173947315 1132654423 /nfs/dbraw/zinc/65/44/23/1132654423.db2.gz MXKLEELJGIOSCM-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO COc1ccc(C)[nH+]c1N1CCc2c3ccccc3oc2C1 ZINC001155511748 1132659386 /nfs/dbraw/zinc/65/93/86/1132659386.db2.gz QJIZUFWVIBFMKD-UHFFFAOYSA-N 1 2 294.354 3.708 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccccc1NC(C)=O ZINC001173994912 1132667518 /nfs/dbraw/zinc/66/75/18/1132667518.db2.gz XBZUARBNSKYYLY-UHFFFAOYSA-N 1 2 269.348 3.654 20 0 CHADLO COc1ccc(CCNc2cc3ccccc3c[nH+]2)cc1O ZINC001155881814 1132667601 /nfs/dbraw/zinc/66/76/01/1132667601.db2.gz GEWZKNHONGIQCF-UHFFFAOYSA-N 1 2 294.354 3.604 20 0 CHADLO Oc1cccc(CCNc2cc3ccccc3c[nH+]2)c1 ZINC001155865278 1132668453 /nfs/dbraw/zinc/66/84/53/1132668453.db2.gz VXMNUVJXWWBVIH-UHFFFAOYSA-N 1 2 264.328 3.595 20 0 CHADLO Cc1nc2[nH]ccc2c(NC(C)(C)Cc2ccc(F)cc2)[nH+]1 ZINC001155962112 1132673540 /nfs/dbraw/zinc/67/35/40/1132673540.db2.gz XFSGSAUBQNXKMT-UHFFFAOYSA-N 1 2 298.365 3.839 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc2c(c1)CCO2 ZINC001174010483 1132677480 /nfs/dbraw/zinc/67/74/80/1132677480.db2.gz LHGDSLCGHXGJQT-UHFFFAOYSA-N 1 2 254.333 3.884 20 0 CHADLO C[C@@H](Nc1cc(N(C)C)nc[nH+]1)c1ccc2ccccc2c1 ZINC001156130798 1132683128 /nfs/dbraw/zinc/68/31/28/1132683128.db2.gz IBLJNYXTZGJQJD-CYBMUJFWSA-N 1 2 292.386 3.869 20 0 CHADLO C[C@@H](Nc1cc(N(C)C)[nH+]cn1)c1ccc2ccccc2c1 ZINC001156130798 1132683135 /nfs/dbraw/zinc/68/31/35/1132683135.db2.gz IBLJNYXTZGJQJD-CYBMUJFWSA-N 1 2 292.386 3.869 20 0 CHADLO COc1cnc2c(cccc2Nc2ccc(C)[nH+]c2C)c1 ZINC001203376868 1132690737 /nfs/dbraw/zinc/69/07/37/1132690737.db2.gz VMBZBIJNHGQBKA-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Nc1ccc2nn(-c3cc[nH+]c4ccccc43)c(Cl)c2c1 ZINC001174038599 1132701537 /nfs/dbraw/zinc/70/15/37/1132701537.db2.gz PRCFUKIAFCVHKO-UHFFFAOYSA-N 1 2 294.745 3.809 20 0 CHADLO CC(C)c1ccccc1Nc1ccc(N2CCOCC2)[nH+]c1 ZINC001174045677 1132708736 /nfs/dbraw/zinc/70/87/36/1132708736.db2.gz LPGOFGJJHOMJHY-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO c1cn2cccc(Nc3cncc4ccccc43)c2[nH+]1 ZINC001174098636 1132715947 /nfs/dbraw/zinc/71/59/47/1132715947.db2.gz SMAHXSIGBZLCJW-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO CCC1(CNc2[nH+]ccc3cc(Cl)ccc32)COC1 ZINC001157542085 1132733550 /nfs/dbraw/zinc/73/35/50/1132733550.db2.gz IMAQNFCZJXVXEJ-UHFFFAOYSA-N 1 2 276.767 3.727 20 0 CHADLO Cc1cccc(C2(Nc3cc4ccccc4c[nH+]3)COC2)c1 ZINC001157673183 1132738247 /nfs/dbraw/zinc/73/82/47/1132738247.db2.gz CZGDRBUWTDVNML-UHFFFAOYSA-N 1 2 290.366 3.881 20 0 CHADLO COc1c[nH+]c(NCc2ccc(F)c(Cl)c2F)c(C)c1 ZINC001157709376 1132738881 /nfs/dbraw/zinc/73/88/81/1132738881.db2.gz ITPVRGVZHPFUGR-UHFFFAOYSA-N 1 2 298.720 3.942 20 0 CHADLO COc1cc(F)ccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001174130653 1132739765 /nfs/dbraw/zinc/73/97/65/1132739765.db2.gz DDAXBUXFGQCARX-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO CSc1cc[nH+]c(N[C@H]2CCCc3cccnc32)c1 ZINC001157847270 1132749139 /nfs/dbraw/zinc/74/91/39/1132749139.db2.gz LZYYRAVRJFOXJL-ZDUSSCGKSA-N 1 2 271.389 3.688 20 0 CHADLO Cc1cc(NCCCCc2ccccn2)nc(C(C)C)[nH+]1 ZINC001157975176 1132758315 /nfs/dbraw/zinc/75/83/15/1132758315.db2.gz DHQNNCPWOGHNPL-UHFFFAOYSA-N 1 2 284.407 3.738 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(C(C)C)[nH+]c(C)n2)nc1 ZINC001158014804 1132761062 /nfs/dbraw/zinc/76/10/62/1132761062.db2.gz REZYRRCNMJDKSZ-GFCCVEGCSA-N 1 2 270.380 3.785 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H](C1CCC1)C(F)(F)F ZINC001158401244 1132775568 /nfs/dbraw/zinc/77/55/68/1132775568.db2.gz QBYMQHDUKCDMEU-NSHDSACASA-N 1 2 274.286 3.542 20 0 CHADLO Cc1ccc(O)c(Nc2cccc(N3CCCCC3)c2)[nH+]1 ZINC001174301796 1132780454 /nfs/dbraw/zinc/78/04/54/1132780454.db2.gz KBUXZOFJBHXGFA-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO c1[nH]nc2ccc(Nc3ccc[nH+]c3N3CCCCC3)cc12 ZINC001174271735 1132781815 /nfs/dbraw/zinc/78/18/15/1132781815.db2.gz DUEPFSKTIBKKDN-UHFFFAOYSA-N 1 2 293.374 3.692 20 0 CHADLO Cc1cn2cccc(Nc3ccnc(OCC4CC4)c3)c2[nH+]1 ZINC001213014329 1132782877 /nfs/dbraw/zinc/78/28/77/1132782877.db2.gz NZQJTMJQGPWCHO-UHFFFAOYSA-N 1 2 294.358 3.570 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3n[nH]cc3c2)cc1 ZINC001174276018 1132784415 /nfs/dbraw/zinc/78/44/15/1132784415.db2.gz OLZJGIMPNVNTBN-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3n[nH]cc3c2)cc1 ZINC001174276018 1132784419 /nfs/dbraw/zinc/78/44/19/1132784419.db2.gz OLZJGIMPNVNTBN-UHFFFAOYSA-N 1 2 266.348 3.763 20 0 CHADLO CC(C)CC1(C)CC[NH+](Cc2ncc(Cl)n2C)CC1 ZINC000808778916 1132787080 /nfs/dbraw/zinc/78/70/80/1132787080.db2.gz KKKZVXOJSAATQN-UHFFFAOYSA-N 1 2 283.847 3.722 20 0 CHADLO Fc1cc2c(cc1F)CN(c1cccc(C3CC3)[nH+]1)CC2 ZINC001158809199 1132793735 /nfs/dbraw/zinc/79/37/35/1132793735.db2.gz RXQZKINIYCHZHV-UHFFFAOYSA-N 1 2 286.325 3.800 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCc1cncs1 ZINC001158882749 1132796997 /nfs/dbraw/zinc/79/69/97/1132796997.db2.gz LBBYMKMBPASTAQ-UHFFFAOYSA-N 1 2 269.373 3.654 20 0 CHADLO CSc1cc(Nc2ccnc(OCC3CC3)c2)cc[nH+]1 ZINC001213016275 1132802257 /nfs/dbraw/zinc/80/22/57/1132802257.db2.gz KMRGOKAUNJQHBX-UHFFFAOYSA-N 1 2 287.388 3.731 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2ccc3c(c2)CCCN3)c1 ZINC001159166666 1132812439 /nfs/dbraw/zinc/81/24/39/1132812439.db2.gz DUFJDVYUAHKSKK-UHFFFAOYSA-N 1 2 294.402 3.784 20 0 CHADLO Cc1cn2c(cccc2Nc2ccnc(C(F)(F)F)c2)[nH+]1 ZINC001174359436 1132817171 /nfs/dbraw/zinc/81/71/71/1132817171.db2.gz CWQYVDOQDKPYNV-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO CCOc1cc(C)c(C)cc1Nc1ccc(NC)[nH+]c1 ZINC001159253656 1132820760 /nfs/dbraw/zinc/82/07/60/1132820760.db2.gz CZLAHHIUYMEXDX-UHFFFAOYSA-N 1 2 271.364 3.882 20 0 CHADLO Cc1ncc(Nc2ccc3c(c2)[nH+]cn3C(C)C)nc1C ZINC001174381757 1132829382 /nfs/dbraw/zinc/82/93/82/1132829382.db2.gz PVGPBIUOIXNKGT-UHFFFAOYSA-N 1 2 281.363 3.768 20 0 CHADLO c1cc2c([nH]1)[nH+]ccc2Nc1cccc(-c2cnco2)c1 ZINC001174412081 1132851721 /nfs/dbraw/zinc/85/17/21/1132851721.db2.gz OOZMAXMTMABNJP-UHFFFAOYSA-N 1 2 276.299 3.913 20 0 CHADLO CCc1cccc(Nc2cccc(N3CCCC3)c2)[nH+]1 ZINC001174487306 1132859193 /nfs/dbraw/zinc/85/91/93/1132859193.db2.gz QVLQOPPPJWFWQQ-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO CNc1ccc(Nc2ccc(C)c(Br)c2)c[nH+]1 ZINC001159574320 1132865959 /nfs/dbraw/zinc/86/59/59/1132865959.db2.gz BSILRXHTYYZFTA-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO Cc1cc(Cl)c(C)c(NCc2cccc3ccnn32)[nH+]1 ZINC001159613464 1132874219 /nfs/dbraw/zinc/87/42/19/1132874219.db2.gz PRTFDRSBPWONNR-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO Cc1n[nH]cc1Nc1cc[nH+]c2cc(Cl)ccc12 ZINC001174472364 1132878013 /nfs/dbraw/zinc/87/80/13/1132878013.db2.gz WYZZNKREKAUBTQ-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO Cc1cn2cccc(Nc3cc(C)cc(F)c3)c2[nH+]1 ZINC001174528731 1132890634 /nfs/dbraw/zinc/89/06/34/1132890634.db2.gz RNFBUDYDDCHONM-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cn1ccc2ccc(Nc3ccc[nH+]c3N3CCCC3)cc21 ZINC001174514715 1132907850 /nfs/dbraw/zinc/90/78/50/1132907850.db2.gz BTDQBSPNBVOGBG-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO Cc1ccc(Nc2ccc3ccn(C)c3c2)c(C)[nH+]1 ZINC001174514037 1132907959 /nfs/dbraw/zinc/90/79/59/1132907959.db2.gz SVXBIBLGVQPOAJ-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO Cc1cncc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174521661 1132911677 /nfs/dbraw/zinc/91/16/77/1132911677.db2.gz NMNRTIFFDWKKLY-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Clc1ncccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174521302 1132912129 /nfs/dbraw/zinc/91/21/29/1132912129.db2.gz DIILKLYPWOPZCM-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO Cc1cc(O)cc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174523581 1132912593 /nfs/dbraw/zinc/91/25/93/1132912593.db2.gz PMTZCBBIUONYIN-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)ccc1O ZINC001174525081 1132913969 /nfs/dbraw/zinc/91/39/69/1132913969.db2.gz XTZREHJQRNGABI-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO COc1cc(Nc2cccn3cc(C)[nH+]c23)cc(F)c1F ZINC001174580614 1132915913 /nfs/dbraw/zinc/91/59/13/1132915913.db2.gz PJTDTXHMPGZBHC-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CCOc1cc(Nc2cc(F)c(F)c(OC)c2)cc(C)[nH+]1 ZINC001174581955 1132917042 /nfs/dbraw/zinc/91/70/42/1132917042.db2.gz ILZPIPNOMHTEOM-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)c(F)c(OC)c2)c[nH+]1 ZINC001174586599 1132917970 /nfs/dbraw/zinc/91/79/70/1132917970.db2.gz WQZYUZDGGVLGDJ-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO Nc1cccc(F)c1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001159900303 1132920286 /nfs/dbraw/zinc/92/02/86/1132920286.db2.gz NGAYKTUXRTVIBP-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO Cc1cc(C)c(Nc2cc(N)ccc2Br)c[nH+]1 ZINC001159927918 1132925855 /nfs/dbraw/zinc/92/58/55/1132925855.db2.gz UIPGICGRHZIOGW-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(C(F)F)c1 ZINC001174619970 1132929454 /nfs/dbraw/zinc/92/94/54/1132929454.db2.gz ZKPSLWJTNOIXLK-UHFFFAOYSA-N 1 2 273.286 3.854 20 0 CHADLO c1cc2c(cccc2Nc2ccc[nH+]c2N2CCCC2)[nH]1 ZINC001174646956 1132930608 /nfs/dbraw/zinc/93/06/08/1132930608.db2.gz GHYCGJZFKCVUKC-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO c1cc2cc[nH+]cc2c(Nc2cnc(C3CC3)nc2)c1 ZINC001174616076 1132943289 /nfs/dbraw/zinc/94/32/89/1132943289.db2.gz SQIDPOXFKCAEGF-UHFFFAOYSA-N 1 2 262.316 3.646 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Br)ccc2N)c1C ZINC001160278534 1132955622 /nfs/dbraw/zinc/95/56/22/1132955622.db2.gz QRISMOBULGFPSS-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO CSCc1cc[nH+]c(NC(C)(C)c2ccncc2)c1 ZINC001160312783 1132961100 /nfs/dbraw/zinc/96/11/00/1132961100.db2.gz RIDYEANFDZSXMZ-UHFFFAOYSA-N 1 2 273.405 3.687 20 0 CHADLO Cc1ccc(Nc2cc(C3CC3)c[nH+]c2C)c(N)c1 ZINC001160337909 1132963986 /nfs/dbraw/zinc/96/39/86/1132963986.db2.gz BTHMWYRHFOCCDP-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO CC(C)C[C@@H](Nc1cc(N)cc(Cl)[nH+]1)c1ccccn1 ZINC001160482926 1132971012 /nfs/dbraw/zinc/97/10/12/1132971012.db2.gz QMFAHCXDRSAZFT-CYBMUJFWSA-N 1 2 290.798 3.912 20 0 CHADLO CC(C)C[C@H](Nc1ccc(Cl)c(N)[nH+]1)c1ccccn1 ZINC001160486956 1132972168 /nfs/dbraw/zinc/97/21/68/1132972168.db2.gz FKKIZLDIPAUTFY-ZDUSSCGKSA-N 1 2 290.798 3.912 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3nccc(C)c3F)c[nH+]c12 ZINC001160682340 1132978255 /nfs/dbraw/zinc/97/82/55/1132978255.db2.gz BCZWJNBLVVORQL-LBPRGKRZSA-N 1 2 284.338 3.658 20 0 CHADLO CCOc1cccc(N[C@@H](C)c2c[nH+]c3c(C)cccn23)n1 ZINC001160682882 1132978281 /nfs/dbraw/zinc/97/82/81/1132978281.db2.gz GDHNJTOIUBRJME-ZDUSSCGKSA-N 1 2 296.374 3.610 20 0 CHADLO CCCc1cncnc1N[C@@H](C)c1c[nH+]c2c(C)cccn12 ZINC001160684169 1132978655 /nfs/dbraw/zinc/97/86/55/1132978655.db2.gz XYYPZEZLSCLQAU-ZDUSSCGKSA-N 1 2 295.390 3.558 20 0 CHADLO CCOc1ccc(N[C@@H](C)c2c[nH+]c3c(C)cccn23)nc1 ZINC001160683719 1132978751 /nfs/dbraw/zinc/97/87/51/1132978751.db2.gz PXVLMLDAMZHCJV-ZDUSSCGKSA-N 1 2 296.374 3.610 20 0 CHADLO COc1c[nH+]c(NCCc2c[nH]c3ccccc23)c(C)c1 ZINC001160776408 1132981195 /nfs/dbraw/zinc/98/11/95/1132981195.db2.gz ZTTTWZZDGYGSFM-UHFFFAOYSA-N 1 2 281.359 3.535 20 0 CHADLO CCSc1cc[nH+]c(NCCCc2cccc(N)c2)c1 ZINC001161012528 1132988298 /nfs/dbraw/zinc/98/82/98/1132988298.db2.gz OGRTVORWIGFMIC-UHFFFAOYSA-N 1 2 287.432 3.821 20 0 CHADLO CC(=O)c1ccnc(Cl)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213028052 1132988516 /nfs/dbraw/zinc/98/85/16/1132988516.db2.gz GXMYMMALIPYNBB-UHFFFAOYSA-N 1 2 286.722 3.558 20 0 CHADLO O=c1ccc2cc(Nc3cccc4[nH+]c[nH]c43)c[nH]c-2c1 ZINC001213029524 1132992908 /nfs/dbraw/zinc/99/29/08/1132992908.db2.gz OKUHOEIHASVXHK-UHFFFAOYSA-N 1 2 276.299 3.560 20 0 CHADLO COc1cccc2ncc(Nc3cccc4[nH+]c[nH]c43)cc21 ZINC001213029476 1132993167 /nfs/dbraw/zinc/99/31/67/1132993167.db2.gz MUVSXBKSKZKSLP-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO c1[nH]c2c(cccc2Nc2cccc3ncccc32)[nH+]1 ZINC001213030610 1132993795 /nfs/dbraw/zinc/99/37/95/1132993795.db2.gz IQBQWCGVLUIXRS-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO c1[nH]c2c(cccc2Nc2cccc3cnccc32)[nH+]1 ZINC001213030530 1132994892 /nfs/dbraw/zinc/99/48/92/1132994892.db2.gz DHJSZXIFSNONQO-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO Fc1cc(Nc2cccc3[nH+]c[nH]c32)c(F)c(F)c1F ZINC001213032178 1132999340 /nfs/dbraw/zinc/99/93/40/1132999340.db2.gz WPRUMUZFWNDSGW-UHFFFAOYSA-N 1 2 281.212 3.863 20 0 CHADLO Oc1cccc(Nc2cccc3[nH+]c[nH]c32)c1Cl ZINC001213032576 1133000359 /nfs/dbraw/zinc/00/03/59/1133000359.db2.gz NAANEXQEXDSYMC-UHFFFAOYSA-N 1 2 259.696 3.666 20 0 CHADLO CCOC(=O)c1ccc(F)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032689 1133000737 /nfs/dbraw/zinc/00/07/37/1133000737.db2.gz SPVAGXCEYOMBLL-UHFFFAOYSA-N 1 2 299.305 3.622 20 0 CHADLO COc1ccc(F)c(F)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213032678 1133000984 /nfs/dbraw/zinc/00/09/84/1133000984.db2.gz SIMOSSFQLMQUHL-UHFFFAOYSA-N 1 2 275.258 3.593 20 0 CHADLO O[C@H]1CCCc2ccc(Nc3cccc4[nH+]c[nH]c43)cc21 ZINC001213033510 1133004963 /nfs/dbraw/zinc/00/49/63/1133004963.db2.gz KJTVZOMNMIHZDD-INIZCTEOSA-N 1 2 279.343 3.676 20 0 CHADLO Cc1cc2ncnc(NCc3c[nH+]cn3C(C)C)c2cc1C ZINC001161569372 1133006588 /nfs/dbraw/zinc/00/65/88/1133006588.db2.gz IHLLVNWASUKZFI-UHFFFAOYSA-N 1 2 295.390 3.636 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2ccc(F)cc2n1 ZINC001161568783 1133006649 /nfs/dbraw/zinc/00/66/49/1133006649.db2.gz BTEUVDALHMDEPO-UHFFFAOYSA-N 1 2 284.338 3.763 20 0 CHADLO Cc1cc(NCc2ccccc2C)[nH+]c2cc[nH]c21 ZINC001161623480 1133010879 /nfs/dbraw/zinc/01/08/79/1133010879.db2.gz BQNXYRAXMAQDRQ-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO CC(C)(C)CNc1c(Br)ccc2[nH+]ccn21 ZINC001161642124 1133012584 /nfs/dbraw/zinc/01/25/84/1133012584.db2.gz ICMOQBYOSOIULG-UHFFFAOYSA-N 1 2 282.185 3.555 20 0 CHADLO COc1ccc(NCCCn2cc[nH+]c2)cc1C(C)C ZINC001161689272 1133015724 /nfs/dbraw/zinc/01/57/24/1133015724.db2.gz MHHNWUHXVLLXGC-UHFFFAOYSA-N 1 2 273.380 3.517 20 0 CHADLO COc1c(Nc2cccc3[nH+]c[nH]c32)ccc(F)c1F ZINC001213034686 1133015925 /nfs/dbraw/zinc/01/59/25/1133015925.db2.gz XRQIJGZTVKCKJW-UHFFFAOYSA-N 1 2 275.258 3.593 20 0 CHADLO c1cn(CCCNc2cccnc2Oc2ccccc2)c[nH+]1 ZINC001161684849 1133016170 /nfs/dbraw/zinc/01/61/70/1133016170.db2.gz SRPYEFNOASJVAH-UHFFFAOYSA-N 1 2 294.358 3.573 20 0 CHADLO Cc1cccc2c(C)cc(N[C@H]3CCO[C@@H](C)C3)[nH+]c12 ZINC001161687485 1133016726 /nfs/dbraw/zinc/01/67/26/1133016726.db2.gz WABHVPXYSURNLK-KBPBESRZSA-N 1 2 270.376 3.831 20 0 CHADLO COC[C@@H](Nc1cc(C)c2cccc(C)c2[nH+]1)C1CC1 ZINC001161719707 1133020229 /nfs/dbraw/zinc/02/02/29/1133020229.db2.gz BSDXDMNARWAXLO-OAHLLOKOSA-N 1 2 270.376 3.689 20 0 CHADLO COC[C@@H](Nc1cc(-c2ccccc2)cc[nH+]1)C1CC1 ZINC001161721636 1133020278 /nfs/dbraw/zinc/02/02/78/1133020278.db2.gz YPKGXYAVZKFHGQ-MRXNPFEDSA-N 1 2 268.360 3.586 20 0 CHADLO COc1cc2ccc(N[C@@H]3CC=CCC3)[nH+]c2cc1OC ZINC001161751691 1133022786 /nfs/dbraw/zinc/02/27/86/1133022786.db2.gz GUJKDZBOXLEDCB-CYBMUJFWSA-N 1 2 284.359 3.773 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NC2Cc3ccccc3C2)c1 ZINC001161762865 1133025130 /nfs/dbraw/zinc/02/51/30/1133025130.db2.gz ROUBKNPOIGYRPE-UHFFFAOYSA-N 1 2 289.382 3.666 20 0 CHADLO CCSc1cc[nH+]c(NCc2cn(CC(C)C)cn2)c1 ZINC001161799557 1133030299 /nfs/dbraw/zinc/03/02/99/1133030299.db2.gz MLJRMWAFNOSTSU-UHFFFAOYSA-N 1 2 290.436 3.658 20 0 CHADLO Cc1cccnc1CNc1nc(C(C)(C)C)[nH+]c(C)c1C ZINC001161881114 1133037117 /nfs/dbraw/zinc/03/71/17/1133037117.db2.gz DQFCCKSJZVTUSV-UHFFFAOYSA-N 1 2 284.407 3.706 20 0 CHADLO Cc1cccnc1CNc1cc(C)c(C(F)(F)F)c[nH+]1 ZINC001161880770 1133037279 /nfs/dbraw/zinc/03/72/79/1133037279.db2.gz JSUBDQAAPIWXGM-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO CNc1ccc(N[C@H](c2ccccc2)C2CC2)c[nH+]1 ZINC001161958136 1133042576 /nfs/dbraw/zinc/04/25/76/1133042576.db2.gz JTCCTYGNSRZTRV-MRXNPFEDSA-N 1 2 253.349 3.687 20 0 CHADLO COCCOc1cc(N[C@H](c2ccccc2)C2CC2)cc[nH+]1 ZINC001161963164 1133043750 /nfs/dbraw/zinc/04/37/50/1133043750.db2.gz VYCOYQMYAQUPQS-GOSISDBHSA-N 1 2 298.386 3.670 20 0 CHADLO Cc1ncc(CNc2cc3c4c(c2)CCC[NH+]4CCC3)s1 ZINC001162161762 1133055587 /nfs/dbraw/zinc/05/55/87/1133055587.db2.gz MVDRFBWJYHWSGL-UHFFFAOYSA-N 1 2 299.443 3.762 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NC2CC(F)C2)c1 ZINC001162217219 1133060460 /nfs/dbraw/zinc/06/04/60/1133060460.db2.gz NOEJGRGRNLOQFZ-UHFFFAOYSA-N 1 2 250.361 3.754 20 0 CHADLO Cc1cc(N(C)CC2CCCC2)nc(C2CCC2)[nH+]1 ZINC001162285978 1133066991 /nfs/dbraw/zinc/06/69/91/1133066991.db2.gz OIYHYCVWMNENAX-UHFFFAOYSA-N 1 2 259.397 3.679 20 0 CHADLO COc1ccc2cc(NC(C)(C)C3CC3)[nH+]cc2c1 ZINC001162514992 1133083858 /nfs/dbraw/zinc/08/38/58/1133083858.db2.gz VTYNVEVBDSPESK-UHFFFAOYSA-N 1 2 256.349 3.844 20 0 CHADLO Nc1[nH+]c(NC[C@H]2CCCc3ccccc32)ccc1Cl ZINC001162556180 1133091427 /nfs/dbraw/zinc/09/14/27/1133091427.db2.gz ZLMGIHDXSIHICJ-GFCCVEGCSA-N 1 2 287.794 3.849 20 0 CHADLO Cc1nc(NCCCCC(C)C)c2c([nH+]1)CCCC2 ZINC001162584927 1133092937 /nfs/dbraw/zinc/09/29/37/1133092937.db2.gz SSXSQUIYTMXHLE-UHFFFAOYSA-N 1 2 261.413 3.902 20 0 CHADLO FC1(F)CC[C@@H](CNc2ccc(Cn3cc[nH+]c3)cc2)C1 ZINC001162651246 1133099899 /nfs/dbraw/zinc/09/98/99/1133099899.db2.gz XCOXEGCUYCNAOZ-CQSZACIVSA-N 1 2 291.345 3.779 20 0 CHADLO CSc1cc[nH+]c(N[C@@H]2CCc3sccc32)c1 ZINC001162765045 1133109616 /nfs/dbraw/zinc/10/96/16/1133109616.db2.gz HDGDOCJMSZHVTJ-LLVKDONJSA-N 1 2 262.403 3.964 20 0 CHADLO Cc1cccc(C)c1CCNc1nc(C)[nH+]c2c1CCCC2 ZINC001162764050 1133109682 /nfs/dbraw/zinc/10/96/82/1133109682.db2.gz ZHMVNVFZKHKZAE-UHFFFAOYSA-N 1 2 295.430 3.935 20 0 CHADLO Cc1ccn2c(CNc3ccc(C4CC4)c[nH+]3)cnc2c1 ZINC001162821660 1133114319 /nfs/dbraw/zinc/11/43/19/1133114319.db2.gz BITXQCRGRGLZEK-UHFFFAOYSA-N 1 2 278.359 3.527 20 0 CHADLO Cc1nc(N2CCC(C)(c3ccccc3)CC2)c(C)c(C)[nH+]1 ZINC001162832511 1133116065 /nfs/dbraw/zinc/11/60/65/1133116065.db2.gz CGUBIPLDRPNXKI-UHFFFAOYSA-N 1 2 295.430 3.960 20 0 CHADLO CCc1cc(NCC23CCC(CC2)C3)nc(CC)[nH+]1 ZINC001162959487 1133124704 /nfs/dbraw/zinc/12/47/04/1133124704.db2.gz BZMSVUKOJCWBHU-UHFFFAOYSA-N 1 2 259.397 3.594 20 0 CHADLO COC(=O)[C@H](CNc1ccc(C(C)C)c[nH+]1)c1ccccc1 ZINC001162998483 1133125528 /nfs/dbraw/zinc/12/55/28/1133125528.db2.gz OHPJQNBIJYUUNI-MRXNPFEDSA-N 1 2 298.386 3.574 20 0 CHADLO Fc1ccc2cc(NCc3ccc4[nH+]ccn4c3)ncc2c1 ZINC001163140858 1133131375 /nfs/dbraw/zinc/13/13/75/1133131375.db2.gz OGMUTPWDYXFEEG-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO Cc1cc(N[C@@H](CO)CCC(C)(C)C)nc(C2CCC2)[nH+]1 ZINC001163151748 1133132095 /nfs/dbraw/zinc/13/20/95/1133132095.db2.gz POJKBBZZOKSROB-CQSZACIVSA-N 1 2 291.439 3.652 20 0 CHADLO CCSCc1ccc(Nc2[nH+]cnc3[nH]ccc32)cc1 ZINC001163320281 1133142142 /nfs/dbraw/zinc/14/21/42/1133142142.db2.gz WSAZZINXPPLOBB-UHFFFAOYSA-N 1 2 284.388 3.955 20 0 CHADLO Cc1nc(N[C@@H](c2cccc(N)n2)C(C)C)cc(C(C)C)[nH+]1 ZINC001163341899 1133143791 /nfs/dbraw/zinc/14/37/91/1133143791.db2.gz MBJCTRRETKYMCQ-QGZVFWFLSA-N 1 2 299.422 3.695 20 0 CHADLO Cc1cc(NC[C@@H]2CSc3ccccc32)nc(C2CC2)[nH+]1 ZINC001163372206 1133146177 /nfs/dbraw/zinc/14/61/77/1133146177.db2.gz GKRIRNRZRUFZRL-CYBMUJFWSA-N 1 2 297.427 3.964 20 0 CHADLO COCC[C@H](C)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001163507406 1133153954 /nfs/dbraw/zinc/15/39/54/1133153954.db2.gz GFHAWTJOSZFWGF-ZDUSSCGKSA-N 1 2 279.428 3.808 20 0 CHADLO CCOc1cccc(CCNc2cc3cc(C)[nH]c3c[nH+]2)c1 ZINC001163712668 1133167412 /nfs/dbraw/zinc/16/74/12/1133167412.db2.gz XFLZOBJJDQALAI-UHFFFAOYSA-N 1 2 295.386 3.925 20 0 CHADLO Cc1nc(N[C@@H](C(C)C)C2CC2)c2c([nH+]1)CCCC2 ZINC001163764533 1133172867 /nfs/dbraw/zinc/17/28/67/1133172867.db2.gz WFHXIPDGGYHCFE-HNNXBMFYSA-N 1 2 259.397 3.510 20 0 CHADLO CCCC(C)(C)C(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC001163770895 1133173912 /nfs/dbraw/zinc/17/39/12/1133173912.db2.gz XZKGSJKDVXMPJW-UHFFFAOYSA-N 1 2 297.468 3.750 20 0 CHADLO CC(C)c1ccc(N2CCC(=O)[C@@H]3CCCC[C@H]32)[nH+]c1 ZINC001163797629 1133176742 /nfs/dbraw/zinc/17/67/42/1133176742.db2.gz YFGUWNJUGIKRST-HUUCEWRRSA-N 1 2 272.392 3.543 20 0 CHADLO CSCCCNc1cc(C)c(C(F)(F)F)c[nH+]1 ZINC001163868678 1133180165 /nfs/dbraw/zinc/18/01/65/1133180165.db2.gz BPKOLJLSDUVJEM-UHFFFAOYSA-N 1 2 264.316 3.574 20 0 CHADLO COC1(C)CCN(c2cc(C)c3ccccc3[nH+]2)CC1 ZINC001163945565 1133185968 /nfs/dbraw/zinc/18/59/68/1133185968.db2.gz IUZHGXWBYVLBIM-UHFFFAOYSA-N 1 2 270.376 3.549 20 0 CHADLO Cc1cc2cc(NCc3cc4ccccc4n3C)[nH+]cc2[nH]1 ZINC001163932047 1133186183 /nfs/dbraw/zinc/18/61/83/1133186183.db2.gz MXKFDMYQQGEELA-UHFFFAOYSA-N 1 2 290.370 3.975 20 0 CHADLO CCCc1cc(N2CCc3ccccc3[C@H]2C)nc(C)[nH+]1 ZINC001163956363 1133188415 /nfs/dbraw/zinc/18/84/15/1133188415.db2.gz NYUVQGNVVFCFMF-CYBMUJFWSA-N 1 2 281.403 3.861 20 0 CHADLO CC1(Nc2cc[nH+]c3[nH]cc(C(F)(F)F)c32)CCC1 ZINC001164032807 1133194187 /nfs/dbraw/zinc/19/41/87/1133194187.db2.gz WNYFPMORHZCFAS-UHFFFAOYSA-N 1 2 269.270 3.936 20 0 CHADLO Cc1cc(NCC[C@@H]2CC[C@H]3C[C@H]32)nc(C2CCC2)[nH+]1 ZINC001164152342 1133201097 /nfs/dbraw/zinc/20/10/97/1133201097.db2.gz PLBXTQJCXRERTB-QEJZJMRPSA-N 1 2 271.408 3.901 20 0 CHADLO CNc1ccc(CNc2cc[nH+]c(OC(C)C)c2)cc1 ZINC001164151972 1133201324 /nfs/dbraw/zinc/20/13/24/1133201324.db2.gz CGWDWSBRNGYJCP-UHFFFAOYSA-N 1 2 271.364 3.523 20 0 CHADLO CNc1ccc(CNc2cccc(-c3c[nH+]cn3C)c2)cc1 ZINC001164155443 1133202755 /nfs/dbraw/zinc/20/27/55/1133202755.db2.gz GGGSLRSDKLQVGB-UHFFFAOYSA-N 1 2 292.386 3.741 20 0 CHADLO CNc1ccc(CNc2cc(C)[nH+]c(OC(F)F)c2)cc1 ZINC001164155754 1133202786 /nfs/dbraw/zinc/20/27/86/1133202786.db2.gz LJGIBAAQFBATMX-UHFFFAOYSA-N 1 2 293.317 3.645 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCCSCc1ccco1 ZINC001164230969 1133208208 /nfs/dbraw/zinc/20/82/08/1133208208.db2.gz HUULDWXGSXPPAA-UHFFFAOYSA-N 1 2 292.404 3.807 20 0 CHADLO c1ccn(CCNc2cc[nH+]c(OCc3ccccc3)c2)c1 ZINC001164446534 1133219271 /nfs/dbraw/zinc/21/92/71/1133219271.db2.gz CUUBTOLDKFJDGM-UHFFFAOYSA-N 1 2 293.370 3.574 20 0 CHADLO CCc1ccc(C[N@@H+]2CCN(Cc3ccccc3)C[C@@H]2C)o1 ZINC001204393047 1133226777 /nfs/dbraw/zinc/22/67/77/1133226777.db2.gz NJWYKIRTFFQZRF-INIZCTEOSA-N 1 2 298.430 3.548 20 0 CHADLO CCc1ccc(C[N@H+]2CCN(Cc3ccccc3)C[C@@H]2C)o1 ZINC001204393047 1133226782 /nfs/dbraw/zinc/22/67/82/1133226782.db2.gz NJWYKIRTFFQZRF-INIZCTEOSA-N 1 2 298.430 3.548 20 0 CHADLO Cc1cc(N2CCC3(C[C@@H]3CCO)CC2)[nH+]c2ccccc12 ZINC001165257902 1133233310 /nfs/dbraw/zinc/23/33/10/1133233310.db2.gz VODMBMMUJNIKQS-HNNXBMFYSA-N 1 2 296.414 3.532 20 0 CHADLO CCSc1cc[nH+]c(N2CCC3(CC(F)C3)CC2)c1 ZINC001165310764 1133239126 /nfs/dbraw/zinc/23/91/26/1133239126.db2.gz VBJZHGHYARDQPN-UHFFFAOYSA-N 1 2 280.412 3.912 20 0 CHADLO c1cc(CCCNc2cc(-c3ccncc3)cc[nH+]2)ccn1 ZINC001165485752 1133243215 /nfs/dbraw/zinc/24/32/15/1133243215.db2.gz ANEDCFYGNZPGEZ-UHFFFAOYSA-N 1 2 290.370 3.583 20 0 CHADLO Cc1cc(NCCCc2ccncc2)[nH+]cc1C(F)(F)F ZINC001165499569 1133243257 /nfs/dbraw/zinc/24/32/57/1133243257.db2.gz HMTMBSGUHWTZGG-UHFFFAOYSA-N 1 2 295.308 3.849 20 0 CHADLO Cc1[nH+]c(C(C)(C)C)nc(NCCCc2ccncc2)c1C ZINC001165525075 1133244358 /nfs/dbraw/zinc/24/43/58/1133244358.db2.gz PDQXSXOVSXEOFZ-UHFFFAOYSA-N 1 2 298.434 3.831 20 0 CHADLO Cc1cc(N2CC[C@@H](c3ccncc3)C2)[nH+]c2ccccc12 ZINC001166569865 1133271708 /nfs/dbraw/zinc/27/17/08/1133271708.db2.gz YYZXGRYSEHFQJV-MRXNPFEDSA-N 1 2 289.382 3.932 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCC[C@@H](C)[C@@H]2C)[nH+]1 ZINC001166612964 1133275993 /nfs/dbraw/zinc/27/59/93/1133275993.db2.gz RDWWAHGNDDEHFW-SKDRFNHKSA-N 1 2 252.789 3.977 20 0 CHADLO c1ccc(COC[C@@H]2CCN2c2cccc(C3CC3)[nH+]2)cc1 ZINC001166670998 1133280532 /nfs/dbraw/zinc/28/05/32/1133280532.db2.gz MAVCDRWFQXCKAD-KRWDZBQOSA-N 1 2 294.398 3.755 20 0 CHADLO Cc1nc(N2CCc3ccsc3C2)cc(C(C)C)[nH+]1 ZINC001166680738 1133281498 /nfs/dbraw/zinc/28/14/98/1133281498.db2.gz OJWQOCGWBPQUOB-UHFFFAOYSA-N 1 2 273.405 3.533 20 0 CHADLO CN(C)c1cc(Cl)[nH+]c(NCCc2ccsc2)c1 ZINC001166783966 1133287303 /nfs/dbraw/zinc/28/73/03/1133287303.db2.gz NJMAJMQCVHOKMM-UHFFFAOYSA-N 1 2 281.812 3.517 20 0 CHADLO Nc1[nH+]cccc1CSc1nc2cc(F)ccc2s1 ZINC001166811008 1133289259 /nfs/dbraw/zinc/28/92/59/1133289259.db2.gz IWOOVANBVFCGPD-UHFFFAOYSA-N 1 2 291.376 3.705 20 0 CHADLO CCc1cn(CCOc2ccc(C(F)(F)F)cc2)c[nH+]1 ZINC001166821995 1133290128 /nfs/dbraw/zinc/29/01/28/1133290128.db2.gz HGUNBRISWHMOBT-UHFFFAOYSA-N 1 2 284.281 3.543 20 0 CHADLO c1ccc(C2CC2)c(CNc2ccc3c([nH+]2)CCOC3)c1 ZINC001167012885 1133300090 /nfs/dbraw/zinc/30/00/90/1133300090.db2.gz PACPKKSOYXSCNH-UHFFFAOYSA-N 1 2 280.371 3.644 20 0 CHADLO Cc1ccc(Nc2nc3ccc(F)cc3o2)c(C)[nH+]1 ZINC001203362918 1133319075 /nfs/dbraw/zinc/31/90/75/1133319075.db2.gz ICUVGTIMRXAMLF-UHFFFAOYSA-N 1 2 257.268 3.722 20 0 CHADLO Cc1ccc(Nc2cnc3cccc(O)c3c2)c(C)[nH+]1 ZINC001203369245 1133319300 /nfs/dbraw/zinc/31/93/00/1133319300.db2.gz MONJTDAUJOWFIW-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1ccc(Nc2cc(F)cnc2Cl)c(C)[nH+]1 ZINC001203370242 1133319592 /nfs/dbraw/zinc/31/95/92/1133319592.db2.gz JHRBRJONKUILFH-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO CNc1ccc(Nc2ccc(C3CCOCC3)cc2)c[nH+]1 ZINC001203456805 1133329589 /nfs/dbraw/zinc/32/95/89/1133329589.db2.gz YCNXEQQTWFEWRZ-UHFFFAOYSA-N 1 2 283.375 3.761 20 0 CHADLO CNc1ccc(Nc2cnc3cc(F)c(F)cc3c2)c[nH+]1 ZINC001203452256 1133329782 /nfs/dbraw/zinc/32/97/82/1133329782.db2.gz LYQNOPMNNUTBIO-UHFFFAOYSA-N 1 2 286.285 3.693 20 0 CHADLO CNc1ccc(Nc2c(F)cccc2N2CCCC2)c[nH+]1 ZINC001203461855 1133331638 /nfs/dbraw/zinc/33/16/38/1133331638.db2.gz BKKDFRGSPWKVOH-UHFFFAOYSA-N 1 2 286.354 3.606 20 0 CHADLO Cc1ccc2[nH+]c(NCc3cccc(F)c3F)[nH]c2c1 ZINC001203478461 1133332550 /nfs/dbraw/zinc/33/25/50/1133332550.db2.gz AMIFJAGQOCYEQQ-UHFFFAOYSA-N 1 2 273.286 3.762 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncccc2OC(F)(F)F)c1 ZINC001203656915 1133348948 /nfs/dbraw/zinc/34/89/48/1133348948.db2.gz CNFRCZYTWMNALK-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccnc(F)c2Br)c1 ZINC001203656958 1133349385 /nfs/dbraw/zinc/34/93/85/1133349385.db2.gz FSXXKFQYICBMKJ-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO COC(=O)c1ccc(Nc2cc(C)c[nH+]c2C)cc1Cl ZINC001203660138 1133349527 /nfs/dbraw/zinc/34/95/27/1133349527.db2.gz FEJCMPWDXPQDBH-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(OC(F)(F)F)nc2)c1 ZINC001203658064 1133349796 /nfs/dbraw/zinc/34/97/96/1133349796.db2.gz VSRUQGNMAWYAAI-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cncc(N3CCCCC3)c2)c1 ZINC001203658122 1133349878 /nfs/dbraw/zinc/34/98/78/1133349878.db2.gz XFMUGKPXHORMHW-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO COC(=O)c1cc(Nc2cc(C)c[nH+]c2C)ccc1Cl ZINC001203663181 1133350210 /nfs/dbraw/zinc/35/02/10/1133350210.db2.gz BJJWNHARZAMJKX-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(N3CCOCC3)c(C)c2)c1 ZINC001203663352 1133351116 /nfs/dbraw/zinc/35/11/16/1133351116.db2.gz NURUZHPZQXSQOP-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(N)cc(F)cc2Cl)c1 ZINC001203667153 1133351805 /nfs/dbraw/zinc/35/18/05/1133351805.db2.gz JFKIAUDQHYTZGO-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO CCOC(=O)CCc1ccc(Nc2cc(C)c[nH+]c2C)cc1 ZINC001203667550 1133352406 /nfs/dbraw/zinc/35/24/06/1133352406.db2.gz NSDOEEMBXROZKU-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)[C@H](O)CCC3)c1 ZINC001203667558 1133352435 /nfs/dbraw/zinc/35/24/35/1133352435.db2.gz OFEIHDBXOGYNCA-QGZVFWFLSA-N 1 2 268.360 3.812 20 0 CHADLO Oc1cc(F)cnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203717637 1133359496 /nfs/dbraw/zinc/35/94/96/1133359496.db2.gz IXGJRSMEQPGOQA-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc(Cl)cc2)C[C@H]1F ZINC001203919236 1133384216 /nfs/dbraw/zinc/38/42/16/1133384216.db2.gz RSPAYDBJLAZRCP-CHWSQXEVSA-N 1 2 259.727 3.612 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc(Cl)cc2)C[C@H]1F ZINC001203919236 1133384209 /nfs/dbraw/zinc/38/42/09/1133384209.db2.gz RSPAYDBJLAZRCP-CHWSQXEVSA-N 1 2 259.727 3.612 20 0 CHADLO CCOC1C[NH+](Cc2ccc(Oc3ccccc3)cc2)C1 ZINC001203941836 1133387645 /nfs/dbraw/zinc/38/76/45/1133387645.db2.gz QHZSOVHXGNZTFV-UHFFFAOYSA-N 1 2 283.371 3.700 20 0 CHADLO CCCCOc1ccc(C[NH+]2CC3(C2)CCCCO3)cc1 ZINC001203973136 1133391594 /nfs/dbraw/zinc/39/15/94/1133391594.db2.gz REJNMHHVQKIKLA-UHFFFAOYSA-N 1 2 289.419 3.620 20 0 CHADLO COc1ccc(C[N@@H+]2C[C@@H](F)C[C@H]2CF)c2ccccc12 ZINC001203992642 1133395186 /nfs/dbraw/zinc/39/51/86/1133395186.db2.gz NTIRLUXBBUZPIJ-KBPBESRZSA-N 1 2 291.341 3.730 20 0 CHADLO COc1ccc(C[N@H+]2C[C@@H](F)C[C@H]2CF)c2ccccc12 ZINC001203992642 1133395190 /nfs/dbraw/zinc/39/51/90/1133395190.db2.gz NTIRLUXBBUZPIJ-KBPBESRZSA-N 1 2 291.341 3.730 20 0 CHADLO CSc1ccc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)cc1 ZINC001204138353 1133407853 /nfs/dbraw/zinc/40/78/53/1133407853.db2.gz HKHDTTUTQBEOBM-ZIAGYGMSSA-N 1 2 271.376 3.681 20 0 CHADLO CSc1ccc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)cc1 ZINC001204138353 1133407850 /nfs/dbraw/zinc/40/78/50/1133407850.db2.gz HKHDTTUTQBEOBM-ZIAGYGMSSA-N 1 2 271.376 3.681 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1ccc(F)cc1F)C2 ZINC001204207817 1133419054 /nfs/dbraw/zinc/41/90/54/1133419054.db2.gz MCCPSVSPVAKPCD-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1ccc(F)cc1F)C2 ZINC001204207817 1133419059 /nfs/dbraw/zinc/41/90/59/1133419059.db2.gz MCCPSVSPVAKPCD-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCOC[C@H]2C2CCCCC2)c1 ZINC001204221627 1133420871 /nfs/dbraw/zinc/42/08/71/1133420871.db2.gz YYUUIPUOQQEAQN-KRWDZBQOSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCOC[C@H]2C2CCCCC2)c1 ZINC001204221627 1133420874 /nfs/dbraw/zinc/42/08/74/1133420874.db2.gz YYUUIPUOQQEAQN-KRWDZBQOSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)cc1F ZINC001204226638 1133420950 /nfs/dbraw/zinc/42/09/50/1133420950.db2.gz IFPQQGUMJDWNQS-QGZVFWFLSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)cc1F ZINC001204226638 1133420952 /nfs/dbraw/zinc/42/09/52/1133420952.db2.gz IFPQQGUMJDWNQS-QGZVFWFLSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc(F)c([C@@H]2CCC[N@@H+]2Cc2ccoc2)c1 ZINC001204242871 1133424468 /nfs/dbraw/zinc/42/44/68/1133424468.db2.gz CYFVHPODVIMXKY-HNNXBMFYSA-N 1 2 263.287 3.895 20 0 CHADLO Fc1ccc(F)c([C@@H]2CCC[N@H+]2Cc2ccoc2)c1 ZINC001204242871 1133424473 /nfs/dbraw/zinc/42/44/73/1133424473.db2.gz CYFVHPODVIMXKY-HNNXBMFYSA-N 1 2 263.287 3.895 20 0 CHADLO CC1(C)C[NH+](Cc2c(F)cccc2C(F)(F)F)C1 ZINC001204457301 1133450540 /nfs/dbraw/zinc/45/05/40/1133450540.db2.gz UFIKIESFRMEWMR-UHFFFAOYSA-N 1 2 261.262 3.686 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc3ccccc3n2)C[C@H]1F ZINC001204500049 1133455547 /nfs/dbraw/zinc/45/55/47/1133455547.db2.gz SIGOHVDJSSGEMC-HZPDHXFCSA-N 1 2 276.330 3.507 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc3ccccc3n2)C[C@H]1F ZINC001204500049 1133455550 /nfs/dbraw/zinc/45/55/50/1133455550.db2.gz SIGOHVDJSSGEMC-HZPDHXFCSA-N 1 2 276.330 3.507 20 0 CHADLO Fc1ccc(Br)c(C[NH+]2CC3(CCC3)C2)c1 ZINC001204537280 1133459736 /nfs/dbraw/zinc/45/97/36/1133459736.db2.gz FDGWAHSLYBTDTI-UHFFFAOYSA-N 1 2 284.172 3.574 20 0 CHADLO Fc1ccc(C2CC[NH+](Cc3nccs3)CC2)c(F)c1 ZINC001204548945 1133460845 /nfs/dbraw/zinc/46/08/45/1133460845.db2.gz LSZZHDSEUYFTRK-UHFFFAOYSA-N 1 2 294.370 3.801 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)oc1C ZINC001204542609 1133461005 /nfs/dbraw/zinc/46/10/05/1133461005.db2.gz YNOUKKLAIZESLV-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(F)c(F)cc3C2)oc1C ZINC001204542609 1133461008 /nfs/dbraw/zinc/46/10/08/1133461008.db2.gz YNOUKKLAIZESLV-UHFFFAOYSA-N 1 2 277.314 3.733 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cccc(F)c2F)ccc1F ZINC001204560499 1133462873 /nfs/dbraw/zinc/46/28/73/1133462873.db2.gz BZCQXFNLXMYJCS-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cccc(F)c2F)ccc1F ZINC001204560499 1133462875 /nfs/dbraw/zinc/46/28/75/1133462875.db2.gz BZCQXFNLXMYJCS-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO Clc1cnc(Nc2ccn3cc[nH+]c3c2)c(Cl)c1 ZINC001204951422 1133507296 /nfs/dbraw/zinc/50/72/96/1133507296.db2.gz ROKDENRNWCDIJB-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO COc1cccc2ncc(Nc3ccn4cc[nH+]c4c3)cc21 ZINC001204966792 1133509379 /nfs/dbraw/zinc/50/93/79/1133509379.db2.gz XMCISKKFGKWCMW-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO c1cn2ccc(Nc3cncc(-c4ccccn4)c3)cc2[nH+]1 ZINC001204972000 1133510504 /nfs/dbraw/zinc/51/05/04/1133510504.db2.gz SRHCHBCHMPOURD-UHFFFAOYSA-N 1 2 287.326 3.535 20 0 CHADLO FC(F)(F)Oc1ccccc1Nc1ccn2cc[nH+]c2c1 ZINC001204971783 1133510758 /nfs/dbraw/zinc/51/07/58/1133510758.db2.gz PUQQUAIPWPKTDY-UHFFFAOYSA-N 1 2 293.248 3.977 20 0 CHADLO Fc1cc(Cl)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001204977719 1133511114 /nfs/dbraw/zinc/51/11/14/1133511114.db2.gz JLNVKWFENYBXJM-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO Oc1ccc2cccc(Nc3ccn4cc[nH+]c4c3)c2c1 ZINC001204983349 1133512562 /nfs/dbraw/zinc/51/25/62/1133512562.db2.gz SQFLEGLKMIIKMK-UHFFFAOYSA-N 1 2 275.311 3.937 20 0 CHADLO Oc1ccc2ccccc2c1Nc1ccn2cc[nH+]c2c1 ZINC001204983698 1133512593 /nfs/dbraw/zinc/51/25/93/1133512593.db2.gz ZURSMIADZFSDDC-UHFFFAOYSA-N 1 2 275.311 3.937 20 0 CHADLO COc1cc(C)[nH+]cc1-c1c(F)cc(F)c(F)c1F ZINC001205180516 1133541848 /nfs/dbraw/zinc/54/18/48/1133541848.db2.gz REUNJYFFCRZOOS-UHFFFAOYSA-N 1 2 271.213 3.622 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc(Cl)s2)cc1F ZINC001205205877 1133546278 /nfs/dbraw/zinc/54/62/78/1133546278.db2.gz LPZXQNVDPJKOKD-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc(Cl)s2)cc1F ZINC001205205877 1133546284 /nfs/dbraw/zinc/54/62/84/1133546284.db2.gz LPZXQNVDPJKOKD-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Fc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)c2c[nH]nc21 ZINC001205342215 1133565982 /nfs/dbraw/zinc/56/59/82/1133565982.db2.gz NCTCVIYQROPWLC-UHFFFAOYSA-N 1 2 278.290 3.555 20 0 CHADLO Cc1ccc(F)c(C[N@H+](C)Cc2ccccc2F)c1 ZINC001205647110 1133598121 /nfs/dbraw/zinc/59/81/21/1133598121.db2.gz FBKFVNHUZBXBRL-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1ccc(F)c(C[N@@H+](C)Cc2ccccc2F)c1 ZINC001205647110 1133598127 /nfs/dbraw/zinc/59/81/27/1133598127.db2.gz FBKFVNHUZBXBRL-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO CCCc1cc[nH+]c(Nc2cnc3c(ccn3C)c2)c1 ZINC001205724057 1133606152 /nfs/dbraw/zinc/60/61/52/1133606152.db2.gz XFXRXVAOLGTMDG-UHFFFAOYSA-N 1 2 266.348 3.664 20 0 CHADLO Cc1c[nH]c2ncc(Nc3cc(Cl)c4[nH+]ccn4c3)cc12 ZINC001206033064 1133645360 /nfs/dbraw/zinc/64/53/60/1133645360.db2.gz GHBFOKQCPURKQL-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO Cc1c[nH]c2ncc(Nc3ccc4c(c3)[nH+]cn4C)cc12 ZINC001206048870 1133648532 /nfs/dbraw/zinc/64/85/32/1133648532.db2.gz DHYUKDLQEGNBAW-UHFFFAOYSA-N 1 2 277.331 3.502 20 0 CHADLO CCCCOc1ccc(-c2cc[nH+]c3c2CCN3)c(F)c1 ZINC001206124586 1133661001 /nfs/dbraw/zinc/66/10/01/1133661001.db2.gz LRHNPIKVLYQWMJ-UHFFFAOYSA-N 1 2 286.350 3.677 20 0 CHADLO CC(C)Oc1cc(-c2c[nH+]c3c(c2)CCCN3)ccc1F ZINC001206196556 1133669898 /nfs/dbraw/zinc/66/98/98/1133669898.db2.gz XZYXDOSOSDNJQR-UHFFFAOYSA-N 1 2 286.350 3.676 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cc(C)cc(O)c1 ZINC001206261993 1133678358 /nfs/dbraw/zinc/67/83/58/1133678358.db2.gz LKCWTHFVLWLQCX-UHFFFAOYSA-N 1 2 280.327 3.562 20 0 CHADLO CC[C@@H]1C[N@@H+](C/C=C/c2ccc(F)cc2F)C[C@H](CC)O1 ZINC001206351418 1133693889 /nfs/dbraw/zinc/69/38/89/1133693889.db2.gz VCLPTWLAJQPWCB-AXHPFZFWSA-N 1 2 295.373 3.867 20 0 CHADLO CC[C@@H]1C[N@H+](C/C=C/c2ccc(F)cc2F)C[C@H](CC)O1 ZINC001206351418 1133693892 /nfs/dbraw/zinc/69/38/92/1133693892.db2.gz VCLPTWLAJQPWCB-AXHPFZFWSA-N 1 2 295.373 3.867 20 0 CHADLO CC(C)Oc1cc(-c2[nH+]ccc3c2ccn3C)c(F)cn1 ZINC001206604660 1133726593 /nfs/dbraw/zinc/72/65/93/1133726593.db2.gz QHTDMCFQTXTYSZ-UHFFFAOYSA-N 1 2 285.322 3.562 20 0 CHADLO C[C@H]1CC[C@H](Nc2c[nH+]cc3c2CCCC3)CS1 ZINC001206636974 1133730009 /nfs/dbraw/zinc/73/00/09/1133730009.db2.gz UMTNJIZWAMMSGU-AAEUAGOBSA-N 1 2 262.422 3.656 20 0 CHADLO c1ccc2c(c1)CC[C@H](Nc1c[nH+]cc3c1CCCC3)C2 ZINC001206637066 1133730635 /nfs/dbraw/zinc/73/06/35/1133730635.db2.gz VPJJDHBXEHAXRD-KRWDZBQOSA-N 1 2 278.399 3.930 20 0 CHADLO COc1ccc2oc(C[N@@H+]3Cc4ccc(O)cc4C3)cc2c1 ZINC001206720492 1133735295 /nfs/dbraw/zinc/73/52/95/1133735295.db2.gz IZCLXPVVDPFFCG-UHFFFAOYSA-N 1 2 295.338 3.663 20 0 CHADLO COc1ccc2oc(C[N@H+]3Cc4ccc(O)cc4C3)cc2c1 ZINC001206720492 1133735298 /nfs/dbraw/zinc/73/52/98/1133735298.db2.gz IZCLXPVVDPFFCG-UHFFFAOYSA-N 1 2 295.338 3.663 20 0 CHADLO C[C@H]1C[C@@H]1CNc1ccc(Nc2ccccc2)c[nH+]1 ZINC001206726949 1133737060 /nfs/dbraw/zinc/73/70/60/1133737060.db2.gz DQKXKWAMKOWRGA-QWHCGFSZSA-N 1 2 253.349 3.893 20 0 CHADLO COc1cc(C)ccc1C[N@H+](C)Cc1nc2ccccc2o1 ZINC001206770612 1133745818 /nfs/dbraw/zinc/74/58/18/1133745818.db2.gz SJWONDJHFGXXFU-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1cc(C)ccc1C[N@@H+](C)Cc1nc2ccccc2o1 ZINC001206770612 1133745825 /nfs/dbraw/zinc/74/58/25/1133745825.db2.gz SJWONDJHFGXXFU-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO C[N@H+](CCc1ccccc1Cl)Cc1c(F)cncc1F ZINC001206862590 1133757517 /nfs/dbraw/zinc/75/75/17/1133757517.db2.gz MYCQKFCLLKHWLV-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1ccccc1Cl)Cc1c(F)cncc1F ZINC001206862590 1133757521 /nfs/dbraw/zinc/75/75/21/1133757521.db2.gz MYCQKFCLLKHWLV-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2cc(F)cc(F)c2)nc1 ZINC001207045440 1133761137 /nfs/dbraw/zinc/76/11/37/1133761137.db2.gz VUICVMQOIMTDEI-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2cc(F)cc(F)c2)nc1 ZINC001207045440 1133761140 /nfs/dbraw/zinc/76/11/40/1133761140.db2.gz VUICVMQOIMTDEI-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Cc1cccc(CC[N@H+]2CC[C@@H](C)C(F)(F)C2)c1 ZINC001207533140 1133815249 /nfs/dbraw/zinc/81/52/49/1133815249.db2.gz QHUFGTQJUCREOL-CYBMUJFWSA-N 1 2 253.336 3.515 20 0 CHADLO Cc1cccc(CC[N@@H+]2CC[C@@H](C)C(F)(F)C2)c1 ZINC001207533140 1133815259 /nfs/dbraw/zinc/81/52/59/1133815259.db2.gz QHUFGTQJUCREOL-CYBMUJFWSA-N 1 2 253.336 3.515 20 0 CHADLO C[C@@H](C[N@@H+]1CCC[C@@H](F)C(=O)C1)c1cccc2ccccc21 ZINC001208707869 1133933381 /nfs/dbraw/zinc/93/33/81/1133933381.db2.gz UUHYJLIVCPJASJ-KBXCAEBGSA-N 1 2 299.389 3.946 20 0 CHADLO C[C@@H](C[N@H+]1CCC[C@@H](F)C(=O)C1)c1cccc2ccccc21 ZINC001208707869 1133933384 /nfs/dbraw/zinc/93/33/84/1133933384.db2.gz UUHYJLIVCPJASJ-KBXCAEBGSA-N 1 2 299.389 3.946 20 0 CHADLO F[C@@H]1C[N@@H+](CCCc2ccc(Cl)c(Cl)c2)C[C@@H]1F ZINC001208833059 1133948555 /nfs/dbraw/zinc/94/85/55/1133948555.db2.gz JZWBUCHGLKBQOZ-BETUJISGSA-N 1 2 294.172 3.918 20 0 CHADLO F[C@@H]1C[N@H+](CCCc2ccc(Cl)c(Cl)c2)C[C@@H]1F ZINC001208833059 1133948556 /nfs/dbraw/zinc/94/85/56/1133948556.db2.gz JZWBUCHGLKBQOZ-BETUJISGSA-N 1 2 294.172 3.918 20 0 CHADLO COCCCC[N@@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001209223778 1133991041 /nfs/dbraw/zinc/99/10/41/1133991041.db2.gz NNIJHKWUBCYALA-OAHLLOKOSA-N 1 2 283.362 3.538 20 0 CHADLO COCCCC[N@H+]1CC[C@H](c2ccccc2)C(F)(F)C1 ZINC001209223778 1133991047 /nfs/dbraw/zinc/99/10/47/1133991047.db2.gz NNIJHKWUBCYALA-OAHLLOKOSA-N 1 2 283.362 3.538 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1CCc1ccccc1C(F)(F)F ZINC001209282987 1134001400 /nfs/dbraw/zinc/00/14/00/1134001400.db2.gz KMAZONQSFVGIJM-OAHLLOKOSA-N 1 2 299.336 3.691 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1CCc1ccccc1C(F)(F)F ZINC001209282987 1134001405 /nfs/dbraw/zinc/00/14/05/1134001405.db2.gz KMAZONQSFVGIJM-OAHLLOKOSA-N 1 2 299.336 3.691 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@H+]1Cc2nc(-c3ccccc3)sc2C1 ZINC001209425329 1134014297 /nfs/dbraw/zinc/01/42/97/1134014297.db2.gz FBYRUUZJIHEWJH-DGCLKSJQSA-N 1 2 270.401 3.782 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@@H+]1Cc2nc(-c3ccccc3)sc2C1 ZINC001209425329 1134014300 /nfs/dbraw/zinc/01/43/00/1134014300.db2.gz FBYRUUZJIHEWJH-DGCLKSJQSA-N 1 2 270.401 3.782 20 0 CHADLO FC(F)[C@H]1CCC[N@H+](Cc2n[nH]c3ccc(Cl)cc32)C1 ZINC001209755443 1134059286 /nfs/dbraw/zinc/05/92/86/1134059286.db2.gz KXFYFPORKNGHHQ-VIFPVBQESA-N 1 2 299.752 3.693 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+](Cc2n[nH]c3ccc(Cl)cc32)C1 ZINC001209755443 1134059291 /nfs/dbraw/zinc/05/92/91/1134059291.db2.gz KXFYFPORKNGHHQ-VIFPVBQESA-N 1 2 299.752 3.693 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(OC)c1Cl ZINC001209801510 1134068553 /nfs/dbraw/zinc/06/85/53/1134068553.db2.gz NZNHMCSWEHMSRF-UHFFFAOYSA-N 1 2 282.702 3.635 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(OC(C)C)cc1F ZINC001209837774 1134074522 /nfs/dbraw/zinc/07/45/22/1134074522.db2.gz QSKNCJRLWPWXIM-UHFFFAOYSA-N 1 2 299.349 3.842 20 0 CHADLO Oc1cccc2sc(Nc3ccc4[nH]c[nH+]c4c3)nc21 ZINC001209841522 1134079173 /nfs/dbraw/zinc/07/91/73/1134079173.db2.gz SZUHVDBTBAEJLC-UHFFFAOYSA-N 1 2 282.328 3.622 20 0 CHADLO c1[nH]c2ccc(Nc3cc4ccccc4cn3)cc2[nH+]1 ZINC001209842978 1134079555 /nfs/dbraw/zinc/07/95/55/1134079555.db2.gz SOASNVCSQWOZIW-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(F)c(CO)c2)cc1 ZINC001209880759 1134093679 /nfs/dbraw/zinc/09/36/79/1134093679.db2.gz TZSZEFCLVGZASQ-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(F)c(CO)c2)cc1 ZINC001209880759 1134093681 /nfs/dbraw/zinc/09/36/81/1134093681.db2.gz TZSZEFCLVGZASQ-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO CN(C)c1ccccc1Nc1[nH+]cccc1C1CC1 ZINC001209991401 1134118724 /nfs/dbraw/zinc/11/87/24/1134118724.db2.gz LGPDOBYWHFYZHO-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)cc(C)c1F ZINC001210024727 1134125913 /nfs/dbraw/zinc/12/59/13/1134125913.db2.gz WSOBWGCXGLVLFN-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO Oc1cc(F)ccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001210016241 1134123852 /nfs/dbraw/zinc/12/38/52/1134123852.db2.gz ZHXSTQQPMJSKPT-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)C(=O)CC3)ccc1[NH+](C)C ZINC001210041300 1134131085 /nfs/dbraw/zinc/13/10/85/1134131085.db2.gz KQGFQWRFQDSRQL-UHFFFAOYSA-N 1 2 280.371 3.934 20 0 CHADLO Cc1cc(Nc2cccc(-n3cc[nH+]c3)c2)ccc1N(C)C ZINC001210040851 1134131515 /nfs/dbraw/zinc/13/15/15/1134131515.db2.gz DEDIAZDSLVUCLV-UHFFFAOYSA-N 1 2 292.386 3.990 20 0 CHADLO c1cn2c(cccc2Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210043438 1134131832 /nfs/dbraw/zinc/13/18/32/1134131832.db2.gz DQSRVMUOOYAOQU-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO CC(=O)N(C)c1ccc(Nc2ccc([NH+](C)C)c(C)c2)cc1 ZINC001210041753 1134132333 /nfs/dbraw/zinc/13/23/33/1134132333.db2.gz RXMLLTVOZZYPHK-UHFFFAOYSA-N 1 2 297.402 3.787 20 0 CHADLO Cc1cc(-n2ccc3ccc(N)cc32)ccc1[NH+](C)C ZINC001210041720 1134132378 /nfs/dbraw/zinc/13/23/78/1134132378.db2.gz RLSGRTNMXWEMFK-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO Cc1cc(Nc2ccc([C@H](C)O)cc2)ccc1[NH+](C)C ZINC001210042038 1134132534 /nfs/dbraw/zinc/13/25/34/1134132534.db2.gz UVLQNHPXNYPXEK-ZDUSSCGKSA-N 1 2 270.376 3.858 20 0 CHADLO c1cn2c(cccc2Nc2ccc3cccnc3c2)[nH+]1 ZINC001210053575 1134136664 /nfs/dbraw/zinc/13/66/64/1134136664.db2.gz JSPBAMCIMKMGHK-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1cn2cccc(Nc3ccc(F)c(F)c3C)c2[nH+]1 ZINC001210130968 1134153811 /nfs/dbraw/zinc/15/38/11/1134153811.db2.gz XAUBNEUFJHGLIR-UHFFFAOYSA-N 1 2 273.286 3.973 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(Cl)c1O ZINC001210215356 1134168539 /nfs/dbraw/zinc/16/85/39/1134168539.db2.gz FIYCHQLGLXBKKG-UHFFFAOYSA-N 1 2 264.712 3.583 20 0 CHADLO CCOC(=O)c1ccc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001210224324 1134171552 /nfs/dbraw/zinc/17/15/52/1134171552.db2.gz KCGNXVHTAUDJGC-UHFFFAOYSA-N 1 2 293.326 3.550 20 0 CHADLO COC(=O)c1cscc1Nc1cccc2cc[nH+]cc21 ZINC001210224163 1134171735 /nfs/dbraw/zinc/17/17/35/1134171735.db2.gz RKDFRJRIWMNLEO-UHFFFAOYSA-N 1 2 284.340 3.827 20 0 CHADLO c1cc2snnc2c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210232156 1134173610 /nfs/dbraw/zinc/17/36/10/1134173610.db2.gz HLXYCDPOFNRWGN-UHFFFAOYSA-N 1 2 278.340 3.983 20 0 CHADLO Oc1cc(Nc2cccc3cc[nH+]cc32)cc(F)c1F ZINC001210231332 1134173619 /nfs/dbraw/zinc/17/36/19/1134173619.db2.gz PMHZZIHUURYVFO-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO Cc1cc(Nc2cc(Cl)c3[nH+]ccn3c2)c(C)o1 ZINC001210280431 1134184748 /nfs/dbraw/zinc/18/47/48/1134184748.db2.gz PDKXQPCQTKQFCF-UHFFFAOYSA-N 1 2 261.712 3.941 20 0 CHADLO Cc1ccc2cccc(Nc3c[nH+]c(C)c(N)c3)c2n1 ZINC001210288827 1134186117 /nfs/dbraw/zinc/18/61/17/1134186117.db2.gz WUVNEHTYOSAFLU-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)ccc2C(F)(F)F)cc1N ZINC001210290362 1134186586 /nfs/dbraw/zinc/18/65/86/1134186586.db2.gz KHHFTQSDQLNJPS-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO COc1ccc(Nc2c[nH+]c(C)c(N)c2)cc1C(F)(F)F ZINC001210288847 1134187124 /nfs/dbraw/zinc/18/71/24/1134187124.db2.gz XHGBNXHKBVDWSS-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3c(cnn3C(C)C)c2)cc1N ZINC001210291058 1134187664 /nfs/dbraw/zinc/18/76/64/1134187664.db2.gz JEYPLUVTIJBUAN-UHFFFAOYSA-N 1 2 281.363 3.646 20 0 CHADLO CNc1ccc(C(F)(F)F)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210291296 1134188052 /nfs/dbraw/zinc/18/80/52/1134188052.db2.gz ZBWDPYGKLMUDJZ-UHFFFAOYSA-N 1 2 296.296 3.776 20 0 CHADLO Cc1cc(Oc2ccc(Nc3ccn(C)n3)cc2)cc[nH+]1 ZINC001210354773 1134198419 /nfs/dbraw/zinc/19/84/19/1134198419.db2.gz JOLWGFBOAWYLPO-UHFFFAOYSA-N 1 2 280.331 3.659 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc(F)c1OC ZINC001210399761 1134209462 /nfs/dbraw/zinc/20/94/62/1134209462.db2.gz ZALHHETVCFNJSH-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO COCOc1ccc(Nc2cccn3cc(C)[nH+]c23)c(C)c1 ZINC001210490821 1134227565 /nfs/dbraw/zinc/22/75/65/1134227565.db2.gz ZRNOUIDNHIMWGK-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO C[C@H](O)c1cccc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001210503531 1134232041 /nfs/dbraw/zinc/23/20/41/1134232041.db2.gz LYWKFGLQLOWCPQ-AWEZNQCLSA-N 1 2 297.402 3.869 20 0 CHADLO CCOc1cncc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001210663104 1134270300 /nfs/dbraw/zinc/27/03/00/1134270300.db2.gz RLVKBRUHLVASBI-UHFFFAOYSA-N 1 2 288.738 3.525 20 0 CHADLO CSc1cc(Nc2ccccc2-n2cccn2)cc[nH+]1 ZINC001210734749 1134286459 /nfs/dbraw/zinc/28/64/59/1134286459.db2.gz FKRKJTZJQJJMGW-UHFFFAOYSA-N 1 2 282.372 3.733 20 0 CHADLO COc1cc(Nc2ccc(Cl)cc2O)cc(C)[nH+]1 ZINC001210780897 1134295805 /nfs/dbraw/zinc/29/58/05/1134295805.db2.gz KDCVVBZCXHTLBI-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO COc1cc(Nc2ccc(-c3ncco3)cc2)cc(C)[nH+]1 ZINC001210784986 1134295956 /nfs/dbraw/zinc/29/59/56/1134295956.db2.gz WZIGUGUQJYJDBY-UHFFFAOYSA-N 1 2 281.315 3.797 20 0 CHADLO Oc1cc(Nc2cccc3[nH+]ccn32)cc(C(F)(F)F)c1 ZINC001210822282 1134305193 /nfs/dbraw/zinc/30/51/93/1134305193.db2.gz BWKDNAANHHYXFW-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO c1ccc(OC2CC2)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001210839034 1134308741 /nfs/dbraw/zinc/30/87/41/1134308741.db2.gz JMBXKOMCJDDOJN-UHFFFAOYSA-N 1 2 295.386 3.967 20 0 CHADLO CSc1ccc(Nc2[nH+]cc(C)cc2N)c(F)c1 ZINC001210869498 1134320180 /nfs/dbraw/zinc/32/01/80/1134320180.db2.gz IFVXWJIVBWENBV-UHFFFAOYSA-N 1 2 263.341 3.577 20 0 CHADLO COc1cc[nH+]c(Nc2cc(Cl)c(O)c(Cl)c2)c1 ZINC001210923406 1134328297 /nfs/dbraw/zinc/32/82/97/1134328297.db2.gz SHDIUNQHNKTVJP-UHFFFAOYSA-N 1 2 285.130 3.846 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)C(=O)CCC3)c[nH+]1 ZINC001210930284 1134329376 /nfs/dbraw/zinc/32/93/76/1134329376.db2.gz BJNKCICUIHBEAC-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCCC2)nc1 ZINC001211006350 1134347129 /nfs/dbraw/zinc/34/71/29/1134347129.db2.gz ODHNJJRNBNWXCG-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO COc1ccc2cc(Nc3ccc(C)c[nH+]3)ccc2n1 ZINC001211011899 1134348699 /nfs/dbraw/zinc/34/86/99/1134348699.db2.gz IEELEQHDHZRJCD-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c(F)cc2N)[nH+]c1 ZINC001211013203 1134348713 /nfs/dbraw/zinc/34/87/13/1134348713.db2.gz AUDCBYJAHPNNHA-UHFFFAOYSA-N 1 2 251.692 3.508 20 0 CHADLO CCOC(=O)Nc1ccc(Nc2ccc(C)c[nH+]2)cc1 ZINC001211012028 1134349001 /nfs/dbraw/zinc/34/90/01/1134349001.db2.gz PZRNNRSPMZZXOD-UHFFFAOYSA-N 1 2 271.320 3.702 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)[C@@H](O)CCC3)[nH+]c1 ZINC001211012582 1134349280 /nfs/dbraw/zinc/34/92/80/1134349280.db2.gz KFUQBXLABSCFPH-HNNXBMFYSA-N 1 2 254.333 3.503 20 0 CHADLO CCOc1cc(Nc2cnc(OC)c(Cl)c2)cc(C)[nH+]1 ZINC001211047006 1134355714 /nfs/dbraw/zinc/35/57/14/1134355714.db2.gz UWFULRRBQMXZFS-UHFFFAOYSA-N 1 2 293.754 3.589 20 0 CHADLO Cc1occc1Nc1cc(Br)c2[nH+]ccn2c1 ZINC001211240166 1134397161 /nfs/dbraw/zinc/39/71/61/1134397161.db2.gz YJZGSKSFZLPSSL-UHFFFAOYSA-N 1 2 292.136 3.742 20 0 CHADLO Cn1c[nH+]cc1Nc1cc(Cl)c(Cl)c(Cl)c1O ZINC001211253903 1134399964 /nfs/dbraw/zinc/39/99/64/1134399964.db2.gz NHRXVSIXUJPADO-UHFFFAOYSA-N 1 2 292.553 3.830 20 0 CHADLO Cc1ccc(-c2noc([C@](C)([NH3+])c3ccccc3)n2)c(C)c1 ZINC001211442758 1134417332 /nfs/dbraw/zinc/41/73/32/1134417332.db2.gz QASFDDFREFXYLP-GOSISDBHSA-N 1 2 293.370 3.576 20 0 CHADLO Cc1ccc(-c2noc([C@](C)([NH3+])c3ccccc3)n2)cc1C ZINC001211442509 1134417562 /nfs/dbraw/zinc/41/75/62/1134417562.db2.gz AVAWRONZRCIDLG-GOSISDBHSA-N 1 2 293.370 3.576 20 0 CHADLO Cc1[nH]c2ccc(-n3ccc4c3cc(N)cc4F)cc2[nH+]1 ZINC001213075272 1134422520 /nfs/dbraw/zinc/42/25/20/1134422520.db2.gz MKGCGQNSDBNCFS-UHFFFAOYSA-N 1 2 280.306 3.537 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1ccc2[nH]c(C)[nH+]c2c1 ZINC001213076226 1134422905 /nfs/dbraw/zinc/42/29/05/1134422905.db2.gz MDDYCNSFPSAKTG-UHFFFAOYSA-N 1 2 276.343 3.706 20 0 CHADLO CCc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001213086894 1134424585 /nfs/dbraw/zinc/42/45/85/1134424585.db2.gz FHOAXMNOBXCFKZ-UHFFFAOYSA-N 1 2 264.332 3.778 20 0 CHADLO Cc1cc(C)c(Nc2nc(F)c(F)c(Cl)c2F)c[nH+]1 ZINC001213510854 1134457672 /nfs/dbraw/zinc/45/76/72/1134457672.db2.gz KGGXYYYORHFTNW-UHFFFAOYSA-N 1 2 287.672 3.908 20 0 CHADLO Cc1cc(C)c(Nc2ccnc(Cl)c2F)c[nH+]1 ZINC001213508469 1134458206 /nfs/dbraw/zinc/45/82/06/1134458206.db2.gz TVGVQHCAYRXIHF-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Oc1c(F)cc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC001213379261 1134445406 /nfs/dbraw/zinc/44/54/06/1134445406.db2.gz JJTBNRXOZLYWTC-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cc(F)c(OC(C)C)c(F)c1 ZINC001213417396 1134446689 /nfs/dbraw/zinc/44/66/89/1134446689.db2.gz NQKYBTXFOHXZET-UHFFFAOYSA-N 1 2 294.301 3.905 20 0 CHADLO CN(C)c1ccc(Nc2c(F)cccc2C2CC2)c[nH+]1 ZINC001213445751 1134448883 /nfs/dbraw/zinc/44/88/83/1134448883.db2.gz LZVUQRYSCSGMTB-UHFFFAOYSA-N 1 2 271.339 3.908 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C2CC2)cc1F ZINC001213457139 1134450027 /nfs/dbraw/zinc/45/00/27/1134450027.db2.gz MMIDLSFIWLJFAH-UHFFFAOYSA-N 1 2 258.296 3.850 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnc2ccc(Cl)nn21 ZINC001213492115 1134453640 /nfs/dbraw/zinc/45/36/40/1134453640.db2.gz VJDFXDOVVYJRHX-UHFFFAOYSA-N 1 2 299.765 3.707 20 0 CHADLO CC(=O)c1cccnc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491173 1134453792 /nfs/dbraw/zinc/45/37/92/1134453792.db2.gz AOWDNZNFMCEFPW-UHFFFAOYSA-N 1 2 267.332 3.609 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(C3CC3)cnc2C)[nH+]1 ZINC001213489915 1134454021 /nfs/dbraw/zinc/45/40/21/1134454021.db2.gz WDQIJZCQZGRMRO-UHFFFAOYSA-N 1 2 278.359 3.967 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnn(C2CCOCC2)c1 ZINC001213491427 1134454035 /nfs/dbraw/zinc/45/40/35/1134454035.db2.gz JOLBVGICCKXRPA-UHFFFAOYSA-N 1 2 298.390 3.559 20 0 CHADLO Cc1nc(Cl)ncc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491504 1134454041 /nfs/dbraw/zinc/45/40/41/1134454041.db2.gz LUSKRSAAYQLHQB-UHFFFAOYSA-N 1 2 274.755 3.763 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccnc1-n1cccn1 ZINC001213489938 1134454102 /nfs/dbraw/zinc/45/41/02/1134454102.db2.gz XCQOUHVGGDEXFE-UHFFFAOYSA-N 1 2 291.358 3.592 20 0 CHADLO CCOc1ncc(Nc2cc(C3CC3)c[nH+]c2C)cc1F ZINC001213491599 1134454267 /nfs/dbraw/zinc/45/42/67/1134454267.db2.gz NWGHARBPVNIJBF-UHFFFAOYSA-N 1 2 287.338 3.944 20 0 CHADLO COc1ncc(Nc2cc(C3CC3)c[nH+]c2C)cc1F ZINC001213494697 1134454272 /nfs/dbraw/zinc/45/42/72/1134454272.db2.gz GLSROVWLVZOUIU-UHFFFAOYSA-N 1 2 273.311 3.554 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc2n(n1)CC(C)(C)CO2 ZINC001213497462 1134455054 /nfs/dbraw/zinc/45/50/54/1134455054.db2.gz QGSCDEVSBBCKOG-UHFFFAOYSA-N 1 2 298.390 3.626 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2nn(C)cc21 ZINC001213499494 1134455106 /nfs/dbraw/zinc/45/51/06/1134455106.db2.gz LOWVRRZVQFOWME-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1OCC[C@H]2O ZINC001213500887 1134455994 /nfs/dbraw/zinc/45/59/94/1134455994.db2.gz BFPKOPALWKJUDQ-QGZVFWFLSA-N 1 2 296.370 3.827 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1CN(C)C2=O ZINC001213503618 1134456067 /nfs/dbraw/zinc/45/60/67/1134456067.db2.gz OUYOLUUNDYBULA-UHFFFAOYSA-N 1 2 293.370 3.597 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)OCC2 ZINC001213501093 1134456231 /nfs/dbraw/zinc/45/62/31/1134456231.db2.gz HQJHLTJWUKDPOM-UHFFFAOYSA-N 1 2 266.344 3.946 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)cc(F)c1O ZINC001213503643 1134456492 /nfs/dbraw/zinc/45/64/92/1134456492.db2.gz QMILJBMVLPPDAZ-UHFFFAOYSA-N 1 2 276.286 3.995 20 0 CHADLO CNC(=O)c1ccc(F)c(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213501096 1134456963 /nfs/dbraw/zinc/45/69/63/1134456963.db2.gz HTRHAQRUSNWACN-UHFFFAOYSA-N 1 2 299.349 3.510 20 0 CHADLO Cc1cc(Br)nc(Nc2c[nH+]c(C)cc2C)c1 ZINC001213507789 1134457413 /nfs/dbraw/zinc/45/74/13/1134457413.db2.gz FSCXVZZZXUOVMR-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2ccnn2Cc2ccc(F)cc2)c[nH+]1 ZINC001213505488 1134457532 /nfs/dbraw/zinc/45/75/32/1134457532.db2.gz HIRWVMVHGFODKW-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO COc1cc(OC)c(F)c(Nc2c[nH+]c(C)cc2C)c1F ZINC001213513364 1134457568 /nfs/dbraw/zinc/45/75/68/1134457568.db2.gz FUBHVDQGOJFECE-UHFFFAOYSA-N 1 2 294.301 3.737 20 0 CHADLO Cc1cnc(Br)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001213505438 1134457608 /nfs/dbraw/zinc/45/76/08/1134457608.db2.gz GEDQMQFOKHBHAO-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2ncc(Cl)cc2F)c[nH+]1 ZINC001213505910 1134457768 /nfs/dbraw/zinc/45/77/68/1134457768.db2.gz SJKOJOCSXHEMGE-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)cc2C)n(CC2CCC2)n1 ZINC001213510513 1134457922 /nfs/dbraw/zinc/45/79/22/1134457922.db2.gz DLSQMLZPECUZOE-UHFFFAOYSA-N 1 2 270.380 3.747 20 0 CHADLO Cc1c[nH+]cc(Nc2nc(F)c(F)c(Cl)c2F)c1C ZINC001213528601 1134460373 /nfs/dbraw/zinc/46/03/73/1134460373.db2.gz HVOQVHOPIYNTDX-UHFFFAOYSA-N 1 2 287.672 3.908 20 0 CHADLO Cc1cc(C)c(Nc2cccc3c2C(=O)CCC3)c[nH+]1 ZINC001213521204 1134460523 /nfs/dbraw/zinc/46/05/23/1134460523.db2.gz YXELYJUENPTUSQ-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc(-n3cccn3)c2)c1C ZINC001213531240 1134461909 /nfs/dbraw/zinc/46/19/09/1134461909.db2.gz XMWIEYYQIAVBRZ-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1c[nH+]cc(-n2ccc3cc(Cl)cc(N)c32)c1C ZINC001213536904 1134462652 /nfs/dbraw/zinc/46/26/52/1134462652.db2.gz ROBWAXFYCPSDFN-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO COC(=O)c1c(F)cc(Nc2c[nH+]cc(C)c2C)cc1F ZINC001213534374 1134462658 /nfs/dbraw/zinc/46/26/58/1134462658.db2.gz ZCOHBKFTQCUNGV-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(CO)cc2Cl)c1C ZINC001213536201 1134463006 /nfs/dbraw/zinc/46/30/06/1134463006.db2.gz BBGQXBTXBHGDPN-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO COc1cc(Nc2c[nH+]cc(C)c2C)cc2cccnc21 ZINC001213534175 1134463281 /nfs/dbraw/zinc/46/32/81/1134463281.db2.gz PYCCIAZJYROEDO-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1cc[nH+]c(Nc2ccccc2N2CCCC2)c1 ZINC001213599816 1134467890 /nfs/dbraw/zinc/46/78/90/1134467890.db2.gz ZZUCKOLFVVQDNK-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(Cl)cc3N)ccc21 ZINC001213655024 1134474889 /nfs/dbraw/zinc/47/48/89/1134474889.db2.gz XRBDUDWIFAPYRV-UHFFFAOYSA-N 1 2 272.739 3.553 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(C)nn(C)c2c1 ZINC001213757263 1134481184 /nfs/dbraw/zinc/48/11/84/1134481184.db2.gz ZRFKQMVBMSOEQH-UHFFFAOYSA-N 1 2 280.375 3.891 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(OC)c1C1CC1 ZINC001213801368 1134485118 /nfs/dbraw/zinc/48/51/18/1134485118.db2.gz WHOXCBXKHVTEPO-UHFFFAOYSA-N 1 2 270.332 3.720 20 0 CHADLO Cc1ccc(Nc2ccc(CC(F)(F)F)nc2)c(C)[nH+]1 ZINC001213906757 1134495594 /nfs/dbraw/zinc/49/55/94/1134495594.db2.gz KOIXADNAMTZFFF-UHFFFAOYSA-N 1 2 281.281 3.942 20 0 CHADLO CCCOc1cc(F)ccc1Nc1cc(OC)cc[nH+]1 ZINC001214077894 1134508266 /nfs/dbraw/zinc/50/82/66/1134508266.db2.gz ALWDXRUQZXFBGB-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(C)cc2N)cc1 ZINC001214194511 1134519875 /nfs/dbraw/zinc/51/98/75/1134519875.db2.gz HOVBPDXFZARLOB-UHFFFAOYSA-N 1 2 255.365 3.777 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(C)cc2N)cc1 ZINC001214194511 1134519881 /nfs/dbraw/zinc/51/98/81/1134519881.db2.gz HOVBPDXFZARLOB-UHFFFAOYSA-N 1 2 255.365 3.777 20 0 CHADLO CCOc1cc(Nc2cccn3cc[nH+]c23)ccc1C ZINC001214321230 1134528282 /nfs/dbraw/zinc/52/82/82/1134528282.db2.gz VYIOGBTVSRQGAF-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1ccc(O)cc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001214345309 1134531280 /nfs/dbraw/zinc/53/12/80/1134531280.db2.gz ITNAFMVHAYXVIM-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO Cc1cc[nH+]c(C)c1Nc1c(Cl)ccc(O)c1F ZINC001214556423 1134551181 /nfs/dbraw/zinc/55/11/81/1134551181.db2.gz RVDXAASYQJLEPM-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2cccn3cc[nH+]c23)c1F ZINC001214755831 1134568139 /nfs/dbraw/zinc/56/81/39/1134568139.db2.gz PPIJVISVUXITFL-UHFFFAOYSA-N 1 2 287.269 3.559 20 0 CHADLO COCOc1c(C)cccc1Nc1cccc2[nH+]c(C)cn21 ZINC001214842397 1134576802 /nfs/dbraw/zinc/57/68/02/1134576802.db2.gz NQEPAICJUAJUFZ-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO CCCc1cc[nH+]c(Nc2c(C)cc(CO)cc2C)c1 ZINC001214951862 1134585694 /nfs/dbraw/zinc/58/56/94/1134585694.db2.gz JURTYELNCASQBW-UHFFFAOYSA-N 1 2 270.376 3.887 20 0 CHADLO CC(=O)c1ccc(Nc2cccc3[nH+]ccn32)c(F)c1F ZINC001214977009 1134590484 /nfs/dbraw/zinc/59/04/84/1134590484.db2.gz UANGNUYKNFOXHO-UHFFFAOYSA-N 1 2 287.269 3.559 20 0 CHADLO c1cn(-c2ccc(-c3noc(-c4ccccc4)n3)cc2)c[nH+]1 ZINC001215110570 1134598899 /nfs/dbraw/zinc/59/88/99/1134598899.db2.gz HEIUYMSTQCIOAF-UHFFFAOYSA-N 1 2 288.310 3.589 20 0 CHADLO COC(=O)c1c(F)ccc(Nc2cc(C)cc(C)[nH+]2)c1F ZINC001215183333 1134605698 /nfs/dbraw/zinc/60/56/98/1134605698.db2.gz HGGKDUTXLLMJFD-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO CCOc1c(F)c(F)ccc1Nc1cccc2[nH+]ccn21 ZINC001215408875 1134625276 /nfs/dbraw/zinc/62/52/76/1134625276.db2.gz LQMXRSHWLRTLJN-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(OC(C)C)c1 ZINC001215496210 1134633402 /nfs/dbraw/zinc/63/34/02/1134633402.db2.gz SPYHQCVIKFWXJM-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO Cc1cc(O)cc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001215507459 1134634737 /nfs/dbraw/zinc/63/47/37/1134634737.db2.gz PLEXLBCRNDFOHN-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1ccc(OC(C)C)cc1Nc1[nH+]cccc1N(C)C ZINC001215584063 1134648380 /nfs/dbraw/zinc/64/83/80/1134648380.db2.gz UULXNXLRVIAGGC-UHFFFAOYSA-N 1 2 285.391 3.987 20 0 CHADLO Cc1ccc(Nc2ccc(Cl)c(CO)c2F)c(C)[nH+]1 ZINC001215606848 1134653047 /nfs/dbraw/zinc/65/30/47/1134653047.db2.gz UQOZWQSHUUFYIK-UHFFFAOYSA-N 1 2 280.730 3.727 20 0 CHADLO COc1cccc(Nc2[nH+]cccc2N2CCCC2)c1C ZINC001215799384 1134689802 /nfs/dbraw/zinc/68/98/02/1134689802.db2.gz WVNVQGSAMQNUAB-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO CSc1ncc(Nc2c[nH+]c(C)cc2C)cc1C ZINC001215827217 1134696239 /nfs/dbraw/zinc/69/62/39/1134696239.db2.gz KOXVLTPPQHNRSA-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1NC(=O)C(C)(C)C ZINC001215831819 1134698201 /nfs/dbraw/zinc/69/82/01/1134698201.db2.gz YMNDCYWJHOCMDJ-UHFFFAOYSA-N 1 2 299.374 3.818 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)ccc(SC)c1F ZINC001215838183 1134698903 /nfs/dbraw/zinc/69/89/03/1134698903.db2.gz BGQCXWYBIDBOQI-UHFFFAOYSA-N 1 2 282.315 3.834 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(SC)ccnc1OC ZINC001215929233 1134722797 /nfs/dbraw/zinc/72/27/97/1134722797.db2.gz GHFVSXTZHXAWDI-UHFFFAOYSA-N 1 2 289.404 3.822 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)cc(C)c1OC ZINC001215996919 1134745529 /nfs/dbraw/zinc/74/55/29/1134745529.db2.gz WPXHUKZUZFDORN-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CCC[C@@H]1CCCC[C@H]1NC(=O)Nc1cc(C)[nH+]cc1C ZINC001215999611 1134746557 /nfs/dbraw/zinc/74/65/57/1134746557.db2.gz KFMDCQBPFZDPRD-HUUCEWRRSA-N 1 2 289.423 3.601 20 0 CHADLO CSc1cc(Nc2cc(F)c(F)c(F)c2N)cc[nH+]1 ZINC001216008584 1134749119 /nfs/dbraw/zinc/74/91/19/1134749119.db2.gz SVDNNJVJBZABOG-UHFFFAOYSA-N 1 2 285.294 3.547 20 0 CHADLO COc1cc(Nc2cnc(SC)c(Cl)c2)cc(C)[nH+]1 ZINC001216027814 1134753495 /nfs/dbraw/zinc/75/34/95/1134753495.db2.gz JURQDAKYNSUORV-UHFFFAOYSA-N 1 2 295.795 3.913 20 0 CHADLO CCc1cc(Nc2ccc(N3CCCC3)[nH+]c2)ccc1N ZINC001216036964 1134755328 /nfs/dbraw/zinc/75/53/28/1134755328.db2.gz DMUNKYVILDYZCY-UHFFFAOYSA-N 1 2 282.391 3.570 20 0 CHADLO Cc1cc(Nc2ccc(N)c(C(C)C)c2)nc(C)[nH+]1 ZINC001216042949 1134757910 /nfs/dbraw/zinc/75/79/10/1134757910.db2.gz MIBPQEGEQVVOJK-UHFFFAOYSA-N 1 2 256.353 3.543 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(Cl)c3c[nH]nc32)[nH+]1 ZINC001216054019 1134762513 /nfs/dbraw/zinc/76/25/13/1134762513.db2.gz FFFIJLAWDMIGOC-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO Cc1cc(Nc2ccc(N(C)C)[nH+]c2)cc(Cl)c1O ZINC001216083455 1134771037 /nfs/dbraw/zinc/77/10/37/1134771037.db2.gz QEXRJZRPUHCEMV-UHFFFAOYSA-N 1 2 277.755 3.559 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)c(O)c(Cl)c2)c[nH+]1 ZINC001216086619 1134772408 /nfs/dbraw/zinc/77/24/08/1134772408.db2.gz CQHUMDWETJGBFV-UHFFFAOYSA-N 1 2 291.782 3.949 20 0 CHADLO Cc1cc(Cl)c(N)c(Nc2cccc3[nH+]ccn32)c1 ZINC001216088934 1134773151 /nfs/dbraw/zinc/77/31/51/1134773151.db2.gz KFLCWIKRWKFHOH-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO Cc1cc(Cl)c(N)c(Nc2cccn3cc[nH+]c23)c1 ZINC001216092760 1134774731 /nfs/dbraw/zinc/77/47/31/1134774731.db2.gz VAVOWLVUNBEJCM-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO CCOc1ccc(C)c(Nc2c[nH+]ccc2OC)c1 ZINC001216235556 1134816842 /nfs/dbraw/zinc/81/68/42/1134816842.db2.gz LRIDTRXEGPLCSB-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CCOc1ccc(C)c(Nc2cccn3cc[nH+]c23)c1 ZINC001216235371 1134816852 /nfs/dbraw/zinc/81/68/52/1134816852.db2.gz HRJNNCBGTBBCIO-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO COc1cc(Nc2cccn3cc[nH+]c23)ccc1C1CC1 ZINC001216249080 1134825005 /nfs/dbraw/zinc/82/50/05/1134825005.db2.gz ZWWMREYYTQXLQJ-UHFFFAOYSA-N 1 2 279.343 3.964 20 0 CHADLO Oc1ccc(Cl)cc1Nc1ccccc1-n1cc[nH+]c1 ZINC001216400040 1134882530 /nfs/dbraw/zinc/88/25/30/1134882530.db2.gz QQHMNJIZGVMVPV-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Nc1c(Cl)cccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001216526541 1134915352 /nfs/dbraw/zinc/91/53/52/1134915352.db2.gz PMYMKONTGPVMOC-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO Cc1cnc(Nc2cc(C)c[nH+]c2C)c(Br)c1 ZINC000404533757 1134946620 /nfs/dbraw/zinc/94/66/20/1134946620.db2.gz YJFJUOMBPQWQLA-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1ccc(Cl)c(O[C@H]2CC[NH2+]CC2(F)F)c1Cl ZINC001218011661 1135041669 /nfs/dbraw/zinc/04/16/69/1135041669.db2.gz IQISTJRCLUTITA-VIFPVBQESA-N 1 2 296.144 3.678 20 0 CHADLO FC1(F)C[NH2+]CC[C@H]1Oc1ccc(-c2ccccc2)cc1 ZINC001218007559 1135042304 /nfs/dbraw/zinc/04/23/04/1135042304.db2.gz RTBRQHPNLPUAOS-MRXNPFEDSA-N 1 2 289.325 3.730 20 0 CHADLO C[C@@H](Oc1ccc[nH+]c1N)c1ccc(C(F)(F)F)cc1 ZINC001218193345 1135067621 /nfs/dbraw/zinc/06/76/21/1135067621.db2.gz FQDZZRSYSALAKL-SECBINFHSA-N 1 2 282.265 3.823 20 0 CHADLO Cc1cc(COc2cc(N)cc[nH+]2)cc(C)c1OC(C)C ZINC001218442491 1135106782 /nfs/dbraw/zinc/10/67/82/1135106782.db2.gz FMXTZOTXZWKXSA-UHFFFAOYSA-N 1 2 286.375 3.647 20 0 CHADLO Cc1ccc(NC(=O)Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC000196630755 1135179530 /nfs/dbraw/zinc/17/95/30/1135179530.db2.gz ITTFAXNGCZLRRH-UHFFFAOYSA-N 1 2 292.342 3.825 20 0 CHADLO Cc1nc(N2CCc3ccccc3[C@H]2C)c(C)c(C)[nH+]1 ZINC000197591441 1135188110 /nfs/dbraw/zinc/18/81/10/1135188110.db2.gz YKZNOTUYQWQEQM-CYBMUJFWSA-N 1 2 267.376 3.526 20 0 CHADLO C[C@@H](OCCn1cc[nH+]c1)c1cc2ccccc2s1 ZINC001222134207 1135198465 /nfs/dbraw/zinc/19/84/65/1135198465.db2.gz BSWOTYVFAPBGMI-GFCCVEGCSA-N 1 2 272.373 3.876 20 0 CHADLO FC(F)(F)c1ccc2c(c1)CC[C@@H]2OCCn1cc[nH+]c1 ZINC001222139470 1135199010 /nfs/dbraw/zinc/19/90/10/1135199010.db2.gz TYQIMIBOKPNJAQ-AWEZNQCLSA-N 1 2 296.292 3.606 20 0 CHADLO Cc1cc(NC[C@@H]2Cc3ccccc3O2)[nH+]c2ccccc12 ZINC000320026780 1135200776 /nfs/dbraw/zinc/20/07/76/1135200776.db2.gz BJQIFFJAOVTYMP-HNNXBMFYSA-N 1 2 290.366 3.959 20 0 CHADLO CCCOc1ccc(C)c(-c2c[nH+]c3c(c2)CCCN3)c1 ZINC001222183050 1135205523 /nfs/dbraw/zinc/20/55/23/1135205523.db2.gz GVWAWYNKWJWJPF-UHFFFAOYSA-N 1 2 282.387 3.847 20 0 CHADLO CNc1cc(-c2cccc(OC(F)(F)F)c2)cc[nH+]1 ZINC001222331506 1135227111 /nfs/dbraw/zinc/22/71/11/1135227111.db2.gz ICKCKGRZWDESPA-UHFFFAOYSA-N 1 2 268.238 3.689 20 0 CHADLO FC(F)(F)Oc1cccc(-c2cccc3[nH+]ccn32)c1 ZINC001222327451 1135226963 /nfs/dbraw/zinc/22/69/63/1135226963.db2.gz MIRLYYYWVDYHMH-UHFFFAOYSA-N 1 2 278.233 3.900 20 0 CHADLO Cc1cc(N[C@@](C)(CO)c2ccccc2)[nH+]c2ccccc12 ZINC000320268414 1135236107 /nfs/dbraw/zinc/23/61/07/1135236107.db2.gz SROYZPYWEJEXJY-IBGZPJMESA-N 1 2 292.382 3.863 20 0 CHADLO CCCC[C@H](OCc1c[nH+]cn1C)c1ccccc1 ZINC001222595427 1135253676 /nfs/dbraw/zinc/25/36/76/1135253676.db2.gz ZOHBDOSTPRHYQY-INIZCTEOSA-N 1 2 258.365 3.868 20 0 CHADLO Cc1ccc([C@H](OCc2c[nH+]cn2C)C(F)(F)F)cc1 ZINC001222594100 1135254620 /nfs/dbraw/zinc/25/46/20/1135254620.db2.gz CFWDECQAXVTCJO-ZDUSSCGKSA-N 1 2 284.281 3.549 20 0 CHADLO CCCC[C@H](CC)[C@H](CC)OCc1c[nH+]cn1C ZINC001222599869 1135255006 /nfs/dbraw/zinc/25/50/06/1135255006.db2.gz HNUWUEKLKGXMSV-ZFWWWQNUSA-N 1 2 252.402 3.932 20 0 CHADLO CCCCC1CCC(OCc2c[nH+]cn2C)CC1 ZINC001222600117 1135255156 /nfs/dbraw/zinc/25/51/56/1135255156.db2.gz JXJWBXXORFSIFY-UHFFFAOYSA-N 1 2 250.386 3.686 20 0 CHADLO Cc1cc(COC2CCC(F)(F)CC2)cc(C)[nH+]1 ZINC001223422205 1135343648 /nfs/dbraw/zinc/34/36/48/1135343648.db2.gz UUGVXOVMXQQORT-UHFFFAOYSA-N 1 2 255.308 3.793 20 0 CHADLO Cc1cc(CO[C@@H](c2cccnc2)C2CC2)cc(C)[nH+]1 ZINC001223423335 1135343187 /nfs/dbraw/zinc/34/31/87/1135343187.db2.gz BTXBKUWTRPWODY-QGZVFWFLSA-N 1 2 268.360 3.761 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](C)c1ccc(OC)cc1 ZINC001224964328 1135497139 /nfs/dbraw/zinc/49/71/39/1135497139.db2.gz XDQBJOXIIDGPFS-CYBMUJFWSA-N 1 2 279.380 3.957 20 0 CHADLO Brc1cccc2c1CC[C@@H]2Oc1cc[nH+]cc1 ZINC001225294171 1135536527 /nfs/dbraw/zinc/53/65/27/1135536527.db2.gz GQHPNJDVLZKMQD-AWEZNQCLSA-N 1 2 290.160 3.910 20 0 CHADLO C[N@@H+]1CCC[C@H](Oc2c(Cl)c(F)cc(F)c2Cl)C1 ZINC001225998662 1135650584 /nfs/dbraw/zinc/65/05/84/1135650584.db2.gz HOCDFMUUXVQFFV-ZETCQYMHSA-N 1 2 296.144 3.745 20 0 CHADLO C[N@H+]1CCC[C@H](Oc2c(Cl)c(F)cc(F)c2Cl)C1 ZINC001225998662 1135650586 /nfs/dbraw/zinc/65/05/86/1135650586.db2.gz HOCDFMUUXVQFFV-ZETCQYMHSA-N 1 2 296.144 3.745 20 0 CHADLO Cc1cccc(Cl)c1OC1C[NH+](C(C)(C)C)C1 ZINC001226389561 1135690608 /nfs/dbraw/zinc/69/06/08/1135690608.db2.gz BQSPTVGBCRPRIC-UHFFFAOYSA-N 1 2 253.773 3.510 20 0 CHADLO Cc1ccc(NCc2ccc(Cl)c(F)c2)c[nH+]1 ZINC000083383926 178387342 /nfs/dbraw/zinc/38/73/42/178387342.db2.gz VSMZWIQLILCFSA-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CC[C@]1(C)C[N@@H+]([C@@H](C)c2ccc(F)cc2F)CCO1 ZINC000442891837 529407394 /nfs/dbraw/zinc/40/73/94/529407394.db2.gz NVMLIZRQYPIBDE-XHDPSFHLSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@]1(C)C[N@H+]([C@@H](C)c2ccc(F)cc2F)CCO1 ZINC000442891837 529407396 /nfs/dbraw/zinc/40/73/96/529407396.db2.gz NVMLIZRQYPIBDE-XHDPSFHLSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@@H+]1Cc1cscc1C(F)(F)F ZINC000356091600 529416578 /nfs/dbraw/zinc/41/65/78/529416578.db2.gz GLNCKIBYJFEWGH-MWLCHTKSSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@H+]1Cc1cscc1C(F)(F)F ZINC000356091600 529416580 /nfs/dbraw/zinc/41/65/80/529416580.db2.gz GLNCKIBYJFEWGH-MWLCHTKSSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@@H]1[C@@H](C)CCN1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334698928 529476933 /nfs/dbraw/zinc/47/69/33/529476933.db2.gz CHKYGFZOGGZYPQ-IINYFYTJSA-N 1 2 275.396 3.659 20 0 CHADLO CC[C@H](C)CCC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000350629823 529647342 /nfs/dbraw/zinc/64/73/42/529647342.db2.gz SLEJMVFVSSVLNH-ZDUSSCGKSA-N 1 2 285.391 3.852 20 0 CHADLO CC[C@@H](NC(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1 ZINC000353653900 529650222 /nfs/dbraw/zinc/65/02/22/529650222.db2.gz CFPLHVBQAWSRHN-OAHLLOKOSA-N 1 2 294.358 3.607 20 0 CHADLO CCc1ccc(C[NH2+]Cc2ncoc2-c2ccccc2)o1 ZINC000344961718 529654649 /nfs/dbraw/zinc/65/46/49/529654649.db2.gz XBLGKODVBOUHJQ-UHFFFAOYSA-N 1 2 282.343 3.787 20 0 CHADLO CCc1ccc(C[NH2+]Cc2oc3ccccc3c2OC)o1 ZINC000353234163 529654719 /nfs/dbraw/zinc/65/47/19/529654719.db2.gz HCGPNEXQDZZSLA-UHFFFAOYSA-N 1 2 285.343 3.887 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C2CC2)cs1)c1nccs1 ZINC000347919410 529636953 /nfs/dbraw/zinc/63/69/53/529636953.db2.gz NQGNBYIBZOVJED-JTQLQIEISA-N 1 2 279.434 3.718 20 0 CHADLO CC[C@@H](NC(=O)[C@H](C)c1cc2ccccc2o1)c1[nH]cc[nH+]1 ZINC000347957613 529658025 /nfs/dbraw/zinc/65/80/25/529658025.db2.gz LYHYGUCQGUVQEX-DGCLKSJQSA-N 1 2 297.358 3.527 20 0 CHADLO CCc1ccc(CNc2cccc(-c3[nH+]ccn3C)c2)cn1 ZINC000352789205 529659469 /nfs/dbraw/zinc/65/94/69/529659469.db2.gz MWKLOZMBBGHNMA-UHFFFAOYSA-N 1 2 292.386 3.657 20 0 CHADLO CC[C@H](Nc1ccc(F)c(C2CC2)c1)c1[nH+]ccn1C ZINC000343558448 529676282 /nfs/dbraw/zinc/67/62/82/529676282.db2.gz WDPOYPXCDRNZHK-HNNXBMFYSA-N 1 2 273.355 4.000 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C2CC2)n1)c1nc2ccccc2[nH]1 ZINC000112966255 529679950 /nfs/dbraw/zinc/67/99/50/529679950.db2.gz BHSRPYLZIOUYEY-LBPRGKRZSA-N 1 2 293.374 3.794 20 0 CHADLO CCc1ccc2occ(C(=O)N[C@@H](CC)c3[nH]cc[nH+]3)c2c1 ZINC000354775186 529732323 /nfs/dbraw/zinc/73/23/23/529732323.db2.gz VWZFVNBKLRSTKX-AWEZNQCLSA-N 1 2 297.358 3.599 20 0 CHADLO CCc1nnc(C[N@@H+]2CCC[C@@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000355056845 529736144 /nfs/dbraw/zinc/73/61/44/529736144.db2.gz MQZYJJPUUHRYPD-MRXNPFEDSA-N 1 2 298.434 3.828 20 0 CHADLO CCc1nnc(C[N@H+]2CCC[C@@H]2c2ccc(C(C)C)cc2)[nH]1 ZINC000355056845 529736145 /nfs/dbraw/zinc/73/61/45/529736145.db2.gz MQZYJJPUUHRYPD-MRXNPFEDSA-N 1 2 298.434 3.828 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cccc(Cl)c1F ZINC000352653913 530013140 /nfs/dbraw/zinc/01/31/40/530013140.db2.gz GCWLTXPJFKELMU-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cccc(Cl)c1F ZINC000352653913 530013141 /nfs/dbraw/zinc/01/31/41/530013141.db2.gz GCWLTXPJFKELMU-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1cscn1 ZINC000162373765 261279369 /nfs/dbraw/zinc/27/93/69/261279369.db2.gz KATOPZOLMWJWIF-BDAKNGLRSA-N 1 2 268.332 3.833 20 0 CHADLO CC(C)[C@@H]([NH2+]CC(C)(F)F)c1ccc(F)c(F)c1 ZINC000574429032 335019125 /nfs/dbraw/zinc/01/91/25/335019125.db2.gz ALKLSSNQKCDYFE-GFCCVEGCSA-N 1 2 263.278 3.907 20 0 CHADLO Fc1ccc(C(CNc2c[nH]c[nH+]2)c2ccc(F)cc2)cc1 ZINC000574492395 335023814 /nfs/dbraw/zinc/02/38/14/335023814.db2.gz VXMVCLAYRIFVSK-UHFFFAOYSA-N 1 2 299.324 3.932 20 0 CHADLO CSc1ccc(C[N@@H+]2CCn3cccc3[C@@H]2C)s1 ZINC000356090629 532951383 /nfs/dbraw/zinc/95/13/83/532951383.db2.gz RYEHOLYNALMFEH-NSHDSACASA-N 1 2 278.446 3.848 20 0 CHADLO CSc1ccc(C[N@H+]2CCn3cccc3[C@@H]2C)s1 ZINC000356090629 532951388 /nfs/dbraw/zinc/95/13/88/532951388.db2.gz RYEHOLYNALMFEH-NSHDSACASA-N 1 2 278.446 3.848 20 0 CHADLO COc1ccccc1[C@H]1CCC[C@H](C)[N@@H+]1Cc1ncccn1 ZINC000352201398 533024556 /nfs/dbraw/zinc/02/45/56/533024556.db2.gz FOXVNVFRBNMHFH-GOEBONIOSA-N 1 2 297.402 3.601 20 0 CHADLO COc1ccccc1[C@H]1CCC[C@H](C)[N@H+]1Cc1ncccn1 ZINC000352201398 533024557 /nfs/dbraw/zinc/02/45/57/533024557.db2.gz FOXVNVFRBNMHFH-GOEBONIOSA-N 1 2 297.402 3.601 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc(Cl)cc2Cl)cn1 ZINC000060393442 262392134 /nfs/dbraw/zinc/39/21/34/262392134.db2.gz SGVHWHQUWONRBK-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO CO[C@H]1CC[C@H](Nc2[nH+]cccc2OCc2ccccc2)C1 ZINC000350699550 533265728 /nfs/dbraw/zinc/26/57/28/533265728.db2.gz HLORLIYYIFDLEW-HOTGVXAUSA-N 1 2 298.386 3.640 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC[C@@H]2CCC[C@@H]2C1)c1ccsc1 ZINC000352810766 533354050 /nfs/dbraw/zinc/35/40/50/533354050.db2.gz PFCANZQLKRTAMH-CTHBEMJXSA-N 1 2 293.432 3.521 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+]Cc2ccc(Cl)cc2)cs1 ZINC000344711765 533358939 /nfs/dbraw/zinc/35/89/39/533358939.db2.gz TWGPROCXTYKMEC-JTQLQIEISA-N 1 2 296.823 3.794 20 0 CHADLO COc1cccc(C(=O)Cn2c[nH+]c3cc(C)c(C)cc32)c1 ZINC000192840704 533393014 /nfs/dbraw/zinc/39/30/14/533393014.db2.gz ADNYTASRMDGBFA-UHFFFAOYSA-N 1 2 294.354 3.545 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nccn1C)C1CC1)c1cc(F)ccc1F ZINC000353844327 533515344 /nfs/dbraw/zinc/51/53/44/533515344.db2.gz KBRZCCPXIBOVFM-MEBBXXQBSA-N 1 2 291.345 3.500 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000347932060 533517539 /nfs/dbraw/zinc/51/75/39/533517539.db2.gz CXSOFTFOHJPVRI-AWEZNQCLSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccccc2)no1 ZINC000334648111 533590625 /nfs/dbraw/zinc/59/06/25/533590625.db2.gz QEFZGSCJOHIYNA-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CCC3)[C@H]2c2ccccc2)no1 ZINC000334648111 533590631 /nfs/dbraw/zinc/59/06/31/533590631.db2.gz QEFZGSCJOHIYNA-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nccn1C)C1CC1)c1cc(F)ccc1F ZINC000353844325 533538198 /nfs/dbraw/zinc/53/81/98/533538198.db2.gz KBRZCCPXIBOVFM-BMIGLBTASA-N 1 2 291.345 3.500 20 0 CHADLO C[C@H]1CCN(c2[nH+]ccc3ccc(F)cc32)C[C@H]1C ZINC000354872362 533652861 /nfs/dbraw/zinc/65/28/61/533652861.db2.gz AALWIAXOCXQNIU-NWDGAFQWSA-N 1 2 258.340 3.856 20 0 CHADLO Cc1nc(C)c(C[N@H+](CC(F)F)CC2CCC2)s1 ZINC000341755612 130023709 /nfs/dbraw/zinc/02/37/09/130023709.db2.gz ZQGPDYAWGFTSTH-UHFFFAOYSA-N 1 2 274.380 3.627 20 0 CHADLO Cc1nc(C)c(C[N@@H+](CC(F)F)CC2CCC2)s1 ZINC000341755612 130023711 /nfs/dbraw/zinc/02/37/11/130023711.db2.gz ZQGPDYAWGFTSTH-UHFFFAOYSA-N 1 2 274.380 3.627 20 0 CHADLO CCn1ccnc1C[N@H+]([C@@H](C)c1ccccc1)C1CC1 ZINC000341812222 130072871 /nfs/dbraw/zinc/07/28/71/130072871.db2.gz XERNRXVVZPESOB-AWEZNQCLSA-N 1 2 269.392 3.629 20 0 CHADLO CCn1ccnc1C[N@@H+]([C@@H](C)c1ccccc1)C1CC1 ZINC000341812222 130072872 /nfs/dbraw/zinc/07/28/72/130072872.db2.gz XERNRXVVZPESOB-AWEZNQCLSA-N 1 2 269.392 3.629 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2ccccc2Cl)C(C)C)s1 ZINC000341868053 130116810 /nfs/dbraw/zinc/11/68/10/130116810.db2.gz LXAWHJAPIDLJLH-CQSZACIVSA-N 1 2 295.839 3.987 20 0 CHADLO OCc1cccc(CNc2cc[nH+]c3c(Cl)cccc23)c1 ZINC000342009138 130196047 /nfs/dbraw/zinc/19/60/47/130196047.db2.gz NVBFQIKHTKAJSP-UHFFFAOYSA-N 1 2 298.773 3.993 20 0 CHADLO Cn1c2cc(F)ccc2nc1-c1cc(-n2cc[nH+]c2)cs1 ZINC000342161715 130353871 /nfs/dbraw/zinc/35/38/71/130353871.db2.gz LMUYHKQGBXEIPU-UHFFFAOYSA-N 1 2 298.346 3.627 20 0 CHADLO Cc1noc(C[NH2+][C@@H](c2cccc(F)c2)C2CCCC2)n1 ZINC000342226161 130397985 /nfs/dbraw/zinc/39/79/85/130397985.db2.gz GLTZTHBMHGUEKM-MRXNPFEDSA-N 1 2 289.354 3.538 20 0 CHADLO C[C@H]([NH2+]Cc1cn2ccccc2n1)c1ccccc1Cl ZINC000006996475 170260814 /nfs/dbraw/zinc/26/08/14/170260814.db2.gz WWTVCVCBKDBUGI-LBPRGKRZSA-N 1 2 285.778 3.839 20 0 CHADLO CC(C)Oc1ccc([NH2+]C2CCSCC2)cc1 ZINC000035652020 172328562 /nfs/dbraw/zinc/32/85/62/172328562.db2.gz IIQSRINWIZOTEP-UHFFFAOYSA-N 1 2 251.395 3.781 20 0 CHADLO Cc1noc(C[NH2+][C@@H](CC(C)(C)C)c2ccccc2)n1 ZINC000038034031 174032426 /nfs/dbraw/zinc/03/24/26/174032426.db2.gz ZCOHYZQZSNUKTE-AWEZNQCLSA-N 1 2 273.380 3.645 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)c1cnc(C(C)C)s1 ZINC000334569809 224925588 /nfs/dbraw/zinc/92/55/88/224925588.db2.gz YNLZTPHQGPHZTG-UHFFFAOYSA-N 1 2 275.377 3.531 20 0 CHADLO Fc1ccc(C[N@@H+]2CCCc3occc3C2)c(F)c1 ZINC000344313859 225025721 /nfs/dbraw/zinc/02/57/21/225025721.db2.gz YKAVOWRDTTWUQR-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO Fc1ccc(C[N@H+]2CCCc3occc3C2)c(F)c1 ZINC000344313859 225025723 /nfs/dbraw/zinc/02/57/23/225025723.db2.gz YKAVOWRDTTWUQR-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)n1 ZINC000344238094 225002073 /nfs/dbraw/zinc/00/20/73/225002073.db2.gz KRHANJDBJUZYBY-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)n1 ZINC000344238094 225002077 /nfs/dbraw/zinc/00/20/77/225002077.db2.gz KRHANJDBJUZYBY-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2ccc(O)cc2C)c(C)[nH+]1 ZINC000334699112 225277388 /nfs/dbraw/zinc/27/73/88/225277388.db2.gz GHTBQWODURAOOL-UHFFFAOYSA-N 1 2 285.347 3.665 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)CC[C@H]2C)c(C)[nH+]1 ZINC000334714150 225284456 /nfs/dbraw/zinc/28/44/56/225284456.db2.gz WVRKJUJZOMNANN-ZWNOBZJWSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H]2CCCc3sccc32)nn1C ZINC000334980736 225383581 /nfs/dbraw/zinc/38/35/81/225383581.db2.gz BLQKFACJZRQLPT-LLVKDONJSA-N 1 2 295.839 3.611 20 0 CHADLO c1cc(N[C@@H]2CC[C@H]3CCCC[C@@H]3C2)nc(C2CC2)[nH+]1 ZINC000346622789 225848532 /nfs/dbraw/zinc/84/85/32/225848532.db2.gz UZHUYWKMLBIGAR-BPLDGKMQSA-N 1 2 271.408 3.547 20 0 CHADLO Cc1[nH+]cccc1Cc1nc(-c2c[nH]c3ccccc32)no1 ZINC000347050663 226004757 /nfs/dbraw/zinc/00/47/57/226004757.db2.gz SWLLZXKTGVIHSC-UHFFFAOYSA-N 1 2 290.326 3.512 20 0 CHADLO COc1nc(C)cc(C)c1C[N@@H+]1Cc2ccc(C)cc2C1 ZINC000347221848 226092547 /nfs/dbraw/zinc/09/25/47/226092547.db2.gz VYHUNFNPSKNOSM-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO COc1nc(C)cc(C)c1C[N@H+]1Cc2ccc(C)cc2C1 ZINC000347221848 226092549 /nfs/dbraw/zinc/09/25/49/226092549.db2.gz VYHUNFNPSKNOSM-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)s1 ZINC000347266826 226105577 /nfs/dbraw/zinc/10/55/77/226105577.db2.gz IKUXSTSIAYDAAR-VIFPVBQESA-N 1 2 294.370 3.849 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)s1 ZINC000347266826 226105580 /nfs/dbraw/zinc/10/55/80/226105580.db2.gz IKUXSTSIAYDAAR-VIFPVBQESA-N 1 2 294.370 3.849 20 0 CHADLO FC(F)C[N@H+](CC[C@@H]1CCCCO1)Cc1ccccc1 ZINC000347451279 226159471 /nfs/dbraw/zinc/15/94/71/226159471.db2.gz YOYLQISFCLATKV-HNNXBMFYSA-N 1 2 283.362 3.713 20 0 CHADLO FC(F)C[N@@H+](CC[C@@H]1CCCCO1)Cc1ccccc1 ZINC000347451279 226159473 /nfs/dbraw/zinc/15/94/73/226159473.db2.gz YOYLQISFCLATKV-HNNXBMFYSA-N 1 2 283.362 3.713 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)cc1 ZINC000347673687 226218416 /nfs/dbraw/zinc/21/84/16/226218416.db2.gz FKYCFERSZGMNLP-AWEZNQCLSA-N 1 2 295.308 3.754 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)cc1 ZINC000347673687 226218419 /nfs/dbraw/zinc/21/84/19/226218419.db2.gz FKYCFERSZGMNLP-AWEZNQCLSA-N 1 2 295.308 3.754 20 0 CHADLO Cc1ncsc1C[NH2+][C@@H](C)c1csc(C(C)C)n1 ZINC000347838425 226382354 /nfs/dbraw/zinc/38/23/54/226382354.db2.gz TVOQQULZLSISDS-VIFPVBQESA-N 1 2 281.450 3.882 20 0 CHADLO Clc1cnc(C[N@@H+]2CC3(CCC3)[C@H]2C2CC2)s1 ZINC000336494915 227063171 /nfs/dbraw/zinc/06/31/71/227063171.db2.gz ZCNNKYHNRBKVLK-GFCCVEGCSA-N 1 2 268.813 3.561 20 0 CHADLO Clc1cnc(C[N@H+]2CC3(CCC3)[C@H]2C2CC2)s1 ZINC000336494915 227063177 /nfs/dbraw/zinc/06/31/77/227063177.db2.gz ZCNNKYHNRBKVLK-GFCCVEGCSA-N 1 2 268.813 3.561 20 0 CHADLO Cc1ccc(C(=O)N2C[C@@H](C)[C@H]2C)cc1Oc1cc[nH+]cc1 ZINC000336436899 227009034 /nfs/dbraw/zinc/00/90/34/227009034.db2.gz RKJQLEMYHPFDOJ-ZIAGYGMSSA-N 1 2 296.370 3.663 20 0 CHADLO F[C@]1(c2cccc(Cl)c2)CC[N@H+](CC2=CCCOC2)C1 ZINC000367174039 227015321 /nfs/dbraw/zinc/01/53/21/227015321.db2.gz OJQPUVTWDIBDTN-MRXNPFEDSA-N 1 2 295.785 3.557 20 0 CHADLO F[C@]1(c2cccc(Cl)c2)CC[N@@H+](CC2=CCCOC2)C1 ZINC000367174039 227015325 /nfs/dbraw/zinc/01/53/25/227015325.db2.gz OJQPUVTWDIBDTN-MRXNPFEDSA-N 1 2 295.785 3.557 20 0 CHADLO C[C@H]1C[C@H](Nc2[nH+]cccc2OCc2ccccc2)CCO1 ZINC000354586251 227043192 /nfs/dbraw/zinc/04/31/92/227043192.db2.gz KCVLDJMWKFCONS-GOEBONIOSA-N 1 2 298.386 3.640 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3c(C)cccc3C)cc2[nH+]1 ZINC000354603628 227047991 /nfs/dbraw/zinc/04/79/91/227047991.db2.gz POPAIXOFCSGVGN-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO Cc1[nH]c2cc(NC(=O)c3c(C)cccc3C)ccc2[nH+]1 ZINC000354603628 227048000 /nfs/dbraw/zinc/04/80/00/227048000.db2.gz POPAIXOFCSGVGN-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO Cc1ccc(CCCN2C[C@@H](C)Oc3ccccc32)c[nH+]1 ZINC000354649421 227058400 /nfs/dbraw/zinc/05/84/00/227058400.db2.gz NPDIPPROKVOGML-OAHLLOKOSA-N 1 2 282.387 3.610 20 0 CHADLO Cc1cc(C[N@@H+]2[C@@H](C)Cc3cc(F)ccc3[C@@H]2C)no1 ZINC000354824953 227141894 /nfs/dbraw/zinc/14/18/94/227141894.db2.gz BYWBVSNBGGPPJQ-JQWIXIFHSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1cc(C[N@H+]2[C@@H](C)Cc3cc(F)ccc3[C@@H]2C)no1 ZINC000354824953 227141900 /nfs/dbraw/zinc/14/19/00/227141900.db2.gz BYWBVSNBGGPPJQ-JQWIXIFHSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1cc[nH+]cc1NCc1cc(O)cc(C(F)(F)F)c1 ZINC000352793940 227228946 /nfs/dbraw/zinc/22/89/46/227228946.db2.gz GIYGGNGXNXVVKJ-UHFFFAOYSA-N 1 2 282.265 3.727 20 0 CHADLO Cc1c(Nc2nc(C)[nH+]c(C)c2C)cnn1CCC(C)C ZINC000301762617 227666788 /nfs/dbraw/zinc/66/67/88/227666788.db2.gz BWERSLMQWWBJMT-UHFFFAOYSA-N 1 2 287.411 3.696 20 0 CHADLO Cc1ccc(CNc2[nH+]cnc3c2cnn3C(C)(C)C)cc1 ZINC000301829274 227694210 /nfs/dbraw/zinc/69/42/10/227694210.db2.gz GTXMRTGGEZBTDR-UHFFFAOYSA-N 1 2 295.390 3.502 20 0 CHADLO Fc1ccc(Br)c(COc2cc[nH+]cc2)c1 ZINC000356102569 228121573 /nfs/dbraw/zinc/12/15/73/228121573.db2.gz XEFQHFZUARNHFI-UHFFFAOYSA-N 1 2 282.112 3.562 20 0 CHADLO COCc1ccc([C@H]2CCC[N@@H+]2[C@H](C)c2ncc(C)o2)o1 ZINC000331462894 228104263 /nfs/dbraw/zinc/10/42/63/228104263.db2.gz ZGGGZJRIDOWMMV-TZMCWYRMSA-N 1 2 290.363 3.621 20 0 CHADLO COCc1ccc([C@H]2CCC[N@H+]2[C@H](C)c2ncc(C)o2)o1 ZINC000331462894 228104264 /nfs/dbraw/zinc/10/42/64/228104264.db2.gz ZGGGZJRIDOWMMV-TZMCWYRMSA-N 1 2 290.363 3.621 20 0 CHADLO C[C@@H]1[C@H](Cc2ccccc2)CCN1c1cc[nH+]c(C2CC2)n1 ZINC000353491864 228110161 /nfs/dbraw/zinc/11/01/61/228110161.db2.gz VVUQAEDLXZVFMD-PBHICJAKSA-N 1 2 293.414 3.812 20 0 CHADLO Cc1ccc(NCc2cn(C)nc2-c2cccs2)c(C)[nH+]1 ZINC000353187773 228067525 /nfs/dbraw/zinc/06/75/25/228067525.db2.gz GFSZJZRXVYNEGW-UHFFFAOYSA-N 1 2 298.415 3.773 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccccc2OC2CCC2)no1 ZINC000353242079 228073227 /nfs/dbraw/zinc/07/32/27/228073227.db2.gz NAOZEZYXZKGJSW-ZDUSSCGKSA-N 1 2 286.375 3.765 20 0 CHADLO Fc1cc(Br)ccc1COc1cc[nH+]cc1 ZINC000356100381 228120549 /nfs/dbraw/zinc/12/05/49/228120549.db2.gz SHZPVHQOLUBFPK-UHFFFAOYSA-N 1 2 282.112 3.562 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2scnc2C)c(Cl)c1 ZINC000353289786 228080540 /nfs/dbraw/zinc/08/05/40/228080540.db2.gz VKXXRWJUKHLIFP-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2scnc2C)c(Cl)c1 ZINC000353289786 228080542 /nfs/dbraw/zinc/08/05/42/228080542.db2.gz VKXXRWJUKHLIFP-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO C[C@@H]1CCC[C@@H]([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000330273545 228088717 /nfs/dbraw/zinc/08/87/17/228088717.db2.gz ZJKORKHKQDVETI-BPLDGKMQSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1cc(NC(=O)C2=CCCC2)c[nH+]c1N1CCCC[C@@H]1C ZINC000356028968 228092913 /nfs/dbraw/zinc/09/29/13/228092913.db2.gz MXHGWXQUXDLYOJ-AWEZNQCLSA-N 1 2 299.418 3.818 20 0 CHADLO Cc1ccc(Cc2noc(CSc3ccccc3)n2)c[nH+]1 ZINC000356107414 228122772 /nfs/dbraw/zinc/12/27/72/228122772.db2.gz HALTYNOKDTWRDO-UHFFFAOYSA-N 1 2 297.383 3.656 20 0 CHADLO Cc1ccc(Cc2noc(/C=C\c3cccs3)n2)c[nH+]1 ZINC000356124609 228130475 /nfs/dbraw/zinc/13/04/75/228130475.db2.gz JPIHGSRJMPYRDJ-SREVYHEPSA-N 1 2 283.356 3.596 20 0 CHADLO Cc1ccc(-c2nc(Cc3ccc(C)[nH+]c3)no2)c(C)c1 ZINC000356124559 228130926 /nfs/dbraw/zinc/13/09/26/228130926.db2.gz JLUSDVBWIFABCX-UHFFFAOYSA-N 1 2 279.343 3.648 20 0 CHADLO Cc1ccc(Cc2noc(-c3ccc4cc[nH]c4c3)n2)c[nH+]1 ZINC000356126685 228132393 /nfs/dbraw/zinc/13/23/93/228132393.db2.gz NFXKRDMQKNRLPU-UHFFFAOYSA-N 1 2 290.326 3.512 20 0 CHADLO Cc1ccc(Cc2noc(/C=C/c3ccccc3)n2)c[nH+]1 ZINC000356134006 228135014 /nfs/dbraw/zinc/13/50/14/228135014.db2.gz YAKPSLZAYOVJLH-MDZDMXLPSA-N 1 2 277.327 3.534 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc(-n3cc[nH+]c3)c(F)c2)c1 ZINC000353665977 228141532 /nfs/dbraw/zinc/14/15/32/228141532.db2.gz MTHWTMJOOSVAMM-ZDUSSCGKSA-N 1 2 296.349 3.888 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nccc2ccccc21)c1ccon1 ZINC000353671731 228143354 /nfs/dbraw/zinc/14/33/54/228143354.db2.gz BUNYIBMPGGGXCY-VXGBXAGGSA-N 1 2 267.332 3.635 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)[C@H]1CC12CCCC2 ZINC000353773630 228152727 /nfs/dbraw/zinc/15/27/27/228152727.db2.gz XJAOUSHASHLJHE-CYBMUJFWSA-N 1 2 299.349 3.530 20 0 CHADLO C[C@H]([NH2+][C@H](c1nccn1C)C1CC1)c1cccc(F)c1F ZINC000353820060 228156005 /nfs/dbraw/zinc/15/60/05/228156005.db2.gz QWUIBYFFOXUNNW-BONVTDFDSA-N 1 2 291.345 3.500 20 0 CHADLO C[C@H]([NH2+][C@H](c1nccn1C)C1CC1)c1ccc(F)cc1F ZINC000353840191 228157936 /nfs/dbraw/zinc/15/79/36/228157936.db2.gz BJVNAYISQNUKRJ-BONVTDFDSA-N 1 2 291.345 3.500 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC[C@@H]1CCC[C@H](C)C1 ZINC000351922804 228165253 /nfs/dbraw/zinc/16/52/53/228165253.db2.gz KRXMDUGSBVEYPL-SMDDNHRTSA-N 1 2 275.396 3.646 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CC[C@H](C)[C@H](C)C1 ZINC000351934238 228167530 /nfs/dbraw/zinc/16/75/30/228167530.db2.gz BILZDBQAIYDXCY-ZKYQVNSYSA-N 1 2 275.396 3.645 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CC[C@@H](C)C[C@H]1C ZINC000351943980 228168189 /nfs/dbraw/zinc/16/81/89/228168189.db2.gz POZKWPPSYHNEOM-MPKXVKKWSA-N 1 2 275.396 3.645 20 0 CHADLO CCn1nc(C[NH+]2Cc3ccccc3C2)c2ccccc21 ZINC000351997214 228173496 /nfs/dbraw/zinc/17/34/96/228173496.db2.gz RYNZBXCOUGGCOB-UHFFFAOYSA-N 1 2 277.371 3.572 20 0 CHADLO Cc1ccc(NC(=O)N2Cc3ccccc3[C@H]2C)c(C)[nH+]1 ZINC000335989331 228175572 /nfs/dbraw/zinc/17/55/72/228175572.db2.gz XJOJFSPFNFNQML-CYBMUJFWSA-N 1 2 281.359 3.807 20 0 CHADLO Cc1csc2nc(C)nc(N[C@@H]3C[C@@H](C)n4cc[nH+]c43)c12 ZINC000333706681 228210992 /nfs/dbraw/zinc/21/09/92/228210992.db2.gz PASGQVVVAFMUFF-MWLCHTKSSA-N 1 2 299.403 3.623 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2ccc(OC(F)F)cc2)no1 ZINC000352240612 228205059 /nfs/dbraw/zinc/20/50/59/228205059.db2.gz CINXYNQSPAQTIK-LLVKDONJSA-N 1 2 296.317 3.777 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2ccc(OC(F)F)cc2)no1 ZINC000352240612 228205060 /nfs/dbraw/zinc/20/50/60/228205060.db2.gz CINXYNQSPAQTIK-LLVKDONJSA-N 1 2 296.317 3.777 20 0 CHADLO Cc1ncc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)s1 ZINC000336212065 228214640 /nfs/dbraw/zinc/21/46/40/228214640.db2.gz FMEXXBCDECDHQE-AWEZNQCLSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1ncc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)s1 ZINC000336212065 228214641 /nfs/dbraw/zinc/21/46/41/228214641.db2.gz FMEXXBCDECDHQE-AWEZNQCLSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2ccncc2)nc2ccccc12 ZINC000171923708 335085072 /nfs/dbraw/zinc/08/50/72/335085072.db2.gz ZAZFUGCCXSTPBZ-CQSZACIVSA-N 1 2 292.386 3.526 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2ccncc2)nc2ccccc12 ZINC000171923708 335085073 /nfs/dbraw/zinc/08/50/73/335085073.db2.gz ZAZFUGCCXSTPBZ-CQSZACIVSA-N 1 2 292.386 3.526 20 0 CHADLO CCc1ccc(C[N@@H+]2CCO[C@@H](c3ccc(F)cc3)C2)o1 ZINC000179427650 260047988 /nfs/dbraw/zinc/04/79/88/260047988.db2.gz NMVNYMYNRFNFMX-QGZVFWFLSA-N 1 2 289.350 3.555 20 0 CHADLO CCc1ccc(C[N@H+]2CCO[C@@H](c3ccc(F)cc3)C2)o1 ZINC000179427650 260047989 /nfs/dbraw/zinc/04/79/89/260047989.db2.gz NMVNYMYNRFNFMX-QGZVFWFLSA-N 1 2 289.350 3.555 20 0 CHADLO Cc1ccc(CNc2cccc(-n3cc[nH+]c3)c2)s1 ZINC000036939775 260054477 /nfs/dbraw/zinc/05/44/77/260054477.db2.gz VFHIVTTUYURLOK-UHFFFAOYSA-N 1 2 269.373 3.854 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+]2CCn3cccc3[C@H]2C)s1 ZINC000179569756 260056403 /nfs/dbraw/zinc/05/64/03/260056403.db2.gz SLZNPZHIMUKAJD-CYBMUJFWSA-N 1 2 289.448 3.720 20 0 CHADLO CC(C)Cc1ncc(C[N@H+]2CCn3cccc3[C@H]2C)s1 ZINC000179569756 260056404 /nfs/dbraw/zinc/05/64/04/260056404.db2.gz SLZNPZHIMUKAJD-CYBMUJFWSA-N 1 2 289.448 3.720 20 0 CHADLO c1csc(CNc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC000036988575 260061492 /nfs/dbraw/zinc/06/14/92/260061492.db2.gz ZXCWGFFWCJMHIB-UHFFFAOYSA-N 1 2 273.405 3.746 20 0 CHADLO Cc1ccc(NC2CCN(c3cccc[nH+]3)CC2)c(C)c1 ZINC000037414330 260102834 /nfs/dbraw/zinc/10/28/34/260102834.db2.gz NJQDKMTZHDGANT-UHFFFAOYSA-N 1 2 281.403 3.779 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc2c1OCO2)c1c(F)cccc1F ZINC000037771893 260116368 /nfs/dbraw/zinc/11/63/68/260116368.db2.gz MEPZDYVPSVUVHR-SNVBAGLBSA-N 1 2 291.297 3.544 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1ccc(C(C)C)cc1 ZINC000037891081 260119663 /nfs/dbraw/zinc/11/96/63/260119663.db2.gz WQJPAJKSLBLTEY-GFCCVEGCSA-N 1 2 257.381 3.692 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccccc1OC(F)(F)F ZINC000071413225 260162653 /nfs/dbraw/zinc/16/26/53/260162653.db2.gz GIYUATIREUMGAP-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](C)CC(=O)OC(C)(C)C ZINC000312933585 260223112 /nfs/dbraw/zinc/22/31/12/260223112.db2.gz OTLYJQZXTXIHBT-NSHDSACASA-N 1 2 283.799 3.675 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](C)CC(=O)OC(C)(C)C ZINC000312933585 260223114 /nfs/dbraw/zinc/22/31/14/260223114.db2.gz OTLYJQZXTXIHBT-NSHDSACASA-N 1 2 283.799 3.675 20 0 CHADLO Cc1nc(C)c(CNc2cccc(-n3cc[nH+]c3)c2)s1 ZINC000088867539 260235164 /nfs/dbraw/zinc/23/51/64/260235164.db2.gz AHEYWIDBBWNSNK-UHFFFAOYSA-N 1 2 284.388 3.558 20 0 CHADLO Fc1ccc(-c2ccc(C[NH2+]Cc3nccs3)o2)cc1 ZINC000040444016 260255237 /nfs/dbraw/zinc/25/52/37/260255237.db2.gz JHZRZKAOEPZHTI-UHFFFAOYSA-N 1 2 288.347 3.832 20 0 CHADLO CCC[C@@H](C)C(=O)Nc1nc(C[NH+]2CCCCC2)cs1 ZINC000069536579 260261556 /nfs/dbraw/zinc/26/15/56/260261556.db2.gz KSLQJPCQQXREKG-GFCCVEGCSA-N 1 2 295.452 3.504 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCOc2ccccc2)c1 ZINC000090803264 260262599 /nfs/dbraw/zinc/26/25/99/260262599.db2.gz UWRAMBBYEDPGDK-UHFFFAOYSA-N 1 2 282.265 3.591 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1N[C@H](C)c1[nH+]ccn1C ZINC000091477989 260265787 /nfs/dbraw/zinc/26/57/87/260265787.db2.gz QUXQPWQQHSIJNC-SNVBAGLBSA-N 1 2 283.297 3.920 20 0 CHADLO Cc1nn(C)c(C)c1CNc1[nH+]c2ccccc2cc1C ZINC000092340005 260269544 /nfs/dbraw/zinc/26/95/44/260269544.db2.gz FAPXVVKQWLDJQJ-UHFFFAOYSA-N 1 2 280.375 3.506 20 0 CHADLO Cc1[nH+]cccc1NCc1ccc2c(c1)OCCCCO2 ZINC000449212528 260295626 /nfs/dbraw/zinc/29/56/26/260295626.db2.gz TVADDCBRZXFEKM-UHFFFAOYSA-N 1 2 284.359 3.554 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)Cc2ccoc2)c1 ZINC000449211565 260295636 /nfs/dbraw/zinc/29/56/36/260295636.db2.gz HRSVXSRCGUAXAT-LBPRGKRZSA-N 1 2 276.405 3.971 20 0 CHADLO Cn1c2ccccc2[nH+]c1N(Cc1cccs1)C1CC1 ZINC000427499816 260752928 /nfs/dbraw/zinc/75/29/28/260752928.db2.gz OQBWIDNOQDUDBJ-UHFFFAOYSA-N 1 2 283.400 3.804 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+](C)Cc1nccn1C(F)F ZINC000136157342 260924008 /nfs/dbraw/zinc/92/40/08/260924008.db2.gz HCZWWBKLNUFCJT-UHFFFAOYSA-N 1 2 299.752 3.872 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+](C)Cc1nccn1C(F)F ZINC000136157342 260924010 /nfs/dbraw/zinc/92/40/10/260924010.db2.gz HCZWWBKLNUFCJT-UHFFFAOYSA-N 1 2 299.752 3.872 20 0 CHADLO CCSCC[C@@H](C)N(C)C(=O)Nc1ccc(C)[nH+]c1C ZINC000152365611 261063574 /nfs/dbraw/zinc/06/35/74/261063574.db2.gz DDEMVOKFBAJMED-GFCCVEGCSA-N 1 2 295.452 3.694 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000153373328 261077750 /nfs/dbraw/zinc/07/77/50/261077750.db2.gz XDCQGBWBGMLPIW-JQWIXIFHSA-N 1 2 292.452 3.815 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2CCCCC(F)(F)F)o1 ZINC000153876725 261084307 /nfs/dbraw/zinc/08/43/07/261084307.db2.gz QDHJBTIZUWNTBY-LBPRGKRZSA-N 1 2 291.313 3.694 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2CCCCC(F)(F)F)o1 ZINC000153876725 261084310 /nfs/dbraw/zinc/08/43/10/261084310.db2.gz QDHJBTIZUWNTBY-LBPRGKRZSA-N 1 2 291.313 3.694 20 0 CHADLO CC(C)(F)C(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000155227664 261099369 /nfs/dbraw/zinc/09/93/69/261099369.db2.gz AAAJGVOHIOJJJC-UHFFFAOYSA-N 1 2 275.327 3.517 20 0 CHADLO Cc1cccc(C)c1NC(=O)[C@H](C)[NH+]1Cc2ccccc2C1 ZINC000155533247 261103016 /nfs/dbraw/zinc/10/30/16/261103016.db2.gz VLXQHRBIRGIOHA-HNNXBMFYSA-N 1 2 294.398 3.646 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1cc(F)cc(F)c1)c1ccc(C)o1 ZINC000156455836 261122967 /nfs/dbraw/zinc/12/29/67/261122967.db2.gz BUEIBWCDMWGHFO-IAQYHMDHSA-N 1 2 295.329 3.905 20 0 CHADLO Clc1ccc2[nH+]c(CSCc3nccs3)cn2c1 ZINC000161699960 261128169 /nfs/dbraw/zinc/12/81/69/261128169.db2.gz HGZPYMSHMJUFRM-UHFFFAOYSA-N 1 2 295.820 3.878 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccc([C@@H]3C[C@@H]3C)o2)s1 ZINC000174627268 261190716 /nfs/dbraw/zinc/19/07/16/261190716.db2.gz PFEJQPCQOLRZEC-GXFFZTMASA-N 1 2 276.405 3.712 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccc3ccccc3n2)s1 ZINC000175857883 261250125 /nfs/dbraw/zinc/25/01/25/261250125.db2.gz MMTFIHQVUVKDNW-GFCCVEGCSA-N 1 2 283.400 3.851 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2cncs2)cs1 ZINC000162324343 261278041 /nfs/dbraw/zinc/27/80/41/261278041.db2.gz BHGOSKGHDMHRTG-BDAKNGLRSA-N 1 2 267.423 3.574 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1csc(C2CC2)n1 ZINC000162545316 261284808 /nfs/dbraw/zinc/28/48/08/261284808.db2.gz ULRBWMYUCNSGCE-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1csc(C2CC2)n1 ZINC000162545316 261284810 /nfs/dbraw/zinc/28/48/10/261284810.db2.gz ULRBWMYUCNSGCE-HNNXBMFYSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1ccc(NC(=O)CCc2c[nH]c3ccccc23)c(C)[nH+]1 ZINC000176450760 261287091 /nfs/dbraw/zinc/28/70/91/261287091.db2.gz UOCXTDCNGUJHPI-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO CCCC(CCC)[S@](=O)Cc1cn2cccc(C)c2[nH+]1 ZINC000176640333 261302442 /nfs/dbraw/zinc/30/24/42/261302442.db2.gz MBHWAISASPZYPZ-HXUWFJFHSA-N 1 2 292.448 3.860 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@@H](C)c2ccc(C)o2)cs1 ZINC000165275032 261367963 /nfs/dbraw/zinc/36/79/63/261367963.db2.gz ZRZXQHJWFKZOQJ-QWRGUYRKSA-N 1 2 280.393 3.603 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1cc(F)c(F)c(F)c1 ZINC000177923956 261372840 /nfs/dbraw/zinc/37/28/40/261372840.db2.gz ZOZVAPGRKGTEMT-SFYZADRCSA-N 1 2 286.322 3.972 20 0 CHADLO c1cn(-c2ccc(CSC3CCCCC3)cn2)c[nH+]1 ZINC000175930427 261630389 /nfs/dbraw/zinc/63/03/89/261630389.db2.gz JCTPDESCHJOORT-UHFFFAOYSA-N 1 2 273.405 3.833 20 0 CHADLO CCc1[nH+]c2ccccc2n1Cc1noc(C(CC)CC)n1 ZINC000460750737 261723167 /nfs/dbraw/zinc/72/31/67/261723167.db2.gz XMINJUWYIYUOEL-UHFFFAOYSA-N 1 2 298.390 3.934 20 0 CHADLO COc1c(C)c[nH+]c(CSCc2csc(C)n2)c1C ZINC000180381811 261857260 /nfs/dbraw/zinc/85/72/60/261857260.db2.gz URGGQFWFBZAEAA-UHFFFAOYSA-N 1 2 294.445 3.905 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cc(Cl)ccc1Cl ZINC000107288697 261882011 /nfs/dbraw/zinc/88/20/11/261882011.db2.gz IECQWKPBQWOEQN-UHFFFAOYSA-N 1 2 281.142 3.949 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cc(F)c(F)cc1Cl ZINC000107291028 261882768 /nfs/dbraw/zinc/88/27/68/261882768.db2.gz KGLCZEIRCLUPMS-UHFFFAOYSA-N 1 2 282.677 3.574 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccn1)c1ncc(C(C)(C)C)o1 ZINC000181783869 261910777 /nfs/dbraw/zinc/91/07/77/261910777.db2.gz NFUCWVKKKMDSKB-NWDGAFQWSA-N 1 2 273.380 3.779 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1cncc(F)c1 ZINC000182955145 261958192 /nfs/dbraw/zinc/95/81/92/261958192.db2.gz DHTRUAAQZLJFCD-LBPRGKRZSA-N 1 2 285.366 3.776 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1cncc(F)c1 ZINC000182955145 261958196 /nfs/dbraw/zinc/95/81/96/261958196.db2.gz DHTRUAAQZLJFCD-LBPRGKRZSA-N 1 2 285.366 3.776 20 0 CHADLO CC[C@H](Nc1ccc(CSC)cc1)c1[nH+]ccn1C ZINC000183109912 261963458 /nfs/dbraw/zinc/96/34/58/261963458.db2.gz XMDKRVBLPVDMGF-AWEZNQCLSA-N 1 2 275.421 3.846 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2c(F)cccc2F)s1 ZINC000183984031 262003717 /nfs/dbraw/zinc/00/37/17/262003717.db2.gz OHSVEWKTQMIMJD-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO COc1cc(CNc2cc[nH+]cc2F)ccc1OC(C)C ZINC000186426890 262092556 /nfs/dbraw/zinc/09/25/56/262092556.db2.gz MOEHKMLQEQMGBI-UHFFFAOYSA-N 1 2 290.338 3.629 20 0 CHADLO Cc1ccc(C[N@@H+]2CCSC[C@H]2c2nccs2)s1 ZINC000186649033 262103686 /nfs/dbraw/zinc/10/36/86/262103686.db2.gz PMDUNVKBTLSVJE-LBPRGKRZSA-N 1 2 296.486 3.803 20 0 CHADLO Cc1ccc(C[N@H+]2CCSC[C@H]2c2nccs2)s1 ZINC000186649033 262103688 /nfs/dbraw/zinc/10/36/88/262103688.db2.gz PMDUNVKBTLSVJE-LBPRGKRZSA-N 1 2 296.486 3.803 20 0 CHADLO Fc1ccccc1-c1n[nH]cc1C[NH+]1Cc2ccccc2C1 ZINC000119959809 262107294 /nfs/dbraw/zinc/10/72/94/262107294.db2.gz CFGYSODBZKTNNW-UHFFFAOYSA-N 1 2 293.345 3.732 20 0 CHADLO CC(C)(C)c1ccc(OCCNc2cccc[nH+]2)cc1 ZINC000019777782 262154627 /nfs/dbraw/zinc/15/46/27/262154627.db2.gz FRXOYITVUZPIED-UHFFFAOYSA-N 1 2 270.376 3.870 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000497612944 262182273 /nfs/dbraw/zinc/18/22/73/262182273.db2.gz UFTKBYAFNPSUDO-OUAUKWLOSA-N 1 2 271.763 3.649 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000497612944 262182275 /nfs/dbraw/zinc/18/22/75/262182275.db2.gz UFTKBYAFNPSUDO-OUAUKWLOSA-N 1 2 271.763 3.649 20 0 CHADLO CCCC[C@@H]([NH2+][C@H](C(=O)OC)c1ccsc1)C1CCC1 ZINC000499622687 262204624 /nfs/dbraw/zinc/20/46/24/262204624.db2.gz AWSMUWUFRLOILH-CABCVRRESA-N 1 2 295.448 3.911 20 0 CHADLO Cc1ccc([NH2+]C[C@@H]2C[C@@H]2C)c(OC[C@H]2CCCO2)c1 ZINC000488305009 262307131 /nfs/dbraw/zinc/30/71/31/262307131.db2.gz DDHHFMSLVTWWCG-SOUVJXGZSA-N 1 2 275.392 3.621 20 0 CHADLO Cc1cc(NC(=O)Nc2ccc(C)c(OC(C)C)c2)cc[nH+]1 ZINC000488570627 262377129 /nfs/dbraw/zinc/37/71/29/262377129.db2.gz DSQYARNVVDFZRX-UHFFFAOYSA-N 1 2 299.374 3.552 20 0 CHADLO c1cc2c(s1)CCC[C@H]2Nc1cc(NC2CC2)[nH+]cn1 ZINC000413226674 262756882 /nfs/dbraw/zinc/75/68/82/262756882.db2.gz HJKNFJOBAUPQCC-GFCCVEGCSA-N 1 2 286.404 3.602 20 0 CHADLO c1cc2c(s1)CCC[C@H]2Nc1cc(NC2CC2)nc[nH+]1 ZINC000413226674 262756883 /nfs/dbraw/zinc/75/68/83/262756883.db2.gz HJKNFJOBAUPQCC-GFCCVEGCSA-N 1 2 286.404 3.602 20 0 CHADLO COc1ccc(Cl)cc1[C@@H](C)[NH2+][C@@H](C)c1csnn1 ZINC000414304571 262848371 /nfs/dbraw/zinc/84/83/71/262848371.db2.gz OXHYYAXHHUJGAR-BDAKNGLRSA-N 1 2 297.811 3.612 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2C[C@@H](C)[C@@H]2c2ccccc2)s1 ZINC000273196838 263013915 /nfs/dbraw/zinc/01/39/15/263013915.db2.gz PRFYMMMEHUBBIY-UHIISALHSA-N 1 2 273.405 3.601 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2C[C@@H](C)[C@@H]2c2ccccc2)s1 ZINC000273196838 263013916 /nfs/dbraw/zinc/01/39/16/263013916.db2.gz PRFYMMMEHUBBIY-UHIISALHSA-N 1 2 273.405 3.601 20 0 CHADLO C[C@@H]1CCC(C)(C)[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000452010463 263023088 /nfs/dbraw/zinc/02/30/88/263023088.db2.gz QLNKXPRWQAYWDH-MRVPVSSYSA-N 1 2 278.343 3.925 20 0 CHADLO C[C@@H]1CCC(C)(C)[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000452010463 263023089 /nfs/dbraw/zinc/02/30/89/263023089.db2.gz QLNKXPRWQAYWDH-MRVPVSSYSA-N 1 2 278.343 3.925 20 0 CHADLO CC1=C[C@H](C)C[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000430278130 263024222 /nfs/dbraw/zinc/02/42/22/263024222.db2.gz OXIYJAJGFASUQZ-LBPRGKRZSA-N 1 2 275.396 3.515 20 0 CHADLO CC1=C[C@H](C)C[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000430278130 263024223 /nfs/dbraw/zinc/02/42/23/263024223.db2.gz OXIYJAJGFASUQZ-LBPRGKRZSA-N 1 2 275.396 3.515 20 0 CHADLO CC[C@@H](CC(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C)c1ccccc1 ZINC000276489465 263036948 /nfs/dbraw/zinc/03/69/48/263036948.db2.gz GFRLGGFKRJVYHX-WMLDXEAASA-N 1 2 299.418 3.807 20 0 CHADLO c1cc(OCC2CC2)ccc1[NH2+]C[C@H]1CCSC1 ZINC000278564397 263048941 /nfs/dbraw/zinc/04/89/41/263048941.db2.gz WOCKDLLJTXHKMZ-CYBMUJFWSA-N 1 2 263.406 3.640 20 0 CHADLO COc1ccc(C)cc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414850802 263067028 /nfs/dbraw/zinc/06/70/28/263067028.db2.gz NHPSFJIMJSDTEE-UHFFFAOYSA-N 1 2 282.387 3.974 20 0 CHADLO COc1ccc(CNc2[nH+]ccc3ccc(F)cc32)cc1 ZINC000340194424 263088986 /nfs/dbraw/zinc/08/89/86/263088986.db2.gz BEHFFRJOQAOIFC-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO COc1ccncc1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000287855595 263102868 /nfs/dbraw/zinc/10/28/68/263102868.db2.gz RLYKTETYFIUGID-OAHLLOKOSA-N 1 2 288.778 3.691 20 0 CHADLO COc1ccncc1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000287855595 263102869 /nfs/dbraw/zinc/10/28/69/263102869.db2.gz RLYKTETYFIUGID-OAHLLOKOSA-N 1 2 288.778 3.691 20 0 CHADLO c1csc([C@H]([NH2+]CCC2CCCCC2)c2nnc[nH]2)c1 ZINC000293611507 263144033 /nfs/dbraw/zinc/14/40/33/263144033.db2.gz GORBLNAHDFHQRM-AWEZNQCLSA-N 1 2 290.436 3.516 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncn1)c1ccc(F)cc1Cl ZINC000453111966 263190794 /nfs/dbraw/zinc/19/07/94/263190794.db2.gz QSWWLANPUKFXOZ-ZJUUUORDSA-N 1 2 279.746 3.681 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)C(F)F)c1ccccc1OC ZINC000453246258 263207817 /nfs/dbraw/zinc/20/78/17/263207817.db2.gz MYNANXPRKDDSOP-NEPJUHHUSA-N 1 2 257.324 3.780 20 0 CHADLO Cc1cc[nH+]c(NCc2ccc3c(c2)COC3)c1Cl ZINC000342648516 263467005 /nfs/dbraw/zinc/46/70/05/263467005.db2.gz BEOPVNHYIALFRS-UHFFFAOYSA-N 1 2 274.751 3.686 20 0 CHADLO C[C@H](N[C@@H](C)c1[nH]cc[nH+]1)c1nc(C(C)(C)C)cs1 ZINC000330604155 263983589 /nfs/dbraw/zinc/98/35/89/263983589.db2.gz GRFWCIJMYGYFAA-UWVGGRQHSA-N 1 2 278.425 3.576 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)cs1)c1ncc[nH]1 ZINC000330604155 263983592 /nfs/dbraw/zinc/98/35/92/263983592.db2.gz GRFWCIJMYGYFAA-UWVGGRQHSA-N 1 2 278.425 3.576 20 0 CHADLO Cc1cc(C)c(NC(=O)CO[C@H]2CCCC[C@@H]2C)c(C)[nH+]1 ZINC000330725378 264025500 /nfs/dbraw/zinc/02/55/00/264025500.db2.gz ZJHNMTQGZXHYNR-NHYWBVRUSA-N 1 2 290.407 3.541 20 0 CHADLO CC(C)[C@@H](NC(=O)C[C@@H](C)C1CCCCC1)c1[nH]cc[nH+]1 ZINC000330967058 264091478 /nfs/dbraw/zinc/09/14/78/264091478.db2.gz ADFPYXICNGTHJY-CZUORRHYSA-N 1 2 291.439 3.830 20 0 CHADLO CC[C@H](NC(=O)C[C@H]1C[C@H](C)CC(C)(C)C1)c1[nH]cc[nH+]1 ZINC000331010822 264107333 /nfs/dbraw/zinc/10/73/33/264107333.db2.gz VKTNXXGRWYIYCF-MJBXVCDLSA-N 1 2 291.439 3.830 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1OCC(=O)Nc1c(C)cc[nH+]c1C ZINC000331244892 264178925 /nfs/dbraw/zinc/17/89/25/264178925.db2.gz REWRUXCTHQNBLV-CABCVRRESA-N 1 2 290.407 3.622 20 0 CHADLO CC(C)n1cncc1C[NH+]1CC(c2cccc(Cl)c2)C1 ZINC000425369870 264214296 /nfs/dbraw/zinc/21/42/96/264214296.db2.gz NBKRLAYTWYBUJB-UHFFFAOYSA-N 1 2 289.810 3.717 20 0 CHADLO C[C@@H](N[C@H]1C[N@H+](C)Cc2ccccc21)c1ccccc1F ZINC000366806012 264235842 /nfs/dbraw/zinc/23/58/42/264235842.db2.gz SAJOHXSDVWPZQL-ACJLOTCBSA-N 1 2 284.378 3.663 20 0 CHADLO C[C@@H](N[C@H]1C[N@@H+](C)Cc2ccccc21)c1ccccc1F ZINC000366806012 264235843 /nfs/dbraw/zinc/23/58/43/264235843.db2.gz SAJOHXSDVWPZQL-ACJLOTCBSA-N 1 2 284.378 3.663 20 0 CHADLO Cc1cc(N)nc(S[C@@H]2CCCc3ccccc32)[nH+]1 ZINC000071918036 264260766 /nfs/dbraw/zinc/26/07/66/264260766.db2.gz RNUVUMWBKFCARV-CYBMUJFWSA-N 1 2 271.389 3.537 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@H+](C)Cc2nc(C(F)F)no2)c1 ZINC000425405912 264284479 /nfs/dbraw/zinc/28/44/79/264284479.db2.gz ZMYVRACUHAGUOY-NSHDSACASA-N 1 2 295.333 3.817 20 0 CHADLO Cc1ccc(C)c([C@H](C)[N@@H+](C)Cc2nc(C(F)F)no2)c1 ZINC000425405912 264284481 /nfs/dbraw/zinc/28/44/81/264284481.db2.gz ZMYVRACUHAGUOY-NSHDSACASA-N 1 2 295.333 3.817 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1Cl)c1nc(C(C)(C)C)no1 ZINC000073984356 264301319 /nfs/dbraw/zinc/30/13/19/264301319.db2.gz KXILDHVOFHQFBW-SNVBAGLBSA-N 1 2 293.798 3.871 20 0 CHADLO Cc1noc(C)c1[C@H](C)CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000129491376 264324923 /nfs/dbraw/zinc/32/49/23/264324923.db2.gz OTYRCEAPPHQETE-SNVBAGLBSA-N 1 2 288.395 3.729 20 0 CHADLO CC[C@@H](CCO)CNc1cc(C)[nH+]c2c(F)cccc12 ZINC000129472110 264325122 /nfs/dbraw/zinc/32/51/22/264325122.db2.gz HFUKQOJKCFFKPY-LBPRGKRZSA-N 1 2 276.355 3.503 20 0 CHADLO Cc1cc(C)c([C@@H](C)Sc2nc(N)cc(C)[nH+]2)c(C)c1 ZINC000518844562 265179741 /nfs/dbraw/zinc/17/97/41/265179741.db2.gz XSBVUTWRMVTGMV-CYBMUJFWSA-N 1 2 287.432 3.976 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1ccc(Cl)cc1)C(=O)OCC ZINC000096867246 265392070 /nfs/dbraw/zinc/39/20/70/265392070.db2.gz BEZNCBBBQYTQOK-FZMZJTMJSA-N 1 2 283.799 3.722 20 0 CHADLO CC1(C)C[N@H+](Cc2ccsc2Cl)[C@H]1[C@@H]1CCCO1 ZINC000514638875 266022765 /nfs/dbraw/zinc/02/27/65/266022765.db2.gz LKFYERUDJYNUNE-RYUDHWBXSA-N 1 2 285.840 3.791 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccsc2Cl)[C@H]1[C@@H]1CCCO1 ZINC000514638875 266022766 /nfs/dbraw/zinc/02/27/66/266022766.db2.gz LKFYERUDJYNUNE-RYUDHWBXSA-N 1 2 285.840 3.791 20 0 CHADLO CSc1ccc(C[NH+]2Cc3ccccc3C2)s1 ZINC000356186559 266065781 /nfs/dbraw/zinc/06/57/81/266065781.db2.gz AUCWSDVQOPVLKD-UHFFFAOYSA-N 1 2 261.415 3.986 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCc2ccccc2C1 ZINC000356238709 266078618 /nfs/dbraw/zinc/07/86/18/266078618.db2.gz XFQUUVFUOWHGQZ-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCc2ccccc2C1 ZINC000356238709 266078619 /nfs/dbraw/zinc/07/86/19/266078619.db2.gz XFQUUVFUOWHGQZ-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Cc1ccc(-c2ccc(CNc3ccc(CO)c[nH+]3)o2)cc1 ZINC000356268871 266084440 /nfs/dbraw/zinc/08/44/40/266084440.db2.gz ASPGXXLDWGFSSO-UHFFFAOYSA-N 1 2 294.354 3.754 20 0 CHADLO CSc1ccc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)s1 ZINC000356342921 266098856 /nfs/dbraw/zinc/09/88/56/266098856.db2.gz QZOLUIHGURUVBN-CYBMUJFWSA-N 1 2 293.461 3.920 20 0 CHADLO CSc1ccc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)s1 ZINC000356342921 266098858 /nfs/dbraw/zinc/09/88/58/266098858.db2.gz QZOLUIHGURUVBN-CYBMUJFWSA-N 1 2 293.461 3.920 20 0 CHADLO Fc1cccc(Cl)c1C[NH+]1CC2(CCC2(F)F)C1 ZINC000356521403 266129657 /nfs/dbraw/zinc/12/96/57/266129657.db2.gz HGCAKUVZLJREGB-UHFFFAOYSA-N 1 2 275.701 3.710 20 0 CHADLO Cc1sc(C[NH2+][C@@H](C)c2ccc(F)cn2)nc1C(C)C ZINC000356673955 266157674 /nfs/dbraw/zinc/15/76/74/266157674.db2.gz JBCKINGWKGTZKU-JTQLQIEISA-N 1 2 293.411 3.960 20 0 CHADLO CCc1cc(N(C)[C@@H](C)C2CCC2)nc(-c2ccncc2)[nH+]1 ZINC000356790145 266174707 /nfs/dbraw/zinc/17/47/07/266174707.db2.gz GZXOXAPSJRNEAW-ZDUSSCGKSA-N 1 2 296.418 3.726 20 0 CHADLO C[C@H]1C[C@@H](CNc2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000356796708 266176207 /nfs/dbraw/zinc/17/62/07/266176207.db2.gz RUFPACYNWXCGPW-RYUDHWBXSA-N 1 2 274.339 3.601 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+][C@H](COC)c1ccc(C)o1 ZINC000356867099 266198543 /nfs/dbraw/zinc/19/85/43/266198543.db2.gz MMCQKRJBTFGPKN-UKRRQHHQSA-N 1 2 288.391 3.584 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+][C@@H](COC)c1ccc(C)o1 ZINC000356867098 266198896 /nfs/dbraw/zinc/19/88/96/266198896.db2.gz MMCQKRJBTFGPKN-HIFRSBDPSA-N 1 2 288.391 3.584 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(Cl)c(Cl)c2)n1 ZINC000356887946 266205477 /nfs/dbraw/zinc/20/54/77/266205477.db2.gz BYHSQBWQJZWHQT-ZETCQYMHSA-N 1 2 286.162 3.536 20 0 CHADLO C[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1ccc(Oc2ccccc2)o1 ZINC000356996166 266227350 /nfs/dbraw/zinc/22/73/50/266227350.db2.gz QAUPMUNCGSSKCQ-KGLIPLIRSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@@H]1CO[C@@H](C)C[N@H+]1Cc1ccc(Oc2ccccc2)o1 ZINC000356996166 266227352 /nfs/dbraw/zinc/22/73/52/266227352.db2.gz QAUPMUNCGSSKCQ-KGLIPLIRSA-N 1 2 287.359 3.681 20 0 CHADLO CCOc1ccc2c(c1)CC[N@H+]([C@H](C)c1ncc(C)o1)C2 ZINC000357006658 266230799 /nfs/dbraw/zinc/23/07/99/266230799.db2.gz NXLPDVFQUZIGOS-CYBMUJFWSA-N 1 2 286.375 3.501 20 0 CHADLO CCOc1ccc2c(c1)CC[N@@H+]([C@H](C)c1ncc(C)o1)C2 ZINC000357006658 266230801 /nfs/dbraw/zinc/23/08/01/266230801.db2.gz NXLPDVFQUZIGOS-CYBMUJFWSA-N 1 2 286.375 3.501 20 0 CHADLO COCc1cc(NCc2ccccc2)c2cc(F)ccc2[nH+]1 ZINC000357076164 266243435 /nfs/dbraw/zinc/24/34/35/266243435.db2.gz IAHUOZZQMKLOHX-UHFFFAOYSA-N 1 2 296.345 3.554 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2csc3ccccc23)no1 ZINC000357112551 266250719 /nfs/dbraw/zinc/25/07/19/266250719.db2.gz WCENVVGVLWKDNJ-UHFFFAOYSA-N 1 2 287.388 3.618 20 0 CHADLO COc1cc(F)c(F)cc1NCc1ccc(C)[nH+]c1C ZINC000357195535 266263739 /nfs/dbraw/zinc/26/37/39/266263739.db2.gz ZDJFFLLLLOWEOS-UHFFFAOYSA-N 1 2 278.302 3.597 20 0 CHADLO Cc1cc(N2CCCC[C@H]2C(C)C)nc(C2CC2)[nH+]1 ZINC000357276827 266270751 /nfs/dbraw/zinc/27/07/51/266270751.db2.gz UTKPWXLTNZWLQN-AWEZNQCLSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(CCC(C)C)no2)c1 ZINC000357470207 266303889 /nfs/dbraw/zinc/30/38/89/266303889.db2.gz KEGUMERHHPUTSD-UHFFFAOYSA-N 1 2 287.407 3.599 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(CCC(C)C)no2)c1 ZINC000357470207 266303891 /nfs/dbraw/zinc/30/38/91/266303891.db2.gz KEGUMERHHPUTSD-UHFFFAOYSA-N 1 2 287.407 3.599 20 0 CHADLO CC1(C2CC2)CCN(c2cc[nH+]c3ccncc32)CC1 ZINC000357573103 266327345 /nfs/dbraw/zinc/32/73/45/266327345.db2.gz UYVXSLAGYHOXDI-UHFFFAOYSA-N 1 2 267.376 3.646 20 0 CHADLO CC(C)c1nc(NCc2ccc3sccc3c2)cc[nH+]1 ZINC000357603977 266333907 /nfs/dbraw/zinc/33/39/07/266333907.db2.gz RDFJVDMBDMRKMO-UHFFFAOYSA-N 1 2 283.400 3.849 20 0 CHADLO CC[C@@H](C)n1ncc(Nc2nc(C)[nH+]c(C)c2C)c1C ZINC000357653168 266340410 /nfs/dbraw/zinc/34/04/10/266340410.db2.gz XUMFKSZVONTTKQ-SECBINFHSA-N 1 2 273.384 3.621 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1cc(-c2ccco2)on1 ZINC000357775676 266358061 /nfs/dbraw/zinc/35/80/61/266358061.db2.gz QKSNUKNBGWGSLD-CYBMUJFWSA-N 1 2 294.354 3.881 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1cc(-c2ccco2)on1 ZINC000357775676 266358064 /nfs/dbraw/zinc/35/80/64/266358064.db2.gz QKSNUKNBGWGSLD-CYBMUJFWSA-N 1 2 294.354 3.881 20 0 CHADLO C[C@H]([NH2+]Cc1cn2ccsc2n1)c1c(F)cccc1F ZINC000358078894 266416099 /nfs/dbraw/zinc/41/60/99/266416099.db2.gz FNJXIEJYSVYFKG-VIFPVBQESA-N 1 2 293.342 3.525 20 0 CHADLO CC(C)(C)CC[C@H](CO)Nc1[nH+]ccc2ccc(F)cc21 ZINC000360240866 266696831 /nfs/dbraw/zinc/69/68/31/266696831.db2.gz HAYCAOPAWWSXID-CQSZACIVSA-N 1 2 290.382 3.973 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2cc(COC(C)(C)C)on2)o1 ZINC000362717798 267038257 /nfs/dbraw/zinc/03/82/57/267038257.db2.gz SXYSJNNWCLBJLR-GFCCVEGCSA-N 1 2 292.379 3.742 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(Oc2ccccc2)o1 ZINC000362800631 267047072 /nfs/dbraw/zinc/04/70/72/267047072.db2.gz IACDDBQNJYBSBL-UHFFFAOYSA-N 1 2 284.290 3.640 20 0 CHADLO Cc1cccn2c(CNc3cccc4c3OCCC4)c[nH+]c12 ZINC000362822761 267051614 /nfs/dbraw/zinc/05/16/14/267051614.db2.gz JZSMBFXIJNKSGB-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO CCn1cc[nH+]c1CN1CC[C@@H]1c1ccc(Cl)cc1 ZINC000362880269 267056533 /nfs/dbraw/zinc/05/65/33/267056533.db2.gz YKWQMRGPZMRDJA-CQSZACIVSA-N 1 2 275.783 3.503 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367535019 267097309 /nfs/dbraw/zinc/09/73/09/267097309.db2.gz ZTCLWUCCFPYWER-JKSUJKDBSA-N 1 2 299.418 3.927 20 0 CHADLO CCN(c1cc[nH+]c(C(C)C)n1)[C@H](C)c1cccnc1 ZINC000115520582 267098669 /nfs/dbraw/zinc/09/86/69/267098669.db2.gz DYZGWFLRWIVWOR-CYBMUJFWSA-N 1 2 270.380 3.583 20 0 CHADLO CC[C@@H]([NH2+]Cc1noc2c1CCCC2)c1ccccc1F ZINC000368141454 267148421 /nfs/dbraw/zinc/14/84/21/267148421.db2.gz DUODAXWWIQUHLE-OAHLLOKOSA-N 1 2 288.366 3.933 20 0 CHADLO Cc1cc([C@@H](C)N[C@H]2C[N@H+](C)Cc3ccccc32)oc1C ZINC000368522174 267179516 /nfs/dbraw/zinc/17/95/16/267179516.db2.gz LXZFGYRGXLDHME-DYVFJYSZSA-N 1 2 284.403 3.734 20 0 CHADLO Cc1cc([C@@H](C)N[C@H]2C[N@@H+](C)Cc3ccccc32)oc1C ZINC000368522174 267179519 /nfs/dbraw/zinc/17/95/19/267179519.db2.gz LXZFGYRGXLDHME-DYVFJYSZSA-N 1 2 284.403 3.734 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2ccccn2)c2ccccn2)cc1 ZINC000369290539 267232043 /nfs/dbraw/zinc/23/20/43/267232043.db2.gz OGFSXBQJVCQLEW-IBGZPJMESA-N 1 2 289.382 3.664 20 0 CHADLO CCC(CC)CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000119290643 267314289 /nfs/dbraw/zinc/31/42/89/267314289.db2.gz CUBNMEFYOJTLMO-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO C[C@@H]1[C@H](C)C[N@H+](Cc2ncc(C(F)(F)F)s2)[C@H]1C ZINC000418127350 267355418 /nfs/dbraw/zinc/35/54/18/267355418.db2.gz QVKNMWIMTXGRCI-HLTSFMKQSA-N 1 2 278.343 3.638 20 0 CHADLO C[C@@H]1[C@H](C)C[N@@H+](Cc2ncc(C(F)(F)F)s2)[C@H]1C ZINC000418127350 267355421 /nfs/dbraw/zinc/35/54/21/267355421.db2.gz QVKNMWIMTXGRCI-HLTSFMKQSA-N 1 2 278.343 3.638 20 0 CHADLO CC[C@]1(C)C[C@@H]1C(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000371247388 267377453 /nfs/dbraw/zinc/37/74/53/267377453.db2.gz MRCJZXDZXLKQGW-CXAGYDPISA-N 1 2 283.375 3.760 20 0 CHADLO C[C@@H]([NH2+]Cc1ncoc1-c1ccccc1)c1ccoc1 ZINC000371281240 267381141 /nfs/dbraw/zinc/38/11/41/267381141.db2.gz URWHVROENHFZSU-GFCCVEGCSA-N 1 2 268.316 3.785 20 0 CHADLO Cc1cc2cc(C[N@@H+]3CCC[C@H]3c3ncon3)oc2cc1C ZINC000371375804 267395562 /nfs/dbraw/zinc/39/55/62/267395562.db2.gz WDZJVFPPISSXKL-HNNXBMFYSA-N 1 2 297.358 3.770 20 0 CHADLO Cc1cc2cc(C[N@H+]3CCC[C@H]3c3ncon3)oc2cc1C ZINC000371375804 267395567 /nfs/dbraw/zinc/39/55/67/267395567.db2.gz WDZJVFPPISSXKL-HNNXBMFYSA-N 1 2 297.358 3.770 20 0 CHADLO CC1(C(=O)Oc2ccc(-c3[nH+]cc4n3CCCC4)cc2)CC1 ZINC000375325379 267848487 /nfs/dbraw/zinc/84/84/87/267848487.db2.gz ZPVXFODBBBPVDZ-UHFFFAOYSA-N 1 2 296.370 3.592 20 0 CHADLO Cc1cccc([C@@H](N[C@@H](C)c2[nH]cc[nH+]2)c2ccccn2)c1 ZINC000375803436 267905317 /nfs/dbraw/zinc/90/53/17/267905317.db2.gz CDQHUEBHLKLXHQ-WMLDXEAASA-N 1 2 292.386 3.553 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000377067914 268044336 /nfs/dbraw/zinc/04/43/36/268044336.db2.gz PDIXFKPUQIUOPR-ZDUSSCGKSA-N 1 2 277.318 3.684 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCC[C@H]2c2ncc[nH]2)c1 ZINC000377067914 268044338 /nfs/dbraw/zinc/04/43/38/268044338.db2.gz PDIXFKPUQIUOPR-ZDUSSCGKSA-N 1 2 277.318 3.684 20 0 CHADLO CC(C)Sc1cccc(Cl)c1C[NH2+]Cc1cc[nH]n1 ZINC000192028774 335088729 /nfs/dbraw/zinc/08/87/29/335088729.db2.gz JQDGRZSAVNNHJL-UHFFFAOYSA-N 1 2 295.839 3.853 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCc3ccccn3)c2c1 ZINC000193069354 327668093 /nfs/dbraw/zinc/66/80/93/327668093.db2.gz YDVXPXSZBLGMAV-UHFFFAOYSA-N 1 2 280.327 3.526 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)N(c2[nH+]c3ccccc3n2C)C1 ZINC000347883834 533699980 /nfs/dbraw/zinc/69/99/80/533699980.db2.gz WOPTWCCLNRXHPG-DYVFJYSZSA-N 1 2 292.386 3.556 20 0 CHADLO CCS[C@@H]1CCC[C@H](Nc2nc(C)[nH+]cc2C)C1 ZINC000582132621 327803105 /nfs/dbraw/zinc/80/31/05/327803105.db2.gz RRYCZDLGFNNBDN-QWHCGFSZSA-N 1 2 265.426 3.570 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cccc(F)c1F)c1ccc(C)o1 ZINC000183276718 327818452 /nfs/dbraw/zinc/81/84/52/327818452.db2.gz VPRMUPWLMJSSRL-RISCZKNCSA-N 1 2 295.329 3.905 20 0 CHADLO CC(C)=CC[NH2+][C@@H](C)c1nc(C2CCCCC2)no1 ZINC000185249058 327837590 /nfs/dbraw/zinc/83/75/90/327837590.db2.gz QPZGKZBHTFHKHP-LBPRGKRZSA-N 1 2 263.385 3.734 20 0 CHADLO CC(C)c1[nH+]c(CN2CCC3(CCC3)C2)c2ccccn21 ZINC000563253593 328001578 /nfs/dbraw/zinc/00/15/78/328001578.db2.gz XJFFVFJXEVGNNJ-UHFFFAOYSA-N 1 2 283.419 3.834 20 0 CHADLO COCC[C@H](C)SCc1cn2cc(Cl)ccc2[nH+]1 ZINC000531516054 328013520 /nfs/dbraw/zinc/01/35/20/328013520.db2.gz UCCDLGXLWUHZIN-JTQLQIEISA-N 1 2 284.812 3.646 20 0 CHADLO CC[C@@H](Cc1ccccc1)C(=O)Nc1ccc(C)[nH+]c1C ZINC000531511747 328014051 /nfs/dbraw/zinc/01/40/51/328014051.db2.gz LEORSZWLGTUDQR-INIZCTEOSA-N 1 2 282.387 3.906 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@H](C)[C@@H]3CCCC[C@H]32)[nH+]1 ZINC000531531359 328015129 /nfs/dbraw/zinc/01/51/29/328015129.db2.gz DTCAHSIOCWWFLL-CORIIIEPSA-N 1 2 284.407 3.671 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nccn1C)c1ccccc1)c1ccsc1 ZINC000531687825 328021042 /nfs/dbraw/zinc/02/10/42/328021042.db2.gz SOBKPXMLHZPWPC-CZUORRHYSA-N 1 2 297.427 3.922 20 0 CHADLO CCCOc1ccccc1OCc1cc(OC)cc(C)[nH+]1 ZINC000534065490 328033300 /nfs/dbraw/zinc/03/33/00/328033300.db2.gz PPRBAQFRXIOXHS-UHFFFAOYSA-N 1 2 287.359 3.766 20 0 CHADLO CCC(CC)(C(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000534299955 328044098 /nfs/dbraw/zinc/04/40/98/328044098.db2.gz ONJXNZHPAGWKJY-UHFFFAOYSA-N 1 2 296.414 3.817 20 0 CHADLO COc1nc2ccccc2cc1C[N@H+](C)Cc1ccoc1C ZINC000534350048 328048078 /nfs/dbraw/zinc/04/80/78/328048078.db2.gz RAGYKFQOCISBQR-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1nc2ccccc2cc1C[N@@H+](C)Cc1ccoc1C ZINC000534350048 328048079 /nfs/dbraw/zinc/04/80/79/328048079.db2.gz RAGYKFQOCISBQR-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N(C)c1cccc(C(C)C)c1 ZINC000563362407 328058853 /nfs/dbraw/zinc/05/88/53/328058853.db2.gz CGVFTPBZKQDWKJ-UHFFFAOYSA-N 1 2 283.375 3.604 20 0 CHADLO C[C@H](CC(=O)N1CCC[C@H](C)c2ccccc21)n1cc[nH+]c1 ZINC000563625504 328078132 /nfs/dbraw/zinc/07/81/32/328078132.db2.gz NFADATWKZUTKBH-LSDHHAIUSA-N 1 2 297.402 3.765 20 0 CHADLO C/C=C/c1ccc(NCc2cn3ccccc3[nH+]2)cc1 ZINC000563649426 328081033 /nfs/dbraw/zinc/08/10/33/328081033.db2.gz HPCSBBGEAADMSJ-GORDUTHDSA-N 1 2 263.344 3.980 20 0 CHADLO CC1(C)CC[C@@H](C[NH2+][C@H](c2ccccc2)C(F)F)O1 ZINC000411760985 328098920 /nfs/dbraw/zinc/09/89/20/328098920.db2.gz TYOQKRDMHURHQS-QWHCGFSZSA-N 1 2 269.335 3.540 20 0 CHADLO CCOC(=O)C(C)(C)[NH2+]CCCc1cccc2ccccc21 ZINC000571411887 328120330 /nfs/dbraw/zinc/12/03/30/328120330.db2.gz PNPJNEDNLIDRDU-UHFFFAOYSA-N 1 2 299.414 3.704 20 0 CHADLO C[N@H+](Cc1ccccn1)[C@]1(c2ccccc2)CCCCC1=O ZINC000276471398 328218596 /nfs/dbraw/zinc/21/85/96/328218596.db2.gz QLDQLQURWABGPB-IBGZPJMESA-N 1 2 294.398 3.552 20 0 CHADLO C[N@@H+](Cc1ccccn1)[C@]1(c2ccccc2)CCCCC1=O ZINC000276471398 328218598 /nfs/dbraw/zinc/21/85/98/328218598.db2.gz QLDQLQURWABGPB-IBGZPJMESA-N 1 2 294.398 3.552 20 0 CHADLO Brc1ccc(SCCCn2cc[nH+]c2)cc1 ZINC000180428582 329216812 /nfs/dbraw/zinc/21/68/12/329216812.db2.gz HRDLTGIMDWMPRA-UHFFFAOYSA-N 1 2 297.221 3.828 20 0 CHADLO C[C@@H]([NH2+]Cc1ccn(C)n1)c1cc(Cl)sc1Cl ZINC000222715180 329226677 /nfs/dbraw/zinc/22/66/77/329226677.db2.gz TWXYQVDRFSVNRD-SSDOTTSWSA-N 1 2 290.219 3.639 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1cc(Cl)ccc1F ZINC000182029031 329238721 /nfs/dbraw/zinc/23/87/21/329238721.db2.gz FIDSZVXZAFWZNY-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1cc(Cl)ccc1F ZINC000182029031 329238723 /nfs/dbraw/zinc/23/87/23/329238723.db2.gz FIDSZVXZAFWZNY-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO CC(C)[NH+](Cc1noc(C2CCCCC2)n1)C(C)C ZINC000183016421 329246364 /nfs/dbraw/zinc/24/63/64/329246364.db2.gz ZNPIBINRFDXKOC-UHFFFAOYSA-N 1 2 265.401 3.736 20 0 CHADLO CCSc1cccc(C[NH2+]Cc2nnc(CC)s2)c1 ZINC000289719929 328255856 /nfs/dbraw/zinc/25/58/56/328255856.db2.gz FXTMKXJGWAYPJM-UHFFFAOYSA-N 1 2 293.461 3.502 20 0 CHADLO Cc1ccc(CSCCOCC(F)(F)F)c(C)[nH+]1 ZINC000184837880 329263149 /nfs/dbraw/zinc/26/31/49/329263149.db2.gz VAWLVCKULAARDQ-UHFFFAOYSA-N 1 2 279.327 3.511 20 0 CHADLO Fc1cc(C[N@H+](Cc2ccccn2)C2CC2)cc(F)c1F ZINC000290635325 328260122 /nfs/dbraw/zinc/26/01/22/328260122.db2.gz YFIOBEJQUULJFI-UHFFFAOYSA-N 1 2 292.304 3.664 20 0 CHADLO Fc1cc(C[N@@H+](Cc2ccccn2)C2CC2)cc(F)c1F ZINC000290635325 328260123 /nfs/dbraw/zinc/26/01/23/328260123.db2.gz YFIOBEJQUULJFI-UHFFFAOYSA-N 1 2 292.304 3.664 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1csc(Cl)n1 ZINC000296265845 328289879 /nfs/dbraw/zinc/28/98/79/328289879.db2.gz JVUBULFENYJKCQ-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ccc(F)c(F)c2)c1 ZINC000534519987 328305198 /nfs/dbraw/zinc/30/51/98/328305198.db2.gz ZHVMCRKMSMPVBO-UHFFFAOYSA-N 1 2 281.327 3.969 20 0 CHADLO Clc1ccc([C@@H]2CCN(c3cccc[nH+]3)C2)cc1 ZINC000110601137 328313054 /nfs/dbraw/zinc/31/30/54/328313054.db2.gz VOIOZBATYHGUMU-CYBMUJFWSA-N 1 2 258.752 3.729 20 0 CHADLO c1[nH+]c(SCCCOc2ccccc2)n2ccccc12 ZINC000048455699 328313399 /nfs/dbraw/zinc/31/33/99/328313399.db2.gz QXAHFAUNKCQMRC-UHFFFAOYSA-N 1 2 284.384 3.896 20 0 CHADLO C[C@H]([NH2+][C@H](c1nccn1C)c1ccccc1)c1ccccc1 ZINC000531942710 328325658 /nfs/dbraw/zinc/32/56/58/328325658.db2.gz FHZOBTDYFQVAMO-YJBOKZPZSA-N 1 2 291.398 3.860 20 0 CHADLO CCCOc1cc(C)ccc1[NH2+]C[C@H]1CCCOC1 ZINC000111320102 328347559 /nfs/dbraw/zinc/34/75/59/328347559.db2.gz AVXGXAVGBIXUFQ-CQSZACIVSA-N 1 2 263.381 3.622 20 0 CHADLO CC[N@H+](CCCOCC(F)(F)F)c1ccccc1C ZINC000303813432 328357316 /nfs/dbraw/zinc/35/73/16/328357316.db2.gz IRFCYJBSBFEAOR-UHFFFAOYSA-N 1 2 275.314 3.790 20 0 CHADLO CC[N@@H+](CCCOCC(F)(F)F)c1ccccc1C ZINC000303813432 328357317 /nfs/dbraw/zinc/35/73/17/328357317.db2.gz IRFCYJBSBFEAOR-UHFFFAOYSA-N 1 2 275.314 3.790 20 0 CHADLO Cn1c2ccccc2[nH+]c1N[C@@H]1CSc2ccccc21 ZINC000534979507 328372971 /nfs/dbraw/zinc/37/29/71/328372971.db2.gz GQCJMYMZRZGEQA-CYBMUJFWSA-N 1 2 281.384 3.832 20 0 CHADLO CCC[C@H](C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C)C(C)C ZINC000535009686 328376229 /nfs/dbraw/zinc/37/62/29/328376229.db2.gz SKKSREBLPFHKBQ-AWEZNQCLSA-N 1 2 287.407 3.893 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2cc(C)oc2C)cs1 ZINC000049949225 328379716 /nfs/dbraw/zinc/37/97/16/328379716.db2.gz NCPQEVXABLDQQG-SNVBAGLBSA-N 1 2 264.394 3.766 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1cscn1)C1CC1 ZINC000535069278 328383531 /nfs/dbraw/zinc/38/35/31/328383531.db2.gz QSSUWGACBQJGEU-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1cscn1)C1CC1 ZINC000535069278 328383532 /nfs/dbraw/zinc/38/35/32/328383532.db2.gz QSSUWGACBQJGEU-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO C[N@H+](Cc1nc(C(C)(C)C)cs1)Cc1cccc(O)c1 ZINC000532034409 328396765 /nfs/dbraw/zinc/39/67/65/328396765.db2.gz ZBXWBZPVJXNHLF-UHFFFAOYSA-N 1 2 290.432 3.778 20 0 CHADLO C[N@@H+](Cc1nc(C(C)(C)C)cs1)Cc1cccc(O)c1 ZINC000532034409 328396766 /nfs/dbraw/zinc/39/67/66/328396766.db2.gz ZBXWBZPVJXNHLF-UHFFFAOYSA-N 1 2 290.432 3.778 20 0 CHADLO COc1cc[nH+]cc1CSC[C@@H](C)C(F)(F)F ZINC000342020692 328416044 /nfs/dbraw/zinc/41/60/44/328416044.db2.gz KZMTUMZNIQZZQD-MRVPVSSYSA-N 1 2 265.300 3.522 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CC2(CC2)c2ccccc21 ZINC000425338974 328468136 /nfs/dbraw/zinc/46/81/36/328468136.db2.gz BUYFRTVXXAPUOM-UHFFFAOYSA-N 1 2 267.376 3.516 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)cs2)on1 ZINC000184481945 328532145 /nfs/dbraw/zinc/53/21/45/328532145.db2.gz AJXXRFNHAMJTBS-JTQLQIEISA-N 1 2 279.409 3.588 20 0 CHADLO CSCCCCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC000186361326 329343523 /nfs/dbraw/zinc/34/35/23/329343523.db2.gz RBEPZNSIBIHPDS-UHFFFAOYSA-N 1 2 265.426 3.608 20 0 CHADLO C[C@@H](CC(=O)N1CC[C@@H](C(C)(C)C)C[C@H]1C)n1cc[nH+]c1 ZINC000583503041 328585826 /nfs/dbraw/zinc/58/58/26/328585826.db2.gz OGKJQILHYNQSGZ-RRFJBIMHSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2cccc(C)c2F)n1 ZINC000394971995 328586569 /nfs/dbraw/zinc/58/65/69/328586569.db2.gz AUNZLGARAASQQP-NSHDSACASA-N 1 2 264.369 3.750 20 0 CHADLO CCc1cnccc1[C@H](C)[NH2+]Cc1ncc(Cl)s1 ZINC000349667727 328602253 /nfs/dbraw/zinc/60/22/53/328602253.db2.gz MQIKGGZMVDMJNB-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO Cc1cc(C)c([C@H](C)[NH2+][C@H](C)c2csnn2)cc1C ZINC000398234166 326875998 /nfs/dbraw/zinc/87/59/98/326875998.db2.gz KLMYVHHZHLVSHX-QWHCGFSZSA-N 1 2 275.421 3.875 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(Cl)c1Cl)c1csnn1 ZINC000398305440 326878009 /nfs/dbraw/zinc/87/80/09/326878009.db2.gz WPHBTXHOLYTVLK-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccccc1)c1ccncc1)c1nccs1 ZINC000080267090 326928126 /nfs/dbraw/zinc/92/81/26/326928126.db2.gz DRTUNTQJGPZYMP-CZUORRHYSA-N 1 2 295.411 3.978 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CCC[C@@H]3CCCC[C@H]23)c(C)c[nH+]1 ZINC000556935705 326984554 /nfs/dbraw/zinc/98/45/54/326984554.db2.gz HDCOTNLXDOGSFY-HRCADAONSA-N 1 2 286.419 3.665 20 0 CHADLO Cc1ccc2[nH+]cc(/C=C\c3nc4ccccc4o3)n2c1 ZINC000255594730 326997282 /nfs/dbraw/zinc/99/72/82/326997282.db2.gz XEOAPUSMYXTDSY-CLFYSBASSA-N 1 2 275.311 3.954 20 0 CHADLO CCOCCN(CC)c1cc[nH+]c2c(Cl)cccc12 ZINC000557585287 327014226 /nfs/dbraw/zinc/01/42/26/327014226.db2.gz ZKIYPDQEBUTZBN-UHFFFAOYSA-N 1 2 278.783 3.751 20 0 CHADLO CC(C)[C@H]1CN(c2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000557643220 327018482 /nfs/dbraw/zinc/01/84/82/327018482.db2.gz GHERGXYCGSYCGC-OAHLLOKOSA-N 1 2 290.794 3.749 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(C(F)(F)F)c1 ZINC000090169687 327031622 /nfs/dbraw/zinc/03/16/22/327031622.db2.gz XQTWISWEMBAEJK-UHFFFAOYSA-N 1 2 269.270 3.534 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(OCC(C)C)c1 ZINC000090172321 327031889 /nfs/dbraw/zinc/03/18/89/327031889.db2.gz WWCROFMAFGALSI-UHFFFAOYSA-N 1 2 273.380 3.550 20 0 CHADLO COc1ccc(Cl)c(NCc2cc(OC)cc(C)[nH+]2)c1 ZINC000214142775 327035158 /nfs/dbraw/zinc/03/51/58/327035158.db2.gz WJYATEMUZHYWSD-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO CC[C@@H](C)C[NH2+][C@H](C(=O)OC)c1ccc2ccccc2c1 ZINC000558011874 327042667 /nfs/dbraw/zinc/04/26/67/327042667.db2.gz HDPBZGJBOLFLKN-DYVFJYSZSA-N 1 2 285.387 3.690 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)c(F)cc2F)[C@@H]1C1CC1 ZINC000584204123 327063487 /nfs/dbraw/zinc/06/34/87/327063487.db2.gz UDKJPFLIIJUNRK-CQSZACIVSA-N 1 2 269.310 3.724 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)c(F)cc2F)[C@@H]1C1CC1 ZINC000584204123 327063489 /nfs/dbraw/zinc/06/34/89/327063489.db2.gz UDKJPFLIIJUNRK-CQSZACIVSA-N 1 2 269.310 3.724 20 0 CHADLO CCc1cc(OCc2ccc(CO)cc2)c2ccccc2[nH+]1 ZINC000558441885 327095189 /nfs/dbraw/zinc/09/51/89/327095189.db2.gz VLRWALYVPBPFJY-UHFFFAOYSA-N 1 2 293.366 3.869 20 0 CHADLO C[C@@H]1C[C@@H]1CC(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000558474287 327096940 /nfs/dbraw/zinc/09/69/40/327096940.db2.gz FGWOMHJYCAHZRR-CHWSQXEVSA-N 1 2 297.402 3.747 20 0 CHADLO O=C(NC1CCCCC1)c1ccccc1Oc1cc[nH+]cc1 ZINC000558669801 327113174 /nfs/dbraw/zinc/11/31/74/327113174.db2.gz RLNITYFIKFKNQQ-UHFFFAOYSA-N 1 2 296.370 3.936 20 0 CHADLO C[C@H]1CCC[C@H](CCSc2[nH+]cnc3c2cnn3C)C1 ZINC000558766721 327119546 /nfs/dbraw/zinc/11/95/46/327119546.db2.gz DPABLRHLDIUTFD-NWDGAFQWSA-N 1 2 290.436 3.672 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(OC(C)C)cc2)o1 ZINC000223645776 327129326 /nfs/dbraw/zinc/12/93/26/327129326.db2.gz SHRKZOGGSCFBIF-CYBMUJFWSA-N 1 2 288.391 3.875 20 0 CHADLO Cc1ccsc1CN(C)c1[nH+]c2cccc(F)c2n1C ZINC000558891543 327130049 /nfs/dbraw/zinc/13/00/49/327130049.db2.gz IRWYYOJJKUFBSV-UHFFFAOYSA-N 1 2 289.379 3.719 20 0 CHADLO CCc1nc(C)c(CNc2[nH+]ccc3ccc(F)cc32)o1 ZINC000559012852 327137826 /nfs/dbraw/zinc/13/78/26/327137826.db2.gz DQGWQVDSJUIKEU-UHFFFAOYSA-N 1 2 285.322 3.845 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+]Cc2nc(C3CC3)cs2)cn1 ZINC000090460669 327179196 /nfs/dbraw/zinc/17/91/96/327179196.db2.gz SBDCIOJSRQJALV-LLVKDONJSA-N 1 2 290.436 3.649 20 0 CHADLO COc1ccc[nH+]c1NCc1cc(Br)cs1 ZINC000159448330 327195314 /nfs/dbraw/zinc/19/53/14/327195314.db2.gz JLEUROPYCPJTBT-UHFFFAOYSA-N 1 2 299.193 3.526 20 0 CHADLO COc1cc(C)[nH+]c(CN(CC2CC2)c2ccccc2)c1 ZINC000533839779 327221665 /nfs/dbraw/zinc/22/16/65/327221665.db2.gz SXOGJQGHOMOVLB-UHFFFAOYSA-N 1 2 282.387 3.815 20 0 CHADLO CC(C)c1cc(N2CCOC(C)(C)[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000536386146 327234705 /nfs/dbraw/zinc/23/47/05/327234705.db2.gz LVEDYGPQAHXHQX-ZDUSSCGKSA-N 1 2 291.439 3.727 20 0 CHADLO CC(C)c1cc(N2CCSC[C@H]2C)nc(C(C)C)[nH+]1 ZINC000536390269 327234892 /nfs/dbraw/zinc/23/48/92/327234892.db2.gz HZVNWAVRYZOHTB-GFCCVEGCSA-N 1 2 279.453 3.665 20 0 CHADLO CCc1ccnc(CNc2[nH+]c3ccccc3cc2C)c1 ZINC000555854655 327249796 /nfs/dbraw/zinc/24/97/96/327249796.db2.gz ZJUPVUXFUCCTMG-UHFFFAOYSA-N 1 2 277.371 3.535 20 0 CHADLO COc1ccc(Cl)c(NCc2cn3ccccc3[nH+]2)c1 ZINC000043317798 327251252 /nfs/dbraw/zinc/25/12/52/327251252.db2.gz HTQHAUUGKAGCHR-UHFFFAOYSA-N 1 2 287.750 3.608 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+]C[C@@H]2CCCO2)C(F)F)c1 ZINC000559683539 327257666 /nfs/dbraw/zinc/25/76/66/327257666.db2.gz USVBRVSTGKKRFH-LSDHHAIUSA-N 1 2 299.361 3.549 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cnc4c(c3)CCCC4)cn2c1 ZINC000559989330 327275760 /nfs/dbraw/zinc/27/57/60/327275760.db2.gz STBYXAMRODPNSA-UHFFFAOYSA-N 1 2 292.386 3.529 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cnc(C3CC3)o2)c(Cl)c1 ZINC000574046160 327285286 /nfs/dbraw/zinc/28/52/86/327285286.db2.gz CSHIETXMLWVGPC-UHFFFAOYSA-N 1 2 276.767 3.804 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)c(Cl)c2)o1 ZINC000112535082 327286432 /nfs/dbraw/zinc/28/64/32/327286432.db2.gz FUBNHQDNIGQYAW-JGVFFNPUSA-N 1 2 283.734 3.582 20 0 CHADLO CCN(CC)c1ncc(C[NH+]2Cc3ccccc3C2)s1 ZINC000119941836 327295916 /nfs/dbraw/zinc/29/59/16/327295916.db2.gz ROSGLPMPBTYBBX-UHFFFAOYSA-N 1 2 287.432 3.505 20 0 CHADLO CSCc1cccc(N[C@H]2C[C@H](C)n3cc[nH+]c32)c1 ZINC000560355650 327302513 /nfs/dbraw/zinc/30/25/13/327302513.db2.gz GQMXQMLCGFGDKF-FZMZJTMJSA-N 1 2 273.405 3.864 20 0 CHADLO c1coc([C@@H]2[N@H+](Cc3ccc4c(n3)CCC4)CC23CCC3)c1 ZINC000560610458 327330837 /nfs/dbraw/zinc/33/08/37/327330837.db2.gz KBUSLGARPYFOHO-SFHVURJKSA-N 1 2 294.398 3.891 20 0 CHADLO c1coc([C@@H]2[N@@H+](Cc3ccc4c(n3)CCC4)CC23CCC3)c1 ZINC000560610458 327330839 /nfs/dbraw/zinc/33/08/39/327330839.db2.gz KBUSLGARPYFOHO-SFHVURJKSA-N 1 2 294.398 3.891 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[N@@H+]1CCC[C@H](F)C1 ZINC000566355071 327333541 /nfs/dbraw/zinc/33/35/41/327333541.db2.gz WGYUOJMOGGPEIO-LBPRGKRZSA-N 1 2 293.411 3.516 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[N@H+]1CCC[C@H](F)C1 ZINC000566355071 327333543 /nfs/dbraw/zinc/33/35/43/327333543.db2.gz WGYUOJMOGGPEIO-LBPRGKRZSA-N 1 2 293.411 3.516 20 0 CHADLO Cc1ccc(C(C)(C)C(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC000560671493 327334875 /nfs/dbraw/zinc/33/48/75/327334875.db2.gz VLHPBOPZYIGMNN-UHFFFAOYSA-N 1 2 293.370 3.559 20 0 CHADLO CCCCc1noc(C[N@H+](C)Cc2cc(C)ccc2C)n1 ZINC000560886759 327349969 /nfs/dbraw/zinc/34/99/69/327349969.db2.gz KSFMGNBOQQQAJH-UHFFFAOYSA-N 1 2 287.407 3.661 20 0 CHADLO CCCCc1noc(C[N@@H+](C)Cc2cc(C)ccc2C)n1 ZINC000560886759 327349971 /nfs/dbraw/zinc/34/99/71/327349971.db2.gz KSFMGNBOQQQAJH-UHFFFAOYSA-N 1 2 287.407 3.661 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nc2c(s1)CCCC2)c1cscn1 ZINC000560897190 327350718 /nfs/dbraw/zinc/35/07/18/327350718.db2.gz BJAQEXFXQBMFGC-UWVGGRQHSA-N 1 2 293.461 3.890 20 0 CHADLO Clc1cccc2c(N[C@H]3CCO[C@H]3C3CC3)cc[nH+]c12 ZINC000561257816 327379472 /nfs/dbraw/zinc/37/94/72/327379472.db2.gz JDKMCHBZTBFWBZ-HOCLYGCPSA-N 1 2 288.778 3.868 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccc1OC(F)F)c1csnn1 ZINC000398320913 327382526 /nfs/dbraw/zinc/38/25/26/327382526.db2.gz WLKRKMBIAYFEIY-DTWKUNHWSA-N 1 2 299.346 3.551 20 0 CHADLO OCC[C@@H]1CCC[C@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000561305328 327384272 /nfs/dbraw/zinc/38/42/72/327384272.db2.gz RCYLVBYFKCWRQF-SMDDNHRTSA-N 1 2 290.794 3.851 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1N[C@@H]1CC[C@@H]1C1CC1 ZINC000562056909 327445077 /nfs/dbraw/zinc/44/50/77/327445077.db2.gz MWQQAZSJVALNAL-HZPDHXFCSA-N 1 2 271.408 3.672 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000570400158 327588944 /nfs/dbraw/zinc/58/89/44/327588944.db2.gz RLCJRGSBZBPAIN-GOEBONIOSA-N 1 2 291.439 3.996 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C(C)(C)C)s2)c(C)c[nH+]1 ZINC000535748541 328625553 /nfs/dbraw/zinc/62/55/53/328625553.db2.gz YGBCTPBBNSATKC-UHFFFAOYSA-N 1 2 288.416 3.732 20 0 CHADLO CC(C)(NC(=O)c1cccc(Oc2cc[nH+]cc2)c1)C1CC1 ZINC000536566454 328666344 /nfs/dbraw/zinc/66/63/44/328666344.db2.gz AYNWDIXYIHDVTG-UHFFFAOYSA-N 1 2 296.370 3.792 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](C)c2cccc(C)c2C)c(C)c[nH+]1 ZINC000536620368 328670516 /nfs/dbraw/zinc/67/05/16/328670516.db2.gz UACXBEJRMJLVEG-HNNXBMFYSA-N 1 2 297.402 3.620 20 0 CHADLO Cc1ccc2[nH+]c(CNc3ccccc3C)cn2c1 ZINC000102677536 328711066 /nfs/dbraw/zinc/71/10/66/328711066.db2.gz RXGUHGYLJLHXDN-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO Cc1ccc(F)cc1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000520619688 328719778 /nfs/dbraw/zinc/71/97/78/328719778.db2.gz OLEUSTFLLPKBIS-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CC[C@H](C)[C@H](C)Nc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1 ZINC000526990995 328818912 /nfs/dbraw/zinc/81/89/12/328818912.db2.gz PKVZJSZZYWLLBZ-YJNKXOJESA-N 1 2 291.439 3.542 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCSc2ccccc21)C(C)(F)F ZINC000389473566 328848513 /nfs/dbraw/zinc/84/85/13/328848513.db2.gz VPMPJCKBLXWMDV-MWLCHTKSSA-N 1 2 257.349 3.857 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H]2CC23CCCC3)n1 ZINC000563937241 328839239 /nfs/dbraw/zinc/83/92/39/328839239.db2.gz QPOUTSKYEXUCMM-ZDUSSCGKSA-N 1 2 283.375 3.606 20 0 CHADLO C[C@@H]1C[C@H](C(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)CC(C)(C)C1 ZINC000357530021 328872216 /nfs/dbraw/zinc/87/22/16/328872216.db2.gz XKSZBWGTHXVZSE-RDBSUJKOSA-N 1 2 289.423 3.536 20 0 CHADLO Clc1cccc2c(N3CC[C@@]4(CCOC4)C3)cc[nH+]c12 ZINC000377394251 329013972 /nfs/dbraw/zinc/01/39/72/329013972.db2.gz AQNCKBRUUCAXQC-MRXNPFEDSA-N 1 2 288.778 3.505 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)[C@@H]1CCc2[nH+]ccn2C1 ZINC000377464164 329015706 /nfs/dbraw/zinc/01/57/06/329015706.db2.gz IXEYULIUCSHQEK-CQSZACIVSA-N 1 2 297.402 3.516 20 0 CHADLO CC(C)(C)c1ccc(C(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)cc1 ZINC000378409708 329049499 /nfs/dbraw/zinc/04/94/99/329049499.db2.gz DJADMWROQKFWKZ-OAHLLOKOSA-N 1 2 297.402 3.685 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2cscc2C(F)(F)F)C1 ZINC000583698491 329069340 /nfs/dbraw/zinc/06/93/40/329069340.db2.gz CKMVYMNBWFLMLW-VIFPVBQESA-N 1 2 267.291 3.701 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2cscc2C(F)(F)F)C1 ZINC000583698491 329069341 /nfs/dbraw/zinc/06/93/41/329069341.db2.gz CKMVYMNBWFLMLW-VIFPVBQESA-N 1 2 267.291 3.701 20 0 CHADLO Cc1ccc(C(=O)N[C@@H](C)C2CC2)cc1Oc1cc[nH+]cc1 ZINC000172052323 329083624 /nfs/dbraw/zinc/08/36/24/329083624.db2.gz AWBOYFRIWAPWEI-ZDUSSCGKSA-N 1 2 296.370 3.711 20 0 CHADLO Cc1[nH]c(CNc2ccc3nc(C4CC4)oc3c2)[nH+]c1C ZINC000583704273 329086160 /nfs/dbraw/zinc/08/61/60/329086160.db2.gz BGFIDQMUBHNEDH-UHFFFAOYSA-N 1 2 282.347 3.657 20 0 CHADLO CCc1ccc(NC(=O)N[C@H]2C[C@@H](C)n3cc[nH+]c32)cc1C ZINC000582469565 329091639 /nfs/dbraw/zinc/09/16/39/329091639.db2.gz HRWLBRXALUPCEV-DOMZBBRYSA-N 1 2 298.390 3.581 20 0 CHADLO CC(C)C(=O)Nc1ccc(N[C@H]2C[C@@H](C)n3cc[nH+]c32)cc1 ZINC000393368117 329091866 /nfs/dbraw/zinc/09/18/66/329091866.db2.gz NCLXQDVYWGFINR-DOMZBBRYSA-N 1 2 298.390 3.596 20 0 CHADLO O=C(Nc1ccc2c(c1)[nH+]c1n2CCCCC1)C1CC=CC1 ZINC000172547724 329137194 /nfs/dbraw/zinc/13/71/94/329137194.db2.gz NHRKCQZPDHFTNN-UHFFFAOYSA-N 1 2 295.386 3.667 20 0 CHADLO COc1cccc(F)c1[C@@H](C)Nc1cc[nH+]c(C(C)C)n1 ZINC000172774365 329138636 /nfs/dbraw/zinc/13/86/36/329138636.db2.gz RWHNYYSDKAXREF-LLVKDONJSA-N 1 2 289.354 3.921 20 0 CHADLO CCS[C@@H]1CC[C@@H](N(C)c2cc[nH+]c(C(C)C)n2)C1 ZINC000172789846 329138866 /nfs/dbraw/zinc/13/88/66/329138866.db2.gz YLGALDCXVZKGLK-CHWSQXEVSA-N 1 2 279.453 3.710 20 0 CHADLO Cc1ccc(C(=O)N2CCC[C@H]2C)cc1Oc1cc[nH+]cc1 ZINC000173121153 329145069 /nfs/dbraw/zinc/14/50/69/329145069.db2.gz YRCKKTMVQHLBAJ-CQSZACIVSA-N 1 2 296.370 3.807 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1)c1nc(-c2cccc(F)c2)no1 ZINC000174665477 329160650 /nfs/dbraw/zinc/16/06/50/329160650.db2.gz CVKJHZRCOALRNO-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CCS[C@H]1CCC[C@@H]1Nc1cc[nH+]c(C(C)C)n1 ZINC000175057498 329162513 /nfs/dbraw/zinc/16/25/13/329162513.db2.gz NUZWKQCRXNYAQF-RYUDHWBXSA-N 1 2 265.426 3.686 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1nc2cc(F)ccc2o1 ZINC000176996575 329180278 /nfs/dbraw/zinc/18/02/78/329180278.db2.gz OUWPDJGWEHZWPL-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1nc2cc(F)ccc2o1 ZINC000176996575 329180279 /nfs/dbraw/zinc/18/02/79/329180279.db2.gz OUWPDJGWEHZWPL-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO CCOc1cc(F)ccc1NCc1cn2c(cccc2C)[nH+]1 ZINC000177207369 329181908 /nfs/dbraw/zinc/18/19/08/329181908.db2.gz XOQMPXAZIJXYQN-UHFFFAOYSA-N 1 2 299.349 3.793 20 0 CHADLO Cc1cc(CSCc2ccc(-n3cc[nH+]c3)cc2)no1 ZINC000177810016 329187413 /nfs/dbraw/zinc/18/74/13/329187413.db2.gz IFLSIWZDTKYVLR-UHFFFAOYSA-N 1 2 285.372 3.602 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cn3ccsc3n2)[C@@H]1c1ccccc1 ZINC000177827822 329192727 /nfs/dbraw/zinc/19/27/27/329192727.db2.gz QVRPSWFWRKUDNV-DOMZBBRYSA-N 1 2 283.400 3.589 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cn3ccsc3n2)[C@@H]1c1ccccc1 ZINC000177827822 329192729 /nfs/dbraw/zinc/19/27/29/329192729.db2.gz QVRPSWFWRKUDNV-DOMZBBRYSA-N 1 2 283.400 3.589 20 0 CHADLO CC1(C)CCC[C@H](C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)C1 ZINC000179395288 329204949 /nfs/dbraw/zinc/20/49/49/329204949.db2.gz GXJCEBHFLIRIPI-KBPBESRZSA-N 1 2 289.423 3.680 20 0 CHADLO Cc1coc(-c2ccc(C)c(N[C@H](C)c3[nH+]ccn3C)c2)n1 ZINC000180035441 329214820 /nfs/dbraw/zinc/21/48/20/329214820.db2.gz HYGCLXOFYOOPMS-CYBMUJFWSA-N 1 2 296.374 3.865 20 0 CHADLO Clc1ccc2[nH]c(SCCCn3cc[nH+]c3)nc2c1 ZINC000180426816 329216739 /nfs/dbraw/zinc/21/67/39/329216739.db2.gz WICASEXIDMLVPF-UHFFFAOYSA-N 1 2 292.795 3.595 20 0 CHADLO Clc1ccc2nc(SCCCn3cc[nH+]c3)[nH]c2c1 ZINC000180426816 329216740 /nfs/dbraw/zinc/21/67/40/329216740.db2.gz WICASEXIDMLVPF-UHFFFAOYSA-N 1 2 292.795 3.595 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](c3ccco3)C2)ccc1Cl ZINC000180471740 329217670 /nfs/dbraw/zinc/21/76/70/329217670.db2.gz KNQCAXIGCFJEAE-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](c3ccco3)C2)ccc1Cl ZINC000180471740 329217671 /nfs/dbraw/zinc/21/76/71/329217671.db2.gz KNQCAXIGCFJEAE-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO c1coc(C[NH2+]Cc2ccc(Oc3ccccc3)o2)c1 ZINC000180757281 329222947 /nfs/dbraw/zinc/22/29/47/329222947.db2.gz TWYWKKBBSKFUAA-UHFFFAOYSA-N 1 2 269.300 3.955 20 0 CHADLO CC(C)Cn1cc[nH+]c1CNC(=O)C1(C)CCCCCC1 ZINC000180849951 329223476 /nfs/dbraw/zinc/22/34/76/329223476.db2.gz KYVOOCUQROSYIF-UHFFFAOYSA-N 1 2 291.439 3.516 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccc(F)cc2)n1)c1ccccn1 ZINC000181810256 329237416 /nfs/dbraw/zinc/23/74/16/329237416.db2.gz WHMGYIGPSXJKTJ-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CCC(CC)C[NH2+][C@@H](c1noc(C)n1)c1ccccc1F ZINC000182241927 329241137 /nfs/dbraw/zinc/24/11/37/329241137.db2.gz MHUTWAISXIDJAJ-OAHLLOKOSA-N 1 2 291.370 3.632 20 0 CHADLO Cc1cc(C)cc([C@@H]2CC[N@H+](Cc3noc(C4CC4)n3)C2)c1 ZINC000183490733 329248131 /nfs/dbraw/zinc/24/81/31/329248131.db2.gz BXCBVHWYZHWLNL-OAHLLOKOSA-N 1 2 297.402 3.553 20 0 CHADLO Cc1cc(C)cc([C@@H]2CC[N@@H+](Cc3noc(C4CC4)n3)C2)c1 ZINC000183490733 329248132 /nfs/dbraw/zinc/24/81/32/329248132.db2.gz BXCBVHWYZHWLNL-OAHLLOKOSA-N 1 2 297.402 3.553 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@H](C)[C@H]3CCCC[C@@H]32)c(C)[nH+]1 ZINC000183208186 329248583 /nfs/dbraw/zinc/24/85/83/329248583.db2.gz DYJJBTVINUSCML-PEYYIBSZSA-N 1 2 287.407 3.741 20 0 CHADLO C[C@H]([NH2+]Cc1ccncc1)c1ncc(-c2ccccc2)o1 ZINC000184847948 329263447 /nfs/dbraw/zinc/26/34/47/329263447.db2.gz RRBURIWISZYXOG-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1cc[nH+]cc1NCc1c[nH]nc1-c1ccc(F)cc1 ZINC000184895057 329263665 /nfs/dbraw/zinc/26/36/65/329263665.db2.gz FTJASONICWUYOP-UHFFFAOYSA-N 1 2 282.322 3.531 20 0 CHADLO Cc1cc[nH+]cc1NCc1c(F)cccc1N1CCCC1 ZINC000184894655 329263727 /nfs/dbraw/zinc/26/37/27/329263727.db2.gz QTYYFHXSEFKYNJ-UHFFFAOYSA-N 1 2 285.366 3.741 20 0 CHADLO C[C@H](c1ccsc1)N1CC[NH+](Cc2ccsc2)CC1 ZINC000184971601 329266197 /nfs/dbraw/zinc/26/61/97/329266197.db2.gz SRMXITWEOCLWRD-CYBMUJFWSA-N 1 2 292.473 3.688 20 0 CHADLO COc1cc(C)ccc1OCc1ccc(C)[nH+]c1C ZINC000185257528 329269734 /nfs/dbraw/zinc/26/97/34/329269734.db2.gz QZCGDRYJYPILST-UHFFFAOYSA-N 1 2 257.333 3.594 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](C)c1cn(C)c2ccccc12 ZINC000185345058 329270815 /nfs/dbraw/zinc/27/08/15/329270815.db2.gz FSNMSCKZZNLSGT-ZDUSSCGKSA-N 1 2 293.370 3.624 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000582963432 329290841 /nfs/dbraw/zinc/29/08/41/329290841.db2.gz ZPWLBUGCCDJIHK-VXGBXAGGSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000582963432 329290842 /nfs/dbraw/zinc/29/08/42/329290842.db2.gz ZPWLBUGCCDJIHK-VXGBXAGGSA-N 1 2 281.346 3.669 20 0 CHADLO CC(C)c1cnc(CNc2[nH+]cccc2OC(F)F)s1 ZINC000185914131 329332611 /nfs/dbraw/zinc/33/26/11/329332611.db2.gz ZULBNZZVLKABCC-UHFFFAOYSA-N 1 2 299.346 3.875 20 0 CHADLO CCc1cnc(NCc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC000185970803 329337667 /nfs/dbraw/zinc/33/76/67/329337667.db2.gz WFQDZEHZARSSJH-UHFFFAOYSA-N 1 2 284.388 3.503 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1C[NH2+][C@H](C)C(C)(F)F ZINC000459575720 329337769 /nfs/dbraw/zinc/33/77/69/329337769.db2.gz VIOCETZQNJMHMO-SECBINFHSA-N 1 2 299.390 3.715 20 0 CHADLO Fc1ccc(CNc2cc[nH+]cc2F)cc1Br ZINC000186426160 329342012 /nfs/dbraw/zinc/34/20/12/329342012.db2.gz NFPUIXSSKLLJJA-UHFFFAOYSA-N 1 2 299.118 3.734 20 0 CHADLO C[C@@H]([NH2+][C@H](c1cnn(C)c1)c1ccc(F)cc1)c1ccoc1 ZINC000186646661 329347058 /nfs/dbraw/zinc/34/70/58/329347058.db2.gz XXRFHZFPTYIFPX-PXAZEXFGSA-N 1 2 299.349 3.592 20 0 CHADLO Cc1ccc(C[S@@](=O)CCCc2ccccc2)c(C)[nH+]1 ZINC000187209775 329355070 /nfs/dbraw/zinc/35/50/70/329355070.db2.gz ISMGJGRNXWAEEQ-FQEVSTJZSA-N 1 2 287.428 3.580 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@H](c1ccccc1C)C1CC1 ZINC000509008225 332855646 /nfs/dbraw/zinc/85/56/46/332855646.db2.gz XFYUSPJHPZZEAQ-SJORKVTESA-N 1 2 289.419 3.623 20 0 CHADLO C[C@H](CC(F)(F)F)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000231749816 329378731 /nfs/dbraw/zinc/37/87/31/329378731.db2.gz LZMZMDOVEXFDPI-ZCFIWIBFSA-N 1 2 272.192 3.853 20 0 CHADLO CC[C@@H]([NH2+]CC(F)(F)CO)c1ccc(Cl)c(Cl)c1 ZINC000231792580 329379543 /nfs/dbraw/zinc/37/95/43/329379543.db2.gz JYYLHZCBGGLRJX-LLVKDONJSA-N 1 2 298.160 3.662 20 0 CHADLO Cc1cccc2c1OCC[C@H]2[NH2+][C@H](C)c1nccs1 ZINC000189378170 329380473 /nfs/dbraw/zinc/38/04/73/329380473.db2.gz MGNRQUPXHGNMKF-DGCLKSJQSA-N 1 2 274.389 3.626 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(Cl)c(F)c(Cl)c1 ZINC000232025807 329381535 /nfs/dbraw/zinc/38/15/35/329381535.db2.gz NQNJZUSHLPUDPY-UHFFFAOYSA-N 1 2 288.153 3.961 20 0 CHADLO c1sc(C2CCCCC2)nc1C[N@@H+]1C[C@@H]2C[C@H]1CS2 ZINC000459798083 329398318 /nfs/dbraw/zinc/39/83/18/329398318.db2.gz UDJXGKFPNUATAS-KBPBESRZSA-N 1 2 294.489 3.881 20 0 CHADLO c1sc(C2CCCCC2)nc1C[N@H+]1C[C@@H]2C[C@H]1CS2 ZINC000459798083 329398319 /nfs/dbraw/zinc/39/83/19/329398319.db2.gz UDJXGKFPNUATAS-KBPBESRZSA-N 1 2 294.489 3.881 20 0 CHADLO CC(C)c1nc(N2[C@@H](C)C[C@@H]2C)cc(C(C)(C)C)[nH+]1 ZINC000530432262 329398405 /nfs/dbraw/zinc/39/84/05/329398405.db2.gz DMHWSIVCSMUNRY-RYUDHWBXSA-N 1 2 261.413 3.885 20 0 CHADLO Cc1nocc1C[NH2+]Cc1c(Cl)cccc1Cl ZINC000397985236 329428289 /nfs/dbraw/zinc/42/82/89/329428289.db2.gz NQJBGZDYCBSEGN-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(OCC2CC2)cc1 ZINC000192149044 329429249 /nfs/dbraw/zinc/42/92/49/329429249.db2.gz QEZKMPIUFRXWMO-UHFFFAOYSA-N 1 2 272.323 3.622 20 0 CHADLO CCCOc1cc(CNc2cc[nH+]cc2F)ccc1OC ZINC000192150173 329429727 /nfs/dbraw/zinc/42/97/27/329429727.db2.gz KZAAVUYSTQCZRF-UHFFFAOYSA-N 1 2 290.338 3.630 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CCc2c3ccccc3[nH]c2C1 ZINC000126934017 329595521 /nfs/dbraw/zinc/59/55/21/329595521.db2.gz KEWULOUJKMMJPC-UHFFFAOYSA-N 1 2 294.402 3.504 20 0 CHADLO Cc1cc2c(cc1C)[C@H]([NH2+]Cc1cscn1)CCCO2 ZINC000127348363 329599057 /nfs/dbraw/zinc/59/90/57/329599057.db2.gz VNEVCDFEEKNLNO-OAHLLOKOSA-N 1 2 288.416 3.763 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC000132899191 329649423 /nfs/dbraw/zinc/64/94/23/329649423.db2.gz GWKSXWYDNZNMPY-HZMBPMFUSA-N 1 2 260.381 3.772 20 0 CHADLO COc1ccc2c(c1)[nH+]ccc2OCC1CC(F)(F)C1 ZINC000418881438 329677663 /nfs/dbraw/zinc/67/76/63/329677663.db2.gz HJRODJGPOWXUPC-UHFFFAOYSA-N 1 2 279.286 3.668 20 0 CHADLO Cc1ccc2[nH+]c(CNC(=O)CCCC3CCCC3)cn2c1 ZINC000564121511 329696704 /nfs/dbraw/zinc/69/67/04/329696704.db2.gz CSXNZOMGHUXDLS-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO CCc1[nH+]c(C(C)C)ccc1Cn1nc(C)cc1C ZINC000418915172 329700756 /nfs/dbraw/zinc/70/07/56/329700756.db2.gz UUYFOOZABYCSKR-UHFFFAOYSA-N 1 2 257.381 3.629 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1ccc(-c2nccs2)n1 ZINC000418970695 329702696 /nfs/dbraw/zinc/70/26/96/329702696.db2.gz LDARFCQKZXMKAY-UHFFFAOYSA-N 1 2 298.415 3.882 20 0 CHADLO Fc1ccc(F)c(C[C@H]2CCCN2c2cccc[nH+]2)c1 ZINC000418977102 329702956 /nfs/dbraw/zinc/70/29/56/329702956.db2.gz JMCFHQNJABMDQB-CQSZACIVSA-N 1 2 274.314 3.571 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@@H](C)C(C)(C)C)n1 ZINC000420509495 329772559 /nfs/dbraw/zinc/77/25/59/329772559.db2.gz DOAFRPOMGNUDHY-LLVKDONJSA-N 1 2 273.380 3.589 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C(C)(C)C(C)(C)C)n1 ZINC000420512529 329773166 /nfs/dbraw/zinc/77/31/66/329773166.db2.gz RVTHJEIZYZLJQJ-UHFFFAOYSA-N 1 2 273.380 3.688 20 0 CHADLO Cc1c(Cl)c(C[NH2+]Cc2ccc(Cl)c(C)c2)nn1C ZINC000421339285 329813888 /nfs/dbraw/zinc/81/38/88/329813888.db2.gz GHWAGDYMESUANA-UHFFFAOYSA-N 1 2 298.217 3.634 20 0 CHADLO Cc1c(Cl)c(C[NH2+]C(C)(C)c2ccccc2C)nn1C ZINC000421374156 329816323 /nfs/dbraw/zinc/81/63/23/329816323.db2.gz BDMRQOOVVLHGPS-UHFFFAOYSA-N 1 2 291.826 3.715 20 0 CHADLO Cc1cc(CNC(=O)[C@@H](c2ccccc2)C2CC2)cc(C)[nH+]1 ZINC000421579194 329843409 /nfs/dbraw/zinc/84/34/09/329843409.db2.gz STJFGYZNXAVUQE-SFHVURJKSA-N 1 2 294.398 3.508 20 0 CHADLO Cc1cc(NC(=O)[C@H]2C[C@H]2c2ccccc2Cl)cc[nH+]1 ZINC000073409152 329860732 /nfs/dbraw/zinc/86/07/32/329860732.db2.gz HBTOOKPTNWVAHB-KBPBESRZSA-N 1 2 286.762 3.786 20 0 CHADLO Cc1cc(NC(=O)c2ccc(OCC(C)C)cc2)cc[nH+]1 ZINC000074948131 329865725 /nfs/dbraw/zinc/86/57/25/329865725.db2.gz WIOFWJZJQLBSCJ-UHFFFAOYSA-N 1 2 284.359 3.677 20 0 CHADLO COc1ccc(SCCc2[nH+]c3ccccc3n2C)cc1 ZINC000509956703 332886733 /nfs/dbraw/zinc/88/67/33/332886733.db2.gz IXNJIXJBWXFHSW-UHFFFAOYSA-N 1 2 298.411 3.917 20 0 CHADLO Cc1cccn2cc(CNc3cc(F)cc(F)c3)[nH+]c12 ZINC000078254461 329948225 /nfs/dbraw/zinc/94/82/25/329948225.db2.gz PZAZTZYCUPJUSP-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO Cc1cccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1C ZINC000161767908 329954776 /nfs/dbraw/zinc/95/47/76/329954776.db2.gz JETJWPDHHDLVLY-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO Oc1ccc(SCCCc2[nH]c3ccccc3[nH+]2)cc1 ZINC000572314425 329975444 /nfs/dbraw/zinc/97/54/44/329975444.db2.gz VBZPGTAIJXCUKD-UHFFFAOYSA-N 1 2 284.384 3.993 20 0 CHADLO C[C@@H](CC(=O)N1CCC[C@@H](C(C)(C)C)CC1)n1cc[nH+]c1 ZINC000572368055 330011799 /nfs/dbraw/zinc/01/17/99/330011799.db2.gz RQNRJTMCHHDCFG-LSDHHAIUSA-N 1 2 291.439 3.509 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432743003 330014647 /nfs/dbraw/zinc/01/46/47/330014647.db2.gz RRLFBNGWGBAWPU-VHSXEESVSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432743003 330014648 /nfs/dbraw/zinc/01/46/48/330014648.db2.gz RRLFBNGWGBAWPU-VHSXEESVSA-N 1 2 293.354 3.766 20 0 CHADLO COc1ccc([C@H]([NH2+]Cc2nccs2)C2CC2)c(F)c1 ZINC000421819791 330086710 /nfs/dbraw/zinc/08/67/10/330086710.db2.gz JAAJYDZMZXNPIW-OAHLLOKOSA-N 1 2 292.379 3.532 20 0 CHADLO C[C@H](Cc1ccc(F)cc1Cl)[NH2+]C1(C(F)F)CC1 ZINC000421855381 330089084 /nfs/dbraw/zinc/08/90/84/330089084.db2.gz MTEIECSFJOQPBQ-MRVPVSSYSA-N 1 2 277.717 3.797 20 0 CHADLO CCOc1cccc(N[C@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421831514 330090888 /nfs/dbraw/zinc/09/08/88/330090888.db2.gz DZAUZXBCLAHFPR-HNNXBMFYSA-N 1 2 282.387 3.840 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccncc2Cl)cs1 ZINC000421831756 330090960 /nfs/dbraw/zinc/09/09/60/330090960.db2.gz LWCPLGDXMLVBRX-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+][C@H](C)c1csnn1 ZINC000421863187 330098321 /nfs/dbraw/zinc/09/83/21/330098321.db2.gz XBCJRJMSQDBPET-RKDXNWHRSA-N 1 2 297.811 3.612 20 0 CHADLO CC[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)C[C@@H](C)O1 ZINC000516987269 330110048 /nfs/dbraw/zinc/11/00/48/330110048.db2.gz MOICUJISSRAKCM-VXGBXAGGSA-N 1 2 290.794 3.892 20 0 CHADLO C[C@H](Cc1ccc(F)cc1)[NH2+]c1ccc2c(c1)CCN2 ZINC000423205762 330177011 /nfs/dbraw/zinc/17/70/11/330177011.db2.gz BKAUJZLAMCERPY-GFCCVEGCSA-N 1 2 270.351 3.837 20 0 CHADLO C[C@H](Cc1ccc(F)cc1)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423205762 330177012 /nfs/dbraw/zinc/17/70/12/330177012.db2.gz BKAUJZLAMCERPY-GFCCVEGCSA-N 1 2 270.351 3.837 20 0 CHADLO COc1cccc2c1CC[C@H]([NH2+]c1ccc3c(c1)CCN3)C2 ZINC000423214686 330178345 /nfs/dbraw/zinc/17/83/45/330178345.db2.gz LVLINDYUZFQBDV-HNNXBMFYSA-N 1 2 294.398 3.633 20 0 CHADLO COc1cccc2c1CC[C@H](Nc1ccc3c(c1)CC[NH2+]3)C2 ZINC000423214686 330178346 /nfs/dbraw/zinc/17/83/46/330178346.db2.gz LVLINDYUZFQBDV-HNNXBMFYSA-N 1 2 294.398 3.633 20 0 CHADLO CC[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccncc1 ZINC000423216203 330178724 /nfs/dbraw/zinc/17/87/24/330178724.db2.gz OCOJYUVUSNVOCK-OAHLLOKOSA-N 1 2 253.349 3.613 20 0 CHADLO C[C@@H](CNc1ccc2ccccc2[nH+]1)CC(F)(F)F ZINC000396874482 330228126 /nfs/dbraw/zinc/22/81/26/330228126.db2.gz CSCQOYFBTALOFI-SNVBAGLBSA-N 1 2 268.282 3.657 20 0 CHADLO CCOC(=O)[C@H]([NH2+]Cc1ccc2occc2c1)[C@@H](C)CC ZINC000580483988 330257450 /nfs/dbraw/zinc/25/74/50/330257450.db2.gz YVWOCSBOIZEVAO-BLLLJJGKSA-N 1 2 289.375 3.500 20 0 CHADLO Cc1ccc(CCCNc2cncc3ccccc32)c[nH+]1 ZINC000527523919 330257694 /nfs/dbraw/zinc/25/76/94/330257694.db2.gz IQYHZWWYNGAVHF-UHFFFAOYSA-N 1 2 277.371 3.983 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[NH+]1[C@H](C)C[C@H]1C ZINC000527529376 330258005 /nfs/dbraw/zinc/25/80/05/330258005.db2.gz DMUHIJAEFGFNHE-RKDXNWHRSA-N 1 2 274.191 3.985 20 0 CHADLO Cc1cccc(-c2ccc(NCC3(C)COC3)[nH+]c2)c1 ZINC000527746411 330280444 /nfs/dbraw/zinc/28/04/44/330280444.db2.gz XEGUNCISQNNNSA-UHFFFAOYSA-N 1 2 268.360 3.505 20 0 CHADLO CC[C@H]1C[N@H+](Cc2nc3cc(C)ccc3s2)C[C@H](C)O1 ZINC000528379494 330281477 /nfs/dbraw/zinc/28/14/77/330281477.db2.gz LSDXDAKZPOYHPH-STQMWFEESA-N 1 2 290.432 3.604 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2nc3cc(C)ccc3s2)C[C@H](C)O1 ZINC000528379494 330281478 /nfs/dbraw/zinc/28/14/78/330281478.db2.gz LSDXDAKZPOYHPH-STQMWFEESA-N 1 2 290.432 3.604 20 0 CHADLO Cc1[nH]c(CNc2cc3c(cc2Cl)CCC3)[nH+]c1C ZINC000527805979 330284345 /nfs/dbraw/zinc/28/43/45/330284345.db2.gz HHMNBLZDQSOTAN-UHFFFAOYSA-N 1 2 275.783 3.781 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ncccc1F)c1ccccc1F ZINC000527827991 330286768 /nfs/dbraw/zinc/28/67/68/330286768.db2.gz MKSJBYBVXXHZMG-QWRGUYRKSA-N 1 2 262.303 3.772 20 0 CHADLO COc1ccc[nH+]c1NC[C@@H]1CCCO[C@@H]1c1ccccc1 ZINC000527858820 330289160 /nfs/dbraw/zinc/28/91/60/330289160.db2.gz BRPOFXSBOYAKQT-DOTOQJQBSA-N 1 2 298.386 3.670 20 0 CHADLO CC[C@H](C)c1ccc([C@@H](C)[NH2+][C@H](C)c2ncn(C)n2)cc1 ZINC000527892853 330292526 /nfs/dbraw/zinc/29/25/26/330292526.db2.gz LQSDDGITOJBQSO-BFHYXJOUSA-N 1 2 286.423 3.740 20 0 CHADLO COc1ccc[nH+]c1NCc1cc(C)ccc1OC(F)F ZINC000527918221 330295349 /nfs/dbraw/zinc/29/53/49/330295349.db2.gz GIFHRAQKJFKTFF-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO CC1(C)CN(c2[nH+]ccc3ccccc32)CC(C)(C)O1 ZINC000527981698 330298347 /nfs/dbraw/zinc/29/83/47/330298347.db2.gz PMSOZMRIIKDPHK-UHFFFAOYSA-N 1 2 270.376 3.629 20 0 CHADLO COc1ccc[nH+]c1N1CCC(F)(c2ccccc2)CC1 ZINC000527990007 330299094 /nfs/dbraw/zinc/29/90/94/330299094.db2.gz JDLZRPBJHDCJTG-UHFFFAOYSA-N 1 2 286.350 3.555 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccccn1)C1CCC1)c1cscn1 ZINC000527964117 330300977 /nfs/dbraw/zinc/30/09/77/330300977.db2.gz HQYRJNWJRWEEEW-ABAIWWIYSA-N 1 2 273.405 3.730 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncccc2F)o1 ZINC000528034938 330302549 /nfs/dbraw/zinc/30/25/49/330302549.db2.gz CBIMINCMDKRIPK-MNOVXSKESA-N 1 2 262.328 3.788 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2ncccc2F)c(C)o1 ZINC000528036839 330303051 /nfs/dbraw/zinc/30/30/51/330303051.db2.gz YQZMQEKEGDOYBR-QWRGUYRKSA-N 1 2 262.328 3.842 20 0 CHADLO CC(C)Oc1cccc(NCc2c[nH+]cn2C(C)C)c1 ZINC000424168674 330310330 /nfs/dbraw/zinc/31/03/30/330310330.db2.gz GIMAHLLNDIMYDS-UHFFFAOYSA-N 1 2 273.380 3.863 20 0 CHADLO COc1cccc2c1cc[nH+]c2N1CCC(F)(F)C[C@H]1C ZINC000528208329 330313313 /nfs/dbraw/zinc/31/33/13/330313313.db2.gz QTWRKDYVQSCMDW-LLVKDONJSA-N 1 2 292.329 3.867 20 0 CHADLO COc1cccc2c(N3CCC(F)(F)C[C@H]3C)cc[nH+]c12 ZINC000528210375 330313346 /nfs/dbraw/zinc/31/33/46/330313346.db2.gz ZWYJUJXYIOUOOQ-LLVKDONJSA-N 1 2 292.329 3.867 20 0 CHADLO C[C@@H]([NH2+]CC1CCC(C(F)(F)F)CC1)C(F)(F)F ZINC000424182820 330320049 /nfs/dbraw/zinc/32/00/49/330320049.db2.gz HUEUGXUKAXNIDT-AFPNSQJFSA-N 1 2 277.252 3.896 20 0 CHADLO Cc1ccc2sc(C[N@H+]3C[C@H](C)OC(C)(C)C3)nc2c1 ZINC000528359007 330322170 /nfs/dbraw/zinc/32/21/70/330322170.db2.gz NJAUHGUEFWLJJA-LBPRGKRZSA-N 1 2 290.432 3.604 20 0 CHADLO Cc1ccc2sc(C[N@@H+]3C[C@H](C)OC(C)(C)C3)nc2c1 ZINC000528359007 330322171 /nfs/dbraw/zinc/32/21/71/330322171.db2.gz NJAUHGUEFWLJJA-LBPRGKRZSA-N 1 2 290.432 3.604 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(F)cc1F)c1nccs1 ZINC000078004308 330324365 /nfs/dbraw/zinc/32/43/65/330324365.db2.gz FYADGTHMUYXGIO-LBPRGKRZSA-N 1 2 268.332 3.662 20 0 CHADLO CCC[C@H](C)[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000533001344 330325022 /nfs/dbraw/zinc/32/50/22/330325022.db2.gz DBBAZBXJHKWZCL-LBPRGKRZSA-N 1 2 265.401 3.738 20 0 CHADLO CCC[C@H](C)[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000533001344 330325023 /nfs/dbraw/zinc/32/50/23/330325023.db2.gz DBBAZBXJHKWZCL-LBPRGKRZSA-N 1 2 265.401 3.738 20 0 CHADLO CC[N@H+](C)Cc1csc(COc2ccc(Cl)cc2)n1 ZINC000080112412 330329520 /nfs/dbraw/zinc/32/95/20/330329520.db2.gz AVONJWLDWFKGJY-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC[N@@H+](C)Cc1csc(COc2ccc(Cl)cc2)n1 ZINC000080112412 330329521 /nfs/dbraw/zinc/32/95/21/330329521.db2.gz AVONJWLDWFKGJY-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Fc1cccc(F)c1NCc1cn2cc(Cl)ccc2[nH+]1 ZINC000105919598 330330727 /nfs/dbraw/zinc/33/07/27/330330727.db2.gz CCNZYEFPANXLLY-UHFFFAOYSA-N 1 2 293.704 3.878 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(F)(F)F)C1CCC(F)(F)CC1 ZINC000424316382 330339454 /nfs/dbraw/zinc/33/94/54/330339454.db2.gz FNGNXCOHLWMJAS-HTQZYQBOSA-N 1 2 259.262 3.741 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H]2C[C@]2(F)c2ccccc2)o1 ZINC000424336432 330343426 /nfs/dbraw/zinc/34/34/26/330343426.db2.gz XYYKECXZWUZWCT-RCBQFDQVSA-N 1 2 259.324 3.876 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CCCC[C@H]3C)n2)c[nH+]1 ZINC000424341699 330344120 /nfs/dbraw/zinc/34/41/20/330344120.db2.gz VTRQKEJJNZQQLE-BXUZGUMPSA-N 1 2 271.364 3.658 20 0 CHADLO CCc1nc(C[N@H+](CCC(F)(F)F)CC2CC2)cs1 ZINC000084137609 330361185 /nfs/dbraw/zinc/36/11/85/330361185.db2.gz UQIQFFGNVATZGA-UHFFFAOYSA-N 1 2 292.370 3.870 20 0 CHADLO CCc1nc(C[N@@H+](CCC(F)(F)F)CC2CC2)cs1 ZINC000084137609 330361186 /nfs/dbraw/zinc/36/11/86/330361186.db2.gz UQIQFFGNVATZGA-UHFFFAOYSA-N 1 2 292.370 3.870 20 0 CHADLO CCC[C@H](C)CC(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000118643688 330367895 /nfs/dbraw/zinc/36/78/95/330367895.db2.gz HNEYCWVMSCWJEU-LBPRGKRZSA-N 1 2 271.364 3.842 20 0 CHADLO Cc1ccc(NC(=O)CCCOc2ccccc2C)c(C)[nH+]1 ZINC000119199787 330371019 /nfs/dbraw/zinc/37/10/19/330371019.db2.gz HUFWVYCDIHGFKW-UHFFFAOYSA-N 1 2 298.386 3.805 20 0 CHADLO Cc1cc(NC(=O)N2[C@H](C)C[C@@H]3CCCC[C@@H]32)cc[nH+]1 ZINC000119342877 330372384 /nfs/dbraw/zinc/37/23/84/330372384.db2.gz UAZQLHXSNISISD-IPYPFGDCSA-N 1 2 273.380 3.575 20 0 CHADLO Cc1ccc(CCCC(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000119370300 330373616 /nfs/dbraw/zinc/37/36/16/330373616.db2.gz MFPGVGLJEXVIIQ-UHFFFAOYSA-N 1 2 282.387 3.968 20 0 CHADLO c1coc([C@H]([NH2+]Cc2ccoc2)c2ccccc2)c1 ZINC000062103473 330387273 /nfs/dbraw/zinc/38/72/73/330387273.db2.gz MRMTWMRRDQDNQC-MRXNPFEDSA-N 1 2 253.301 3.752 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(Cl)c1Cl)c1nccn1C ZINC000537115645 330399989 /nfs/dbraw/zinc/39/99/89/330399989.db2.gz LIOXHSPMAUQEKR-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cc(Cl)ccc2Cl)cn1 ZINC000061320074 330433099 /nfs/dbraw/zinc/43/30/99/330433099.db2.gz WFRQVRIYSNUQLY-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@@]3(C)CC(C)C)ccn12 ZINC000514873080 330466571 /nfs/dbraw/zinc/46/65/71/330466571.db2.gz WIJLQLZQVJZITH-SFHVURJKSA-N 1 2 299.418 3.684 20 0 CHADLO CC(C)c1ccc(NC(=O)N[C@H]2C[C@H](C)n3cc[nH+]c32)cc1 ZINC000516542382 330494643 /nfs/dbraw/zinc/49/46/43/330494643.db2.gz GHZDDPQYCFTKLZ-WFASDCNBSA-N 1 2 298.390 3.834 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000426292879 330502976 /nfs/dbraw/zinc/50/29/76/330502976.db2.gz OFPSVLDSBWJXJJ-CQSZACIVSA-N 1 2 279.330 3.944 20 0 CHADLO C[C@@H]1C[C@H](Nc2cccc(-c3[nH+]ccn3C)c2)[C@@H]1C ZINC000430238499 330565001 /nfs/dbraw/zinc/56/50/01/330565001.db2.gz KNPLFELEANGKTB-JMSVASOKSA-N 1 2 255.365 3.544 20 0 CHADLO Cc1cc[nH+]cc1Cn1c2ccccc2nc1C(F)(F)F ZINC000433373190 330627387 /nfs/dbraw/zinc/62/73/87/330627387.db2.gz BZJQLHXILYPHPA-UHFFFAOYSA-N 1 2 291.276 3.807 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000436487708 330678565 /nfs/dbraw/zinc/67/85/65/330678565.db2.gz DHXVCPGOCIWSCN-ZDUSSCGKSA-N 1 2 283.375 3.890 20 0 CHADLO Cc1[nH+]c(-c2ccncc2)nc(N[C@H]2CC[C@H](C)CC2)c1C ZINC000436935089 330689176 /nfs/dbraw/zinc/68/91/76/330689176.db2.gz ZXPILWZFIYUUBX-YGUOUDRMSA-N 1 2 296.418 3.568 20 0 CHADLO Fc1cccc2[nH]c(C[NH+]3Cc4ccccc4C3)cc21 ZINC000438129101 330717896 /nfs/dbraw/zinc/71/78/96/330717896.db2.gz QECSUFJENPFJRF-UHFFFAOYSA-N 1 2 266.319 3.823 20 0 CHADLO CN(CCC1CC1)C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000156279943 330728559 /nfs/dbraw/zinc/72/85/59/330728559.db2.gz VTMKJXUTZBLMRE-UHFFFAOYSA-N 1 2 296.370 3.746 20 0 CHADLO COc1cccc2c(N3[C@H](C)CC[C@@H]3C)cc[nH+]c12 ZINC000440680010 330754030 /nfs/dbraw/zinc/75/40/30/330754030.db2.gz XENUURPOWGHKJF-TXEJJXNPSA-N 1 2 256.349 3.621 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]Cc2ccc3ccccc3c2)no1 ZINC000441206357 330763045 /nfs/dbraw/zinc/76/30/45/330763045.db2.gz PNJUUXSDEGMGJG-UHFFFAOYSA-N 1 2 295.386 3.810 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]Cc2cc(C)c(C)cc2C)no1 ZINC000441220138 330763461 /nfs/dbraw/zinc/76/34/61/330763461.db2.gz SHQFDQNAAUYDJP-UHFFFAOYSA-N 1 2 287.407 3.582 20 0 CHADLO CC[C@H](F)CSCc1cn2cc(Cl)ccc2[nH+]1 ZINC000442550144 330776588 /nfs/dbraw/zinc/77/65/88/330776588.db2.gz UXWMAHMCIVPMKO-JTQLQIEISA-N 1 2 272.776 3.969 20 0 CHADLO CCSCC[C@@H](C)N(C)c1[nH+]c2cccc(F)c2n1C ZINC000443506390 330800456 /nfs/dbraw/zinc/80/04/56/330800456.db2.gz BOCHFNMRTDDLJT-LLVKDONJSA-N 1 2 295.427 3.680 20 0 CHADLO C[C@H]1CC[C@H](NC(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000444491847 330813499 /nfs/dbraw/zinc/81/34/99/330813499.db2.gz YHZJYZUXEHOYOJ-ZFWWWQNUSA-N 1 2 296.370 3.792 20 0 CHADLO Cc1ccc(NC(=O)NCC(C(C)C)C(C)C)c(C)[nH+]1 ZINC000446311989 330847108 /nfs/dbraw/zinc/84/71/08/330847108.db2.gz SFNMSEXADZIMLC-UHFFFAOYSA-N 1 2 277.412 3.748 20 0 CHADLO Cc1ccc(NC(=O)c2csc3c2CCCC3)c(C)[nH+]1 ZINC000446594882 330850625 /nfs/dbraw/zinc/85/06/25/330850625.db2.gz TXQMRYMZTXNMFE-UHFFFAOYSA-N 1 2 286.400 3.891 20 0 CHADLO CCc1cc(N2CC[C@H](C(C)C)C2)nc(-c2ccncc2)[nH+]1 ZINC000447067038 330860602 /nfs/dbraw/zinc/86/06/02/330860602.db2.gz IOTIKVIRTGNTOD-HNNXBMFYSA-N 1 2 296.418 3.583 20 0 CHADLO Cc1ccc(NC(=O)NC2(c3ccc(F)cc3)CC2)c(C)[nH+]1 ZINC000447176002 330862588 /nfs/dbraw/zinc/86/25/88/330862588.db2.gz LUZHQJRBUGSJHY-UHFFFAOYSA-N 1 2 299.349 3.648 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2[C@H](C)CCC[C@@H]2C)c(C)[nH+]1 ZINC000447183644 330862738 /nfs/dbraw/zinc/86/27/38/330862738.db2.gz MAQHGLRVULXMKU-HBYGRHMLSA-N 1 2 275.396 3.645 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3cc(F)c(Cl)cc32)CCCO1 ZINC000450303575 330971622 /nfs/dbraw/zinc/97/16/22/330971622.db2.gz JPGDIXOLUSODAM-SNVBAGLBSA-N 1 2 294.757 3.643 20 0 CHADLO CC(C)CCCC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000450383259 330975489 /nfs/dbraw/zinc/97/54/89/330975489.db2.gz ZJMDJQAWDIPOEG-UHFFFAOYSA-N 1 2 285.391 3.519 20 0 CHADLO CCOCCN(C)c1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450426117 330978545 /nfs/dbraw/zinc/97/85/45/330978545.db2.gz VQNOXSJBHATUQF-UHFFFAOYSA-N 1 2 298.308 3.726 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H]1CCO[C@H](C2CC2)C1 ZINC000450445418 330980131 /nfs/dbraw/zinc/98/01/31/330980131.db2.gz GIMKTHKRZADTKX-DYVFJYSZSA-N 1 2 298.386 3.613 20 0 CHADLO CN(c1cc[nH+]c2cc(F)c(Cl)cc21)[C@@H]1CCCOC1 ZINC000450524974 330984984 /nfs/dbraw/zinc/98/49/84/330984984.db2.gz DNHWYPJHTMZGQD-SNVBAGLBSA-N 1 2 294.757 3.643 20 0 CHADLO Fc1cc(F)c2c(c1)[C@H](Nc1cccc[nH+]1)CCC2 ZINC000450564906 330986800 /nfs/dbraw/zinc/98/68/00/330986800.db2.gz UCPZBCJTFARIJV-CQSZACIVSA-N 1 2 260.287 3.849 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2nnc(C3CC3)s2)c1 ZINC000450861651 331003430 /nfs/dbraw/zinc/00/34/30/331003430.db2.gz YZBCNBOEXDXWPC-UHFFFAOYSA-N 1 2 287.432 3.664 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2nnc(C3CC3)s2)c1 ZINC000450861651 331003431 /nfs/dbraw/zinc/00/34/31/331003431.db2.gz YZBCNBOEXDXWPC-UHFFFAOYSA-N 1 2 287.432 3.664 20 0 CHADLO CCc1nc2sccc2c(NCc2c[nH+]c(C)cc2C)n1 ZINC000450909774 331006554 /nfs/dbraw/zinc/00/65/54/331006554.db2.gz OSWKOFRPKVGSQE-UHFFFAOYSA-N 1 2 298.415 3.878 20 0 CHADLO Cn1cc[nH+]c1CSC1CCC(C(F)(F)F)CC1 ZINC000451043255 331013309 /nfs/dbraw/zinc/01/33/09/331013309.db2.gz SGBMDWSCABRYLZ-UHFFFAOYSA-N 1 2 278.343 3.774 20 0 CHADLO CC(C)c1ccccc1NC(=O)C[N@@H+]1CCC[C@](C)(F)C1 ZINC000451113625 331016120 /nfs/dbraw/zinc/01/61/20/331016120.db2.gz BVGWUFHELFGGHE-KRWDZBQOSA-N 1 2 292.398 3.573 20 0 CHADLO CC(C)c1ccccc1NC(=O)C[N@H+]1CCC[C@](C)(F)C1 ZINC000451113625 331016121 /nfs/dbraw/zinc/01/61/21/331016121.db2.gz BVGWUFHELFGGHE-KRWDZBQOSA-N 1 2 292.398 3.573 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@H+]1CC[C@@H](F)C1 ZINC000451188636 331019658 /nfs/dbraw/zinc/01/96/58/331019658.db2.gz OFSZTSUYBFUNLK-VHSXEESVSA-N 1 2 272.161 3.554 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@@H+]1CC[C@@H](F)C1 ZINC000451188636 331019657 /nfs/dbraw/zinc/01/96/57/331019657.db2.gz OFSZTSUYBFUNLK-VHSXEESVSA-N 1 2 272.161 3.554 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CC[C@@H](C(F)(F)F)C[C@H]1C ZINC000451409994 331028290 /nfs/dbraw/zinc/02/82/90/331028290.db2.gz RJNVHDPDXMSKCU-VXGBXAGGSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CC[C@@H](C(F)(F)F)C[C@H]1C ZINC000451409994 331028291 /nfs/dbraw/zinc/02/82/91/331028291.db2.gz RJNVHDPDXMSKCU-VXGBXAGGSA-N 1 2 289.345 3.627 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCCC[C@H]1c1nc2ccccc2[nH]1 ZINC000451877310 331045882 /nfs/dbraw/zinc/04/58/82/331045882.db2.gz IFSCVWZURPWVPW-WFASDCNBSA-N 1 2 275.371 3.838 20 0 CHADLO CC[C@H](F)C[N@H+]1CCCC[C@H]1c1nc2ccccc2[nH]1 ZINC000451877310 331045883 /nfs/dbraw/zinc/04/58/83/331045883.db2.gz IFSCVWZURPWVPW-WFASDCNBSA-N 1 2 275.371 3.838 20 0 CHADLO CC[C@@H]1CC[C@H](C)[N@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000451954474 331048079 /nfs/dbraw/zinc/04/80/79/331048079.db2.gz NICPZDPOQXRSAT-LSDHHAIUSA-N 1 2 299.418 3.671 20 0 CHADLO CC[C@@H]1CC[C@H](C)[N@@H+](Cc2noc(Cc3ccccc3)n2)C1 ZINC000451954474 331048080 /nfs/dbraw/zinc/04/80/80/331048080.db2.gz NICPZDPOQXRSAT-LSDHHAIUSA-N 1 2 299.418 3.671 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C)c(C)c2)oc1C ZINC000452042204 331051651 /nfs/dbraw/zinc/05/16/51/331051651.db2.gz NOGGCKIUFZTFHG-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C)c(C)c2)oc1C ZINC000452042204 331051652 /nfs/dbraw/zinc/05/16/52/331051652.db2.gz NOGGCKIUFZTFHG-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2nc(CCC(C)C)no2)c1 ZINC000452084526 331053423 /nfs/dbraw/zinc/05/34/23/331053423.db2.gz LBPHMXGFRJQPFD-AWEZNQCLSA-N 1 2 287.407 3.817 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000452542364 331067985 /nfs/dbraw/zinc/06/79/85/331067985.db2.gz NHMPODMSLPVBPE-CYBMUJFWSA-N 1 2 299.418 3.746 20 0 CHADLO CC[C@H](CC1CCCCC1)C(=O)NCc1[nH+]ccn1CC ZINC000452568804 331069428 /nfs/dbraw/zinc/06/94/28/331069428.db2.gz CBCJARVRBHEIQX-OAHLLOKOSA-N 1 2 291.439 3.516 20 0 CHADLO COC(=O)c1ccc(NCc2ccc(C(C)C)[nH+]c2C)cc1 ZINC000453058157 331085192 /nfs/dbraw/zinc/08/51/92/331085192.db2.gz KCMUHKGTZGNZEU-UHFFFAOYSA-N 1 2 298.386 3.912 20 0 CHADLO Cc1sc(C[NH2+][C@@H]2C[C@H](C)n3ccnc32)nc1C(C)C ZINC000453121568 331091314 /nfs/dbraw/zinc/09/13/14/331091314.db2.gz NNSVNPGEVORGAS-CMPLNLGQSA-N 1 2 290.436 3.567 20 0 CHADLO CCOCc1ccc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)cc1 ZINC000453162247 331095791 /nfs/dbraw/zinc/09/57/91/331095791.db2.gz GGQBBIOUUXTSTI-SWLSCSKDSA-N 1 2 271.364 3.538 20 0 CHADLO Cc1cc2cccnc2c(N[C@H]2C[C@H](C)n3cc[nH+]c32)c1 ZINC000453175259 331097183 /nfs/dbraw/zinc/09/71/83/331097183.db2.gz CGXHNNBVSRCHSZ-WFASDCNBSA-N 1 2 278.359 3.858 20 0 CHADLO CCc1ccc(N[C@@H]2CCn3cc[nH+]c32)cc1CC ZINC000453178519 331097609 /nfs/dbraw/zinc/09/76/09/331097609.db2.gz HMSASNBQTCJDNH-OAHLLOKOSA-N 1 2 255.365 3.565 20 0 CHADLO Cc1csc(-c2cccc(N[C@@H]3CCn4cc[nH+]c43)c2)n1 ZINC000453181494 331098064 /nfs/dbraw/zinc/09/80/64/331098064.db2.gz DDRSKDQQWAGUEX-CQSZACIVSA-N 1 2 296.399 3.872 20 0 CHADLO CCCc1occc1-c1nc(CCc2[nH+]cccc2C)no1 ZINC000453220609 331102980 /nfs/dbraw/zinc/10/29/80/331102980.db2.gz JBDWTPJCTKZKAJ-UHFFFAOYSA-N 1 2 297.358 3.771 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccccc1OC(F)F)C(F)F ZINC000453232031 331104613 /nfs/dbraw/zinc/10/46/13/331104613.db2.gz GBBKMVUDLGMADA-WPRPVWTQSA-N 1 2 279.277 3.982 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(-n2ccnc2)cc1)C(F)F ZINC000453242710 331104968 /nfs/dbraw/zinc/10/49/68/331104968.db2.gz HQWSUEYAPUQNQV-BXUZGUMPSA-N 1 2 279.334 3.567 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(OC)cc1F)C(F)F ZINC000453246167 331106832 /nfs/dbraw/zinc/10/68/32/331106832.db2.gz MNONFWWNNCOCEE-PELKAZGASA-N 1 2 261.287 3.529 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCc2ccc(OC)cc21)C(F)F ZINC000453249354 331107402 /nfs/dbraw/zinc/10/74/02/331107402.db2.gz QGQGYPPOQDFGKX-KBPBESRZSA-N 1 2 269.335 3.706 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCSc2ccccc21)C(F)F ZINC000453250686 331107544 /nfs/dbraw/zinc/10/75/44/331107544.db2.gz SFNPVJCVKOJZPO-QWRGUYRKSA-N 1 2 257.349 3.857 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(OC)c(OC)cc1C)C(F)F ZINC000453251451 331107598 /nfs/dbraw/zinc/10/75/98/331107598.db2.gz UDOXYEBQNSPRMA-CMPLNLGQSA-N 1 2 287.350 3.707 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccc(-n2cccn2)cc1)C(F)F ZINC000453254908 331108078 /nfs/dbraw/zinc/10/80/78/331108078.db2.gz YQNFEXPYQMEIBO-SMDDNHRTSA-N 1 2 279.334 3.567 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)C(C)(F)F)c(C)c1OC ZINC000453291426 331111713 /nfs/dbraw/zinc/11/17/13/331111713.db2.gz NDOGHZZWOFGKCY-GHMZBOCLSA-N 1 2 287.350 3.707 20 0 CHADLO CC[C@H](Nc1cccc(OC(C)C)c1)c1[nH+]ccn1C ZINC000453304052 331113764 /nfs/dbraw/zinc/11/37/64/331113764.db2.gz HDUMHKPPXWUUSS-HNNXBMFYSA-N 1 2 273.380 3.771 20 0 CHADLO CC[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1cc(F)ccc1F ZINC000453321622 331116523 /nfs/dbraw/zinc/11/65/23/331116523.db2.gz OIVKLEVKNZTDLH-CYBMUJFWSA-N 1 2 299.752 3.593 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H]1CCc2c1c(F)ccc2F ZINC000453341986 331119163 /nfs/dbraw/zinc/11/91/63/331119163.db2.gz HWVXJTHRFNCMER-HZMBPMFUSA-N 1 2 291.345 3.519 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H]1CCc2c1c(F)ccc2F ZINC000453341988 331119295 /nfs/dbraw/zinc/11/92/95/331119295.db2.gz HWVXJTHRFNCMER-QMTHXVAHSA-N 1 2 291.345 3.519 20 0 CHADLO CCc1ccc(N[C@@H](CC)c2[nH+]ccn2C)cc1OC ZINC000453342599 331119371 /nfs/dbraw/zinc/11/93/71/331119371.db2.gz CXPIIGJDHOXOOD-AWEZNQCLSA-N 1 2 273.380 3.554 20 0 CHADLO CCCc1ncc(C[NH2+][C@H]2CCc3c2c(F)ccc3F)o1 ZINC000453383108 331126093 /nfs/dbraw/zinc/12/60/93/331126093.db2.gz MIBIUBXALCQIRA-AWEZNQCLSA-N 1 2 292.329 3.682 20 0 CHADLO C[C@H]1COCC[C@@H]1[NH2+]c1ccc(OC2CCCC2)cc1 ZINC000195053052 331133744 /nfs/dbraw/zinc/13/37/44/331133744.db2.gz MYJKSVPYLFAYEZ-GUYCJALGSA-N 1 2 275.392 3.845 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CC2(CCCC2)[C@H]1c1ccccc1 ZINC000453624621 331137093 /nfs/dbraw/zinc/13/70/93/331137093.db2.gz YNSYYMPVUJKSCX-QGZVFWFLSA-N 1 2 281.403 3.835 20 0 CHADLO Cc1ccc([C@@H](C)NC(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000454301846 331143747 /nfs/dbraw/zinc/14/37/47/331143747.db2.gz NMKAKCZYDUQOQU-OAHLLOKOSA-N 1 2 297.402 3.567 20 0 CHADLO CC[C@H](NC(=O)Nc1ccc(C)[nH+]c1C)C1CCCC1 ZINC000455035727 331159296 /nfs/dbraw/zinc/15/92/96/331159296.db2.gz AYFKETUMQGWQSY-AWEZNQCLSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1ccc(NC(=O)NC2CC(C(C)(C)C)C2)c(C)[nH+]1 ZINC000455053537 331159615 /nfs/dbraw/zinc/15/96/15/331159615.db2.gz URNDGADTEFAPKU-UHFFFAOYSA-N 1 2 275.396 3.645 20 0 CHADLO CCc1cc(NC(=O)NCc2c[nH+]c(C)cc2C)ccc1C ZINC000455093420 331160651 /nfs/dbraw/zinc/16/06/51/331160651.db2.gz QWUUWINHOKNQGK-UHFFFAOYSA-N 1 2 297.402 3.891 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+][C@@H]1CCCC[C@@H]1c1ccccc1 ZINC000164096749 331162354 /nfs/dbraw/zinc/16/23/54/331162354.db2.gz IKRVPAVEJGXMIH-HZPDHXFCSA-N 1 2 289.419 3.644 20 0 CHADLO CC[C@H]1CCC[C@H]1CNC(=O)Nc1c(C)cc[nH+]c1C ZINC000455436179 331169885 /nfs/dbraw/zinc/16/98/85/331169885.db2.gz JAXIYMMFPOGHDC-KBPBESRZSA-N 1 2 275.396 3.646 20 0 CHADLO C/C=C/c1ccc(NC(=O)N[C@H](C)c2[nH+]ccn2CC)cc1 ZINC000455446591 331170139 /nfs/dbraw/zinc/17/01/39/331170139.db2.gz LQLWFNNTGWAQNJ-DIECRNLCSA-N 1 2 298.390 3.819 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCc3ccccc32)c(C)[nH+]1 ZINC000455460326 331170364 /nfs/dbraw/zinc/17/03/64/331170364.db2.gz AQCXSHNONNUULF-UHFFFAOYSA-N 1 2 281.359 3.601 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](CC(C)C)C2)c(C)[nH+]1 ZINC000455478429 331170617 /nfs/dbraw/zinc/17/06/17/331170617.db2.gz FXGDLRCESNWDIR-HNNXBMFYSA-N 1 2 289.423 3.907 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)CC(C)(C)C2)c(C)[nH+]1 ZINC000455480968 331170745 /nfs/dbraw/zinc/17/07/45/331170745.db2.gz HMEPTSPXQFTDAS-LLVKDONJSA-N 1 2 289.423 3.907 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C)CC(C)C)c(C)[nH+]1 ZINC000455499162 331170971 /nfs/dbraw/zinc/17/09/71/331170971.db2.gz RYYBGNIXGTXQEI-NSHDSACASA-N 1 2 263.385 3.563 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@@H]2CC[C@@H](C)C2)c(C)[nH+]1 ZINC000455527538 331172233 /nfs/dbraw/zinc/17/22/33/331172233.db2.gz QHPPSSMFHRNPIV-QMTHXVAHSA-N 1 2 275.396 3.565 20 0 CHADLO CCC(CC)CN(CC)C(=O)NCc1c[nH+]c(C)cc1C ZINC000455554240 331172921 /nfs/dbraw/zinc/17/29/21/331172921.db2.gz HYXBFOBDLOKMEI-UHFFFAOYSA-N 1 2 291.439 3.666 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)[C@@H](C)CCC(C)(C)C ZINC000456335503 331190285 /nfs/dbraw/zinc/19/02/85/331190285.db2.gz ABCPTLOYKXLXTI-QWHCGFSZSA-N 1 2 279.428 3.543 20 0 CHADLO CC[C@H](CC(=O)N[C@@H](C)c1[nH+]ccn1CC)c1ccccc1 ZINC000456380295 331191851 /nfs/dbraw/zinc/19/18/51/331191851.db2.gz JRODQIORIAYGFK-LSDHHAIUSA-N 1 2 299.418 3.664 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)c2cc3ccccc3s2)c2[nH+]ccn21 ZINC000456385156 331191962 /nfs/dbraw/zinc/19/19/62/331191962.db2.gz OBLMYRHYBIPNTK-CMPLNLGQSA-N 1 2 297.383 3.534 20 0 CHADLO C[C@H](CC1CCCCC1)C(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000456401099 331192242 /nfs/dbraw/zinc/19/22/42/331192242.db2.gz VPQPPKOVLUXBNN-UMVBOHGHSA-N 1 2 289.423 3.612 20 0 CHADLO CC[C@@H](CC(=O)NCc1c[nH+]c(C)cc1C)c1ccccc1 ZINC000456855090 331207491 /nfs/dbraw/zinc/20/74/91/331207491.db2.gz ZGGKCNGAAVVGIE-INIZCTEOSA-N 1 2 296.414 3.899 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H](C)CC2CCCCC2)c[nH+]1 ZINC000456855111 331207573 /nfs/dbraw/zinc/20/75/73/331207573.db2.gz ZHDYQXUJMQHMIK-AWEZNQCLSA-N 1 2 288.435 3.921 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc3c(c2)CCCC3)c[nH+]1 ZINC000456869887 331208084 /nfs/dbraw/zinc/20/80/84/331208084.db2.gz YSNJUTVYXUMIMY-UHFFFAOYSA-N 1 2 294.398 3.507 20 0 CHADLO CC[C@@H](CC(F)F)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000457500885 331225031 /nfs/dbraw/zinc/22/50/31/331225031.db2.gz JELBBDNSNVDBIR-JTQLQIEISA-N 1 2 293.317 3.697 20 0 CHADLO CC[C@@H]1CCCCCN1C(=O)NCc1c[nH+]c(C)cc1C ZINC000459073425 331269274 /nfs/dbraw/zinc/26/92/74/331269274.db2.gz JIVKVELREZXKQZ-MRXNPFEDSA-N 1 2 289.423 3.563 20 0 CHADLO Cc1cc(CNc2cccc([NH+]3CCCCCC3)c2)no1 ZINC000459358276 331278094 /nfs/dbraw/zinc/27/80/94/331278094.db2.gz LBGHAJXRMWPXRT-UHFFFAOYSA-N 1 2 285.391 3.976 20 0 CHADLO CCOc1cc(C[NH2+][C@@H](C)C(C)(F)F)cc(Cl)c1O ZINC000459572991 331288658 /nfs/dbraw/zinc/28/86/58/331288658.db2.gz RDKYDVAXLLUFCC-QMMMGPOBSA-N 1 2 293.741 3.578 20 0 CHADLO CC[N@@H+]1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000459589420 331289691 /nfs/dbraw/zinc/28/96/91/331289691.db2.gz IPCIIXSDEPCBJO-ZDUSSCGKSA-N 1 2 263.772 3.763 20 0 CHADLO CC[N@H+]1CCCC[C@H]1c1nc2ccc(Cl)cc2[nH]1 ZINC000459589420 331289692 /nfs/dbraw/zinc/28/96/92/331289692.db2.gz IPCIIXSDEPCBJO-ZDUSSCGKSA-N 1 2 263.772 3.763 20 0 CHADLO C[C@H]([NH2+]Cc1ccncc1Cl)c1nc2c(s1)CCC2 ZINC000459634765 331291403 /nfs/dbraw/zinc/29/14/03/331291403.db2.gz RULQAKGOALAVQM-VIFPVBQESA-N 1 2 293.823 3.531 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2ccc(Cl)o2)C1 ZINC000459637943 331291563 /nfs/dbraw/zinc/29/15/63/331291563.db2.gz MFHHRMSEKVBOAW-UHFFFAOYSA-N 1 2 267.678 3.707 20 0 CHADLO COCCC1(C)C[NH+](Cc2cc(Cl)ccc2Cl)C1 ZINC000459640032 331291771 /nfs/dbraw/zinc/29/17/71/331291771.db2.gz WWTVNJMSXWRTPR-UHFFFAOYSA-N 1 2 288.218 3.852 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2c(F)cccc2F)C1 ZINC000459642567 331291842 /nfs/dbraw/zinc/29/18/42/331291842.db2.gz SMQFXYXJLZIOFW-UHFFFAOYSA-N 1 2 279.252 3.739 20 0 CHADLO CCCn1nc(C)c(C[N@@H+]2Cc3cccc(F)c3C2)c1C ZINC000459700037 331295037 /nfs/dbraw/zinc/29/50/37/331295037.db2.gz DHYLURBBJMISPG-UHFFFAOYSA-N 1 2 287.382 3.565 20 0 CHADLO CCCn1nc(C)c(C[N@H+]2Cc3cccc(F)c3C2)c1C ZINC000459700037 331295038 /nfs/dbraw/zinc/29/50/38/331295038.db2.gz DHYLURBBJMISPG-UHFFFAOYSA-N 1 2 287.382 3.565 20 0 CHADLO CC1(C)CC[C@@H](C[N@@H+]2Cc3ccc(Cl)cc3C2)O1 ZINC000459756741 331298648 /nfs/dbraw/zinc/29/86/48/331298648.db2.gz GYKZYXGHSODJLZ-AWEZNQCLSA-N 1 2 265.784 3.613 20 0 CHADLO CC1(C)CC[C@@H](C[N@H+]2Cc3ccc(Cl)cc3C2)O1 ZINC000459756741 331298649 /nfs/dbraw/zinc/29/86/49/331298649.db2.gz GYKZYXGHSODJLZ-AWEZNQCLSA-N 1 2 265.784 3.613 20 0 CHADLO Cc1nnc(C[N@@H+]2CC3(CCCCC3)[C@@H]2c2ccccc2)[nH]1 ZINC000459759528 331298866 /nfs/dbraw/zinc/29/88/66/331298866.db2.gz WGFDBHYREIGZHN-KRWDZBQOSA-N 1 2 296.418 3.621 20 0 CHADLO Cc1nnc(C[N@H+]2CC3(CCCCC3)[C@@H]2c2ccccc2)[nH]1 ZINC000459759528 331298867 /nfs/dbraw/zinc/29/88/67/331298867.db2.gz WGFDBHYREIGZHN-KRWDZBQOSA-N 1 2 296.418 3.621 20 0 CHADLO c1ccc([C@@H]2[N@H+](Cc3ncccn3)CC23CCCCC3)cc1 ZINC000459761233 331299030 /nfs/dbraw/zinc/29/90/30/331299030.db2.gz XLOFIHHWFMSBDX-SFHVURJKSA-N 1 2 293.414 3.984 20 0 CHADLO c1ccc([C@@H]2[N@@H+](Cc3ncccn3)CC23CCCCC3)cc1 ZINC000459761233 331299031 /nfs/dbraw/zinc/29/90/31/331299031.db2.gz XLOFIHHWFMSBDX-SFHVURJKSA-N 1 2 293.414 3.984 20 0 CHADLO c1sc(C[N@@H+]2C[C@@H]3C[C@H]2CS3)nc1C1CCCCC1 ZINC000459790824 331301048 /nfs/dbraw/zinc/30/10/48/331301048.db2.gz HFOVFSIAMWTZBC-STQMWFEESA-N 1 2 294.489 3.881 20 0 CHADLO c1sc(C[N@H+]2C[C@@H]3C[C@H]2CS3)nc1C1CCCCC1 ZINC000459790824 331301049 /nfs/dbraw/zinc/30/10/49/331301049.db2.gz HFOVFSIAMWTZBC-STQMWFEESA-N 1 2 294.489 3.881 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CC3CCC2CC3)c(C)[nH+]1 ZINC000459936564 331303699 /nfs/dbraw/zinc/30/36/99/331303699.db2.gz VXCYARWUVRFHFU-YMAMQOFZSA-N 1 2 272.392 3.772 20 0 CHADLO CC(C)N(C)c1ccc([NH2+][C@@H](C)[C@@H]2CCOC2)cc1F ZINC000165175948 331306025 /nfs/dbraw/zinc/30/60/25/331306025.db2.gz XNKSSDABPMUZDX-QWHCGFSZSA-N 1 2 280.387 3.507 20 0 CHADLO CC(C)N(C)c1ccc([NH2+][C@H](C)[C@@H]2CCOC2)cc1F ZINC000165176073 331306046 /nfs/dbraw/zinc/30/60/46/331306046.db2.gz XNKSSDABPMUZDX-CHWSQXEVSA-N 1 2 280.387 3.507 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC(C)(C)C)c1ccc(F)cc1)C(=O)OC ZINC000463909762 331353561 /nfs/dbraw/zinc/35/35/61/331353561.db2.gz HUXMBXVLKPBCPT-GJZGRUSLSA-N 1 2 295.398 3.844 20 0 CHADLO Cc1cc(OCc2ccc(F)cn2)c2cccc(C)c2[nH+]1 ZINC000468394502 331433882 /nfs/dbraw/zinc/43/38/82/331433882.db2.gz ZIVRYDMSTYSMSN-UHFFFAOYSA-N 1 2 282.318 3.965 20 0 CHADLO c1cnn(-c2ccc([NH2+][C@H]3CC[C@@H]3C3CCC3)cc2)c1 ZINC000510678223 332911102 /nfs/dbraw/zinc/91/11/02/332911102.db2.gz ZEMJMBAMQLCNGW-SJORKVTESA-N 1 2 267.376 3.863 20 0 CHADLO CCc1ccccc1NCCCc1ccc(C)[nH+]c1 ZINC000474373740 331582280 /nfs/dbraw/zinc/58/22/80/331582280.db2.gz HDKBGIIISYMKJU-UHFFFAOYSA-N 1 2 254.377 3.997 20 0 CHADLO CCCOc1cccc2c(N3CCCC3)cc[nH+]c12 ZINC000478223941 331701089 /nfs/dbraw/zinc/70/10/89/331701089.db2.gz FFHICPSUJQHIQL-UHFFFAOYSA-N 1 2 256.349 3.624 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(C)cc1)C(=O)OC(C)(C)C ZINC000479449285 331730332 /nfs/dbraw/zinc/73/03/32/331730332.db2.gz CNYATITWFFUUTP-UKRRQHHQSA-N 1 2 277.408 3.766 20 0 CHADLO Cc1ccc(NCc2ccc(N(C)C(C)C)[nH+]c2)c(F)c1 ZINC000480283416 331766165 /nfs/dbraw/zinc/76/61/65/331766165.db2.gz ZFXFNYSKFHPWEJ-UHFFFAOYSA-N 1 2 287.382 3.986 20 0 CHADLO CC(C)=CCNC(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000511313925 332930463 /nfs/dbraw/zinc/93/04/63/332930463.db2.gz HIBSKQMUUURPSV-UHFFFAOYSA-N 1 2 282.343 3.570 20 0 CHADLO COC(=O)[C@@H]([NH2+]CCC1CCCCC1)c1cccs1 ZINC000483338242 331870281 /nfs/dbraw/zinc/87/02/81/331870281.db2.gz HYZPKQIABXIMQO-AWEZNQCLSA-N 1 2 281.421 3.522 20 0 CHADLO CC[C@@H]([NH2+][C@H](c1ccccc1)C1CC1)C(=O)OC(C)(C)C ZINC000484081828 331892267 /nfs/dbraw/zinc/89/22/67/331892267.db2.gz KNVRREFYDHJPPB-HZPDHXFCSA-N 1 2 289.419 3.848 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2c(C)ccc3ccccc32)no1 ZINC000485127986 331909848 /nfs/dbraw/zinc/90/98/48/331909848.db2.gz CADURPXHEDEXJV-UHFFFAOYSA-N 1 2 295.386 3.865 20 0 CHADLO Fc1ccc(C[NH+]2CC3(C2)CCCC3)c(Br)c1 ZINC000485297699 331911596 /nfs/dbraw/zinc/91/15/96/331911596.db2.gz BKAWOEPCENAHDB-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO Cc1cc(N2C[C@H](c3ccccc3)[C@@H]3CCCC[C@H]32)nc[nH+]1 ZINC000486027751 331929471 /nfs/dbraw/zinc/92/94/71/331929471.db2.gz KGGRVFPHPSTPRO-RCCFBDPRSA-N 1 2 293.414 3.948 20 0 CHADLO CCC[N@H+](CCSc1ccccc1F)CC(F)F ZINC000514002700 333058745 /nfs/dbraw/zinc/05/87/45/333058745.db2.gz ZNJUOZFAMRXHNJ-UHFFFAOYSA-N 1 2 277.355 3.895 20 0 CHADLO CCC[N@@H+](CCSc1ccccc1F)CC(F)F ZINC000514002700 333058746 /nfs/dbraw/zinc/05/87/46/333058746.db2.gz ZNJUOZFAMRXHNJ-UHFFFAOYSA-N 1 2 277.355 3.895 20 0 CHADLO CCOC(=O)[C@H](C)[NH2+][C@@H](C)c1ccc(F)c2ccccc21 ZINC000487914462 331983987 /nfs/dbraw/zinc/98/39/87/331983987.db2.gz KBIGMHSXVLGMMI-RYUDHWBXSA-N 1 2 289.350 3.581 20 0 CHADLO Cc1cc(N2CCSCC2)ccc1[NH2+]C[C@H]1C[C@H]1C ZINC000488324211 331999813 /nfs/dbraw/zinc/99/98/13/331999813.db2.gz RTUWAZMDUURVKA-TZMCWYRMSA-N 1 2 276.449 3.616 20 0 CHADLO C[C@H]1C[C@@H]1CNc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000488329596 332000134 /nfs/dbraw/zinc/00/01/34/332000134.db2.gz HMFUCQDJSAMYHA-DZGCQCFKSA-N 1 2 281.403 3.954 20 0 CHADLO Cc1ccc(N[C@H]2CS[C@@H](C(C)(C)C)C2)c[nH+]1 ZINC000488456783 332011076 /nfs/dbraw/zinc/01/10/76/332011076.db2.gz GWWJSNIHGMEKNB-CHWSQXEVSA-N 1 2 250.411 3.722 20 0 CHADLO C[C@@H]1C[C@H]1C[N@@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000488587787 332019565 /nfs/dbraw/zinc/01/95/65/332019565.db2.gz ZXRYPPKPEUXYEJ-JRPNMDOOSA-N 1 2 283.774 3.508 20 0 CHADLO C[C@@H]1C[C@H]1C[N@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000488587787 332019566 /nfs/dbraw/zinc/01/95/66/332019566.db2.gz ZXRYPPKPEUXYEJ-JRPNMDOOSA-N 1 2 283.774 3.508 20 0 CHADLO CCC[C@@H](C)c1cc(NC(=O)Nc2cc[nH+]c(C)c2)n[nH]1 ZINC000192288371 335102316 /nfs/dbraw/zinc/10/23/16/335102316.db2.gz DOUOIXFQFBDWHW-SNVBAGLBSA-N 1 2 287.367 3.661 20 0 CHADLO CCn1cc[nH+]c1/C=C/C(=O)Nc1cccc2ccsc21 ZINC000492413050 332396329 /nfs/dbraw/zinc/39/63/29/332396329.db2.gz WWVMVQYTGBKNRF-VOTSOKGWSA-N 1 2 297.383 3.770 20 0 CHADLO CCCCN(C(=O)C=Cc1c[nH]c[nH+]1)[C@H](C)c1ccccc1 ZINC000492772090 332412954 /nfs/dbraw/zinc/41/29/54/332412954.db2.gz SNIVWEITXWSLES-JQPIKURYSA-N 1 2 297.402 3.813 20 0 CHADLO CO[C@@H](c1ccccc1)[C@@H](C)Nc1ccc(C)[nH+]c1 ZINC000494602308 332479529 /nfs/dbraw/zinc/47/95/29/332479529.db2.gz ZXNDLDTVSHSMFM-CZUORRHYSA-N 1 2 256.349 3.578 20 0 CHADLO CC(C)c1nc(C[NH2+][C@H](c2ccc(F)cc2)C(C)C)no1 ZINC000502499809 332663535 /nfs/dbraw/zinc/66/35/35/332663535.db2.gz IFZQGWZKHDMPOB-HNNXBMFYSA-N 1 2 291.370 3.819 20 0 CHADLO C[C@H]([NH2+]C1(C(F)F)CC1)c1cc2c(s1)CCC2 ZINC000503908256 332698754 /nfs/dbraw/zinc/69/87/54/332698754.db2.gz GSNYXQGFOLWLSO-QMMMGPOBSA-N 1 2 257.349 3.685 20 0 CHADLO Cc1cccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)c1C ZINC000174661053 333005227 /nfs/dbraw/zinc/00/52/27/333005227.db2.gz GQIGVZZJBZVTBY-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO Cc1sc2ncnc(NCCc3[nH+]cccc3C)c2c1C ZINC000404607690 333016980 /nfs/dbraw/zinc/01/69/80/333016980.db2.gz DLHHKICPCOGUMX-UHFFFAOYSA-N 1 2 298.415 3.666 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](C)c1cc2ccccc2o1 ZINC000176332173 333041179 /nfs/dbraw/zinc/04/11/79/333041179.db2.gz CTRFINDMCHXPPH-LBPRGKRZSA-N 1 2 280.327 3.878 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cncc(Br)c1)C(F)F ZINC000575815194 335118450 /nfs/dbraw/zinc/11/84/50/335118450.db2.gz DDLWKBZFNMJQAY-XCBNKYQSSA-N 1 2 293.155 3.538 20 0 CHADLO CC(C)CCC1CCN(C(=O)c2ccc3[nH+]ccn3c2)CC1 ZINC000177944157 333066064 /nfs/dbraw/zinc/06/60/64/333066064.db2.gz SECGDDFJUAHZIZ-UHFFFAOYSA-N 1 2 299.418 3.623 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)Nc1ccc(C2CCC2)cc1 ZINC000516699972 333081061 /nfs/dbraw/zinc/08/10/61/333081061.db2.gz UCOGMGWQPFAANN-UHFFFAOYSA-N 1 2 281.359 3.723 20 0 CHADLO CCSc1cccc(NCc2[nH]c(C)c(C)[nH+]2)c1 ZINC000516878679 333084991 /nfs/dbraw/zinc/08/49/91/333084991.db2.gz SMAOKWCMUODYGT-UHFFFAOYSA-N 1 2 261.394 3.751 20 0 CHADLO Cc1nc(CNc2[nH+]c3cccc(Cl)c3n2C)cs1 ZINC000184310598 333126916 /nfs/dbraw/zinc/12/69/16/333126916.db2.gz DHTHKYQDHVRXJN-UHFFFAOYSA-N 1 2 292.795 3.604 20 0 CHADLO CC(C)COCCCNc1ccc2ccccc2[nH+]1 ZINC000219042020 333140546 /nfs/dbraw/zinc/14/05/46/333140546.db2.gz MKCZSPCPENMHQK-UHFFFAOYSA-N 1 2 258.365 3.709 20 0 CHADLO CC(C)C[C@@H](C)C(=O)Nc1c[nH+]ccc1OC(C)(C)C ZINC000185537419 333143088 /nfs/dbraw/zinc/14/30/88/333143088.db2.gz ICJXGNUMNADUCE-GFCCVEGCSA-N 1 2 278.396 3.880 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nc(C(C)C)no1 ZINC000185801305 333149199 /nfs/dbraw/zinc/14/91/99/333149199.db2.gz KBLFJUPICOOZON-OAHLLOKOSA-N 1 2 285.391 3.530 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@H+]1Cc1nc(C(C)C)no1 ZINC000185801305 333149200 /nfs/dbraw/zinc/14/92/00/333149200.db2.gz KBLFJUPICOOZON-OAHLLOKOSA-N 1 2 285.391 3.530 20 0 CHADLO CCn1ccnc1C[NH2+]C(C)(C)c1cccc(Cl)c1F ZINC000185849877 333150035 /nfs/dbraw/zinc/15/00/35/333150035.db2.gz UFANCSUIEBUQDQ-UHFFFAOYSA-N 1 2 295.789 3.720 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccccc2SC)c1 ZINC000219839158 333157845 /nfs/dbraw/zinc/15/78/45/333157845.db2.gz XEGDQKMPFIELKZ-UHFFFAOYSA-N 1 2 274.389 3.733 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)C1CCSCC1 ZINC000187475317 333171380 /nfs/dbraw/zinc/17/13/80/333171380.db2.gz NVKCZKYQLSVCJU-CYBMUJFWSA-N 1 2 291.464 3.625 20 0 CHADLO c1ccc(CO[C@H]2CCC[C@H]2Nc2cccc[nH+]2)cc1 ZINC000152576177 333183535 /nfs/dbraw/zinc/18/35/35/333183535.db2.gz HNJCEQUIIYNTJK-CVEARBPZSA-N 1 2 268.360 3.631 20 0 CHADLO Cc1[nH]ncc1C[NH2+]Cc1ccc(-c2ccccc2)c(C)c1 ZINC000189508435 333193950 /nfs/dbraw/zinc/19/39/50/333193950.db2.gz WKSAYDQDPUQVOA-UHFFFAOYSA-N 1 2 291.398 3.983 20 0 CHADLO Cc1[nH+]cc(CNc2ccc(Cl)cn2)n1-c1ccccc1 ZINC000172808628 335122805 /nfs/dbraw/zinc/12/28/05/335122805.db2.gz MMLWIFGLDQFLEA-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Cc1cc(NC(=O)Nc2cccc3ccn(C)c32)cc[nH+]1 ZINC000189753998 333198639 /nfs/dbraw/zinc/19/86/39/333198639.db2.gz RPVOYFZVVHGCFF-UHFFFAOYSA-N 1 2 280.331 3.526 20 0 CHADLO CCCOc1ccccc1NCc1ccc(N(C)CC)[nH+]c1 ZINC000191061468 333214574 /nfs/dbraw/zinc/21/45/74/333214574.db2.gz AMCFCRSOLJUKTI-UHFFFAOYSA-N 1 2 299.418 3.939 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCC[C@H]1CCCCO1 ZINC000224177039 333217447 /nfs/dbraw/zinc/21/74/47/333217447.db2.gz IMILLTIQIALJJI-CYBMUJFWSA-N 1 2 286.375 3.615 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2c(F)cccc2F)s1 ZINC000224318037 333219375 /nfs/dbraw/zinc/21/93/75/333219375.db2.gz KFGOAQHEBIRUCP-VIFPVBQESA-N 1 2 282.359 3.835 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)Nc1ccsc1Cl ZINC000521974893 333229041 /nfs/dbraw/zinc/22/90/41/333229041.db2.gz JOISFQAZJUURHK-QMMMGPOBSA-N 1 2 298.799 3.501 20 0 CHADLO Cc1ccc(NC(=O)Nc2cccc3[nH]ccc32)c(C)[nH+]1 ZINC000192278642 333233987 /nfs/dbraw/zinc/23/39/87/333233987.db2.gz IGFFBIJIGZSRKR-UHFFFAOYSA-N 1 2 280.331 3.824 20 0 CHADLO C[C@H]1CN(C)CC[N@@H+]1Cc1sc2ccccc2c1Cl ZINC000522069936 333238240 /nfs/dbraw/zinc/23/82/40/333238240.db2.gz VUMDEBWWKWRVKM-NSHDSACASA-N 1 2 294.851 3.691 20 0 CHADLO C[C@H]1CN(C)CC[N@H+]1Cc1sc2ccccc2c1Cl ZINC000522069936 333238241 /nfs/dbraw/zinc/23/82/41/333238241.db2.gz VUMDEBWWKWRVKM-NSHDSACASA-N 1 2 294.851 3.691 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1nc2ccccc2[nH]1 ZINC000193100061 333242552 /nfs/dbraw/zinc/24/25/52/333242552.db2.gz DOGQHIZPEYTDNC-LLVKDONJSA-N 1 2 271.389 3.817 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1nc2ccccc2[nH]1 ZINC000193100061 333242553 /nfs/dbraw/zinc/24/25/53/333242553.db2.gz DOGQHIZPEYTDNC-LLVKDONJSA-N 1 2 271.389 3.817 20 0 CHADLO CC(C)c1noc(C[NH2+][C@H](C)c2cccc(Cl)c2)n1 ZINC000193208226 333245246 /nfs/dbraw/zinc/24/52/46/333245246.db2.gz DPUBMGYMXFSNAL-SNVBAGLBSA-N 1 2 279.771 3.697 20 0 CHADLO Cc1ccc(F)cc1CNc1cccc(-n2cc[nH+]c2)c1 ZINC000226514006 333263830 /nfs/dbraw/zinc/26/38/30/333263830.db2.gz SZAQDQJFNZKBQQ-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cc(Cl)cs1 ZINC000194303297 333268651 /nfs/dbraw/zinc/26/86/51/333268651.db2.gz SGTPMUAAIGYLKC-JTQLQIEISA-N 1 2 266.797 3.780 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cc(Cl)cs1 ZINC000194303297 333268653 /nfs/dbraw/zinc/26/86/53/333268653.db2.gz SGTPMUAAIGYLKC-JTQLQIEISA-N 1 2 266.797 3.780 20 0 CHADLO COCC[N@H+](Cc1ccco1)Cc1sccc1Cl ZINC000194339146 333269433 /nfs/dbraw/zinc/26/94/33/333269433.db2.gz FFYJLMWNULNXRU-UHFFFAOYSA-N 1 2 285.796 3.643 20 0 CHADLO COCC[N@@H+](Cc1ccco1)Cc1sccc1Cl ZINC000194339146 333269434 /nfs/dbraw/zinc/26/94/34/333269434.db2.gz FFYJLMWNULNXRU-UHFFFAOYSA-N 1 2 285.796 3.643 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(CNc2ccc3ccccc3[nH+]2)o1 ZINC000522284673 333279106 /nfs/dbraw/zinc/27/91/06/333279106.db2.gz LFKFJRIWPYRODL-IUODEOHRSA-N 1 2 278.355 3.985 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccccc2OC(C)C)s1 ZINC000230706216 333321299 /nfs/dbraw/zinc/32/12/99/333321299.db2.gz CUEWEUZCYVPHEB-UHFFFAOYSA-N 1 2 290.432 3.783 20 0 CHADLO CCc1cnc(C[NH2+]Cc2cnc(C(C)(C)C)s2)s1 ZINC000230706559 333321328 /nfs/dbraw/zinc/32/13/28/333321328.db2.gz FVZSEZPYGBPGEQ-UHFFFAOYSA-N 1 2 295.477 3.749 20 0 CHADLO CCc1cc(N(C)[C@@H](C)C(C)(C)C)nc(-c2ccncc2)[nH+]1 ZINC000523658779 333335493 /nfs/dbraw/zinc/33/54/93/333335493.db2.gz JEZDVOUGIJOLNV-ZDUSSCGKSA-N 1 2 298.434 3.972 20 0 CHADLO C[C@@H]1CCCC[C@H]1CC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000523725702 333342205 /nfs/dbraw/zinc/34/22/05/333342205.db2.gz FDUJGAHAIXWMOO-ILXRZTDVSA-N 1 2 289.423 3.680 20 0 CHADLO CC[C@@H](NC(=O)Nc1ccc2[nH+]c(C)cn2c1)C(C)(C)C ZINC000524131363 333354129 /nfs/dbraw/zinc/35/41/29/333354129.db2.gz OXPFOVXHHFRWPN-CYBMUJFWSA-N 1 2 288.395 3.589 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(F)c2ccccc12 ZINC000235822114 333372155 /nfs/dbraw/zinc/37/21/55/333372155.db2.gz ZFFYRYDNZIWFDM-UHFFFAOYSA-N 1 2 280.302 3.935 20 0 CHADLO Cc1ccccc1CNc1[nH]c2cc(F)ccc2[nH+]1 ZINC000235777567 333372174 /nfs/dbraw/zinc/37/21/74/333372174.db2.gz MUTWLBWYFMDSPD-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO CCOC(=O)C[N@H+](CC)Cc1ccc(-c2ccccc2)cc1 ZINC000315041199 333382473 /nfs/dbraw/zinc/38/24/73/333382473.db2.gz PWTUCBNUVFXERC-UHFFFAOYSA-N 1 2 297.398 3.739 20 0 CHADLO CCOC(=O)C[N@@H+](CC)Cc1ccc(-c2ccccc2)cc1 ZINC000315041199 333382474 /nfs/dbraw/zinc/38/24/74/333382474.db2.gz PWTUCBNUVFXERC-UHFFFAOYSA-N 1 2 297.398 3.739 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nnc(C3CC3)o2)[C@@H](c2ccco2)C1 ZINC000524753307 333386192 /nfs/dbraw/zinc/38/61/92/333386192.db2.gz CYCGIPQVOYUGFO-DGCLKSJQSA-N 1 2 287.363 3.513 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nnc(C3CC3)o2)[C@@H](c2ccco2)C1 ZINC000524753307 333386194 /nfs/dbraw/zinc/38/61/94/333386194.db2.gz CYCGIPQVOYUGFO-DGCLKSJQSA-N 1 2 287.363 3.513 20 0 CHADLO Cc1cn2cc(NC(=O)NCCCC(C)(C)C)ccc2[nH+]1 ZINC000524831822 333389841 /nfs/dbraw/zinc/38/98/41/333389841.db2.gz IPMNGLVTJAYPKH-UHFFFAOYSA-N 1 2 288.395 3.591 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3cc(F)ccc32)CCC1(F)F ZINC000575984117 335138033 /nfs/dbraw/zinc/13/80/33/335138033.db2.gz NBYZSCCBYATLLK-SNVBAGLBSA-N 1 2 280.293 3.855 20 0 CHADLO COc1ccc2c(c1)[nH+]ccc2OCc1ccccc1F ZINC000525127141 333400444 /nfs/dbraw/zinc/40/04/44/333400444.db2.gz QXDRTJLUYVGFRO-UHFFFAOYSA-N 1 2 283.302 3.962 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccccn1)C1CCC1)c1nc(C2CC2)no1 ZINC000528651147 333450694 /nfs/dbraw/zinc/45/06/94/333450694.db2.gz QQSCAYGRWTWQJI-IAQYHMDHSA-N 1 2 298.390 3.534 20 0 CHADLO FC(F)(F)C1C[NH+](C/C=C/c2ccc(Cl)cc2)C1 ZINC000576075013 335149777 /nfs/dbraw/zinc/14/97/77/335149777.db2.gz VAXJTVBPOVYJAL-OWOJBTEDSA-N 1 2 275.701 3.847 20 0 CHADLO Cc1ccc2oc(C(=O)Nc3cc[nH+]c(C)c3)cc2c1 ZINC000128070060 333641240 /nfs/dbraw/zinc/64/12/40/333641240.db2.gz TYJZSZQHVHDDGU-UHFFFAOYSA-N 1 2 266.300 3.697 20 0 CHADLO CC(C)[C@@H]1CCc2ccccc2N1CCCn1cc[nH+]c1 ZINC000539612371 333681246 /nfs/dbraw/zinc/68/12/46/333681246.db2.gz NHEBALVWXBPEPS-KRWDZBQOSA-N 1 2 283.419 3.751 20 0 CHADLO CCc1cc(OC[C@H]2CCCOC2)c2ccccc2[nH+]1 ZINC000539952699 333704248 /nfs/dbraw/zinc/70/42/48/333704248.db2.gz QINMSDYVRIITRP-ZDUSSCGKSA-N 1 2 271.360 3.603 20 0 CHADLO Fc1ccc(C[NH2+]Cc2ccn(C3CCCC3)n2)c(F)c1 ZINC000069993810 333796606 /nfs/dbraw/zinc/79/66/06/333796606.db2.gz YIVIPYHRZVGTQN-UHFFFAOYSA-N 1 2 291.345 3.566 20 0 CHADLO CCc1c[nH+]ccc1[C@H](C)[C@H](CC)c1ccn(C)n1 ZINC000542663815 333835039 /nfs/dbraw/zinc/83/50/39/333835039.db2.gz MVUXMSKLFHATQI-OCCSQVGLSA-N 1 2 257.381 3.675 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@@H]2C[C@H]2C)o1)c1nccs1 ZINC000070942498 333840038 /nfs/dbraw/zinc/84/00/38/333840038.db2.gz ATTHBNCGBXNIHF-JFGNBEQYSA-N 1 2 262.378 3.710 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](C)c2cnn(C(C)C)c2)cs1 ZINC000543506124 333877981 /nfs/dbraw/zinc/87/79/81/333877981.db2.gz WOCUAZRDMAFWQA-LBPRGKRZSA-N 1 2 292.452 3.724 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)c(Cl)c1)c1ccon1 ZINC000543574005 333881627 /nfs/dbraw/zinc/88/16/27/333881627.db2.gz IBOSPBYLEWIQON-IUCAKERBSA-N 1 2 268.719 3.879 20 0 CHADLO CCOC(=O)[C@@H](CC)[NH2+][C@H](CC(C)C)c1cccs1 ZINC000544450633 333931993 /nfs/dbraw/zinc/93/19/93/333931993.db2.gz BHGLNOPYQABLPW-CHWSQXEVSA-N 1 2 283.437 3.767 20 0 CHADLO CC[C@@H](CC(=O)Nc1cc[nH+]c(C)c1)c1ccc(OC)cc1 ZINC000131738509 333964764 /nfs/dbraw/zinc/96/47/64/333964764.db2.gz QANOUFPUFHLWLC-AWEZNQCLSA-N 1 2 298.386 3.921 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCc4ccsc4C3)oc2c1 ZINC000132683276 334000242 /nfs/dbraw/zinc/00/02/42/334000242.db2.gz GFQLGCMZRQHGST-UHFFFAOYSA-N 1 2 288.347 3.587 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCc4ccsc4C3)oc2c1 ZINC000132683276 334000243 /nfs/dbraw/zinc/00/02/43/334000243.db2.gz GFQLGCMZRQHGST-UHFFFAOYSA-N 1 2 288.347 3.587 20 0 CHADLO COCC[C@@H](C)Nc1cccc([NH+]2CCCCCC2)c1 ZINC000546006617 334011763 /nfs/dbraw/zinc/01/17/63/334011763.db2.gz SMSAAJRVYJPHQY-OAHLLOKOSA-N 1 2 276.424 3.904 20 0 CHADLO CCc1cc(OCC2CCOCC2)c2ccccc2[nH+]1 ZINC000546181584 334018747 /nfs/dbraw/zinc/01/87/47/334018747.db2.gz SZRIVNCNIRLVDI-UHFFFAOYSA-N 1 2 271.360 3.603 20 0 CHADLO CCSCC[NH2+]c1ccc(N2CCSCC2)cc1C ZINC000546308670 334026593 /nfs/dbraw/zinc/02/65/93/334026593.db2.gz PENYYIXARRDGIN-UHFFFAOYSA-N 1 2 296.505 3.713 20 0 CHADLO Fc1cccc2c3c([nH]c21)C[N@@H+](CC1(F)CC1)CCC3 ZINC000546691541 334045933 /nfs/dbraw/zinc/04/59/33/334045933.db2.gz ZPVOHUYYGYFECV-UHFFFAOYSA-N 1 2 276.330 3.557 20 0 CHADLO Fc1cccc2c3c([nH]c21)C[N@H+](CC1(F)CC1)CCC3 ZINC000546691541 334045935 /nfs/dbraw/zinc/04/59/35/334045935.db2.gz ZPVOHUYYGYFECV-UHFFFAOYSA-N 1 2 276.330 3.557 20 0 CHADLO Cc1cc(OCCOCC2CC2)c2cccc(C)c2[nH+]1 ZINC000546887406 334053911 /nfs/dbraw/zinc/05/39/11/334053911.db2.gz UGUAWJYCDBPKKG-UHFFFAOYSA-N 1 2 271.360 3.657 20 0 CHADLO CCc1cnc(COc2cc(C)[nH+]c3ccc(OC)cc32)o1 ZINC000546885937 334053943 /nfs/dbraw/zinc/05/39/43/334053943.db2.gz VTSZFQOQSINPHV-UHFFFAOYSA-N 1 2 298.342 3.681 20 0 CHADLO CCCC(CCC)c1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000547012584 334061758 /nfs/dbraw/zinc/06/17/58/334061758.db2.gz UVGARKATTILMOA-UHFFFAOYSA-N 1 2 298.390 3.992 20 0 CHADLO CC[C@@]1(C)C[C@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000547109220 334067047 /nfs/dbraw/zinc/06/70/47/334067047.db2.gz QPZNUVAIRORYCN-YOEHRIQHSA-N 1 2 294.358 3.826 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1nc3ccccc3o1)CC2 ZINC000133962364 334075406 /nfs/dbraw/zinc/07/54/06/334075406.db2.gz SIKIVCTUIJKLBC-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1nc3ccccc3o1)CC2 ZINC000133962364 334075408 /nfs/dbraw/zinc/07/54/08/334075408.db2.gz SIKIVCTUIJKLBC-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Cc1ccc2[nH+]c(CNc3c(F)cc(F)cc3F)cn2c1 ZINC000134026043 334077878 /nfs/dbraw/zinc/07/78/78/334077878.db2.gz HGJOEQJBCHCUDB-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@]1(C)CCO[C@@H]1C1CC1 ZINC000547341039 334081287 /nfs/dbraw/zinc/08/12/87/334081287.db2.gz BEYGFXZCAUGNRZ-MJGOQNOKSA-N 1 2 296.414 3.582 20 0 CHADLO CC1(C)C[N@H+](Cc2nc3ccccc3[nH]2)Cc2ccccc21 ZINC000547510113 334096469 /nfs/dbraw/zinc/09/64/69/334096469.db2.gz NOISLISRJRADOC-UHFFFAOYSA-N 1 2 291.398 3.856 20 0 CHADLO CC1(C)C[N@@H+](Cc2nc3ccccc3[nH]2)Cc2ccccc21 ZINC000547510113 334096470 /nfs/dbraw/zinc/09/64/70/334096470.db2.gz NOISLISRJRADOC-UHFFFAOYSA-N 1 2 291.398 3.856 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](C)c2nc3c(s2)CCC3)o1 ZINC000134679362 334100295 /nfs/dbraw/zinc/10/02/95/334100295.db2.gz GMJFFMTVLLFLSU-GHMZBOCLSA-N 1 2 276.405 3.945 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC[C@@H](OCC2CC2)C1 ZINC000547634364 334104491 /nfs/dbraw/zinc/10/44/91/334104491.db2.gz KKQXGOJTMWYUMX-QGZVFWFLSA-N 1 2 296.414 3.939 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC[C@H](OCC2CC2)C1 ZINC000547634363 334104522 /nfs/dbraw/zinc/10/45/22/334104522.db2.gz KKQXGOJTMWYUMX-KRWDZBQOSA-N 1 2 296.414 3.939 20 0 CHADLO Cc1ccc(N[C@@H]2Cc3ccc(Cl)cc3C2)c[nH+]1 ZINC000134856661 334105828 /nfs/dbraw/zinc/10/58/28/334105828.db2.gz MASDXQRWCVFLBQ-OAHLLOKOSA-N 1 2 258.752 3.623 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H]1CC[C@H](C)C1)c1ccc2ccccc2c1 ZINC000547664417 334107020 /nfs/dbraw/zinc/10/70/20/334107020.db2.gz HTWZORIFFOVMPH-DOPJRALCSA-N 1 2 297.398 3.832 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC[C@H](C)C1)c1ccc2ccccc2c1 ZINC000547664423 334107183 /nfs/dbraw/zinc/10/71/83/334107183.db2.gz HTWZORIFFOVMPH-MORSLUCNSA-N 1 2 297.398 3.832 20 0 CHADLO CSCc1cccc(Nc2cc(C)[nH+]c(C)n2)c1 ZINC000547708647 334110796 /nfs/dbraw/zinc/11/07/96/334110796.db2.gz MIEUCOKSDFYGKG-UHFFFAOYSA-N 1 2 259.378 3.700 20 0 CHADLO CC(C)CC[C@@H]1CCC[N@@H+]1Cc1nnsc1Cl ZINC000135309892 334125312 /nfs/dbraw/zinc/12/53/12/334125312.db2.gz LTPMMEJMNDCGOJ-JTQLQIEISA-N 1 2 273.833 3.592 20 0 CHADLO CC(C)CC[C@@H]1CCC[N@H+]1Cc1nnsc1Cl ZINC000135309892 334125313 /nfs/dbraw/zinc/12/53/13/334125313.db2.gz LTPMMEJMNDCGOJ-JTQLQIEISA-N 1 2 273.833 3.592 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(F)cc2)nc2ccccc12 ZINC000078785411 334140513 /nfs/dbraw/zinc/14/05/13/334140513.db2.gz XISWLOWKZMVOBX-UHFFFAOYSA-N 1 2 295.361 3.709 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(F)cc2)nc2ccccc12 ZINC000078785411 334140514 /nfs/dbraw/zinc/14/05/14/334140514.db2.gz XISWLOWKZMVOBX-UHFFFAOYSA-N 1 2 295.361 3.709 20 0 CHADLO CC(C)[C@]1(C)C[C@@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000548161925 334144250 /nfs/dbraw/zinc/14/42/50/334144250.db2.gz SNOSDDDBWMILHD-PBHICJAKSA-N 1 2 283.375 3.697 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3nccs3)CCC2)c(F)c1 ZINC000136128870 334147398 /nfs/dbraw/zinc/14/73/98/334147398.db2.gz WQUNIOXPGPCGEP-UHFFFAOYSA-N 1 2 280.343 3.590 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@H]3C[C@]3(C)C(C)C)ccc2n1C ZINC000548495800 334160624 /nfs/dbraw/zinc/16/06/24/334160624.db2.gz BGVHKLFGWZPKTH-CXAGYDPISA-N 1 2 285.391 3.502 20 0 CHADLO CCCC[C@H](CC)CC(=O)N(C)c1ccc[nH+]c1N(C)C ZINC000079722171 334160917 /nfs/dbraw/zinc/16/09/17/334160917.db2.gz QJFTXCZHAMTHCO-AWEZNQCLSA-N 1 2 291.439 3.717 20 0 CHADLO CC[N@H+](Cc1nnsc1Cl)[C@H]1CCCC[C@H]1C ZINC000548730281 334167468 /nfs/dbraw/zinc/16/74/68/334167468.db2.gz ORGMZUMVLDIQFD-KOLCDFICSA-N 1 2 273.833 3.592 20 0 CHADLO CC[N@@H+](Cc1nnsc1Cl)[C@H]1CCCC[C@H]1C ZINC000548730281 334167469 /nfs/dbraw/zinc/16/74/69/334167469.db2.gz ORGMZUMVLDIQFD-KOLCDFICSA-N 1 2 273.833 3.592 20 0 CHADLO C[C@@H]1CC[C@@H](Nc2ccc(N3CCCCC3)[nH+]c2)C1 ZINC000080433479 334171535 /nfs/dbraw/zinc/17/15/35/334171535.db2.gz IRKVNVGSURDFHM-ZIAGYGMSSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(C)c3ccccc3n2)oc1C ZINC000548790876 334174201 /nfs/dbraw/zinc/17/42/01/334174201.db2.gz NFMUJJGDQXTSCE-ZDUSSCGKSA-N 1 2 295.386 3.999 20 0 CHADLO CO[C@H](CSCc1cn2ccccc2[nH+]1)c1ccccc1 ZINC000549559511 334198089 /nfs/dbraw/zinc/19/80/89/334198089.db2.gz WABARZUGCLWSKQ-MRXNPFEDSA-N 1 2 298.411 3.955 20 0 CHADLO Fc1ccc(C(C[NH+]2CC(F)C2)c2ccc(F)cc2)cc1 ZINC000549777966 334204800 /nfs/dbraw/zinc/20/48/00/334204800.db2.gz DNBGYVDOZHHZNG-UHFFFAOYSA-N 1 2 291.316 3.750 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1nnc(C3CC3)o1)C(C)(C)C2 ZINC000550002011 334211095 /nfs/dbraw/zinc/21/10/95/334211095.db2.gz ZGGGRAGFCGGUGG-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1nnc(C3CC3)o1)C(C)(C)C2 ZINC000550002011 334211096 /nfs/dbraw/zinc/21/10/96/334211096.db2.gz ZGGGRAGFCGGUGG-UHFFFAOYSA-N 1 2 297.402 3.592 20 0 CHADLO Cc1sccc1C[NH2+][C@@H](c1nccn1C)c1ccccc1 ZINC000550095654 334212958 /nfs/dbraw/zinc/21/29/58/334212958.db2.gz BDZFYWQMJIUSPI-MRXNPFEDSA-N 1 2 297.427 3.669 20 0 CHADLO Cc1cc([C@@H]([NH2+]CC(C)(F)F)C2CC2)ccc1F ZINC000550882267 334231511 /nfs/dbraw/zinc/23/15/11/334231511.db2.gz LBJOWXWVDAOORB-ZDUSSCGKSA-N 1 2 257.299 3.830 20 0 CHADLO c1ccc2c(c1)cccc2OCC[N@@H+]1Cc2cccnc2C1 ZINC000551040438 334236795 /nfs/dbraw/zinc/23/67/95/334236795.db2.gz ICGQLTIAUYONLH-UHFFFAOYSA-N 1 2 290.366 3.629 20 0 CHADLO c1ccc2c(c1)cccc2OCC[N@H+]1Cc2cccnc2C1 ZINC000551040438 334236796 /nfs/dbraw/zinc/23/67/96/334236796.db2.gz ICGQLTIAUYONLH-UHFFFAOYSA-N 1 2 290.366 3.629 20 0 CHADLO CCCCc1ccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000551757383 334259966 /nfs/dbraw/zinc/25/99/66/334259966.db2.gz JMMNOKWGWCGJKQ-CQSZACIVSA-N 1 2 285.391 3.816 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H]1CC=C(C)CC1)c1cc(C)cc(C)c1 ZINC000552019750 334276442 /nfs/dbraw/zinc/27/64/42/334276442.db2.gz QAOWIAYTQWFCKC-IRXDYDNUSA-N 1 2 287.403 3.606 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2ccc(F)cc2C)n1 ZINC000552054153 334282298 /nfs/dbraw/zinc/28/22/98/334282298.db2.gz LKGJYCVKNANJMW-HNNXBMFYSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2ccc(F)cc2C)n1 ZINC000552054153 334282300 /nfs/dbraw/zinc/28/23/00/334282300.db2.gz LKGJYCVKNANJMW-HNNXBMFYSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@@H]1CC[C@@H]1C(C)C ZINC000552062227 334283669 /nfs/dbraw/zinc/28/36/69/334283669.db2.gz QDZYYBLPOITOPY-SJLPKXTDSA-N 1 2 288.435 3.678 20 0 CHADLO Fc1cc(Cl)cc(C[N@@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000552424211 334326277 /nfs/dbraw/zinc/32/62/77/334326277.db2.gz PWWHZCUAFQBJQB-JKSUJKDBSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1cc(Cl)cc(C[N@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000552424211 334326278 /nfs/dbraw/zinc/32/62/78/334326278.db2.gz PWWHZCUAFQBJQB-JKSUJKDBSA-N 1 2 295.785 3.623 20 0 CHADLO COc1ccc(F)cc1C[N@H+](C)Cc1ccc(F)cc1F ZINC000552810963 334345906 /nfs/dbraw/zinc/34/59/06/334345906.db2.gz BTUICNUVJPLUQG-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(F)cc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC000552810963 334345907 /nfs/dbraw/zinc/34/59/07/334345907.db2.gz BTUICNUVJPLUQG-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1cccc(CCNc2[nH+]ccc(C)c2Cl)c1 ZINC000552958900 334353051 /nfs/dbraw/zinc/35/30/51/334353051.db2.gz IDKXKNDYRVFPDH-UHFFFAOYSA-N 1 2 276.767 3.707 20 0 CHADLO CC[N@@H+]1CCC[C@H]1CN[C@H](C)c1oc2ccccc2c1C ZINC000252424829 334379445 /nfs/dbraw/zinc/37/94/45/334379445.db2.gz JPDOGXRGRIWSSB-CABCVRRESA-N 1 2 286.419 3.876 20 0 CHADLO CC[N@H+]1CCC[C@H]1CN[C@H](C)c1oc2ccccc2c1C ZINC000252424829 334379446 /nfs/dbraw/zinc/37/94/46/334379446.db2.gz JPDOGXRGRIWSSB-CABCVRRESA-N 1 2 286.419 3.876 20 0 CHADLO Clc1cccc2c(N3CCO[C@H]4CCC[C@@H]43)cc[nH+]c12 ZINC000553489308 334388098 /nfs/dbraw/zinc/38/80/98/334388098.db2.gz YKELABHCVRKOHO-GJZGRUSLSA-N 1 2 288.778 3.646 20 0 CHADLO COc1cc(C)[nH+]c(COc2ccc(C(F)(F)F)cc2)c1 ZINC000554944014 334461798 /nfs/dbraw/zinc/46/17/98/334461798.db2.gz GSKGGRZWFVOOEG-UHFFFAOYSA-N 1 2 297.276 3.996 20 0 CHADLO CCc1nnc(C[NH2+][C@H]2CCc3c2cccc3Cl)s1 ZINC000554994863 334463917 /nfs/dbraw/zinc/46/39/17/334463917.db2.gz VNMSPYAIUHNVIA-LBPRGKRZSA-N 1 2 293.823 3.531 20 0 CHADLO CCC1(CC)CCC(NC(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000565048205 334536170 /nfs/dbraw/zinc/53/61/70/334536170.db2.gz PAYMDXYEHIFZNA-CQSZACIVSA-N 1 2 291.439 3.699 20 0 CHADLO Cn1c2ccccc2[nH+]c1N[C@@H]1CCCc2cc(N)ccc21 ZINC000262353619 334544079 /nfs/dbraw/zinc/54/40/79/334544079.db2.gz VONGKJFWGRETJF-OAHLLOKOSA-N 1 2 292.386 3.645 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(F)F)no1)c1cccc(C2CC2)c1 ZINC000565534838 334571885 /nfs/dbraw/zinc/57/18/85/334571885.db2.gz MXNNWJVHNRTWFK-VIFPVBQESA-N 1 2 293.317 3.735 20 0 CHADLO Cc1ccc(C)c([S@@](=O)Cc2cn3c(cccc3C)[nH+]2)c1 ZINC000155559250 334723905 /nfs/dbraw/zinc/72/39/05/334723905.db2.gz FDVLAXMFPDEMRM-OAQYLSRUSA-N 1 2 298.411 3.567 20 0 CHADLO COc1cccc(SCc2c[nH+]c3ccccn23)c1 ZINC000155932329 334732239 /nfs/dbraw/zinc/73/22/39/334732239.db2.gz ZARORPMJVVVOHL-UHFFFAOYSA-N 1 2 270.357 3.635 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+]Cc2coc(-c3ccccc3)n2)c1 ZINC000568056987 334788294 /nfs/dbraw/zinc/78/82/94/334788294.db2.gz KEIKGLQPNBDHMP-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO CCSCc1cccc(NCc2[nH]c(C)c(C)[nH+]2)c1 ZINC000568269856 334811197 /nfs/dbraw/zinc/81/11/97/334811197.db2.gz ZYUBHDVYUIBRTK-UHFFFAOYSA-N 1 2 275.421 3.892 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@H](C)c2cscn2)cccc1[N+](=O)[O-] ZINC000568276856 334811624 /nfs/dbraw/zinc/81/16/24/334811624.db2.gz QLNPLGXVJRFHID-GHMZBOCLSA-N 1 2 291.376 3.772 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc(-c2ccccc2F)o1 ZINC000568786328 334841055 /nfs/dbraw/zinc/84/10/55/334841055.db2.gz WPGIPJRYKBKWNL-UHFFFAOYSA-N 1 2 269.266 3.831 20 0 CHADLO CC(C)c1noc(CCn2c3ccccc3[nH+]c2C2CC2)n1 ZINC000576749735 335242960 /nfs/dbraw/zinc/24/29/60/335242960.db2.gz NOZSQXHSYRYKPS-UHFFFAOYSA-N 1 2 296.374 3.663 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(C(F)F)c2)c(C)[nH+]1 ZINC000176187292 335247952 /nfs/dbraw/zinc/24/79/52/335247952.db2.gz JFOXCFZPNRHLJO-UHFFFAOYSA-N 1 2 276.286 3.888 20 0 CHADLO COc1cc(CCO)ccc1[NH2+]CC(C(C)C)C(C)C ZINC000576789812 335248012 /nfs/dbraw/zinc/24/80/12/335248012.db2.gz UBIJGWJPTWOUJQ-UHFFFAOYSA-N 1 2 279.424 3.570 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ccccc2Cl)nc1 ZINC000576840015 335254801 /nfs/dbraw/zinc/25/48/01/335254801.db2.gz BKYRRUMUALBGSM-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ccccc2Cl)nc1 ZINC000576840015 335254803 /nfs/dbraw/zinc/25/48/03/335254803.db2.gz BKYRRUMUALBGSM-UHFFFAOYSA-N 1 2 274.795 3.929 20 0 CHADLO Cc1ccccc1[C@H](NC(=O)C[C@@H](C)n1cc[nH+]c1)C(C)C ZINC000576993706 335275133 /nfs/dbraw/zinc/27/51/33/335275133.db2.gz CAJQZATUEWIWFC-CRAIPNDOSA-N 1 2 299.418 3.656 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCc3c(cccc3C(C)C)C2)on1 ZINC000577001304 335276843 /nfs/dbraw/zinc/27/68/43/335276843.db2.gz CNNMJTYFKBIBTH-UHFFFAOYSA-N 1 2 298.386 3.559 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCc3c(cccc3C(C)C)C2)on1 ZINC000577001304 335276845 /nfs/dbraw/zinc/27/68/45/335276845.db2.gz CNNMJTYFKBIBTH-UHFFFAOYSA-N 1 2 298.386 3.559 20 0 CHADLO CCC1(CC)CCCN(C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000577223788 335325895 /nfs/dbraw/zinc/32/58/95/335325895.db2.gz JZJQWDORTBDJST-HNNXBMFYSA-N 1 2 291.439 3.653 20 0 CHADLO CCc1cc(C[NH2+]Cc2cc(Cl)sc2Cl)on1 ZINC000577260801 335331179 /nfs/dbraw/zinc/33/11/79/335331179.db2.gz AEOHYBVPLPKLFY-UHFFFAOYSA-N 1 2 291.203 3.895 20 0 CHADLO CC[N@H+](CCc1ccc(F)cc1Cl)CC(F)F ZINC000577262877 335331728 /nfs/dbraw/zinc/33/17/28/335331728.db2.gz YKSCEFXMPWDJBH-UHFFFAOYSA-N 1 2 265.706 3.609 20 0 CHADLO CC[N@@H+](CCc1ccc(F)cc1Cl)CC(F)F ZINC000577262877 335331730 /nfs/dbraw/zinc/33/17/30/335331730.db2.gz YKSCEFXMPWDJBH-UHFFFAOYSA-N 1 2 265.706 3.609 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)Nc1ccc2ccoc2c1 ZINC000577353253 335341690 /nfs/dbraw/zinc/34/16/90/335341690.db2.gz ADARNYLLOGZKFY-LLVKDONJSA-N 1 2 298.346 3.532 20 0 CHADLO COCC1(C)CCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000577368895 335343364 /nfs/dbraw/zinc/34/33/64/335343364.db2.gz OCXKOKMFNIFASP-UHFFFAOYSA-N 1 2 288.366 3.627 20 0 CHADLO CC/C=C\CCn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000346211933 335356859 /nfs/dbraw/zinc/35/68/59/335356859.db2.gz ABBYIMNUUCOXNQ-ARJAWSKDSA-N 1 2 269.270 3.806 20 0 CHADLO Fc1ccccc1[C@@H](Cc1ccccc1)[NH2+]Cc1ccon1 ZINC000179810676 335521683 /nfs/dbraw/zinc/52/16/83/335521683.db2.gz VVPKVAUMRXHYEL-GOSISDBHSA-N 1 2 296.345 3.887 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1ccccn1 ZINC000179918487 335531931 /nfs/dbraw/zinc/53/19/31/335531931.db2.gz OQINQOQYAOBQHG-AWEZNQCLSA-N 1 2 282.391 3.640 20 0 CHADLO CCCCOc1cccc(N[C@H](C)c2[nH+]ccn2C)c1 ZINC000180060850 335545789 /nfs/dbraw/zinc/54/57/89/335545789.db2.gz VJYVRUWBTJEELB-CYBMUJFWSA-N 1 2 273.380 3.772 20 0 CHADLO C[C@@H](Cc1nc(CCC2CCCCC2)no1)n1cc[nH+]c1 ZINC000351451595 335615375 /nfs/dbraw/zinc/61/53/75/335615375.db2.gz WEDYDZNTDAGJQT-ZDUSSCGKSA-N 1 2 288.395 3.583 20 0 CHADLO CCCCN(CCCC)C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000579371447 335741815 /nfs/dbraw/zinc/74/18/15/335741815.db2.gz NWEXOVRFLKUCRT-UHFFFAOYSA-N 1 2 287.407 3.685 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2nnc(CC)o2)cc1 ZINC000182112430 335799561 /nfs/dbraw/zinc/79/95/61/335799561.db2.gz QAPXMMIGNBFSNB-LLVKDONJSA-N 1 2 291.420 3.595 20 0 CHADLO Cc1cccn2cc(CNc3c(C)cccc3F)[nH+]c12 ZINC000182745300 335840017 /nfs/dbraw/zinc/84/00/17/335840017.db2.gz CPHQXTPEKFOZNH-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nccn1C)c1ccc(F)cc1F ZINC000182996980 335855508 /nfs/dbraw/zinc/85/55/08/335855508.db2.gz VMIYREDPDSSRDW-IINYFYTJSA-N 1 2 279.334 3.500 20 0 CHADLO CC(C)CCC1CCN(C(=O)C[C@@H](C)n2cc[nH+]c2)CC1 ZINC000581134543 336013603 /nfs/dbraw/zinc/01/36/03/336013603.db2.gz UUVQQBIFFUCGPC-OAHLLOKOSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2cccc(C(F)F)c2)n1 ZINC000581258637 336033412 /nfs/dbraw/zinc/03/34/12/336033412.db2.gz GJVCMNAYBVTHQT-SECBINFHSA-N 1 2 281.306 3.509 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2cccc(C(F)F)c2)n1 ZINC000581258637 336033413 /nfs/dbraw/zinc/03/34/13/336033413.db2.gz GJVCMNAYBVTHQT-SECBINFHSA-N 1 2 281.306 3.509 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccc(Br)s1 ZINC000382175253 336083782 /nfs/dbraw/zinc/08/37/82/336083782.db2.gz XRSDDPLIENGEMU-LURJTMIESA-N 1 2 284.169 3.816 20 0 CHADLO Cc1[nH+]cccc1Cc1nc(C2CCCCCC2)no1 ZINC000581548461 336100412 /nfs/dbraw/zinc/10/04/12/336100412.db2.gz IXKFCXHYLZPCIF-UHFFFAOYSA-N 1 2 271.364 3.802 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2ccccc2o1)c1nc2c(s1)CCC2 ZINC000581771772 336145098 /nfs/dbraw/zinc/14/50/98/336145098.db2.gz XVTNYRBFDIRSIG-SNVBAGLBSA-N 1 2 299.399 3.624 20 0 CHADLO CCN(C(=O)Nc1ccc(C)[nH+]c1C)[C@@H](C)C(C)C ZINC000268020354 519995949 /nfs/dbraw/zinc/99/59/49/519995949.db2.gz KHPOLKQKEYXBCJ-ZDUSSCGKSA-N 1 2 263.385 3.597 20 0 CHADLO CCCC[C@@H](C)N(C)C(=O)Nc1c(C)cc[nH+]c1C ZINC000290800839 520998080 /nfs/dbraw/zinc/99/80/80/520998080.db2.gz VLHRHXGLEAQBIV-GFCCVEGCSA-N 1 2 263.385 3.741 20 0 CHADLO CCCC[C@@H]([NH2+]Cc1cn[nH]c1C)c1ccccc1 ZINC000038011484 521098746 /nfs/dbraw/zinc/09/87/46/521098746.db2.gz WMCRAPUICHYXHD-MRXNPFEDSA-N 1 2 257.381 3.739 20 0 CHADLO C[C@H]1CC[C@H](C(=O)Nc2ccc3c(c2)[nH+]c(C2CC2)n3C)C1 ZINC000345382061 533733936 /nfs/dbraw/zinc/73/39/36/533733936.db2.gz YBXRFCYKYGQOSI-AAEUAGOBSA-N 1 2 297.402 3.825 20 0 CHADLO C[C@H]1CC[C@@]2(CC[N@H+](Cc3ncc(Cl)s3)C2)C1 ZINC000351980569 533730685 /nfs/dbraw/zinc/73/06/85/533730685.db2.gz FQBCNLROPVSOLJ-GXFFZTMASA-N 1 2 270.829 3.809 20 0 CHADLO C[C@H]1CC[C@@]2(CC[N@@H+](Cc3ncc(Cl)s3)C2)C1 ZINC000351980569 533730697 /nfs/dbraw/zinc/73/06/97/533730697.db2.gz FQBCNLROPVSOLJ-GXFFZTMASA-N 1 2 270.829 3.809 20 0 CHADLO Cc1cc(N2CCCC[C@H]2c2nc3ccccc3o2)nc[nH+]1 ZINC000329280107 533891130 /nfs/dbraw/zinc/89/11/30/533891130.db2.gz KENSUUHESANOBZ-AWEZNQCLSA-N 1 2 294.358 3.658 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cc[nH]n2)Cc2ccccc2)s1 ZINC000353288943 533903734 /nfs/dbraw/zinc/90/37/34/533903734.db2.gz XWSTWQVBODPAPY-UHFFFAOYSA-N 1 2 297.427 3.982 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cc[nH]n2)Cc2ccccc2)s1 ZINC000353288943 533903739 /nfs/dbraw/zinc/90/37/39/533903739.db2.gz XWSTWQVBODPAPY-UHFFFAOYSA-N 1 2 297.427 3.982 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)c2ccc(C3CCC3)cc2)c2[nH+]ccn21 ZINC000334766526 533938126 /nfs/dbraw/zinc/93/81/26/533938126.db2.gz CCBANYYQYPPLHO-WBMJQRKESA-N 1 2 295.386 3.586 20 0 CHADLO C[C@@H]1C[C@H]1CC(=O)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000353773484 534016945 /nfs/dbraw/zinc/01/69/45/534016945.db2.gz NYYLXVDKNMNJQO-OLZOCXBDSA-N 1 2 282.343 3.859 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)C2CC(C)(C)C2)c(C)[nH+]1 ZINC000334723772 534074253 /nfs/dbraw/zinc/07/42/53/534074253.db2.gz HEWDQMAGWWFXIO-UHFFFAOYSA-N 1 2 275.396 3.659 20 0 CHADLO C[C@@H]1Cc2ccccc2[C@H]1Nc1cc[nH+]c(C2CC2)n1 ZINC000112558640 534089852 /nfs/dbraw/zinc/08/98/52/534089852.db2.gz UXNNSWZQWJNJOB-BZNIZROVSA-N 1 2 265.360 3.699 20 0 CHADLO C[C@@H](c1ccccc1F)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000112964899 534126456 /nfs/dbraw/zinc/12/64/56/534126456.db2.gz DDZDHOZIEBLUHN-NSHDSACASA-N 1 2 271.339 3.691 20 0 CHADLO Cc1ccc(NC(=O)c2cccc3c2OCC3(C)C)c(C)[nH+]1 ZINC000331810002 534191932 /nfs/dbraw/zinc/19/19/32/534191932.db2.gz LAKODOGJFHUVMY-UHFFFAOYSA-N 1 2 296.370 3.621 20 0 CHADLO CC(C)(C)CCCC(=O)NCCCCNc1cccc[nH+]1 ZINC000068421511 518636340 /nfs/dbraw/zinc/63/63/40/518636340.db2.gz SKUVAXNCJGTHOZ-UHFFFAOYSA-N 1 2 291.439 3.606 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)Cc1ccccc1Cl ZINC000336084976 534385250 /nfs/dbraw/zinc/38/52/50/534385250.db2.gz MOPFYXLSIZMIDY-UHFFFAOYSA-N 1 2 274.751 3.533 20 0 CHADLO CC(C)(C)c1ccc([C@@H](O)CNc2cccc[nH+]2)cc1 ZINC000301309148 519047593 /nfs/dbraw/zinc/04/75/93/519047593.db2.gz DQSRUGYLDVKOLF-HNNXBMFYSA-N 1 2 270.376 3.525 20 0 CHADLO C=Cc1ccc(C(=O)Nc2ccc3[nH+]c(C)cn3c2)cc1 ZINC000340730963 519311326 /nfs/dbraw/zinc/31/13/26/519311326.db2.gz BBAVWWLEESMJBI-UHFFFAOYSA-N 1 2 277.327 3.538 20 0 CHADLO CC(C)([NH2+]Cc1ncc(C2CC2)o1)c1ccccc1 ZINC000270687681 519325873 /nfs/dbraw/zinc/32/58/73/519325873.db2.gz ZTHMHJDSWYMTPT-UHFFFAOYSA-N 1 2 256.349 3.577 20 0 CHADLO Cc1cc[nH+]cc1NCc1cnc(-c2ccncc2)s1 ZINC000353247797 534425968 /nfs/dbraw/zinc/42/59/68/534425968.db2.gz KOYWOYOJEIXFKX-UHFFFAOYSA-N 1 2 282.372 3.521 20 0 CHADLO CC(C)C(C)(C)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000275910387 519541158 /nfs/dbraw/zinc/54/11/58/519541158.db2.gz XDCKQMOOKADMML-UHFFFAOYSA-N 1 2 271.364 3.697 20 0 CHADLO CC(C)C1CCC([NH2+][C@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000274882499 519566884 /nfs/dbraw/zinc/56/68/84/519566884.db2.gz ZTPSCQPPDDPGOF-PREGVCBESA-N 1 2 298.434 3.699 20 0 CHADLO CC(C)OCC[N@@H+]1C[C@@H](c2ccccc2Cl)OC[C@H]1C ZINC000111309919 519766659 /nfs/dbraw/zinc/76/66/59/519766659.db2.gz FYNNRTOFASVJCS-CJNGLKHVSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OCC[N@H+]1C[C@@H](c2ccccc2Cl)OC[C@H]1C ZINC000111309919 519766660 /nfs/dbraw/zinc/76/66/60/519766660.db2.gz FYNNRTOFASVJCS-CJNGLKHVSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC=C(c3ccncc3)C2)cs1 ZINC000353191340 519862685 /nfs/dbraw/zinc/86/26/85/519862685.db2.gz JUEZTOGDWCGATP-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC=C(c3ccncc3)C2)cs1 ZINC000353191340 519862686 /nfs/dbraw/zinc/86/26/86/519862686.db2.gz JUEZTOGDWCGATP-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCCC3(CCCCC3)C2)no1 ZINC000111324005 519862906 /nfs/dbraw/zinc/86/29/06/519862906.db2.gz SWTBNNVLJOHKMW-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCCC3(CCCCC3)C2)no1 ZINC000111324005 519862908 /nfs/dbraw/zinc/86/29/08/519862908.db2.gz SWTBNNVLJOHKMW-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO CC(C)c1nnc(C[NH2+]C2(c3ccccc3)CCC2)s1 ZINC000296871874 519887276 /nfs/dbraw/zinc/88/72/76/519887276.db2.gz WCXXMKVZSAYQIE-UHFFFAOYSA-N 1 2 287.432 3.831 20 0 CHADLO CC(C)n1ccnc1C[N@H+](C)[C@@H](C)c1cccs1 ZINC000299653184 520026869 /nfs/dbraw/zinc/02/68/69/520026869.db2.gz YNEBOMXZCLUNGV-LBPRGKRZSA-N 1 2 263.410 3.719 20 0 CHADLO CC(C)n1ccnc1C[N@@H+](C)[C@@H](C)c1cccs1 ZINC000299653184 520026876 /nfs/dbraw/zinc/02/68/76/520026876.db2.gz YNEBOMXZCLUNGV-LBPRGKRZSA-N 1 2 263.410 3.719 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CCc2cc(Cl)ccc2C1 ZINC000337201487 520108441 /nfs/dbraw/zinc/10/84/41/520108441.db2.gz GAHMBIKPVKKRGL-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CCc2cc(Cl)ccc2C1 ZINC000337201487 520108448 /nfs/dbraw/zinc/10/84/48/520108448.db2.gz GAHMBIKPVKKRGL-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1ncnc1C[N@H+](C)Cc1cccc2ccccc21 ZINC000280015895 520127509 /nfs/dbraw/zinc/12/75/09/520127509.db2.gz MCXPCQZCJUZHIR-UHFFFAOYSA-N 1 2 294.402 3.644 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](C)Cc1cccc2ccccc21 ZINC000280015895 520127519 /nfs/dbraw/zinc/12/75/19/520127519.db2.gz MCXPCQZCJUZHIR-UHFFFAOYSA-N 1 2 294.402 3.644 20 0 CHADLO CC(C)n1ncnc1C[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000279883212 520128606 /nfs/dbraw/zinc/12/86/06/520128606.db2.gz CUTLQTIQXDYQNF-LBPRGKRZSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000279883212 520128618 /nfs/dbraw/zinc/12/86/18/520128618.db2.gz CUTLQTIQXDYQNF-LBPRGKRZSA-N 1 2 292.814 3.705 20 0 CHADLO c1cc(N2CCC[C@@H]2C2CCCC2)nc(C2CC2)[nH+]1 ZINC000112553322 534472478 /nfs/dbraw/zinc/47/24/78/534472478.db2.gz CULKNPZJCZAOPN-CQSZACIVSA-N 1 2 257.381 3.513 20 0 CHADLO CC[N@H+](C)[C@H](c1nc([C@H](C)C2CC2)no1)c1ccccc1 ZINC000290179924 520274171 /nfs/dbraw/zinc/27/41/71/520274171.db2.gz VIQBWYAJOAYRDU-DOMZBBRYSA-N 1 2 285.391 3.624 20 0 CHADLO CC[N@@H+](C)[C@H](c1nc([C@H](C)C2CC2)no1)c1ccccc1 ZINC000290179924 520274178 /nfs/dbraw/zinc/27/41/78/520274178.db2.gz VIQBWYAJOAYRDU-DOMZBBRYSA-N 1 2 285.391 3.624 20 0 CHADLO CCCCN(C)C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264838187 520395014 /nfs/dbraw/zinc/39/50/14/520395014.db2.gz CJZOUYGQNMGXNY-UHFFFAOYSA-N 1 2 284.359 3.746 20 0 CHADLO Fc1ccc2cc[nH+]c(N3CCC(C(F)F)CC3)c2c1 ZINC000354886920 534506725 /nfs/dbraw/zinc/50/67/25/534506725.db2.gz ZYVRFJBYMUDXFW-UHFFFAOYSA-N 1 2 280.293 3.855 20 0 CHADLO Fc1ccc2cc[nH+]c(N3CCOC4(CCCC4)C3)c2c1 ZINC000354879154 534509359 /nfs/dbraw/zinc/50/93/59/534509359.db2.gz AOZYABXOMBYUTC-UHFFFAOYSA-N 1 2 286.350 3.523 20 0 CHADLO Fc1cccc(C[N@@H+]2CCCc3occc3C2)c1F ZINC000344289301 534517914 /nfs/dbraw/zinc/51/79/14/534517914.db2.gz MHIDDLYBTDVFQD-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO Fc1cccc(C[N@H+]2CCCc3occc3C2)c1F ZINC000344289301 534517923 /nfs/dbraw/zinc/51/79/23/534517923.db2.gz MHIDDLYBTDVFQD-UHFFFAOYSA-N 1 2 263.287 3.506 20 0 CHADLO CCCC[C@H](C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000337291109 521138301 /nfs/dbraw/zinc/13/83/01/521138301.db2.gz LMTZCBYUQPCOCM-JTQLQIEISA-N 1 2 259.353 3.636 20 0 CHADLO CCCCc1ccc([C@H](C)[NH2+][C@@H](C)c2ncn(C)n2)cc1 ZINC000275794085 521289351 /nfs/dbraw/zinc/28/93/51/521289351.db2.gz XPLXCWNXPOLSDW-KBPBESRZSA-N 1 2 286.423 3.570 20 0 CHADLO CC[N@@H+](Cc1cc(C)on1)Cc1ccc(Cl)s1 ZINC000247924399 521290103 /nfs/dbraw/zinc/29/01/03/521290103.db2.gz OTTXTVADIZXPET-UHFFFAOYSA-N 1 2 270.785 3.720 20 0 CHADLO CC[N@H+](Cc1cc(C)on1)Cc1ccc(Cl)s1 ZINC000247924399 521290114 /nfs/dbraw/zinc/29/01/14/521290114.db2.gz OTTXTVADIZXPET-UHFFFAOYSA-N 1 2 270.785 3.720 20 0 CHADLO CCCc1ccc([C@@H](C)[NH2+]Cc2cn[nH]c2C)cc1 ZINC000038011140 521415427 /nfs/dbraw/zinc/41/54/27/521415427.db2.gz BCWXOZXCIXHBNJ-GFCCVEGCSA-N 1 2 257.381 3.521 20 0 CHADLO CC[N@H+](Cc1ncc(C)o1)Cc1cccc(Cl)c1 ZINC000075638261 521458940 /nfs/dbraw/zinc/45/89/40/521458940.db2.gz BGPMINMHRBTWTE-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1ncc(C)o1)Cc1cccc(Cl)c1 ZINC000075638261 521458947 /nfs/dbraw/zinc/45/89/47/521458947.db2.gz BGPMINMHRBTWTE-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@H+](Cc1nnc(C(C)C)o1)Cc1ccc(Cl)cc1 ZINC000263714624 521464513 /nfs/dbraw/zinc/46/45/13/521464513.db2.gz DRVBVXNBQGYNGY-UHFFFAOYSA-N 1 2 293.798 3.869 20 0 CHADLO CC[N@@H+](Cc1nnc(C(C)C)o1)Cc1ccc(Cl)cc1 ZINC000263714624 521464519 /nfs/dbraw/zinc/46/45/19/521464519.db2.gz DRVBVXNBQGYNGY-UHFFFAOYSA-N 1 2 293.798 3.869 20 0 CHADLO CCCN(CC)C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264906945 521605978 /nfs/dbraw/zinc/60/59/78/521605978.db2.gz MJNVFCRVCKAKMW-UHFFFAOYSA-N 1 2 284.359 3.746 20 0 CHADLO CCC1(C(=O)Nc2cc(-c3[nH]cc[nH+]3)ccc2C)CC1 ZINC000280265798 521671469 /nfs/dbraw/zinc/67/14/69/521671469.db2.gz QKXXGTQTOGKOSK-UHFFFAOYSA-N 1 2 269.348 3.514 20 0 CHADLO CCC[N@H+](Cc1cocn1)[C@H](C)c1ccccc1OC ZINC000278170293 521692647 /nfs/dbraw/zinc/69/26/47/521692647.db2.gz OZZXDMGWURGUGF-CYBMUJFWSA-N 1 2 274.364 3.656 20 0 CHADLO CCC[N@@H+](Cc1cocn1)[C@H](C)c1ccccc1OC ZINC000278170293 521692651 /nfs/dbraw/zinc/69/26/51/521692651.db2.gz OZZXDMGWURGUGF-CYBMUJFWSA-N 1 2 274.364 3.656 20 0 CHADLO CCS[C@H](C)c1noc([C@@H](c2ccccc2)[NH+](C)C)n1 ZINC000290171753 521729881 /nfs/dbraw/zinc/72/98/81/521729881.db2.gz UNYUPYIYXXFDIK-DGCLKSJQSA-N 1 2 291.420 3.535 20 0 CHADLO CCc1ccccc1C[NH2+][C@H](c1nnc[nH]1)C1CCCCC1 ZINC000291995659 521842093 /nfs/dbraw/zinc/84/20/93/521842093.db2.gz AJTGJEHJLKTQKS-KRWDZBQOSA-N 1 2 298.434 3.778 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc(Cl)cn2)s1 ZINC000274958534 522038614 /nfs/dbraw/zinc/03/86/14/522038614.db2.gz WFFKDVGSEFWVQN-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+][C@H](C)c2cccc(F)c2)[nH]1 ZINC000277382085 522054593 /nfs/dbraw/zinc/05/45/93/522054593.db2.gz XQJDVFDCSOVGCP-QJPTWQEYSA-N 1 2 290.386 3.869 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CC(C)(C)C(F)(F)F ZINC000280815915 522078836 /nfs/dbraw/zinc/07/88/36/522078836.db2.gz UHQFERBPWOZTLG-UHFFFAOYSA-N 1 2 274.286 3.561 20 0 CHADLO COc1cc(C)c(NC(=O)Nc2ccc(C)[nH+]c2C)cc1C ZINC000278131216 522211030 /nfs/dbraw/zinc/21/10/30/522211030.db2.gz MTMBICWDAKAKPK-UHFFFAOYSA-N 1 2 299.374 3.968 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2cccc(OC)c2)cc(C)[nH+]1 ZINC000339972282 522236911 /nfs/dbraw/zinc/23/69/11/522236911.db2.gz HJAXRCMIEFNXGZ-INIZCTEOSA-N 1 2 297.402 3.698 20 0 CHADLO CCc1nc(N2CC[C@H](C)C[C@H]2c2ccco2)cc(C)[nH+]1 ZINC000340026340 522238441 /nfs/dbraw/zinc/23/84/41/522238441.db2.gz XOZRLZCFNQHWMN-JSGCOSHPSA-N 1 2 285.391 3.918 20 0 CHADLO Cc1cccc(C(=O)Nc2c(C)cc[nH+]c2C)c1Cl ZINC000334590687 534623050 /nfs/dbraw/zinc/62/30/50/534623050.db2.gz LFNMPBGLLWDUPB-UHFFFAOYSA-N 1 2 274.751 3.913 20 0 CHADLO CCc1nc(C[N@@H+](CC)[C@@H](C)c2cccc(O)c2)cs1 ZINC000080933340 522291009 /nfs/dbraw/zinc/29/10/09/522291009.db2.gz SSOQZLFPEYNHIB-LBPRGKRZSA-N 1 2 290.432 3.994 20 0 CHADLO CCc1nc(C[N@H+](CC)[C@@H](C)c2cccc(O)c2)cs1 ZINC000080933340 522291023 /nfs/dbraw/zinc/29/10/23/522291023.db2.gz SSOQZLFPEYNHIB-LBPRGKRZSA-N 1 2 290.432 3.994 20 0 CHADLO CCc1nc(C[N@@H+](CC)[C@H](C)c2cccc(O)c2)cs1 ZINC000080933343 522292820 /nfs/dbraw/zinc/29/28/20/522292820.db2.gz SSOQZLFPEYNHIB-GFCCVEGCSA-N 1 2 290.432 3.994 20 0 CHADLO CCc1nc(C[N@H+](CC)[C@H](C)c2cccc(O)c2)cs1 ZINC000080933343 522292833 /nfs/dbraw/zinc/29/28/33/522292833.db2.gz SSOQZLFPEYNHIB-GFCCVEGCSA-N 1 2 290.432 3.994 20 0 CHADLO COC(=O)c1ccoc1C[N@H+](Cc1ccccc1C)C1CC1 ZINC000339421857 522299627 /nfs/dbraw/zinc/29/96/27/522299627.db2.gz RXAMHNLUDMAMPT-UHFFFAOYSA-N 1 2 299.370 3.539 20 0 CHADLO COC(=O)c1ccoc1C[N@@H+](Cc1ccccc1C)C1CC1 ZINC000339421857 522299633 /nfs/dbraw/zinc/29/96/33/522299633.db2.gz RXAMHNLUDMAMPT-UHFFFAOYSA-N 1 2 299.370 3.539 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2nc(C)sc2C)C2CC2)s1 ZINC000347959602 534628461 /nfs/dbraw/zinc/62/84/61/534628461.db2.gz LWKNENUMLLHACU-ZDUSSCGKSA-N 1 2 293.461 3.766 20 0 CHADLO CCc1[nH+]c2cc(CNC(=O)CC(C)C)ccc2n1C1CC1 ZINC000338493774 522484587 /nfs/dbraw/zinc/48/45/87/522484587.db2.gz GTZPIVBDKWNXHB-UHFFFAOYSA-N 1 2 299.418 3.596 20 0 CHADLO CC[C@@H](CSC)[N@H+](C)Cc1ncc(C(F)(F)F)s1 ZINC000290659902 522604094 /nfs/dbraw/zinc/60/40/94/522604094.db2.gz ZQJOHCLQDPEHGL-QMMMGPOBSA-N 1 2 298.399 3.735 20 0 CHADLO CC[C@@H](CSC)[N@@H+](C)Cc1ncc(C(F)(F)F)s1 ZINC000290659902 522604105 /nfs/dbraw/zinc/60/41/05/522604105.db2.gz ZQJOHCLQDPEHGL-QMMMGPOBSA-N 1 2 298.399 3.735 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N(C)C3CCC(C)CC3)cc2n1C ZINC000353827547 534649650 /nfs/dbraw/zinc/64/96/50/534649650.db2.gz OSHWJZQOAOGACV-UHFFFAOYSA-N 1 2 299.418 3.532 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(O)c1Cl)c1c(C)noc1C ZINC000339325769 522656152 /nfs/dbraw/zinc/65/61/52/522656152.db2.gz REOSNSXPXIMBBD-LBPRGKRZSA-N 1 2 294.782 3.891 20 0 CHADLO CC[C@H]([NH2+]Cc1noc(C2CC2)n1)c1ccc(Cl)cc1 ZINC000097078954 522675026 /nfs/dbraw/zinc/67/50/26/522675026.db2.gz UCCHWGMPBQCMQG-ZDUSSCGKSA-N 1 2 291.782 3.841 20 0 CHADLO CNc1nnc(SCc2ccc(C(C)C)[nH+]c2C)s1 ZINC000292651432 522726225 /nfs/dbraw/zinc/72/62/25/522726225.db2.gz MMBRHJNCPDIDTE-UHFFFAOYSA-N 1 2 294.449 3.699 20 0 CHADLO CCc1nnc(C[NH2+]Cc2ccc(C3CCC3)cc2)s1 ZINC000292860259 522741698 /nfs/dbraw/zinc/74/16/98/522741698.db2.gz CLTVBPKIOOHDFW-UHFFFAOYSA-N 1 2 287.432 3.658 20 0 CHADLO CCc1nnc(C[NH2+][C@@H]2CCc3cc(Cl)ccc32)s1 ZINC000290111914 522743623 /nfs/dbraw/zinc/74/36/23/522743623.db2.gz ISCZAVQZUSMKOP-GFCCVEGCSA-N 1 2 293.823 3.531 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H]3CCCC3(C)C)cc2[nH+]1 ZINC000332194734 534663922 /nfs/dbraw/zinc/66/39/22/534663922.db2.gz BRXYNPBGWRTXLR-LBPRGKRZSA-N 1 2 271.364 3.636 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cc(C)on1)c1ccc(Cl)cc1 ZINC000282720846 522764772 /nfs/dbraw/zinc/76/47/72/522764772.db2.gz LXPMMWHCNREPHV-ABAIWWIYSA-N 1 2 294.782 3.675 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(Cl)cc2)o1 ZINC000111193692 522792101 /nfs/dbraw/zinc/79/21/01/522792101.db2.gz PNXYSHSINFVOMV-UWVGGRQHSA-N 1 2 279.771 3.697 20 0 CHADLO CCc1nocc1C[N@H+](C)[C@@H](C)c1ccc(F)cc1F ZINC000293315150 522932504 /nfs/dbraw/zinc/93/25/04/522932504.db2.gz FRAWHOKOQFQWOC-JTQLQIEISA-N 1 2 280.318 3.708 20 0 CHADLO CCc1nocc1C[N@@H+](C)[C@@H](C)c1ccc(F)cc1F ZINC000293315150 522932512 /nfs/dbraw/zinc/93/25/12/522932512.db2.gz FRAWHOKOQFQWOC-JTQLQIEISA-N 1 2 280.318 3.708 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nc(C2CC2)no1)c1ccccc1 ZINC000299417345 522940664 /nfs/dbraw/zinc/94/06/64/522940664.db2.gz QURPLTJDZRYWTN-BXUZGUMPSA-N 1 2 271.364 3.749 20 0 CHADLO COc1cc([C@H](C)Nc2[nH+]ccc3ccc(F)cc32)ccn1 ZINC000340933719 522950090 /nfs/dbraw/zinc/95/00/90/522950090.db2.gz GASRCAKPFDDBQR-NSHDSACASA-N 1 2 297.333 3.951 20 0 CHADLO COCc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000155928994 522996232 /nfs/dbraw/zinc/99/62/32/522996232.db2.gz SRDRDPPNIITHHC-UHFFFAOYSA-N 1 2 293.370 3.631 20 0 CHADLO COCc1cccc(CSCc2c[nH+]ccc2OC)c1 ZINC000289432001 523062175 /nfs/dbraw/zinc/06/21/75/523062175.db2.gz REEKRUUKNGSFOA-UHFFFAOYSA-N 1 2 289.400 3.670 20 0 CHADLO COCCC1(CNc2[nH+]c3ccccc3cc2C)CC1 ZINC000225371459 523067845 /nfs/dbraw/zinc/06/78/45/523067845.db2.gz RKVDVRHBBBEPQK-UHFFFAOYSA-N 1 2 270.376 3.772 20 0 CHADLO CC[C@@H](SC)C(=O)OCc1ccc(C(C)C)[nH+]c1C ZINC000292953944 523075853 /nfs/dbraw/zinc/07/58/53/523075853.db2.gz FEUYHJNUYHDXGS-CQSZACIVSA-N 1 2 281.421 3.698 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@H+](C)Cc1nncn1C(C)C ZINC000292290108 523090775 /nfs/dbraw/zinc/09/07/75/523090775.db2.gz CLRDWWQNYJIGQT-HNNXBMFYSA-N 1 2 290.386 3.581 20 0 CHADLO CC[C@@H](c1ccc(F)cc1)[N@@H+](C)Cc1nncn1C(C)C ZINC000292290108 523090780 /nfs/dbraw/zinc/09/07/80/523090780.db2.gz CLRDWWQNYJIGQT-HNNXBMFYSA-N 1 2 290.386 3.581 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1csc(COc2ccccc2)n1 ZINC000292449323 523173170 /nfs/dbraw/zinc/17/31/70/523173170.db2.gz PHVCJTQJEKKPHH-AWEZNQCLSA-N 1 2 288.416 3.706 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1csc(COc2ccccc2)n1 ZINC000292449323 523173175 /nfs/dbraw/zinc/17/31/75/523173175.db2.gz PHVCJTQJEKKPHH-AWEZNQCLSA-N 1 2 288.416 3.706 20 0 CHADLO COCCCCSCc1[nH+]ccn1Cc1ccccc1 ZINC000285245766 523189299 /nfs/dbraw/zinc/18/92/99/523189299.db2.gz ZGOFBMHUSATCGK-UHFFFAOYSA-N 1 2 290.432 3.591 20 0 CHADLO COC(=O)C[C@@H](C)SCc1ccc(C(C)C)[nH+]c1C ZINC000338553518 523269105 /nfs/dbraw/zinc/26/91/05/523269105.db2.gz MFVCSJAWKYGCPM-LLVKDONJSA-N 1 2 281.421 3.698 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1ccc(Cl)nc1Cl ZINC000234287640 523435499 /nfs/dbraw/zinc/43/54/99/523435499.db2.gz MNPZONGUCVXKGE-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1ccc(Cl)nc1Cl ZINC000234287640 523435505 /nfs/dbraw/zinc/43/55/05/523435505.db2.gz MNPZONGUCVXKGE-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2coc(-c3cccs3)n2)CCS1 ZINC000076072299 523455185 /nfs/dbraw/zinc/45/51/85/523455185.db2.gz TWEFMTGWABLSDX-GFCCVEGCSA-N 1 2 294.445 3.731 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2coc(-c3cccs3)n2)CCS1 ZINC000076072299 523455191 /nfs/dbraw/zinc/45/51/91/523455191.db2.gz TWEFMTGWABLSDX-GFCCVEGCSA-N 1 2 294.445 3.731 20 0 CHADLO C[N@H+](Cc1ccno1)Cc1ccc(Cl)c(Cl)c1 ZINC000263765435 523467350 /nfs/dbraw/zinc/46/73/50/523467350.db2.gz DRCVXPKAIUYGTG-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1ccno1)Cc1ccc(Cl)c(Cl)c1 ZINC000263765435 523467361 /nfs/dbraw/zinc/46/73/61/523467361.db2.gz DRCVXPKAIUYGTG-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO COCC[N@H+](Cc1csc(C)n1)[C@H](C)c1ccccc1 ZINC000340673688 523772596 /nfs/dbraw/zinc/77/25/96/523772596.db2.gz JUOQZXLUUKUKDO-CYBMUJFWSA-N 1 2 290.432 3.661 20 0 CHADLO COCC[N@@H+](Cc1csc(C)n1)[C@H](C)c1ccccc1 ZINC000340673688 523772608 /nfs/dbraw/zinc/77/26/08/523772608.db2.gz JUOQZXLUUKUKDO-CYBMUJFWSA-N 1 2 290.432 3.661 20 0 CHADLO COCC[N@H+](Cc1csc(C)n1)[C@@H](C)c1ccccc1 ZINC000340673690 523773125 /nfs/dbraw/zinc/77/31/25/523773125.db2.gz JUOQZXLUUKUKDO-ZDUSSCGKSA-N 1 2 290.432 3.661 20 0 CHADLO COCC[N@@H+](Cc1csc(C)n1)[C@@H](C)c1ccccc1 ZINC000340673690 523773130 /nfs/dbraw/zinc/77/31/30/523773130.db2.gz JUOQZXLUUKUKDO-ZDUSSCGKSA-N 1 2 290.432 3.661 20 0 CHADLO COCC[N@H+](Cc1nc(C)c(C)o1)[C@H](C)c1ccccc1 ZINC000299377174 523773857 /nfs/dbraw/zinc/77/38/57/523773857.db2.gz GDXGNRWIYHHRIH-CQSZACIVSA-N 1 2 288.391 3.501 20 0 CHADLO COCC[N@@H+](Cc1nc(C)c(C)o1)[C@H](C)c1ccccc1 ZINC000299377174 523773862 /nfs/dbraw/zinc/77/38/62/523773862.db2.gz GDXGNRWIYHHRIH-CQSZACIVSA-N 1 2 288.391 3.501 20 0 CHADLO COc1ccc(CSCc2c[nH+]cn2C)cc1Cl ZINC000276633798 524125948 /nfs/dbraw/zinc/12/59/48/524125948.db2.gz WBVYGJBDHIAEEU-UHFFFAOYSA-N 1 2 282.796 3.516 20 0 CHADLO C[C@H]1CCC[C@H](C)N(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000150800476 524183848 /nfs/dbraw/zinc/18/38/48/524183848.db2.gz BOQGRFCZPJLQQY-GJZGRUSLSA-N 1 2 297.402 3.523 20 0 CHADLO COc1cccc2c(N[C@@H]3CCO[C@@H](C4CC4)C3)cc[nH+]c12 ZINC000275840857 524200567 /nfs/dbraw/zinc/20/05/67/524200567.db2.gz PSVXGMVCWZZPQM-CXAGYDPISA-N 1 2 298.386 3.613 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]Cc1c(F)cccc1F ZINC000037089728 524332257 /nfs/dbraw/zinc/33/22/57/524332257.db2.gz FRZJNOPTFUOENH-JTQLQIEISA-N 1 2 295.304 3.963 20 0 CHADLO Cc1ccc(C[N@@H+]2CCOc3cccc(F)c3C2)s1 ZINC000338965420 524464966 /nfs/dbraw/zinc/46/49/66/524464966.db2.gz YXKDMVLTXMRHKR-UHFFFAOYSA-N 1 2 277.364 3.590 20 0 CHADLO Cc1ccc(C[N@H+]2CCOc3cccc(F)c3C2)s1 ZINC000338965420 524464969 /nfs/dbraw/zinc/46/49/69/524464969.db2.gz YXKDMVLTXMRHKR-UHFFFAOYSA-N 1 2 277.364 3.590 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@](F)(c3ccccc3F)C2)nc1 ZINC000338585274 524469457 /nfs/dbraw/zinc/46/94/57/524469457.db2.gz PIACSUURTXTWHZ-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@](F)(c3ccccc3F)C2)nc1 ZINC000338585274 524469464 /nfs/dbraw/zinc/46/94/64/524469464.db2.gz PIACSUURTXTWHZ-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccc(CSCCOCC(C)C)c(C)[nH+]1 ZINC000336967495 524645095 /nfs/dbraw/zinc/64/50/95/524645095.db2.gz LXESRVSWCSZYJL-UHFFFAOYSA-N 1 2 253.411 3.604 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccco2)Cc2cccs2)ncn1 ZINC000292215554 524671686 /nfs/dbraw/zinc/67/16/86/524671686.db2.gz QGKCBRJARHJMMD-UHFFFAOYSA-N 1 2 299.399 3.642 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccco2)Cc2cccs2)ncn1 ZINC000292215554 524671691 /nfs/dbraw/zinc/67/16/91/524671691.db2.gz QGKCBRJARHJMMD-UHFFFAOYSA-N 1 2 299.399 3.642 20 0 CHADLO COc1ccccc1[C@@H]1CCN(c2cc[nH+]c(C(C)C)n2)C1 ZINC000150928973 524758554 /nfs/dbraw/zinc/75/85/54/524758554.db2.gz MKOLTLZPDBUCJB-CQSZACIVSA-N 1 2 297.402 3.603 20 0 CHADLO Cc1cc(Cl)c(N[C@@H]2CCn3cc[nH+]c32)cc1C ZINC000296537898 524851444 /nfs/dbraw/zinc/85/14/44/524851444.db2.gz QUPPANLACBSWSB-GFCCVEGCSA-N 1 2 261.756 3.710 20 0 CHADLO COc1cc[nH+]cc1CSC[C@@H](OC)c1ccccc1 ZINC000289434320 524862660 /nfs/dbraw/zinc/86/26/60/524862660.db2.gz RNUTWEWGERYMDI-MRXNPFEDSA-N 1 2 289.400 3.711 20 0 CHADLO Cc1csc(C[NH2+][C@@H]2CCc3cc(F)c(F)c(F)c32)n1 ZINC000336219683 534820007 /nfs/dbraw/zinc/82/00/07/534820007.db2.gz PNQSZVBDTARMLD-SNVBAGLBSA-N 1 2 298.333 3.646 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc(F)nc1C ZINC000278426644 525023946 /nfs/dbraw/zinc/02/39/46/525023946.db2.gz RKNFTIBHCXSHPX-UHFFFAOYSA-N 1 2 273.355 3.516 20 0 CHADLO Cc1cc(N2CCC[C@@H]2C2CCC2)nc(-c2ccncc2)[nH+]1 ZINC000112080008 525070003 /nfs/dbraw/zinc/07/00/03/525070003.db2.gz VJXKAAYDADRKRG-MRXNPFEDSA-N 1 2 294.402 3.616 20 0 CHADLO C[C@@H]1CN(c2[nH]c3ccccc3[nH+]2)C[C@H](c2ccsc2)O1 ZINC000270570949 525074993 /nfs/dbraw/zinc/07/49/93/525074993.db2.gz MYZIAKYLZNUMFD-IAQYHMDHSA-N 1 2 299.399 3.591 20 0 CHADLO Cc1ccc(NC(=O)NCC(C)(C)C(C)C)c(C)[nH+]1 ZINC000188421804 525153091 /nfs/dbraw/zinc/15/30/91/525153091.db2.gz UJAOMADIVJLCMU-UHFFFAOYSA-N 1 2 263.385 3.502 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)CSc2ccccc2)cc[nH+]1 ZINC000112298620 525223700 /nfs/dbraw/zinc/22/37/00/525223700.db2.gz HGPBRENXDSPDHF-GFCCVEGCSA-N 1 2 286.400 3.757 20 0 CHADLO Cc1ccc(NCc2ccc3c(c2)CC(C)(C)O3)c[nH+]1 ZINC000149822114 525282853 /nfs/dbraw/zinc/28/28/53/525282853.db2.gz LXELUTLXNRJATK-UHFFFAOYSA-N 1 2 268.360 3.716 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+](C)Cc1nnc2n1CCCCC2 ZINC000299596832 525406875 /nfs/dbraw/zinc/40/68/75/525406875.db2.gz LISDCRBORCDEIX-HNNXBMFYSA-N 1 2 298.434 3.506 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+](C)Cc1nnc2n1CCCCC2 ZINC000299596832 525406881 /nfs/dbraw/zinc/40/68/81/525406881.db2.gz LISDCRBORCDEIX-HNNXBMFYSA-N 1 2 298.434 3.506 20 0 CHADLO Cc1ccccc1[C@H](NC(=O)CCc1[nH]cc[nH+]1)C(C)(C)C ZINC000279299148 525425554 /nfs/dbraw/zinc/42/55/54/525425554.db2.gz ZQDJLMAONSIMMB-KRWDZBQOSA-N 1 2 299.418 3.554 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1Cl)c1nccs1 ZINC000070650466 525461785 /nfs/dbraw/zinc/46/17/85/525461785.db2.gz KJFVPRKUWACUSL-VIFPVBQESA-N 1 2 252.770 3.647 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](c2nc3ccccc3[nH]2)C(C)C)no1 ZINC000289600489 525477306 /nfs/dbraw/zinc/47/73/06/525477306.db2.gz FWIUZAAZDGUHIE-WBMJQRKESA-N 1 2 298.390 3.907 20 0 CHADLO CSCCCSCc1cn2cccc(C)c2[nH+]1 ZINC000340861433 525486592 /nfs/dbraw/zinc/48/65/92/525486592.db2.gz CUTHOIVRPWIARQ-UHFFFAOYSA-N 1 2 266.435 3.629 20 0 CHADLO C[C@@H]([NH2+]Cc1cc[nH]n1)c1cc(Cl)ccc1Cl ZINC000041014184 525517132 /nfs/dbraw/zinc/51/71/32/525517132.db2.gz COVNSCOTOXBLSV-MRVPVSSYSA-N 1 2 270.163 3.567 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2CCCOc3ccccc32)no1 ZINC000289629631 525531794 /nfs/dbraw/zinc/53/17/94/525531794.db2.gz HFBQWIYWVKVABB-JSGCOSHPSA-N 1 2 272.348 3.548 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)C1CC1)c1nc(C2CC2)no1 ZINC000128095317 525598265 /nfs/dbraw/zinc/59/82/65/525598265.db2.gz IXZRYJVLQRCTGD-XHDPSFHLSA-N 1 2 283.375 3.749 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1ccccn1 ZINC000036988597 525655277 /nfs/dbraw/zinc/65/52/77/525655277.db2.gz UPOPSTSAWIMTEL-AWEZNQCLSA-N 1 2 282.391 3.640 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC(c2cn[nH]c2)CC1 ZINC000363729665 525656570 /nfs/dbraw/zinc/65/65/70/525656570.db2.gz QVUWFYLETWEEDN-UHFFFAOYSA-N 1 2 292.386 3.650 20 0 CHADLO Cc1cc2[nH+]cn(Cc3cnoc3C3CC3)c2cc1C ZINC000271853860 525685234 /nfs/dbraw/zinc/68/52/34/525685234.db2.gz RSZWMMVJIMRRTC-UHFFFAOYSA-N 1 2 267.332 3.567 20 0 CHADLO CSCc1cnc(C[NH2+][C@@H]2CCCc3occc32)s1 ZINC000294274789 525758275 /nfs/dbraw/zinc/75/82/75/525758275.db2.gz VRMRMQPJGHMMGN-GFCCVEGCSA-N 1 2 294.445 3.766 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1c(F)cc(F)c(F)c1F ZINC000281030573 525767960 /nfs/dbraw/zinc/76/79/60/525767960.db2.gz FWLNEVRNBSTMPZ-VIFPVBQESA-N 1 2 298.283 3.797 20 0 CHADLO COc1cccc(CCNc2cc(C(F)(F)F)cc[nH+]2)c1 ZINC000226797641 525903136 /nfs/dbraw/zinc/90/31/36/525903136.db2.gz YYLGEYALTVAWCA-UHFFFAOYSA-N 1 2 296.292 3.764 20 0 CHADLO Cc1cn2cc(NC(=O)C[C@H]3CCC[C@@H](C)C3)ccc2[nH+]1 ZINC000339272616 525947511 /nfs/dbraw/zinc/94/75/11/525947511.db2.gz IRUAVSQPHCHUAQ-OCCSQVGLSA-N 1 2 285.391 3.798 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2cccnc2Cl)s1 ZINC000080346081 525982158 /nfs/dbraw/zinc/98/21/58/525982158.db2.gz FXACANDNRHUMPM-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO Cc1cnc(C2CC[NH+](C/C(Cl)=C/Cl)CC2)s1 ZINC000277466163 525987149 /nfs/dbraw/zinc/98/71/49/525987149.db2.gz PHHDCOVOMIGDRV-WDZFZDKYSA-N 1 2 291.247 3.950 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3ccc(Cl)cc3)CC2)nc1 ZINC000340699978 526014823 /nfs/dbraw/zinc/01/48/23/526014823.db2.gz CHDZHCLJHCJPDC-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3ccc(Cl)cc3)CC2)nc1 ZINC000340699978 526014830 /nfs/dbraw/zinc/01/48/30/526014830.db2.gz CHDZHCLJHCJPDC-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1cnc(C[N@@H+]2CCCC[C@H]2c2ccc(F)cc2)nc1 ZINC000340687088 526018083 /nfs/dbraw/zinc/01/80/83/526018083.db2.gz BBHMDNJFHOOUHQ-INIZCTEOSA-N 1 2 285.366 3.651 20 0 CHADLO Cc1cnc(C[N@H+]2CCCC[C@H]2c2ccc(F)cc2)nc1 ZINC000340687088 526018087 /nfs/dbraw/zinc/01/80/87/526018087.db2.gz BBHMDNJFHOOUHQ-INIZCTEOSA-N 1 2 285.366 3.651 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@](F)(c3ccccc3C)C2)s1 ZINC000337415077 526026289 /nfs/dbraw/zinc/02/62/89/526026289.db2.gz RBGVRMZIXILLBV-MRXNPFEDSA-N 1 2 290.407 3.831 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@](F)(c3ccccc3C)C2)s1 ZINC000337415077 526026295 /nfs/dbraw/zinc/02/62/95/526026295.db2.gz RBGVRMZIXILLBV-MRXNPFEDSA-N 1 2 290.407 3.831 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)Cc1ccco1 ZINC000338403954 526032418 /nfs/dbraw/zinc/03/24/18/526032418.db2.gz AZDPBRUYKFQCCX-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)Cc1ccco1 ZINC000338403954 526032428 /nfs/dbraw/zinc/03/24/28/526032428.db2.gz AZDPBRUYKFQCCX-SNVBAGLBSA-N 1 2 251.276 3.751 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@@H](C)c1ccncc1F ZINC000340390859 526104619 /nfs/dbraw/zinc/10/46/19/526104619.db2.gz YIKXSDIXZDGPCR-JTQLQIEISA-N 1 2 294.370 3.933 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127927967 526126326 /nfs/dbraw/zinc/12/63/26/526126326.db2.gz VMUQWZWBACNQAX-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127927967 526126333 /nfs/dbraw/zinc/12/63/33/526126333.db2.gz VMUQWZWBACNQAX-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO CSc1ccccc1NC1CCN(c2cccc[nH+]2)CC1 ZINC000037278952 526177757 /nfs/dbraw/zinc/17/77/57/526177757.db2.gz HOSUTCPBZMNAEA-UHFFFAOYSA-N 1 2 299.443 3.884 20 0 CHADLO CSc1ccccc1[C@@H](C)Nc1cc[nH+]c2ccncc12 ZINC000336940205 526181757 /nfs/dbraw/zinc/18/17/57/526181757.db2.gz XPDMOHDWPSQPAV-GFCCVEGCSA-N 1 2 295.411 3.947 20 0 CHADLO CSc1ccccc1[C@H](C)Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000336941008 526187029 /nfs/dbraw/zinc/18/70/29/526187029.db2.gz YBHWGCYQUPPCMU-JTQLQIEISA-N 1 2 298.415 3.583 20 0 CHADLO CSc1ccccc1[C@H](C)Nc1cc(C)[nH+]cn1 ZINC000336935544 526195407 /nfs/dbraw/zinc/19/54/07/526195407.db2.gz TZOJMRTZHMDOGE-NSHDSACASA-N 1 2 259.378 3.680 20 0 CHADLO Cc1cncc([C@H](C)[NH2+]CC(F)(F)c2ccccc2)c1 ZINC000353640724 526215339 /nfs/dbraw/zinc/21/53/39/526215339.db2.gz HMUQMCYBLHJJAF-ZDUSSCGKSA-N 1 2 276.330 3.833 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)NC[C@@H]1CCCc2ccccc21 ZINC000121772053 526239227 /nfs/dbraw/zinc/23/92/27/526239227.db2.gz AYXKKUNRRSUCGQ-HNNXBMFYSA-N 1 2 295.386 3.632 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](C)CSc1ccccc1 ZINC000110767977 526240144 /nfs/dbraw/zinc/24/01/44/526240144.db2.gz MZPQFIBJSZEFBW-CYBMUJFWSA-N 1 2 286.400 3.757 20 0 CHADLO Cc1csc(C2([NH2+]Cc3nc(C)c(C)s3)CCC2)n1 ZINC000275169665 526325516 /nfs/dbraw/zinc/32/55/16/526325516.db2.gz KSUNUYGAFZXIDY-UHFFFAOYSA-N 1 2 293.461 3.694 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccccc2F)C2CC2)nc1 ZINC000276381244 526329058 /nfs/dbraw/zinc/32/90/58/526329058.db2.gz QFNXYSYKKNCBRW-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccccc2F)C2CC2)nc1 ZINC000276381244 526329063 /nfs/dbraw/zinc/32/90/63/526329063.db2.gz QFNXYSYKKNCBRW-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Cc1csc(C[N@@H+]2CCSC[C@H]2c2ccccc2)n1 ZINC000081672429 526344176 /nfs/dbraw/zinc/34/41/76/526344176.db2.gz UZTLIMQHLWXADU-AWEZNQCLSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1csc(C[N@H+]2CCSC[C@H]2c2ccccc2)n1 ZINC000081672429 526344181 /nfs/dbraw/zinc/34/41/81/526344181.db2.gz UZTLIMQHLWXADU-AWEZNQCLSA-N 1 2 290.457 3.742 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1sccc1Cl)CC2 ZINC000338563621 526353408 /nfs/dbraw/zinc/35/34/08/526353408.db2.gz MPRJOLSENGBUMS-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1sccc1Cl)CC2 ZINC000338563621 526353411 /nfs/dbraw/zinc/35/34/11/526353411.db2.gz MPRJOLSENGBUMS-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Fc1ccc2cc[nH+]c(NCCc3ccco3)c2c1 ZINC000354576562 526376842 /nfs/dbraw/zinc/37/68/42/526376842.db2.gz ZHWWDFOKRJHIOP-UHFFFAOYSA-N 1 2 256.280 3.622 20 0 CHADLO Fc1cccc(Cl)c1C[C@@H]1CCC[N@@H+]1Cc1ccon1 ZINC000157596109 526391117 /nfs/dbraw/zinc/39/11/17/526391117.db2.gz NRDVZKPFGYDDBS-LBPRGKRZSA-N 1 2 294.757 3.674 20 0 CHADLO Fc1cccc(Cl)c1C[C@@H]1CCC[N@H+]1Cc1ccon1 ZINC000157596109 526391124 /nfs/dbraw/zinc/39/11/24/526391124.db2.gz NRDVZKPFGYDDBS-LBPRGKRZSA-N 1 2 294.757 3.674 20 0 CHADLO Fc1cccc(Cl)c1C[C@H]1CCC[N@@H+]1Cc1ccon1 ZINC000157596234 526391244 /nfs/dbraw/zinc/39/12/44/526391244.db2.gz NRDVZKPFGYDDBS-GFCCVEGCSA-N 1 2 294.757 3.674 20 0 CHADLO Fc1cccc(Cl)c1C[C@H]1CCC[N@H+]1Cc1ccon1 ZINC000157596234 526391249 /nfs/dbraw/zinc/39/12/49/526391249.db2.gz NRDVZKPFGYDDBS-GFCCVEGCSA-N 1 2 294.757 3.674 20 0 CHADLO Fc1cccc(F)c1C[NH2+]Cc1ccc2ccccc2n1 ZINC000340404782 526394794 /nfs/dbraw/zinc/39/47/94/526394794.db2.gz IHJANYRCFSMMPF-UHFFFAOYSA-N 1 2 284.309 3.803 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000079876303 526402687 /nfs/dbraw/zinc/40/26/87/526402687.db2.gz JEXNHERMLSKNTD-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000079876303 526402692 /nfs/dbraw/zinc/40/26/92/526402692.db2.gz JEXNHERMLSKNTD-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1noc(C)c1C[NH2+]Cc1nc(-c2ccccc2)cs1 ZINC000069785184 526514196 /nfs/dbraw/zinc/51/41/96/526514196.db2.gz YVMDUKYWMHAAIH-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO c1ccc2[nH+]c(N[C@@H]3CCCC34CCOCC4)ccc2c1 ZINC000336922905 526519026 /nfs/dbraw/zinc/51/90/26/526519026.db2.gz IPWOQCFKISPDPG-MRXNPFEDSA-N 1 2 282.387 3.996 20 0 CHADLO c1oc2ccccc2c1CNc1cc[nH+]c(C2CC2)n1 ZINC000265232228 526628733 /nfs/dbraw/zinc/62/87/33/526628733.db2.gz PKGLNUFHXGBQPV-UHFFFAOYSA-N 1 2 265.316 3.712 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@H]1CCO[C@H]1C ZINC000338558624 526669305 /nfs/dbraw/zinc/66/93/05/526669305.db2.gz XGRYXCAEAPFLJW-WFASDCNBSA-N 1 2 265.422 3.924 20 0 CHADLO Cc1nc(C(F)(F)F)c(C[N@@H+]2CCC=C(C)C2)s1 ZINC000280795694 526680283 /nfs/dbraw/zinc/68/02/83/526680283.db2.gz JZKDJEVOAZVUAR-UHFFFAOYSA-N 1 2 276.327 3.622 20 0 CHADLO Cc1nc(C(F)(F)F)c(C[N@H+]2CCC=C(C)C2)s1 ZINC000280795694 526680293 /nfs/dbraw/zinc/68/02/93/526680293.db2.gz JZKDJEVOAZVUAR-UHFFFAOYSA-N 1 2 276.327 3.622 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2cccc3ccccc32)no1 ZINC000064637330 526861058 /nfs/dbraw/zinc/86/10/58/526861058.db2.gz NBUKABSNVSSPAC-KRWDZBQOSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2cccc3ccccc32)no1 ZINC000064637330 526861060 /nfs/dbraw/zinc/86/10/60/526861060.db2.gz NBUKABSNVSSPAC-KRWDZBQOSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCSc3c(F)cccc32)cs1 ZINC000040421484 526894354 /nfs/dbraw/zinc/89/43/54/526894354.db2.gz XGARRIMKWDIHJQ-ZDUSSCGKSA-N 1 2 294.420 3.917 20 0 CHADLO Cl/C=C(\Cl)C[NH+]1CCC(c2ccncc2)CC1 ZINC000277251137 526922741 /nfs/dbraw/zinc/92/27/41/526922741.db2.gz QHKFWHLZWRAMQN-LCYFTJDESA-N 1 2 271.191 3.580 20 0 CHADLO Clc1ccc2c(c1)CC[C@@H]2[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367743044 526966036 /nfs/dbraw/zinc/96/60/36/526966036.db2.gz YNQSTIZTQSWRRE-GJZGRUSLSA-N 1 2 287.794 3.718 20 0 CHADLO Clc1ccccc1[C@H]1CCC[N@@H+]1Cc1cnns1 ZINC000290075917 526992215 /nfs/dbraw/zinc/99/22/15/526992215.db2.gz QIEBUFDURNTGJL-CYBMUJFWSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccccc1[C@H]1CCC[N@H+]1Cc1cnns1 ZINC000290075917 526992220 /nfs/dbraw/zinc/99/22/20/526992220.db2.gz QIEBUFDURNTGJL-CYBMUJFWSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1sccc1C[N@@H+]1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000354710117 527013749 /nfs/dbraw/zinc/01/37/49/527013749.db2.gz QOQBZTLCQYXIDU-TZMCWYRMSA-N 1 2 283.824 3.545 20 0 CHADLO Clc1sccc1C[N@H+]1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000354710117 527013755 /nfs/dbraw/zinc/01/37/55/527013755.db2.gz QOQBZTLCQYXIDU-TZMCWYRMSA-N 1 2 283.824 3.545 20 0 CHADLO O=C(C[C@@H](c1[nH]cc[nH+]1)c1ccccc1)Nc1ccccc1 ZINC000340823288 527098301 /nfs/dbraw/zinc/09/83/01/527098301.db2.gz NMDMBGVJTSHHEZ-MRXNPFEDSA-N 1 2 291.354 3.570 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3CCC(F)(F)CC3)cc2[nH+]1 ZINC000340040238 527141943 /nfs/dbraw/zinc/14/19/43/527141943.db2.gz YWVRWHMSTLZNGW-UHFFFAOYSA-N 1 2 293.317 3.635 20 0 CHADLO O=C(Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1)C1CC=CC1 ZINC000076984866 527263357 /nfs/dbraw/zinc/26/33/57/527263357.db2.gz KCDVODRPHMSUDM-UHFFFAOYSA-N 1 2 281.359 3.735 20 0 CHADLO Cc1ncsc1CCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000294983059 527489815 /nfs/dbraw/zinc/48/98/15/527489815.db2.gz MFILSCGYLIOCBY-UHFFFAOYSA-N 1 2 287.310 3.520 20 0 CHADLO CC(C)(C(=O)Nc1ccc(Cl)c(Cl)c1)c1c[nH+]c[nH]1 ZINC000336044369 527537853 /nfs/dbraw/zinc/53/78/53/527537853.db2.gz SQMCKKOVNHXNLM-UHFFFAOYSA-N 1 2 298.173 3.633 20 0 CHADLO CC(C)(C(=O)Nc1ccc(Cl)c(Cl)c1)c1c[nH]c[nH+]1 ZINC000336044369 527537856 /nfs/dbraw/zinc/53/78/56/527537856.db2.gz SQMCKKOVNHXNLM-UHFFFAOYSA-N 1 2 298.173 3.633 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@@H](C)c1ccc(F)cc1 ZINC000299516252 527666170 /nfs/dbraw/zinc/66/61/70/527666170.db2.gz KAYLHZIVUMVZJW-NSHDSACASA-N 1 2 295.789 3.714 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@@H](C)c1ccc(F)cc1 ZINC000299516252 527666172 /nfs/dbraw/zinc/66/61/72/527666172.db2.gz KAYLHZIVUMVZJW-NSHDSACASA-N 1 2 295.789 3.714 20 0 CHADLO FC(F)(F)c1cc(NCc2c[nH+]c[nH]2)ccc1Cl ZINC000054763958 527680544 /nfs/dbraw/zinc/68/05/44/527680544.db2.gz JLKJXBIEPKNTOA-UHFFFAOYSA-N 1 2 275.661 3.694 20 0 CHADLO FC(F)(F)c1cc(NCc2c[nH]c[nH+]2)ccc1Cl ZINC000054763958 527680547 /nfs/dbraw/zinc/68/05/47/527680547.db2.gz JLKJXBIEPKNTOA-UHFFFAOYSA-N 1 2 275.661 3.694 20 0 CHADLO FC(F)c1ccc(C[N@H+]2CCC[C@H]2C(F)F)cc1 ZINC000353185229 527698726 /nfs/dbraw/zinc/69/87/26/527698726.db2.gz BVDDYIPVGFYWCW-NSHDSACASA-N 1 2 261.262 3.854 20 0 CHADLO FC(F)c1ccc(C[N@@H+]2CCC[C@H]2C(F)F)cc1 ZINC000353185229 527698728 /nfs/dbraw/zinc/69/87/28/527698728.db2.gz BVDDYIPVGFYWCW-NSHDSACASA-N 1 2 261.262 3.854 20 0 CHADLO CC1=C(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)CCC1 ZINC000336580351 528080692 /nfs/dbraw/zinc/08/06/92/528080692.db2.gz VMMMVQOTUSYNNT-UHFFFAOYSA-N 1 2 267.332 3.516 20 0 CHADLO CC1=CCCN(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000336164128 528102041 /nfs/dbraw/zinc/10/20/41/528102041.db2.gz YDDSTQCYUZWVAP-UHFFFAOYSA-N 1 2 294.354 3.666 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2ccc(SC)c[nH+]2)C12CCC2 ZINC000347264956 528105400 /nfs/dbraw/zinc/10/54/00/528105400.db2.gz WSDPADBGXDGWLO-OLZOCXBDSA-N 1 2 278.421 3.563 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCC[C@H]2C(F)F)cc1 ZINC000353177126 528151013 /nfs/dbraw/zinc/15/10/13/528151013.db2.gz VLIIDUACUICWHL-AWEZNQCLSA-N 1 2 269.335 3.703 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCC[C@H]2C(F)F)cc1 ZINC000353177126 528151018 /nfs/dbraw/zinc/15/10/18/528151018.db2.gz VLIIDUACUICWHL-AWEZNQCLSA-N 1 2 269.335 3.703 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC(F)(F)[C@@H](C)C2)cs1 ZINC000352019053 528276416 /nfs/dbraw/zinc/27/64/16/528276416.db2.gz UBUKJIXEWUXEPL-JTQLQIEISA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC(F)(F)[C@@H](C)C2)cs1 ZINC000352019053 528276412 /nfs/dbraw/zinc/27/64/12/528276412.db2.gz UBUKJIXEWUXEPL-JTQLQIEISA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CC=C(C(F)(F)F)CC2)s1 ZINC000353744739 528349156 /nfs/dbraw/zinc/34/91/56/528349156.db2.gz HEPCKECQIZUDPQ-UHFFFAOYSA-N 1 2 290.354 3.961 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CC=C(C(F)(F)F)CC2)s1 ZINC000353744739 528349160 /nfs/dbraw/zinc/34/91/60/528349160.db2.gz HEPCKECQIZUDPQ-UHFFFAOYSA-N 1 2 290.354 3.961 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCOC[C@H]2CC2CCC2)s1 ZINC000354733329 528349598 /nfs/dbraw/zinc/34/95/98/528349598.db2.gz PJKMIJYRAVEICG-CQSZACIVSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCOC[C@H]2CC2CCC2)s1 ZINC000354733329 528349604 /nfs/dbraw/zinc/34/96/04/528349604.db2.gz PJKMIJYRAVEICG-CQSZACIVSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc(Cl)s2)s1 ZINC000344630248 528441992 /nfs/dbraw/zinc/44/19/92/528441992.db2.gz LWNWMXQNRWXWKH-UHFFFAOYSA-N 1 2 287.841 3.666 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc([C@@H]3C[C@@H]3C)o2)s1 ZINC000344627902 528443389 /nfs/dbraw/zinc/44/33/89/528443389.db2.gz GVJKGGXQOSFZPY-CMPLNLGQSA-N 1 2 291.420 3.668 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCCC[C@@H](SCC)C2)no1 ZINC000350916159 529038905 /nfs/dbraw/zinc/03/89/05/529038905.db2.gz CPDWJOSXKWYYAE-CYBMUJFWSA-N 1 2 297.468 3.520 20 0 CHADLO CCCCc1nc(C[N@H+]2CCCC[C@@H](SCC)C2)no1 ZINC000350916159 529038910 /nfs/dbraw/zinc/03/89/10/529038910.db2.gz CPDWJOSXKWYYAE-CYBMUJFWSA-N 1 2 297.468 3.520 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+]Cc1nccn1C(F)F ZINC000353761377 529172990 /nfs/dbraw/zinc/17/29/90/529172990.db2.gz CJJMUOYHJCNXLA-UHFFFAOYSA-N 1 2 299.752 3.784 20 0 CHADLO CC[C@@H]1CCCCCN1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000353334378 529267792 /nfs/dbraw/zinc/26/77/92/529267792.db2.gz YIQAZUUUUBZYPG-CQSZACIVSA-N 1 2 286.379 3.521 20 0 CHADLO CCC[C@H]1CCCN(c2cc[nH+]c3ccncc32)C1 ZINC000342213048 535729583 /nfs/dbraw/zinc/72/95/83/535729583.db2.gz ARVGTSJKJVSFQM-ZDUSSCGKSA-N 1 2 255.365 3.646 20 0 CHADLO CC[C@@H](Nc1cc(N2CCCC2)nc[nH+]1)c1ccccc1 ZINC000341924450 535809539 /nfs/dbraw/zinc/80/95/39/535809539.db2.gz FFRNUQHYBALJKR-OAHLLOKOSA-N 1 2 282.391 3.640 20 0 CHADLO CC[C@@H](Nc1cc(N2CCCC2)[nH+]cn1)c1ccccc1 ZINC000341924450 535809540 /nfs/dbraw/zinc/80/95/40/535809540.db2.gz FFRNUQHYBALJKR-OAHLLOKOSA-N 1 2 282.391 3.640 20 0 CHADLO COc1cc[nH+]cc1CSCCc1ccc(F)c(F)c1 ZINC000342028752 537120453 /nfs/dbraw/zinc/12/04/53/537120453.db2.gz YYTJHWUNTFEHJP-UHFFFAOYSA-N 1 2 295.354 3.844 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@H+]2CCC[C@H](C)C2)c(Cl)c1 ZINC000007787423 1125459756 /nfs/dbraw/zinc/45/97/56/1125459756.db2.gz NWYGHSCCNFIQLH-NSHDSACASA-N 1 2 294.826 3.627 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@@H+]2CCC[C@H](C)C2)c(Cl)c1 ZINC000007787423 1125459757 /nfs/dbraw/zinc/45/97/57/1125459757.db2.gz NWYGHSCCNFIQLH-NSHDSACASA-N 1 2 294.826 3.627 20 0 CHADLO COc1ccc(Cl)cc1[C@@H](C)[NH2+]Cc1cscn1 ZINC000127396657 1125460534 /nfs/dbraw/zinc/46/05/34/1125460534.db2.gz WLECMDHAQHONMO-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO CC1=C[C@@H](C)C[N@@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000430269654 1117963982 /nfs/dbraw/zinc/96/39/82/1117963982.db2.gz RPDOCJBZTDQPNF-SECBINFHSA-N 1 2 271.191 3.786 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ncc(C(C)C)s2)CCS1 ZINC000183083124 1118038274 /nfs/dbraw/zinc/03/82/74/1118038274.db2.gz NZQWFJVVYPDPEB-LLVKDONJSA-N 1 2 270.467 3.594 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ncc(C(C)C)s2)CCS1 ZINC000183083124 1118038279 /nfs/dbraw/zinc/03/82/79/1118038279.db2.gz NZQWFJVVYPDPEB-LLVKDONJSA-N 1 2 270.467 3.594 20 0 CHADLO CNc1ccc(Nc2c(F)cc(F)cc2Cl)c[nH+]1 ZINC001203458915 1118228578 /nfs/dbraw/zinc/22/85/78/1118228578.db2.gz UFOFPRQUMGOIMA-UHFFFAOYSA-N 1 2 269.682 3.799 20 0 CHADLO COc1cccc(C[N@H+](C)[C@H](C)c2ccco2)c1F ZINC000192940325 1118603495 /nfs/dbraw/zinc/60/34/95/1118603495.db2.gz LRRGAAUTGWPUMF-LLVKDONJSA-N 1 2 263.312 3.620 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@H](C)c2ccco2)c1F ZINC000192940325 1118603497 /nfs/dbraw/zinc/60/34/97/1118603497.db2.gz LRRGAAUTGWPUMF-LLVKDONJSA-N 1 2 263.312 3.620 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3cc(F)ccc3o2)o1 ZINC000131282774 1125491359 /nfs/dbraw/zinc/49/13/59/1125491359.db2.gz GZNWGZFGVSNIJT-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3cc(F)ccc3o2)o1 ZINC000131282774 1125491362 /nfs/dbraw/zinc/49/13/62/1125491362.db2.gz GZNWGZFGVSNIJT-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO COCc1ccc(C[NH2+]CC(F)(F)C(C)(C)C)cc1 ZINC000657792297 1119409652 /nfs/dbraw/zinc/40/96/52/1119409652.db2.gz BJLGSWSASWYUKO-UHFFFAOYSA-N 1 2 271.351 3.604 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(F)ccc(F)c2Cl)[C@@H](C)C1 ZINC001138005515 1131450214 /nfs/dbraw/zinc/45/02/14/1131450214.db2.gz HPGRHWZXYKXKQD-UWVGGRQHSA-N 1 2 289.753 3.618 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)n1 ZINC000114745981 1125499219 /nfs/dbraw/zinc/49/92/19/1125499219.db2.gz FLPONMXROSEXIP-STQMWFEESA-N 1 2 299.418 3.923 20 0 CHADLO Cc1cc(NC(=O)N[C@H](C)c2cccc(C)c2C)c(C)c[nH+]1 ZINC000536620369 1125504664 /nfs/dbraw/zinc/50/46/64/1125504664.db2.gz UACXBEJRMJLVEG-OAHLLOKOSA-N 1 2 297.402 3.620 20 0 CHADLO CC(C)([NH2+]Cc1nc(C2CCCC2)no1)c1cccs1 ZINC000348305022 1125506711 /nfs/dbraw/zinc/50/67/11/1125506711.db2.gz HNGUVFXLJLSQPF-UHFFFAOYSA-N 1 2 291.420 3.814 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3(c4ccccc4)CC3)cc2[nH+]1 ZINC000348305243 1125507087 /nfs/dbraw/zinc/50/70/87/1125507087.db2.gz VAXCDXODELVVRV-UHFFFAOYSA-N 1 2 291.354 3.542 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(F)(F)F)s1)c1ccon1 ZINC000428866208 1120543109 /nfs/dbraw/zinc/54/31/09/1120543109.db2.gz ISEZUDSEBYGWLO-SSDOTTSWSA-N 1 2 276.283 3.606 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+][C@@H]1CCCc2sccc21 ZINC000398045275 1120560149 /nfs/dbraw/zinc/56/01/49/1120560149.db2.gz VHYDCICQEACBFH-PWSUYJOCSA-N 1 2 257.349 3.903 20 0 CHADLO CCc1nnc(CSCc2ccc(C)[nH+]c2C)s1 ZINC000614063470 1121375146 /nfs/dbraw/zinc/37/51/46/1121375146.db2.gz YCFPUOHFEXQBFP-UHFFFAOYSA-N 1 2 279.434 3.546 20 0 CHADLO CSc1cc(Nc2ccnc(Br)c2)cc[nH+]1 ZINC001175745017 1121446431 /nfs/dbraw/zinc/44/64/31/1121446431.db2.gz FKVTYMVXKLJGKV-UHFFFAOYSA-N 1 2 296.193 3.705 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@H+]1Cc1ccc(C(F)(F)F)nc1Cl ZINC001182062343 1122109089 /nfs/dbraw/zinc/10/90/89/1122109089.db2.gz MHBOTIMADVCYSY-JGVFFNPUSA-N 1 2 278.705 3.594 20 0 CHADLO CC[C@H](Cc1cccs1)[NH2+][C@@H](CC)C(=O)OC(C)(C)C ZINC001182533699 1122173481 /nfs/dbraw/zinc/17/34/81/1122173481.db2.gz SCQXTQXOGFLLII-OCCSQVGLSA-N 1 2 297.464 3.779 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000154147237 1122341667 /nfs/dbraw/zinc/34/16/67/1122341667.db2.gz HENACBYCDIQFOP-DTWKUNHWSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000154147237 1122341671 /nfs/dbraw/zinc/34/16/71/1122341671.db2.gz HENACBYCDIQFOP-DTWKUNHWSA-N 1 2 278.343 3.782 20 0 CHADLO CCc1cc(NC2(C(F)F)CCCC2)nc(CC)[nH+]1 ZINC001186620607 1122399751 /nfs/dbraw/zinc/39/97/51/1122399751.db2.gz NQQJUFMZVFSLPW-UHFFFAOYSA-N 1 2 269.339 3.591 20 0 CHADLO CC1(C)SC[C@H]1[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000516094422 1122569704 /nfs/dbraw/zinc/56/97/04/1122569704.db2.gz PDFWXYUVJLYPFB-QWHCGFSZSA-N 1 2 285.403 3.737 20 0 CHADLO COc1ccc(C[C@H]2CC[N@@H+]2C/C(Cl)=C/Cl)cc1 ZINC001191497887 1122602102 /nfs/dbraw/zinc/60/21/02/1122602102.db2.gz VVWUHOOTKWBURJ-KIWPFMIBSA-N 1 2 286.202 3.631 20 0 CHADLO COc1ccc(C[C@H]2CC[N@H+]2C/C(Cl)=C/Cl)cc1 ZINC001191497887 1122602104 /nfs/dbraw/zinc/60/21/04/1122602104.db2.gz VVWUHOOTKWBURJ-KIWPFMIBSA-N 1 2 286.202 3.631 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@@H+](CCOC1CC1)CC2 ZINC001192253262 1122634266 /nfs/dbraw/zinc/63/42/66/1122634266.db2.gz QWYSNIWQNDPGSR-UHFFFAOYSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@H+](CCOC1CC1)CC2 ZINC001192253262 1122634268 /nfs/dbraw/zinc/63/42/68/1122634268.db2.gz QWYSNIWQNDPGSR-UHFFFAOYSA-N 1 2 286.202 3.531 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CCC[N@@H+]1Cc1ccc(Cl)nc1 ZINC000775866207 1122996094 /nfs/dbraw/zinc/99/60/94/1122996094.db2.gz BQNNXTXYMVMEEJ-MWLCHTKSSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CCC[N@H+]1Cc1ccc(Cl)nc1 ZINC000775866207 1122996097 /nfs/dbraw/zinc/99/60/97/1122996097.db2.gz BQNNXTXYMVMEEJ-MWLCHTKSSA-N 1 2 292.732 3.898 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnc(F)c(F)c2)cc1 ZINC001201491605 1123109530 /nfs/dbraw/zinc/10/95/30/1123109530.db2.gz QMWQXDONSOEWAK-UHFFFAOYSA-N 1 2 263.291 3.924 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1oc(C(C)C)nc1C ZINC000428236751 1123292538 /nfs/dbraw/zinc/29/25/38/1123292538.db2.gz GSLIBQTWTDCNEB-UHFFFAOYSA-N 1 2 279.409 3.503 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1oc(C(C)C)nc1C ZINC000428236751 1123292541 /nfs/dbraw/zinc/29/25/41/1123292541.db2.gz GSLIBQTWTDCNEB-UHFFFAOYSA-N 1 2 279.409 3.503 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](C)c2csnn2)c(C)c1 ZINC000398471423 1123406822 /nfs/dbraw/zinc/40/68/22/1123406822.db2.gz NXDRPYDDZVNACW-RYUDHWBXSA-N 1 2 261.394 3.567 20 0 CHADLO FC1(F)CC[NH+](Cc2ccc(Br)cc2)CC1 ZINC000117559788 1123735742 /nfs/dbraw/zinc/73/57/42/1123735742.db2.gz GTHJASPBYPCTML-UHFFFAOYSA-N 1 2 290.151 3.680 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)C1CC(F)(F)C1 ZINC000862253338 1123795244 /nfs/dbraw/zinc/79/52/44/1123795244.db2.gz OHMPBVHWHRUHOE-LLVKDONJSA-N 1 2 268.351 3.907 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)c(F)cc1F ZINC000475282128 1123834249 /nfs/dbraw/zinc/83/42/49/1123834249.db2.gz UOXMZKPRUIVQIL-CBAPKCEASA-N 1 2 297.242 3.877 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)c(F)cc1F ZINC000475282128 1123834251 /nfs/dbraw/zinc/83/42/51/1123834251.db2.gz UOXMZKPRUIVQIL-CBAPKCEASA-N 1 2 297.242 3.877 20 0 CHADLO CC[C@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(OC)c(OC)c1 ZINC000389461473 1124028941 /nfs/dbraw/zinc/02/89/41/1124028941.db2.gz GDDKQZOBRVDWFT-PWSUYJOCSA-N 1 2 287.350 3.788 20 0 CHADLO C[C@@H](CC[N@H+](CC(F)F)C1CC1)c1ccccc1 ZINC000447737234 1124517377 /nfs/dbraw/zinc/51/73/77/1124517377.db2.gz BODSSWDDPAJVSW-LBPRGKRZSA-N 1 2 253.336 3.910 20 0 CHADLO C[C@@H](CC[N@@H+](CC(F)F)C1CC1)c1ccccc1 ZINC000447737234 1124517385 /nfs/dbraw/zinc/51/73/85/1124517385.db2.gz BODSSWDDPAJVSW-LBPRGKRZSA-N 1 2 253.336 3.910 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCC[C@H](F)C1 ZINC001139886026 1131646720 /nfs/dbraw/zinc/64/67/20/1131646720.db2.gz XJDBEXLGZOBFOF-ZDUSSCGKSA-N 1 2 275.289 3.948 20 0 CHADLO CC[C@H]1CCC[N@@H+]1Cc1sc(Cl)nc1Cl ZINC001139956366 1131652885 /nfs/dbraw/zinc/65/28/85/1131652885.db2.gz ALWBRDDRZSDAGF-ZETCQYMHSA-N 1 2 265.209 3.824 20 0 CHADLO CC[C@H]1CCC[N@H+]1Cc1sc(Cl)nc1Cl ZINC001139956366 1131652889 /nfs/dbraw/zinc/65/28/89/1131652889.db2.gz ALWBRDDRZSDAGF-ZETCQYMHSA-N 1 2 265.209 3.824 20 0 CHADLO C[C@H](Cc1ccc(C(F)(F)F)cc1)[NH2+][C@H]1CC1(F)F ZINC001171958212 1132111532 /nfs/dbraw/zinc/11/15/32/1132111532.db2.gz QWBIIJAHAVQZOQ-KCJUWKMLSA-N 1 2 279.252 3.634 20 0 CHADLO CCCCCC[C@@H](CCC)[N@@H+]1CCC(=O)[C@H](F)C1 ZINC001172386307 1132211468 /nfs/dbraw/zinc/21/14/68/1132211468.db2.gz LVWJREIHWSLJPZ-ZIAGYGMSSA-N 1 2 257.393 3.738 20 0 CHADLO CCCCCC[C@@H](CCC)[N@H+]1CCC(=O)[C@H](F)C1 ZINC001172386307 1132211476 /nfs/dbraw/zinc/21/14/76/1132211476.db2.gz LVWJREIHWSLJPZ-ZIAGYGMSSA-N 1 2 257.393 3.738 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)cc1Cl ZINC001231421204 1132291394 /nfs/dbraw/zinc/29/13/94/1132291394.db2.gz LNACIDYEJOWDLN-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)cc1Cl ZINC001231421204 1132291401 /nfs/dbraw/zinc/29/14/01/1132291401.db2.gz LNACIDYEJOWDLN-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1[C@H](C)Cc1c(F)cccc1Cl ZINC001172858315 1132343287 /nfs/dbraw/zinc/34/32/87/1132343287.db2.gz TZZXOGAYXVPGLY-VXGBXAGGSA-N 1 2 285.790 3.521 20 0 CHADLO CC[C@@H]1COCC[N@H+]1[C@H](C)Cc1c(F)cccc1Cl ZINC001172858315 1132343296 /nfs/dbraw/zinc/34/32/96/1132343296.db2.gz TZZXOGAYXVPGLY-VXGBXAGGSA-N 1 2 285.790 3.521 20 0 CHADLO FC(F)(F)c1cnc(Cl)c(C[N@@H+]2CCCC23CC3)c1 ZINC001232005606 1132408625 /nfs/dbraw/zinc/40/86/25/1132408625.db2.gz KXSXRIXOCZGKQX-UHFFFAOYSA-N 1 2 290.716 3.882 20 0 CHADLO FC(F)(F)c1cnc(Cl)c(C[N@H+]2CCCC23CC3)c1 ZINC001232005606 1132408629 /nfs/dbraw/zinc/40/86/29/1132408629.db2.gz KXSXRIXOCZGKQX-UHFFFAOYSA-N 1 2 290.716 3.882 20 0 CHADLO CCc1csc(C[N@H+](CC)Cc2cccs2)n1 ZINC001232474478 1132475244 /nfs/dbraw/zinc/47/52/44/1132475244.db2.gz YUTBKUJAFRFHSO-UHFFFAOYSA-N 1 2 266.435 3.789 20 0 CHADLO CCc1csc(C[N@@H+](CC)Cc2cccs2)n1 ZINC001232474478 1132475247 /nfs/dbraw/zinc/47/52/47/1132475247.db2.gz YUTBKUJAFRFHSO-UHFFFAOYSA-N 1 2 266.435 3.789 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1C[C@@H](C)C[C@H]1CF ZINC001232496779 1132480389 /nfs/dbraw/zinc/48/03/89/1132480389.db2.gz YHPGJIMTQIETIK-GWCFXTLKSA-N 1 2 271.763 3.529 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1C[C@@H](C)C[C@H]1CF ZINC001232496779 1132480394 /nfs/dbraw/zinc/48/03/94/1132480394.db2.gz YHPGJIMTQIETIK-GWCFXTLKSA-N 1 2 271.763 3.529 20 0 CHADLO Cc1nc(C[NH+]2CC3(C2)CCCC3)ccc1Br ZINC001232662004 1132503136 /nfs/dbraw/zinc/50/31/36/1132503136.db2.gz OGVUQLZDVOCGPA-UHFFFAOYSA-N 1 2 295.224 3.529 20 0 CHADLO CCO[C@@H]1CC[N@@H+](Cc2cc(F)c(Cl)cc2Cl)C1 ZINC001232704196 1132508520 /nfs/dbraw/zinc/50/85/20/1132508520.db2.gz VFUSRPZKPFZTQV-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO Fc1c(Cl)ccc(C[N@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c1F ZINC001233210180 1132580608 /nfs/dbraw/zinc/58/06/08/1132580608.db2.gz DCOSNNFUOHNFDB-ATZCPNFKSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1c(Cl)ccc(C[N@@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c1F ZINC001233210180 1132580618 /nfs/dbraw/zinc/58/06/18/1132580618.db2.gz DCOSNNFUOHNFDB-ATZCPNFKSA-N 1 2 275.701 3.551 20 0 CHADLO CCOc1ncc(Cl)cc1C[NH+]1CC2(C1)CCCC2 ZINC001233166420 1132577309 /nfs/dbraw/zinc/57/73/09/1132577309.db2.gz CPLUTOQGVSZJKH-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1[nH+]cc(C)cc1C(OC)OC ZINC001157886805 1132752258 /nfs/dbraw/zinc/75/22/58/1132752258.db2.gz KCEWZAMCWIWGEU-WCQYABFASA-N 1 2 280.412 3.918 20 0 CHADLO Cc1ccc(C[N@H+]2CCCC(F)(F)[C@@H](F)C2)cc1F ZINC001205214806 1133546073 /nfs/dbraw/zinc/54/60/73/1133546073.db2.gz CBTWOGOMMIOPOU-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO F[C@H]1C[N@H+](CCc2c(Cl)cccc2Cl)C[C@@H]2C[C@@H]21 ZINC001209105611 1133975290 /nfs/dbraw/zinc/97/52/90/1133975290.db2.gz OPZGQENLMWARTG-CHIMOYNISA-N 1 2 288.193 3.826 20 0 CHADLO F[C@H]1C[N@@H+](CCc2c(Cl)cccc2Cl)C[C@@H]2C[C@@H]21 ZINC001209105611 1133975297 /nfs/dbraw/zinc/97/52/97/1133975297.db2.gz OPZGQENLMWARTG-CHIMOYNISA-N 1 2 288.193 3.826 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)ccc(C)c1O ZINC001215738890 1134669231 /nfs/dbraw/zinc/66/92/31/1134669231.db2.gz DMGNKFYSCYVNDH-UHFFFAOYSA-N 1 2 260.312 3.849 20 0 CHADLO Cc1ccc(Cl)c(OC2C[NH+](C(C)(C)C)C2)c1 ZINC001225204771 1135513234 /nfs/dbraw/zinc/51/32/34/1135513234.db2.gz WSVCEQSYNGOVBH-UHFFFAOYSA-N 1 2 253.773 3.510 20 0 CHADLO Cc1ccc(C[NH2+]C2(c3nccs3)CCCC2)o1 ZINC000116374630 1125509462 /nfs/dbraw/zinc/50/94/62/1125509462.db2.gz HOZQSWBEODTLJK-UHFFFAOYSA-N 1 2 262.378 3.604 20 0 CHADLO CCCCC[N@H+](Cc1noc(C(C)C)n1)C(C)C ZINC000053607994 1125526239 /nfs/dbraw/zinc/52/62/39/1125526239.db2.gz WDYZTTUBEAHZQP-UHFFFAOYSA-N 1 2 253.390 3.594 20 0 CHADLO CCCCC[N@@H+](Cc1noc(C(C)C)n1)C(C)C ZINC000053607994 1125526243 /nfs/dbraw/zinc/52/62/43/1125526243.db2.gz WDYZTTUBEAHZQP-UHFFFAOYSA-N 1 2 253.390 3.594 20 0 CHADLO Clc1cc(Cl)c2nc(C[NH+]3CCCCC3)cn2c1 ZINC000195430608 1125561902 /nfs/dbraw/zinc/56/19/02/1125561902.db2.gz IYDNGHDTXMKTJK-UHFFFAOYSA-N 1 2 284.190 3.627 20 0 CHADLO Fc1cccc(Br)c1CNc1cc[nH+]cc1F ZINC000195313903 1125563641 /nfs/dbraw/zinc/56/36/41/1125563641.db2.gz COYHALYPPPIBHI-UHFFFAOYSA-N 1 2 299.118 3.734 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccnn2C2CCCC2)c(C)[nH+]1 ZINC000532876855 1125585429 /nfs/dbraw/zinc/58/54/29/1125585429.db2.gz QYWQHQBUKDFIQD-UHFFFAOYSA-N 1 2 298.390 3.571 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@@H](C)O[C@@H](c3ccsc3)C2)o1 ZINC000245813252 1125595094 /nfs/dbraw/zinc/59/50/94/1125595094.db2.gz ZREWYVVKOGKIOO-MLGOLLRUSA-N 1 2 291.416 3.866 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@@H](C)O[C@@H](c3ccsc3)C2)o1 ZINC000245813252 1125595099 /nfs/dbraw/zinc/59/50/99/1125595099.db2.gz ZREWYVVKOGKIOO-MLGOLLRUSA-N 1 2 291.416 3.866 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCOC[C@H]1C1CC1 ZINC001238680088 1131231068 /nfs/dbraw/zinc/23/10/68/1131231068.db2.gz IDFALRQBZJGDAE-AWEZNQCLSA-N 1 2 297.851 3.673 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCOC[C@H]1C1CC1 ZINC001238680088 1131231069 /nfs/dbraw/zinc/23/10/69/1131231069.db2.gz IDFALRQBZJGDAE-AWEZNQCLSA-N 1 2 297.851 3.673 20 0 CHADLO CCc1cccc2c(CC(=O)Nc3cc[nH+]c(C)c3)c[nH]c21 ZINC000069155392 1125629742 /nfs/dbraw/zinc/62/97/42/1125629742.db2.gz VBTWRKPHJLRPSE-UHFFFAOYSA-N 1 2 293.370 3.615 20 0 CHADLO Cc1cc(NC(=O)Cc2c(Cl)cccc2Cl)cc[nH+]1 ZINC000072672351 1125635241 /nfs/dbraw/zinc/63/52/41/1125635241.db2.gz VCPDQQCRDJJYOK-UHFFFAOYSA-N 1 2 295.169 3.878 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C)co1)c1nc(C)cs1 ZINC000885970570 1125631605 /nfs/dbraw/zinc/63/16/05/1125631605.db2.gz DFUDNYHMPZXDPG-GFCCVEGCSA-N 1 2 250.367 3.594 20 0 CHADLO Cc1ccc(CC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC000074830050 1125643041 /nfs/dbraw/zinc/64/30/41/1125643041.db2.gz AGMHFDWCPJNCLS-UHFFFAOYSA-N 1 2 291.354 3.566 20 0 CHADLO CCc1nc(C[N@@H+]2CCCC3(C2)CCCCCC3)no1 ZINC000075968302 1125661238 /nfs/dbraw/zinc/66/12/38/1125661238.db2.gz ZIYYRMDCLJTEIF-UHFFFAOYSA-N 1 2 277.412 3.568 20 0 CHADLO CCc1nc(C[N@H+]2CCCC3(C2)CCCCCC3)no1 ZINC000075968302 1125661243 /nfs/dbraw/zinc/66/12/43/1125661243.db2.gz ZIYYRMDCLJTEIF-UHFFFAOYSA-N 1 2 277.412 3.568 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C)C[C@H]2c2ccco2)oc1C ZINC000247690932 1125659246 /nfs/dbraw/zinc/65/92/46/1125659246.db2.gz VXVQJZYVOGZDQO-FZMZJTMJSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccco2)oc1C ZINC000247690932 1125659251 /nfs/dbraw/zinc/65/92/51/1125659251.db2.gz VXVQJZYVOGZDQO-FZMZJTMJSA-N 1 2 274.364 3.858 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@H]1CC[C@H](C3CC3)O1)C2 ZINC000886243321 1125662358 /nfs/dbraw/zinc/66/23/58/1125662358.db2.gz VFYTXPCGHXBHAW-HZPDHXFCSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@H]1CC[C@H](C3CC3)O1)C2 ZINC000886243321 1125662361 /nfs/dbraw/zinc/66/23/61/1125662361.db2.gz VFYTXPCGHXBHAW-HZPDHXFCSA-N 1 2 277.795 3.613 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)c(Cl)c1)c1ncco1 ZINC000886275895 1125665374 /nfs/dbraw/zinc/66/53/74/1125665374.db2.gz PQSRMDGEKQPAGW-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO Cc1ncc(CNc2ccc3[nH+]c(C)cc(C)c3c2)o1 ZINC000886369333 1125674563 /nfs/dbraw/zinc/67/45/63/1125674563.db2.gz CJWCIDVOZHRGGW-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO Cc1[nH]c(CNc2ccc3nc(C)cc(C)c3c2)[nH+]c1C ZINC000886369288 1125674636 /nfs/dbraw/zinc/67/46/36/1125674636.db2.gz BKOZZVQFQODGFK-UHFFFAOYSA-N 1 2 280.375 3.804 20 0 CHADLO CC(C)c1nnc(C[N@H+](C2CC2)[C@@H]2CCc3ccccc32)o1 ZINC000076292801 1125675619 /nfs/dbraw/zinc/67/56/19/1125675619.db2.gz QLYIKEGIFHQDDY-MRXNPFEDSA-N 1 2 297.402 3.845 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C2CC2)[C@@H]2CCc3ccccc32)o1 ZINC000076292801 1125675622 /nfs/dbraw/zinc/67/56/22/1125675622.db2.gz QLYIKEGIFHQDDY-MRXNPFEDSA-N 1 2 297.402 3.845 20 0 CHADLO CCn1ccnc1C[N@H+](CC)[C@@H](C)c1ccc(F)cc1 ZINC000076292555 1125676391 /nfs/dbraw/zinc/67/63/91/1125676391.db2.gz WLEXTXNXWLWBCT-ZDUSSCGKSA-N 1 2 275.371 3.625 20 0 CHADLO CCn1ccnc1C[N@@H+](CC)[C@@H](C)c1ccc(F)cc1 ZINC000076292555 1125676392 /nfs/dbraw/zinc/67/63/92/1125676392.db2.gz WLEXTXNXWLWBCT-ZDUSSCGKSA-N 1 2 275.371 3.625 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2ccc(Cl)cc21)c1ncco1 ZINC000886539296 1125687294 /nfs/dbraw/zinc/68/72/94/1125687294.db2.gz XQMGIFYPDRNASU-NOZJJQNGSA-N 1 2 262.740 3.666 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2ccc(Cl)cc21)c1ncco1 ZINC000886539297 1125687460 /nfs/dbraw/zinc/68/74/60/1125687460.db2.gz XQMGIFYPDRNASU-RNCFNFMXSA-N 1 2 262.740 3.666 20 0 CHADLO CO[C@@H]1CCC[C@H]([NH2+][C@@H](C(C)C)C(F)(F)F)CC1 ZINC000886597019 1125695170 /nfs/dbraw/zinc/69/51/70/1125695170.db2.gz UFJRPYLVSORXCT-TUAOUCFPSA-N 1 2 267.335 3.511 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348394858 1125713213 /nfs/dbraw/zinc/71/32/13/1125713213.db2.gz SWUMEROAKUTLRH-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348394858 1125713217 /nfs/dbraw/zinc/71/32/17/1125713217.db2.gz SWUMEROAKUTLRH-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO Clc1cc(Nc2cccc3[nH+]ccn32)cc(Cl)n1 ZINC001212087705 1125727475 /nfs/dbraw/zinc/72/74/75/1125727475.db2.gz NZXVAWXHOSHCCA-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(Cl)nc(Cl)c1 ZINC001212096703 1125728221 /nfs/dbraw/zinc/72/82/21/1125728221.db2.gz PJGIBEKWHYULKN-UHFFFAOYSA-N 1 2 293.157 3.618 20 0 CHADLO C[C@@H]1CCSCC[N@@H+]1Cc1ccc(Cl)nc1Cl ZINC000286265761 1125735413 /nfs/dbraw/zinc/73/54/13/1125735413.db2.gz SYQRGBHLWFPJBH-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CCSCC[N@H+]1Cc1ccc(Cl)nc1Cl ZINC000286265761 1125735419 /nfs/dbraw/zinc/73/54/19/1125735419.db2.gz SYQRGBHLWFPJBH-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO FC(F)(F)c1ccccc1CSCCn1cc[nH+]c1 ZINC000090199477 1125739779 /nfs/dbraw/zinc/73/97/79/1125739779.db2.gz MFGSZYLJPAMHOU-UHFFFAOYSA-N 1 2 286.322 3.835 20 0 CHADLO FC(F)C[N@H+](Cc1cccc2ncccc12)C1CCC1 ZINC000887103583 1125743518 /nfs/dbraw/zinc/74/35/18/1125743518.db2.gz DPDSYWISJUPEOI-UHFFFAOYSA-N 1 2 276.330 3.854 20 0 CHADLO FC(F)C[N@@H+](Cc1cccc2ncccc12)C1CCC1 ZINC000887103583 1125743522 /nfs/dbraw/zinc/74/35/22/1125743522.db2.gz DPDSYWISJUPEOI-UHFFFAOYSA-N 1 2 276.330 3.854 20 0 CHADLO CC(C)(C)C[C@H]([NH2+]Cc1nnc[nH]1)c1ccc(Cl)cc1 ZINC001118559542 1131231532 /nfs/dbraw/zinc/23/15/32/1131231532.db2.gz YPMAPLUKHHCPKN-ZDUSSCGKSA-N 1 2 292.814 3.725 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2cscn2)cc1F ZINC000162329167 1125751496 /nfs/dbraw/zinc/75/14/96/1125751496.db2.gz NVSYMMUKUWBPRH-UWVGGRQHSA-N 1 2 280.368 3.703 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1OCC1CC1)c1nccs1 ZINC000091727157 1125752529 /nfs/dbraw/zinc/75/25/29/1125752529.db2.gz ADBZNOZUUGRGDM-LBPRGKRZSA-N 1 2 288.416 3.783 20 0 CHADLO FC1(F)CCC(Sc2[nH+]cnc3[nH]ccc32)CC1 ZINC000897538972 1125753356 /nfs/dbraw/zinc/75/33/56/1125753356.db2.gz KAJSDTALGRBDCZ-UHFFFAOYSA-N 1 2 269.320 3.628 20 0 CHADLO CC[N@H+](Cc1cccc(OC)c1)Cc1cnccc1Cl ZINC001137238333 1125755801 /nfs/dbraw/zinc/75/58/01/1125755801.db2.gz SWIZPOACQYWQSR-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1cccc(OC)c1)Cc1cnccc1Cl ZINC001137238333 1125755810 /nfs/dbraw/zinc/75/58/10/1125755810.db2.gz SWIZPOACQYWQSR-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[C@H](C)C[NH2+][C@H](c1noc(C)n1)c1ccc(Cl)cc1 ZINC000093112213 1125763857 /nfs/dbraw/zinc/76/38/57/1125763857.db2.gz XDGHBDRVGOPDOR-HZMBPMFUSA-N 1 2 293.798 3.757 20 0 CHADLO CC1=CC[N@H+](Cc2ccc(F)cc2Br)CC1 ZINC000533758848 1125780890 /nfs/dbraw/zinc/78/08/90/1125780890.db2.gz NKBZYWOZCWTWGF-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CC[N@@H+](Cc2ccc(F)cc2Br)CC1 ZINC000533758848 1125780895 /nfs/dbraw/zinc/78/08/95/1125780895.db2.gz NKBZYWOZCWTWGF-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3ccc(C)cc3C)ccc2[nH+]1 ZINC001202740391 1125781753 /nfs/dbraw/zinc/78/17/53/1125781753.db2.gz NDJKFISZMQOCKU-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ccc(F)cc2)c1 ZINC000533807009 1125785428 /nfs/dbraw/zinc/78/54/28/1125785428.db2.gz NHBZCQAGFSDLLA-UHFFFAOYSA-N 1 2 263.337 3.830 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+]1CCCC[C@H](F)C1 ZINC001238694667 1131231802 /nfs/dbraw/zinc/23/18/02/1131231802.db2.gz JRVZLEQXUZRJGW-HNNXBMFYSA-N 1 2 283.362 3.937 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+]1CCCC[C@H](F)C1 ZINC001238694667 1131231808 /nfs/dbraw/zinc/23/18/08/1131231808.db2.gz JRVZLEQXUZRJGW-HNNXBMFYSA-N 1 2 283.362 3.937 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001136185689 1131231811 /nfs/dbraw/zinc/23/18/11/1131231811.db2.gz KCVWTZHCYHMLCG-SOUVJXGZSA-N 1 2 295.386 3.637 20 0 CHADLO Cc1cnc(CSCc2ccc(C)[nH+]c2C)s1 ZINC000184832853 1129255447 /nfs/dbraw/zinc/25/54/47/1129255447.db2.gz CSHOLIXUYVSVKC-UHFFFAOYSA-N 1 2 264.419 3.897 20 0 CHADLO CC[N@H+](Cc1coc(C)n1)Cc1cccc(Cl)c1 ZINC000647959090 1129589228 /nfs/dbraw/zinc/58/92/28/1129589228.db2.gz XAKPJPJOABCKDI-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1coc(C)n1)Cc1cccc(Cl)c1 ZINC000647959090 1129589231 /nfs/dbraw/zinc/58/92/31/1129589231.db2.gz XAKPJPJOABCKDI-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO Fc1ccc2c(c1F)C[N@@H+](C[C@H]1CC1(Cl)Cl)CC2 ZINC000768165191 1129837167 /nfs/dbraw/zinc/83/71/67/1129837167.db2.gz PNCWGOSDEASWJK-SECBINFHSA-N 1 2 292.156 3.517 20 0 CHADLO Fc1ccc2c(c1F)C[N@H+](C[C@H]1CC1(Cl)Cl)CC2 ZINC000768165191 1129837172 /nfs/dbraw/zinc/83/71/72/1129837172.db2.gz PNCWGOSDEASWJK-SECBINFHSA-N 1 2 292.156 3.517 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Br)cc1)c1ncco1 ZINC000886530274 1129885159 /nfs/dbraw/zinc/88/51/59/1129885159.db2.gz HMGZLAGYIDJTAF-NXEZZACHSA-N 1 2 295.180 3.849 20 0 CHADLO COc1c(Cl)cccc1C[NH2+][C@H](C)c1cscn1 ZINC000903247395 1130136216 /nfs/dbraw/zinc/13/62/16/1130136216.db2.gz VUMZDGJXSAJFQO-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CC[C@H](C)SC1 ZINC000689479786 1130259302 /nfs/dbraw/zinc/25/93/02/1130259302.db2.gz ABNVREGCTGXNFR-STQMWFEESA-N 1 2 264.438 3.757 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccnc(F)c2C)cc1 ZINC001212845933 1126727003 /nfs/dbraw/zinc/72/70/03/1126727003.db2.gz SPXGVEOIIZBEBF-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccnc(F)c2C)cc1 ZINC001212845933 1126727007 /nfs/dbraw/zinc/72/70/07/1126727007.db2.gz SPXGVEOIIZBEBF-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO Cc1ccc(CSCc2noc(C3CCC3)n2)c(C)[nH+]1 ZINC000600629700 1126728511 /nfs/dbraw/zinc/72/85/11/1126728511.db2.gz PGROWKDUNRWSFG-UHFFFAOYSA-N 1 2 289.404 3.782 20 0 CHADLO CCC[C@H]1C[N@H+](Cc2cc3c(cc(C)cc3C)[nH]2)CCO1 ZINC000481979383 1126736738 /nfs/dbraw/zinc/73/67/38/1126736738.db2.gz UEXRVHAFPGRBQQ-INIZCTEOSA-N 1 2 286.419 3.786 20 0 CHADLO CCC[C@H]1C[N@@H+](Cc2cc3c(cc(C)cc3C)[nH]2)CCO1 ZINC000481979383 1126736741 /nfs/dbraw/zinc/73/67/41/1126736741.db2.gz UEXRVHAFPGRBQQ-INIZCTEOSA-N 1 2 286.419 3.786 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(Cl)ccc2F)nc1 ZINC000338347241 1126737021 /nfs/dbraw/zinc/73/70/21/1126737021.db2.gz MXFTVDPXRLRQCK-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(Cl)ccc2F)nc1 ZINC000338347241 1126737025 /nfs/dbraw/zinc/73/70/25/1126737025.db2.gz MXFTVDPXRLRQCK-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1scc(C)c1Cl ZINC001137292874 1130307191 /nfs/dbraw/zinc/30/71/91/1130307191.db2.gz UGRPFKMCRDOJNO-LLVKDONJSA-N 1 2 271.813 3.653 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1scc(C)c1Cl ZINC001137292874 1130307195 /nfs/dbraw/zinc/30/71/95/1130307195.db2.gz UGRPFKMCRDOJNO-LLVKDONJSA-N 1 2 271.813 3.653 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccncc1F ZINC000338357738 1126738082 /nfs/dbraw/zinc/73/80/82/1126738082.db2.gz KHKNTZUFLJMIPZ-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO CCOc1cc(C[N@H+](C)Cc2ccc(C)cc2)c(F)cn1 ZINC001238725750 1131237954 /nfs/dbraw/zinc/23/79/54/1131237954.db2.gz OWXDAQHSHROLLI-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CCOc1cc(C[N@@H+](C)Cc2ccc(C)cc2)c(F)cn1 ZINC001238725750 1131237960 /nfs/dbraw/zinc/23/79/60/1131237960.db2.gz OWXDAQHSHROLLI-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3CCCCCC3)cc2[nH+]1 ZINC000338383605 1126739245 /nfs/dbraw/zinc/73/92/45/1126739245.db2.gz GAWZROKODXEYMM-UHFFFAOYSA-N 1 2 271.364 3.780 20 0 CHADLO FC(F)(F)CCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000149433209 1126744033 /nfs/dbraw/zinc/74/40/33/1126744033.db2.gz GIHIEBVRWALTAW-UHFFFAOYSA-N 1 2 272.192 3.855 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2nc(C)no2)o1 ZINC000150726548 1126745256 /nfs/dbraw/zinc/74/52/56/1126745256.db2.gz MFKJPORTXRXMGY-AWEZNQCLSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2nc(C)no2)o1 ZINC000150726548 1126745259 /nfs/dbraw/zinc/74/52/59/1126745259.db2.gz MFKJPORTXRXMGY-AWEZNQCLSA-N 1 2 289.379 3.651 20 0 CHADLO Fc1ccc(Oc2ccc(C[N@H+]3CC[C@H](F)C3)cn2)cc1 ZINC000668213793 1126770550 /nfs/dbraw/zinc/77/05/50/1126770550.db2.gz LORVVECFIWJAAI-AWEZNQCLSA-N 1 2 290.313 3.557 20 0 CHADLO Fc1ccc(Oc2ccc(C[N@@H+]3CC[C@H](F)C3)cn2)cc1 ZINC000668213793 1126770553 /nfs/dbraw/zinc/77/05/53/1126770553.db2.gz LORVVECFIWJAAI-AWEZNQCLSA-N 1 2 290.313 3.557 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2C[C@@H](C)[C@H]2c2ccccc2)n1 ZINC000174977227 1126774955 /nfs/dbraw/zinc/77/49/55/1126774955.db2.gz PAILMSKLQIZPDK-IPYPFGDCSA-N 1 2 285.391 3.947 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2C[C@@H](C)[C@H]2c2ccccc2)n1 ZINC000174977227 1126774957 /nfs/dbraw/zinc/77/49/57/1126774957.db2.gz PAILMSKLQIZPDK-IPYPFGDCSA-N 1 2 285.391 3.947 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cscn2)[C@@H]1c1cccc(F)c1 ZINC000175305990 1126780167 /nfs/dbraw/zinc/78/01/67/1126780167.db2.gz UDTBPAFYWHCQQP-ABAIWWIYSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cscn2)[C@@H]1c1cccc(F)c1 ZINC000175305990 1126780170 /nfs/dbraw/zinc/78/01/70/1126780170.db2.gz UDTBPAFYWHCQQP-ABAIWWIYSA-N 1 2 276.380 3.865 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1ccc(Cl)nc1 ZINC000175139936 1126777626 /nfs/dbraw/zinc/77/76/26/1126777626.db2.gz PKWGLQRHJHJMDU-HNNXBMFYSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1ccc(Cl)nc1 ZINC000175139936 1126777630 /nfs/dbraw/zinc/77/76/30/1126777630.db2.gz PKWGLQRHJHJMDU-HNNXBMFYSA-N 1 2 289.810 3.801 20 0 CHADLO CC1(C)C[NH+](Cc2ccsc2Cl)CC(C)(C)O1 ZINC000483361409 1126782704 /nfs/dbraw/zinc/78/27/04/1126782704.db2.gz YTVPUIJUXQMQJV-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1ccccc1Cl)c1ccco1 ZINC000177396550 1126800384 /nfs/dbraw/zinc/80/03/84/1126800384.db2.gz TUOOSDYSBGJQNG-SMDDNHRTSA-N 1 2 279.767 3.971 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cc(Cl)ccc1Br ZINC000606296656 1130545057 /nfs/dbraw/zinc/54/50/57/1130545057.db2.gz FOHYOGPPVWPMSN-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cc(Cl)ccc1Br ZINC000606296656 1130545064 /nfs/dbraw/zinc/54/50/64/1130545064.db2.gz FOHYOGPPVWPMSN-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](Cc1cnc[nH]1)C1CC1 ZINC000180024339 1126838348 /nfs/dbraw/zinc/83/83/48/1126838348.db2.gz IUNBNHHWJIHUAX-LLVKDONJSA-N 1 2 275.783 3.789 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](Cc1cnc[nH]1)C1CC1 ZINC000180024339 1126838353 /nfs/dbraw/zinc/83/83/53/1126838353.db2.gz IUNBNHHWJIHUAX-LLVKDONJSA-N 1 2 275.783 3.789 20 0 CHADLO CCc1ccc(C(C)(C)[NH2+]Cc2nc(C3CC3)no2)cc1 ZINC000180097894 1126839702 /nfs/dbraw/zinc/83/97/02/1126839702.db2.gz KNGGUZNNEQYHRR-UHFFFAOYSA-N 1 2 285.391 3.534 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc(C(C2CCC2)C2CCC2)n1 ZINC000904262156 1126841148 /nfs/dbraw/zinc/84/11/48/1126841148.db2.gz YARDPLZUAQMVEF-UHFFFAOYSA-N 1 2 298.390 3.706 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+]Cc2nc(C)c(C)o2)c1 ZINC000180712378 1126848210 /nfs/dbraw/zinc/84/82/10/1126848210.db2.gz XGERONWSSYQJJL-LBPRGKRZSA-N 1 2 274.364 3.541 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@H](C)c2nc3ccccc3o2)s1 ZINC000180976948 1126851070 /nfs/dbraw/zinc/85/10/70/1126851070.db2.gz RSWFEYGECRAHDI-SNVBAGLBSA-N 1 2 287.388 3.786 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@H](C)c2nc3ccccc3o2)s1 ZINC000180976948 1126851073 /nfs/dbraw/zinc/85/10/73/1126851073.db2.gz RSWFEYGECRAHDI-SNVBAGLBSA-N 1 2 287.388 3.786 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1cc(-c2ccco2)on1 ZINC000181116614 1126852232 /nfs/dbraw/zinc/85/22/32/1126852232.db2.gz JSOMHVZUSRXBOQ-HNNXBMFYSA-N 1 2 297.358 3.610 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1cc(-c2ccco2)on1 ZINC000181116614 1126852234 /nfs/dbraw/zinc/85/22/34/1126852234.db2.gz JSOMHVZUSRXBOQ-HNNXBMFYSA-N 1 2 297.358 3.610 20 0 CHADLO CC[N@H+](Cc1nnc(C2CC2)n1C1CC1)Cc1ccccc1 ZINC000181042297 1126851331 /nfs/dbraw/zinc/85/13/31/1126851331.db2.gz HCOJVCKFUVWINI-UHFFFAOYSA-N 1 2 296.418 3.513 20 0 CHADLO CC[N@@H+](Cc1nnc(C2CC2)n1C1CC1)Cc1ccccc1 ZINC000181042297 1126851336 /nfs/dbraw/zinc/85/13/36/1126851336.db2.gz HCOJVCKFUVWINI-UHFFFAOYSA-N 1 2 296.418 3.513 20 0 CHADLO Fc1ccccc1[C@H]([NH2+]Cc1cocn1)C1CCCC1 ZINC000182073457 1126865364 /nfs/dbraw/zinc/86/53/64/1126865364.db2.gz MMCCZSOHWRWLQZ-MRXNPFEDSA-N 1 2 274.339 3.835 20 0 CHADLO Cc1nc(-c2ccccc2)sc1[C@H](C)[NH2+]Cc1cocn1 ZINC000182077641 1126865722 /nfs/dbraw/zinc/86/57/22/1126865722.db2.gz FLQPWHAZRSBLSK-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccnc1)c1ncc(C(C)(C)C)o1 ZINC000182891152 1126879023 /nfs/dbraw/zinc/87/90/23/1126879023.db2.gz FAKNZLFUCDKKLZ-VXGBXAGGSA-N 1 2 273.380 3.779 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccccn1 ZINC000182954384 1126879757 /nfs/dbraw/zinc/87/97/57/1126879757.db2.gz LNVWXNCRXVWEEB-ZDUSSCGKSA-N 1 2 267.376 3.637 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccccn1 ZINC000182954384 1126879761 /nfs/dbraw/zinc/87/97/61/1126879761.db2.gz LNVWXNCRXVWEEB-ZDUSSCGKSA-N 1 2 267.376 3.637 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1noc(C(C)C)n1)C(C)C ZINC000183214715 1126884110 /nfs/dbraw/zinc/88/41/10/1126884110.db2.gz CGAIVRJUDKGDSI-INIZCTEOSA-N 1 2 287.407 3.988 20 0 CHADLO COc1cc(C)c(C)cc1-c1ccn2cc[nH+]c2c1 ZINC001240517806 1126884279 /nfs/dbraw/zinc/88/42/79/1126884279.db2.gz GWSQXCJWCBQIGW-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1cccc(F)c1F)c1ccc(C)o1 ZINC000183276701 1126884994 /nfs/dbraw/zinc/88/49/94/1126884994.db2.gz VPRMUPWLMJSSRL-BXUZGUMPSA-N 1 2 295.329 3.905 20 0 CHADLO CC/C=C/CC[N@@H+]1Cc2ccccc2C[C@H]1c1nnc(C)o1 ZINC000184461235 1126895771 /nfs/dbraw/zinc/89/57/71/1126895771.db2.gz HTTDJBBBWKPFTN-BDUNBXCCSA-N 1 2 297.402 3.834 20 0 CHADLO CC/C=C/CC[N@H+]1Cc2ccccc2C[C@H]1c1nnc(C)o1 ZINC000184461235 1126895772 /nfs/dbraw/zinc/89/57/72/1126895772.db2.gz HTTDJBBBWKPFTN-BDUNBXCCSA-N 1 2 297.402 3.834 20 0 CHADLO CC(C)c1ocnc1C[NH2+][C@@H](c1ccccn1)C1CCC1 ZINC001118843258 1131239110 /nfs/dbraw/zinc/23/91/10/1131239110.db2.gz YBHXFZWHRVLNHW-MRXNPFEDSA-N 1 2 285.391 3.824 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1cocn1 ZINC000191923312 1126956487 /nfs/dbraw/zinc/95/64/87/1126956487.db2.gz QVKXSQAQCWFNKV-UHFFFAOYSA-N 1 2 270.332 3.615 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1cocn1 ZINC000191923312 1126956488 /nfs/dbraw/zinc/95/64/88/1126956488.db2.gz QVKXSQAQCWFNKV-UHFFFAOYSA-N 1 2 270.332 3.615 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3cocn3)CC2)cc1 ZINC000191974909 1126957993 /nfs/dbraw/zinc/95/79/93/1126957993.db2.gz ZPBKOFAOMMFWEY-UHFFFAOYSA-N 1 2 268.360 3.526 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3cocn3)CC2)cc1 ZINC000191974909 1126957996 /nfs/dbraw/zinc/95/79/96/1126957996.db2.gz ZPBKOFAOMMFWEY-UHFFFAOYSA-N 1 2 268.360 3.526 20 0 CHADLO Fc1ccc(-c2ccc(CNc3cc[nH+]cc3F)o2)cc1 ZINC000486353713 1126979986 /nfs/dbraw/zinc/97/99/86/1126979986.db2.gz MWDSZLSHNDSSGX-UHFFFAOYSA-N 1 2 286.281 3.654 20 0 CHADLO COc1cc(C[N@H+](Cc2ccc(Cl)cc2)C2CC2)on1 ZINC000659847667 1126994424 /nfs/dbraw/zinc/99/44/24/1126994424.db2.gz ZNYCVEICDMRCTG-UHFFFAOYSA-N 1 2 292.766 3.501 20 0 CHADLO COc1cc(C[N@@H+](Cc2ccc(Cl)cc2)C2CC2)on1 ZINC000659847667 1126994427 /nfs/dbraw/zinc/99/44/27/1126994427.db2.gz ZNYCVEICDMRCTG-UHFFFAOYSA-N 1 2 292.766 3.501 20 0 CHADLO COc1cc(C[N@@H+]2CCC[C@H]2c2ccccc2Cl)on1 ZINC000659847630 1126994517 /nfs/dbraw/zinc/99/45/17/1126994517.db2.gz PNYJBZVCCMVOHQ-AWEZNQCLSA-N 1 2 292.766 3.674 20 0 CHADLO COc1cc(C[N@H+]2CCC[C@H]2c2ccccc2Cl)on1 ZINC000659847630 1126994519 /nfs/dbraw/zinc/99/45/19/1126994519.db2.gz PNYJBZVCCMVOHQ-AWEZNQCLSA-N 1 2 292.766 3.674 20 0 CHADLO CCn1cccc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC000267460930 1127000825 /nfs/dbraw/zinc/00/08/25/1127000825.db2.gz VCOLJHGPXBYQTF-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO CCn1cccc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000267460930 1127000827 /nfs/dbraw/zinc/00/08/27/1127000827.db2.gz VCOLJHGPXBYQTF-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO CCC(C)(CC)NC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000668706674 1127008987 /nfs/dbraw/zinc/00/89/87/1127008987.db2.gz VMNOMOGCDGQMRR-HNNXBMFYSA-N 1 2 299.418 3.627 20 0 CHADLO CSc1cc(C)c(-c2c[nH+]c(C(C)C)n2C)cn1 ZINC000630052142 1127015433 /nfs/dbraw/zinc/01/54/33/1127015433.db2.gz GCPHJZFDGSAUEC-UHFFFAOYSA-N 1 2 261.394 3.636 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccn(C3CCCC3)n2)c1 ZINC000268689844 1127020928 /nfs/dbraw/zinc/02/09/28/1127020928.db2.gz OSBILHCIJLHNKC-UHFFFAOYSA-N 1 2 299.418 3.639 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccn(C3CCCC3)n2)c1 ZINC000268689844 1127020932 /nfs/dbraw/zinc/02/09/32/1127020932.db2.gz OSBILHCIJLHNKC-UHFFFAOYSA-N 1 2 299.418 3.639 20 0 CHADLO COc1cc(C)cc(NCc2cccc3[nH+]ccn32)c1C ZINC000487164667 1127021480 /nfs/dbraw/zinc/02/14/80/1127021480.db2.gz XRNFLPQZYLIUGO-UHFFFAOYSA-N 1 2 281.359 3.572 20 0 CHADLO FC(F)(F)C[C@H]1C[N@H+](Cc2coc3ccccc23)CCO1 ZINC000668766656 1127026840 /nfs/dbraw/zinc/02/68/40/1127026840.db2.gz WNFQRYDGAQDGRV-LBPRGKRZSA-N 1 2 299.292 3.586 20 0 CHADLO FC(F)(F)C[C@H]1C[N@@H+](Cc2coc3ccccc23)CCO1 ZINC000668766656 1127026841 /nfs/dbraw/zinc/02/68/41/1127026841.db2.gz WNFQRYDGAQDGRV-LBPRGKRZSA-N 1 2 299.292 3.586 20 0 CHADLO CCOc1cc(C[N@@H+]2CC[C@@H]2c2ccccc2)c(F)cn1 ZINC001238733416 1131239251 /nfs/dbraw/zinc/23/92/51/1131239251.db2.gz ZOUXKOZXMKECGO-MRXNPFEDSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1cc(C[N@H+]2CC[C@@H]2c2ccccc2)c(F)cn1 ZINC001238733416 1131239256 /nfs/dbraw/zinc/23/92/56/1131239256.db2.gz ZOUXKOZXMKECGO-MRXNPFEDSA-N 1 2 286.350 3.566 20 0 CHADLO Cc1ccncc1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000269637354 1127043153 /nfs/dbraw/zinc/04/31/53/1127043153.db2.gz REEPAPICCBSZMB-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccncc1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000269637354 1127043157 /nfs/dbraw/zinc/04/31/57/1127043157.db2.gz REEPAPICCBSZMB-MRXNPFEDSA-N 1 2 272.779 3.990 20 0 CHADLO FC(F)(F)CCCC[N@@H+]1CCO[C@H](c2ccccc2)C1 ZINC000269904320 1127047318 /nfs/dbraw/zinc/04/73/18/1127047318.db2.gz ULTNVLIEXRAQBV-AWEZNQCLSA-N 1 2 287.325 3.793 20 0 CHADLO FC(F)(F)CCCC[N@H+]1CCO[C@H](c2ccccc2)C1 ZINC000269904320 1127047323 /nfs/dbraw/zinc/04/73/23/1127047323.db2.gz ULTNVLIEXRAQBV-AWEZNQCLSA-N 1 2 287.325 3.793 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000270527287 1127057480 /nfs/dbraw/zinc/05/74/80/1127057480.db2.gz DVZMDMAEMNTHNA-LBPRGKRZSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000270527287 1127057483 /nfs/dbraw/zinc/05/74/83/1127057483.db2.gz DVZMDMAEMNTHNA-LBPRGKRZSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@H]1COC(C)(C)C[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000488199200 1127061376 /nfs/dbraw/zinc/06/13/76/1127061376.db2.gz NJDJLSYFGXWFJZ-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO C[C@H]1COC(C)(C)C[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000488199200 1127061379 /nfs/dbraw/zinc/06/13/79/1127061379.db2.gz NJDJLSYFGXWFJZ-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO CC[N@H+](Cc1ccncc1)[C@H](C)c1ncc(C(C)(C)C)o1 ZINC000271034727 1127065946 /nfs/dbraw/zinc/06/59/46/1127065946.db2.gz RGPRGGHJGRZULK-CYBMUJFWSA-N 1 2 287.407 3.950 20 0 CHADLO CC[N@@H+](Cc1ccncc1)[C@H](C)c1ncc(C(C)(C)C)o1 ZINC000271034727 1127065947 /nfs/dbraw/zinc/06/59/47/1127065947.db2.gz RGPRGGHJGRZULK-CYBMUJFWSA-N 1 2 287.407 3.950 20 0 CHADLO Cc1ccoc1C[N@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000271167924 1127071424 /nfs/dbraw/zinc/07/14/24/1127071424.db2.gz CLAXFMBQKARDLW-IUODEOHRSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1ccoc1C[N@@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000271167924 1127071426 /nfs/dbraw/zinc/07/14/26/1127071426.db2.gz CLAXFMBQKARDLW-IUODEOHRSA-N 1 2 277.389 3.612 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)[C@@H]1CCCO1)c1cscn1 ZINC000271191251 1127072431 /nfs/dbraw/zinc/07/24/31/1127072431.db2.gz XSTURPNTFBDAKK-RCBQFDQVSA-N 1 2 288.416 3.714 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000271202089 1127072601 /nfs/dbraw/zinc/07/26/01/1127072601.db2.gz ZNDLKEGJZBYZAD-NEPJUHHUSA-N 1 2 276.405 3.954 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2COc3c2ccc(C)c3C)cs1 ZINC000271509316 1127087711 /nfs/dbraw/zinc/08/77/11/1127087711.db2.gz SDCNGFJSMBYQSJ-RISCZKNCSA-N 1 2 288.416 3.853 20 0 CHADLO Cc1cc([NH+](C)C)cc(C)c1NCc1ccc2[nH]cnc2c1 ZINC000760632628 1127087635 /nfs/dbraw/zinc/08/76/35/1127087635.db2.gz JLXLWBHPRGUFIH-UHFFFAOYSA-N 1 2 294.402 3.858 20 0 CHADLO Cc1cc([NH+](C)C)cc(C)c1NCc1ccc2nc[nH]c2c1 ZINC000760632628 1127087638 /nfs/dbraw/zinc/08/76/38/1127087638.db2.gz JLXLWBHPRGUFIH-UHFFFAOYSA-N 1 2 294.402 3.858 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2cn[nH]c21)c1ccc(F)cc1Cl ZINC000271565782 1127092168 /nfs/dbraw/zinc/09/21/68/1127092168.db2.gz XRANNGKCGZNPJT-YMTOWFKASA-N 1 2 293.773 3.930 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCc2c[nH]nc21)c1ccc(F)cc1Cl ZINC000271565782 1127092172 /nfs/dbraw/zinc/09/21/72/1127092172.db2.gz XRANNGKCGZNPJT-YMTOWFKASA-N 1 2 293.773 3.930 20 0 CHADLO Fc1cncc(C[N@H+](Cc2ccccc2F)C2CC2)c1 ZINC000274335569 1127112573 /nfs/dbraw/zinc/11/25/73/1127112573.db2.gz GZIOQHXHHBBLBC-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1cncc(C[N@@H+](Cc2ccccc2F)C2CC2)c1 ZINC000274335569 1127112575 /nfs/dbraw/zinc/11/25/75/1127112575.db2.gz GZIOQHXHHBBLBC-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO CCCCS[C@H](C)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000339116532 1127114494 /nfs/dbraw/zinc/11/44/94/1127114494.db2.gz OJXXFUWYWHISGE-GFCCVEGCSA-N 1 2 291.420 3.503 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2Cc3ccccc3[C@H](C)C2)n1 ZINC000912885381 1127115942 /nfs/dbraw/zinc/11/59/42/1127115942.db2.gz RHZLISGLRJVVAR-CHWSQXEVSA-N 1 2 285.391 3.873 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2Cc3ccccc3[C@H](C)C2)n1 ZINC000912885381 1127115944 /nfs/dbraw/zinc/11/59/44/1127115944.db2.gz RHZLISGLRJVVAR-CHWSQXEVSA-N 1 2 285.391 3.873 20 0 CHADLO Cc1nc(C[NH2+]C2(c3cccc(C)c3)CCC2)oc1C ZINC000646021576 1127116671 /nfs/dbraw/zinc/11/66/71/1127116671.db2.gz MKPKXMBWLXGBQQ-UHFFFAOYSA-N 1 2 270.376 3.769 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc(C2CCC2)cc1 ZINC001237104475 1131051437 /nfs/dbraw/zinc/05/14/37/1131051437.db2.gz HCYDAKWQCVCHBZ-HOTGVXAUSA-N 1 2 265.347 3.836 20 0 CHADLO Fc1ccc2cc(C[N@@H+]3CCCC[C@H]3c3cc[nH]n3)[nH]c2c1 ZINC000348711597 1127125800 /nfs/dbraw/zinc/12/58/00/1127125800.db2.gz GQWWPMJFFRRILK-KRWDZBQOSA-N 1 2 298.365 3.757 20 0 CHADLO Fc1ccc2cc(C[N@H+]3CCCC[C@H]3c3cc[nH]n3)[nH]c2c1 ZINC000348711597 1127125802 /nfs/dbraw/zinc/12/58/02/1127125802.db2.gz GQWWPMJFFRRILK-KRWDZBQOSA-N 1 2 298.365 3.757 20 0 CHADLO C[C@H]1CC(C)(C)C[C@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348836131 1127130104 /nfs/dbraw/zinc/13/01/04/1127130104.db2.gz CBWXOYGHIDEVCM-DZGCQCFKSA-N 1 2 284.407 3.563 20 0 CHADLO CCCCOC(=O)C[N@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000913391771 1127131651 /nfs/dbraw/zinc/13/16/51/1127131651.db2.gz AMUDVUMVVVFAJM-ZDUSSCGKSA-N 1 2 289.375 3.769 20 0 CHADLO CCCCOC(=O)C[N@@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000913391771 1127131653 /nfs/dbraw/zinc/13/16/53/1127131653.db2.gz AMUDVUMVVVFAJM-ZDUSSCGKSA-N 1 2 289.375 3.769 20 0 CHADLO c1cn(Cc2ccc(N[C@H]3CCC[C@@H](C4CC4)C3)nc2)c[nH+]1 ZINC000348849493 1127131657 /nfs/dbraw/zinc/13/16/57/1127131657.db2.gz RCYCEYIVQGZNAR-SJORKVTESA-N 1 2 296.418 3.707 20 0 CHADLO C[C@@H](CC(=O)N1CCC(n2cc[nH+]c2)CC1)CC(C)(C)C ZINC000586817665 1127142798 /nfs/dbraw/zinc/14/27/98/1127142798.db2.gz JBDILGFJJOAIGZ-AWEZNQCLSA-N 1 2 291.439 3.509 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(Cl)s1)c1c(C)noc1C ZINC000349645177 1127156533 /nfs/dbraw/zinc/15/65/33/1127156533.db2.gz JZNYMXUTQCMQGD-VIFPVBQESA-N 1 2 285.800 3.642 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC2(CCC2)Oc2ccccc21)c1ccon1 ZINC000349835839 1127163956 /nfs/dbraw/zinc/16/39/56/1127163956.db2.gz RBSFWEGIPUSQIS-IUODEOHRSA-N 1 2 284.359 3.772 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cccc(OC(F)F)c1)c1ccon1 ZINC000349859808 1127166131 /nfs/dbraw/zinc/16/61/31/1127166131.db2.gz YAMSKGDGSTXQIJ-NXEZZACHSA-N 1 2 282.290 3.688 20 0 CHADLO CC[C@@H](C)CCC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000349987955 1127170477 /nfs/dbraw/zinc/17/04/77/1127170477.db2.gz BZSAKAWGATVHQY-CYBMUJFWSA-N 1 2 271.364 3.637 20 0 CHADLO CCC1(CNC(=O)c2cccc(-n3cc[nH+]c3)c2)CCCC1 ZINC000350003815 1127170496 /nfs/dbraw/zinc/17/04/96/1127170496.db2.gz NHSYGKADUAJFMI-UHFFFAOYSA-N 1 2 297.402 3.573 20 0 CHADLO CCOc1cccc(C[N@H+](C)Cc2ccccc2Cl)n1 ZINC000350115509 1127172936 /nfs/dbraw/zinc/17/29/36/1127172936.db2.gz BBXBONHVSVLLRW-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1cccc(C[N@@H+](C)Cc2ccccc2Cl)n1 ZINC000350115509 1127172938 /nfs/dbraw/zinc/17/29/38/1127172938.db2.gz BBXBONHVSVLLRW-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO O=C(CCCC1CCCC1)Nc1ccn2cc[nH+]c2c1 ZINC000350143730 1127173982 /nfs/dbraw/zinc/17/39/82/1127173982.db2.gz AHABSLHLMIYYPB-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO CC1(CC(=O)Nc2ccn3cc[nH+]c3c2)CCCCC1 ZINC000350150136 1127174330 /nfs/dbraw/zinc/17/43/30/1127174330.db2.gz VRULZSSQQRCQQW-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2ccc(CC(C)C)cc2)n1 ZINC000284199363 1127178478 /nfs/dbraw/zinc/17/84/78/1127178478.db2.gz YVMPNDNAZDXITG-UHFFFAOYSA-N 1 2 287.407 3.601 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000351063298 1127184638 /nfs/dbraw/zinc/18/46/38/1127184638.db2.gz LSDNGSRFLZMGRV-OAHLLOKOSA-N 1 2 285.391 3.534 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCC[C@@H]1c1ncc[nH]1 ZINC000351063298 1127184640 /nfs/dbraw/zinc/18/46/40/1127184640.db2.gz LSDNGSRFLZMGRV-OAHLLOKOSA-N 1 2 285.391 3.534 20 0 CHADLO Fc1cc(Cl)c(C[NH+]2CC3CC(C3)C2)c(Cl)c1 ZINC001237600365 1131119875 /nfs/dbraw/zinc/11/98/75/1131119875.db2.gz VXDUUBGFBKDTJH-UHFFFAOYSA-N 1 2 274.166 3.974 20 0 CHADLO CCc1n[nH]cc1C[N@@H+](C)Cc1cccc(Cl)c1Cl ZINC001237650805 1131123940 /nfs/dbraw/zinc/12/39/40/1131123940.db2.gz IYGMGCWXBSMFRE-UHFFFAOYSA-N 1 2 298.217 3.911 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2C[C@@H](C)OC[C@@H]2C)c1 ZINC001237703127 1131130628 /nfs/dbraw/zinc/13/06/28/1131130628.db2.gz YVQKGUMMLFGXPL-QWHCGFSZSA-N 1 2 267.800 3.566 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2C[C@@H](C)OC[C@@H]2C)c1 ZINC001237703127 1131130630 /nfs/dbraw/zinc/13/06/30/1131130630.db2.gz YVQKGUMMLFGXPL-QWHCGFSZSA-N 1 2 267.800 3.566 20 0 CHADLO C[C@H](Nc1cc(CO)cc[nH+]1)c1cccc(C(F)(F)F)c1 ZINC000641259290 1127212407 /nfs/dbraw/zinc/21/24/07/1127212407.db2.gz OXNXLRBVZWYZAF-JTQLQIEISA-N 1 2 296.292 3.766 20 0 CHADLO Clc1cnccc1C[N@@H+]1CC[C@H]1c1ccccc1 ZINC000351890243 1127213528 /nfs/dbraw/zinc/21/35/28/1127213528.db2.gz WQUJOUFMHBIKDG-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1cnccc1C[N@H+]1CC[C@H]1c1ccccc1 ZINC000351890243 1127213532 /nfs/dbraw/zinc/21/35/32/1127213532.db2.gz WQUJOUFMHBIKDG-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO CCc1cc(C[N@@H+]2CCOCC23CCC3)ccc1Cl ZINC001237739633 1131136590 /nfs/dbraw/zinc/13/65/90/1131136590.db2.gz GJKHNTSKSRNVOL-UHFFFAOYSA-N 1 2 279.811 3.657 20 0 CHADLO CCc1cc(C[N@H+]2CCOCC23CCC3)ccc1Cl ZINC001237739633 1131136592 /nfs/dbraw/zinc/13/65/92/1131136592.db2.gz GJKHNTSKSRNVOL-UHFFFAOYSA-N 1 2 279.811 3.657 20 0 CHADLO Cc1cnccc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000572710056 1127224593 /nfs/dbraw/zinc/22/45/93/1127224593.db2.gz STAKHSXMOYUJGS-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cnccc1C[N@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000572710056 1127224594 /nfs/dbraw/zinc/22/45/94/1127224594.db2.gz STAKHSXMOYUJGS-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1[nH]c(CNc2cccc(COCC3CC3)c2)[nH+]c1C ZINC000572900405 1127228738 /nfs/dbraw/zinc/22/87/38/1127228738.db2.gz CYDGDIVCVFGKCN-UHFFFAOYSA-N 1 2 285.391 3.565 20 0 CHADLO Cc1noc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)cc2C)n1 ZINC000285492311 1127232928 /nfs/dbraw/zinc/23/29/28/1127232928.db2.gz CDVNLJGJMJUMSW-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@H]2CCC[N@H+]2Cc2ccc(Cl)cc2C)n1 ZINC000285492311 1127232930 /nfs/dbraw/zinc/23/29/30/1127232930.db2.gz CDVNLJGJMJUMSW-CQSZACIVSA-N 1 2 291.782 3.677 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](C)c1ccc(C)nc1 ZINC001224965891 1127257763 /nfs/dbraw/zinc/25/77/63/1127257763.db2.gz NMGDBBXZUQRGCO-CYBMUJFWSA-N 1 2 264.369 3.652 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2nc3ccccc3s2)s1 ZINC000224188341 1127254606 /nfs/dbraw/zinc/25/46/06/1127254606.db2.gz PQYUATDCPCTLKD-SNVBAGLBSA-N 1 2 289.429 3.912 20 0 CHADLO FC1(F)CCC[C@@H]1COc1ccccc1-n1cc[nH+]c1 ZINC000572977381 1127261048 /nfs/dbraw/zinc/26/10/48/1127261048.db2.gz QDAJXPKFXJWLHT-GFCCVEGCSA-N 1 2 278.302 3.687 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C(C)C)C2CC2)c(C)[nH+]1 ZINC000667119200 1127261496 /nfs/dbraw/zinc/26/14/96/1127261496.db2.gz LPBTYLORWXVQSU-CQSZACIVSA-N 1 2 275.396 3.563 20 0 CHADLO CCC[N@H+](Cc1cscn1)Cc1ccc(OC)cc1 ZINC000590622832 1127265759 /nfs/dbraw/zinc/26/57/59/1127265759.db2.gz PLNVCIZYGIZZFF-UHFFFAOYSA-N 1 2 276.405 3.564 20 0 CHADLO CCC[N@@H+](Cc1cscn1)Cc1ccc(OC)cc1 ZINC000590622832 1127265762 /nfs/dbraw/zinc/26/57/62/1127265762.db2.gz PLNVCIZYGIZZFF-UHFFFAOYSA-N 1 2 276.405 3.564 20 0 CHADLO CCC[C@@H](CC1CCCCC1)c1nnc(C[NH+](C)C)o1 ZINC001322583033 1127267585 /nfs/dbraw/zinc/26/75/85/1127267585.db2.gz LIMBWOXLNMFZPG-AWEZNQCLSA-N 1 2 279.428 3.985 20 0 CHADLO COc1cccc(CNc2ccc([NH+](C)C)cc2C)c1 ZINC000057667868 1127272196 /nfs/dbraw/zinc/27/21/96/1127272196.db2.gz GVTLMNOQLUXFMB-UHFFFAOYSA-N 1 2 270.376 3.682 20 0 CHADLO CCCOc1ccc([C@@H](C)[NH2+][C@@H](C)c2nonc2C)cc1 ZINC000924859096 1127274196 /nfs/dbraw/zinc/27/41/96/1127274196.db2.gz QCLJVSFMNXBIDH-NEPJUHHUSA-N 1 2 289.379 3.579 20 0 CHADLO C[N@H+](CCc1cccs1)Cc1cc(Cl)c(O)cc1F ZINC001238151948 1131175947 /nfs/dbraw/zinc/17/59/47/1131175947.db2.gz KDKCYKFGFXFQOC-UHFFFAOYSA-N 1 2 299.798 3.921 20 0 CHADLO C[N@@H+](CCc1cccs1)Cc1cc(Cl)c(O)cc1F ZINC001238151948 1131175949 /nfs/dbraw/zinc/17/59/49/1131175949.db2.gz KDKCYKFGFXFQOC-UHFFFAOYSA-N 1 2 299.798 3.921 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC000590948861 1127279094 /nfs/dbraw/zinc/27/90/94/1127279094.db2.gz NDXJIVOGWDBNSX-QWRGUYRKSA-N 1 2 291.420 3.902 20 0 CHADLO COc1ccsc1[C@H](C)[NH2+][C@H](C)c1c(F)cncc1F ZINC000353931056 1127279583 /nfs/dbraw/zinc/27/95/83/1127279583.db2.gz HSPVCSYHWNWVJH-BDAKNGLRSA-N 1 2 298.358 3.842 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc2c(c1)CCO2 ZINC000057806545 1127282710 /nfs/dbraw/zinc/28/27/10/1127282710.db2.gz FQQGCMKQQNBYBZ-UHFFFAOYSA-N 1 2 282.387 3.608 20 0 CHADLO C[N@H+](Cc1ccc(O)cc1)Cc1c(F)cccc1Cl ZINC000354131830 1127295487 /nfs/dbraw/zinc/29/54/87/1127295487.db2.gz MJZLHHYSNXMYSU-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccc(O)cc1)Cc1c(F)cccc1Cl ZINC000354131830 1127295490 /nfs/dbraw/zinc/29/54/90/1127295490.db2.gz MJZLHHYSNXMYSU-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO Fc1c[nH+]ccc1N1CCS[C@@H](c2ccccc2)C1 ZINC000641457930 1127297776 /nfs/dbraw/zinc/29/77/76/1127297776.db2.gz UQHOTCSQCYYWDG-OAHLLOKOSA-N 1 2 274.364 3.515 20 0 CHADLO Cc1ccc2c(c1C)OC[C@H]2[NH2+]Cc1ccc(Cl)o1 ZINC000591722071 1127312918 /nfs/dbraw/zinc/31/29/18/1127312918.db2.gz QWQIJVQUFUXNDH-CYBMUJFWSA-N 1 2 277.751 3.773 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCC[C@@H]2CF)c1F ZINC001238435670 1131201194 /nfs/dbraw/zinc/20/11/94/1131201194.db2.gz XIJPRGMCQKFRAU-CYBMUJFWSA-N 1 2 269.335 3.547 20 0 CHADLO CC(=O)Nc1ccc(C[N@H+](CC(F)F)CC2CCC2)cc1 ZINC000355133278 1127319528 /nfs/dbraw/zinc/31/95/28/1127319528.db2.gz KWYIKCYTUSVTLE-UHFFFAOYSA-N 1 2 296.361 3.512 20 0 CHADLO CC(=O)Nc1ccc(C[N@@H+](CC(F)F)CC2CCC2)cc1 ZINC000355133278 1127319533 /nfs/dbraw/zinc/31/95/33/1127319533.db2.gz KWYIKCYTUSVTLE-UHFFFAOYSA-N 1 2 296.361 3.512 20 0 CHADLO COc1ccc[nH+]c1N[C@H](C)[C@@H]1C[C@H]1c1cccs1 ZINC000669421435 1127323829 /nfs/dbraw/zinc/32/38/29/1127323829.db2.gz XDERSNAXPHRQRP-GRYCIOLGSA-N 1 2 274.389 3.756 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2COCc3ccccc32)c(C)s1 ZINC000669426438 1127326605 /nfs/dbraw/zinc/32/66/05/1127326605.db2.gz CULFQBXESGHROO-BONVTDFDSA-N 1 2 288.416 3.682 20 0 CHADLO CC(C)(CC(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1 ZINC000355287335 1127331294 /nfs/dbraw/zinc/33/12/94/1127331294.db2.gz HQJKYPCGMITCKS-UHFFFAOYSA-N 1 2 293.370 3.641 20 0 CHADLO CCCc1ccc(C(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC000355291343 1127331514 /nfs/dbraw/zinc/33/15/14/1127331514.db2.gz PJOFLMGMCADNEL-UHFFFAOYSA-N 1 2 279.343 3.539 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001238671929 1131229534 /nfs/dbraw/zinc/22/95/34/1131229534.db2.gz NUYPWEOLYDRJRZ-PHIMTYICSA-N 1 2 285.840 3.671 20 0 CHADLO COCC[C@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccco1 ZINC000355348344 1127334455 /nfs/dbraw/zinc/33/44/55/1127334455.db2.gz NCOBIXRLCFBRFK-RISCZKNCSA-N 1 2 295.329 3.986 20 0 CHADLO Cc1cc(Cl)ccc1C[NH2+]Cc1nccc(C(F)F)n1 ZINC000288408996 1127337902 /nfs/dbraw/zinc/33/79/02/1127337902.db2.gz IQNUMBGRSYFBAM-UHFFFAOYSA-N 1 2 297.736 3.666 20 0 CHADLO C[C@@H](CC(C)(C)C)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000355523330 1127347094 /nfs/dbraw/zinc/34/70/94/1127347094.db2.gz CRJNFRSZQLRORC-AWEZNQCLSA-N 1 2 299.418 3.561 20 0 CHADLO CCOC[C@@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000288560502 1127347550 /nfs/dbraw/zinc/34/75/50/1127347550.db2.gz WEOLGORJLNVUIG-GFCCVEGCSA-N 1 2 290.794 3.751 20 0 CHADLO C[C@@H](CC(C)(C)C)C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000355483418 1127344336 /nfs/dbraw/zinc/34/43/36/1127344336.db2.gz GRWQGXIEXJWLIZ-ZDUSSCGKSA-N 1 2 285.391 3.883 20 0 CHADLO Fc1ccc(C[NH2+]Cc2ccc(Oc3ccccc3)o2)nc1 ZINC000355677791 1127358151 /nfs/dbraw/zinc/35/81/51/1127358151.db2.gz UXJCRGCZODRBTA-UHFFFAOYSA-N 1 2 298.317 3.896 20 0 CHADLO CC/C=C\CC[N@@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000466825507 1127383995 /nfs/dbraw/zinc/38/39/95/1127383995.db2.gz NAQGWSIAJZTVPO-QGZUEGPWSA-N 1 2 281.346 3.694 20 0 CHADLO CC/C=C\CC[N@H+]1CCO[C@H](c2ccc(F)c(F)c2)C1 ZINC000466825507 1127383997 /nfs/dbraw/zinc/38/39/97/1127383997.db2.gz NAQGWSIAJZTVPO-QGZUEGPWSA-N 1 2 281.346 3.694 20 0 CHADLO Cc1nc(N2CCc3cc(Cl)ccc3C2)c(C)c(C)[nH+]1 ZINC000357891373 1127395636 /nfs/dbraw/zinc/39/56/36/1127395636.db2.gz BZUKULBYLHZFCI-UHFFFAOYSA-N 1 2 287.794 3.618 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2ccc(C)c(C)c2)c(C)[nH+]1 ZINC000358140598 1127403624 /nfs/dbraw/zinc/40/36/24/1127403624.db2.gz UKHGLHACHDYFDZ-FQEVSTJZSA-N 1 2 287.428 3.764 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2ccc3c(c2)CCC3)c(C)[nH+]1 ZINC000358131575 1127403958 /nfs/dbraw/zinc/40/39/58/1127403958.db2.gz VVIIILDGJLAMPL-NRFANRHFSA-N 1 2 299.439 3.636 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CCCCc2ccc(Cl)cc21 ZINC000358145669 1127404442 /nfs/dbraw/zinc/40/44/42/1127404442.db2.gz BVPUTOHFHBHEIQ-INIZCTEOSA-N 1 2 289.810 3.929 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2ccc(Cl)cc21)c1ccc(F)cn1 ZINC000358260152 1127411287 /nfs/dbraw/zinc/41/12/87/1127411287.db2.gz BCXFTGCUFZRVFT-OTYXRUKQSA-N 1 2 292.741 3.658 20 0 CHADLO COC(=O)[C@H](c1ccccc1C)[N@H+](C)Cc1ccccc1C ZINC000466834438 1127445483 /nfs/dbraw/zinc/44/54/83/1127445483.db2.gz YBSZXUWINPWOOY-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO COC(=O)[C@H](c1ccccc1C)[N@@H+](C)Cc1ccccc1C ZINC000466834438 1127445484 /nfs/dbraw/zinc/44/54/84/1127445484.db2.gz YBSZXUWINPWOOY-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO CC1(C)CC[C@H](C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000359182259 1127453462 /nfs/dbraw/zinc/45/34/62/1127453462.db2.gz XMVSZIJJUYQLGD-ZDUSSCGKSA-N 1 2 283.375 3.842 20 0 CHADLO CC(C)c1occc1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000359321439 1127458855 /nfs/dbraw/zinc/45/88/55/1127458855.db2.gz MCZATVTYYCVYSH-UHFFFAOYSA-N 1 2 295.342 3.841 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1noc2c1CCCC2 ZINC000595550261 1127466771 /nfs/dbraw/zinc/46/67/71/1127466771.db2.gz WIFOOVQSZXFBCY-LLVKDONJSA-N 1 2 288.416 3.734 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1noc2c1CCCC2 ZINC000595550261 1127466773 /nfs/dbraw/zinc/46/67/73/1127466773.db2.gz WIFOOVQSZXFBCY-LLVKDONJSA-N 1 2 288.416 3.734 20 0 CHADLO COCCCC[C@H](Nc1cc[nH+]c(C)n1)c1ccccc1 ZINC000359908609 1127482812 /nfs/dbraw/zinc/48/28/12/1127482812.db2.gz XIVDKOFCJRTUQN-INIZCTEOSA-N 1 2 285.391 3.755 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1cncs1 ZINC000360687926 1127506222 /nfs/dbraw/zinc/50/62/22/1127506222.db2.gz GAXYRDSOPHYKCU-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@H](C(F)(F)F)[C@H]2C)cs1 ZINC000360724566 1127508401 /nfs/dbraw/zinc/50/84/01/1127508401.db2.gz COZUUNFWHSPMMK-KOLCDFICSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@H](C(F)(F)F)[C@H]2C)cs1 ZINC000360724566 1127508402 /nfs/dbraw/zinc/50/84/02/1127508402.db2.gz COZUUNFWHSPMMK-KOLCDFICSA-N 1 2 292.370 3.868 20 0 CHADLO Cc1nc(N[C@@H]2c3ccccc3CCC[C@@H]2C)cc[nH+]1 ZINC000360863318 1127516633 /nfs/dbraw/zinc/51/66/33/1127516633.db2.gz BGRCIQGLDRIDEF-SJCJKPOMSA-N 1 2 267.376 3.911 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(O)c2Cl)CCC1(F)F ZINC000293796945 1127521782 /nfs/dbraw/zinc/52/17/82/1127521782.db2.gz CZGAACPDSQYQHY-VIFPVBQESA-N 1 2 275.726 3.523 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(O)c2Cl)CCC1(F)F ZINC000293796945 1127521783 /nfs/dbraw/zinc/52/17/83/1127521783.db2.gz CZGAACPDSQYQHY-VIFPVBQESA-N 1 2 275.726 3.523 20 0 CHADLO Fc1ccc(COc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC000360979086 1127525224 /nfs/dbraw/zinc/52/52/24/1127525224.db2.gz PBPHOROQJGHHML-UHFFFAOYSA-N 1 2 268.291 3.590 20 0 CHADLO CCC[C@@H](Cc1ccccc1)Nc1cc[nH+]c(C)n1 ZINC000360986415 1127526303 /nfs/dbraw/zinc/52/63/03/1127526303.db2.gz KMTFLFAXVSMQLJ-HNNXBMFYSA-N 1 2 255.365 3.608 20 0 CHADLO Cc1nc(N[C@H]2C[C@H]2c2ccccc2C(F)(F)F)cc[nH+]1 ZINC000360988149 1127527075 /nfs/dbraw/zinc/52/70/75/1127527075.db2.gz WVZWEPUFBXDRDI-AAEUAGOBSA-N 1 2 293.292 3.772 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cnn(-c3ccccc3)c1)C2 ZINC000361109196 1127538979 /nfs/dbraw/zinc/53/89/79/1127538979.db2.gz MSLTVSDZCWTPLW-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cnn(-c3ccccc3)c1)C2 ZINC000361109196 1127538981 /nfs/dbraw/zinc/53/89/81/1127538981.db2.gz MSLTVSDZCWTPLW-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO C[C@@H](CCC1CCCC1)[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000361153879 1127542250 /nfs/dbraw/zinc/54/22/50/1127542250.db2.gz NXGZXBAUCHZUMC-WMLDXEAASA-N 1 2 298.434 3.843 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(C3CCC3)c2)n1C ZINC000361087666 1127536949 /nfs/dbraw/zinc/53/69/49/1127536949.db2.gz SYBFEZSLRWNOSJ-UHFFFAOYSA-N 1 2 255.365 3.608 20 0 CHADLO Cc1cc(NC[C@H](C)Sc2ccccc2)nc(C2CC2)[nH+]1 ZINC000361296275 1127554746 /nfs/dbraw/zinc/55/47/46/1127554746.db2.gz NPCDBZNIUJEDAE-ZDUSSCGKSA-N 1 2 299.443 3.677 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H]2c2ccsc2)c(F)cn1 ZINC000294506948 1127578895 /nfs/dbraw/zinc/57/88/95/1127578895.db2.gz CCURAQFSALDVGL-CYBMUJFWSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H]2c2ccsc2)c(F)cn1 ZINC000294506948 1127578899 /nfs/dbraw/zinc/57/88/99/1127578899.db2.gz CCURAQFSALDVGL-CYBMUJFWSA-N 1 2 280.343 3.758 20 0 CHADLO COCC1CCC(Nc2ccc([NH+]3CCCC3)cc2)CC1 ZINC000294764077 1127597791 /nfs/dbraw/zinc/59/77/91/1127597791.db2.gz JAAWIEUTPYCDOP-UHFFFAOYSA-N 1 2 288.435 3.904 20 0 CHADLO COCC1CCC([NH2+]c2ccc(N3CCCC3)cc2)CC1 ZINC000294764077 1127597794 /nfs/dbraw/zinc/59/77/94/1127597794.db2.gz JAAWIEUTPYCDOP-UHFFFAOYSA-N 1 2 288.435 3.904 20 0 CHADLO CCC1(C[NH2+][C@H](c2cccs2)c2nnc[nH]2)CCCC1 ZINC000294846016 1127603964 /nfs/dbraw/zinc/60/39/64/1127603964.db2.gz SBRZIZHSJWFALM-CYBMUJFWSA-N 1 2 290.436 3.516 20 0 CHADLO COC[C@@H]([NH2+]Cc1c(C)cccc1Cl)c1ccco1 ZINC000294992479 1127612695 /nfs/dbraw/zinc/61/26/95/1127612695.db2.gz NEHSEPXJMAVEPZ-CQSZACIVSA-N 1 2 279.767 3.719 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CC[C@]3(CC3(F)F)C2)c(Cl)c1 ZINC001232101371 1127615010 /nfs/dbraw/zinc/61/50/10/1127615010.db2.gz FZBYSGNLUMAOBH-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CC[C@]3(CC3(F)F)C2)c(Cl)c1 ZINC001232101371 1127615012 /nfs/dbraw/zinc/61/50/12/1127615012.db2.gz FZBYSGNLUMAOBH-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CCC[C@@](C)(F)C1 ZINC000295556112 1127645876 /nfs/dbraw/zinc/64/58/76/1127645876.db2.gz XNNFUSCZEPCRPG-XHDPSFHLSA-N 1 2 262.328 3.713 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CCC[C@@](C)(F)C1 ZINC000295556112 1127645878 /nfs/dbraw/zinc/64/58/78/1127645878.db2.gz XNNFUSCZEPCRPG-XHDPSFHLSA-N 1 2 262.328 3.713 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2cccc(C3CC3)c2)n1 ZINC000295553029 1127645884 /nfs/dbraw/zinc/64/58/84/1127645884.db2.gz XFKYISIYTKTYAM-NEPJUHHUSA-N 1 2 285.391 3.921 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](Cc1cnns1)C1CC1 ZINC000295745335 1127651772 /nfs/dbraw/zinc/65/17/72/1127651772.db2.gz YVKXNFORZHKMRT-SNVBAGLBSA-N 1 2 293.823 3.917 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](Cc1cnns1)C1CC1 ZINC000295745335 1127651775 /nfs/dbraw/zinc/65/17/75/1127651775.db2.gz YVKXNFORZHKMRT-SNVBAGLBSA-N 1 2 293.823 3.917 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)[C@@H]2CCCCO2)c1 ZINC000599037805 1127653574 /nfs/dbraw/zinc/65/35/74/1127653574.db2.gz DWQWLNIKXGOZHT-OCCSQVGLSA-N 1 2 280.437 3.704 20 0 CHADLO CC(C)Oc1ccc(CSCCn2cc[nH+]c2)cc1 ZINC000761326112 1127659249 /nfs/dbraw/zinc/65/92/49/1127659249.db2.gz LPNPKQQZWMZGPU-UHFFFAOYSA-N 1 2 276.405 3.604 20 0 CHADLO CC[C@H](C)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000363097437 1127661430 /nfs/dbraw/zinc/66/14/30/1127661430.db2.gz VZBYDXLTZFATCJ-JTQLQIEISA-N 1 2 271.364 3.678 20 0 CHADLO CC(C)c1nnc(C[N@H+](C)[C@@H]2CCc3ccccc32)s1 ZINC000296290906 1127666984 /nfs/dbraw/zinc/66/69/84/1127666984.db2.gz HUVFHEQXVSWBJX-CQSZACIVSA-N 1 2 287.432 3.781 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C)[C@@H]2CCc3ccccc32)s1 ZINC000296290906 1127666986 /nfs/dbraw/zinc/66/69/86/1127666986.db2.gz HUVFHEQXVSWBJX-CQSZACIVSA-N 1 2 287.432 3.781 20 0 CHADLO COc1cccc([C@@H](C)[NH2+]Cc2csc(Cl)n2)c1 ZINC000296313735 1127668888 /nfs/dbraw/zinc/66/88/88/1127668888.db2.gz NTAMFHNHWOLTKB-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@@H]2c2ccccc2)s1 ZINC000296462201 1127672553 /nfs/dbraw/zinc/67/25/53/1127672553.db2.gz JKJCOGVLIGARFK-CQSZACIVSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@@H]2c2ccccc2)s1 ZINC000296462201 1127672555 /nfs/dbraw/zinc/67/25/55/1127672555.db2.gz JKJCOGVLIGARFK-CQSZACIVSA-N 1 2 287.432 3.999 20 0 CHADLO O=C(CCc1cccc(Cl)c1)Nc1ccc2[nH+]ccn2c1 ZINC000603808727 1127672914 /nfs/dbraw/zinc/67/29/14/1127672914.db2.gz KXNLYSHIMKRHOA-UHFFFAOYSA-N 1 2 299.761 3.559 20 0 CHADLO CCSc1ccccc1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000603884266 1127680420 /nfs/dbraw/zinc/68/04/20/1127680420.db2.gz FBFRODLKXQRBAM-UHFFFAOYSA-N 1 2 297.383 3.699 20 0 CHADLO c1cn(-c2cccc(N[C@H]3CCC34CCC4)c2)c[nH+]1 ZINC000669703426 1127680441 /nfs/dbraw/zinc/68/04/41/1127680441.db2.gz HGORCBJTLBASFF-HNNXBMFYSA-N 1 2 253.349 3.617 20 0 CHADLO CC(C)CCOc1ccccc1C[NH2+][C@@H]1CCn2ccnc21 ZINC000297154018 1127689523 /nfs/dbraw/zinc/68/95/23/1127689523.db2.gz AYSBYCKROATUJN-MRXNPFEDSA-N 1 2 299.418 3.543 20 0 CHADLO COc1cccc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)c1F ZINC000669708904 1127690951 /nfs/dbraw/zinc/69/09/51/1127690951.db2.gz SPHBEHLFGGXLKH-OAHLLOKOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cccc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)c1F ZINC000669708904 1127690953 /nfs/dbraw/zinc/69/09/53/1127690953.db2.gz SPHBEHLFGGXLKH-OAHLLOKOSA-N 1 2 289.325 3.920 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cc(C2CC2)ccc1F ZINC000297307101 1127692898 /nfs/dbraw/zinc/69/28/98/1127692898.db2.gz MQAHREYVVLHLMW-GFCCVEGCSA-N 1 2 287.382 3.770 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cc(C)ccc2F)c1F ZINC000669713456 1127699090 /nfs/dbraw/zinc/69/90/90/1127699090.db2.gz XEJXOTCICJMMKT-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cc(C)ccc2F)c1F ZINC000669713456 1127699095 /nfs/dbraw/zinc/69/90/95/1127699095.db2.gz XEJXOTCICJMMKT-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)o1 ZINC001232442041 1127704698 /nfs/dbraw/zinc/70/46/98/1127704698.db2.gz WBSYAJPZNOOVIV-DTORHVGOSA-N 1 2 295.251 3.776 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)o1 ZINC001232442041 1127704701 /nfs/dbraw/zinc/70/47/01/1127704701.db2.gz WBSYAJPZNOOVIV-DTORHVGOSA-N 1 2 295.251 3.776 20 0 CHADLO Cc1oncc1C[N@@H+]1CCCC[C@H]1c1ccc(F)cc1F ZINC000364609462 1127706251 /nfs/dbraw/zinc/70/62/51/1127706251.db2.gz YMZVODLTZLIGKK-INIZCTEOSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1oncc1C[N@H+]1CCCC[C@H]1c1ccc(F)cc1F ZINC000364609462 1127706254 /nfs/dbraw/zinc/70/62/54/1127706254.db2.gz YMZVODLTZLIGKK-INIZCTEOSA-N 1 2 292.329 3.988 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nccn1CC)c1ccc(F)cc1F ZINC000297757337 1127706620 /nfs/dbraw/zinc/70/66/20/1127706620.db2.gz ORHDQTVREVKKFT-ABAIWWIYSA-N 1 2 293.361 3.983 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1nc(Cl)ccc1Cl ZINC000061630311 1127706965 /nfs/dbraw/zinc/70/69/65/1127706965.db2.gz TZHIYCHQFAUKTR-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1nc(Cl)ccc1Cl ZINC000061630311 1127706968 /nfs/dbraw/zinc/70/69/68/1127706968.db2.gz TZHIYCHQFAUKTR-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@H](C)c2nc(C(C)C)no2)c1 ZINC000298877706 1127735660 /nfs/dbraw/zinc/73/56/60/1127735660.db2.gz YJMBQHPMOYUTCL-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@H](C)c2nc(C(C)C)no2)c1 ZINC000298877706 1127735662 /nfs/dbraw/zinc/73/56/62/1127735662.db2.gz YJMBQHPMOYUTCL-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000298737173 1127731201 /nfs/dbraw/zinc/73/12/01/1127731201.db2.gz IVLHFGZMJWVQFV-ZYHUDNBSSA-N 1 2 277.393 3.592 20 0 CHADLO CCc1noc([C@@H](C)[N@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000298737173 1127731203 /nfs/dbraw/zinc/73/12/03/1127731203.db2.gz IVLHFGZMJWVQFV-ZYHUDNBSSA-N 1 2 277.393 3.592 20 0 CHADLO COc1c(C)c[nH+]c(CSc2ccccc2)c1C ZINC000605446554 1127736741 /nfs/dbraw/zinc/73/67/41/1127736741.db2.gz XBJYQWSLGFHAOF-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO Cc1cc(F)cc([C@]2(F)CC[N@H+](Cc3cncs3)C2)c1 ZINC000367082721 1127750349 /nfs/dbraw/zinc/75/03/49/1127750349.db2.gz ADSVEDBDEZWMOJ-HNNXBMFYSA-N 1 2 294.370 3.661 20 0 CHADLO Cc1cc(F)cc([C@]2(F)CC[N@@H+](Cc3cncs3)C2)c1 ZINC000367082721 1127750353 /nfs/dbraw/zinc/75/03/53/1127750353.db2.gz ADSVEDBDEZWMOJ-HNNXBMFYSA-N 1 2 294.370 3.661 20 0 CHADLO CCCOCc1ccccc1C[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367629390 1127754521 /nfs/dbraw/zinc/75/45/21/1127754521.db2.gz YFAZAJRUPQHGPA-KRWDZBQOSA-N 1 2 299.418 3.504 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CCc2[nH]c3ccc(C)cc3c2C1 ZINC000369026876 1127759317 /nfs/dbraw/zinc/75/93/17/1127759317.db2.gz JOUAHURIAKNAGZ-UHFFFAOYSA-N 1 2 295.386 3.639 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CCc2[nH]c3ccc(C)cc3c2C1 ZINC000369026876 1127759320 /nfs/dbraw/zinc/75/93/20/1127759320.db2.gz JOUAHURIAKNAGZ-UHFFFAOYSA-N 1 2 295.386 3.639 20 0 CHADLO CCCC[N@@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000543807171 1127763680 /nfs/dbraw/zinc/76/36/80/1127763680.db2.gz GINFUHQERGWFLV-CQSZACIVSA-N 1 2 287.325 3.879 20 0 CHADLO CCCC[N@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000543807171 1127763683 /nfs/dbraw/zinc/76/36/83/1127763683.db2.gz GINFUHQERGWFLV-CQSZACIVSA-N 1 2 287.325 3.879 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@H](C)[C@H]2c2ccc(C)cc2)no1 ZINC000606452289 1127763737 /nfs/dbraw/zinc/76/37/37/1127763737.db2.gz SXOCMWYBLWBYEC-KSSFIOAISA-N 1 2 299.418 3.914 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@H](C)[C@H]2c2ccc(C)cc2)no1 ZINC000606452289 1127763739 /nfs/dbraw/zinc/76/37/39/1127763739.db2.gz SXOCMWYBLWBYEC-KSSFIOAISA-N 1 2 299.418 3.914 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000606451812 1127764061 /nfs/dbraw/zinc/76/40/61/1127764061.db2.gz XSDHWTXHDUCTIT-VIFPVBQESA-N 1 2 276.232 3.931 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000606451812 1127764063 /nfs/dbraw/zinc/76/40/63/1127764063.db2.gz XSDHWTXHDUCTIT-VIFPVBQESA-N 1 2 276.232 3.931 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3CCSC[C@H]3C)cs2)o1 ZINC000606451890 1127764085 /nfs/dbraw/zinc/76/40/85/1127764085.db2.gz NJEYAHGAMPPFFQ-SNVBAGLBSA-N 1 2 294.445 3.649 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3CCSC[C@H]3C)cs2)o1 ZINC000606451890 1127764087 /nfs/dbraw/zinc/76/40/87/1127764087.db2.gz NJEYAHGAMPPFFQ-SNVBAGLBSA-N 1 2 294.445 3.649 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3cccc(F)c3[C@H]2C)s1 ZINC000606450818 1127764143 /nfs/dbraw/zinc/76/41/43/1127764143.db2.gz SKCCHEURKKDEQD-SNVBAGLBSA-N 1 2 276.380 3.710 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3cccc(F)c3[C@H]2C)s1 ZINC000606450818 1127764146 /nfs/dbraw/zinc/76/41/46/1127764146.db2.gz SKCCHEURKKDEQD-SNVBAGLBSA-N 1 2 276.380 3.710 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1Cc1cc(C2CC2)no1 ZINC000659977214 1127801254 /nfs/dbraw/zinc/80/12/54/1127801254.db2.gz KETRMMOGBQWLPX-LLVKDONJSA-N 1 2 254.333 3.629 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659977214 1127801259 /nfs/dbraw/zinc/80/12/59/1127801259.db2.gz KETRMMOGBQWLPX-LLVKDONJSA-N 1 2 254.333 3.629 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc3ncsc3c2)C[C@H]1F ZINC001232736639 1127808879 /nfs/dbraw/zinc/80/88/79/1127808879.db2.gz HZDYQVYCDNQLGU-ZIAGYGMSSA-N 1 2 282.359 3.568 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc3ncsc3c2)C[C@H]1F ZINC001232736639 1127808883 /nfs/dbraw/zinc/80/88/83/1127808883.db2.gz HZDYQVYCDNQLGU-ZIAGYGMSSA-N 1 2 282.359 3.568 20 0 CHADLO C/C=C(\CC)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000372773411 1127812495 /nfs/dbraw/zinc/81/24/95/1127812495.db2.gz SJOYADYLYXEVBH-WLRTZDKTSA-N 1 2 283.375 3.988 20 0 CHADLO CC=C(CC)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000372773411 1127812498 /nfs/dbraw/zinc/81/24/98/1127812498.db2.gz SJOYADYLYXEVBH-WLRTZDKTSA-N 1 2 283.375 3.988 20 0 CHADLO c1coc(-c2cc(C[N@@H+]3CCC[C@H]3c3cccnc3)no2)c1 ZINC000411423517 1127859736 /nfs/dbraw/zinc/85/97/36/1127859736.db2.gz VILPIEXLRUBMAM-HNNXBMFYSA-N 1 2 295.342 3.667 20 0 CHADLO c1coc(-c2cc(C[N@H+]3CCC[C@H]3c3cccnc3)no2)c1 ZINC000411423517 1127859739 /nfs/dbraw/zinc/85/97/39/1127859739.db2.gz VILPIEXLRUBMAM-HNNXBMFYSA-N 1 2 295.342 3.667 20 0 CHADLO c1ccc(Cc2nc(C[NH+]3C4CCCC3CCC4)no2)cc1 ZINC000608500018 1127871019 /nfs/dbraw/zinc/87/10/19/1127871019.db2.gz RSBKJXTWEQYMGY-UHFFFAOYSA-N 1 2 297.402 3.567 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)o1 ZINC000608503003 1127872975 /nfs/dbraw/zinc/87/29/75/1127872975.db2.gz JPQLNRGRKJUDKF-LRDDRELGSA-N 1 2 288.366 3.959 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@@H](C)C[C@H]2c2ccccc2F)o1 ZINC000608503003 1127872979 /nfs/dbraw/zinc/87/29/79/1127872979.db2.gz JPQLNRGRKJUDKF-LRDDRELGSA-N 1 2 288.366 3.959 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](CCCc1ccsc1)C2 ZINC000411930883 1127880644 /nfs/dbraw/zinc/88/06/44/1127880644.db2.gz AAACOMBSBVGFGY-UHFFFAOYSA-N 1 2 285.412 3.899 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](CCCc1ccsc1)C2 ZINC000411930883 1127880648 /nfs/dbraw/zinc/88/06/48/1127880648.db2.gz AAACOMBSBVGFGY-UHFFFAOYSA-N 1 2 285.412 3.899 20 0 CHADLO Cc1csc(C[N@@H+]2CC[C@@](F)(c3ccccc3)C2)n1 ZINC000374537280 1127902857 /nfs/dbraw/zinc/90/28/57/1127902857.db2.gz LVHMMYBUVMZPAA-HNNXBMFYSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1csc(C[N@H+]2CC[C@@](F)(c3ccccc3)C2)n1 ZINC000374537280 1127902860 /nfs/dbraw/zinc/90/28/60/1127902860.db2.gz LVHMMYBUVMZPAA-HNNXBMFYSA-N 1 2 276.380 3.522 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3sccc3C2)cc1Cl ZINC000535276657 1127912587 /nfs/dbraw/zinc/91/25/87/1127912587.db2.gz SIUQBWUKCQIAKT-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc(C[N@H+]2CCc3sccc3C2)cc1Cl ZINC000535276657 1127912588 /nfs/dbraw/zinc/91/25/88/1127912588.db2.gz SIUQBWUKCQIAKT-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CC[C@H]([NH2+]Cc1csc(C)n1)c1ccccc1OC ZINC000035272832 1127924872 /nfs/dbraw/zinc/92/48/72/1127924872.db2.gz YEHOWBDVEQIECN-AWEZNQCLSA-N 1 2 276.405 3.701 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2ccccc2F)c1 ZINC000539625188 1127933598 /nfs/dbraw/zinc/93/35/98/1127933598.db2.gz GPANAPJNVUXNIS-UHFFFAOYSA-N 1 2 277.364 3.971 20 0 CHADLO Fc1c(Cl)ccc(C[N@@H+]2CCC3(CC3)C2)c1F ZINC001233208023 1127936477 /nfs/dbraw/zinc/93/64/77/1127936477.db2.gz UIEWNJMJEULQTM-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Fc1c(Cl)ccc(C[N@H+]2CCC3(CC3)C2)c1F ZINC001233208023 1127936484 /nfs/dbraw/zinc/93/64/84/1127936484.db2.gz UIEWNJMJEULQTM-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2ccc(Cl)c(F)c2F)[C@H](C)C1 ZINC001233212837 1127938545 /nfs/dbraw/zinc/93/85/45/1127938545.db2.gz GKIPRDOZCAUAFF-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2ccc(Cl)c(F)c2F)[C@H](C)C1 ZINC001233212837 1127938547 /nfs/dbraw/zinc/93/85/47/1127938547.db2.gz GKIPRDOZCAUAFF-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC[C@@H]2c2ccccc2)ccc1F ZINC000539851962 1127949440 /nfs/dbraw/zinc/94/94/40/1127949440.db2.gz XRUPJWIPEWVEQQ-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cc(C[N@H+]2CCOC[C@@H]2c2ccccc2)ccc1F ZINC000539851962 1127949443 /nfs/dbraw/zinc/94/94/43/1127949443.db2.gz XRUPJWIPEWVEQQ-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc(OC(F)F)c(Cl)c2)C1 ZINC000539924115 1127955369 /nfs/dbraw/zinc/95/53/69/1127955369.db2.gz QPHNJZHAULONDI-CYBMUJFWSA-N 1 2 293.716 3.875 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc(OC(F)F)c(Cl)c2)C1 ZINC000539924115 1127955371 /nfs/dbraw/zinc/95/53/71/1127955371.db2.gz QPHNJZHAULONDI-CYBMUJFWSA-N 1 2 293.716 3.875 20 0 CHADLO CCCc1noc(C[NH2+][C@H](CC(C)C)c2cccs2)n1 ZINC000544448836 1127973329 /nfs/dbraw/zinc/97/33/29/1127973329.db2.gz DSUVPQGMNASAES-GFCCVEGCSA-N 1 2 293.436 3.961 20 0 CHADLO CCc1cc(OCCc2cscn2)c2ccccc2[nH+]1 ZINC000642251236 1127985415 /nfs/dbraw/zinc/98/54/15/1127985415.db2.gz XIPVMKGVCMZFNP-UHFFFAOYSA-N 1 2 284.384 3.875 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2ccc(F)c(F)c2)C(C)C)s1 ZINC000541916652 1128044083 /nfs/dbraw/zinc/04/40/83/1128044083.db2.gz XSTMDLULOVIEAO-CQSZACIVSA-N 1 2 297.374 3.612 20 0 CHADLO CC[C@@H]1C[C@H](C)C[N@@H+]1Cc1ncc(Br)s1 ZINC000542167384 1128054418 /nfs/dbraw/zinc/05/44/18/1128054418.db2.gz MRYLFAMSCHBCKG-DTWKUNHWSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1C[C@H](C)C[N@H+]1Cc1ncc(Br)s1 ZINC000542167384 1128054422 /nfs/dbraw/zinc/05/44/22/1128054422.db2.gz MRYLFAMSCHBCKG-DTWKUNHWSA-N 1 2 289.242 3.526 20 0 CHADLO CC(C)OCCCCNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000542123147 1128051684 /nfs/dbraw/zinc/05/16/84/1128051684.db2.gz QBKFEMVLLYMTEE-UHFFFAOYSA-N 1 2 293.455 3.762 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(-c2ccsc2)no1)c1ccsc1 ZINC000669938428 1128076808 /nfs/dbraw/zinc/07/68/08/1128076808.db2.gz NOCREKJSGAGXKH-SECBINFHSA-N 1 2 291.401 3.710 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1cccc(O)c1Cl ZINC000328341875 1128077813 /nfs/dbraw/zinc/07/78/13/1128077813.db2.gz LKIRHXUOJGXXPK-MRVPVSSYSA-N 1 2 280.755 3.501 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(OC(F)F)c1)c1ncco1 ZINC000924676916 1128110010 /nfs/dbraw/zinc/11/00/10/1128110010.db2.gz SZJCREBYGHZRHM-UWVGGRQHSA-N 1 2 282.290 3.688 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+]Cc1ccc([C@@H]2C[C@H]2C)o1 ZINC000924717416 1128110526 /nfs/dbraw/zinc/11/05/26/1128110526.db2.gz UNDXTMFKXKJLQL-HONMWMINSA-N 1 2 286.375 3.867 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@@H](C)c1ccccc1C(F)(F)F ZINC000924764952 1128112041 /nfs/dbraw/zinc/11/20/41/1128112041.db2.gz CWVNXMJHRYMXSJ-IUCAKERBSA-N 1 2 299.296 3.809 20 0 CHADLO C[C@@H](c1ccc(OC(F)F)cc1)[N@@H+]1CCC=C(F)C1 ZINC000492805604 1128113340 /nfs/dbraw/zinc/11/33/40/1128113340.db2.gz GMGQSHBQFQBJEC-JTQLQIEISA-N 1 2 271.282 3.908 20 0 CHADLO C[C@@H](c1ccc(OC(F)F)cc1)[N@H+]1CCC=C(F)C1 ZINC000492805604 1128113342 /nfs/dbraw/zinc/11/33/42/1128113342.db2.gz GMGQSHBQFQBJEC-JTQLQIEISA-N 1 2 271.282 3.908 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2[NH2+][C@@H]1CCOc2c(C)cccc21 ZINC000924887411 1128115853 /nfs/dbraw/zinc/11/58/53/1128115853.db2.gz SIHIWRHLGYODRD-CABCVRRESA-N 1 2 298.386 3.782 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H](C)c2cc(O)cc(F)c2)n1 ZINC000924924419 1128116780 /nfs/dbraw/zinc/11/67/80/1128116780.db2.gz FTRMCVFWLWAGBD-VHSXEESVSA-N 1 2 280.368 3.708 20 0 CHADLO Cc1[nH]c2c(cc(C)cc2C[NH2+][C@@H](C)c2ncco2)c1C ZINC000924960813 1128118088 /nfs/dbraw/zinc/11/80/88/1128118088.db2.gz NNTYQWWUJSJMRM-ZDUSSCGKSA-N 1 2 283.375 3.932 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(N2CCCC2)c1)c1ncco1 ZINC000925257043 1128126930 /nfs/dbraw/zinc/12/69/30/1128126930.db2.gz KYUIDDWSCHOFEL-KBPBESRZSA-N 1 2 285.391 3.687 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2cc(F)ccc21)c1ncco1 ZINC000925670131 1128136193 /nfs/dbraw/zinc/13/61/93/1128136193.db2.gz IOSXVUVXHJYRKD-HZMBPMFUSA-N 1 2 260.312 3.542 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2Nc1ccc([NH+](C)C)cc1C ZINC000925721482 1128138182 /nfs/dbraw/zinc/13/81/82/1128138182.db2.gz NYYKTJPSUPVFDP-OAHLLOKOSA-N 1 2 285.391 3.847 20 0 CHADLO CCOCc1cccc(N[C@@H](C)c2cn3c([nH+]2)CCCC3)c1 ZINC000925917472 1128146028 /nfs/dbraw/zinc/14/60/28/1128146028.db2.gz FUPQZNXIMJJFAU-AWEZNQCLSA-N 1 2 299.418 3.929 20 0 CHADLO CCOC(=O)[C@@H](Cc1ccc(Cl)cc1)[NH2+]C(CC)CC ZINC001257290886 1128143146 /nfs/dbraw/zinc/14/31/46/1128143146.db2.gz LVEBJOGFPIYADK-OAHLLOKOSA-N 1 2 297.826 3.592 20 0 CHADLO Cc1cc(NC2CC3(C2)COC(C)(C)C3)ccc1[NH+](C)C ZINC000926052793 1128150923 /nfs/dbraw/zinc/15/09/23/1128150923.db2.gz OLSNHTMNKCXMGA-UHFFFAOYSA-N 1 2 288.435 3.821 20 0 CHADLO Cc1cc([NH2+]C2CC3(C2)COC(C)(C)C3)ccc1N(C)C ZINC000926052793 1128150924 /nfs/dbraw/zinc/15/09/24/1128150924.db2.gz OLSNHTMNKCXMGA-UHFFFAOYSA-N 1 2 288.435 3.821 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2Nc1ccc2c(c1)CCC[N@H+]2C ZINC000926065424 1128152572 /nfs/dbraw/zinc/15/25/72/1128152572.db2.gz AOJQKQPCNDFOFZ-HNNXBMFYSA-N 1 2 297.402 3.855 20 0 CHADLO Cc1nc2c(o1)CCC[C@@H]2Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000926065424 1128152573 /nfs/dbraw/zinc/15/25/73/1128152573.db2.gz AOJQKQPCNDFOFZ-HNNXBMFYSA-N 1 2 297.402 3.855 20 0 CHADLO CC(C)c1nsc(C[N@@H+]2CCS[C@H]3CCCC[C@@H]32)n1 ZINC000929816870 1128157320 /nfs/dbraw/zinc/15/73/20/1128157320.db2.gz LOXNWMBSEQKYGK-RYUDHWBXSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)c1nsc(C[N@H+]2CCS[C@H]3CCCC[C@@H]32)n1 ZINC000929816870 1128157323 /nfs/dbraw/zinc/15/73/23/1128157323.db2.gz LOXNWMBSEQKYGK-RYUDHWBXSA-N 1 2 297.493 3.522 20 0 CHADLO COCC[C@H](c1ccccc1)[N@@H+]1CC[C@H](C)C(F)(F)C1 ZINC000494222455 1128160286 /nfs/dbraw/zinc/16/02/86/1128160286.db2.gz GAAXABYRVVKDSH-DZGCQCFKSA-N 1 2 283.362 3.741 20 0 CHADLO COCC[C@H](c1ccccc1)[N@H+]1CC[C@H](C)C(F)(F)C1 ZINC000494222455 1128160288 /nfs/dbraw/zinc/16/02/88/1128160288.db2.gz GAAXABYRVVKDSH-DZGCQCFKSA-N 1 2 283.362 3.741 20 0 CHADLO COCC[C@H](c1ccccc1)[N@@H+]1CC[C@@H](C)C(F)(F)C1 ZINC000494222457 1128160522 /nfs/dbraw/zinc/16/05/22/1128160522.db2.gz GAAXABYRVVKDSH-UKRRQHHQSA-N 1 2 283.362 3.741 20 0 CHADLO COCC[C@H](c1ccccc1)[N@H+]1CC[C@@H](C)C(F)(F)C1 ZINC000494222457 1128160523 /nfs/dbraw/zinc/16/05/23/1128160523.db2.gz GAAXABYRVVKDSH-UKRRQHHQSA-N 1 2 283.362 3.741 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@@H](C)c1cc2ccccc2o1 ZINC000926249905 1128163474 /nfs/dbraw/zinc/16/34/74/1128163474.db2.gz JJSSQWSDEGEPHW-VHSXEESVSA-N 1 2 271.320 3.536 20 0 CHADLO CCc1noc([C@H](C)[N@H+](C)C/C(C)=C\c2ccccc2)n1 ZINC000494233799 1128167230 /nfs/dbraw/zinc/16/72/30/1128167230.db2.gz KWJZDFPEEGMUQS-FZDNWWAKSA-N 1 2 285.391 3.728 20 0 CHADLO CCc1noc([C@H](C)[N@@H+](C)C/C(C)=C\c2ccccc2)n1 ZINC000494233799 1128167232 /nfs/dbraw/zinc/16/72/32/1128167232.db2.gz KWJZDFPEEGMUQS-FZDNWWAKSA-N 1 2 285.391 3.728 20 0 CHADLO CCCc1csc(C[NH2+]Cc2ccc(F)cc2F)n1 ZINC000494323611 1128169861 /nfs/dbraw/zinc/16/98/61/1128169861.db2.gz UZZSRBCRVMXJKP-UHFFFAOYSA-N 1 2 282.359 3.664 20 0 CHADLO Cc1[nH+]c[nH]c1CC(=O)Nc1cccc2c1[C@H](C)CC2(C)C ZINC000928586294 1128244027 /nfs/dbraw/zinc/24/40/27/1128244027.db2.gz MWCQZPMZIKASPY-LLVKDONJSA-N 1 2 297.402 3.684 20 0 CHADLO Fc1cncc(F)c1C[N@@H+]1CCC[C@H]1c1cccs1 ZINC000929131394 1128259196 /nfs/dbraw/zinc/25/91/96/1128259196.db2.gz VCDHGALCFHNWDN-ZDUSSCGKSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cncc(F)c1C[N@H+]1CCC[C@H]1c1cccs1 ZINC000929131394 1128259198 /nfs/dbraw/zinc/25/91/98/1128259198.db2.gz VCDHGALCFHNWDN-ZDUSSCGKSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cncc(F)c1C[N@@H+]1CCC[C@@H]1c1cccs1 ZINC000929131393 1128259686 /nfs/dbraw/zinc/25/96/86/1128259686.db2.gz VCDHGALCFHNWDN-CYBMUJFWSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1cncc(F)c1C[N@H+]1CCC[C@@H]1c1cccs1 ZINC000929131393 1128259689 /nfs/dbraw/zinc/25/96/89/1128259689.db2.gz VCDHGALCFHNWDN-CYBMUJFWSA-N 1 2 280.343 3.758 20 0 CHADLO C[C@H](c1ncccn1)[N@H+](C)Cc1ccc(C(F)(F)F)cc1 ZINC000929138458 1128260042 /nfs/dbraw/zinc/26/00/42/1128260042.db2.gz JRSIPGPACJESRN-LLVKDONJSA-N 1 2 295.308 3.688 20 0 CHADLO C[C@H](c1ncccn1)[N@@H+](C)Cc1ccc(C(F)(F)F)cc1 ZINC000929138458 1128260044 /nfs/dbraw/zinc/26/00/44/1128260044.db2.gz JRSIPGPACJESRN-LLVKDONJSA-N 1 2 295.308 3.688 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc(Cl)cs1)CC2 ZINC000494920402 1128212533 /nfs/dbraw/zinc/21/25/33/1128212533.db2.gz SEJPPUMCDLOIBG-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc(Cl)cs1)CC2 ZINC000494920402 1128212535 /nfs/dbraw/zinc/21/25/35/1128212535.db2.gz SEJPPUMCDLOIBG-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO c1nc2c(s1)CCC[C@@H]2[NH2+][C@H]1CCCc2cccnc21 ZINC000927062323 1128213158 /nfs/dbraw/zinc/21/31/58/1128213158.db2.gz LHEFDNIXNUNMMB-STQMWFEESA-N 1 2 285.416 3.583 20 0 CHADLO CN(C)c1cc(CN(C)c2ccc(F)c(Cl)c2)cc[nH+]1 ZINC000754235704 1128220352 /nfs/dbraw/zinc/22/03/52/1128220352.db2.gz SZPXDMTWBVTMNI-UHFFFAOYSA-N 1 2 293.773 3.577 20 0 CHADLO Cc1cc(N[C@@H]2CC(C)(C)OC2(C)C)ccc1[NH+](C)C ZINC000777643579 1128233366 /nfs/dbraw/zinc/23/33/66/1128233366.db2.gz PRTRDEPKFFTGMM-OAHLLOKOSA-N 1 2 276.424 3.819 20 0 CHADLO CC(C)[C@@H](C)[NH2+]c1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000777659832 1128234209 /nfs/dbraw/zinc/23/42/09/1128234209.db2.gz MQYRCPQDKLLIMH-RBSFLKMASA-N 1 2 276.424 3.757 20 0 CHADLO CC[C@H]1CCC[N@H+](Cn2nc(C)n(C3CC3)c2=S)CC1 ZINC000153329199 1128236415 /nfs/dbraw/zinc/23/64/15/1128236415.db2.gz BPQWYSAQVRJUCO-ZDUSSCGKSA-N 1 2 294.468 3.527 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cn2nc(C)n(C3CC3)c2=S)CC1 ZINC000153329199 1128236418 /nfs/dbraw/zinc/23/64/18/1128236418.db2.gz BPQWYSAQVRJUCO-ZDUSSCGKSA-N 1 2 294.468 3.527 20 0 CHADLO CC[C@@H]1CCC[C@@H]1[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000777692876 1128243741 /nfs/dbraw/zinc/24/37/41/1128243741.db2.gz KKXYPBWOZJUJJN-RKVPGOIHSA-N 1 2 288.435 3.902 20 0 CHADLO C[C@H]1CC2(CCC2)C[N@@H+]1Cn1nc(C2CC2)sc1=S ZINC000762140865 1128273733 /nfs/dbraw/zinc/27/37/33/1128273733.db2.gz VXYKBTXCKYYFOH-JTQLQIEISA-N 1 2 295.477 3.773 20 0 CHADLO C[C@H]1CC2(CCC2)C[N@H+]1Cn1nc(C2CC2)sc1=S ZINC000762140865 1128273735 /nfs/dbraw/zinc/27/37/35/1128273735.db2.gz VXYKBTXCKYYFOH-JTQLQIEISA-N 1 2 295.477 3.773 20 0 CHADLO CNc1ccc(Nc2c(C)cnn2-c2ccc(F)cc2)c[nH+]1 ZINC001203451713 1128285717 /nfs/dbraw/zinc/28/57/17/1128285717.db2.gz AKSPSIUJWVVEIH-UHFFFAOYSA-N 1 2 297.337 3.500 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000929766488 1128286353 /nfs/dbraw/zinc/28/63/53/1128286353.db2.gz MZRJQNIUPKYNEH-VXGBXAGGSA-N 1 2 256.324 3.859 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1Cc2ccccc2[C@H]1C ZINC000929766488 1128286355 /nfs/dbraw/zinc/28/63/55/1128286355.db2.gz MZRJQNIUPKYNEH-VXGBXAGGSA-N 1 2 256.324 3.859 20 0 CHADLO CC(C)c1nsc(C[NH2+]C(C)(C)c2ccc(F)cc2)n1 ZINC000929964344 1128295609 /nfs/dbraw/zinc/29/56/09/1128295609.db2.gz XRJSRQWGINUOCL-UHFFFAOYSA-N 1 2 293.411 3.826 20 0 CHADLO C[C@H](c1ncccn1)[N@H+]1CC=C(c2ccc(Cl)cc2)CC1 ZINC000930021525 1128297708 /nfs/dbraw/zinc/29/77/08/1128297708.db2.gz JXXBRJVCJBWYPF-CYBMUJFWSA-N 1 2 299.805 3.980 20 0 CHADLO C[C@H](c1ncccn1)[N@@H+]1CC=C(c2ccc(Cl)cc2)CC1 ZINC000930021525 1128297711 /nfs/dbraw/zinc/29/77/11/1128297711.db2.gz JXXBRJVCJBWYPF-CYBMUJFWSA-N 1 2 299.805 3.980 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccn1)c1cccc(C(F)(F)F)c1 ZINC000930182958 1128304181 /nfs/dbraw/zinc/30/41/81/1128304181.db2.gz LSSBFVDKPMQPSY-MNOVXSKESA-N 1 2 295.308 3.907 20 0 CHADLO COc1nnc(C[NH2+][C@H](C)c2cccc3ccccc32)s1 ZINC000930184200 1128304750 /nfs/dbraw/zinc/30/47/50/1128304750.db2.gz XHAUMDRJGZQABB-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO COc1cccc([C@@H](C)[NH2+]Cc2coc(C(C)(C)C)n2)c1 ZINC000930189567 1128305752 /nfs/dbraw/zinc/30/57/52/1128305752.db2.gz JOGQRQQEYFEQSJ-GFCCVEGCSA-N 1 2 288.391 3.832 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(F)cncc1F)c1ccc(F)cc1F ZINC000930222759 1128308754 /nfs/dbraw/zinc/30/87/54/1128308754.db2.gz RZOSSHRCJIKYIX-OAHLLOKOSA-N 1 2 298.283 3.879 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1Cc1cc(C)cc(C)n1 ZINC000930308850 1128313456 /nfs/dbraw/zinc/31/34/56/1128313456.db2.gz TUGGRXGXXDJPNI-KRWDZBQOSA-N 1 2 298.434 3.642 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1Cc1cc(C)cc(C)n1 ZINC000930308850 1128313460 /nfs/dbraw/zinc/31/34/60/1128313460.db2.gz TUGGRXGXXDJPNI-KRWDZBQOSA-N 1 2 298.434 3.642 20 0 CHADLO CC(=O)Nc1cccc([C@H](C)Nc2ccc([NH+](C)C)cc2)c1 ZINC000237534668 1128322662 /nfs/dbraw/zinc/32/26/62/1128322662.db2.gz ZSGFIEBFSFPIHO-ZDUSSCGKSA-N 1 2 297.402 3.884 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCC[C@@H](c2ccn[nH]2)C1 ZINC000193624046 1128323233 /nfs/dbraw/zinc/32/32/33/1128323233.db2.gz ZUTDMOLBEKUKNZ-OAHLLOKOSA-N 1 2 299.418 3.577 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCC[C@@H](c2ccn[nH]2)C1 ZINC000193624046 1128323237 /nfs/dbraw/zinc/32/32/37/1128323237.db2.gz ZUTDMOLBEKUKNZ-OAHLLOKOSA-N 1 2 299.418 3.577 20 0 CHADLO FC(F)[C@@H]([NH2+]C[C@H]1C[C@@H]2[C@H](C1)C2(F)F)c1ccccc1 ZINC000930566376 1128325280 /nfs/dbraw/zinc/32/52/80/1128325280.db2.gz UGRDNRFZHQUHQA-RYDUCSDGSA-N 1 2 287.300 3.874 20 0 CHADLO CC1CC[NH+](Cc2ccc(Cl)nc2Cl)CC1 ZINC000232836973 1128326187 /nfs/dbraw/zinc/32/61/87/1128326187.db2.gz XEIQFKIXYGKAMJ-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO c1ccc2cc(N3CCC(OC4CCC4)CC3)[nH+]cc2c1 ZINC000631313108 1128326521 /nfs/dbraw/zinc/32/65/21/1128326521.db2.gz KAAORPNIQSVQDV-UHFFFAOYSA-N 1 2 282.387 3.773 20 0 CHADLO O=C(/C=C\c1cccs1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000915672937 1128333283 /nfs/dbraw/zinc/33/32/83/1128333283.db2.gz LAWZXRWAHLRLCH-SREVYHEPSA-N 1 2 295.367 3.586 20 0 CHADLO C[C@@H](c1ncccn1)[N@@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000930823963 1128336170 /nfs/dbraw/zinc/33/61/70/1128336170.db2.gz ABSNUSJMNHNZSV-DOTOQJQBSA-N 1 2 291.398 3.931 20 0 CHADLO C[C@@H](c1ncccn1)[N@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000930823963 1128336174 /nfs/dbraw/zinc/33/61/74/1128336174.db2.gz ABSNUSJMNHNZSV-DOTOQJQBSA-N 1 2 291.398 3.931 20 0 CHADLO CC1(C)C[C@]1([NH2+]Cc1c(F)cncc1F)c1ccccc1 ZINC000931080390 1128346643 /nfs/dbraw/zinc/34/66/43/1128346643.db2.gz YMIRSVKLNUAFOK-KRWDZBQOSA-N 1 2 288.341 3.775 20 0 CHADLO O=C(C[C@H]1CC=CCC1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000931270788 1128355019 /nfs/dbraw/zinc/35/50/19/1128355019.db2.gz RGXJEDADMOSSMP-ZDUSSCGKSA-N 1 2 281.359 3.762 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1nc(C2CCC2)no1 ZINC000932561948 1128414320 /nfs/dbraw/zinc/41/43/20/1128414320.db2.gz GAXMFADVABQUBX-LLVKDONJSA-N 1 2 289.354 3.635 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC000932556511 1128414477 /nfs/dbraw/zinc/41/44/77/1128414477.db2.gz PZXQEPWLGDEFRB-LLVKDONJSA-N 1 2 291.370 3.665 20 0 CHADLO CCc1nc(C[N@H+](C2CC2)C2CCC(CC)CC2)no1 ZINC000054317446 1128360670 /nfs/dbraw/zinc/36/06/70/1128360670.db2.gz QGAJIULMAFBKJQ-UHFFFAOYSA-N 1 2 277.412 3.565 20 0 CHADLO CCc1nc(C[N@@H+](C2CC2)C2CCC(CC)CC2)no1 ZINC000054317446 1128360674 /nfs/dbraw/zinc/36/06/74/1128360674.db2.gz QGAJIULMAFBKJQ-UHFFFAOYSA-N 1 2 277.412 3.565 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2ncc(C(C)C)o2)cc1C ZINC000932569891 1128415208 /nfs/dbraw/zinc/41/52/08/1128415208.db2.gz AJGUQNPDHLINPT-ZDUSSCGKSA-N 1 2 288.391 3.966 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000562084910 1128378334 /nfs/dbraw/zinc/37/83/34/1128378334.db2.gz XPAHKAQRPBVWRG-ZDUSSCGKSA-N 1 2 288.395 3.671 20 0 CHADLO CCc1cc(N[C@H](C)CCc2ccco2)nc(CC)[nH+]1 ZINC001649308743 1128378481 /nfs/dbraw/zinc/37/84/81/1128378481.db2.gz BONRFMYKFKVXLJ-GFCCVEGCSA-N 1 2 273.380 3.628 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N(CC1CC1)C1CCCCC1 ZINC000931771601 1128380904 /nfs/dbraw/zinc/38/09/04/1128380904.db2.gz HFUGLHQJBMCMOD-UHFFFAOYSA-N 1 2 297.402 3.748 20 0 CHADLO CC[C@@H](C)[C@@H](C)N(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CC1 ZINC000932049014 1128393696 /nfs/dbraw/zinc/39/36/96/1128393696.db2.gz QXNDAJIBEVMIKG-VXGBXAGGSA-N 1 2 285.391 3.602 20 0 CHADLO CC(C)(C)c1cc(C[NH2+][C@@H](c2ccccn2)C2CC2)no1 ZINC000932383979 1128407241 /nfs/dbraw/zinc/40/72/41/1128407241.db2.gz PDZVKSYFGGHJII-MRXNPFEDSA-N 1 2 285.391 3.608 20 0 CHADLO CCc1nc(C[N@@H+](Cc2ccccn2)CC(C)C)cs1 ZINC000932443300 1128410223 /nfs/dbraw/zinc/41/02/23/1128410223.db2.gz JGVRFZIUFWZKHM-UHFFFAOYSA-N 1 2 289.448 3.759 20 0 CHADLO CCc1nc(C[N@H+](Cc2ccccn2)CC(C)C)cs1 ZINC000932443300 1128410225 /nfs/dbraw/zinc/41/02/25/1128410225.db2.gz JGVRFZIUFWZKHM-UHFFFAOYSA-N 1 2 289.448 3.759 20 0 CHADLO COc1ccc([NH2+][C@H](C)C2CCSCC2)c(OC)c1 ZINC000187424218 1128410660 /nfs/dbraw/zinc/41/06/60/1128410660.db2.gz DFVZWGUVGPPGKO-LLVKDONJSA-N 1 2 281.421 3.647 20 0 CHADLO CC(C)C[C@H](NC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000562850716 1128423955 /nfs/dbraw/zinc/42/39/55/1128423955.db2.gz HUTSHTJRIIZJPI-RDJZCZTQSA-N 1 2 299.418 3.738 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC2CC(C)(C)C2)c(C)[nH+]1 ZINC000562892768 1128427697 /nfs/dbraw/zinc/42/76/97/1128427697.db2.gz OUYMDEYWSRAZBW-UHFFFAOYSA-N 1 2 275.396 3.565 20 0 CHADLO Cc1csc(C[C@@H]2CC[N@H+](C/C(Cl)=C/Cl)C2)n1 ZINC000933122983 1128430617 /nfs/dbraw/zinc/43/06/17/1128430617.db2.gz JETDWNMSZYFGKN-QIMWGGGBSA-N 1 2 291.247 3.635 20 0 CHADLO Cc1csc(C[C@@H]2CC[N@@H+](C/C(Cl)=C/Cl)C2)n1 ZINC000933122983 1128430620 /nfs/dbraw/zinc/43/06/20/1128430620.db2.gz JETDWNMSZYFGKN-QIMWGGGBSA-N 1 2 291.247 3.635 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)c2ncc(C)o2)cc1C ZINC000933486137 1128436551 /nfs/dbraw/zinc/43/65/51/1128436551.db2.gz VOQZSYRWXIHKKS-CHWSQXEVSA-N 1 2 274.364 3.712 20 0 CHADLO CCc1ccccc1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000124639377 1128445530 /nfs/dbraw/zinc/44/55/30/1128445530.db2.gz QMPVGSNZPLXWFM-UHFFFAOYSA-N 1 2 291.354 3.891 20 0 CHADLO c1cnc2c(c1)C[N@@H+](Cc1ccc(C3CCC3)cc1)C2 ZINC000563023549 1128446610 /nfs/dbraw/zinc/44/66/10/1128446610.db2.gz JYWCNIGEXWMQLP-UHFFFAOYSA-N 1 2 264.372 3.865 20 0 CHADLO c1cnc2c(c1)C[N@H+](Cc1ccc(C3CCC3)cc1)C2 ZINC000563023549 1128446611 /nfs/dbraw/zinc/44/66/11/1128446611.db2.gz JYWCNIGEXWMQLP-UHFFFAOYSA-N 1 2 264.372 3.865 20 0 CHADLO CNc1ccc(Nc2cccc(-c3cccnc3)c2)c[nH+]1 ZINC001203454440 1128448268 /nfs/dbraw/zinc/44/82/68/1128448268.db2.gz DSHOZTMEWPUEMZ-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO FC(F)(F)c1cncc(C[N@H+](Cc2ccoc2)C2CC2)c1 ZINC000934005933 1128449502 /nfs/dbraw/zinc/44/95/02/1128449502.db2.gz WGAXKVCRWQDAPI-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1cncc(C[N@@H+](Cc2ccoc2)C2CC2)c1 ZINC000934005933 1128449504 /nfs/dbraw/zinc/44/95/04/1128449504.db2.gz WGAXKVCRWQDAPI-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO CO[C@@H]1CC[C@H]1[N@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000934126509 1128451690 /nfs/dbraw/zinc/45/16/90/1128451690.db2.gz UWWPYTQIQCZDBM-HUUCEWRRSA-N 1 2 294.464 3.800 20 0 CHADLO CO[C@@H]1CC[C@H]1[N@@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000934126509 1128451692 /nfs/dbraw/zinc/45/16/92/1128451692.db2.gz UWWPYTQIQCZDBM-HUUCEWRRSA-N 1 2 294.464 3.800 20 0 CHADLO CCC[C@](C)([NH2+]Cc1nc(CC2CC2)no1)c1ccccc1 ZINC000934179597 1128453295 /nfs/dbraw/zinc/45/32/95/1128453295.db2.gz HMYVKKPNZSJUEK-SFHVURJKSA-N 1 2 299.418 3.827 20 0 CHADLO Clc1ccc(C[NH2+][C@H](c2ncc[nH]2)c2ccccc2)cc1 ZINC000125507898 1128451224 /nfs/dbraw/zinc/45/12/24/1128451224.db2.gz ZJOHKHXNZYSYAB-INIZCTEOSA-N 1 2 297.789 3.942 20 0 CHADLO Cc1c(CNc2cccc[nH+]2)sc2nc(C)nc(C)c12 ZINC000916839765 1128457224 /nfs/dbraw/zinc/45/72/24/1128457224.db2.gz ZWJMOIRRNXEMGQ-UHFFFAOYSA-N 1 2 284.388 3.624 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccccc2)c(C)c1 ZINC000126062558 1128460760 /nfs/dbraw/zinc/46/07/60/1128460760.db2.gz JNZLXYOLXSTZFZ-GOSISDBHSA-N 1 2 291.398 3.906 20 0 CHADLO C[C@@H]1CC[C@H](CC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000126622470 1128465033 /nfs/dbraw/zinc/46/50/33/1128465033.db2.gz UPGGGNWSESCYLG-OLZOCXBDSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1noc([C@H](C)[N@H+]2CC=C(c3cccc(C)c3C)CC2)n1 ZINC000934845199 1128470466 /nfs/dbraw/zinc/47/04/66/1128470466.db2.gz QNMIXCVHDUCCAM-AWEZNQCLSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1noc([C@H](C)[N@@H+]2CC=C(c3cccc(C)c3C)CC2)n1 ZINC000934845199 1128470469 /nfs/dbraw/zinc/47/04/69/1128470469.db2.gz QNMIXCVHDUCCAM-AWEZNQCLSA-N 1 2 297.402 3.845 20 0 CHADLO CCC[C@H](C)[C@H]1CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934917985 1128473745 /nfs/dbraw/zinc/47/37/45/1128473745.db2.gz VGKXSZHOXNBHNX-BLLLJJGKSA-N 1 2 285.391 3.604 20 0 CHADLO CC[C@@H]1CCCC[N@@H+]1Cc1noc(C2CCCCC2)n1 ZINC000127679820 1128481588 /nfs/dbraw/zinc/48/15/88/1128481588.db2.gz AJQBRAXMBNKOAR-CQSZACIVSA-N 1 2 277.412 3.882 20 0 CHADLO CC[C@@H]1CCCC[N@H+]1Cc1noc(C2CCCCC2)n1 ZINC000127679820 1128481591 /nfs/dbraw/zinc/48/15/91/1128481591.db2.gz AJQBRAXMBNKOAR-CQSZACIVSA-N 1 2 277.412 3.882 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1coc(-c2ccccc2)n1 ZINC000127982735 1128485322 /nfs/dbraw/zinc/48/53/22/1128485322.db2.gz CYLKXLYPIDHRLO-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1coc(-c2ccccc2)n1 ZINC000127982735 1128485324 /nfs/dbraw/zinc/48/53/24/1128485324.db2.gz CYLKXLYPIDHRLO-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO Clc1ccc2c(c1)[C@@H]([NH2+]Cc1nccs1)CCCO2 ZINC000128127020 1128487134 /nfs/dbraw/zinc/48/71/34/1128487134.db2.gz NDMJPBNYJXXCTF-LBPRGKRZSA-N 1 2 294.807 3.800 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc2ccccc2c1)n1cc[nH+]c1 ZINC000917355593 1128495474 /nfs/dbraw/zinc/49/54/74/1128495474.db2.gz SCUVVLLPDWGWKW-ZDUSSCGKSA-N 1 2 279.343 3.626 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccc(C)c(C)n2)c(C)s1 ZINC000313860825 1128501880 /nfs/dbraw/zinc/50/18/80/1128501880.db2.gz WDYNLTQGWHKSHQ-NSHDSACASA-N 1 2 275.421 3.623 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](c2ccccc2)C(C)C)n1 ZINC000128687488 1128510760 /nfs/dbraw/zinc/51/07/60/1128510760.db2.gz RSOVUMAWSVALTR-QGZVFWFLSA-N 1 2 287.407 3.899 20 0 CHADLO CCC(CC)[N@H+](Cn1[nH]c(C(C)(C)C)nc1=S)C1CC1 ZINC000918178923 1128539001 /nfs/dbraw/zinc/53/90/01/1128539001.db2.gz MAYFBKPTVXMVPC-UHFFFAOYSA-N 1 2 296.484 3.849 20 0 CHADLO CCC(CC)[N@@H+](Cn1[nH]c(C(C)(C)C)nc1=S)C1CC1 ZINC000918178923 1128539003 /nfs/dbraw/zinc/53/90/03/1128539003.db2.gz MAYFBKPTVXMVPC-UHFFFAOYSA-N 1 2 296.484 3.849 20 0 CHADLO COc1cncc(/C=C\CC[N@@H+]2CCCC[C@@H]2C(F)F)c1 ZINC000566558744 1128546893 /nfs/dbraw/zinc/54/68/93/1128546893.db2.gz MWBBMZBQYHCPLU-ANPLFTBSSA-N 1 2 296.361 3.613 20 0 CHADLO COc1cncc(/C=C\CC[N@H+]2CCCC[C@@H]2C(F)F)c1 ZINC000566558744 1128546894 /nfs/dbraw/zinc/54/68/94/1128546894.db2.gz MWBBMZBQYHCPLU-ANPLFTBSSA-N 1 2 296.361 3.613 20 0 CHADLO CC(C)c1cc(NCCCC(F)(F)F)nc(C(C)C)[nH+]1 ZINC000566988578 1128555548 /nfs/dbraw/zinc/55/55/48/1128555548.db2.gz VILKUMYVNBSEJJ-UHFFFAOYSA-N 1 2 289.345 3.900 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1csnn1)CC1CCC1 ZINC000130013245 1128562045 /nfs/dbraw/zinc/56/20/45/1128562045.db2.gz MFDTZXLGNIEMJQ-CYBMUJFWSA-N 1 2 287.432 3.901 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1csnn1)CC1CCC1 ZINC000130013245 1128562046 /nfs/dbraw/zinc/56/20/46/1128562046.db2.gz MFDTZXLGNIEMJQ-CYBMUJFWSA-N 1 2 287.432 3.901 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccncc2)C2CC2)c(F)c1 ZINC000130251365 1128574590 /nfs/dbraw/zinc/57/45/90/1128574590.db2.gz XPFZPNQYLASSNK-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccncc2)C2CC2)c(F)c1 ZINC000130251365 1128574592 /nfs/dbraw/zinc/57/45/92/1128574592.db2.gz XPFZPNQYLASSNK-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO C[C@@H](CC(=O)Nc1cc(Cl)cc(Cl)c1)n1cc[nH+]c1 ZINC000556686825 1128614956 /nfs/dbraw/zinc/61/49/56/1128614956.db2.gz XNRSDJUQMWHLGC-VIFPVBQESA-N 1 2 298.173 3.780 20 0 CHADLO CC(C)[C@@H]1CCC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000568696628 1128590234 /nfs/dbraw/zinc/59/02/34/1128590234.db2.gz OVZKBOBFTKQBBS-IAGOWNOFSA-N 1 2 298.434 3.953 20 0 CHADLO Cc1nc(C)c(Cn2c[nH+]c(Cc3ccccc3)c2)s1 ZINC000919143405 1128592270 /nfs/dbraw/zinc/59/22/70/1128592270.db2.gz IUOVTBZIHKALGS-UHFFFAOYSA-N 1 2 283.400 3.596 20 0 CHADLO CCc1c(NCc2[nH]c(C)c(C)[nH+]2)cnn1C(CC)CC ZINC000569002029 1128596030 /nfs/dbraw/zinc/59/60/30/1128596030.db2.gz UNMSNYANFXVYDE-UHFFFAOYSA-N 1 2 289.427 3.759 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CC12CCCC2 ZINC000569062111 1128597404 /nfs/dbraw/zinc/59/74/04/1128597404.db2.gz LYRWDOUIANHFBE-AWEZNQCLSA-N 1 2 295.386 3.904 20 0 CHADLO CCCOc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000570577971 1128626636 /nfs/dbraw/zinc/62/66/36/1128626636.db2.gz DKOZVDGPGOSYFC-UHFFFAOYSA-N 1 2 256.349 3.675 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2ccc(C(F)F)cc2)n1 ZINC000570579320 1128626893 /nfs/dbraw/zinc/62/68/93/1128626893.db2.gz KKVUJQBYFFBOBJ-SECBINFHSA-N 1 2 281.306 3.509 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2ccc(C(F)F)cc2)n1 ZINC000570579320 1128626897 /nfs/dbraw/zinc/62/68/97/1128626897.db2.gz KKVUJQBYFFBOBJ-SECBINFHSA-N 1 2 281.306 3.509 20 0 CHADLO Cc1ccoc1CNc1ccc(N2CCCCC2)c[nH+]1 ZINC000131618014 1128630073 /nfs/dbraw/zinc/63/00/73/1128630073.db2.gz QMJHBQAUHFFOOP-UHFFFAOYSA-N 1 2 271.364 3.585 20 0 CHADLO Cc1cc(NC(=O)CCSc2ccccc2F)cc[nH+]1 ZINC000073069492 1128639533 /nfs/dbraw/zinc/63/95/33/1128639533.db2.gz ZRDKOVLWHJOACL-UHFFFAOYSA-N 1 2 290.363 3.650 20 0 CHADLO O=C(Nc1cccc(Cl)c1)c1ccc(-n2cc[nH+]c2)cc1 ZINC000073120350 1128639677 /nfs/dbraw/zinc/63/96/77/1128639677.db2.gz YQKARDQMDPWBTA-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccccc2Cl)[nH]c1C ZINC000572179806 1128643249 /nfs/dbraw/zinc/64/32/49/1128643249.db2.gz DKCYPIZNWWXNSV-NSHDSACASA-N 1 2 263.772 3.531 20 0 CHADLO Cc1[nH]c(CN[C@@H](C)c2ccccc2Cl)[nH+]c1C ZINC000572179806 1128643250 /nfs/dbraw/zinc/64/32/50/1128643250.db2.gz DKCYPIZNWWXNSV-NSHDSACASA-N 1 2 263.772 3.531 20 0 CHADLO Cc1cc(C[NH2+][C@H](COCC(C)C)c2ccco2)sn1 ZINC000638474307 1128654141 /nfs/dbraw/zinc/65/41/41/1128654141.db2.gz KHBGVHUWHWIDJO-CQSZACIVSA-N 1 2 294.420 3.548 20 0 CHADLO Cc1cc(C)c(NC(=O)C=C2CCCCC2)c(C)[nH+]1 ZINC000919992013 1128655866 /nfs/dbraw/zinc/65/58/66/1128655866.db2.gz OFPVKMJWECMPSL-UHFFFAOYSA-N 1 2 258.365 3.836 20 0 CHADLO CCc1[nH]ccc1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000575010153 1128665731 /nfs/dbraw/zinc/66/57/31/1128665731.db2.gz DYPFRNHCUIEJHJ-UHFFFAOYSA-N 1 2 294.358 3.528 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC000574723601 1128664290 /nfs/dbraw/zinc/66/42/90/1128664290.db2.gz ZPJQNYYOBMQMPN-KCQAQPDRSA-N 1 2 295.386 3.760 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1ccc(Cl)nc1 ZINC000158883003 1128672269 /nfs/dbraw/zinc/67/22/69/1128672269.db2.gz AQDHRANOSCCWEG-UHFFFAOYSA-N 1 2 286.766 3.717 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1cnc(Cl)cn1 ZINC000799122908 1128668126 /nfs/dbraw/zinc/66/81/26/1128668126.db2.gz IKECPYMZAVWJKW-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1cnc(Cl)cn1 ZINC000799122908 1128668129 /nfs/dbraw/zinc/66/81/29/1128668129.db2.gz IKECPYMZAVWJKW-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)[NH2+][C@H](C)c1ccn(C)n1 ZINC000576089288 1128668517 /nfs/dbraw/zinc/66/85/17/1128668517.db2.gz WVDKVTWHXZQODV-ZIAGYGMSSA-N 1 2 287.407 3.619 20 0 CHADLO Cc1cc(CO[NH+]=C(N)c2ccccc2C)c(C)s1 ZINC000920399534 1128680341 /nfs/dbraw/zinc/68/03/41/1128680341.db2.gz USQQRGKNQOJKMM-UHFFFAOYSA-N 1 2 274.389 3.720 20 0 CHADLO C[C@@H]1Cc2ccccc2[C@H]1[NH2+]Cc1n[nH]c2ccccc21 ZINC000600816194 1128683161 /nfs/dbraw/zinc/68/31/61/1128683161.db2.gz SRSFYIWABPVPMV-XIKOKIGWSA-N 1 2 277.371 3.586 20 0 CHADLO CSCCc1ccccc1NCc1[nH]c(C)c(C)[nH+]1 ZINC000601139251 1128700186 /nfs/dbraw/zinc/70/01/86/1128700186.db2.gz CDNMRWFREISODM-UHFFFAOYSA-N 1 2 275.421 3.544 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nccn2C(F)F)cc1 ZINC000078561579 1128721058 /nfs/dbraw/zinc/72/10/58/1128721058.db2.gz DIHVYQSLHWNZNY-GFCCVEGCSA-N 1 2 279.334 3.780 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nccn2C(F)F)cc1 ZINC000078561579 1128721062 /nfs/dbraw/zinc/72/10/62/1128721062.db2.gz DIHVYQSLHWNZNY-GFCCVEGCSA-N 1 2 279.334 3.780 20 0 CHADLO CC(C)c1nnc2n1CC[N@@H+](C[C@@H](C)c1ccccc1)[C@@H]2C ZINC000189539942 1117753345 /nfs/dbraw/zinc/75/33/45/1117753345.db2.gz JQCBVHBUUWVJGW-HUUCEWRRSA-N 1 2 298.434 3.582 20 0 CHADLO CC(C)c1nnc2n1CC[N@H+](C[C@@H](C)c1ccccc1)[C@@H]2C ZINC000189539942 1117753349 /nfs/dbraw/zinc/75/33/49/1117753349.db2.gz JQCBVHBUUWVJGW-HUUCEWRRSA-N 1 2 298.434 3.582 20 0 CHADLO Fc1cc(F)cc(NCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000035053684 1117764596 /nfs/dbraw/zinc/76/45/96/1117764596.db2.gz LSKFKWYZWTUQFB-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc3c(c2)C[C@@H](C)O3)c(C)[nH+]1 ZINC000622777005 1117766831 /nfs/dbraw/zinc/76/68/31/1117766831.db2.gz ZLAIMBPFKMTFHO-GFCCVEGCSA-N 1 2 296.370 3.583 20 0 CHADLO Cc1cc(CNC(=O)c2cccc(C(C)C)c2)cc(C)[nH+]1 ZINC000616363031 1117776174 /nfs/dbraw/zinc/77/61/74/1117776174.db2.gz DYZKWOLULPFAHY-UHFFFAOYSA-N 1 2 282.387 3.752 20 0 CHADLO CCC[C@@H]1[C@@H](C)CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000654840593 1117788703 /nfs/dbraw/zinc/78/87/03/1117788703.db2.gz AJWAMIAQMHPBJD-XJKSGUPXSA-N 1 2 299.418 3.684 20 0 CHADLO Cc1ccc2cc([C@@H](C)[NH2+]Cc3cocn3)oc2c1 ZINC000683159773 1117813475 /nfs/dbraw/zinc/81/34/75/1117813475.db2.gz HFALVHVXYUWNLX-LLVKDONJSA-N 1 2 256.305 3.580 20 0 CHADLO CSCc1cc[nH+]c(N2CC(c3ccccc3)C2)c1 ZINC001167063752 1117848060 /nfs/dbraw/zinc/84/80/60/1117848060.db2.gz DWYPCWUJABLXAE-UHFFFAOYSA-N 1 2 270.401 3.548 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nc(C3CCCCC3)cs2)CCO1 ZINC000932339397 1117854400 /nfs/dbraw/zinc/85/44/00/1117854400.db2.gz UAUWXGBCWVSPQZ-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nc(C3CCCCC3)cs2)CCO1 ZINC000932339397 1117854406 /nfs/dbraw/zinc/85/44/06/1117854406.db2.gz UAUWXGBCWVSPQZ-ZDUSSCGKSA-N 1 2 294.464 3.802 20 0 CHADLO Fc1cccnc1C[NH2+]Cc1ccsc1C(F)(F)F ZINC000623470668 1117859528 /nfs/dbraw/zinc/85/95/28/1117859528.db2.gz VVBFZHYWIMYKHR-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO Cc1[nH]ncc1C[N@@H+]1C[C@H]2CCCC[C@@]21c1ccccc1 ZINC000091963592 1117861665 /nfs/dbraw/zinc/86/16/65/1117861665.db2.gz XMWXMQSQEMCDLG-QZTJIDSGSA-N 1 2 281.403 3.619 20 0 CHADLO Cc1[nH]ncc1C[N@H+]1C[C@H]2CCCC[C@@]21c1ccccc1 ZINC000091963592 1117861668 /nfs/dbraw/zinc/86/16/68/1117861668.db2.gz XMWXMQSQEMCDLG-QZTJIDSGSA-N 1 2 281.403 3.619 20 0 CHADLO Cc1nc(-c2ccc([C@@H](C)[NH2+]Cc3cocn3)cc2)cs1 ZINC000683200429 1117865294 /nfs/dbraw/zinc/86/52/94/1117865294.db2.gz HPCYQDQPMQLEKI-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1cccc2c(C)cc(N3CC(c4cccnc4)C3)[nH+]c12 ZINC001167066882 1117865476 /nfs/dbraw/zinc/86/54/76/1117865476.db2.gz HYXBUYMUPLWTMJ-UHFFFAOYSA-N 1 2 289.382 3.850 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CC(c3cccnc3)C2)c1 ZINC001167067123 1117867978 /nfs/dbraw/zinc/86/79/78/1117867978.db2.gz WZUHEXBAFINQLU-UHFFFAOYSA-N 1 2 295.773 3.887 20 0 CHADLO FC1(F)CCCN(c2cc3ccccc3c[nH+]2)CC1 ZINC000631387119 1128741164 /nfs/dbraw/zinc/74/11/64/1128741164.db2.gz IDVQPQWWARBEAS-UHFFFAOYSA-N 1 2 262.303 3.860 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cc(Cl)ccc3C2)nc1 ZINC000361727572 1117897628 /nfs/dbraw/zinc/89/76/28/1117897628.db2.gz MZPGWPVKABWTFK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cc(Cl)ccc3C2)nc1 ZINC000361727572 1117897632 /nfs/dbraw/zinc/89/76/32/1117897632.db2.gz MZPGWPVKABWTFK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC1(C)CCC[N@@H+]1Cc1sc(Cl)nc1Cl ZINC001139952896 1117908461 /nfs/dbraw/zinc/90/84/61/1117908461.db2.gz BTZQIVMRBVSBKN-UHFFFAOYSA-N 1 2 265.209 3.824 20 0 CHADLO CC1(C)CCC[N@H+]1Cc1sc(Cl)nc1Cl ZINC001139952896 1117908475 /nfs/dbraw/zinc/90/84/75/1117908475.db2.gz BTZQIVMRBVSBKN-UHFFFAOYSA-N 1 2 265.209 3.824 20 0 CHADLO F[C@@H]1C[NH+](CCCc2ccc(C(F)(F)F)cc2)C[C@H]1F ZINC001208686585 1117913248 /nfs/dbraw/zinc/91/32/48/1117913248.db2.gz PZXKYADKTVZTOY-CHWSQXEVSA-N 1 2 293.279 3.630 20 0 CHADLO CC(C)=CCC[C@H](C)Nc1cc(CSCCO)cc[nH+]1 ZINC000179799562 1117913795 /nfs/dbraw/zinc/91/37/95/1117913795.db2.gz JDWZRJPBFVZENT-AWEZNQCLSA-N 1 2 294.464 3.854 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](C)c2ccc(OC(C)C)cc2)n1 ZINC000615320382 1128744410 /nfs/dbraw/zinc/74/44/10/1128744410.db2.gz LOTGWUDEZLQFQN-NEPJUHHUSA-N 1 2 289.379 3.577 20 0 CHADLO c1ncc(C[NH+](Cc2ccccc2)Cc2ccccc2)[nH]1 ZINC000179505629 1117941191 /nfs/dbraw/zinc/94/11/91/1117941191.db2.gz RAAUGYKEZYJNPV-UHFFFAOYSA-N 1 2 277.371 3.612 20 0 CHADLO c1nc(C[NH+](Cc2ccccc2)Cc2ccccc2)c[nH]1 ZINC000179505629 1117941192 /nfs/dbraw/zinc/94/11/92/1117941192.db2.gz RAAUGYKEZYJNPV-UHFFFAOYSA-N 1 2 277.371 3.612 20 0 CHADLO Cc1ccnc(C[N@H+](Cc2ccc(C)c(C)c2)C2CC2)n1 ZINC000339660400 1117950216 /nfs/dbraw/zinc/95/02/16/1117950216.db2.gz FYPMILCSBAGMLJ-UHFFFAOYSA-N 1 2 281.403 3.566 20 0 CHADLO Cc1ccnc(C[N@@H+](Cc2ccc(C)c(C)c2)C2CC2)n1 ZINC000339660400 1117950222 /nfs/dbraw/zinc/95/02/22/1117950222.db2.gz FYPMILCSBAGMLJ-UHFFFAOYSA-N 1 2 281.403 3.566 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@@H]1CCCc2scnc21 ZINC000623908467 1117954045 /nfs/dbraw/zinc/95/40/45/1117954045.db2.gz CDRBBHOMLSHGIA-CYBMUJFWSA-N 1 2 288.416 3.627 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@H]1CCCc3scnc31)CO2 ZINC000623920294 1117956937 /nfs/dbraw/zinc/95/69/37/1117956937.db2.gz HMRCJDLATCREFC-QWHCGFSZSA-N 1 2 286.400 3.552 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1ccc(F)cc1C(F)(F)F ZINC000623937891 1117958123 /nfs/dbraw/zinc/95/81/23/1117958123.db2.gz XJSAMPUDYUSEMF-MRVPVSSYSA-N 1 2 288.244 3.683 20 0 CHADLO COCC[C@H]([NH2+][C@H](C)c1nc(C)cs1)c1ccc(C)o1 ZINC000623956805 1117961472 /nfs/dbraw/zinc/96/14/72/1117961472.db2.gz RINVULSRCVQNBZ-OLZOCXBDSA-N 1 2 294.420 3.781 20 0 CHADLO CC1=C[C@@H](C)C[N@H+](Cc2ncc(Cl)cc2Cl)C1 ZINC000430269654 1117963978 /nfs/dbraw/zinc/96/39/78/1117963978.db2.gz RPDOCJBZTDQPNF-SECBINFHSA-N 1 2 271.191 3.786 20 0 CHADLO COc1c(C)cccc1C[NH2+][C@H]1CCCc2scnc21 ZINC000624008611 1117972160 /nfs/dbraw/zinc/97/21/60/1117972160.db2.gz BKFVWDQKFMKZMA-ZDUSSCGKSA-N 1 2 288.416 3.627 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(Br)c1)C(F)F ZINC000228489145 1117984640 /nfs/dbraw/zinc/98/46/40/1117984640.db2.gz BTZWMAZDQZWJAG-JGVFFNPUSA-N 1 2 278.140 3.753 20 0 CHADLO CCC(F)(F)C[NH2+][C@H]1CCCNc2ccccc21 ZINC000624149156 1117990241 /nfs/dbraw/zinc/99/02/41/1117990241.db2.gz XPQABHZECGAXJA-ZDUSSCGKSA-N 1 2 254.324 3.568 20 0 CHADLO Cc1ccc(N[C@@H]2CCc3c2cccc3F)c(C)[nH+]1 ZINC000214820393 1117991842 /nfs/dbraw/zinc/99/18/42/1117991842.db2.gz TWCMKRVJGJESJP-MRXNPFEDSA-N 1 2 256.324 3.937 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CCCC2)no1)c1ccccc1 ZINC000181379148 1117998742 /nfs/dbraw/zinc/99/87/42/1117998742.db2.gz XKHHIFKTGLIZJH-GFCCVEGCSA-N 1 2 271.364 3.578 20 0 CHADLO COc1ccccc1[C@@H](C)N(C)c1[nH+]c(C)ccc1OC ZINC001167106337 1118022250 /nfs/dbraw/zinc/02/22/50/1118022250.db2.gz RXHKTGWHSPQEIP-CYBMUJFWSA-N 1 2 286.375 3.605 20 0 CHADLO COc1ccccc1[C@@H](C)N(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC001167107796 1118022778 /nfs/dbraw/zinc/02/27/78/1118022778.db2.gz YSNWNEBNQCCWTO-CYBMUJFWSA-N 1 2 297.402 3.868 20 0 CHADLO CC[C@H]([NH2+]Cc1c(F)cccc1F)c1c(C)noc1C ZINC000182519081 1118023896 /nfs/dbraw/zinc/02/38/96/1118023896.db2.gz ASGNRYPHFKZCHL-AWEZNQCLSA-N 1 2 280.318 3.811 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCS[C@H](C)[C@@H]2C)s1 ZINC000183082946 1118038205 /nfs/dbraw/zinc/03/82/05/1118038205.db2.gz FDQKKIKRHGLISD-WDEREUQCSA-N 1 2 270.467 3.592 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCS[C@H](C)[C@@H]2C)s1 ZINC000183082946 1118038210 /nfs/dbraw/zinc/03/82/10/1118038210.db2.gz FDQKKIKRHGLISD-WDEREUQCSA-N 1 2 270.467 3.592 20 0 CHADLO Cc1cccc(-c2noc([C@H](CC(C)C)n3cc[nH+]c3)n2)c1 ZINC000923771985 1118039609 /nfs/dbraw/zinc/03/96/09/1118039609.db2.gz KBUWBSXBDOMFCE-HNNXBMFYSA-N 1 2 296.374 3.877 20 0 CHADLO CCOc1ncccc1C[NH2+][C@@H](C)c1cccc(F)c1F ZINC000183220387 1118040288 /nfs/dbraw/zinc/04/02/88/1118040288.db2.gz YZUIQDNIPXKFPH-NSHDSACASA-N 1 2 292.329 3.609 20 0 CHADLO Cc1ccc2nc(N3CCC(n4cc[nH+]c4)CC3)ccc2c1 ZINC001167126750 1118042773 /nfs/dbraw/zinc/04/27/73/1118042773.db2.gz MLKXOSFMCHHCEX-UHFFFAOYSA-N 1 2 292.386 3.581 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000183705998 1118048097 /nfs/dbraw/zinc/04/80/97/1118048097.db2.gz XUTWRBBWPFERLT-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000183705998 1118048100 /nfs/dbraw/zinc/04/81/00/1118048100.db2.gz XUTWRBBWPFERLT-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183705998 1118048107 /nfs/dbraw/zinc/04/81/07/1118048107.db2.gz XUTWRBBWPFERLT-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000183705998 1118048113 /nfs/dbraw/zinc/04/81/13/1118048113.db2.gz XUTWRBBWPFERLT-CQSZACIVSA-N 1 2 292.386 3.665 20 0 CHADLO O=c1cc(Nc2cc[nH+]c3cc(Cl)ccc23)cc[nH]1 ZINC001212269435 1128753391 /nfs/dbraw/zinc/75/33/91/1128753391.db2.gz HRNNRUDKSMEURL-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1Cc1cc(Cl)ccc1SC ZINC001238676092 1118070479 /nfs/dbraw/zinc/07/04/79/1118070479.db2.gz IEHOLUQAXCQXCS-CYBMUJFWSA-N 1 2 285.840 3.673 20 0 CHADLO CC[C@@H]1COCC[N@H+]1Cc1cc(Cl)ccc1SC ZINC001238676092 1118070482 /nfs/dbraw/zinc/07/04/82/1118070482.db2.gz IEHOLUQAXCQXCS-CYBMUJFWSA-N 1 2 285.840 3.673 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(Cl)ccc2F)CCC1(F)F ZINC000579889429 1118080858 /nfs/dbraw/zinc/08/08/58/1118080858.db2.gz WIMWQSKTHKSQGM-VIFPVBQESA-N 1 2 277.717 3.956 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(Cl)ccc2F)CCC1(F)F ZINC000579889429 1118080860 /nfs/dbraw/zinc/08/08/60/1118080860.db2.gz WIMWQSKTHKSQGM-VIFPVBQESA-N 1 2 277.717 3.956 20 0 CHADLO Cc1cc(N2CC3(C2)CCCCC3)nc(C(C)(C)C)[nH+]1 ZINC001167179594 1118086541 /nfs/dbraw/zinc/08/65/41/1118086541.db2.gz CKIQEVVZTCXMSS-UHFFFAOYSA-N 1 2 273.424 3.853 20 0 CHADLO CC(C)(C)OC1CC([NH2+]CC(F)(F)c2ccccc2)C1 ZINC000579652017 1128755149 /nfs/dbraw/zinc/75/51/49/1128755149.db2.gz MKORPRJAETZKOG-UHFFFAOYSA-N 1 2 283.362 3.714 20 0 CHADLO Cc1conc1C[N@H+](Cc1ccccc1F)CC(C)C ZINC001167240621 1118115333 /nfs/dbraw/zinc/11/53/33/1118115333.db2.gz HWTHORGPDJJUFW-UHFFFAOYSA-N 1 2 276.355 3.780 20 0 CHADLO Cc1conc1C[N@@H+](Cc1ccccc1F)CC(C)C ZINC001167240621 1118115335 /nfs/dbraw/zinc/11/53/35/1118115335.db2.gz HWTHORGPDJJUFW-UHFFFAOYSA-N 1 2 276.355 3.780 20 0 CHADLO Cc1ccc(CNc2[nH+]c3ccccc3n2C2CC2)cn1 ZINC000764921418 1118125351 /nfs/dbraw/zinc/12/53/51/1118125351.db2.gz UESFGMDFYDJUHP-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCc3c2cc(F)cc3F)cs1 ZINC000121111076 1118128715 /nfs/dbraw/zinc/12/87/15/1118128715.db2.gz JMVLOERQJNKTPZ-AWEZNQCLSA-N 1 2 280.343 3.507 20 0 CHADLO Cc1cn2cccc(Nc3cccc(C)c3F)c2[nH+]1 ZINC001210035833 1118138851 /nfs/dbraw/zinc/13/88/51/1118138851.db2.gz WVEOFIAEAIQDJP-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO CN(C)c1cc(CSc2nc3ccccc3o2)cc[nH+]1 ZINC000782112233 1118148955 /nfs/dbraw/zinc/14/89/55/1118148955.db2.gz YPDQSEFMOKGITE-UHFFFAOYSA-N 1 2 285.372 3.581 20 0 CHADLO Cc1cc(NC(=O)c2cc3c(s2)CCCCC3)cc[nH+]1 ZINC000010163298 1118158924 /nfs/dbraw/zinc/15/89/24/1118158924.db2.gz QWXRXHLAQQRSRL-UHFFFAOYSA-N 1 2 286.400 3.973 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000542652938 1118164145 /nfs/dbraw/zinc/16/41/45/1118164145.db2.gz DVBLBUJUIJZCNQ-ZDUSSCGKSA-N 1 2 291.439 3.578 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000542652938 1118164147 /nfs/dbraw/zinc/16/41/47/1118164147.db2.gz DVBLBUJUIJZCNQ-ZDUSSCGKSA-N 1 2 291.439 3.578 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCC[C@H]2c2cccc(F)c2)n1 ZINC000172012442 1118167844 /nfs/dbraw/zinc/16/78/44/1118167844.db2.gz MXFDPVYNPCPKGO-KRWDZBQOSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCC[C@H]2c2cccc(F)c2)n1 ZINC000172012442 1118167847 /nfs/dbraw/zinc/16/78/47/1118167847.db2.gz MXFDPVYNPCPKGO-KRWDZBQOSA-N 1 2 287.382 3.940 20 0 CHADLO CNc1ncccc1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000062017332 1118172964 /nfs/dbraw/zinc/17/29/64/1118172964.db2.gz RGTHPQHRQQBFEJ-LBPRGKRZSA-N 1 2 289.810 3.970 20 0 CHADLO CNc1ncccc1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000062017332 1118172967 /nfs/dbraw/zinc/17/29/67/1118172967.db2.gz RGTHPQHRQQBFEJ-LBPRGKRZSA-N 1 2 289.810 3.970 20 0 CHADLO Cc1cc(NCc2c(C)cccc2C)nc(C2CC2)[nH+]1 ZINC000765601293 1118179764 /nfs/dbraw/zinc/17/97/64/1118179764.db2.gz KMBWCYWAUULEBY-UHFFFAOYSA-N 1 2 267.376 3.891 20 0 CHADLO CCc1[nH]nc(Cl)c1C[NH2+]Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000921551228 1118191565 /nfs/dbraw/zinc/19/15/65/1118191565.db2.gz BFFSTAZINRGVNL-KOLCDFICSA-N 1 2 293.798 3.632 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1c(Cl)cccc1Br ZINC001137809638 1118192790 /nfs/dbraw/zinc/19/27/90/1118192790.db2.gz MHLUKISGGZJYNZ-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1c(Cl)cccc1Br ZINC001137809638 1118192793 /nfs/dbraw/zinc/19/27/93/1118192793.db2.gz MHLUKISGGZJYNZ-MRVPVSSYSA-N 1 2 274.589 3.697 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1cc(-c2ccccc2)on1 ZINC000671626406 1118202385 /nfs/dbraw/zinc/20/23/85/1118202385.db2.gz IZLDLDAKGXBDLF-NSHDSACASA-N 1 2 297.358 3.797 20 0 CHADLO C[C@@H]1CC[C@@H](Nc2ccc(-n3cc[nH+]c3)c(F)c2)CS1 ZINC000655750166 1118203037 /nfs/dbraw/zinc/20/30/37/1118203037.db2.gz MSPDAEOCWHHINF-DGCLKSJQSA-N 1 2 291.395 3.707 20 0 CHADLO COc1cc(Nc2cccc3cc[nH]c32)cc(C)[nH+]1 ZINC001210211880 1118204171 /nfs/dbraw/zinc/20/41/71/1118204171.db2.gz QTTVUFQWNKSQIL-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO Clc1cc(C[N@@H+]2Cc3ccccc3C3(CC3)C2)ccn1 ZINC000186166031 1118220490 /nfs/dbraw/zinc/22/04/90/1118220490.db2.gz DZJRMAMPZNTZCB-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Clc1cc(C[N@H+]2Cc3ccccc3C3(CC3)C2)ccn1 ZINC000186166031 1118220492 /nfs/dbraw/zinc/22/04/92/1118220492.db2.gz DZJRMAMPZNTZCB-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO CNc1ccc(Nc2ccc(OCC3CC3)cc2)c[nH+]1 ZINC001203458508 1118224604 /nfs/dbraw/zinc/22/46/04/1118224604.db2.gz NFVCQJFTWXSYEP-UHFFFAOYSA-N 1 2 269.348 3.656 20 0 CHADLO Cc1noc(C)c1[C@@H](C)Nc1ccc([NH+](C)C)c(C)c1 ZINC000926050279 1118224840 /nfs/dbraw/zinc/22/48/40/1118224840.db2.gz SPUQERIIDIUVEX-LLVKDONJSA-N 1 2 273.380 3.839 20 0 CHADLO CC(=O)c1ccc(Nc2c[nH+]c(CC(C)C)cc2C)cn1 ZINC001210272313 1118227864 /nfs/dbraw/zinc/22/78/64/1118227864.db2.gz GVBICLLWPCXWMA-UHFFFAOYSA-N 1 2 283.375 3.930 20 0 CHADLO C[N@@H+](Cc1noc(C2CC2)n1)C[C@@H]1CCCCC1(C)C ZINC000660813044 1118228502 /nfs/dbraw/zinc/22/85/02/1118228502.db2.gz SUYWVQCIGZBZBR-ZDUSSCGKSA-N 1 2 277.412 3.595 20 0 CHADLO C[N@H+](Cc1noc(C2CC2)n1)C[C@@H]1CCCCC1(C)C ZINC000660813044 1118228506 /nfs/dbraw/zinc/22/85/06/1118228506.db2.gz SUYWVQCIGZBZBR-ZDUSSCGKSA-N 1 2 277.412 3.595 20 0 CHADLO Cc1cccn2c(CNc3ccc(C)c(C)c3)c[nH+]c12 ZINC001648853964 1118259992 /nfs/dbraw/zinc/25/99/92/1118259992.db2.gz CABULIPLRLATSP-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO CC(C)(C)CCN1CC[NH+](CCCc2ccccc2)CC1 ZINC000059744748 1118295264 /nfs/dbraw/zinc/29/52/64/1118295264.db2.gz ZAUHJEOCGZNGQP-UHFFFAOYSA-N 1 2 288.479 3.673 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](CC1=NOCC1)C1CC1 ZINC001167325708 1118296172 /nfs/dbraw/zinc/29/61/72/1118296172.db2.gz DJZACHBKOIVSRV-NSHDSACASA-N 1 2 278.783 3.642 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](CC1=NOCC1)C1CC1 ZINC001167325708 1118296175 /nfs/dbraw/zinc/29/61/75/1118296175.db2.gz DJZACHBKOIVSRV-NSHDSACASA-N 1 2 278.783 3.642 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(F)cc(F)cc1F)c1ccc(F)cn1 ZINC000340491998 1118302752 /nfs/dbraw/zinc/30/27/52/1118302752.db2.gz VCJCVZFRRJUMQA-CQSZACIVSA-N 1 2 298.283 3.879 20 0 CHADLO Fc1ccccc1CSCCNc1cccc[nH+]1 ZINC000060847197 1118304144 /nfs/dbraw/zinc/30/41/44/1118304144.db2.gz GNTLHTJBZITLDR-UHFFFAOYSA-N 1 2 262.353 3.566 20 0 CHADLO Cc1csc(C[NH2+][C@@H](C)c2cc(C)c(C)o2)n1 ZINC000282240139 1118307122 /nfs/dbraw/zinc/30/71/22/1118307122.db2.gz PWXVVKDWLAKHKF-JTQLQIEISA-N 1 2 250.367 3.512 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccns1 ZINC000926066343 1118309617 /nfs/dbraw/zinc/30/96/17/1118309617.db2.gz LOSDHNIKEPHOSP-NSHDSACASA-N 1 2 273.405 3.699 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccns1 ZINC000926066343 1118309621 /nfs/dbraw/zinc/30/96/21/1118309621.db2.gz LOSDHNIKEPHOSP-NSHDSACASA-N 1 2 273.405 3.699 20 0 CHADLO C[C@H]([NH2+][C@H]1CCC[C@@H]1F)c1nc(C(F)(F)F)cs1 ZINC000340522642 1118315984 /nfs/dbraw/zinc/31/59/84/1118315984.db2.gz JGBUEGMDAUTBOU-FXQIFTODSA-N 1 2 282.306 3.703 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cc(C)ccc2C)cs1 ZINC000063592881 1118318823 /nfs/dbraw/zinc/31/88/23/1118318823.db2.gz JHICYEIAYUEPSH-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cc(C)ccc2C)cs1 ZINC000063592881 1118318828 /nfs/dbraw/zinc/31/88/28/1118318828.db2.gz JHICYEIAYUEPSH-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2ccc(-c3ccccc3)cc2)n1 ZINC000063773975 1118320036 /nfs/dbraw/zinc/32/00/36/1118320036.db2.gz GVLQLROEJYPFPO-ZDUSSCGKSA-N 1 2 293.370 3.896 20 0 CHADLO Fc1ccccc1[C@H](F)C1CC[NH+](Cc2cocn2)CC1 ZINC000348639859 1118323823 /nfs/dbraw/zinc/32/38/23/1118323823.db2.gz ZSRIPDMTBOWMLD-MRXNPFEDSA-N 1 2 292.329 3.737 20 0 CHADLO Cc1cc(C)c(NC(=O)C(F)=C2CCCC2)c(C)[nH+]1 ZINC001125403683 1118325986 /nfs/dbraw/zinc/32/59/86/1118325986.db2.gz CXPIVYAVUOHTNQ-UHFFFAOYSA-N 1 2 262.328 3.743 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(Cl)s1)CC2 ZINC000065163250 1118331934 /nfs/dbraw/zinc/33/19/34/1118331934.db2.gz IUAQHRZZEYADCX-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(Cl)s1)CC2 ZINC000065163250 1118331937 /nfs/dbraw/zinc/33/19/37/1118331937.db2.gz IUAQHRZZEYADCX-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CSc1ccc(F)cc1C[NH2+][C@@H](C)c1cc(C)on1 ZINC000340539239 1118335524 /nfs/dbraw/zinc/33/55/24/1118335524.db2.gz ZPKBBTWOEAUEMS-JTQLQIEISA-N 1 2 280.368 3.695 20 0 CHADLO c1cc(C[N@@H+]2CCC[C@@H]2c2nc3ccccc3s2)on1 ZINC000066562658 1118346281 /nfs/dbraw/zinc/34/62/81/1118346281.db2.gz PJDLWFYLSMGEGY-CYBMUJFWSA-N 1 2 285.372 3.621 20 0 CHADLO c1cc(C[N@H+]2CCC[C@@H]2c2nc3ccccc3s2)on1 ZINC000066562658 1118346287 /nfs/dbraw/zinc/34/62/87/1118346287.db2.gz PJDLWFYLSMGEGY-CYBMUJFWSA-N 1 2 285.372 3.621 20 0 CHADLO CCOc1c(Cl)cccc1C[NH2+]Cc1ncc(C)s1 ZINC000683770659 1118351799 /nfs/dbraw/zinc/35/17/99/1118351799.db2.gz NLMZTXGJMDFQFT-UHFFFAOYSA-N 1 2 296.823 3.793 20 0 CHADLO Brc1cccc2c1CC[C@H]2Nc1cccc[nH+]1 ZINC000068911455 1118366458 /nfs/dbraw/zinc/36/64/58/1118366458.db2.gz LBLAIIRYKMKCKY-CYBMUJFWSA-N 1 2 289.176 3.944 20 0 CHADLO COc1cc(Nc2cc(Cl)c(N)cc2C)cc(C)[nH+]1 ZINC001210780741 1118384091 /nfs/dbraw/zinc/38/40/91/1118384091.db2.gz PEYGSDOQCHVUQK-UHFFFAOYSA-N 1 2 277.755 3.686 20 0 CHADLO COc1cc(Nc2ccc3c(C)cc(=O)oc3c2)cc(C)[nH+]1 ZINC001210780226 1118384156 /nfs/dbraw/zinc/38/41/56/1118384156.db2.gz KVBUJDLAFWLPRZ-UHFFFAOYSA-N 1 2 296.326 3.557 20 0 CHADLO COc1cc(Nc2ccc(OC)c3cnccc23)cc(C)[nH+]1 ZINC001210784560 1118387944 /nfs/dbraw/zinc/38/79/44/1118387944.db2.gz QXBXUVRLAWZLOA-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO COc1ncc(C[NH2+]C2(c3ccccc3Cl)CC2)s1 ZINC000639284462 1118389495 /nfs/dbraw/zinc/38/94/95/1118389495.db2.gz YRQPTMAVUNALCO-UHFFFAOYSA-N 1 2 294.807 3.584 20 0 CHADLO CCCc1cc(NC2CCC(OC)CC2)nc(CCC)[nH+]1 ZINC001168606233 1118391157 /nfs/dbraw/zinc/39/11/57/1118391157.db2.gz WQEDIMGWHBCUOI-UHFFFAOYSA-N 1 2 291.439 3.751 20 0 CHADLO C/C=C\C[C@@H]1CCCN(C(=O)Nc2ccn3cc[nH+]c3c2)C1 ZINC000626548358 1128775039 /nfs/dbraw/zinc/77/50/39/1128775039.db2.gz DXDXSGKJHBOWAI-PYLYLYNFSA-N 1 2 298.390 3.544 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccc(C2CC2)cc1 ZINC000397965669 1118424122 /nfs/dbraw/zinc/42/41/22/1118424122.db2.gz RGDGUUZERVEAJK-CQSZACIVSA-N 1 2 251.320 3.841 20 0 CHADLO CC(C)=CC[N@@H+]1CCc2sc(Br)cc2C1 ZINC000676712448 1118438286 /nfs/dbraw/zinc/43/82/86/1118438286.db2.gz ZRELDLIFANHMLE-UHFFFAOYSA-N 1 2 286.238 3.835 20 0 CHADLO CC(C)=CC[N@H+]1CCc2sc(Br)cc2C1 ZINC000676712448 1118438288 /nfs/dbraw/zinc/43/82/88/1118438288.db2.gz ZRELDLIFANHMLE-UHFFFAOYSA-N 1 2 286.238 3.835 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1ccns1 ZINC000926100138 1118439289 /nfs/dbraw/zinc/43/92/89/1118439289.db2.gz RSJBXQFPTXMZJP-LBPRGKRZSA-N 1 2 288.420 3.702 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(F)c2F)oc1C ZINC001204540535 1118464392 /nfs/dbraw/zinc/46/43/92/1118464392.db2.gz YPAGPLNIZRFQNW-UHFFFAOYSA-N 1 2 265.303 3.807 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(F)c2F)oc1C ZINC001204540535 1118464396 /nfs/dbraw/zinc/46/43/96/1118464396.db2.gz YPAGPLNIZRFQNW-UHFFFAOYSA-N 1 2 265.303 3.807 20 0 CHADLO Cc1cc(C)c(C(=O)NC[C@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC001125457376 1118478997 /nfs/dbraw/zinc/47/89/97/1118478997.db2.gz ULSRIMIABNFLRN-ABAIWWIYSA-N 1 2 274.408 3.563 20 0 CHADLO Cc1cc(C)c(/C=C\C[N@@H+]2CCO[C@H](CCF)C2)cc1C ZINC001207130267 1118490540 /nfs/dbraw/zinc/49/05/40/1118490540.db2.gz ZEVKUDPKBXDVEL-PYTPGESOSA-N 1 2 291.410 3.685 20 0 CHADLO Cc1cc(C)c(/C=C\C[N@H+]2CCO[C@H](CCF)C2)cc1C ZINC001207130267 1118490543 /nfs/dbraw/zinc/49/05/43/1118490543.db2.gz ZEVKUDPKBXDVEL-PYTPGESOSA-N 1 2 291.410 3.685 20 0 CHADLO Cc1cc(C)c(N(C)c2cc(Cl)ccc2N)c[nH+]1 ZINC001213515777 1118512751 /nfs/dbraw/zinc/51/27/51/1118512751.db2.gz KEJQCCSGVDFMOP-UHFFFAOYSA-N 1 2 261.756 3.702 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)C(=O)OC(C)(C)C)cc(C)c1F ZINC001143384558 1118520620 /nfs/dbraw/zinc/52/06/20/1118520620.db2.gz IQGJILGFUZQXTF-ZDUSSCGKSA-N 1 2 295.398 3.605 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)C(=O)OC(C)(C)C)cc(C)c1F ZINC001143384558 1118520625 /nfs/dbraw/zinc/52/06/25/1118520625.db2.gz IQGJILGFUZQXTF-ZDUSSCGKSA-N 1 2 295.398 3.605 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(C)c(Br)c2)c1C ZINC001213522942 1118525046 /nfs/dbraw/zinc/52/50/46/1118525046.db2.gz JYXHHAYIJPYJLS-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(Nc2c[nH+]cc(C)c2C)n(C(C)(C)C)n1 ZINC001213523369 1118526371 /nfs/dbraw/zinc/52/63/71/1118526371.db2.gz QMAOLLCOFYXYOJ-UHFFFAOYSA-N 1 2 258.369 3.702 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccccc1OC(F)(F)F ZINC000090203830 1118531250 /nfs/dbraw/zinc/53/12/50/1118531250.db2.gz ARRPLMGOFXOARD-UHFFFAOYSA-N 1 2 299.296 3.804 20 0 CHADLO C/C=C\c1ccc(Nc2[nH+]cnc3[nH]ccc32)cc1 ZINC000580973432 1118531708 /nfs/dbraw/zinc/53/17/08/1118531708.db2.gz GASKCFRPLWLQRT-IHWYPQMZSA-N 1 2 250.305 3.735 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(-c3ccc(O)cc3)nc2)c1C ZINC001213527364 1118532382 /nfs/dbraw/zinc/53/23/82/1118532382.db2.gz HYBNPCXRULBHOQ-UHFFFAOYSA-N 1 2 292.342 3.605 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213532296 1118548911 /nfs/dbraw/zinc/54/89/11/1118548911.db2.gz ABZLCESSZXFFJR-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO COC(=O)c1ccc(Nc2c[nH+]cc(C)c2C)c(Cl)c1 ZINC001213533882 1118553683 /nfs/dbraw/zinc/55/36/83/1118553683.db2.gz AAMWISKBOMDGNI-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2noc3c2CCCC3)cc1C ZINC000650311306 1118561320 /nfs/dbraw/zinc/56/13/20/1118561320.db2.gz MQXWYHFAJULBDH-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2noc3c2CCCC3)cc1C ZINC000650311306 1118561323 /nfs/dbraw/zinc/56/13/23/1118561323.db2.gz MQXWYHFAJULBDH-UHFFFAOYSA-N 1 2 284.403 3.802 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3coc(C)n3)CC2)cc1 ZINC000660171054 1128786469 /nfs/dbraw/zinc/78/64/69/1128786469.db2.gz YZBJHAQFHSVWCI-UHFFFAOYSA-N 1 2 282.387 3.835 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3coc(C)n3)CC2)cc1 ZINC000660171054 1128786470 /nfs/dbraw/zinc/78/64/70/1128786470.db2.gz YZBJHAQFHSVWCI-UHFFFAOYSA-N 1 2 282.387 3.835 20 0 CHADLO COc1cccc(Nc2cc[nH+]c(SC)c2)c1C ZINC001215799926 1118592026 /nfs/dbraw/zinc/59/20/26/1118592026.db2.gz YTJFHFBCTQWUOL-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnc(OC)cc1SC ZINC001215812131 1118598423 /nfs/dbraw/zinc/59/84/23/1118598423.db2.gz ABMDZBGPELJYIU-UHFFFAOYSA-N 1 2 289.404 3.822 20 0 CHADLO Cc1nc(N[C@H]2c3ccccc3O[C@H]2C)c2c([nH+]1)CCCC2 ZINC000341053256 1118598822 /nfs/dbraw/zinc/59/88/22/1118598822.db2.gz QBZXJCSAPVXBOA-APPDUMDISA-N 1 2 295.386 3.598 20 0 CHADLO Cc1nc(N[C@H]2c3ccccc3O[C@@H]2C)c2c([nH+]1)CCCC2 ZINC000341053263 1118599065 /nfs/dbraw/zinc/59/90/65/1118599065.db2.gz QBZXJCSAPVXBOA-PIGZYNQJSA-N 1 2 295.386 3.598 20 0 CHADLO Cc1cc(N(C)Cc2ccccc2N(C)C)nc(C(C)C)[nH+]1 ZINC000341064956 1118599229 /nfs/dbraw/zinc/59/92/29/1118599229.db2.gz DXCBTMGWDJUTQO-UHFFFAOYSA-N 1 2 298.434 3.611 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(Br)s2)C(C)(C)C1 ZINC000341128803 1118604137 /nfs/dbraw/zinc/60/41/37/1118604137.db2.gz FKUYBVRFGFBGTD-QMMMGPOBSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(Br)s2)C(C)(C)C1 ZINC000341128803 1118604139 /nfs/dbraw/zinc/60/41/39/1118604139.db2.gz FKUYBVRFGFBGTD-QMMMGPOBSA-N 1 2 289.242 3.526 20 0 CHADLO COc1cc(F)c(F)cc1C[N@@H+]1CCc2ccsc2C1 ZINC001143394814 1118609585 /nfs/dbraw/zinc/60/95/85/1118609585.db2.gz RPARSXXHTRTJPS-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO COc1cc(F)c(F)cc1C[N@H+]1CCc2ccsc2C1 ZINC001143394814 1118609586 /nfs/dbraw/zinc/60/95/86/1118609586.db2.gz RPARSXXHTRTJPS-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2cccc(Cl)c2)co1 ZINC000834900294 1118618003 /nfs/dbraw/zinc/61/80/03/1118618003.db2.gz NQKUTJXEDRKNPK-SNVBAGLBSA-N 1 2 264.756 3.741 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nc(C(C)C)no1)c1ccccc1 ZINC000341203303 1118620888 /nfs/dbraw/zinc/62/08/88/1118620888.db2.gz CKJKCYSJNKIEEB-TZMCWYRMSA-N 1 2 273.380 3.995 20 0 CHADLO C[C@H](Nc1cc(-c2ccncc2)cc[nH+]1)c1ccc(F)cn1 ZINC001167471792 1118623068 /nfs/dbraw/zinc/62/30/68/1118623068.db2.gz KGTWJQKEGBIYEA-LBPRGKRZSA-N 1 2 294.333 3.851 20 0 CHADLO Fc1cc(Cl)cc(C[NH+]2CC(CC(F)(F)F)C2)c1 ZINC000656688343 1118636403 /nfs/dbraw/zinc/63/64/03/1118636403.db2.gz JOLUFSBQTNOMRD-UHFFFAOYSA-N 1 2 281.680 3.863 20 0 CHADLO FC(F)(F)CC1C[NH+](Cc2ccsc2Cl)C1 ZINC000656688057 1118636737 /nfs/dbraw/zinc/63/67/37/1118636737.db2.gz DSWKXBAHPJWNHJ-UHFFFAOYSA-N 1 2 269.719 3.786 20 0 CHADLO CCOc1ccc(C[NH+]2CC(CC(F)(F)F)C2)cc1F ZINC000656688009 1118638188 /nfs/dbraw/zinc/63/81/88/1118638188.db2.gz CHSXRPIFVMVCND-UHFFFAOYSA-N 1 2 291.288 3.609 20 0 CHADLO CC(C)n1c2ccccc2[nH+]c1NCc1ccncc1 ZINC000656781257 1118654579 /nfs/dbraw/zinc/65/45/79/1118654579.db2.gz KKLOMMNVHBDWIL-UHFFFAOYSA-N 1 2 266.348 3.624 20 0 CHADLO CCCn1nc(C)c(CNc2c[nH+]cc3c2CCCC3)c1C ZINC000656776476 1118654991 /nfs/dbraw/zinc/65/49/91/1118654991.db2.gz OXPHNHHINAITBH-UHFFFAOYSA-N 1 2 298.434 3.796 20 0 CHADLO Clc1cnc(CNc2c[nH+]cc3c2CCCC3)s1 ZINC000656776454 1118655236 /nfs/dbraw/zinc/65/52/36/1118655236.db2.gz OCFBFTFWZODCFF-UHFFFAOYSA-N 1 2 279.796 3.682 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1ccc(C)cn1 ZINC000128907895 1118664733 /nfs/dbraw/zinc/66/47/33/1118664733.db2.gz PIUNRCXGZSUMOY-UHFFFAOYSA-N 1 2 284.338 3.511 20 0 CHADLO Cc1cn2cccc(Nc3c(O)cccc3Cl)c2[nH+]1 ZINC001215983865 1118673966 /nfs/dbraw/zinc/67/39/66/1118673966.db2.gz XVQRZGYQFXNVAH-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO CCc1cnc(COc2cc(CC)[nH+]c3ccccc32)o1 ZINC000092333997 1118676118 /nfs/dbraw/zinc/67/61/18/1118676118.db2.gz OGCRAMODVDWSOW-UHFFFAOYSA-N 1 2 282.343 3.927 20 0 CHADLO Clc1nc(C[NH+]2CCC3(CCC3)CC2)cs1 ZINC000308740402 1118685291 /nfs/dbraw/zinc/68/52/91/1118685291.db2.gz YFZNYSQFQXXGMV-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO Cc1cc(N(C)[C@H](C)c2ccc(F)cc2)nc(C2CC2)[nH+]1 ZINC000341486629 1118716485 /nfs/dbraw/zinc/71/64/85/1118716485.db2.gz PULJNVBLMGILOB-GFCCVEGCSA-N 1 2 285.366 3.999 20 0 CHADLO CCN(Cc1cccs1)c1cc(C)[nH+]c(C2CC2)n1 ZINC000341486717 1118716519 /nfs/dbraw/zinc/71/65/19/1118716519.db2.gz QCVKHOMWYWTWCB-UHFFFAOYSA-N 1 2 273.405 3.750 20 0 CHADLO Cc1cc(N2CCC[C@@]3(CC=CCC3)C2)nc(C2CC2)[nH+]1 ZINC000341496936 1118717422 /nfs/dbraw/zinc/71/74/22/1118717422.db2.gz IPBDHNKFAJTHMX-SFHVURJKSA-N 1 2 283.419 3.989 20 0 CHADLO COc1ccc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)o1 ZINC000891605629 1118720468 /nfs/dbraw/zinc/72/04/68/1118720468.db2.gz AAEVSAKSUFGGFR-SNVBAGLBSA-N 1 2 281.302 3.759 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)o1 ZINC000891605629 1118720469 /nfs/dbraw/zinc/72/04/69/1118720469.db2.gz AAEVSAKSUFGGFR-SNVBAGLBSA-N 1 2 281.302 3.759 20 0 CHADLO CCCC(CCC)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000510474728 1118722642 /nfs/dbraw/zinc/72/26/42/1118722642.db2.gz GFFRRJPMBOTNPC-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO CC(C)[N@H+](Cc1nccn1C1CC1)Cc1ccc(F)cc1 ZINC000891619577 1118722849 /nfs/dbraw/zinc/72/28/49/1118722849.db2.gz QUIGJOSSGKKNGK-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO CC(C)[N@@H+](Cc1nccn1C1CC1)Cc1ccc(F)cc1 ZINC000891619577 1118722852 /nfs/dbraw/zinc/72/28/52/1118722852.db2.gz QUIGJOSSGKKNGK-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)[C@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000628132411 1118725792 /nfs/dbraw/zinc/72/57/92/1118725792.db2.gz FMDHLGNCPRIDLN-LLVKDONJSA-N 1 2 297.324 3.930 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)[C@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000628132411 1118725794 /nfs/dbraw/zinc/72/57/94/1118725794.db2.gz FMDHLGNCPRIDLN-LLVKDONJSA-N 1 2 297.324 3.930 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2csc(C3CC3)n2)s1 ZINC000090409344 1118729769 /nfs/dbraw/zinc/72/97/69/1118729769.db2.gz YAYLIDSQMBHORB-MRVPVSSYSA-N 1 2 279.434 3.636 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ccc(F)c(F)c2)C[C@H](C)O1 ZINC000247419251 1118739047 /nfs/dbraw/zinc/73/90/47/1118739047.db2.gz DMBCVKQQVIJFPC-DMDPSCGWSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ccc(F)c(F)c2)C[C@H](C)O1 ZINC000247419251 1118739048 /nfs/dbraw/zinc/73/90/48/1118739048.db2.gz DMBCVKQQVIJFPC-DMDPSCGWSA-N 1 2 269.335 3.525 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C)c(O)c(Cl)c1 ZINC001216083149 1118749906 /nfs/dbraw/zinc/74/99/06/1118749906.db2.gz DDOPJKRULFAHNY-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO CCOc1cc(Nc2cc(C)cc(C)c2N)cc(C)[nH+]1 ZINC001216097012 1118760818 /nfs/dbraw/zinc/76/08/18/1118760818.db2.gz HKSNPGFNXZFZRR-UHFFFAOYSA-N 1 2 271.364 3.731 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(C)cc(C)c2N)c1 ZINC001216099905 1118762069 /nfs/dbraw/zinc/76/20/69/1118762069.db2.gz ABXJQWBGQMIOKZ-UHFFFAOYSA-N 1 2 255.365 3.977 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1cc(Cl)ccc1Cl)C2 ZINC000677132736 1118786989 /nfs/dbraw/zinc/78/69/89/1118786989.db2.gz PJGDQYIELVMMRN-UHFFFAOYSA-N 1 2 274.166 3.927 20 0 CHADLO CC[C@H]([NH2+]Cc1cc2c(cc(C)cc2C)[nH]1)C(F)F ZINC000449363641 1118796431 /nfs/dbraw/zinc/79/64/31/1118796431.db2.gz QACRYPILJBXGFH-ZDUSSCGKSA-N 1 2 266.335 3.918 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@@H+]1Cc1nccn1C1CC1 ZINC000891918178 1118847744 /nfs/dbraw/zinc/84/77/44/1118847744.db2.gz VYWNLDVSANUNNP-INIZCTEOSA-N 1 2 297.402 3.564 20 0 CHADLO COc1ccccc1[C@@H]1CCC[N@H+]1Cc1nccn1C1CC1 ZINC000891918178 1118847748 /nfs/dbraw/zinc/84/77/48/1118847748.db2.gz VYWNLDVSANUNNP-INIZCTEOSA-N 1 2 297.402 3.564 20 0 CHADLO CCS[C@@H]1CCCC[C@@H]1Nc1cc(C)[nH+]c(C2CC2)n1 ZINC000426422143 1118873986 /nfs/dbraw/zinc/87/39/86/1118873986.db2.gz UTVJXMNEVZZSBZ-UONOGXRCSA-N 1 2 291.464 3.561 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+][C@@H](C)C(C)(F)F)cc2c1C ZINC000449412550 1118874641 /nfs/dbraw/zinc/87/46/41/1118874641.db2.gz GELRLUUUAPGAAA-NSHDSACASA-N 1 2 266.335 3.918 20 0 CHADLO CSc1ncc(C)cc1Nc1cccn2cc(C)[nH+]c12 ZINC001216239500 1118918654 /nfs/dbraw/zinc/91/86/54/1118918654.db2.gz MNNLMXAWNZWKFH-UHFFFAOYSA-N 1 2 284.388 3.812 20 0 CHADLO CC[C@@H](C)N(CC)C(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000616975771 1128809876 /nfs/dbraw/zinc/80/98/76/1128809876.db2.gz IEFGZCXFJZITLA-GDBMZVCRSA-N 1 2 299.418 3.579 20 0 CHADLO C/C(=C\c1ccc(F)cc1)C(=O)Nc1cc[nH+]c(C)c1 ZINC000176638367 1118933806 /nfs/dbraw/zinc/93/38/06/1118933806.db2.gz ICPUPSGRKDCKDD-PKNBQFBNSA-N 1 2 270.307 3.571 20 0 CHADLO Cc1cc(C)nc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)c1 ZINC001167504483 1118944956 /nfs/dbraw/zinc/94/49/56/1118944956.db2.gz LRQSWDLONPKXNV-QFBILLFUSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cc(C)nc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)c1 ZINC001167504483 1118944959 /nfs/dbraw/zinc/94/49/59/1118944959.db2.gz LRQSWDLONPKXNV-QFBILLFUSA-N 1 2 296.414 3.660 20 0 CHADLO c1cn(C2CC2)c(C[N@@H+]2CCS[C@@H](c3ccccc3)C2)n1 ZINC000892052930 1118949742 /nfs/dbraw/zinc/94/97/42/1118949742.db2.gz RKXOBPAVKAJZSG-MRXNPFEDSA-N 1 2 299.443 3.508 20 0 CHADLO c1cn(C2CC2)c(C[N@H+]2CCS[C@@H](c3ccccc3)C2)n1 ZINC000892052930 1118949744 /nfs/dbraw/zinc/94/97/44/1118949744.db2.gz RKXOBPAVKAJZSG-MRXNPFEDSA-N 1 2 299.443 3.508 20 0 CHADLO Cc1cc(N(C)[C@H](C)c2ccccn2)nc(C2CCC2)[nH+]1 ZINC000892085735 1118959468 /nfs/dbraw/zinc/95/94/68/1118959468.db2.gz JERWYQBMVZQLRO-CYBMUJFWSA-N 1 2 282.391 3.645 20 0 CHADLO COc1ccccc1[C@H](CC(C)C)[NH2+]Cc1ncc(C)cn1 ZINC000342334537 1118980658 /nfs/dbraw/zinc/98/06/58/1118980658.db2.gz CGNUCDBAJYVCHL-INIZCTEOSA-N 1 2 299.418 3.671 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@@H+]1Cc1nc(CC(C)C)no1 ZINC000342353040 1118989053 /nfs/dbraw/zinc/98/90/53/1118989053.db2.gz JMZSSVUUJWTXQV-INIZCTEOSA-N 1 2 299.418 3.605 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@H+]1Cc1nc(CC(C)C)no1 ZINC000342353040 1118989055 /nfs/dbraw/zinc/98/90/55/1118989055.db2.gz JMZSSVUUJWTXQV-INIZCTEOSA-N 1 2 299.418 3.605 20 0 CHADLO CC[N@@H+]1CCN(CC[C@@H]2CC=C(C)C2(C)C)C(C)(C)C1 ZINC001207274731 1118991493 /nfs/dbraw/zinc/99/14/93/1118991493.db2.gz FIRNGMDDVSHSML-INIZCTEOSA-N 1 2 278.484 3.785 20 0 CHADLO CC[N@H+]1CCN(CC[C@@H]2CC=C(C)C2(C)C)C(C)(C)C1 ZINC001207274731 1118991498 /nfs/dbraw/zinc/99/14/98/1118991498.db2.gz FIRNGMDDVSHSML-INIZCTEOSA-N 1 2 278.484 3.785 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1cccc(F)c1 ZINC000724402530 1118994517 /nfs/dbraw/zinc/99/45/17/1118994517.db2.gz CBDMWEDLLTXRST-LLVKDONJSA-N 1 2 276.355 3.962 20 0 CHADLO Cc1ccccc1C1CC([N@H+](Cc2nncs2)C2CC2)C1 ZINC001167543746 1118996481 /nfs/dbraw/zinc/99/64/81/1118996481.db2.gz FOPDEQSINRNPNA-UHFFFAOYSA-N 1 2 299.443 3.757 20 0 CHADLO Cc1ccccc1C1CC([N@@H+](Cc2nncs2)C2CC2)C1 ZINC001167543746 1118996483 /nfs/dbraw/zinc/99/64/83/1118996483.db2.gz FOPDEQSINRNPNA-UHFFFAOYSA-N 1 2 299.443 3.757 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2CCC[C@@H](C)[C@@H]2C)[nH+]1 ZINC000348728917 1119005014 /nfs/dbraw/zinc/00/50/14/1119005014.db2.gz OIWSYRPWDYNJPK-GBIKHYSHSA-N 1 2 258.369 3.503 20 0 CHADLO Cc1[nH]c(CN2CCC[C@H]2c2ccc(F)cc2)[nH+]c1C ZINC000628127511 1128815067 /nfs/dbraw/zinc/81/50/67/1128815067.db2.gz MRQWCMBZTJSPNE-HNNXBMFYSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2)[nH]c1C ZINC000628127511 1128815071 /nfs/dbraw/zinc/81/50/71/1128815071.db2.gz MRQWCMBZTJSPNE-HNNXBMFYSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2)[nH]c1C ZINC000628127511 1128815075 /nfs/dbraw/zinc/81/50/75/1128815075.db2.gz MRQWCMBZTJSPNE-HNNXBMFYSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1nccs1)C(C)(C)C ZINC000934293017 1119011380 /nfs/dbraw/zinc/01/13/80/1119011380.db2.gz TVVXQSYLULWPSQ-CQSZACIVSA-N 1 2 275.421 3.724 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCCCc3sccc32)c1 ZINC000684773021 1119023679 /nfs/dbraw/zinc/02/36/79/1119023679.db2.gz VSSVEPJSSWUEKT-UHFFFAOYSA-N 1 2 288.416 3.803 20 0 CHADLO CC[N@H+](Cc1ccc(OC)cn1)Cc1ccccc1Cl ZINC000342473821 1119044726 /nfs/dbraw/zinc/04/47/26/1119044726.db2.gz TXTKVDGJZAYCKP-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1ccc(OC)cn1)Cc1ccccc1Cl ZINC000342473821 1119044728 /nfs/dbraw/zinc/04/47/28/1119044728.db2.gz TXTKVDGJZAYCKP-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[C@H]1CCc2ccccc2N1CCCn1cc[nH+]c1 ZINC000342480039 1119047806 /nfs/dbraw/zinc/04/78/06/1119047806.db2.gz MEGCUPOMDBGDRV-INIZCTEOSA-N 1 2 269.392 3.505 20 0 CHADLO O=C(CC/C=C/c1ccccc1)Nc1ccn2cc[nH+]c2c1 ZINC000684820876 1119050911 /nfs/dbraw/zinc/05/09/11/1119050911.db2.gz QRKFCEFIXQUVNT-XBXARRHUSA-N 1 2 291.354 3.766 20 0 CHADLO Cc1cc(C[NH2+][C@@H](CC(F)(F)F)c2ccccc2)on1 ZINC000044987346 1119063672 /nfs/dbraw/zinc/06/36/72/1119063672.db2.gz RAUUOMLXPOLJKQ-ZDUSSCGKSA-N 1 2 284.281 3.766 20 0 CHADLO CCn1c(C)cc(C(=O)C[N@H+](C)Cc2ccsc2)c1C ZINC000048777827 1119082414 /nfs/dbraw/zinc/08/24/14/1119082414.db2.gz WRJBWXNDQYHESB-UHFFFAOYSA-N 1 2 290.432 3.501 20 0 CHADLO CCn1c(C)cc(C(=O)C[N@@H+](C)Cc2ccsc2)c1C ZINC000048777827 1119082419 /nfs/dbraw/zinc/08/24/19/1119082419.db2.gz WRJBWXNDQYHESB-UHFFFAOYSA-N 1 2 290.432 3.501 20 0 CHADLO Cc1ccc(CNc2c[nH+]ccc2OC(C)(C)C)o1 ZINC000158457092 1119108652 /nfs/dbraw/zinc/10/86/52/1119108652.db2.gz SCOFSFMPHMIFBA-UHFFFAOYSA-N 1 2 260.337 3.772 20 0 CHADLO Oc1cccc2c1CC[C@H]2[NH2+]Cc1csc(C2CC2)n1 ZINC000113337293 1119109868 /nfs/dbraw/zinc/10/98/68/1119109868.db2.gz DJZLQAYYYUPSFH-CQSZACIVSA-N 1 2 286.400 3.503 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2ccc(F)c(F)c2F)o1 ZINC000657473154 1119116980 /nfs/dbraw/zinc/11/69/80/1119116980.db2.gz FJXVEDNFVNTRCL-UHFFFAOYSA-N 1 2 298.308 3.643 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@@H](c3ccccc3)[C@H](CC)C2)no1 ZINC000472235344 1119133531 /nfs/dbraw/zinc/13/35/31/1119133531.db2.gz YZEGNXHTQPACRN-GDBMZVCRSA-N 1 2 299.418 3.648 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@@H](c3ccccc3)[C@H](CC)C2)no1 ZINC000472235344 1119133535 /nfs/dbraw/zinc/13/35/35/1119133535.db2.gz YZEGNXHTQPACRN-GDBMZVCRSA-N 1 2 299.418 3.648 20 0 CHADLO CCc1ccc(C2CC[NH+]([C@H](C)c3noc(C)n3)CC2)cc1 ZINC000626086617 1119150444 /nfs/dbraw/zinc/15/04/44/1119150444.db2.gz IWVMBECNFMLVLK-CYBMUJFWSA-N 1 2 299.418 3.881 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1nc(C2CC2)cs1 ZINC000342753197 1119155831 /nfs/dbraw/zinc/15/58/31/1119155831.db2.gz PQKQDLQQQWSTEL-NSHDSACASA-N 1 2 288.416 3.919 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1nc(C2CC2)cs1 ZINC000342753197 1119155832 /nfs/dbraw/zinc/15/58/32/1119155832.db2.gz PQKQDLQQQWSTEL-NSHDSACASA-N 1 2 288.416 3.919 20 0 CHADLO Cc1cn2cccc(Nc3cccc(Cl)c3N)c2[nH+]1 ZINC001216525714 1119160993 /nfs/dbraw/zinc/16/09/93/1119160993.db2.gz ZBHNGTNSYZJKNF-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO Cc1c[nH+]c(CN(Cc2ccccc2F)CC(C)C)n1C ZINC000342774618 1119162017 /nfs/dbraw/zinc/16/20/17/1119162017.db2.gz DNCPGSSCWHWXBB-UHFFFAOYSA-N 1 2 289.398 3.526 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2ncc(C)n2C)o1 ZINC000342795612 1119173284 /nfs/dbraw/zinc/17/32/84/1119173284.db2.gz XTVFGXZLNIMAOL-SWLSCSKDSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2ncc(C)n2C)o1 ZINC000342795612 1119173287 /nfs/dbraw/zinc/17/32/87/1119173287.db2.gz XTVFGXZLNIMAOL-SWLSCSKDSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CCN2Cc2[nH+]cc(C)n2C)o1 ZINC000342795612 1119173288 /nfs/dbraw/zinc/17/32/88/1119173288.db2.gz XTVFGXZLNIMAOL-SWLSCSKDSA-N 1 2 287.407 3.603 20 0 CHADLO Cc1c[nH+]c(CN(Cc2ccc(Cl)cc2)C2CC2)n1C ZINC000342798483 1119174783 /nfs/dbraw/zinc/17/47/83/1119174783.db2.gz KBHWUHWCGUFSEE-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO COCc1nc(C[N@H+](Cc2ccccc2)C(C)C)cs1 ZINC000075622420 1119177807 /nfs/dbraw/zinc/17/78/07/1119177807.db2.gz AJPYPNXNTBWLHT-UHFFFAOYSA-N 1 2 290.432 3.700 20 0 CHADLO COCc1nc(C[N@@H+](Cc2ccccc2)C(C)C)cs1 ZINC000075622420 1119177810 /nfs/dbraw/zinc/17/78/10/1119177810.db2.gz AJPYPNXNTBWLHT-UHFFFAOYSA-N 1 2 290.432 3.700 20 0 CHADLO CCc1cnc(C[N@@H+]2CCC[C@@H]2c2ccsc2)o1 ZINC000075626394 1119177904 /nfs/dbraw/zinc/17/79/04/1119177904.db2.gz YOBPRXDYXQEVHE-CYBMUJFWSA-N 1 2 262.378 3.636 20 0 CHADLO CCc1cnc(C[N@H+]2CCC[C@@H]2c2ccsc2)o1 ZINC000075626394 1119177907 /nfs/dbraw/zinc/17/79/07/1119177907.db2.gz YOBPRXDYXQEVHE-CYBMUJFWSA-N 1 2 262.378 3.636 20 0 CHADLO C[C@H]1C[NH+](Cc2csc(-c3ccco3)n2)C[C@H](C)S1 ZINC000075894493 1119180572 /nfs/dbraw/zinc/18/05/72/1119180572.db2.gz OBUPPSGAZORBKV-QWRGUYRKSA-N 1 2 294.445 3.729 20 0 CHADLO COc1cc(C)c(C)cc1NCc1c[nH+]c2ccc(C)cn12 ZINC000657581142 1119194769 /nfs/dbraw/zinc/19/47/69/1119194769.db2.gz RZPMDUGCCVPKGQ-UHFFFAOYSA-N 1 2 295.386 3.880 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@H](c2nc3ccccc3[nH]2)C(C)C)o1 ZINC000628209731 1128828069 /nfs/dbraw/zinc/82/80/69/1128828069.db2.gz QTISGXPHHBHXKQ-INIZCTEOSA-N 1 2 298.390 3.655 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@@H](c2nc3ccccc3[nH]2)C(C)C)o1 ZINC000628209732 1128828396 /nfs/dbraw/zinc/82/83/96/1128828396.db2.gz QTISGXPHHBHXKQ-MRXNPFEDSA-N 1 2 298.390 3.655 20 0 CHADLO COc1cccc(OC)c1C[NH2+]Cc1ccsc1Cl ZINC000657587218 1119201010 /nfs/dbraw/zinc/20/10/10/1119201010.db2.gz UCKUHRCPRQGRKZ-UHFFFAOYSA-N 1 2 297.807 3.709 20 0 CHADLO COc1cc([C@H](C)[NH2+]Cc2nncs2)cc2ccccc21 ZINC000934631174 1119201674 /nfs/dbraw/zinc/20/16/74/1119201674.db2.gz RQXBDFNHGVXKSF-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO COCc1ccc2ccccc2c1NCc1cc[nH+]c(N)c1 ZINC001167616912 1119213100 /nfs/dbraw/zinc/21/31/00/1119213100.db2.gz SCXPYRSWWWIZJH-UHFFFAOYSA-N 1 2 293.370 3.576 20 0 CHADLO Cc1cc2ccccc2c(NCc2cc[nH+]c(N)c2)c1 ZINC001167619287 1119218639 /nfs/dbraw/zinc/21/86/39/1119218639.db2.gz XQDRZVIMKDRXHO-UHFFFAOYSA-N 1 2 263.344 3.738 20 0 CHADLO CO[C@@H](CNc1cccc[nH+]1)c1ccc(Cl)cc1 ZINC000078381629 1119219090 /nfs/dbraw/zinc/21/90/90/1119219090.db2.gz MZLPNHMKMKLFPM-ZDUSSCGKSA-N 1 2 262.740 3.535 20 0 CHADLO Cc1[nH]c(CN(C)[C@@H](c2ccccc2)C(F)(F)F)[nH+]c1C ZINC000628162922 1119221477 /nfs/dbraw/zinc/22/14/77/1119221477.db2.gz JLQVBPDYFFWYMI-AWEZNQCLSA-N 1 2 297.324 3.762 20 0 CHADLO C[C@H]1CO[C@H](c2ccccc2)C[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628162152 1119222390 /nfs/dbraw/zinc/22/23/90/1119222390.db2.gz GDXAUSLHZKGXHR-GUYCJALGSA-N 1 2 298.386 3.514 20 0 CHADLO C[C@H]1CO[C@H](c2ccccc2)C[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628162152 1119222393 /nfs/dbraw/zinc/22/23/93/1119222393.db2.gz GDXAUSLHZKGXHR-GUYCJALGSA-N 1 2 298.386 3.514 20 0 CHADLO Clc1cccc(COc2cc[nH+]cc2)c1Cl ZINC000431490318 1119223845 /nfs/dbraw/zinc/22/38/45/1119223845.db2.gz KJCIOSNEGDKUHR-UHFFFAOYSA-N 1 2 254.116 3.967 20 0 CHADLO CCn1cc([C@H](C)[NH2+]Cc2csc(C(C)(C)C)n2)cn1 ZINC000153408995 1119226376 /nfs/dbraw/zinc/22/63/76/1119226376.db2.gz VCMBDNMBEPWDPP-NSHDSACASA-N 1 2 292.452 3.508 20 0 CHADLO CC[C@](C)([NH2+]Cc1cnc(C2CC2)o1)c1nc(C)cs1 ZINC000628217206 1128830621 /nfs/dbraw/zinc/83/06/21/1128830621.db2.gz OKLHDRJMBUPZBC-HNNXBMFYSA-N 1 2 291.420 3.732 20 0 CHADLO Cc1ncccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213085817 1119231651 /nfs/dbraw/zinc/23/16/51/1119231651.db2.gz YERSWJIYDHYTRT-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO Cc1noc(C[NH2+][C@H](c2ccc(Cl)cc2)C(C)C)n1 ZINC000078656665 1119240843 /nfs/dbraw/zinc/24/08/43/1119240843.db2.gz LEBZKAQOEGCDIA-AWEZNQCLSA-N 1 2 279.771 3.518 20 0 CHADLO COCOc1ccccc1Nc1cc[nH+]c(SC)c1 ZINC001211560998 1119242115 /nfs/dbraw/zinc/24/21/15/1119242115.db2.gz PAGWQEIGQWYGCG-UHFFFAOYSA-N 1 2 276.361 3.530 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1cccc3nccn31)C2 ZINC000342918097 1119246835 /nfs/dbraw/zinc/24/68/35/1119246835.db2.gz PHFBWWVJFWSUMH-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1cccc3nccn31)C2 ZINC000342918097 1119246837 /nfs/dbraw/zinc/24/68/37/1119246837.db2.gz PHFBWWVJFWSUMH-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO CCOc1cc(Nc2ccn(C(C)C)c2)cc(C)[nH+]1 ZINC001203157857 1119266236 /nfs/dbraw/zinc/26/62/36/1119266236.db2.gz ABLMMDDURZUMCN-UHFFFAOYSA-N 1 2 259.353 3.915 20 0 CHADLO C[C@H]1CC[N@H+](Cn2c3ccccc3n(C(F)F)c2=S)C1 ZINC000725778788 1119291607 /nfs/dbraw/zinc/29/16/07/1119291607.db2.gz OUFLFFMMPVQJIS-JTQLQIEISA-N 1 2 297.374 3.867 20 0 CHADLO C[C@H]1CC[N@@H+](Cn2c3ccccc3n(C(F)F)c2=S)C1 ZINC000725778788 1119291609 /nfs/dbraw/zinc/29/16/09/1119291609.db2.gz OUFLFFMMPVQJIS-JTQLQIEISA-N 1 2 297.374 3.867 20 0 CHADLO CCOc1cncc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213087140 1119297597 /nfs/dbraw/zinc/29/75/97/1119297597.db2.gz JVCIFHUKQFYUKK-UHFFFAOYSA-N 1 2 280.331 3.614 20 0 CHADLO C[C@H]([NH2+]Cc1nc(-c2ccsc2)no1)c1ccccc1 ZINC000154280717 1119306574 /nfs/dbraw/zinc/30/65/74/1119306574.db2.gz FSQUUXIQPWGIOA-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO C[N@H+](Cc1c(F)cccc1Cl)[C@H](CO)c1ccccc1 ZINC000343116920 1119317704 /nfs/dbraw/zinc/31/77/04/1119317704.db2.gz KRGSDHBOCUXXSJ-MRXNPFEDSA-N 1 2 293.769 3.645 20 0 CHADLO C[N@@H+](Cc1c(F)cccc1Cl)[C@H](CO)c1ccccc1 ZINC000343116920 1119317707 /nfs/dbraw/zinc/31/77/07/1119317707.db2.gz KRGSDHBOCUXXSJ-MRXNPFEDSA-N 1 2 293.769 3.645 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCCC2)ccc1CO ZINC001211573319 1119338411 /nfs/dbraw/zinc/33/84/11/1119338411.db2.gz UXDAALVGUHKSLZ-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(OC(C)C)ccc1F ZINC001212308350 1128838996 /nfs/dbraw/zinc/83/89/96/1128838996.db2.gz VGMWOLFAGPPMPL-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO COc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1F ZINC001213088015 1119344993 /nfs/dbraw/zinc/34/49/93/1119344993.db2.gz LETNNCIPMDEBQS-UHFFFAOYSA-N 1 2 283.306 3.968 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(CO)c(C)c2)c1 ZINC001211581461 1119359591 /nfs/dbraw/zinc/35/95/91/1119359591.db2.gz VGALEXOPJFLLRF-UHFFFAOYSA-N 1 2 256.349 3.578 20 0 CHADLO Cc1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1O ZINC001213088790 1119371779 /nfs/dbraw/zinc/37/17/79/1119371779.db2.gz DLTJMHPUPNCHGV-UHFFFAOYSA-N 1 2 265.316 3.834 20 0 CHADLO COc1ccc(OC)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213089131 1119374944 /nfs/dbraw/zinc/37/49/44/1119374944.db2.gz VRZAHJGFVBMOQA-UHFFFAOYSA-N 1 2 295.342 3.838 20 0 CHADLO C=C(Br)C[N@@H+](C)[C@H](C)c1ccc(F)cc1 ZINC000052439841 1119378410 /nfs/dbraw/zinc/37/84/10/1119378410.db2.gz YXFFFSJHMVZFCR-SNVBAGLBSA-N 1 2 272.161 3.727 20 0 CHADLO C=C(Br)C[N@H+](C)[C@H](C)c1ccc(F)cc1 ZINC000052439841 1119378412 /nfs/dbraw/zinc/37/84/12/1119378412.db2.gz YXFFFSJHMVZFCR-SNVBAGLBSA-N 1 2 272.161 3.727 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc3ccccc3o2)Cc2ccccc2O1 ZINC000429111960 1119403612 /nfs/dbraw/zinc/40/36/12/1119403612.db2.gz AHZXDPUEIKGUPR-ZDUSSCGKSA-N 1 2 294.354 3.611 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc3ccccc3o2)Cc2ccccc2O1 ZINC000429111960 1119403613 /nfs/dbraw/zinc/40/36/13/1119403613.db2.gz AHZXDPUEIKGUPR-ZDUSSCGKSA-N 1 2 294.354 3.611 20 0 CHADLO COCc1csc(C[NH2+]CC(F)(F)C(C)(C)C)c1 ZINC000657791923 1119410650 /nfs/dbraw/zinc/41/06/50/1119410650.db2.gz BZHMJIUFCNRANS-UHFFFAOYSA-N 1 2 277.380 3.666 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+]2CCC[C@H]2c2ccco2)n1 ZINC000247580874 1119435297 /nfs/dbraw/zinc/43/52/97/1119435297.db2.gz YLCGQOMDNLUXAY-OLZOCXBDSA-N 1 2 289.379 3.903 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+]2CCC[C@H]2c2ccco2)n1 ZINC000247580874 1119435298 /nfs/dbraw/zinc/43/52/98/1119435298.db2.gz YLCGQOMDNLUXAY-OLZOCXBDSA-N 1 2 289.379 3.903 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CC2(CCC2)[C@H]2COC[C@H]21 ZINC000583932181 1119436722 /nfs/dbraw/zinc/43/67/22/1119436722.db2.gz YNPXRYZWPCTUIG-DLBZAZTESA-N 1 2 294.398 3.549 20 0 CHADLO CSc1cccc(NC(=O)C[C@H](C)n2cc[nH+]c2)c1C ZINC000583937708 1119437825 /nfs/dbraw/zinc/43/78/25/1119437825.db2.gz XOKGJWDBYWUIMK-NSHDSACASA-N 1 2 289.404 3.503 20 0 CHADLO C[N@H+](Cc1nccs1)[C@@H]1CCc2ccc(Cl)cc21 ZINC000343529519 1119443497 /nfs/dbraw/zinc/44/34/97/1119443497.db2.gz BSGRFOZECUQJPO-CYBMUJFWSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1nccs1)[C@@H]1CCc2ccc(Cl)cc21 ZINC000343529519 1119443500 /nfs/dbraw/zinc/44/35/00/1119443500.db2.gz BSGRFOZECUQJPO-CYBMUJFWSA-N 1 2 278.808 3.916 20 0 CHADLO Fc1ccc(C2([NH2+]C/C(Cl)=C/Cl)CC2)cc1 ZINC000309522303 1119447764 /nfs/dbraw/zinc/44/77/64/1119447764.db2.gz BOPYXVIHMWPWPJ-YFHOEESVSA-N 1 2 260.139 3.723 20 0 CHADLO c1sc(C[N@@H+]2CC[C@@H]2c2ccccc2)nc1C1CC1 ZINC000343592608 1119465424 /nfs/dbraw/zinc/46/54/24/1119465424.db2.gz ZNAQWMZPPMOLAI-OAHLLOKOSA-N 1 2 270.401 3.968 20 0 CHADLO c1sc(C[N@H+]2CC[C@@H]2c2ccccc2)nc1C1CC1 ZINC000343592608 1119465426 /nfs/dbraw/zinc/46/54/26/1119465426.db2.gz ZNAQWMZPPMOLAI-OAHLLOKOSA-N 1 2 270.401 3.968 20 0 CHADLO CCn1cc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)cs2)cn1 ZINC000133543210 1119471802 /nfs/dbraw/zinc/47/18/02/1119471802.db2.gz BMORFDFFFHHRPT-LLVKDONJSA-N 1 2 292.452 3.508 20 0 CHADLO COc1cc([C@@H](C)[NH2+]Cc2cscn2)ccc1SC ZINC000127380252 1119487001 /nfs/dbraw/zinc/48/70/01/1119487001.db2.gz SXELAASQWBBDLV-SNVBAGLBSA-N 1 2 294.445 3.724 20 0 CHADLO Cn1cc(Cl)c(C[NH2+][C@@H]2CCc3cc(Cl)ccc32)n1 ZINC000335619187 1119490653 /nfs/dbraw/zinc/49/06/53/1119490653.db2.gz WQDBSCSHBFFAAA-CYBMUJFWSA-N 1 2 296.201 3.504 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2F)[N@H+](Cc2nccs2)C1 ZINC000135104525 1119494531 /nfs/dbraw/zinc/49/45/31/1119494531.db2.gz YERPPCYXHRTXRQ-SMDDNHRTSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2F)[N@@H+](Cc2nccs2)C1 ZINC000135104525 1119494534 /nfs/dbraw/zinc/49/45/34/1119494534.db2.gz YERPPCYXHRTXRQ-SMDDNHRTSA-N 1 2 276.380 3.865 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2cccc(Cl)c2)[nH+]1 ZINC000080762255 1119503876 /nfs/dbraw/zinc/50/38/76/1119503876.db2.gz NCGDPLJRFBLKHS-UHFFFAOYSA-N 1 2 272.739 3.532 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2CCc3cc(F)ccc32)[nH+]1 ZINC000080950323 1119507064 /nfs/dbraw/zinc/50/70/64/1119507064.db2.gz LPMKJSFOKDNXOJ-CQSZACIVSA-N 1 2 282.322 3.505 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2cc3ccccc3o2)[nH+]1 ZINC000080948026 1119507086 /nfs/dbraw/zinc/50/70/86/1119507086.db2.gz FISNSKRHPYSJCB-UHFFFAOYSA-N 1 2 278.315 3.625 20 0 CHADLO Cc1csc([C@@H](Nc2[nH+]c(C)nc3[nH]ccc32)C2CC2)n1 ZINC000081019170 1119509655 /nfs/dbraw/zinc/50/96/55/1119509655.db2.gz QHFUMIRJBCBBIM-LBPRGKRZSA-N 1 2 299.403 3.594 20 0 CHADLO CC1(c2ccccc2)C[NH+](Cc2ccncc2Cl)C1 ZINC000628361526 1128851366 /nfs/dbraw/zinc/85/13/66/1128851366.db2.gz LBTRZEJYNZZCGR-UHFFFAOYSA-N 1 2 272.779 3.509 20 0 CHADLO Clc1cccnc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000088714989 1119537199 /nfs/dbraw/zinc/53/71/99/1119537199.db2.gz LPWWPARMAZORCQ-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1OC(F)F)c1ccco1 ZINC000090177431 1119546311 /nfs/dbraw/zinc/54/63/11/1119546311.db2.gz VQZSRDISXIVQDL-VIFPVBQESA-N 1 2 285.265 3.871 20 0 CHADLO c1n[nH]c2c1[C@H]([NH2+]Cc1cccc3ccccc31)CCC2 ZINC000353489430 1128855244 /nfs/dbraw/zinc/85/52/44/1128855244.db2.gz BLCYSCKIBPUGTL-QGZVFWFLSA-N 1 2 277.371 3.730 20 0 CHADLO Cc1ccc2cc(CNc3ccc(N(C)C)[nH+]c3)ccc2n1 ZINC000318295458 1119585284 /nfs/dbraw/zinc/58/52/84/1119585284.db2.gz RKOIIHMQSIEENM-UHFFFAOYSA-N 1 2 292.386 3.616 20 0 CHADLO Cn1c[nH+]cc1COc1ccc(Cc2ccccc2)cc1 ZINC000197455112 1119586580 /nfs/dbraw/zinc/58/65/80/1119586580.db2.gz VTJUITRJRPYYPV-UHFFFAOYSA-N 1 2 278.355 3.590 20 0 CHADLO Fc1ccc(C[N@@H+]2CCO[C@H](CCc3ccccc3)C2)cc1 ZINC000206690049 1119587510 /nfs/dbraw/zinc/58/75/10/1119587510.db2.gz JZZPOYFHLLGSFY-LJQANCHMSA-N 1 2 299.389 3.659 20 0 CHADLO Fc1ccc(C[N@H+]2CCO[C@H](CCc3ccccc3)C2)cc1 ZINC000206690049 1119587512 /nfs/dbraw/zinc/58/75/12/1119587512.db2.gz JZZPOYFHLLGSFY-LJQANCHMSA-N 1 2 299.389 3.659 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCn2ccnc21)c1ccc(Cl)cc1Cl ZINC000294200301 1119590012 /nfs/dbraw/zinc/59/00/12/1119590012.db2.gz SXJRUWQLPJWEFA-TVQRCGJNSA-N 1 2 296.201 3.986 20 0 CHADLO C[C@@H]1CC(C)(C)C[C@@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000235249354 1119592475 /nfs/dbraw/zinc/59/24/75/1119592475.db2.gz AYJQWVGZFAJHRD-PBHICJAKSA-N 1 2 288.435 3.760 20 0 CHADLO c1cncc([C@H]2CCC[N@H+]2Cc2nc3c(s2)CCCC3)c1 ZINC000685452975 1119610901 /nfs/dbraw/zinc/61/09/01/1119610901.db2.gz SMJVYAIBHJMZCU-OAHLLOKOSA-N 1 2 299.443 3.754 20 0 CHADLO c1cncc([C@H]2CCC[N@@H+]2Cc2nc3c(s2)CCCC3)c1 ZINC000685452975 1119610903 /nfs/dbraw/zinc/61/09/03/1119610903.db2.gz SMJVYAIBHJMZCU-OAHLLOKOSA-N 1 2 299.443 3.754 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCc3cc(Cl)ccc3C2)o1 ZINC000685575025 1119634354 /nfs/dbraw/zinc/63/43/54/1119634354.db2.gz GSVPSEIVFROUCX-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCc3cc(Cl)ccc3C2)o1 ZINC000685575025 1119634356 /nfs/dbraw/zinc/63/43/56/1119634356.db2.gz GSVPSEIVFROUCX-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO CCc1nc(CNc2cc(C)[nH+]c(C3CCC3)n2)cs1 ZINC000892413326 1119648515 /nfs/dbraw/zinc/64/85/15/1119648515.db2.gz HKJDLKHMZFPFQU-UHFFFAOYSA-N 1 2 288.420 3.684 20 0 CHADLO c1cc(N[C@@H]2CCCSCC2)[nH+]cc1N1CCCCC1 ZINC000657943700 1119648950 /nfs/dbraw/zinc/64/89/50/1119648950.db2.gz UTXQYKAJBDBKDD-CQSZACIVSA-N 1 2 291.464 3.770 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@H]2CCc3[nH+]ccn3C2)c1 ZINC000628430830 1128860404 /nfs/dbraw/zinc/86/04/04/1128860404.db2.gz IGICQNMJRZVAOY-ZFWWWQNUSA-N 1 2 297.402 3.598 20 0 CHADLO Clc1cccc([C@@H]2C[N@H+](Cc3ccccc3)CCO2)c1 ZINC000196052662 1128861088 /nfs/dbraw/zinc/86/10/88/1128861088.db2.gz IRIVXYVHDRTKOP-KRWDZBQOSA-N 1 2 287.790 3.914 20 0 CHADLO Clc1cccc([C@@H]2C[N@@H+](Cc3ccccc3)CCO2)c1 ZINC000196052662 1128861096 /nfs/dbraw/zinc/86/10/96/1128861096.db2.gz IRIVXYVHDRTKOP-KRWDZBQOSA-N 1 2 287.790 3.914 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+][C@@H]3C[C@H](C)n4ncnc43)oc2c1 ZINC000658103238 1119686084 /nfs/dbraw/zinc/68/60/84/1119686084.db2.gz UKSXNKNIFXUXLV-SGMGOOAPSA-N 1 2 296.374 3.689 20 0 CHADLO CC[C@H]([NH2+]Cc1nccc(OC)c1F)c1ccccc1F ZINC000658220969 1119703859 /nfs/dbraw/zinc/70/38/59/1119703859.db2.gz CBPUTOGTMRFBBU-ZDUSSCGKSA-N 1 2 292.329 3.609 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2ccc(F)c(Cl)c21)c1nccn1C ZINC000658339844 1119728058 /nfs/dbraw/zinc/72/80/58/1119728058.db2.gz WGERJNKQNFYXAE-CABZTGNLSA-N 1 2 293.773 3.551 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)C1(Cl)CC1 ZINC000695650117 1119740368 /nfs/dbraw/zinc/74/03/68/1119740368.db2.gz URFWWZJINJOVKS-GFCCVEGCSA-N 1 2 264.800 3.859 20 0 CHADLO C[C@@H]([NH2+]Cc1ccns1)c1c(F)cccc1Cl ZINC000404301693 1119751681 /nfs/dbraw/zinc/75/16/81/1119751681.db2.gz ZPDUJVRSZNEYNW-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1cscn1)c1ccc(F)cc1F ZINC000150880261 1119763362 /nfs/dbraw/zinc/76/33/62/1119763362.db2.gz WFYXRNCSGUHVBB-CQSZACIVSA-N 1 2 282.359 3.908 20 0 CHADLO C[C@H]([NH2+][C@H]1COCc2cccc(Cl)c21)c1ccccn1 ZINC000924544737 1119788248 /nfs/dbraw/zinc/78/82/48/1119788248.db2.gz WJIWQWKCVKELJI-NHYWBVRUSA-N 1 2 288.778 3.657 20 0 CHADLO C/C=C/C[C@H]1CCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000626163465 1119795337 /nfs/dbraw/zinc/79/53/37/1119795337.db2.gz KTCBOMZDECYQGJ-VUDBWIFFSA-N 1 2 270.829 3.975 20 0 CHADLO C/C=C/C[C@H]1CCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000626163465 1119795340 /nfs/dbraw/zinc/79/53/40/1119795340.db2.gz KTCBOMZDECYQGJ-VUDBWIFFSA-N 1 2 270.829 3.975 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CC(C)(C)CCC3(C)C)ccn12 ZINC000628533610 1128869925 /nfs/dbraw/zinc/86/99/25/1128869925.db2.gz KOOUWUWBCNFNHR-UHFFFAOYSA-N 1 2 299.418 3.684 20 0 CHADLO C[C@@H]([NH2+]C[C@@]1(C)CC1(F)F)C(F)(F)c1ccccc1 ZINC000926703187 1119828680 /nfs/dbraw/zinc/82/86/80/1119828680.db2.gz DNSJGYUDARHUED-ZYHUDNBSSA-N 1 2 275.289 3.802 20 0 CHADLO Cc1ccccc1-c1noc(C[NH2+][C@@H](C)c2ccccc2)n1 ZINC000589031896 1119872648 /nfs/dbraw/zinc/87/26/48/1119872648.db2.gz POASAYOLDHRMKL-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C2CC2)cc1F)c1cc2n(n1)CCC2 ZINC000414122356 1119907422 /nfs/dbraw/zinc/90/74/22/1119907422.db2.gz FXNBWVQCPWVVRM-GFCCVEGCSA-N 1 2 299.393 3.697 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nnsc2Cl)[C@@H]2CCCC[C@H]12 ZINC000613415422 1119919055 /nfs/dbraw/zinc/91/90/55/1119919055.db2.gz FXTNGVRIJFGKFS-CKYFFXLPSA-N 1 2 285.844 3.592 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nnsc2Cl)[C@@H]2CCCC[C@H]12 ZINC000613415422 1119919057 /nfs/dbraw/zinc/91/90/57/1119919057.db2.gz FXTNGVRIJFGKFS-CKYFFXLPSA-N 1 2 285.844 3.592 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)c1ccc(SC2CC2)cc1 ZINC001625885092 1119966418 /nfs/dbraw/zinc/96/64/18/1119966418.db2.gz ZZRMLTFXCUUCPT-UHFFFAOYSA-N 1 2 298.411 3.627 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1cc2c(s1)CCC2 ZINC000414290623 1119968284 /nfs/dbraw/zinc/96/82/84/1119968284.db2.gz AFGIGDNCZFUDEJ-DTWKUNHWSA-N 1 2 279.434 3.500 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cc2c(s1)CCC2)c1csnn1 ZINC000414290625 1119968539 /nfs/dbraw/zinc/96/85/39/1119968539.db2.gz AFGIGDNCZFUDEJ-IUCAKERBSA-N 1 2 279.434 3.500 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC[C@]1(C)CCCS1 ZINC000327590405 1119973083 /nfs/dbraw/zinc/97/30/83/1119973083.db2.gz GVUZUKFGPQJHCL-HNNXBMFYSA-N 1 2 264.438 3.759 20 0 CHADLO Cc1cc2c(o1)CC(C)(C)C[C@H]2[NH2+]Cc1nnc(C)s1 ZINC000892608289 1119976545 /nfs/dbraw/zinc/97/65/45/1119976545.db2.gz PLSINYPDFWTKIT-GFCCVEGCSA-N 1 2 291.420 3.551 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H](C)C[C@@H]1CCOC1 ZINC000685910633 1119982768 /nfs/dbraw/zinc/98/27/68/1119982768.db2.gz KGFQSXDBORTOLF-KBPBESRZSA-N 1 2 270.376 3.770 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1cc(F)cc(F)c1 ZINC000414323467 1119983856 /nfs/dbraw/zinc/98/38/56/1119983856.db2.gz IUEJNWJVGCCYKK-MRVPVSSYSA-N 1 2 295.358 3.545 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)nn1 ZINC000414374177 1120004550 /nfs/dbraw/zinc/00/45/50/1120004550.db2.gz VDXINAAMKYQQEG-SJKOYZFVSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccc([C@@H]3C[C@H]3C)o2)C2CC2)nn1 ZINC000414374177 1120004552 /nfs/dbraw/zinc/00/45/52/1120004552.db2.gz VDXINAAMKYQQEG-SJKOYZFVSA-N 1 2 297.402 3.666 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCCC(F)(F)CC2)cc1F ZINC000628422222 1120038006 /nfs/dbraw/zinc/03/80/06/1120038006.db2.gz SSCKJOZPQPCBDX-UHFFFAOYSA-N 1 2 279.252 3.725 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCCC(F)(F)CC2)cc1F ZINC000628422222 1120038007 /nfs/dbraw/zinc/03/80/07/1120038007.db2.gz SSCKJOZPQPCBDX-UHFFFAOYSA-N 1 2 279.252 3.725 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@H+]1Cc1cc(O)cc(F)c1 ZINC000545328940 1120038354 /nfs/dbraw/zinc/03/83/54/1120038354.db2.gz KKAWNEJIAJEBOT-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H]1c2c(F)cccc2CC[N@@H+]1Cc1cc(O)cc(F)c1 ZINC000545328940 1120038357 /nfs/dbraw/zinc/03/83/57/1120038357.db2.gz KKAWNEJIAJEBOT-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)C(C)(C)C)cc2)[nH+]c1C ZINC000921669710 1120063694 /nfs/dbraw/zinc/06/36/94/1120063694.db2.gz FKRWANUOSIEDSF-UHFFFAOYSA-N 1 2 271.364 3.678 20 0 CHADLO Cc1ccc(Nc2ccc(Br)cn2)c(C)[nH+]1 ZINC000094666970 1120064010 /nfs/dbraw/zinc/06/40/10/1120064010.db2.gz IJUAZKSWWDQHFK-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Fc1ccc(F)c(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001239711993 1120076576 /nfs/dbraw/zinc/07/65/76/1120076576.db2.gz KWNPNEUJHVPARO-UHFFFAOYSA-N 1 2 270.282 3.877 20 0 CHADLO Cc1cnc([C@H](Nc2[nH+]c3ccccc3n2C)C2CC2)s1 ZINC000178812919 1120084883 /nfs/dbraw/zinc/08/48/83/1120084883.db2.gz YHYTXTMSLAHQCP-CQSZACIVSA-N 1 2 298.415 3.901 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCCC[C@H]2CCC)no1 ZINC000179523177 1120093533 /nfs/dbraw/zinc/09/35/33/1120093533.db2.gz VMUFKPHPCQUSIE-CYBMUJFWSA-N 1 2 265.401 3.567 20 0 CHADLO CCCCc1nc(C[N@H+]2CCCC[C@H]2CCC)no1 ZINC000179523177 1120093541 /nfs/dbraw/zinc/09/35/41/1120093541.db2.gz VMUFKPHPCQUSIE-CYBMUJFWSA-N 1 2 265.401 3.567 20 0 CHADLO C/C(=C\c1ccc(C)cc1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000779357476 1128890306 /nfs/dbraw/zinc/89/03/06/1128890306.db2.gz MBGWNQYZNMYWJS-WYMLVPIESA-N 1 2 291.354 3.685 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)c(C)o1)c1ccc(OC)cc1 ZINC000181833491 1120108966 /nfs/dbraw/zinc/10/89/66/1120108966.db2.gz LHGCEKOPRLWECJ-HNNXBMFYSA-N 1 2 274.364 3.541 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(OC(F)F)cc2)c(C)o1 ZINC000659812903 1120115570 /nfs/dbraw/zinc/11/55/70/1120115570.db2.gz PLINLXLHEBYRCY-UHFFFAOYSA-N 1 2 296.317 3.525 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(OC(F)F)cc2)c(C)o1 ZINC000659812903 1120115573 /nfs/dbraw/zinc/11/55/73/1120115573.db2.gz PLINLXLHEBYRCY-UHFFFAOYSA-N 1 2 296.317 3.525 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccccc2C(F)(F)F)c(C)o1 ZINC000659822660 1120118302 /nfs/dbraw/zinc/11/83/02/1120118302.db2.gz NMNXRKJMZPJRBE-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccccc2C(F)(F)F)c(C)o1 ZINC000659822660 1120118304 /nfs/dbraw/zinc/11/83/04/1120118304.db2.gz NMNXRKJMZPJRBE-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO c1ccn(-c2ccc[nH+]c2N[C@@H]2CCNc3ccccc32)c1 ZINC001168640697 1120127684 /nfs/dbraw/zinc/12/76/84/1120127684.db2.gz MBFAOLWKENSLSL-MRXNPFEDSA-N 1 2 290.370 3.841 20 0 CHADLO CCc1[nH]nc(Cl)c1C[NH2+][C@H](CC)c1nc(C)cs1 ZINC000921697947 1120140777 /nfs/dbraw/zinc/14/07/77/1120140777.db2.gz JCJPVBYMACAZKV-LLVKDONJSA-N 1 2 298.843 3.631 20 0 CHADLO Cc1[nH+]cc(CNc2cnn(C(C)C)c2)n1-c1ccccc1 ZINC000378914786 1120141624 /nfs/dbraw/zinc/14/16/24/1120141624.db2.gz LHYIHFMUNVQARD-UHFFFAOYSA-N 1 2 295.390 3.570 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](C)c2c(F)cccc2F)c1 ZINC000328095856 1120151718 /nfs/dbraw/zinc/15/17/18/1120151718.db2.gz JRYXBUJXFMHJEO-NSHDSACASA-N 1 2 262.303 3.519 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1noc(C2CCCCC2)n1 ZINC000362601694 1120159789 /nfs/dbraw/zinc/15/97/89/1120159789.db2.gz IZCJHHNVWHPYAV-ZDUSSCGKSA-N 1 2 277.412 3.882 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1noc(C2CCCCC2)n1 ZINC000362601694 1120159792 /nfs/dbraw/zinc/15/97/92/1120159792.db2.gz IZCJHHNVWHPYAV-ZDUSSCGKSA-N 1 2 277.412 3.882 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(Cl)n2)CC2(CCCC2)O1 ZINC000190982797 1128895390 /nfs/dbraw/zinc/89/53/90/1128895390.db2.gz NOKUUEQMHSAEQI-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(Cl)n2)CC2(CCCC2)O1 ZINC000190982797 1128895394 /nfs/dbraw/zinc/89/53/94/1128895394.db2.gz NOKUUEQMHSAEQI-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO CC[N@H+](C)[C@H](c1nc(C2(C)CCCC2)no1)c1ccccc1 ZINC000624759076 1120167930 /nfs/dbraw/zinc/16/79/30/1120167930.db2.gz KCXGQQNXOYDUOA-HNNXBMFYSA-N 1 2 299.418 3.942 20 0 CHADLO CC[N@@H+](C)[C@H](c1nc(C2(C)CCCC2)no1)c1ccccc1 ZINC000624759076 1120167933 /nfs/dbraw/zinc/16/79/33/1120167933.db2.gz KCXGQQNXOYDUOA-HNNXBMFYSA-N 1 2 299.418 3.942 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1nsc2ccccc12 ZINC000659850699 1120189577 /nfs/dbraw/zinc/18/95/77/1120189577.db2.gz BPEOUNFWQVENSP-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1nsc2ccccc12 ZINC000659850699 1120189579 /nfs/dbraw/zinc/18/95/79/1120189579.db2.gz BPEOUNFWQVENSP-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO CCOc1ccc(C[NH2+][C@@](C)(CC)c2nccs2)o1 ZINC000892992333 1120191829 /nfs/dbraw/zinc/19/18/29/1120191829.db2.gz UTQNTOLEFIUEJW-AWEZNQCLSA-N 1 2 280.393 3.550 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1nc2c(s1)CCC2 ZINC000685469970 1120195694 /nfs/dbraw/zinc/19/56/94/1120195694.db2.gz ZIWBHYKXVBDSHW-AWEZNQCLSA-N 1 2 287.432 3.610 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1nc2c(s1)CCC2 ZINC000685469970 1120195696 /nfs/dbraw/zinc/19/56/96/1120195696.db2.gz ZIWBHYKXVBDSHW-AWEZNQCLSA-N 1 2 287.432 3.610 20 0 CHADLO Nc1ccc(Cl)cc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212435787 1120200410 /nfs/dbraw/zinc/20/04/10/1120200410.db2.gz ODCBKALDRBXSAP-UHFFFAOYSA-N 1 2 298.777 3.911 20 0 CHADLO CCC[N@@H+](Cc1ncsc1Br)CC(C)C ZINC000659860590 1120203636 /nfs/dbraw/zinc/20/36/36/1120203636.db2.gz YZAWWROVWPGSAK-UHFFFAOYSA-N 1 2 291.258 3.774 20 0 CHADLO CCC[N@H+](Cc1ncsc1Br)CC(C)C ZINC000659860590 1120203640 /nfs/dbraw/zinc/20/36/40/1120203640.db2.gz YZAWWROVWPGSAK-UHFFFAOYSA-N 1 2 291.258 3.774 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1csc(C(C)(C)C)n1 ZINC000073007190 1120206590 /nfs/dbraw/zinc/20/65/90/1120206590.db2.gz DLLNOMFGKXBMKW-VIFPVBQESA-N 1 2 293.436 3.896 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccccc2OC(C)C)[nH]c1C ZINC000565242710 1120207905 /nfs/dbraw/zinc/20/79/05/1120207905.db2.gz QRUBMFBQKYHQOR-AWEZNQCLSA-N 1 2 287.407 3.665 20 0 CHADLO Cc1[nH]c(CN[C@@H](C)c2ccccc2OC(C)C)[nH+]c1C ZINC000565242710 1120207907 /nfs/dbraw/zinc/20/79/07/1120207907.db2.gz QRUBMFBQKYHQOR-AWEZNQCLSA-N 1 2 287.407 3.665 20 0 CHADLO CC(C)Oc1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001239959803 1120218748 /nfs/dbraw/zinc/21/87/48/1120218748.db2.gz FSQBZDBJOQFIRN-UHFFFAOYSA-N 1 2 252.317 3.789 20 0 CHADLO Cc1cc(NC(=O)/C=C/c2ccc(Cl)cc2)cc[nH+]1 ZINC000075284909 1120235972 /nfs/dbraw/zinc/23/59/72/1120235972.db2.gz QDPCIXZKVYYAGQ-QPJJXVBHSA-N 1 2 272.735 3.695 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(F)c(O)c(Cl)c2)[nH+]1 ZINC001214480440 1120240558 /nfs/dbraw/zinc/24/05/58/1120240558.db2.gz QKENZKNNVKOJIT-UHFFFAOYSA-N 1 2 291.713 3.884 20 0 CHADLO C[C@H](Nc1cc(-c2ccncc2)cc[nH+]1)C(C)(C)C ZINC001168681904 1120240935 /nfs/dbraw/zinc/24/09/35/1120240935.db2.gz BEWLASVGCDEHKG-LBPRGKRZSA-N 1 2 255.365 3.990 20 0 CHADLO CCc1cc(N2C[C@@H](C)C[C@@H]2c2cccnc2)nc(CC)[nH+]1 ZINC000893154986 1120241141 /nfs/dbraw/zinc/24/11/41/1120241141.db2.gz CISRPIKGIFESFW-XJKSGUPXSA-N 1 2 296.418 3.584 20 0 CHADLO c1cc2cc(NCc3nccc4ccccc43)[nH+]cc2[nH]1 ZINC000672158899 1120245373 /nfs/dbraw/zinc/24/53/73/1120245373.db2.gz RNJKOMXXTKVNBM-UHFFFAOYSA-N 1 2 274.327 3.723 20 0 CHADLO C[C@@H](F)CCNc1[nH+]cccc1OCc1ccccc1 ZINC000338751801 1120254925 /nfs/dbraw/zinc/25/49/25/1120254925.db2.gz QHDSODOJQGUBQE-CYBMUJFWSA-N 1 2 274.339 3.821 20 0 CHADLO CCOc1cc(CNc2cc3cc[nH]c3c[nH+]2)ccc1OC ZINC000672216768 1120255984 /nfs/dbraw/zinc/25/59/84/1120255984.db2.gz RFRXTHPGQFODCD-UHFFFAOYSA-N 1 2 297.358 3.582 20 0 CHADLO Cc1nc([C@H]([NH2+][C@@H](C)C2CC2)c2ccc(Cl)cc2)no1 ZINC000179889360 1120256694 /nfs/dbraw/zinc/25/66/94/1120256694.db2.gz VHNVSTFUGOSNSB-LKFCYVNXSA-N 1 2 291.782 3.509 20 0 CHADLO Cn1cc(CNc2ccc([NH+](C)C)cc2)c(C2CCCC2)n1 ZINC000921863293 1120258177 /nfs/dbraw/zinc/25/81/77/1120258177.db2.gz OPFUNDLLJSULIC-UHFFFAOYSA-N 1 2 298.434 3.756 20 0 CHADLO CC[C@]1(Nc2cc(C(C)C)[nH+]c(C(C)C)n2)CCOC1 ZINC000672276172 1120273277 /nfs/dbraw/zinc/27/32/77/1120273277.db2.gz ZLSCLFNRRVUCIN-INIZCTEOSA-N 1 2 277.412 3.704 20 0 CHADLO C=CC[N@@H+](CCOC)Cc1cc2ccccc2nc1Cl ZINC000191096061 1128904080 /nfs/dbraw/zinc/90/40/80/1128904080.db2.gz IONQGXDLOMMUEA-UHFFFAOYSA-N 1 2 290.794 3.523 20 0 CHADLO C=CC[N@H+](CCOC)Cc1cc2ccccc2nc1Cl ZINC000191096061 1128904085 /nfs/dbraw/zinc/90/40/85/1128904085.db2.gz IONQGXDLOMMUEA-UHFFFAOYSA-N 1 2 290.794 3.523 20 0 CHADLO C[C@H]1CCCCC[N@@H+]1Cc1ncsc1Br ZINC000659924469 1120289116 /nfs/dbraw/zinc/28/91/16/1120289116.db2.gz ASMDWZVSFPHNFA-VIFPVBQESA-N 1 2 289.242 3.670 20 0 CHADLO C[C@H]1CCCCC[N@H+]1Cc1ncsc1Br ZINC000659924469 1120289119 /nfs/dbraw/zinc/28/91/19/1120289119.db2.gz ASMDWZVSFPHNFA-VIFPVBQESA-N 1 2 289.242 3.670 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(Cl)c(C)c2Cl)ncn1 ZINC000921937384 1120292714 /nfs/dbraw/zinc/29/27/14/1120292714.db2.gz BBDDJZQDSYESTO-UHFFFAOYSA-N 1 2 296.201 3.690 20 0 CHADLO Cc1cc(C[N@H+](C)C[C@@H]2CCC3(CCCCCC3)O2)no1 ZINC000929258814 1120303707 /nfs/dbraw/zinc/30/37/07/1120303707.db2.gz GZJPPZFYGHIMOG-INIZCTEOSA-N 1 2 292.423 3.687 20 0 CHADLO Cc1cc(C[N@@H+](C)C[C@@H]2CCC3(CCCCCC3)O2)no1 ZINC000929258814 1120303711 /nfs/dbraw/zinc/30/37/11/1120303711.db2.gz GZJPPZFYGHIMOG-INIZCTEOSA-N 1 2 292.423 3.687 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cc3ccccc3o2)sn1 ZINC000659979497 1120319991 /nfs/dbraw/zinc/31/99/91/1120319991.db2.gz MEGOBWQCPASEGC-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cc3ccccc3o2)sn1 ZINC000659979497 1120319996 /nfs/dbraw/zinc/31/99/96/1120319996.db2.gz MEGOBWQCPASEGC-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO Cc1ncc([C@H](C)Nc2ccc([NH+](C)C)cc2)s1 ZINC000182489337 1120322356 /nfs/dbraw/zinc/32/23/56/1120322356.db2.gz YNPAXIABTDHWCZ-JTQLQIEISA-N 1 2 261.394 3.691 20 0 CHADLO CCc1nc(C)c([C@H](C)[NH2+][C@@H]2CCCC2(F)F)s1 ZINC000672622491 1120334805 /nfs/dbraw/zinc/33/48/05/1120334805.db2.gz ZJYPQOCYIIOTOY-WCBMZHEXSA-N 1 2 274.380 3.852 20 0 CHADLO C[NH2+]c1ccc(NCc2ccc(OCC(F)F)cc2)cc1 ZINC000629387503 1128909087 /nfs/dbraw/zinc/90/90/87/1128909087.db2.gz DGHBSVUEMLXLRJ-UHFFFAOYSA-N 1 2 292.329 3.984 20 0 CHADLO Fc1ccccc1CCOc1cccc(-n2cc[nH+]c2)c1 ZINC000431886306 1120349037 /nfs/dbraw/zinc/34/90/37/1120349037.db2.gz DBVUDEUPUKLZDV-UHFFFAOYSA-N 1 2 282.318 3.633 20 0 CHADLO CCOc1cccc(CNc2ccc([NH2+]C)cc2)c1 ZINC000629389980 1128910304 /nfs/dbraw/zinc/91/03/04/1128910304.db2.gz ZOXHZNSPAYNYBG-UHFFFAOYSA-N 1 2 256.349 3.739 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)[nH]1 ZINC000660165372 1120408462 /nfs/dbraw/zinc/40/84/62/1120408462.db2.gz CRGGMNFULXXHFB-CQSZACIVSA-N 1 2 296.418 3.526 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)[nH]1 ZINC000660165372 1120408466 /nfs/dbraw/zinc/40/84/66/1120408466.db2.gz CRGGMNFULXXHFB-CQSZACIVSA-N 1 2 296.418 3.526 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cscn1)c1ccccc1OC ZINC000162355383 1120412083 /nfs/dbraw/zinc/41/20/83/1120412083.db2.gz ZSQXNWLEAAHFAX-YPMHNXCESA-N 1 2 276.405 3.954 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000624147466 1120420655 /nfs/dbraw/zinc/42/06/55/1120420655.db2.gz BBIZJJGKGKURJR-JTQLQIEISA-N 1 2 296.361 3.612 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cccc(OC(C)C)c2)co1 ZINC000660184756 1120422776 /nfs/dbraw/zinc/42/27/76/1120422776.db2.gz RRWZTFQJQOZFQI-GFCCVEGCSA-N 1 2 274.364 3.621 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CC[C@H]2C2CC2)c1Cl ZINC000628297632 1120423988 /nfs/dbraw/zinc/42/39/88/1120423988.db2.gz GMHVHGQBWXCRDG-LBPRGKRZSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CC[C@H]2C2CC2)c1Cl ZINC000628297632 1120423990 /nfs/dbraw/zinc/42/39/90/1120423990.db2.gz GMHVHGQBWXCRDG-LBPRGKRZSA-N 1 2 257.711 3.603 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc2c(cccc2C)[nH]1)C(F)F ZINC000657477547 1120468088 /nfs/dbraw/zinc/46/80/88/1120468088.db2.gz VIUIFNDSAYKUBQ-GFCCVEGCSA-N 1 2 252.308 3.610 20 0 CHADLO CCn1c[nH+]cc1CN(C)[C@H](c1ccccc1)C(F)(F)F ZINC000417774761 1120480122 /nfs/dbraw/zinc/48/01/22/1120480122.db2.gz ZTXCLYYFJFLNON-CQSZACIVSA-N 1 2 297.324 3.638 20 0 CHADLO C[C@H](OC(=O)[C@@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000182001377 1128919090 /nfs/dbraw/zinc/91/90/90/1128919090.db2.gz BSICPMFNPOANGM-WMLDXEAASA-N 1 2 283.371 3.594 20 0 CHADLO CC[C@@H]1[C@H](C)CC[N@@H+]1Cc1ncsc1Br ZINC000660317483 1120494915 /nfs/dbraw/zinc/49/49/15/1120494915.db2.gz PZMOOBHPAXNYIM-PSASIEDQSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1[C@H](C)CC[N@H+]1Cc1ncsc1Br ZINC000660317483 1120494919 /nfs/dbraw/zinc/49/49/19/1120494919.db2.gz PZMOOBHPAXNYIM-PSASIEDQSA-N 1 2 289.242 3.526 20 0 CHADLO FC[C@H]([NH2+]Cc1cccc2c1OCC2)c1ccc(F)cc1 ZINC000922318971 1120508398 /nfs/dbraw/zinc/50/83/98/1120508398.db2.gz VRFCUJLUOFNHMR-INIZCTEOSA-N 1 2 289.325 3.561 20 0 CHADLO CCn1cncc1C[N@@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000418067194 1120513413 /nfs/dbraw/zinc/51/34/13/1120513413.db2.gz OOSRFLKKUXNTFK-CQSZACIVSA-N 1 2 275.421 3.548 20 0 CHADLO CCn1cncc1C[N@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC000418067194 1120513414 /nfs/dbraw/zinc/51/34/14/1120513414.db2.gz OOSRFLKKUXNTFK-CQSZACIVSA-N 1 2 275.421 3.548 20 0 CHADLO CC(C)CN(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CCCC1 ZINC000931076674 1120523013 /nfs/dbraw/zinc/52/30/13/1120523013.db2.gz SXVAJYPANUDABH-UHFFFAOYSA-N 1 2 285.391 3.604 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000397969922 1120537841 /nfs/dbraw/zinc/53/78/41/1120537841.db2.gz ARRHEGCAIZJVGD-BXUZGUMPSA-N 1 2 269.335 3.924 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+]Cc1coc(C)n1 ZINC000660184832 1128923552 /nfs/dbraw/zinc/92/35/52/1128923552.db2.gz UQQBWTOPRWKBLN-OAHLLOKOSA-N 1 2 274.364 3.623 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccc2ncccc2c1 ZINC000398027378 1120556581 /nfs/dbraw/zinc/55/65/81/1120556581.db2.gz FHPZRHUHGWWVFY-CQSZACIVSA-N 1 2 262.303 3.512 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccc2ncccc2c1 ZINC000398027376 1120556867 /nfs/dbraw/zinc/55/68/67/1120556867.db2.gz FHPZRHUHGWWVFY-AWEZNQCLSA-N 1 2 262.303 3.512 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2cc(F)ccc21)c1ncco1 ZINC000925670134 1120557034 /nfs/dbraw/zinc/55/70/34/1120557034.db2.gz IOSXVUVXHJYRKD-YGRLFVJLSA-N 1 2 260.312 3.542 20 0 CHADLO Cc1nc(C)c([C@H](C)[NH2+][C@H]2CCCC2(F)F)s1 ZINC000398133075 1120573225 /nfs/dbraw/zinc/57/32/25/1120573225.db2.gz KEXRGOOPHPLMFW-WPRPVWTQSA-N 1 2 260.353 3.598 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc([C@H]3C[C@H]3C)o2)c1 ZINC000652068932 1120577754 /nfs/dbraw/zinc/57/77/54/1120577754.db2.gz UESLRBKGNJNAHV-RISCZKNCSA-N 1 2 272.348 3.557 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CC(C)(C)OC[C@@H]2C)c1 ZINC000428973518 1120594769 /nfs/dbraw/zinc/59/47/69/1120594769.db2.gz YZSDKWHHEUZJBD-LBPRGKRZSA-N 1 2 299.361 3.596 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CC(C)(C)OC[C@@H]2C)c1 ZINC000428973518 1120594774 /nfs/dbraw/zinc/59/47/74/1120594774.db2.gz YZSDKWHHEUZJBD-LBPRGKRZSA-N 1 2 299.361 3.596 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2c(Cl)ccc3cccnc32)C1 ZINC000652077047 1120596282 /nfs/dbraw/zinc/59/62/82/1120596282.db2.gz LQJYCTZRQWBCJE-LBPRGKRZSA-N 1 2 278.758 3.822 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2c(Cl)ccc3cccnc32)C1 ZINC000652077047 1120596287 /nfs/dbraw/zinc/59/62/87/1120596287.db2.gz LQJYCTZRQWBCJE-LBPRGKRZSA-N 1 2 278.758 3.822 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccc(OCC2CC2)cc1 ZINC000673617341 1120597823 /nfs/dbraw/zinc/59/78/23/1120597823.db2.gz GNSXEYPALXPUGX-HNNXBMFYSA-N 1 2 281.346 3.753 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccc(OCC2CC2)cc1 ZINC000673617342 1120599144 /nfs/dbraw/zinc/59/91/44/1120599144.db2.gz GNSXEYPALXPUGX-OAHLLOKOSA-N 1 2 281.346 3.753 20 0 CHADLO CCc1nc(C)c(C[N@@H+]2CCc3cc(Cl)ccc3C2)o1 ZINC000428987629 1120600485 /nfs/dbraw/zinc/60/04/85/1120600485.db2.gz XIRNNCGLDWOPHH-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO CCc1nc(C)c(C[N@H+]2CCc3cc(Cl)ccc3C2)o1 ZINC000428987629 1120600488 /nfs/dbraw/zinc/60/04/88/1120600488.db2.gz XIRNNCGLDWOPHH-UHFFFAOYSA-N 1 2 290.794 3.757 20 0 CHADLO Cc1cccc2c1C[N@H+](C/C(Cl)=C/Cl)CC2 ZINC000759884061 1120605695 /nfs/dbraw/zinc/60/56/95/1120605695.db2.gz WIIDCSBKKSYDBY-GHXNOFRVSA-N 1 2 256.176 3.672 20 0 CHADLO Cc1cccc2c1C[N@@H+](C/C(Cl)=C/Cl)CC2 ZINC000759884061 1120605698 /nfs/dbraw/zinc/60/56/98/1120605698.db2.gz WIIDCSBKKSYDBY-GHXNOFRVSA-N 1 2 256.176 3.672 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]C/C(C)=C\c2ccccc2)no1 ZINC000500394320 1120607022 /nfs/dbraw/zinc/60/70/22/1120607022.db2.gz LXMVTBRGBICKLX-QBFSEMIESA-N 1 2 285.391 3.560 20 0 CHADLO CCc1ccc(C[N@H+](Cc2ccccc2F)C2CC2)nc1 ZINC000338888824 1120620757 /nfs/dbraw/zinc/62/07/57/1120620757.db2.gz URBYDGHCPKNTQK-UHFFFAOYSA-N 1 2 284.378 3.948 20 0 CHADLO CCc1ccc(C[N@@H+](Cc2ccccc2F)C2CC2)nc1 ZINC000338888824 1120620761 /nfs/dbraw/zinc/62/07/61/1120620761.db2.gz URBYDGHCPKNTQK-UHFFFAOYSA-N 1 2 284.378 3.948 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]Cc2coc(C)n2)cc1 ZINC000660464709 1120631542 /nfs/dbraw/zinc/63/15/42/1120631542.db2.gz MLXFWOFFLKNPPD-JTQLQIEISA-N 1 2 262.378 3.556 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1nc(C)oc1C ZINC000660481430 1120637683 /nfs/dbraw/zinc/63/76/83/1120637683.db2.gz NGTDMLGQEVIRJT-SNVBAGLBSA-N 1 2 276.405 3.864 20 0 CHADLO CCC1CCC([N@H+](C)Cc2noc(C3CCC3)n2)CC1 ZINC000625206358 1120646390 /nfs/dbraw/zinc/64/63/90/1120646390.db2.gz FNXISRZSINYIND-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO CCC1CCC([N@@H+](C)Cc2noc(C3CCC3)n2)CC1 ZINC000625206358 1120646395 /nfs/dbraw/zinc/64/63/95/1120646395.db2.gz FNXISRZSINYIND-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000093841578 1120649562 /nfs/dbraw/zinc/64/95/62/1120649562.db2.gz SMBJYQZNJCPRDR-WTQUMQLASA-N 1 2 283.371 3.756 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000093841578 1120649564 /nfs/dbraw/zinc/64/95/64/1120649564.db2.gz SMBJYQZNJCPRDR-WTQUMQLASA-N 1 2 283.371 3.756 20 0 CHADLO CCSc1ccc(Cn2cc[nH+]c2)c(Br)c1 ZINC000625227291 1120651396 /nfs/dbraw/zinc/65/13/96/1120651396.db2.gz RLVQBJTYNHCMLM-UHFFFAOYSA-N 1 2 297.221 3.806 20 0 CHADLO CC[C@](C)([NH2+]Cc1cc(O)ccc1Cl)c1nccs1 ZINC000625253263 1120654304 /nfs/dbraw/zinc/65/43/04/1120654304.db2.gz FSLCAKUZLQXSIF-AWEZNQCLSA-N 1 2 296.823 3.917 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2cc(O)ccc2Cl)o1 ZINC000625320430 1120667647 /nfs/dbraw/zinc/66/76/47/1120667647.db2.gz OSCDUKCZGCUUNY-SNVBAGLBSA-N 1 2 265.740 3.798 20 0 CHADLO Cc1coc(-c2ccc([C@@H]3CC(=O)CC[N@H+]3C)cc2)c1 ZINC000629735987 1128932039 /nfs/dbraw/zinc/93/20/39/1128932039.db2.gz KWJVXDCEUUZQSK-INIZCTEOSA-N 1 2 269.344 3.591 20 0 CHADLO Cc1coc(-c2ccc([C@@H]3CC(=O)CC[N@@H+]3C)cc2)c1 ZINC000629735987 1128932042 /nfs/dbraw/zinc/93/20/42/1128932042.db2.gz KWJVXDCEUUZQSK-INIZCTEOSA-N 1 2 269.344 3.591 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3O[C@@H](C)C2)c(C)s1 ZINC000429136365 1120672746 /nfs/dbraw/zinc/67/27/46/1120672746.db2.gz QSGQRIFPROEBDN-NSHDSACASA-N 1 2 288.416 3.543 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3O[C@@H](C)C2)c(C)s1 ZINC000429136365 1120672750 /nfs/dbraw/zinc/67/27/50/1120672750.db2.gz QSGQRIFPROEBDN-NSHDSACASA-N 1 2 288.416 3.543 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3O[C@H](C)C2)c(C)s1 ZINC000429136364 1120672763 /nfs/dbraw/zinc/67/27/63/1120672763.db2.gz QSGQRIFPROEBDN-LLVKDONJSA-N 1 2 288.416 3.543 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3O[C@H](C)C2)c(C)s1 ZINC000429136364 1120672768 /nfs/dbraw/zinc/67/27/68/1120672768.db2.gz QSGQRIFPROEBDN-LLVKDONJSA-N 1 2 288.416 3.543 20 0 CHADLO c1cc2cc(NCc3cc4ccccc4[nH]3)[nH+]cc2[nH]1 ZINC000672197800 1120677996 /nfs/dbraw/zinc/67/79/96/1120677996.db2.gz RFLARPJAHFKELR-UHFFFAOYSA-N 1 2 262.316 3.656 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3O[C@@H](C)C2)sc1C ZINC000429148680 1120680593 /nfs/dbraw/zinc/68/05/93/1120680593.db2.gz IHAGBFCPFUXQAR-NSHDSACASA-N 1 2 288.416 3.543 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3O[C@@H](C)C2)sc1C ZINC000429148680 1120680596 /nfs/dbraw/zinc/68/05/96/1120680596.db2.gz IHAGBFCPFUXQAR-NSHDSACASA-N 1 2 288.416 3.543 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)N1CCc2[nH+]c[nH]c2C1 ZINC000625438456 1120680698 /nfs/dbraw/zinc/68/06/98/1120680698.db2.gz RTTDJWPEQHNXEJ-VIFPVBQESA-N 1 2 296.201 3.836 20 0 CHADLO C[N@H+](CCC(C)(C)C1CC1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660768182 1120685660 /nfs/dbraw/zinc/68/56/60/1120685660.db2.gz QOYDJPHVTPHIAJ-INIZCTEOSA-N 1 2 298.434 3.652 20 0 CHADLO C[N@@H+](CCC(C)(C)C1CC1)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660768182 1120685664 /nfs/dbraw/zinc/68/56/64/1120685664.db2.gz QOYDJPHVTPHIAJ-INIZCTEOSA-N 1 2 298.434 3.652 20 0 CHADLO C[C@H](Nc1ccc(F)cc1)c1cn2c([nH+]1)CCCC2 ZINC000925720366 1120696120 /nfs/dbraw/zinc/69/61/20/1120696120.db2.gz SBPUYDRTWBKAAM-NSHDSACASA-N 1 2 259.328 3.532 20 0 CHADLO CCCOc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1F ZINC001211663339 1120697375 /nfs/dbraw/zinc/69/73/75/1120697375.db2.gz FORGZSRZGINGBR-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO c1cn(-c2cccc(N[C@H]3CCCC34CC4)c2)c[nH+]1 ZINC000657961663 1120702205 /nfs/dbraw/zinc/70/22/05/1120702205.db2.gz SRFCYBMLGHWRRP-HNNXBMFYSA-N 1 2 253.349 3.617 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2cccc(C(C)(C)C)c2)n1 ZINC000660927371 1120703496 /nfs/dbraw/zinc/70/34/96/1120703496.db2.gz ZSDFQXXMBHZWSR-LBPRGKRZSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2cccc(C(C)(C)C)c2)n1 ZINC000660927371 1120703502 /nfs/dbraw/zinc/70/35/02/1120703502.db2.gz ZSDFQXXMBHZWSR-LBPRGKRZSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1cc(C[NH2+][C@H]2CCc3ccc(F)c(Cl)c32)on1 ZINC000651751741 1120734999 /nfs/dbraw/zinc/73/49/99/1120734999.db2.gz GEVLANLKACOGDO-LBPRGKRZSA-N 1 2 280.730 3.553 20 0 CHADLO Cc1nc(C(C)C)ccc1C[NH2+][C@@H](C)c1cscn1 ZINC000661415795 1120763153 /nfs/dbraw/zinc/76/31/53/1120763153.db2.gz UHEUYXGYOGNFFD-LBPRGKRZSA-N 1 2 275.421 3.821 20 0 CHADLO COc1ccnc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)c1 ZINC000661447269 1120767071 /nfs/dbraw/zinc/76/70/71/1120767071.db2.gz VGKRVTOMHNFLGM-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ccnc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)c1 ZINC000661447269 1120767074 /nfs/dbraw/zinc/76/70/74/1120767074.db2.gz VGKRVTOMHNFLGM-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](c2ccccc2F)C(C)C)s1 ZINC000661485197 1120770121 /nfs/dbraw/zinc/77/01/21/1120770121.db2.gz OHSRDIIRAGDYEL-OAHLLOKOSA-N 1 2 293.411 3.727 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[NH+]1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000661540116 1120776567 /nfs/dbraw/zinc/77/65/67/1120776567.db2.gz XSBAFAQWEGTKBS-UTUOFQBUSA-N 1 2 265.784 3.558 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[NH+]1C[C@@H](C)C(=O)[C@H](C)C1 ZINC000661547665 1120777252 /nfs/dbraw/zinc/77/72/52/1120777252.db2.gz QXQTVJRBKRPNSR-UTUOFQBUSA-N 1 2 265.784 3.558 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)[C@@H](C)CO1 ZINC000651930794 1120784092 /nfs/dbraw/zinc/78/40/92/1120784092.db2.gz CNOYEPDDGZJBFD-JSGCOSHPSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)[C@@H](C)CO1 ZINC000651930794 1120784095 /nfs/dbraw/zinc/78/40/95/1120784095.db2.gz CNOYEPDDGZJBFD-JSGCOSHPSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@@H]1C[NH+](Cc2cc(Cl)sc2Cl)C[C@@H](C)C1O ZINC000661622258 1120786481 /nfs/dbraw/zinc/78/64/81/1120786481.db2.gz RNGDSJFLVLBLHP-HTQZYQBOSA-N 1 2 294.247 3.504 20 0 CHADLO Fc1cccc([C@H]2COCC[N@@H+]2C[C@@H]2CCC(F)(F)C2)c1 ZINC000661736039 1120805066 /nfs/dbraw/zinc/80/50/66/1120805066.db2.gz JOQSCGLGVRKOLA-IUODEOHRSA-N 1 2 299.336 3.634 20 0 CHADLO Fc1cccc([C@H]2COCC[N@H+]2C[C@@H]2CCC(F)(F)C2)c1 ZINC000661736039 1120805067 /nfs/dbraw/zinc/80/50/67/1120805067.db2.gz JOQSCGLGVRKOLA-IUODEOHRSA-N 1 2 299.336 3.634 20 0 CHADLO C[C@H]([NH2+][C@@H](Cc1ccccc1)c1nccn1C)c1ccco1 ZINC000652273148 1120807232 /nfs/dbraw/zinc/80/72/32/1120807232.db2.gz DEMQALOZOVSZNF-HOCLYGCPSA-N 1 2 295.386 3.648 20 0 CHADLO C[C@@H]([NH2+][C@@H](Cc1ccccc1)c1nccn1C)c1ccco1 ZINC000652273149 1120807545 /nfs/dbraw/zinc/80/75/45/1120807545.db2.gz DEMQALOZOVSZNF-ZBFHGGJFSA-N 1 2 295.386 3.648 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C2CC2)C2CCCC2)c1 ZINC000652331934 1120830624 /nfs/dbraw/zinc/83/06/24/1120830624.db2.gz YUWXEOIPKHVCFC-MRXNPFEDSA-N 1 2 260.381 3.609 20 0 CHADLO CC[C@@H](CC(=O)Nc1cccc2[nH+]ccn21)C(C)(C)C ZINC001126177549 1120841498 /nfs/dbraw/zinc/84/14/98/1120841498.db2.gz GNYIKXVGTIMWMQ-LBPRGKRZSA-N 1 2 273.380 3.735 20 0 CHADLO CCC(CC)CCC[N@H+](C)[C@@H](C)c1noc(C)n1 ZINC000662040036 1120862932 /nfs/dbraw/zinc/86/29/32/1120862932.db2.gz YSTHSRDPLBGFNM-NSHDSACASA-N 1 2 253.390 3.587 20 0 CHADLO CCC(CC)CCC[N@@H+](C)[C@@H](C)c1noc(C)n1 ZINC000662040036 1120862934 /nfs/dbraw/zinc/86/29/34/1120862934.db2.gz YSTHSRDPLBGFNM-NSHDSACASA-N 1 2 253.390 3.587 20 0 CHADLO CC(C)c1[nH+]cc(-c2cncc(C(F)F)c2)n1C ZINC000630052082 1128945837 /nfs/dbraw/zinc/94/58/37/1128945837.db2.gz DQMKOIRMEWAPHC-UHFFFAOYSA-N 1 2 251.280 3.543 20 0 CHADLO CCCCC[C@H]1CCCC[N@@H+]1Cc1noc(CC)n1 ZINC000662158081 1120888309 /nfs/dbraw/zinc/88/83/09/1120888309.db2.gz ZRSMYUSKSRAMNL-ZDUSSCGKSA-N 1 2 265.401 3.567 20 0 CHADLO CCCCC[C@H]1CCCC[N@H+]1Cc1noc(CC)n1 ZINC000662158081 1120888312 /nfs/dbraw/zinc/88/83/12/1120888312.db2.gz ZRSMYUSKSRAMNL-ZDUSSCGKSA-N 1 2 265.401 3.567 20 0 CHADLO Cc1cc(C)c(/C=C\C[NH2+][C@@H](C)c2csnn2)cc1C ZINC000631158885 1120898701 /nfs/dbraw/zinc/89/87/01/1120898701.db2.gz JDGULBMLFHFWRR-GXMNPTEKSA-N 1 2 287.432 3.827 20 0 CHADLO COc1ccccc1C[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000631280885 1120912043 /nfs/dbraw/zinc/91/20/43/1120912043.db2.gz YUHKDXNNZMZMCE-OAHLLOKOSA-N 1 2 277.314 3.635 20 0 CHADLO C[N@@H+](Cc1csc(C(C)(C)C)n1)CC1=CCSC1 ZINC000662269649 1120915511 /nfs/dbraw/zinc/91/55/11/1120915511.db2.gz IZZBEMJVYBRQFY-UHFFFAOYSA-N 1 2 282.478 3.546 20 0 CHADLO C[N@H+](Cc1csc(C(C)(C)C)n1)CC1=CCSC1 ZINC000662269649 1120915515 /nfs/dbraw/zinc/91/55/15/1120915515.db2.gz IZZBEMJVYBRQFY-UHFFFAOYSA-N 1 2 282.478 3.546 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cc(F)ccc1F ZINC000051455438 1120925046 /nfs/dbraw/zinc/92/50/46/1120925046.db2.gz IJKHDPVKRUVCKH-UHFFFAOYSA-N 1 2 253.317 3.658 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cc(F)ccc1F ZINC000051455438 1120925049 /nfs/dbraw/zinc/92/50/49/1120925049.db2.gz IJKHDPVKRUVCKH-UHFFFAOYSA-N 1 2 253.317 3.658 20 0 CHADLO CCc1nnc(C[NH2+][C@@]2(c3ccccc3)CC2(C)C)s1 ZINC000662339807 1120927877 /nfs/dbraw/zinc/92/78/77/1120927877.db2.gz CNNLHDXOKHMSAO-MRXNPFEDSA-N 1 2 287.432 3.516 20 0 CHADLO C[N@@H+](Cc1noc(C2CCCCC2)n1)C[C@@H]1CC1(C)C ZINC000662346671 1120929767 /nfs/dbraw/zinc/92/97/67/1120929767.db2.gz YMPFHKFVKHIRBK-ZDUSSCGKSA-N 1 2 277.412 3.595 20 0 CHADLO C[N@H+](Cc1noc(C2CCCCC2)n1)C[C@@H]1CC1(C)C ZINC000662346671 1120929772 /nfs/dbraw/zinc/92/97/72/1120929772.db2.gz YMPFHKFVKHIRBK-ZDUSSCGKSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)c1cccc(C[NH2+]Cc2nnc(C3CC3)s2)c1 ZINC000663328151 1120933302 /nfs/dbraw/zinc/93/33/02/1120933302.db2.gz KKQBDXXICVEKGZ-UHFFFAOYSA-N 1 2 287.432 3.829 20 0 CHADLO Fc1ccc(-c2ccc(C[N@@H+]3CCO[C@H]4C[C@H]43)s2)cc1 ZINC000644836636 1120952168 /nfs/dbraw/zinc/95/21/68/1120952168.db2.gz BEKWWSIMTLQYBO-CABCVRRESA-N 1 2 289.375 3.527 20 0 CHADLO Fc1ccc(-c2ccc(C[N@H+]3CCO[C@H]4C[C@H]43)s2)cc1 ZINC000644836636 1120952172 /nfs/dbraw/zinc/95/21/72/1120952172.db2.gz BEKWWSIMTLQYBO-CABCVRRESA-N 1 2 289.375 3.527 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2cccc(Cl)c2)s1 ZINC000273722454 1120988006 /nfs/dbraw/zinc/98/80/06/1120988006.db2.gz RXVNBFZMHQIWHO-DTWKUNHWSA-N 1 2 281.812 3.912 20 0 CHADLO Cc1cnccc1C[NH2+][C@H](C(F)F)C1CCCCC1 ZINC000625581184 1121024215 /nfs/dbraw/zinc/02/42/15/1121024215.db2.gz LYMDZGSBRSVFNZ-AWEZNQCLSA-N 1 2 268.351 3.694 20 0 CHADLO COc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1C ZINC001174828749 1121047240 /nfs/dbraw/zinc/04/72/40/1121047240.db2.gz RURLEVCLKPKIIF-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO Cc1cn2cccc(Nc3cc(F)ccc3C)c2[nH+]1 ZINC001174884777 1121058504 /nfs/dbraw/zinc/05/85/04/1121058504.db2.gz VMTWAIMKGKCIGR-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(F)ccc2C)[nH+]1 ZINC001174884672 1121059219 /nfs/dbraw/zinc/05/92/19/1121059219.db2.gz WQHRYWSNSRFQRZ-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1ccc(F)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001174886057 1121060409 /nfs/dbraw/zinc/06/04/09/1121060409.db2.gz RGNPJZQSEJGZLT-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1ccc(O)c(Nc2ccc3ccccc3c2)[nH+]1 ZINC001174873175 1121074536 /nfs/dbraw/zinc/07/45/36/1121074536.db2.gz YNJDOGBYXVUOBH-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO C[C@@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C1CCCC1 ZINC000674083125 1121079534 /nfs/dbraw/zinc/07/95/34/1121079534.db2.gz RDEGACGNAYGBMW-CYBMUJFWSA-N 1 2 283.375 3.637 20 0 CHADLO CCOc1ccc(C[NH2+]C2(C(F)F)CCCC2)cc1 ZINC000674135201 1121093686 /nfs/dbraw/zinc/09/36/86/1121093686.db2.gz FQTWFFNMZSBSIC-UHFFFAOYSA-N 1 2 269.335 3.753 20 0 CHADLO COCc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1 ZINC001174918181 1121094578 /nfs/dbraw/zinc/09/45/78/1121094578.db2.gz SQHMFOYBUZQPLV-UHFFFAOYSA-N 1 2 297.402 3.962 20 0 CHADLO CC[C@@H](C)C[C@H](CC)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348832971 1121097372 /nfs/dbraw/zinc/09/73/72/1121097372.db2.gz KWKLRDAPWIVJMU-ZBFHGGJFSA-N 1 2 286.423 3.953 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccc(F)c3ccccc32)o1 ZINC000344133927 1121099261 /nfs/dbraw/zinc/09/92/61/1121099261.db2.gz ZCJREMBAOSEFSG-NSHDSACASA-N 1 2 299.349 3.775 20 0 CHADLO CCCc1ccccc1Nc1ccc(N2CCOCC2)[nH+]c1 ZINC001174977296 1121100974 /nfs/dbraw/zinc/10/09/74/1121100974.db2.gz JLOGPUQSCGWRFB-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO Cc1ccc(CCCC(=O)Nc2ccc3[nH+]ccn3c2)s1 ZINC000674306476 1121115228 /nfs/dbraw/zinc/11/52/28/1121115228.db2.gz RJRLCPGONYPBRR-UHFFFAOYSA-N 1 2 299.399 3.666 20 0 CHADLO CN(C)c1cccc(Nc2cc(OC(F)(F)F)cc[nH+]2)c1 ZINC001174964464 1121115267 /nfs/dbraw/zinc/11/52/67/1121115267.db2.gz FRRBGKRZTVLNJT-UHFFFAOYSA-N 1 2 297.280 3.790 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C)c(OC)c(C)c1 ZINC001174997674 1121124412 /nfs/dbraw/zinc/12/44/12/1121124412.db2.gz KTOSBXXOUOMPJC-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)cc(OC)c1 ZINC001175009455 1121131333 /nfs/dbraw/zinc/13/13/33/1121131333.db2.gz GDANLVLAJHPZTN-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO COc1cc(F)cc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001175010491 1121132719 /nfs/dbraw/zinc/13/27/19/1121132719.db2.gz UCHJFKIAJPHBNS-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO c1cc(Nc2ccc(N3CCOCC3)[nH+]c2)cc(C2CC2)c1 ZINC001174980130 1121140471 /nfs/dbraw/zinc/14/04/71/1121140471.db2.gz UWCAKHFCMMAMGF-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO Oc1cccc2c1CCC[C@@H]2[NH2+][C@H]1CCCC1(F)F ZINC000643317256 1121143337 /nfs/dbraw/zinc/14/33/37/1121143337.db2.gz ZSWSCVMOQXIDPN-JSGCOSHPSA-N 1 2 267.319 3.547 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccc(Cl)o1 ZINC000162762006 1121156379 /nfs/dbraw/zinc/15/63/79/1121156379.db2.gz LNVCQGCIUJFIIK-UHFFFAOYSA-N 1 2 287.706 3.575 20 0 CHADLO COc1cc(Nc2ccc(O)cc2)[nH+]cc1C(F)(F)F ZINC001175044525 1121157616 /nfs/dbraw/zinc/15/76/16/1121157616.db2.gz YWNPRGFCYKPVLN-UHFFFAOYSA-N 1 2 284.237 3.558 20 0 CHADLO CCOc1cc(Nc2[nH+]cccc2CC)ccc1F ZINC001175050224 1121160158 /nfs/dbraw/zinc/16/01/58/1121160158.db2.gz FVLZTRVTKRZVCS-UHFFFAOYSA-N 1 2 260.312 3.925 20 0 CHADLO Oc1cc(F)c(Nc2ccccc2-n2cc[nH+]c2)c(F)c1 ZINC001175100660 1121165200 /nfs/dbraw/zinc/16/52/00/1121165200.db2.gz TXPJSTZDZQLBPI-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO Cc1csc([C@H](C)Nc2ccc([NH+](C)C)cc2)n1 ZINC000701966400 1121175188 /nfs/dbraw/zinc/17/51/88/1121175188.db2.gz LNBZEFRYBNYULZ-NSHDSACASA-N 1 2 261.394 3.691 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CCO[C@H](C(C)C)C1 ZINC000070349253 1128968081 /nfs/dbraw/zinc/96/80/81/1128968081.db2.gz HFXLHDQODFQAMI-YOEHRIQHSA-N 1 2 276.424 3.677 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@H]1CCO[C@H](C(C)C)C1 ZINC000070349253 1128968083 /nfs/dbraw/zinc/96/80/83/1128968083.db2.gz HFXLHDQODFQAMI-YOEHRIQHSA-N 1 2 276.424 3.677 20 0 CHADLO COc1ccc(F)c(Nc2ccc3c(c2)[nH+]cn3C)c1F ZINC001175118603 1121189520 /nfs/dbraw/zinc/18/95/20/1121189520.db2.gz BWGRQSYNABTICY-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccccc1C(C)=O ZINC001175172093 1121214816 /nfs/dbraw/zinc/21/48/16/1121214816.db2.gz CSOKLAIHFSBKEP-UHFFFAOYSA-N 1 2 254.333 3.899 20 0 CHADLO CC(=O)c1ccccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001175181458 1121220423 /nfs/dbraw/zinc/22/04/23/1121220423.db2.gz SYNPSRSTOPABRW-UHFFFAOYSA-N 1 2 291.354 3.878 20 0 CHADLO CC(=O)c1ccc(Nc2ccc3c(c2)[nH+]cn3C)cc1F ZINC001175232674 1121234660 /nfs/dbraw/zinc/23/46/60/1121234660.db2.gz AKBKBSNQDQKNGX-UHFFFAOYSA-N 1 2 283.306 3.659 20 0 CHADLO CCOc1cccc(Nc2cc(C)[nH+]c(OC)c2)c1F ZINC001175236193 1121238337 /nfs/dbraw/zinc/23/83/37/1121238337.db2.gz LDLVVTLYVUEMGT-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO COc1ccsc1C[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000631284032 1121238341 /nfs/dbraw/zinc/23/83/41/1121238341.db2.gz NKOJXHVHKRBWAO-GFCCVEGCSA-N 1 2 283.343 3.696 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1ncc(C)s1 ZINC000122926190 1121253700 /nfs/dbraw/zinc/25/37/00/1121253700.db2.gz JZWVDZXSDNZLLU-LBPRGKRZSA-N 1 2 276.405 3.619 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC)c(OCC)c1 ZINC001212539589 1121268488 /nfs/dbraw/zinc/26/84/88/1121268488.db2.gz GNDFMXJHFHOCAH-UHFFFAOYSA-N 1 2 288.347 3.631 20 0 CHADLO CCOc1cc(Nc2[nH+]cccc2CC)ccc1OC ZINC001212539552 1121269121 /nfs/dbraw/zinc/26/91/21/1121269121.db2.gz DTKHOUCVQLOVHS-UHFFFAOYSA-N 1 2 272.348 3.795 20 0 CHADLO CC(C)([NH2+]Cc1nc2ccccc2o1)c1ccccc1F ZINC000429248362 1121270059 /nfs/dbraw/zinc/27/00/59/1121270059.db2.gz YXLHVZZQKBPCEO-UHFFFAOYSA-N 1 2 284.334 3.992 20 0 CHADLO Cc1ccc(Cl)c(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001175343027 1121272378 /nfs/dbraw/zinc/27/23/78/1121272378.db2.gz DCBFLLDFXAKZRS-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO CN(C)c1ccc(Nc2cncc(Cl)c2Cl)c[nH+]1 ZINC001175341452 1121272512 /nfs/dbraw/zinc/27/25/12/1121272512.db2.gz RXUCQVNJMCCBIJ-UHFFFAOYSA-N 1 2 283.162 3.593 20 0 CHADLO CN(C)c1ccc(Nc2ccc(Cl)s2)c[nH+]1 ZINC001175342268 1121272810 /nfs/dbraw/zinc/27/28/10/1121272810.db2.gz QYJGMESCKJAIQB-UHFFFAOYSA-N 1 2 253.758 3.606 20 0 CHADLO CN(C)c1ccc(Nc2c(O)ccc3ccccc32)c[nH+]1 ZINC001175342898 1121273037 /nfs/dbraw/zinc/27/30/37/1121273037.db2.gz ALXOCQUHTFADSR-UHFFFAOYSA-N 1 2 279.343 3.750 20 0 CHADLO Cc1c(F)ccc(Nc2ccc(N(C)C)[nH+]c2)c1C ZINC001175345557 1121274684 /nfs/dbraw/zinc/27/46/84/1121274684.db2.gz DRXMJMFSUOITLB-UHFFFAOYSA-N 1 2 259.328 3.647 20 0 CHADLO CCC[C@@H](C)c1cc(Nc2ccc(N(C)C)[nH+]c2)on1 ZINC001175337729 1121289378 /nfs/dbraw/zinc/28/93/78/1121289378.db2.gz KYTJWCGLXPDDMX-LLVKDONJSA-N 1 2 274.368 3.783 20 0 CHADLO CC(=O)c1cc(C)ccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175352434 1121293014 /nfs/dbraw/zinc/29/30/14/1121293014.db2.gz JBPSFSHDBPXRJI-UHFFFAOYSA-N 1 2 295.386 3.936 20 0 CHADLO Nc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(Cl)c1 ZINC001175352267 1121293941 /nfs/dbraw/zinc/29/39/41/1121293941.db2.gz TXZJVHKFGJDQSK-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO CSc1cc(Nc2ccc(-n3cccn3)cc2)cc[nH+]1 ZINC001175369649 1121299071 /nfs/dbraw/zinc/29/90/71/1121299071.db2.gz CBVPUMVVTZOULC-UHFFFAOYSA-N 1 2 282.372 3.733 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432740521 1121306750 /nfs/dbraw/zinc/30/67/50/1121306750.db2.gz XMEZCEYDMALFBO-MRVPVSSYSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000432740521 1121306757 /nfs/dbraw/zinc/30/67/57/1121306757.db2.gz XMEZCEYDMALFBO-MRVPVSSYSA-N 1 2 281.368 3.704 20 0 CHADLO COCC[N@H+](Cc1csc(Cl)c1Cl)C1CC1 ZINC000432752089 1121317177 /nfs/dbraw/zinc/31/71/77/1121317177.db2.gz IGOIHDKTHJCAQG-UHFFFAOYSA-N 1 2 280.220 3.666 20 0 CHADLO COCC[N@@H+](Cc1csc(Cl)c1Cl)C1CC1 ZINC000432752089 1121317185 /nfs/dbraw/zinc/31/71/85/1121317185.db2.gz IGOIHDKTHJCAQG-UHFFFAOYSA-N 1 2 280.220 3.666 20 0 CHADLO Cn1ncc2cc(Nc3cccc(-n4cc[nH+]c4)c3)ccc21 ZINC001175423805 1121321246 /nfs/dbraw/zinc/32/12/46/1121321246.db2.gz FGGIEZOEIBEWQV-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CCCC[C@H](C)N(CCCC)C(=O)CCc1c[nH]c[nH+]1 ZINC000294068341 1121349282 /nfs/dbraw/zinc/34/92/82/1121349282.db2.gz MOXHEJXFXUBBPN-AWEZNQCLSA-N 1 2 279.428 3.550 20 0 CHADLO CCCC[C@H](C)N(CCCC)C(=O)CCc1c[nH+]c[nH]1 ZINC000294068341 1121349292 /nfs/dbraw/zinc/34/92/92/1121349292.db2.gz MOXHEJXFXUBBPN-AWEZNQCLSA-N 1 2 279.428 3.550 20 0 CHADLO OCc1cccc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001175513308 1121354631 /nfs/dbraw/zinc/35/46/31/1121354631.db2.gz UNPDOIDCNWSREG-UHFFFAOYSA-N 1 2 282.387 3.913 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)cc2c[nH]nc21 ZINC001175517332 1121358828 /nfs/dbraw/zinc/35/88/28/1121358828.db2.gz KKKUNOYZAIWESL-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2cc(Cl)sc2Cl)[nH]n1 ZINC000675893295 1121377890 /nfs/dbraw/zinc/37/78/90/1121377890.db2.gz HSBJPTRFHCRTOU-SSDOTTSWSA-N 1 2 290.219 3.937 20 0 CHADLO CC[N@@H+](Cc1csc(CCC(C)C)n1)C[C@@H]1CCCO1 ZINC000051554600 1121382885 /nfs/dbraw/zinc/38/28/85/1121382885.db2.gz BIKMHBAMVOWOMO-HNNXBMFYSA-N 1 2 296.480 3.733 20 0 CHADLO CC[N@H+](Cc1csc(CCC(C)C)n1)C[C@@H]1CCCO1 ZINC000051554600 1121382892 /nfs/dbraw/zinc/38/28/92/1121382892.db2.gz BIKMHBAMVOWOMO-HNNXBMFYSA-N 1 2 296.480 3.733 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CC[C@H](CC(F)(F)F)C1 ZINC000663843501 1121392131 /nfs/dbraw/zinc/39/21/31/1121392131.db2.gz XNLDIPGRABOHHM-SNVBAGLBSA-N 1 2 295.308 3.596 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CC[C@@H]1Cc1ccccc1 ZINC000763566269 1128985410 /nfs/dbraw/zinc/98/54/10/1128985410.db2.gz MGJRVFQLGQZSNT-KIWPFMIBSA-N 1 2 256.176 3.622 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CC[C@@H]1Cc1ccccc1 ZINC000763566269 1128985412 /nfs/dbraw/zinc/98/54/12/1128985412.db2.gz MGJRVFQLGQZSNT-KIWPFMIBSA-N 1 2 256.176 3.622 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2ccc(C)cc2F)s1 ZINC000631086379 1128983606 /nfs/dbraw/zinc/98/36/06/1128983606.db2.gz WHSISPSWPFFALL-UHFFFAOYSA-N 1 2 278.396 3.924 20 0 CHADLO CN(C)c1ccc(NCc2ccccc2C2CC2)[nH+]c1 ZINC000676200214 1121413222 /nfs/dbraw/zinc/41/32/22/1121413222.db2.gz AVWVMCJCRBYJTK-UHFFFAOYSA-N 1 2 267.376 3.637 20 0 CHADLO CSc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cn1 ZINC001175654079 1121420948 /nfs/dbraw/zinc/42/09/48/1121420948.db2.gz DNSUSJGTQSVISL-UHFFFAOYSA-N 1 2 290.779 3.848 20 0 CHADLO Cc1n[nH]c2cc(Nc3ccc[nH+]c3N3CCCC3)ccc12 ZINC001175659204 1121423361 /nfs/dbraw/zinc/42/33/61/1121423361.db2.gz GIVMGKOEWPQZFJ-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c(C)n[nH]c3c2)[nH+]1 ZINC001175659873 1121424667 /nfs/dbraw/zinc/42/46/67/1121424667.db2.gz QFYMIRAOBLBYAZ-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CC[C@H]1Cc1ccccc1 ZINC000763566271 1128985365 /nfs/dbraw/zinc/98/53/65/1128985365.db2.gz MGJRVFQLGQZSNT-SRXBQZRASA-N 1 2 256.176 3.622 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CC[C@H]1Cc1ccccc1 ZINC000763566271 1128985369 /nfs/dbraw/zinc/98/53/69/1128985369.db2.gz MGJRVFQLGQZSNT-SRXBQZRASA-N 1 2 256.176 3.622 20 0 CHADLO CCC[N@H+](Cc1c(F)c(F)c(F)c(F)c1F)C1CC1 ZINC000728931100 1121432738 /nfs/dbraw/zinc/43/27/38/1121432738.db2.gz OHYLPMSHURETBZ-UHFFFAOYSA-N 1 2 279.252 3.757 20 0 CHADLO CCC[N@@H+](Cc1c(F)c(F)c(F)c(F)c1F)C1CC1 ZINC000728931100 1121432742 /nfs/dbraw/zinc/43/27/42/1121432742.db2.gz OHYLPMSHURETBZ-UHFFFAOYSA-N 1 2 279.252 3.757 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cccc(C3CC3)n2)c[nH+]1 ZINC001175711916 1121435182 /nfs/dbraw/zinc/43/51/82/1121435182.db2.gz AESHLIJHNOHGLV-UHFFFAOYSA-N 1 2 294.280 3.699 20 0 CHADLO Cc1cc(NCc2noc3c2CCCC3)nc(C2CCC2)[nH+]1 ZINC000893876700 1121436592 /nfs/dbraw/zinc/43/65/92/1121436592.db2.gz PZMMXHWTVBPRQR-UHFFFAOYSA-N 1 2 298.390 3.531 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccoc2C)c(C)s1 ZINC000676489095 1121437527 /nfs/dbraw/zinc/43/75/27/1121437527.db2.gz HRSZCSDCLMOUNJ-MRVPVSSYSA-N 1 2 250.367 3.512 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+](C)[C@H](C)c2ccc(F)cc2)n1 ZINC000893915249 1121439595 /nfs/dbraw/zinc/43/95/95/1121439595.db2.gz TXDWJYPOUVMWIH-GFCCVEGCSA-N 1 2 275.371 3.865 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+](C)[C@H](C)c2ccc(F)cc2)n1 ZINC000893915249 1121439598 /nfs/dbraw/zinc/43/95/98/1121439598.db2.gz TXDWJYPOUVMWIH-GFCCVEGCSA-N 1 2 275.371 3.865 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2nc(C(C)C)c[nH]2)cc1 ZINC000893920619 1121441766 /nfs/dbraw/zinc/44/17/66/1121441766.db2.gz VAEZYSKDKFGORO-CYBMUJFWSA-N 1 2 287.407 3.735 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2nc(C(C)C)c[nH]2)cc1 ZINC000893920619 1121441769 /nfs/dbraw/zinc/44/17/69/1121441769.db2.gz VAEZYSKDKFGORO-CYBMUJFWSA-N 1 2 287.407 3.735 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+](C)[C@@H](C)c2cccs2)n1 ZINC000893946784 1121444779 /nfs/dbraw/zinc/44/47/79/1121444779.db2.gz QJCBNBQBRTUHQO-NSHDSACASA-N 1 2 263.410 3.788 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+](C)[C@@H](C)c2cccs2)n1 ZINC000893946784 1121444780 /nfs/dbraw/zinc/44/47/80/1121444780.db2.gz QJCBNBQBRTUHQO-NSHDSACASA-N 1 2 263.410 3.788 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccnc(Br)c1 ZINC001175745005 1121446268 /nfs/dbraw/zinc/44/62/68/1121446268.db2.gz FDOHSAVQCXTJAF-UHFFFAOYSA-N 1 2 292.180 3.854 20 0 CHADLO Cc1c2cccc(F)c2oc1C(=O)Nc1cc(C)[nH+]cc1C ZINC000676587952 1121447561 /nfs/dbraw/zinc/44/75/61/1121447561.db2.gz KTWJUOYUHYPAIL-UHFFFAOYSA-N 1 2 298.317 3.566 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnn(C2CCC2)c1 ZINC001175757188 1121453323 /nfs/dbraw/zinc/45/33/23/1121453323.db2.gz DBVVEQKWWHNSAA-UHFFFAOYSA-N 1 2 256.353 3.618 20 0 CHADLO C[C@H]1COCCC[N@@H+]1Cc1c(Cl)cccc1Cl ZINC000676710708 1121458098 /nfs/dbraw/zinc/45/80/98/1121458098.db2.gz CBWSRZWDQUXLOF-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@H]1COCCC[N@H+]1Cc1c(Cl)cccc1Cl ZINC000676710708 1121458103 /nfs/dbraw/zinc/45/81/03/1121458103.db2.gz CBWSRZWDQUXLOF-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO Cc1ncoc1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000894058071 1121462482 /nfs/dbraw/zinc/46/24/82/1121462482.db2.gz HFKNNELIRXPBCZ-CYBMUJFWSA-N 1 2 262.740 3.583 20 0 CHADLO Cc1ncoc1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000894058071 1121462484 /nfs/dbraw/zinc/46/24/84/1121462484.db2.gz HFKNNELIRXPBCZ-CYBMUJFWSA-N 1 2 262.740 3.583 20 0 CHADLO Cc1ccc(C)c(Nc2cnn(-c3ccccc3)c2)[nH+]1 ZINC001175792395 1121467135 /nfs/dbraw/zinc/46/71/35/1121467135.db2.gz KKPAOLNKJPRTFU-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO C[C@H]([NH2+]Cc1c(Cl)oc2ccccc21)c1cn(C)cn1 ZINC000710168357 1121474741 /nfs/dbraw/zinc/47/47/41/1121474741.db2.gz JDCGGHNJSHLKHU-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO CN(C)c1ccc(Nc2cnn(C3CCCCC3)c2)c[nH+]1 ZINC001175878488 1121475065 /nfs/dbraw/zinc/47/50/65/1121475065.db2.gz HSXBZFABHFBRIQ-UHFFFAOYSA-N 1 2 285.395 3.593 20 0 CHADLO c1ccc2cc(N3CCC[C@@]4(CCCOC4)C3)[nH+]cc2c1 ZINC000631143097 1128986904 /nfs/dbraw/zinc/98/69/04/1128986904.db2.gz QEEGFIPAEUZGNB-GOSISDBHSA-N 1 2 282.387 3.632 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc2c1ccn2C ZINC001175867109 1121485565 /nfs/dbraw/zinc/48/55/65/1121485565.db2.gz VKCYIMQOWBWXHL-UHFFFAOYSA-N 1 2 267.332 3.716 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+]2CCCC(F)(F)CC2)n1 ZINC000625768398 1121489441 /nfs/dbraw/zinc/48/94/41/1121489441.db2.gz WEWFIEDXWBOYKL-NSHDSACASA-N 1 2 287.354 3.594 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+]2CCCC(F)(F)CC2)n1 ZINC000625768398 1121489443 /nfs/dbraw/zinc/48/94/43/1121489443.db2.gz WEWFIEDXWBOYKL-NSHDSACASA-N 1 2 287.354 3.594 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@@H](C)c2cncc(F)c2)c(F)c1 ZINC000922946150 1121493832 /nfs/dbraw/zinc/49/38/32/1121493832.db2.gz AZOJMOBWXZPEAO-JTQLQIEISA-N 1 2 280.293 3.658 20 0 CHADLO CCc1[nH]nc(Cl)c1C[NH2+]Cc1c(F)cc(C)cc1F ZINC000922946154 1121495016 /nfs/dbraw/zinc/49/50/16/1121495016.db2.gz BAGSQWNVDPJZND-UHFFFAOYSA-N 1 2 299.752 3.502 20 0 CHADLO C[C@@]1([NH2+]Cc2nc3ccccc3o2)CCOc2ccccc21 ZINC000923026986 1121516321 /nfs/dbraw/zinc/51/63/21/1121516321.db2.gz YKLACILUBQWAKP-GOSISDBHSA-N 1 2 294.354 3.615 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc(-c2ccccn2)cc1 ZINC001176038315 1121529481 /nfs/dbraw/zinc/52/94/81/1121529481.db2.gz MBUBXIQNCFCAJS-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO c1cn(-c2ccc(Nc3cccc4c3OCC4)cc2)c[nH+]1 ZINC001176076527 1121531677 /nfs/dbraw/zinc/53/16/77/1121531677.db2.gz VGAGGTREERFTLJ-UHFFFAOYSA-N 1 2 277.327 3.551 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc3c2OCC3)cc1 ZINC001176077257 1121532372 /nfs/dbraw/zinc/53/23/72/1121532372.db2.gz LIAXTUMHMRRPKU-UHFFFAOYSA-N 1 2 268.360 3.821 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc3c2OCC3)cc1 ZINC001176077257 1121532379 /nfs/dbraw/zinc/53/23/79/1121532379.db2.gz LIAXTUMHMRRPKU-UHFFFAOYSA-N 1 2 268.360 3.821 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCS[C@@H](C)[C@H]2C)cs1 ZINC000345084400 1121536037 /nfs/dbraw/zinc/53/60/37/1121536037.db2.gz IRLYKBBUQDOBGO-NEPJUHHUSA-N 1 2 284.494 3.812 20 0 CHADLO CCCCc1nc(C[N@H+]2CCS[C@@H](C)[C@H]2C)cs1 ZINC000345084400 1121536040 /nfs/dbraw/zinc/53/60/40/1121536040.db2.gz IRLYKBBUQDOBGO-NEPJUHHUSA-N 1 2 284.494 3.812 20 0 CHADLO Cc1cc(O)cc(-c2cc(F)c(C[NH+](C)C)c(F)c2)c1 ZINC001206262074 1121536828 /nfs/dbraw/zinc/53/68/28/1121536828.db2.gz MVWMRQHTMKJWGB-UHFFFAOYSA-N 1 2 277.314 3.707 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000429359042 1121542107 /nfs/dbraw/zinc/54/21/07/1121542107.db2.gz AIXKDYJCSBNEPH-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1nc2ccc(F)cc2o1 ZINC000429359042 1121542111 /nfs/dbraw/zinc/54/21/11/1121542111.db2.gz AIXKDYJCSBNEPH-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO Cc1ccoc1C[N@H+](C)CC(=O)c1c(C)[nH]c2ccccc21 ZINC000429360425 1121542160 /nfs/dbraw/zinc/54/21/60/1121542160.db2.gz HMARGSXUKGIUPY-UHFFFAOYSA-N 1 2 296.370 3.692 20 0 CHADLO Cc1ccoc1C[N@@H+](C)CC(=O)c1c(C)[nH]c2ccccc21 ZINC000429360425 1121542161 /nfs/dbraw/zinc/54/21/61/1121542161.db2.gz HMARGSXUKGIUPY-UHFFFAOYSA-N 1 2 296.370 3.692 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC(F)F)cc1C ZINC001176120565 1121556310 /nfs/dbraw/zinc/55/63/10/1121556310.db2.gz FLWLHDJCHQHLEE-UHFFFAOYSA-N 1 2 280.274 3.744 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(C)C)c(O)cc1C ZINC001176121110 1121556368 /nfs/dbraw/zinc/55/63/68/1121556368.db2.gz NYIBAWFDLIHOTE-UHFFFAOYSA-N 1 2 272.348 3.971 20 0 CHADLO COc1cc[nH+]cc1Nc1cnc2cc(F)ccc2c1 ZINC001176119146 1121557280 /nfs/dbraw/zinc/55/72/80/1121557280.db2.gz PTVUUKKKNPOUHI-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO CCC[C@H](C)c1cc(Nc2c[nH+]ccc2OC)on1 ZINC001176119735 1121557380 /nfs/dbraw/zinc/55/73/80/1121557380.db2.gz XHRSHAOBOOCRAX-JTQLQIEISA-N 1 2 261.325 3.725 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Br)cc1 ZINC001176121939 1121558250 /nfs/dbraw/zinc/55/82/50/1121558250.db2.gz SVRCJTQPXJYLNU-UHFFFAOYSA-N 1 2 279.137 3.596 20 0 CHADLO CCCOc1ccc(Nc2c[nH+]ccc2OC)cc1 ZINC001176121971 1121558706 /nfs/dbraw/zinc/55/87/06/1121558706.db2.gz WECSDDXPGQOTJY-UHFFFAOYSA-N 1 2 258.321 3.623 20 0 CHADLO C[C@H](F)CC[N@@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000509375626 1121559232 /nfs/dbraw/zinc/55/92/32/1121559232.db2.gz ZTMDDHWNOWJWKW-JTQLQIEISA-N 1 2 280.774 3.928 20 0 CHADLO C[C@H](F)CC[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000509375626 1121559240 /nfs/dbraw/zinc/55/92/40/1121559240.db2.gz ZTMDDHWNOWJWKW-JTQLQIEISA-N 1 2 280.774 3.928 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C)nc2sccc21 ZINC001176121787 1121559251 /nfs/dbraw/zinc/55/92/51/1121559251.db2.gz PWGVBHWIOZCRHS-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO COc1cc(Nc2c[nH+]ccc2OC)c(Cl)c(OC)c1 ZINC001176124026 1121559314 /nfs/dbraw/zinc/55/93/14/1121559314.db2.gz GLSHVUHAIABQKA-UHFFFAOYSA-N 1 2 294.738 3.504 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCC[C@]2(CCSC2)C1 ZINC000663984857 1121559842 /nfs/dbraw/zinc/55/98/42/1121559842.db2.gz CAAVXFQEYDTZKH-KRWDZBQOSA-N 1 2 299.443 3.541 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(COC(C)C)c1 ZINC001176125052 1121560283 /nfs/dbraw/zinc/56/02/83/1121560283.db2.gz VJBCGBBGNFVOBM-UHFFFAOYSA-N 1 2 272.348 3.759 20 0 CHADLO CNc1ccc(Cl)cc1Nc1c[nH+]ccc1OC ZINC001176124379 1121560315 /nfs/dbraw/zinc/56/03/15/1121560315.db2.gz LUCGWKHYOZQIQM-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1-c1ccncc1 ZINC001176125092 1121560711 /nfs/dbraw/zinc/56/07/11/1121560711.db2.gz ZEFTWVAXUOTJRG-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1c(F)cccc1F ZINC000625980622 1121565558 /nfs/dbraw/zinc/56/55/58/1121565558.db2.gz IIUIYCGFGHQHJH-SECBINFHSA-N 1 2 261.262 3.584 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1c(F)cccc1F ZINC000625980622 1121565563 /nfs/dbraw/zinc/56/55/63/1121565563.db2.gz IIUIYCGFGHQHJH-SECBINFHSA-N 1 2 261.262 3.584 20 0 CHADLO CC1(Cn2nc(C(F)(F)F)c3c[nH+]ccc32)CCC1 ZINC000663994291 1121567066 /nfs/dbraw/zinc/56/70/66/1121567066.db2.gz KPARSNJXWGKSSL-UHFFFAOYSA-N 1 2 269.270 3.640 20 0 CHADLO COCOc1ccc(Nc2[nH+]cccc2C2CC2)cc1F ZINC001176191964 1121569367 /nfs/dbraw/zinc/56/93/67/1121569367.db2.gz IBUCVHSIOARTQX-UHFFFAOYSA-N 1 2 288.322 3.824 20 0 CHADLO Cc1cc(C)c(Nc2cnn(Cc3cccs3)c2)c[nH+]1 ZINC001176159411 1121572590 /nfs/dbraw/zinc/57/25/90/1121572590.db2.gz RCLNEGQCEQYJAW-UHFFFAOYSA-N 1 2 284.388 3.748 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2ncoc2C(C)C)cc1 ZINC000092792523 1121575569 /nfs/dbraw/zinc/57/55/69/1121575569.db2.gz FHBUNPVLKDKNKZ-ZDUSSCGKSA-N 1 2 288.391 4.000 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2ncoc2C(C)C)cc1 ZINC000092792523 1121575576 /nfs/dbraw/zinc/57/55/76/1121575576.db2.gz FHBUNPVLKDKNKZ-ZDUSSCGKSA-N 1 2 288.391 4.000 20 0 CHADLO CCc1ccc(C[N@@H+]2[C@H](C)COC[C@H]2c2ccccc2)nc1 ZINC000411424260 1121585120 /nfs/dbraw/zinc/58/51/20/1121585120.db2.gz LGCXWCWXJHBGMW-BEFAXECRSA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2[C@H](C)COC[C@H]2c2ccccc2)nc1 ZINC000411424260 1121585123 /nfs/dbraw/zinc/58/51/23/1121585123.db2.gz LGCXWCWXJHBGMW-BEFAXECRSA-N 1 2 296.414 3.606 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc2nonc2c1 ZINC001176326247 1121596217 /nfs/dbraw/zinc/59/62/17/1121596217.db2.gz SVJHOMKAZJFXKD-UHFFFAOYSA-N 1 2 268.320 3.793 20 0 CHADLO CC(C)c1nc(C[N@@H+](C)C2CCC(C(C)C)CC2)no1 ZINC000433158856 1121598680 /nfs/dbraw/zinc/59/86/80/1121598680.db2.gz KTOXIWZIFIJBAC-UHFFFAOYSA-N 1 2 279.428 3.840 20 0 CHADLO CC(C)c1nc(C[N@H+](C)C2CCC(C(C)C)CC2)no1 ZINC000433158856 1121598688 /nfs/dbraw/zinc/59/86/88/1121598688.db2.gz KTOXIWZIFIJBAC-UHFFFAOYSA-N 1 2 279.428 3.840 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCc3ccccc3F)cc2[nH+]1 ZINC000074819712 1121605980 /nfs/dbraw/zinc/60/59/80/1121605980.db2.gz RNAKNDVMCPAEHT-UHFFFAOYSA-N 1 2 297.333 3.582 20 0 CHADLO COc1c(Cl)cc(Nc2[nH+]cccc2N)cc1Cl ZINC001176307925 1121606636 /nfs/dbraw/zinc/60/66/36/1121606636.db2.gz REQBASRXWBWKOK-UHFFFAOYSA-N 1 2 284.146 3.723 20 0 CHADLO CCc1cc(N(C)c2[nH+]cccc2N)ccc1Cl ZINC001176389737 1121609970 /nfs/dbraw/zinc/60/99/70/1121609970.db2.gz WCYBZQCVTIXOBB-UHFFFAOYSA-N 1 2 261.756 3.648 20 0 CHADLO c1ccc(OC2C[C@H]3CC[C@@H](C2)N3c2cccc[nH+]2)cc1 ZINC000199663990 1121620560 /nfs/dbraw/zinc/62/05/60/1121620560.db2.gz KYBCRAZIRDZIHC-FKEKPDDDSA-N 1 2 280.371 3.660 20 0 CHADLO C[C@H](CNc1cc2ccccc2c[nH+]1)CC(F)F ZINC000631323552 1128998370 /nfs/dbraw/zinc/99/83/70/1128998370.db2.gz DOUMSCDOMLDAGP-JTQLQIEISA-N 1 2 250.292 3.938 20 0 CHADLO CCCn1ncc(C[N@H+](C)Cc2ccc(F)cc2F)c1C ZINC001138640499 1128998384 /nfs/dbraw/zinc/99/83/84/1128998384.db2.gz TWIIOHZPNFUQEG-UHFFFAOYSA-N 1 2 293.361 3.512 20 0 CHADLO CCCn1ncc(C[N@@H+](C)Cc2ccc(F)cc2F)c1C ZINC001138640499 1128998386 /nfs/dbraw/zinc/99/83/86/1128998386.db2.gz TWIIOHZPNFUQEG-UHFFFAOYSA-N 1 2 293.361 3.512 20 0 CHADLO Fc1cc(Nc2ccn3cc[nH+]c3c2)cc(N2CCCC2)c1 ZINC001176419456 1121630324 /nfs/dbraw/zinc/63/03/24/1121630324.db2.gz YPQZVMVPGVKJLE-UHFFFAOYSA-N 1 2 296.349 3.817 20 0 CHADLO CCN(C)c1ccc(C[NH2+]C2(C(F)F)CCCCC2)cn1 ZINC000512890384 1121633021 /nfs/dbraw/zinc/63/30/21/1121633021.db2.gz JXTHMAQCYPSSQW-UHFFFAOYSA-N 1 2 297.393 3.595 20 0 CHADLO Cc1ccc[nH+]c1Nc1cccc(OCC2CC2)c1 ZINC001176490251 1121638005 /nfs/dbraw/zinc/63/80/05/1121638005.db2.gz IUMVQFAZRPDAPZ-UHFFFAOYSA-N 1 2 254.333 3.922 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(OC(F)(F)F)cc2)[nH+]1 ZINC001176640796 1121666684 /nfs/dbraw/zinc/66/66/84/1121666684.db2.gz YIHUTBZAODRSRQ-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@H]2c2ccco2)nc(C2CC2)[nH+]1 ZINC000631420616 1129000975 /nfs/dbraw/zinc/00/09/75/1129000975.db2.gz GXSKYXRNMMFEEY-OAHLLOKOSA-N 1 2 283.375 3.843 20 0 CHADLO Cc1nc(N2CC[C@@H](C)C(F)(F)CC2)cc(C(C)C)[nH+]1 ZINC001164112082 1121668925 /nfs/dbraw/zinc/66/89/25/1121668925.db2.gz UGQJILMWESXEJV-LLVKDONJSA-N 1 2 283.366 3.780 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2ccc(Cl)cc2)CCO1 ZINC000052441025 1121686452 /nfs/dbraw/zinc/68/64/52/1121686452.db2.gz GOUUYONNSITKNL-SMDDNHRTSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2ccc(Cl)cc2)CCO1 ZINC000052441025 1121686456 /nfs/dbraw/zinc/68/64/56/1121686456.db2.gz GOUUYONNSITKNL-SMDDNHRTSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@H]1C[N@@H+]([C@@H](C)c2cccc(Cl)c2)CCO1 ZINC000052441035 1121686884 /nfs/dbraw/zinc/68/68/84/1121686884.db2.gz NDHYIIVVTYLOBW-FZMZJTMJSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@H]1C[N@H+]([C@@H](C)c2cccc(Cl)c2)CCO1 ZINC000052441035 1121686888 /nfs/dbraw/zinc/68/68/88/1121686888.db2.gz NDHYIIVVTYLOBW-FZMZJTMJSA-N 1 2 253.773 3.512 20 0 CHADLO C[N@H+](Cc1ccn(-c2ccccc2)n1)Cc1cccc(F)c1 ZINC000052187292 1121693923 /nfs/dbraw/zinc/69/39/23/1121693923.db2.gz NBFHAUOKJRMHHG-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO C[N@@H+](Cc1ccn(-c2ccccc2)n1)Cc1cccc(F)c1 ZINC000052187292 1121693926 /nfs/dbraw/zinc/69/39/26/1121693926.db2.gz NBFHAUOKJRMHHG-UHFFFAOYSA-N 1 2 295.361 3.643 20 0 CHADLO C[C@@H]([NH2+]Cc1ccnc(F)c1)c1nc(C(C)(C)C)cs1 ZINC001118855381 1121694699 /nfs/dbraw/zinc/69/46/99/1121694699.db2.gz HAFHNAWHIGJFOE-SNVBAGLBSA-N 1 2 293.411 3.826 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](C)c2cccc(F)c2F)o1 ZINC000291797234 1121696710 /nfs/dbraw/zinc/69/67/10/1121696710.db2.gz BRBXERBDNCDZFI-SECBINFHSA-N 1 2 280.318 3.674 20 0 CHADLO CC(C)(C)c1nc(C[N@H+](CC2CCCCC2)C2CC2)no1 ZINC000052245093 1121698146 /nfs/dbraw/zinc/69/81/46/1121698146.db2.gz FNNGZEBLUFTZKR-UHFFFAOYSA-N 1 2 291.439 3.912 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+](CC2CCCCC2)C2CC2)no1 ZINC000052245093 1121698149 /nfs/dbraw/zinc/69/81/49/1121698149.db2.gz FNNGZEBLUFTZKR-UHFFFAOYSA-N 1 2 291.439 3.912 20 0 CHADLO CCc1ccc(C[NH+]2Cc3cc(OC)c(OC)cc3C2)cc1 ZINC001204179377 1121700645 /nfs/dbraw/zinc/70/06/45/1121700645.db2.gz PGNXSMGXMWPODC-UHFFFAOYSA-N 1 2 297.398 3.782 20 0 CHADLO Fc1ccc(C[NH2+]Cc2cocn2)cc1-c1cccs1 ZINC000397946288 1121702943 /nfs/dbraw/zinc/70/29/43/1121702943.db2.gz TYCOFZKQVHJRNT-UHFFFAOYSA-N 1 2 288.347 3.832 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCC1(F)F)c1cccc([N+](=O)[O-])c1 ZINC000397996516 1121703576 /nfs/dbraw/zinc/70/35/76/1121703576.db2.gz SRQORQRUFCAQQK-QWHCGFSZSA-N 1 2 284.306 3.823 20 0 CHADLO CC(C)(C)C[C@@H](NC(=O)CCc1c[nH]c[nH+]1)c1ccccc1 ZINC000280109641 1121717740 /nfs/dbraw/zinc/71/77/40/1121717740.db2.gz BVDSNXXLFYHIFE-MRXNPFEDSA-N 1 2 299.418 3.636 20 0 CHADLO CC(C)(C)C[C@@H](NC(=O)CCc1c[nH+]c[nH]1)c1ccccc1 ZINC000280109641 1121717745 /nfs/dbraw/zinc/71/77/45/1121717745.db2.gz BVDSNXXLFYHIFE-MRXNPFEDSA-N 1 2 299.418 3.636 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cc(N)ccc1F ZINC001211830513 1121719729 /nfs/dbraw/zinc/71/97/29/1121719729.db2.gz GAKUJTGAGCEIAY-UHFFFAOYSA-N 1 2 259.328 3.978 20 0 CHADLO CC(=CC(=O)Nc1cc[nH+]c(C)c1)c1ccccc1Cl ZINC000727482988 1121722846 /nfs/dbraw/zinc/72/28/46/1121722846.db2.gz AOUNOEDRYJNDPQ-LUAWRHEFSA-N 1 2 286.762 3.507 20 0 CHADLO CCn1ccnc1C[NH2+]Cc1ccccc1OC1CCCC1 ZINC001177333391 1121724724 /nfs/dbraw/zinc/72/47/24/1121724724.db2.gz AYTPATNPCKDBHI-UHFFFAOYSA-N 1 2 299.418 3.514 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2ncc[nH]2)c(OC(C)(C)C)c1 ZINC000285893162 1121763319 /nfs/dbraw/zinc/76/33/19/1121763319.db2.gz CIRNNDPZPICKJY-ZDUSSCGKSA-N 1 2 287.407 3.746 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1ncc[nH]1)c1ccc(F)cc1F ZINC000286328903 1121767738 /nfs/dbraw/zinc/76/77/38/1121767738.db2.gz XWZAQLJXDXVNFJ-YGRLFVJLSA-N 1 2 279.334 3.880 20 0 CHADLO C[C@H]1Cc2cc(CNc3ccc([NH+](C)C)cc3)ccc2O1 ZINC001178123079 1121769499 /nfs/dbraw/zinc/76/94/99/1121769499.db2.gz IERFKWFUPOSGDM-ZDUSSCGKSA-N 1 2 282.387 3.688 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@H](C)[C@H]2C)c1 ZINC001166613593 1121779047 /nfs/dbraw/zinc/77/90/47/1121779047.db2.gz GAXCNWANMUEZSI-NWDGAFQWSA-N 1 2 250.411 3.818 20 0 CHADLO CCCn1c[nH+]cc1CNc1cc(SC)ccc1C ZINC001178311020 1121780449 /nfs/dbraw/zinc/78/04/49/1121780449.db2.gz UEMOUWNUQIJMKH-UHFFFAOYSA-N 1 2 275.421 3.936 20 0 CHADLO CNc1ccccc1CNc1c[nH+]ccc1OC(C)(C)C ZINC001178377588 1121781436 /nfs/dbraw/zinc/78/14/36/1121781436.db2.gz NVNWEJRSWRSSAJ-UHFFFAOYSA-N 1 2 285.391 3.913 20 0 CHADLO CCCCCC[C@H](CC)C(=O)NCc1c[nH+]c(CC)[nH]1 ZINC001178669897 1121805117 /nfs/dbraw/zinc/80/51/17/1121805117.db2.gz QNRZAQRBKRIVCX-ZDUSSCGKSA-N 1 2 279.428 3.585 20 0 CHADLO CCCCCC[C@H](CC)C(=O)NCc1c[nH]c(CC)[nH+]1 ZINC001178669897 1121805130 /nfs/dbraw/zinc/80/51/30/1121805130.db2.gz QNRZAQRBKRIVCX-ZDUSSCGKSA-N 1 2 279.428 3.585 20 0 CHADLO CCS[C@H]1CCC[C@@H](Nc2[nH+]c(C)nc3[nH]ccc32)C1 ZINC000433784626 1121808068 /nfs/dbraw/zinc/80/80/68/1121808068.db2.gz XBGPVCWSUNRRPS-NEPJUHHUSA-N 1 2 290.436 3.743 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2CSc3ccccc32)[nH+]1 ZINC000433788220 1121808225 /nfs/dbraw/zinc/80/82/25/1121808225.db2.gz CRHAXWOWUNWVOP-LBPRGKRZSA-N 1 2 282.372 3.525 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2CCSc3ccccc32)[nH+]1 ZINC000433785182 1121808814 /nfs/dbraw/zinc/80/88/14/1121808814.db2.gz PYFHSMLLEUEZJE-ZDUSSCGKSA-N 1 2 296.399 3.915 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCC(c2ccco2)CC1 ZINC000664175636 1121811053 /nfs/dbraw/zinc/81/10/53/1121811053.db2.gz AWDUEVNSHHEJLL-UHFFFAOYSA-N 1 2 293.370 3.794 20 0 CHADLO CNc1ccc(Nc2cc(Cl)nc(Cl)c2)c[nH+]1 ZINC001203449063 1121829458 /nfs/dbraw/zinc/82/94/58/1121829458.db2.gz YJUNXQSMSIVIEH-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO Cc1ncoc1C[NH2+][C@@H](C)c1nc(-c2ccccc2)cs1 ZINC000894233429 1121835461 /nfs/dbraw/zinc/83/54/61/1121835461.db2.gz HNHBVLHBMWHLAB-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Fc1ccc(CNc2cc3cc[nH]c3c[nH+]2)c(F)c1F ZINC001179044554 1121840848 /nfs/dbraw/zinc/84/08/48/1121840848.db2.gz IFMHRQOFUAQARY-UHFFFAOYSA-N 1 2 277.249 3.592 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CC3(C(F)(F)F)CC3)cc2[nH+]1 ZINC000345131620 1121845482 /nfs/dbraw/zinc/84/54/82/1121845482.db2.gz WSYFRPGKOBIJHR-UHFFFAOYSA-N 1 2 297.280 3.542 20 0 CHADLO Cc1cc2nc(/C=C\c3[nH]cc[nH+]3)sc2cc1C ZINC000731155917 1121850316 /nfs/dbraw/zinc/85/03/16/1121850316.db2.gz WQBVTGHSVUBSCU-ARJAWSKDSA-N 1 2 255.346 3.807 20 0 CHADLO Fc1ccc2onc(C[NH2+]Cc3ccc(Cl)o3)c2c1 ZINC001179390036 1121874973 /nfs/dbraw/zinc/87/49/73/1121874973.db2.gz AAYCDHNTTRCGOV-UHFFFAOYSA-N 1 2 280.686 3.503 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccc([C@H]3C[C@@H]3C)o2)s1 ZINC000193840041 1121882822 /nfs/dbraw/zinc/88/28/22/1121882822.db2.gz GSCYYQGBUZGXGI-HZMBPMFUSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccc([C@H]3C[C@@H]3C)o2)s1 ZINC000193840041 1121882834 /nfs/dbraw/zinc/88/28/34/1121882834.db2.gz GSCYYQGBUZGXGI-HZMBPMFUSA-N 1 2 276.405 3.800 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1cccc(O)c1 ZINC001179814507 1121912065 /nfs/dbraw/zinc/91/20/65/1121912065.db2.gz AEYAZGHIQKBJAY-UHFFFAOYSA-N 1 2 285.322 3.513 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(O)c(F)c2F)[C@H]1c1ccccc1 ZINC001180141592 1121950524 /nfs/dbraw/zinc/95/05/24/1121950524.db2.gz GWPDBBHYRZYHNL-APPDUMDISA-N 1 2 289.325 3.863 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(O)c(F)c2F)[C@H]1c1ccccc1 ZINC001180141592 1121950526 /nfs/dbraw/zinc/95/05/26/1121950526.db2.gz GWPDBBHYRZYHNL-APPDUMDISA-N 1 2 289.325 3.863 20 0 CHADLO Cc1ccc(Nc2cc(O)c(F)c(F)c2F)c(C)[nH+]1 ZINC001249958144 1121959700 /nfs/dbraw/zinc/95/97/00/1121959700.db2.gz IYFUZYSZCKIZRY-UHFFFAOYSA-N 1 2 268.238 3.565 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[N@@H+]1CCOCC12CCC2 ZINC001180203367 1121963338 /nfs/dbraw/zinc/96/33/38/1121963338.db2.gz QGAAVECWEVYSEN-UHFFFAOYSA-N 1 2 277.408 3.640 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[N@H+]1CCOCC12CCC2 ZINC001180203367 1121963342 /nfs/dbraw/zinc/96/33/42/1121963342.db2.gz QGAAVECWEVYSEN-UHFFFAOYSA-N 1 2 277.408 3.640 20 0 CHADLO CC(C)c1cnc(C[N@H+]2Cc3ccccc3[C@H](C)C2)o1 ZINC000733303731 1121972162 /nfs/dbraw/zinc/97/21/62/1121972162.db2.gz DVZJJWSHJHRGTQ-CYBMUJFWSA-N 1 2 270.376 3.917 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2Cc3ccccc3[C@H](C)C2)o1 ZINC000733303731 1121972168 /nfs/dbraw/zinc/97/21/68/1121972168.db2.gz DVZJJWSHJHRGTQ-CYBMUJFWSA-N 1 2 270.376 3.917 20 0 CHADLO Nc1ccc(C[N@@H+]2CCC[C@H]2c2nccs2)c(Cl)c1 ZINC000710574733 1122011541 /nfs/dbraw/zinc/01/15/41/1122011541.db2.gz DALSYWSQQMNVIQ-ZDUSSCGKSA-N 1 2 293.823 3.716 20 0 CHADLO Nc1ccc(C[N@H+]2CCC[C@H]2c2nccs2)c(Cl)c1 ZINC000710574733 1122011549 /nfs/dbraw/zinc/01/15/49/1122011549.db2.gz DALSYWSQQMNVIQ-ZDUSSCGKSA-N 1 2 293.823 3.716 20 0 CHADLO CCc1cccc(C)c1C[N@@H+]1CC[C@H](CF)C(F)(F)C1 ZINC001237336537 1129025370 /nfs/dbraw/zinc/02/53/70/1129025370.db2.gz XBQBBXSOZBFNNW-CQSZACIVSA-N 1 2 285.353 3.984 20 0 CHADLO CCc1cccc(C)c1C[N@H+]1CC[C@H](CF)C(F)(F)C1 ZINC001237336537 1129025374 /nfs/dbraw/zinc/02/53/74/1129025374.db2.gz XBQBBXSOZBFNNW-CQSZACIVSA-N 1 2 285.353 3.984 20 0 CHADLO FC(F)(F)c1cccc(Cc2ccn3cc[nH+]c3c2)c1 ZINC001249994718 1122034173 /nfs/dbraw/zinc/03/41/73/1122034173.db2.gz XLJRIVKHIVEAMR-UHFFFAOYSA-N 1 2 276.261 3.944 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000420835657 1122036665 /nfs/dbraw/zinc/03/66/65/1122036665.db2.gz CRSNLZNMGKRAFJ-ZDUSSCGKSA-N 1 2 289.423 3.633 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nn(C)cc2Cl)s1 ZINC000420915480 1122047709 /nfs/dbraw/zinc/04/77/09/1122047709.db2.gz LZIWXODESJUTBK-ZDUSSCGKSA-N 1 2 295.839 3.781 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nn(C)cc2Cl)s1 ZINC000420915480 1122047718 /nfs/dbraw/zinc/04/77/18/1122047718.db2.gz LZIWXODESJUTBK-ZDUSSCGKSA-N 1 2 295.839 3.781 20 0 CHADLO CCn1ccc(C[NH2+]Cc2c(Cl)oc3ccccc32)n1 ZINC000414488242 1122061254 /nfs/dbraw/zinc/06/12/54/1122061254.db2.gz WDZXJRZQHOOXKF-UHFFFAOYSA-N 1 2 289.766 3.592 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000631798449 1129029446 /nfs/dbraw/zinc/02/94/46/1129029446.db2.gz SVKSTHSHUZZCKW-LRDDRELGSA-N 1 2 276.330 3.891 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000631798450 1129029451 /nfs/dbraw/zinc/02/94/51/1129029451.db2.gz SVKSTHSHUZZCKW-MLGOLLRUSA-N 1 2 276.330 3.891 20 0 CHADLO COC(=O)c1sc(C)cc1Nc1ccc(C)[nH+]c1C ZINC001203368334 1122082448 /nfs/dbraw/zinc/08/24/48/1122082448.db2.gz ZNYMNBBZGXAECT-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO C[C@@H]1CCC[C@@H](C)[NH+]1Cc1nc(C(F)(F)F)cs1 ZINC000153262267 1129030835 /nfs/dbraw/zinc/03/08/35/1129030835.db2.gz ROJVWVOATFMTNC-RKDXNWHRSA-N 1 2 278.343 3.925 20 0 CHADLO CC[C@@H]1C[C@@]1([NH2+]Cc1nc(C2CC2)no1)c1cccc(C)c1 ZINC000502513621 1122099293 /nfs/dbraw/zinc/09/92/93/1122099293.db2.gz ZDNSRNBMHUUIOD-KDOFPFPSSA-N 1 2 297.402 3.670 20 0 CHADLO Cc1ccc(Nc2ccnn2-c2cccc(F)c2)c(C)[nH+]1 ZINC001203368971 1122102573 /nfs/dbraw/zinc/10/25/73/1122102573.db2.gz IVGIESNMCJVRFB-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO Cc1ccc(Br)c(F)c1C[N@H+]1C[C@@H](C)[C@H]1C ZINC001182061257 1122107807 /nfs/dbraw/zinc/10/78/07/1122107807.db2.gz CQOAKPZQQFESFQ-NXEZZACHSA-N 1 2 286.188 3.737 20 0 CHADLO Cc1ccc(Br)c(F)c1C[N@@H+]1C[C@@H](C)[C@H]1C ZINC001182061257 1122107810 /nfs/dbraw/zinc/10/78/10/1122107810.db2.gz CQOAKPZQQFESFQ-NXEZZACHSA-N 1 2 286.188 3.737 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@@H+]1Cc1ccc(C(F)(F)F)nc1Cl ZINC001182062343 1122109087 /nfs/dbraw/zinc/10/90/87/1122109087.db2.gz MHBOTIMADVCYSY-JGVFFNPUSA-N 1 2 278.705 3.594 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cnc(C3CCC3)s2)C[C@H](CC)O1 ZINC000421388867 1122109948 /nfs/dbraw/zinc/10/99/48/1122109948.db2.gz KXZSYFDWFAQDEX-OKILXGFUSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cnc(C3CCC3)s2)C[C@H](CC)O1 ZINC000421388867 1122109951 /nfs/dbraw/zinc/10/99/51/1122109951.db2.gz KXZSYFDWFAQDEX-OKILXGFUSA-N 1 2 294.464 3.800 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+][C@@H](C)c2nonc2C)cc1 ZINC000924937206 1122147991 /nfs/dbraw/zinc/14/79/91/1122147991.db2.gz BXKOXQACAWXCBJ-QWRGUYRKSA-N 1 2 291.420 3.902 20 0 CHADLO Cc1nn(C)c(C[N@H+](C)C(C)(C)c2ccccc2)c1Cl ZINC001182753069 1122185015 /nfs/dbraw/zinc/18/50/15/1122185015.db2.gz FEYUZRBILVMMHP-UHFFFAOYSA-N 1 2 291.826 3.749 20 0 CHADLO Cc1nn(C)c(C[N@@H+](C)C(C)(C)c2ccccc2)c1Cl ZINC001182753069 1122185016 /nfs/dbraw/zinc/18/50/16/1122185016.db2.gz FEYUZRBILVMMHP-UHFFFAOYSA-N 1 2 291.826 3.749 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+]Cc2cc(F)ccc2C)cs1 ZINC000272138320 1122192667 /nfs/dbraw/zinc/19/26/67/1122192667.db2.gz BIGDQWFYILVQPE-LLVKDONJSA-N 1 2 294.395 3.588 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1cscn1 ZINC000272139082 1122192948 /nfs/dbraw/zinc/19/29/48/1122192948.db2.gz LHLPNKMCTHJZHS-NSHDSACASA-N 1 2 273.405 3.699 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1cscn1 ZINC000272139082 1122192952 /nfs/dbraw/zinc/19/29/52/1122192952.db2.gz LHLPNKMCTHJZHS-NSHDSACASA-N 1 2 273.405 3.699 20 0 CHADLO Cc1nn(C)c2cc(Nc3ccc(C)[nH+]c3C)ccc12 ZINC001203374151 1122194891 /nfs/dbraw/zinc/19/48/91/1122194891.db2.gz GDFOFEPZQYWEGT-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO Fc1ccc(Cc2ccc[nH+]c2N2CCCC2)c(F)c1 ZINC001250097083 1122199691 /nfs/dbraw/zinc/19/96/91/1122199691.db2.gz MOVSWZOVOGVSJV-UHFFFAOYSA-N 1 2 274.314 3.551 20 0 CHADLO COC[C@@H](C)CNc1ccc(Nc2ccccc2)c[nH+]1 ZINC001182979357 1122201168 /nfs/dbraw/zinc/20/11/68/1122201168.db2.gz WBDMMJDHQQVNHB-ZDUSSCGKSA-N 1 2 271.364 3.520 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](c2ncccc2C)C(C)C)s1 ZINC000273188077 1122223063 /nfs/dbraw/zinc/22/30/63/1122223063.db2.gz LYRHWZSSPHRFIA-DGCLKSJQSA-N 1 2 290.436 3.598 20 0 CHADLO CO[C@@H](c1ccccc1)[C@@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC001183527350 1122225630 /nfs/dbraw/zinc/22/56/30/1122225630.db2.gz VHLQEVPZCUYQCO-RHSMWYFYSA-N 1 2 270.376 3.887 20 0 CHADLO CCCOc1cccc2c(N[C@@H](C)[C@@H](C)OC)cc[nH+]c12 ZINC000503576466 1122268571 /nfs/dbraw/zinc/26/85/71/1122268571.db2.gz QHLRCNFVIZAFKH-QWHCGFSZSA-N 1 2 288.391 3.859 20 0 CHADLO Cc1nc(N[C@@H]2CCC[C@@H](C)[C@H]2C)c2c([nH+]1)CCCC2 ZINC001184617170 1122288702 /nfs/dbraw/zinc/28/87/02/1122288702.db2.gz KBHWDFNJBXHCAZ-LALPHHSUSA-N 1 2 273.424 3.900 20 0 CHADLO Cc1cc(F)c(Nc2ccc[nH+]c2N2CCCC2)cc1O ZINC001184964539 1122303647 /nfs/dbraw/zinc/30/36/47/1122303647.db2.gz MPZQBUSTZCLKMS-UHFFFAOYSA-N 1 2 287.338 3.579 20 0 CHADLO C[N@@H+](Cc1c(F)cccc1Cl)C[C@@H]1CCC=CO1 ZINC000774821820 1122319309 /nfs/dbraw/zinc/31/93/09/1122319309.db2.gz GQGFGLTZONPJEX-NSHDSACASA-N 1 2 269.747 3.604 20 0 CHADLO C[N@H+](Cc1c(F)cccc1Cl)C[C@@H]1CCC=CO1 ZINC000774821820 1122319317 /nfs/dbraw/zinc/31/93/17/1122319317.db2.gz GQGFGLTZONPJEX-NSHDSACASA-N 1 2 269.747 3.604 20 0 CHADLO CCC1(C)CCN(c2nc3ccccc3n3c[nH+]cc23)CC1 ZINC001185126167 1122321428 /nfs/dbraw/zinc/32/14/28/1122321428.db2.gz GQPMXZVQDWEJPU-UHFFFAOYSA-N 1 2 294.402 3.899 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nnc(C(C)(C)C)o2)cc1 ZINC000774844131 1122327505 /nfs/dbraw/zinc/32/75/05/1122327505.db2.gz RXNTZZLLRRHRPF-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO CC[C@@H]1C[C@H](C)CN1c1nc2ccccc2n2c[nH+]cc12 ZINC001185555086 1122346409 /nfs/dbraw/zinc/34/64/09/1122346409.db2.gz HPSZFIVYWXHJFF-QWHCGFSZSA-N 1 2 280.375 3.507 20 0 CHADLO CCc1cc(N[C@H]2CC23CCCCC3)nc(CC)[nH+]1 ZINC001185970707 1122368855 /nfs/dbraw/zinc/36/88/55/1122368855.db2.gz ZKRMSKLLFVQTIE-ZDUSSCGKSA-N 1 2 259.397 3.736 20 0 CHADLO Oc1ccc(F)c(Nc2cccc3[nH+]ccn32)c1Cl ZINC001250227986 1122373627 /nfs/dbraw/zinc/37/36/27/1122373627.db2.gz GBZRYCGPWKYOPK-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO CCc1cc(NCC2CCC(F)(F)CC2)nc(CC)[nH+]1 ZINC001186239502 1122381003 /nfs/dbraw/zinc/38/10/03/1122381003.db2.gz YJTVJDWBWRVGQG-UHFFFAOYSA-N 1 2 283.366 3.839 20 0 CHADLO CCC[C@@H](CC)NC(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000425142410 1122396364 /nfs/dbraw/zinc/39/63/64/1122396364.db2.gz IWWUJWHLIXHVSQ-CVEARBPZSA-N 1 2 299.418 3.627 20 0 CHADLO CCN(CC(C)(C)C)c1nc2ccccc2n2c[nH+]cc12 ZINC001186571904 1122397633 /nfs/dbraw/zinc/39/76/33/1122397633.db2.gz PEXPTLABNXCDLM-UHFFFAOYSA-N 1 2 282.391 3.755 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)c(Cl)n1 ZINC000688594122 1129052043 /nfs/dbraw/zinc/05/20/43/1129052043.db2.gz HKJKCIQAELFMDN-NSHDSACASA-N 1 2 292.732 3.818 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)c(Cl)n1 ZINC000688594122 1129052046 /nfs/dbraw/zinc/05/20/46/1129052046.db2.gz HKJKCIQAELFMDN-NSHDSACASA-N 1 2 292.732 3.818 20 0 CHADLO CSc1ccccc1NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC001186713087 1122404987 /nfs/dbraw/zinc/40/49/87/1122404987.db2.gz GRHATOMSIFDGNZ-UHFFFAOYSA-N 1 2 298.371 3.700 20 0 CHADLO CCOC(=O)[C@H](CC1CC1)[NH2+]CC[C@H](C)c1ccccc1 ZINC000775053727 1122409596 /nfs/dbraw/zinc/40/95/96/1122409596.db2.gz KCRMQMAOPMMDAO-YOEHRIQHSA-N 1 2 289.419 3.502 20 0 CHADLO Cc1ccc([C@@]2(C)CC[N@@H+](Cc3noc(C(C)C)n3)C2)cc1 ZINC000434755900 1122410275 /nfs/dbraw/zinc/41/02/75/1122410275.db2.gz YGLSHJCIGGQVKF-SFHVURJKSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1ccc([C@@]2(C)CC[N@H+](Cc3noc(C(C)C)n3)C2)cc1 ZINC000434755900 1122410277 /nfs/dbraw/zinc/41/02/77/1122410277.db2.gz YGLSHJCIGGQVKF-SFHVURJKSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1cc(N2CC(Cc3ccsc3)C2)nc(C2CCC2)[nH+]1 ZINC001187141905 1122421842 /nfs/dbraw/zinc/42/18/42/1122421842.db2.gz YKELERXTPNKOHL-UHFFFAOYSA-N 1 2 299.443 3.793 20 0 CHADLO Cc1c[nH+]c(C)c(N2c3ccc(N)cc3CC[C@@H]2C)c1 ZINC001203660366 1122426693 /nfs/dbraw/zinc/42/66/93/1122426693.db2.gz GRZJXDWYAUUIPI-LBPRGKRZSA-N 1 2 267.376 3.753 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(N3CCCC3=O)cc2)c1 ZINC001203661581 1122427802 /nfs/dbraw/zinc/42/78/02/1122427802.db2.gz XFOKRZRXSUNVIJ-UHFFFAOYSA-N 1 2 281.359 3.569 20 0 CHADLO C[C@@H]1CN(c2nc3ccccc3n3c[nH+]cc23)C(C)(C)C1 ZINC001187463309 1122429500 /nfs/dbraw/zinc/42/95/00/1122429500.db2.gz UTTHGCGRKFVBLI-LBPRGKRZSA-N 1 2 280.375 3.507 20 0 CHADLO Cc1cc(N2CC[C@@](C)(C(F)(F)F)C2)nc(C2CCC2)[nH+]1 ZINC001187525512 1122430148 /nfs/dbraw/zinc/43/01/48/1122430148.db2.gz PWBUIPFLEFXQPH-CQSZACIVSA-N 1 2 299.340 3.831 20 0 CHADLO CC(=O)c1c(F)cc(F)cc1Nc1cc(C)c[nH+]c1C ZINC001203663508 1122430390 /nfs/dbraw/zinc/43/03/90/1122430390.db2.gz NJADUVXOLYHARB-UHFFFAOYSA-N 1 2 276.286 3.923 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000505596052 1122432501 /nfs/dbraw/zinc/43/25/01/1122432501.db2.gz HNQUKLZJXLYGOY-BXUZGUMPSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC000505596052 1122432504 /nfs/dbraw/zinc/43/25/04/1122432504.db2.gz HNQUKLZJXLYGOY-BXUZGUMPSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@@H+]1Cc1cnc(C2CCCC2)s1 ZINC000505605688 1122434286 /nfs/dbraw/zinc/43/42/86/1122434286.db2.gz DDUITXPYHMRBFI-TZMCWYRMSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@H+]1Cc1cnc(C2CCCC2)s1 ZINC000505605688 1122434288 /nfs/dbraw/zinc/43/42/88/1122434288.db2.gz DDUITXPYHMRBFI-TZMCWYRMSA-N 1 2 294.464 3.800 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2[C@@H](C)[C@@H]2c2ccccc2)c(C)[nH+]1 ZINC000430131335 1122439890 /nfs/dbraw/zinc/43/98/90/1122439890.db2.gz LNOJWQBOGYNBPG-IAOVAPTHSA-N 1 2 294.398 3.995 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1ccnn1-c1ccccc1C ZINC000430135510 1122441004 /nfs/dbraw/zinc/44/10/04/1122441004.db2.gz QSLIXBQXMLPMJW-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1ccnn1-c1ccccc1C ZINC000430135510 1122441006 /nfs/dbraw/zinc/44/10/06/1122441006.db2.gz QSLIXBQXMLPMJW-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1cc(NCC(C)(C)C2CC2)nc(C2CCC2)[nH+]1 ZINC001187751933 1122442430 /nfs/dbraw/zinc/44/24/30/1122442430.db2.gz MITQVKRBQNXTAH-UHFFFAOYSA-N 1 2 259.397 3.901 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCn3cccc3[C@@H]2C)c1 ZINC000505638020 1122446110 /nfs/dbraw/zinc/44/61/10/1122446110.db2.gz NMPIZLSAJSFWRQ-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCn3cccc3[C@@H]2C)c1 ZINC000505638020 1122446112 /nfs/dbraw/zinc/44/61/12/1122446112.db2.gz NMPIZLSAJSFWRQ-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO CC(C)n1cncc1C[N@H+]([C@H](C)c1ccco1)C1CC1 ZINC000425325408 1122452894 /nfs/dbraw/zinc/45/28/94/1122452894.db2.gz MLVGKSHVTWVYDC-CYBMUJFWSA-N 1 2 273.380 3.783 20 0 CHADLO CC(C)n1cncc1C[N@@H+]([C@H](C)c1ccco1)C1CC1 ZINC000425325408 1122452897 /nfs/dbraw/zinc/45/28/97/1122452897.db2.gz MLVGKSHVTWVYDC-CYBMUJFWSA-N 1 2 273.380 3.783 20 0 CHADLO FC(F)Oc1cccc(Cc2ccc3[nH+]ccn3c2)c1 ZINC001250267027 1122454760 /nfs/dbraw/zinc/45/47/60/1122454760.db2.gz GAAFXDIVIWRPBB-UHFFFAOYSA-N 1 2 274.270 3.527 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3[C@H]2C)ccc1F ZINC000505682903 1122462259 /nfs/dbraw/zinc/46/22/59/1122462259.db2.gz HKIXLYZZEJDTDB-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3[C@H]2C)ccc1F ZINC000505682903 1122462261 /nfs/dbraw/zinc/46/22/61/1122462261.db2.gz HKIXLYZZEJDTDB-CYBMUJFWSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1[nH+]cc(NC(=O)Nc2ccc3ccccc3c2)n1C ZINC001188589165 1122469397 /nfs/dbraw/zinc/46/93/97/1122469397.db2.gz BNXXZPOKNVBADF-UHFFFAOYSA-N 1 2 280.331 3.526 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CCC=C(C)C1 ZINC000435593032 1122477203 /nfs/dbraw/zinc/47/72/03/1122477203.db2.gz OMOYGXXJPBSENO-UHFFFAOYSA-N 1 2 268.360 3.708 20 0 CHADLO Cc1cc([C@H](C)Nc2ccc([NH+](C)C)c(C)c2)no1 ZINC000285217036 1122503256 /nfs/dbraw/zinc/50/32/56/1122503256.db2.gz OTMYVSHBRFKBRT-LBPRGKRZSA-N 1 2 259.353 3.531 20 0 CHADLO C[C@@H]([NH2+]Cc1cscc1Br)c1ccccn1 ZINC000285469797 1122511840 /nfs/dbraw/zinc/51/18/40/1122511840.db2.gz NRNNMCBKWHPHIV-SECBINFHSA-N 1 2 297.221 3.756 20 0 CHADLO C/C=C\c1ccc(NC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000515655606 1122519983 /nfs/dbraw/zinc/51/99/83/1122519983.db2.gz KOLUQBYLTJHZPT-SCOBNMCVSA-N 1 2 269.348 3.506 20 0 CHADLO COc1cccc2c(NCc3ccc(C)nc3)cc[nH+]c12 ZINC000436177772 1122520810 /nfs/dbraw/zinc/52/08/10/1122520810.db2.gz GITUILITJKMNLQ-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO CC1=CCC[N@H+](Cc2csc(Cc3ccccc3)n2)C1 ZINC000436264727 1122529751 /nfs/dbraw/zinc/52/97/51/1122529751.db2.gz PYMYFATWFUYUNJ-UHFFFAOYSA-N 1 2 284.428 3.886 20 0 CHADLO CC1=CCC[N@@H+](Cc2csc(Cc3ccccc3)n2)C1 ZINC000436264727 1122529753 /nfs/dbraw/zinc/52/97/53/1122529753.db2.gz PYMYFATWFUYUNJ-UHFFFAOYSA-N 1 2 284.428 3.886 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+]Cc2nnc(C(C)(C)C)o2)c1 ZINC000775388767 1122539597 /nfs/dbraw/zinc/53/95/97/1122539597.db2.gz SYTRTSUXVFNIBV-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C(C)(C)C)no1)c1ccccc1 ZINC000775391831 1122540961 /nfs/dbraw/zinc/54/09/61/1122540961.db2.gz WVKFRABUXRXACG-QGZVFWFLSA-N 1 2 287.407 3.782 20 0 CHADLO CCC[C@H]1CCC[N@@H+]([C@H](C(=O)OC)c2ccccc2F)C1 ZINC001167960822 1122551472 /nfs/dbraw/zinc/55/14/72/1122551472.db2.gz WPQVLMRBNTZDNL-BBRMVZONSA-N 1 2 293.382 3.552 20 0 CHADLO CCC[C@H]1CCC[N@H+]([C@H](C(=O)OC)c2ccccc2F)C1 ZINC001167960822 1122551474 /nfs/dbraw/zinc/55/14/74/1122551474.db2.gz WPQVLMRBNTZDNL-BBRMVZONSA-N 1 2 293.382 3.552 20 0 CHADLO Oc1cccc2ccc(/C=C/c3cccc4[nH+]ccn43)nc12 ZINC000436523329 1122557332 /nfs/dbraw/zinc/55/73/32/1122557332.db2.gz AGKHPYHWESGAAZ-MDZDMXLPSA-N 1 2 287.322 3.759 20 0 CHADLO CC1(C)SC[C@@H]1[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000516094421 1122568769 /nfs/dbraw/zinc/56/87/69/1122568769.db2.gz PDFWXYUVJLYPFB-OLZOCXBDSA-N 1 2 285.403 3.737 20 0 CHADLO CCCCC[C@@H]1CCCCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000620565921 1129064152 /nfs/dbraw/zinc/06/41/52/1129064152.db2.gz BPSNDUYTJMEUMG-JKSUJKDBSA-N 1 2 291.439 3.796 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCCCc2ccco2)c1 ZINC000664584751 1122585575 /nfs/dbraw/zinc/58/55/75/1122585575.db2.gz KHPKVXATSILHRZ-UHFFFAOYSA-N 1 2 270.254 3.738 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)c2ccccc21 ZINC001151976736 1122617449 /nfs/dbraw/zinc/61/74/49/1122617449.db2.gz RXGOMCBPNHLYNA-BZNIZROVSA-N 1 2 291.354 3.541 20 0 CHADLO CC(C)(C)[C@H]1CC[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001151978757 1122620509 /nfs/dbraw/zinc/62/05/09/1122620509.db2.gz JSGULKJVPCVFBT-OKILXGFUSA-N 1 2 299.418 3.898 20 0 CHADLO CCCCCCNC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001191942497 1122621363 /nfs/dbraw/zinc/62/13/63/1122621363.db2.gz NMCAAAOJOZWFHD-UHFFFAOYSA-N 1 2 286.379 3.574 20 0 CHADLO Cc1ccc(C)c([N@@H+](C[C@@H]2C[C@]23CCOC3)C(C)C)c1 ZINC001191960435 1122625152 /nfs/dbraw/zinc/62/51/52/1122625152.db2.gz IEGJXHJHWRLPFS-WMZOPIPTSA-N 1 2 273.420 3.945 20 0 CHADLO Cc1ccc(C)c([N@H+](C[C@@H]2C[C@]23CCOC3)C(C)C)c1 ZINC001191960435 1122625153 /nfs/dbraw/zinc/62/51/53/1122625153.db2.gz IEGJXHJHWRLPFS-WMZOPIPTSA-N 1 2 273.420 3.945 20 0 CHADLO COc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1coc(C)n1 ZINC000660201904 1129067018 /nfs/dbraw/zinc/06/70/18/1129067018.db2.gz QJFLMIMTCOXSBM-MRXNPFEDSA-N 1 2 288.391 3.869 20 0 CHADLO CC1=CCC[N@H+](Cc2cc(C(F)(F)F)ccc2F)C1 ZINC000437343116 1122629371 /nfs/dbraw/zinc/62/93/71/1122629371.db2.gz JSPMDRQLLWMDIL-UHFFFAOYSA-N 1 2 273.273 3.997 20 0 CHADLO CC1=CCC[N@@H+](Cc2cc(C(F)(F)F)ccc2F)C1 ZINC000437343116 1122629374 /nfs/dbraw/zinc/62/93/74/1122629374.db2.gz JSPMDRQLLWMDIL-UHFFFAOYSA-N 1 2 273.273 3.997 20 0 CHADLO Fc1cc(F)c(C[NH2+]Cc2scnc2C2CC2)c(F)c1 ZINC000339524727 1122643712 /nfs/dbraw/zinc/64/37/12/1122643712.db2.gz WMDGIRXKZUASLQ-UHFFFAOYSA-N 1 2 298.333 3.728 20 0 CHADLO FC1(F)CC[N@H+](CCCCCOc2ccccc2)C1 ZINC000437805972 1122656764 /nfs/dbraw/zinc/65/67/64/1122656764.db2.gz WXIJQZXDYQTCLB-UHFFFAOYSA-N 1 2 269.335 3.577 20 0 CHADLO FC1(F)CC[N@@H+](CCCCCOc2ccccc2)C1 ZINC000437805972 1122656770 /nfs/dbraw/zinc/65/67/70/1122656770.db2.gz WXIJQZXDYQTCLB-UHFFFAOYSA-N 1 2 269.335 3.577 20 0 CHADLO C/C(=C\c1ccccc1)CN1CC[NH+](Cc2ccco2)CC1 ZINC000506151254 1122660540 /nfs/dbraw/zinc/66/05/40/1122660540.db2.gz OJJBCOMZTLMZHJ-SAPNQHFASA-N 1 2 296.414 3.501 20 0 CHADLO CCCCOc1ccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000437962733 1122666634 /nfs/dbraw/zinc/66/66/34/1122666634.db2.gz KDLHAALZTZFONC-QGZVFWFLSA-N 1 2 299.418 3.926 20 0 CHADLO CCCCOc1ccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)cc1 ZINC000437962733 1122666637 /nfs/dbraw/zinc/66/66/37/1122666637.db2.gz KDLHAALZTZFONC-QGZVFWFLSA-N 1 2 299.418 3.926 20 0 CHADLO Clc1[nH]c2ccccc2c1C[N@@H+]1CCCCC12COC2 ZINC001138687406 1129070858 /nfs/dbraw/zinc/07/08/58/1129070858.db2.gz FUOZMQSHAKZTBN-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1[nH]c2ccccc2c1C[N@H+]1CCCCC12COC2 ZINC001138687406 1129070865 /nfs/dbraw/zinc/07/08/65/1129070865.db2.gz FUOZMQSHAKZTBN-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Cc1ccc(NCc2c[nH+]cn2Cc2ccccc2)cc1F ZINC000438315645 1122690946 /nfs/dbraw/zinc/69/09/46/1122690946.db2.gz CMMKURDVYSXBNS-UHFFFAOYSA-N 1 2 295.361 3.991 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ncsc3C2)ccc1Cl ZINC000438374203 1122697206 /nfs/dbraw/zinc/69/72/06/1122697206.db2.gz LALCBKAOLHKBHZ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ncsc3C2)ccc1Cl ZINC000438374203 1122697208 /nfs/dbraw/zinc/69/72/08/1122697208.db2.gz LALCBKAOLHKBHZ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1c(F)cccc1-c1ccc(C[N@@H+]2CCO[C@H](C)C2)o1 ZINC000506261758 1122706949 /nfs/dbraw/zinc/70/69/49/1122706949.db2.gz KOCIPOAUKSQIBF-GFCCVEGCSA-N 1 2 289.350 3.615 20 0 CHADLO Cc1c(F)cccc1-c1ccc(C[N@H+]2CCO[C@H](C)C2)o1 ZINC000506261758 1122706952 /nfs/dbraw/zinc/70/69/52/1122706952.db2.gz KOCIPOAUKSQIBF-GFCCVEGCSA-N 1 2 289.350 3.615 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@@H+]2CCO[C@H](c3ccccc3)C2)o1 ZINC000506339473 1122721522 /nfs/dbraw/zinc/72/15/22/1122721522.db2.gz KDXIFTMMTIVDFJ-BJZITVGISA-N 1 2 297.398 3.977 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[N@H+]2CCO[C@H](c3ccccc3)C2)o1 ZINC000506339473 1122721524 /nfs/dbraw/zinc/72/15/24/1122721524.db2.gz KDXIFTMMTIVDFJ-BJZITVGISA-N 1 2 297.398 3.977 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ccccc2OC2CCCC2)CCO1 ZINC000506369196 1122726272 /nfs/dbraw/zinc/72/62/72/1122726272.db2.gz KZHSPZPQYCJQCO-INIZCTEOSA-N 1 2 289.419 3.619 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ccccc2OC2CCCC2)CCO1 ZINC000506369196 1122726275 /nfs/dbraw/zinc/72/62/75/1122726275.db2.gz KZHSPZPQYCJQCO-INIZCTEOSA-N 1 2 289.419 3.619 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CCOC3(CCC3)C2)c1 ZINC000294949814 1122739598 /nfs/dbraw/zinc/73/95/98/1122739598.db2.gz DDWRDNLAQKBKAD-CQSZACIVSA-N 1 2 292.448 3.848 20 0 CHADLO Clc1nc(C[N@@H+]2CCC[C@H](c3ccncc3)C2)cs1 ZINC000296107722 1122763534 /nfs/dbraw/zinc/76/35/34/1122763534.db2.gz XQBMANOZCLAWDA-LBPRGKRZSA-N 1 2 293.823 3.571 20 0 CHADLO Clc1nc(C[N@H+]2CCC[C@H](c3ccncc3)C2)cs1 ZINC000296107722 1122763537 /nfs/dbraw/zinc/76/35/37/1122763537.db2.gz XQBMANOZCLAWDA-LBPRGKRZSA-N 1 2 293.823 3.571 20 0 CHADLO Cc1cc(NC(=O)Nc2cccc(OC(C)C)c2)c(C)c[nH+]1 ZINC000518701862 1122779748 /nfs/dbraw/zinc/77/97/48/1122779748.db2.gz QJQHPXCTCWOGRX-UHFFFAOYSA-N 1 2 299.374 3.552 20 0 CHADLO Cn1c[nH+]cc1COc1ccc(Oc2ccccc2)cc1 ZINC000439553555 1122789644 /nfs/dbraw/zinc/78/96/44/1122789644.db2.gz XXKNJUGTEUJHOF-UHFFFAOYSA-N 1 2 280.327 3.791 20 0 CHADLO CCC[C@@H]([NH2+]CC(C)(C)c1cccc(C)c1)C(=O)OCC ZINC000439566922 1122792377 /nfs/dbraw/zinc/79/23/77/1122792377.db2.gz GMKQQNDEHLXLLO-MRXNPFEDSA-N 1 2 291.435 3.594 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CCC=C(c3ccco3)C2)n1 ZINC000894392337 1122815534 /nfs/dbraw/zinc/81/55/34/1122815534.db2.gz XRBBPELMZRWVHX-UHFFFAOYSA-N 1 2 286.375 3.854 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CCC=C(c3ccco3)C2)n1 ZINC000894392337 1122815538 /nfs/dbraw/zinc/81/55/38/1122815538.db2.gz XRBBPELMZRWVHX-UHFFFAOYSA-N 1 2 286.375 3.854 20 0 CHADLO COC1CCC(c2[nH]c3ccc(C)c(C)c3[nH+]2)CC1 ZINC001250613164 1122828828 /nfs/dbraw/zinc/82/88/28/1122828828.db2.gz DIFZUCODRGDTQE-UHFFFAOYSA-N 1 2 258.365 3.852 20 0 CHADLO COC1CCC(c2[nH]c3c(ccc(C)c3C)[nH+]2)CC1 ZINC001250613164 1122828834 /nfs/dbraw/zinc/82/88/34/1122828834.db2.gz DIFZUCODRGDTQE-UHFFFAOYSA-N 1 2 258.365 3.852 20 0 CHADLO CC(C)Cc1ccc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)cn1 ZINC000894398603 1122831546 /nfs/dbraw/zinc/83/15/46/1122831546.db2.gz VOGUXDQDECMFII-SFHVURJKSA-N 1 2 298.434 3.730 20 0 CHADLO CC(C)Cc1ccc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)cn1 ZINC000894398603 1122831549 /nfs/dbraw/zinc/83/15/49/1122831549.db2.gz VOGUXDQDECMFII-SFHVURJKSA-N 1 2 298.434 3.730 20 0 CHADLO Cc1ccn2c(C[N@@H+](C)Cc3ccccc3Cl)cnc2c1 ZINC000506806888 1122833943 /nfs/dbraw/zinc/83/39/43/1122833943.db2.gz RWYQYDSJOBMZQB-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1ccn2c(C[N@H+](C)Cc3ccccc3Cl)cnc2c1 ZINC000506806888 1122833948 /nfs/dbraw/zinc/83/39/48/1122833948.db2.gz RWYQYDSJOBMZQB-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO CC(=O)c1cccc(CNc2cc(C)[nH+]c(C3CCC3)n2)c1 ZINC000894418176 1122849796 /nfs/dbraw/zinc/84/97/96/1122849796.db2.gz HQFOXSDFKLVWTO-UHFFFAOYSA-N 1 2 295.386 3.867 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCC[C@H]2c2cccnc2)c1 ZINC000894447955 1122854572 /nfs/dbraw/zinc/85/45/72/1122854572.db2.gz JSYULGDIBVINDZ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCC[C@H]2c2cccnc2)c1 ZINC000894447955 1122854576 /nfs/dbraw/zinc/85/45/76/1122854576.db2.gz JSYULGDIBVINDZ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CC(C)(C)[C@@H]2C2CC2)n1 ZINC000894545603 1122870731 /nfs/dbraw/zinc/87/07/31/1122870731.db2.gz ZZNUJHMZVWGUFV-AWEZNQCLSA-N 1 2 262.397 3.593 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CC(C)(C)[C@@H]2C2CC2)n1 ZINC000894545603 1122870736 /nfs/dbraw/zinc/87/07/36/1122870736.db2.gz ZZNUJHMZVWGUFV-AWEZNQCLSA-N 1 2 262.397 3.593 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)co1 ZINC000647956379 1122881237 /nfs/dbraw/zinc/88/12/37/1122881237.db2.gz MMVICXFMKIEJSO-SNVBAGLBSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)co1 ZINC000647956379 1122881243 /nfs/dbraw/zinc/88/12/43/1122881243.db2.gz MMVICXFMKIEJSO-SNVBAGLBSA-N 1 2 264.756 3.829 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@@H+]1Cc1cccc(OC)c1Cl ZINC001195850930 1122890050 /nfs/dbraw/zinc/89/00/50/1122890050.db2.gz GCTZYIJNYBKYTQ-CYBMUJFWSA-N 1 2 297.826 3.738 20 0 CHADLO CC[C@@H]1COC(C)(C)C[N@H+]1Cc1cccc(OC)c1Cl ZINC001195850930 1122890054 /nfs/dbraw/zinc/89/00/54/1122890054.db2.gz GCTZYIJNYBKYTQ-CYBMUJFWSA-N 1 2 297.826 3.738 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@@H+]1Cc1ccc(F)cc1Cl ZINC001195852058 1122891317 /nfs/dbraw/zinc/89/13/17/1122891317.db2.gz UYDOOZGBEZHHPV-ZDUSSCGKSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@H+]1Cc1ccc(F)cc1Cl ZINC001195852058 1122891321 /nfs/dbraw/zinc/89/13/21/1122891321.db2.gz UYDOOZGBEZHHPV-ZDUSSCGKSA-N 1 2 285.790 3.869 20 0 CHADLO COc1cccc(C[NH2+]C2(c3ccccc3F)CC2)c1F ZINC000192686465 1122892098 /nfs/dbraw/zinc/89/20/98/1122892098.db2.gz NGUZPKYRQAXIIF-UHFFFAOYSA-N 1 2 289.325 3.752 20 0 CHADLO Cc1cc(N2CC[C@H]3CC[C@@H](C2)S3)nc(C2CCC2)[nH+]1 ZINC000894722306 1122899336 /nfs/dbraw/zinc/89/93/36/1122899336.db2.gz CHTQZZWXFUNIPJ-KGLIPLIRSA-N 1 2 289.448 3.527 20 0 CHADLO CSc1ccc(C[N@H+](Cc2ccccn2)C2CC2)o1 ZINC000894780179 1122905293 /nfs/dbraw/zinc/90/52/93/1122905293.db2.gz YTRQOWPXAIHXAD-UHFFFAOYSA-N 1 2 274.389 3.561 20 0 CHADLO CSc1ccc(C[N@@H+](Cc2ccccn2)C2CC2)o1 ZINC000894780179 1122905295 /nfs/dbraw/zinc/90/52/95/1122905295.db2.gz YTRQOWPXAIHXAD-UHFFFAOYSA-N 1 2 274.389 3.561 20 0 CHADLO FC(F)(F)[C@@H]1CC=C(Nc2ccn3cc[nH+]c3c2)CC1 ZINC001196890832 1122937108 /nfs/dbraw/zinc/93/71/08/1122937108.db2.gz WESJPCWSLIKZKS-SNVBAGLBSA-N 1 2 281.281 3.993 20 0 CHADLO CC[N@H+](CCOC(C)(C)C)Cc1c(F)cccc1F ZINC000507260799 1122944068 /nfs/dbraw/zinc/94/40/68/1122944068.db2.gz JJEAHNJNURUXQA-UHFFFAOYSA-N 1 2 271.351 3.602 20 0 CHADLO CC[N@@H+](CCOC(C)(C)C)Cc1c(F)cccc1F ZINC000507260799 1122944070 /nfs/dbraw/zinc/94/40/70/1122944070.db2.gz JJEAHNJNURUXQA-UHFFFAOYSA-N 1 2 271.351 3.602 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000507368641 1122951437 /nfs/dbraw/zinc/95/14/37/1122951437.db2.gz BAVXIMVMRKBAQO-IBGZPJMESA-N 1 2 292.382 3.995 20 0 CHADLO Cc1ccoc1C[N@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000507368641 1122951439 /nfs/dbraw/zinc/95/14/39/1122951439.db2.gz BAVXIMVMRKBAQO-IBGZPJMESA-N 1 2 292.382 3.995 20 0 CHADLO CCc1cc(NC(=S)Nc2ccc(Cl)cc2)cc[nH+]1 ZINC001197306132 1122951482 /nfs/dbraw/zinc/95/14/82/1122951482.db2.gz IAFBFQPJWUUDPI-UHFFFAOYSA-N 1 2 291.807 3.528 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2ccc(C)cc2C)o1 ZINC000353685350 1122961639 /nfs/dbraw/zinc/96/16/39/1122961639.db2.gz VAEXRCPMSDXEGP-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2ccc(C)cc2C)o1 ZINC000353685350 1122961645 /nfs/dbraw/zinc/96/16/45/1122961645.db2.gz VAEXRCPMSDXEGP-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(NC(=O)C(C)C)cc1 ZINC001197666459 1122963924 /nfs/dbraw/zinc/96/39/24/1122963924.db2.gz SWRGYORCVDNLOS-UHFFFAOYSA-N 1 2 299.374 3.818 20 0 CHADLO C[C@H]1CC[C@H](C)[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000154738248 1129091636 /nfs/dbraw/zinc/09/16/36/1129091636.db2.gz VJQJHZHRUATKOY-IUCAKERBSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@H]1CC[C@H](C)[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000154738248 1129091639 /nfs/dbraw/zinc/09/16/39/1129091639.db2.gz VJQJHZHRUATKOY-IUCAKERBSA-N 1 2 278.343 3.782 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2ccc(C)[nH+]c2C)c(C)c1 ZINC000579007811 1122993999 /nfs/dbraw/zinc/99/39/99/1122993999.db2.gz BZOZWUFYLCJSHV-FQEVSTJZSA-N 1 2 287.428 3.764 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CCC[N@@H+]1Cc1ccc(Cl)nc1 ZINC000775866206 1122996524 /nfs/dbraw/zinc/99/65/24/1122996524.db2.gz BQNNXTXYMVMEEJ-KOLCDFICSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CCC[N@H+]1Cc1ccc(Cl)nc1 ZINC000775866206 1122996528 /nfs/dbraw/zinc/99/65/28/1122996528.db2.gz BQNNXTXYMVMEEJ-KOLCDFICSA-N 1 2 292.732 3.898 20 0 CHADLO CC(C)Cn1cc(Nc2cc(Cl)c3[nH+]ccn3c2)cn1 ZINC001205850840 1123007782 /nfs/dbraw/zinc/00/77/82/1123007782.db2.gz PWICEGZSRSRXFY-UHFFFAOYSA-N 1 2 289.770 3.584 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@@H+](C/C(Cl)=C\Cl)C2 ZINC001198852324 1123012766 /nfs/dbraw/zinc/01/27/66/1123012766.db2.gz PVBCIVJBTTXAGM-NTUHNPAUSA-N 1 2 270.203 3.981 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@H+](C/C(Cl)=C\Cl)C2 ZINC001198852324 1123012771 /nfs/dbraw/zinc/01/27/71/1123012771.db2.gz PVBCIVJBTTXAGM-NTUHNPAUSA-N 1 2 270.203 3.981 20 0 CHADLO Nc1c(F)cccc1C[NH2+][C@H](c1ccco1)c1ccccc1 ZINC001199069115 1123020776 /nfs/dbraw/zinc/02/07/76/1123020776.db2.gz PGVJHWUBCITQBI-SFHVURJKSA-N 1 2 296.345 3.880 20 0 CHADLO Cc1cc(Oc2ccc(Nc3cnoc3)cc2)cc[nH+]1 ZINC001203244947 1123021666 /nfs/dbraw/zinc/02/16/66/1123021666.db2.gz LPEMCPIAYOJYAC-UHFFFAOYSA-N 1 2 267.288 3.914 20 0 CHADLO CC[N@H+](Cc1nc(C(C)C)no1)Cc1ccc(C)cc1 ZINC000519918666 1123037309 /nfs/dbraw/zinc/03/73/09/1123037309.db2.gz LBCBIDBVHZDEMQ-UHFFFAOYSA-N 1 2 273.380 3.524 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)C)no1)Cc1ccc(C)cc1 ZINC000519918666 1123037314 /nfs/dbraw/zinc/03/73/14/1123037314.db2.gz LBCBIDBVHZDEMQ-UHFFFAOYSA-N 1 2 273.380 3.524 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nnc(C(C)(C)C)o1)C1CC1 ZINC000776024821 1123039970 /nfs/dbraw/zinc/03/99/70/1123039970.db2.gz QYUSNQJQXDKEQH-MRXNPFEDSA-N 1 2 299.418 3.916 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000440789327 1123040948 /nfs/dbraw/zinc/04/09/48/1123040948.db2.gz AIXFFYQGECYVGH-VHSXEESVSA-N 1 2 257.299 3.632 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000440789327 1123040954 /nfs/dbraw/zinc/04/09/54/1123040954.db2.gz AIXFFYQGECYVGH-VHSXEESVSA-N 1 2 257.299 3.632 20 0 CHADLO Cc1cc(C(=O)Nc2ccccc2-n2cc[nH+]c2)c(C)s1 ZINC000052910062 1123058880 /nfs/dbraw/zinc/05/88/80/1123058880.db2.gz CVGDCWSVYJSWEM-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO CCCCCCNC(=S)Nc1ccc2[nH+]c(C)cn2c1 ZINC001200944286 1123066923 /nfs/dbraw/zinc/06/69/23/1123066923.db2.gz OARJXDKRGRLUBA-UHFFFAOYSA-N 1 2 290.436 3.509 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cc(C)cc(F)c2)no1 ZINC001143580637 1123071568 /nfs/dbraw/zinc/07/15/68/1123071568.db2.gz QGBIAIOXQRHZFC-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cc(C)cc(F)c2)no1 ZINC001143580637 1123071569 /nfs/dbraw/zinc/07/15/69/1123071569.db2.gz QGBIAIOXQRHZFC-INIZCTEOSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1nc(-c2cccc(Nc3ccc(N)[nH+]c3)c2)cs1 ZINC001201283678 1123080797 /nfs/dbraw/zinc/08/07/97/1123080797.db2.gz DFVQHICUPFZQRS-UHFFFAOYSA-N 1 2 282.372 3.839 20 0 CHADLO Nc1cccc(N(c2ccccc2)c2ccc(N)[nH+]c2)c1 ZINC001201291735 1123082694 /nfs/dbraw/zinc/08/26/94/1123082694.db2.gz PJDYWYUSDBHPFR-UHFFFAOYSA-N 1 2 276.343 3.716 20 0 CHADLO Cc1nc2cc(Nc3ccc(N)[nH+]c3)c(C)cc2s1 ZINC001201291678 1123083019 /nfs/dbraw/zinc/08/30/19/1123083019.db2.gz MQDICMCLEKAXHL-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO CCc1cc(Br)cc(Nc2ccc(N)[nH+]c2)c1 ZINC001201291893 1123084083 /nfs/dbraw/zinc/08/40/83/1123084083.db2.gz HMBVWYUASOYVHJ-UHFFFAOYSA-N 1 2 292.180 3.732 20 0 CHADLO Fc1cc(NCc2cccn2C2CC2)ccc1-n1cc[nH+]c1 ZINC001201258065 1123084101 /nfs/dbraw/zinc/08/41/01/1123084101.db2.gz ZCEWCMZBHXMBQO-UHFFFAOYSA-N 1 2 296.349 3.760 20 0 CHADLO CC(=O)c1sc(C(C)(C)C)cc1Nc1ccc(N)[nH+]c1 ZINC001201272239 1123084129 /nfs/dbraw/zinc/08/41/29/1123084129.db2.gz KTVPXELFYIINFK-UHFFFAOYSA-N 1 2 289.404 3.969 20 0 CHADLO Nc1ccc(Nc2ccc3c4c(cccc42)CC3)c[nH+]1 ZINC001201291818 1123084357 /nfs/dbraw/zinc/08/43/57/1123084357.db2.gz DANAUXBDUJMFMF-UHFFFAOYSA-N 1 2 261.328 3.659 20 0 CHADLO Cc1cnn(-c2ccccc2Cl)c1Nc1ccc(N)[nH+]c1 ZINC001201279263 1123084782 /nfs/dbraw/zinc/08/47/82/1123084782.db2.gz NOOQXPHHNOKOGB-UHFFFAOYSA-N 1 2 299.765 3.555 20 0 CHADLO Nc1ccc(Nc2cc(-c3ccc(Cl)s3)on2)c[nH+]1 ZINC001201279449 1123085465 /nfs/dbraw/zinc/08/54/65/1123085465.db2.gz PGMQPDZJNNAICX-UHFFFAOYSA-N 1 2 292.751 3.777 20 0 CHADLO Cc1cnc(CNc2ccc(-n3cc[nH+]c3)c(C)c2)s1 ZINC001201356550 1123090975 /nfs/dbraw/zinc/09/09/75/1123090975.db2.gz NEUANPUJIRDXPF-UHFFFAOYSA-N 1 2 284.388 3.558 20 0 CHADLO CCCc1nc(C[N@H+](C)[C@H](c2ccccc2)C(C)C)no1 ZINC000112699137 1123095032 /nfs/dbraw/zinc/09/50/32/1123095032.db2.gz IMJCXDGKFVRRLJ-KRWDZBQOSA-N 1 2 287.407 3.851 20 0 CHADLO CCCc1nc(C[N@@H+](C)[C@H](c2ccccc2)C(C)C)no1 ZINC000112699137 1123095035 /nfs/dbraw/zinc/09/50/35/1123095035.db2.gz IMJCXDGKFVRRLJ-KRWDZBQOSA-N 1 2 287.407 3.851 20 0 CHADLO COc1nc(C(C)C)ccc1Nc1[nH+]c2ccccc2n1C ZINC001201430743 1123099704 /nfs/dbraw/zinc/09/97/04/1123099704.db2.gz CQQKYKOWZCEXRZ-UHFFFAOYSA-N 1 2 296.374 3.844 20 0 CHADLO FC1(F)C[N@H+](C[C@H]2CC=CCC2)CC[C@@H]1N1CCCCC1 ZINC001201426636 1123099854 /nfs/dbraw/zinc/09/98/54/1123099854.db2.gz PEMQQOLQXDQOJM-HOTGVXAUSA-N 1 2 298.421 3.538 20 0 CHADLO FC1(F)C[N@@H+](C[C@H]2CC=CCC2)CC[C@@H]1N1CCCCC1 ZINC001201426636 1123099858 /nfs/dbraw/zinc/09/98/58/1123099858.db2.gz PEMQQOLQXDQOJM-HOTGVXAUSA-N 1 2 298.421 3.538 20 0 CHADLO C[C@H]([NH2+]Cc1csnn1)c1csc2ccccc12 ZINC000776169869 1123100682 /nfs/dbraw/zinc/10/06/82/1123100682.db2.gz RBSBBGRECCLQSZ-VIFPVBQESA-N 1 2 275.402 3.604 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cccnc2-n2cccn2)cc1 ZINC001201488988 1123108442 /nfs/dbraw/zinc/10/84/42/1123108442.db2.gz VWEINSJZBFEMBS-UHFFFAOYSA-N 1 2 293.374 3.831 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnc3c(cnn3C)c2)cc1 ZINC001201489911 1123108540 /nfs/dbraw/zinc/10/85/40/1123108540.db2.gz XDXDJCXLJLKJQI-UHFFFAOYSA-N 1 2 281.363 3.532 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cnncc2Cl)cc1 ZINC001201488690 1123108561 /nfs/dbraw/zinc/10/85/61/1123108561.db2.gz GMTKJUYRFIQCRS-UHFFFAOYSA-N 1 2 262.744 3.694 20 0 CHADLO c1cn(Cc2ccc(Nc3ccc(C4CC4)nc3)cc2)c[nH+]1 ZINC001201714380 1123139326 /nfs/dbraw/zinc/13/93/26/1123139326.db2.gz JJZILAUHMXHSEC-UHFFFAOYSA-N 1 2 290.370 3.947 20 0 CHADLO FC(F)n1cc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001201738498 1123143265 /nfs/dbraw/zinc/14/32/65/1123143265.db2.gz RGKXSWSTYTZVPR-UHFFFAOYSA-N 1 2 260.247 3.570 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[N@@H+]2CCc3nc[nH]c3C23CCC3)o1 ZINC000895067948 1123145027 /nfs/dbraw/zinc/14/50/27/1123145027.db2.gz AYPLQIZWBINBCC-GXTWGEPZSA-N 1 2 297.402 3.564 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[N@H+]2CCc3nc[nH]c3C23CCC3)o1 ZINC000895067948 1123145029 /nfs/dbraw/zinc/14/50/29/1123145029.db2.gz AYPLQIZWBINBCC-GXTWGEPZSA-N 1 2 297.402 3.564 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[N@@H+]2CCc3nc[nH]c3C23CCC3)o1 ZINC000895067949 1123145071 /nfs/dbraw/zinc/14/50/71/1123145071.db2.gz AYPLQIZWBINBCC-JSGCOSHPSA-N 1 2 297.402 3.564 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[N@H+]2CCc3nc[nH]c3C23CCC3)o1 ZINC000895067949 1123145072 /nfs/dbraw/zinc/14/50/72/1123145072.db2.gz AYPLQIZWBINBCC-JSGCOSHPSA-N 1 2 297.402 3.564 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nccs1)c1c(C)noc1C ZINC000090886690 1123156828 /nfs/dbraw/zinc/15/68/28/1123156828.db2.gz BJQINWHSAOLFQH-MWLCHTKSSA-N 1 2 265.382 3.550 20 0 CHADLO Cc1nc(N[C@H](C)c2cccc(O)c2)c2c([nH+]1)CCCC2 ZINC000180432820 1123157697 /nfs/dbraw/zinc/15/76/97/1123157697.db2.gz VBNXWKLULMXRKZ-LLVKDONJSA-N 1 2 283.375 3.543 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2COc3cc(F)cc(F)c32)ccc1F ZINC001201963492 1123164288 /nfs/dbraw/zinc/16/42/88/1123164288.db2.gz SESRYCWZMAYFET-CQSZACIVSA-N 1 2 293.288 3.636 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCS[C@@H](C)[C@@H]2C)cs1 ZINC000118415017 1123169680 /nfs/dbraw/zinc/16/96/80/1123169680.db2.gz ONOGBFZMQMAELF-QWRGUYRKSA-N 1 2 270.467 3.592 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCS[C@@H](C)[C@@H]2C)cs1 ZINC000118415017 1123169683 /nfs/dbraw/zinc/16/96/83/1123169683.db2.gz ONOGBFZMQMAELF-QWRGUYRKSA-N 1 2 270.467 3.592 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ccccc2F)c(F)c1 ZINC001237634109 1129104962 /nfs/dbraw/zinc/10/49/62/1129104962.db2.gz FOTSPEVVGQDQBF-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ccccc2F)c(F)c1 ZINC001237634109 1129104964 /nfs/dbraw/zinc/10/49/64/1129104964.db2.gz FOTSPEVVGQDQBF-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO c1ccc([C@@H]2[N@H+](Cc3ncccn3)CC23CCCC3)cc1 ZINC000334517695 1123203857 /nfs/dbraw/zinc/20/38/57/1123203857.db2.gz BJQGSYSKEIRTAI-KRWDZBQOSA-N 1 2 279.387 3.594 20 0 CHADLO c1ccc([C@@H]2[N@@H+](Cc3ncccn3)CC23CCCC3)cc1 ZINC000334517695 1123203858 /nfs/dbraw/zinc/20/38/58/1123203858.db2.gz BJQGSYSKEIRTAI-KRWDZBQOSA-N 1 2 279.387 3.594 20 0 CHADLO c1nnsc1C[N@@H+]1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000334520011 1123207714 /nfs/dbraw/zinc/20/77/14/1123207714.db2.gz UQBAPVAYKRKTPN-HNNXBMFYSA-N 1 2 285.416 3.655 20 0 CHADLO c1nnsc1C[N@H+]1CC2(CCCC2)[C@@H]1c1ccccc1 ZINC000334520011 1123207718 /nfs/dbraw/zinc/20/77/18/1123207718.db2.gz UQBAPVAYKRKTPN-HNNXBMFYSA-N 1 2 285.416 3.655 20 0 CHADLO Cc1ccc2cc(Nc3ccn4cc[nH+]c4c3)ccc2n1 ZINC001204978126 1123230427 /nfs/dbraw/zinc/23/04/27/1123230427.db2.gz NPAASCQEOBYACF-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO C[C@@H]([NH2+]C[C@@H](O)c1ccsc1)C(F)(F)c1ccccc1 ZINC000925112193 1123232619 /nfs/dbraw/zinc/23/26/19/1123232619.db2.gz TXXJVJGPBQGNEY-BXUZGUMPSA-N 1 2 297.370 3.552 20 0 CHADLO Cc1[nH]c(CNc2ccc(F)cc2OCC2CC2)[nH+]c1C ZINC000850154723 1123243795 /nfs/dbraw/zinc/24/37/95/1123243795.db2.gz ALGASIPNRDOZEJ-UHFFFAOYSA-N 1 2 289.354 3.567 20 0 CHADLO Cc1[nH]c(CNc2ccccc2OC(C)(C)C)[nH+]c1C ZINC000850215433 1123247630 /nfs/dbraw/zinc/24/76/30/1123247630.db2.gz KJVULGRVFAPXEE-UHFFFAOYSA-N 1 2 273.380 3.816 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1ccc(C(C)(C)O)cc1 ZINC000850409755 1123264536 /nfs/dbraw/zinc/26/45/36/1123264536.db2.gz FLGFFDOCQLSBAT-UHFFFAOYSA-N 1 2 285.378 3.685 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nccs1)c1cc2cnccc2o1 ZINC000850433620 1123266416 /nfs/dbraw/zinc/26/64/16/1123266416.db2.gz OWDRGHRJKFXHJJ-NXEZZACHSA-N 1 2 273.361 3.696 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+][C@H]3CCCC34CC4)cc2)CCO1 ZINC000850457155 1123270335 /nfs/dbraw/zinc/27/03/35/1123270335.db2.gz KMZJHHTVZLODMD-YOEHRIQHSA-N 1 2 286.419 3.656 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1cnn(-c2ccccc2)c1 ZINC000850511671 1123277746 /nfs/dbraw/zinc/27/77/46/1123277746.db2.gz KWVKGKRZLLAFJQ-OLZOCXBDSA-N 1 2 298.415 3.741 20 0 CHADLO Cc1csc(C[N@H+](Cc2ccccc2)C2CC2)n1 ZINC000173185030 1123284994 /nfs/dbraw/zinc/28/49/94/1123284994.db2.gz TVWMNBZGSVHKCA-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO Cc1csc(C[N@@H+](Cc2ccccc2)C2CC2)n1 ZINC000173185030 1123284999 /nfs/dbraw/zinc/28/49/99/1123284999.db2.gz TVWMNBZGSVHKCA-UHFFFAOYSA-N 1 2 258.390 3.616 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CCCc2c(C)ccc(C)c21 ZINC000335046709 1123289446 /nfs/dbraw/zinc/28/94/46/1123289446.db2.gz NFCNQHHUYBCLDA-INIZCTEOSA-N 1 2 269.392 3.502 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+][C@H]1CCc2nc(Cl)ccc21 ZINC000850572812 1123295402 /nfs/dbraw/zinc/29/54/02/1123295402.db2.gz PKQGAELUDIKHMP-JTQLQIEISA-N 1 2 288.769 3.993 20 0 CHADLO CC1=CC[C@](C)(C(=O)OCc2cccc3[nH+]ccn32)CC1 ZINC000850899039 1123308152 /nfs/dbraw/zinc/30/81/52/1123308152.db2.gz GRSSFOFUUDUVPR-KRWDZBQOSA-N 1 2 284.359 3.514 20 0 CHADLO Cc1cc(Cl)ccc1C[NH+]1CC(C(F)(F)F)C1 ZINC000828415892 1123332296 /nfs/dbraw/zinc/33/22/96/1123332296.db2.gz YKSRXCBORIJVDD-UHFFFAOYSA-N 1 2 263.690 3.643 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2ccccc2SC)[nH]1 ZINC000442865894 1123343723 /nfs/dbraw/zinc/34/37/23/1123343723.db2.gz JBOFPJWIAQGXQA-GHMZBOCLSA-N 1 2 290.436 3.501 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2ccc([C@@H]3C[C@H]3C)o2)cn1 ZINC000443070946 1123353851 /nfs/dbraw/zinc/35/38/51/1123353851.db2.gz PNXDKQYXNGSEMA-CZUORRHYSA-N 1 2 299.418 3.948 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2ccc([C@@H]3C[C@H]3C)o2)cn1 ZINC000443070946 1123353855 /nfs/dbraw/zinc/35/38/55/1123353855.db2.gz PNXDKQYXNGSEMA-CZUORRHYSA-N 1 2 299.418 3.948 20 0 CHADLO C[C@H](CC(=O)O[C@H](C)c1coc2ccccc21)n1cc[nH+]c1 ZINC000851478123 1123361192 /nfs/dbraw/zinc/36/11/92/1123361192.db2.gz QYKKCBJQQHBJPM-CHWSQXEVSA-N 1 2 298.342 3.885 20 0 CHADLO Cc1ccccc1NC(=O)[C@@H]1CCc2[nH+]c(C(C)C)[nH]c2C1 ZINC000335370576 1123366330 /nfs/dbraw/zinc/36/63/30/1123366330.db2.gz BIDUBYIDHHLAET-CYBMUJFWSA-N 1 2 297.402 3.585 20 0 CHADLO Cc1ccccc1NC(=O)[C@@H]1CCc2[nH]c(C(C)C)[nH+]c2C1 ZINC000335370576 1123366331 /nfs/dbraw/zinc/36/63/31/1123366331.db2.gz BIDUBYIDHHLAET-CYBMUJFWSA-N 1 2 297.402 3.585 20 0 CHADLO C[C@@H]([NH2+]Cc1nccs1)c1csc(Cl)c1 ZINC000191194009 1123395140 /nfs/dbraw/zinc/39/51/40/1123395140.db2.gz XLGLSFWBCAHGJE-SSDOTTSWSA-N 1 2 258.799 3.709 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@@H](C3CC3)[C@@H]2C2CC2)c(C)[nH+]1 ZINC000335588286 1123379638 /nfs/dbraw/zinc/37/96/38/1123379638.db2.gz ACFRHLVKPSLVIP-RDJZCZTQSA-N 1 2 299.418 3.659 20 0 CHADLO Cc1csc(CNC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000335590474 1123380871 /nfs/dbraw/zinc/38/08/71/1123380871.db2.gz OJHVETFVGHYXEQ-UHFFFAOYSA-N 1 2 289.404 3.698 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc(F)c2C)c(C)[nH+]1 ZINC000335562506 1123380909 /nfs/dbraw/zinc/38/09/09/1123380909.db2.gz OVCBYPKPRCHUNH-UHFFFAOYSA-N 1 2 272.323 3.707 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@@H](C3CC3)C2)c(C)[nH+]1 ZINC000335589503 1123381252 /nfs/dbraw/zinc/38/12/52/1123381252.db2.gz LGXKYHGJRYZLCW-OAHLLOKOSA-N 1 2 287.407 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@H](C3CC3)C2)c(C)[nH+]1 ZINC000335589500 1123381265 /nfs/dbraw/zinc/38/12/65/1123381265.db2.gz LGXKYHGJRYZLCW-HNNXBMFYSA-N 1 2 287.407 3.661 20 0 CHADLO Fc1cccc(C[NH+]2CCC(F)(F)CC2)c1OC(F)F ZINC000852001823 1123393648 /nfs/dbraw/zinc/39/36/48/1123393648.db2.gz OJLJAGFVTUGIRB-UHFFFAOYSA-N 1 2 295.251 3.658 20 0 CHADLO Fc1cccc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)n1 ZINC000852426253 1123404425 /nfs/dbraw/zinc/40/44/25/1123404425.db2.gz IQUOLUCSTVJMEX-MRXNPFEDSA-N 1 2 286.350 3.931 20 0 CHADLO Fc1cccc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)n1 ZINC000852426253 1123404428 /nfs/dbraw/zinc/40/44/28/1123404428.db2.gz IQUOLUCSTVJMEX-MRXNPFEDSA-N 1 2 286.350 3.931 20 0 CHADLO Cl/C=C\C[N@@H+]1CCc2nc(-c3ccccc3)sc2C1 ZINC000852475559 1123406481 /nfs/dbraw/zinc/40/64/81/1123406481.db2.gz HYQZDLNABIEILK-YWEYNIOJSA-N 1 2 290.819 3.921 20 0 CHADLO Cl/C=C\C[N@H+]1CCc2nc(-c3ccccc3)sc2C1 ZINC000852475559 1123406482 /nfs/dbraw/zinc/40/64/82/1123406482.db2.gz HYQZDLNABIEILK-YWEYNIOJSA-N 1 2 290.819 3.921 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C)sc1C)c1nc(C)cs1 ZINC000443583404 1123436470 /nfs/dbraw/zinc/43/64/70/1123436470.db2.gz QAGDMMYTLDGBHT-AWEZNQCLSA-N 1 2 295.477 3.940 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC[C@H]3CCC[C@H]3C2)n1 ZINC001141757127 1123446611 /nfs/dbraw/zinc/44/66/11/1123446611.db2.gz FGHQOKWYBJUZCN-ZJUUUORDSA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC[C@H]3CCC[C@H]3C2)n1 ZINC001141757127 1123446614 /nfs/dbraw/zinc/44/66/14/1123446614.db2.gz FGHQOKWYBJUZCN-ZJUUUORDSA-N 1 2 290.354 3.784 20 0 CHADLO C[C@@H]1CCCC[C@@H]1CO[NH+]=C(N)Cc1cccc(F)c1 ZINC000853695077 1123447359 /nfs/dbraw/zinc/44/73/59/1123447359.db2.gz QLNLKZRPXVMSMX-TZMCWYRMSA-N 1 2 278.371 3.693 20 0 CHADLO C[C@H]1CCCC[C@H]1CO[NH+]=C(N)Cc1cccc(F)c1 ZINC000853695072 1123447481 /nfs/dbraw/zinc/44/74/81/1123447481.db2.gz QLNLKZRPXVMSMX-JSGCOSHPSA-N 1 2 278.371 3.693 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSCCOC(F)F ZINC000853772937 1123453408 /nfs/dbraw/zinc/45/34/08/1123453408.db2.gz NNSHODHYKVZSNL-UHFFFAOYSA-N 1 2 298.358 3.653 20 0 CHADLO Cc1nc(N[C@H]2c3ccccc3CCC2(C)C)cc[nH+]1 ZINC000443708305 1123475163 /nfs/dbraw/zinc/47/51/63/1123475163.db2.gz LVXBLVLNZQPHDF-INIZCTEOSA-N 1 2 267.376 3.911 20 0 CHADLO CCC[C@H](NC(=O)c1cccc2[nH+]ccn21)c1ccccc1 ZINC000776748802 1123489972 /nfs/dbraw/zinc/48/99/72/1123489972.db2.gz IPECCDSUMNUOCK-HNNXBMFYSA-N 1 2 293.370 3.606 20 0 CHADLO COC[C@H](Cc1ccccc1)Nc1ccc([NH+](C)C)cc1C ZINC000776765621 1123501204 /nfs/dbraw/zinc/50/12/04/1123501204.db2.gz HMTUTQXJVDYEJO-KRWDZBQOSA-N 1 2 298.430 3.731 20 0 CHADLO Cc1cn2c(cccc2NC(=O)N[C@@H](C)c2ccccc2)[nH+]1 ZINC001202493060 1123502761 /nfs/dbraw/zinc/50/27/61/1123502761.db2.gz GYFGLMVEIDFCKZ-ZDUSSCGKSA-N 1 2 294.358 3.525 20 0 CHADLO CCC1(CC)CC[NH+](Cn2nc(C)sc2=S)CC1 ZINC000776773027 1123503050 /nfs/dbraw/zinc/50/30/50/1123503050.db2.gz YTPSGYLYKGPUAO-UHFFFAOYSA-N 1 2 285.482 3.842 20 0 CHADLO CC(C)(C)c1coc(C[NH+]2CC(c3ccccc3)C2)n1 ZINC000895693683 1123505630 /nfs/dbraw/zinc/50/56/30/1123505630.db2.gz LGWXEHIXDVBIMK-UHFFFAOYSA-N 1 2 270.376 3.572 20 0 CHADLO Cc1[nH]ncc1C[N@H+](Cc1ccccc1)C(C)(C)C ZINC000157365559 1129126434 /nfs/dbraw/zinc/12/64/34/1129126434.db2.gz YWHSVZLMOVTXMN-UHFFFAOYSA-N 1 2 257.381 3.519 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](Cc1ccccc1)C(C)(C)C ZINC000157365559 1129126436 /nfs/dbraw/zinc/12/64/36/1129126436.db2.gz YWHSVZLMOVTXMN-UHFFFAOYSA-N 1 2 257.381 3.519 20 0 CHADLO CCN(C(=O)c1cccc2[nH+]ccn21)c1ccccc1Cl ZINC000776805577 1123514416 /nfs/dbraw/zinc/51/44/16/1123514416.db2.gz RPGYEMDGUDROJB-UHFFFAOYSA-N 1 2 299.761 3.654 20 0 CHADLO CCN(C(=O)c1cccc2[nH+]ccn21)c1cccc(Cl)c1 ZINC000776804258 1123514459 /nfs/dbraw/zinc/51/44/59/1123514459.db2.gz GATAHIZWRLAKHX-UHFFFAOYSA-N 1 2 299.761 3.654 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCCC2)cc1)C1CCOCC1 ZINC000776802427 1123514575 /nfs/dbraw/zinc/51/45/75/1123514575.db2.gz IOANTSKUWBVAKT-AWEZNQCLSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)C1CCOCC1 ZINC000776802427 1123514577 /nfs/dbraw/zinc/51/45/77/1123514577.db2.gz IOANTSKUWBVAKT-AWEZNQCLSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCCC2)cc1)C1CCOCC1 ZINC000776802430 1123514591 /nfs/dbraw/zinc/51/45/91/1123514591.db2.gz IOANTSKUWBVAKT-CQSZACIVSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)C1CCOCC1 ZINC000776802430 1123514593 /nfs/dbraw/zinc/51/45/93/1123514593.db2.gz IOANTSKUWBVAKT-CQSZACIVSA-N 1 2 274.408 3.514 20 0 CHADLO Cc1cc(C)c2cc(NC3CC(n4cccn4)C3)ccc2[nH+]1 ZINC000895879207 1123524181 /nfs/dbraw/zinc/52/41/81/1123524181.db2.gz SGXQYGWFFRWJLE-UHFFFAOYSA-N 1 2 292.386 3.864 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1coc2ccc(F)cc12 ZINC001141854558 1123531223 /nfs/dbraw/zinc/53/12/23/1123531223.db2.gz GWENMJNOXSPVSA-LBPRGKRZSA-N 1 2 284.334 3.950 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1coc2ccc(F)cc12 ZINC001141854558 1123531227 /nfs/dbraw/zinc/53/12/27/1123531227.db2.gz GWENMJNOXSPVSA-LBPRGKRZSA-N 1 2 284.334 3.950 20 0 CHADLO FC1(F)[C@@H](CNc2cccc[nH+]2)[C@@H]1c1ccccc1 ZINC000896131197 1123552590 /nfs/dbraw/zinc/55/25/90/1123552590.db2.gz JYQNVHOMCOCYQA-JSGCOSHPSA-N 1 2 260.287 3.542 20 0 CHADLO CCCCCC[C@@H](CC)CN(C)C(=O)Cc1[nH]c[nH+]c1C ZINC000856300171 1123556123 /nfs/dbraw/zinc/55/61/23/1123556123.db2.gz JKBDIFBWTQPOGA-OAHLLOKOSA-N 1 2 293.455 3.716 20 0 CHADLO Cc1nc(NC[C@H]2CC=CCC2)c(Br)c(C)[nH+]1 ZINC000322844617 1123559589 /nfs/dbraw/zinc/55/95/89/1123559589.db2.gz RZAVOZLWPSTPCM-NSHDSACASA-N 1 2 296.212 3.624 20 0 CHADLO Fc1cc(C[NH+]2CCC(F)(F)CC2)ccc1Cl ZINC000114275510 1123560723 /nfs/dbraw/zinc/56/07/23/1123560723.db2.gz SCFXDKRGDMECEI-UHFFFAOYSA-N 1 2 263.690 3.710 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1Cl)c1ccnnc1 ZINC000925490302 1123561351 /nfs/dbraw/zinc/56/13/51/1123561351.db2.gz SHAWEVPJXPQRDA-NXEZZACHSA-N 1 2 279.746 3.681 20 0 CHADLO Cc1cc(N[C@@H]2CCSC3(CCC3)C2)nc(C2CC2)[nH+]1 ZINC000896255128 1123566476 /nfs/dbraw/zinc/56/64/76/1123566476.db2.gz VRVXPGWXBOBPTG-CYBMUJFWSA-N 1 2 289.448 3.893 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@H](C)c1nnc(C)s1 ZINC000274263703 1129130190 /nfs/dbraw/zinc/13/01/90/1129130190.db2.gz VISQSYWHFRDBCW-GHMZBOCLSA-N 1 2 291.420 3.575 20 0 CHADLO Clc1cccc(C[N@@H+]2CCO[C@H](c3cccs3)C2)c1 ZINC000157588845 1129130673 /nfs/dbraw/zinc/13/06/73/1129130673.db2.gz WHZFNDKNNPIKRA-AWEZNQCLSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1cccc(C[N@H+]2CCO[C@H](c3cccs3)C2)c1 ZINC000157588845 1129130674 /nfs/dbraw/zinc/13/06/74/1129130674.db2.gz WHZFNDKNNPIKRA-AWEZNQCLSA-N 1 2 293.819 3.975 20 0 CHADLO Cc1cc(N[C@@H](c2cnn(C)c2)C(C)C)nc(C2CCC2)[nH+]1 ZINC000896422265 1123582849 /nfs/dbraw/zinc/58/28/49/1123582849.db2.gz IYAWANOYZKIDNS-MRXNPFEDSA-N 1 2 299.422 3.595 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1nc(C(C)(C)C)no1)C1CC1 ZINC000519909521 1123583297 /nfs/dbraw/zinc/58/32/97/1123583297.db2.gz CLSGMVDEAMGURW-UHFFFAOYSA-N 1 2 299.418 3.840 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1nc(C(C)(C)C)no1)C1CC1 ZINC000519909521 1123583301 /nfs/dbraw/zinc/58/33/01/1123583301.db2.gz CLSGMVDEAMGURW-UHFFFAOYSA-N 1 2 299.418 3.840 20 0 CHADLO FC[C@H]([NH2+]Cc1scnc1C1CC1)c1ccc(F)cc1 ZINC000631284746 1123598273 /nfs/dbraw/zinc/59/82/73/1123598273.db2.gz SVKUZFQDQTWQEJ-ZDUSSCGKSA-N 1 2 294.370 3.960 20 0 CHADLO CC(C)(C)n1cc(C[NH2+][C@@H](CF)c2ccc(F)cc2)cn1 ZINC000631284727 1123598671 /nfs/dbraw/zinc/59/86/71/1123598671.db2.gz SOCOASYNEUGNIF-HNNXBMFYSA-N 1 2 293.361 3.578 20 0 CHADLO CCc1ccc(N(CC(C)C)C(=O)CCc2[nH]cc[nH+]2)cc1 ZINC000857500749 1123603420 /nfs/dbraw/zinc/60/34/20/1123603420.db2.gz XXFMNDLDZITTHT-UHFFFAOYSA-N 1 2 299.418 3.594 20 0 CHADLO Clc1ccccc1C[N@@H+]1CCO[C@H](c2cccs2)C1 ZINC000157799811 1129133076 /nfs/dbraw/zinc/13/30/76/1129133076.db2.gz DFDPNJHNVTVZCO-AWEZNQCLSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccccc1C[N@H+]1CCO[C@H](c2cccs2)C1 ZINC000157799811 1129133080 /nfs/dbraw/zinc/13/30/80/1129133080.db2.gz DFDPNJHNVTVZCO-AWEZNQCLSA-N 1 2 293.819 3.975 20 0 CHADLO CCC[C@@H]([NH2+]Cc1cc(CC)no1)c1ncccc1Cl ZINC000925135499 1123612641 /nfs/dbraw/zinc/61/26/41/1123612641.db2.gz XYXMSIZMGXLPSW-CQSZACIVSA-N 1 2 293.798 3.916 20 0 CHADLO Fc1cc(C[NH2+][C@@H]2CCCc3cn[nH]c32)c(F)cc1Cl ZINC000857865703 1123623341 /nfs/dbraw/zinc/62/33/41/1123623341.db2.gz QGBGQASGSFFWLL-CYBMUJFWSA-N 1 2 297.736 3.509 20 0 CHADLO Fc1cc(C[NH2+][C@@H]2CCCc3c[nH]nc32)c(F)cc1Cl ZINC000857865703 1123623347 /nfs/dbraw/zinc/62/33/47/1123623347.db2.gz QGBGQASGSFFWLL-CYBMUJFWSA-N 1 2 297.736 3.509 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CCC[C@@H](CO)C1 ZINC000314472724 1129134873 /nfs/dbraw/zinc/13/48/73/1129134873.db2.gz NVGFZCOSPRJMHD-LSDHHAIUSA-N 1 2 284.403 3.754 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc2c(c1)CCCO2 ZINC000858507527 1123659017 /nfs/dbraw/zinc/65/90/17/1123659017.db2.gz OPTPANUNACWCJV-NSHDSACASA-N 1 2 269.335 3.708 20 0 CHADLO CCC[C@H](C)[N@H+](C)Cc1nc2c(s1)CN(C(C)C)CC2 ZINC000346148251 1123667517 /nfs/dbraw/zinc/66/75/17/1123667517.db2.gz KDAGXGYKFCXHRT-ZDUSSCGKSA-N 1 2 295.496 3.530 20 0 CHADLO CCC[C@H](C)[N@@H+](C)Cc1nc2c(s1)CN(C(C)C)CC2 ZINC000346148251 1123667519 /nfs/dbraw/zinc/66/75/19/1123667519.db2.gz KDAGXGYKFCXHRT-ZDUSSCGKSA-N 1 2 295.496 3.530 20 0 CHADLO CCC[C@H](C)N(C)Cc1nc2c(s1)C[N@@H+](C(C)C)CC2 ZINC000346148251 1123667520 /nfs/dbraw/zinc/66/75/20/1123667520.db2.gz KDAGXGYKFCXHRT-ZDUSSCGKSA-N 1 2 295.496 3.530 20 0 CHADLO CCC[C@H](C)N(C)Cc1nc2c(s1)C[N@H+](C(C)C)CC2 ZINC000346148251 1123667522 /nfs/dbraw/zinc/66/75/22/1123667522.db2.gz KDAGXGYKFCXHRT-ZDUSSCGKSA-N 1 2 295.496 3.530 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccnc(F)c1 ZINC000859079956 1123683017 /nfs/dbraw/zinc/68/30/17/1123683017.db2.gz VIVUGPJPAGHWIH-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccnc(F)c1 ZINC000859079956 1123683020 /nfs/dbraw/zinc/68/30/20/1123683020.db2.gz VIVUGPJPAGHWIH-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO CC[N@H+](Cc1cc(Cl)n(C)n1)[C@H](C)c1ccc(F)cc1 ZINC000859089263 1123683712 /nfs/dbraw/zinc/68/37/12/1123683712.db2.gz APRUEAOMWVBKMU-LLVKDONJSA-N 1 2 295.789 3.796 20 0 CHADLO CC[N@@H+](Cc1cc(Cl)n(C)n1)[C@H](C)c1ccc(F)cc1 ZINC000859089263 1123683714 /nfs/dbraw/zinc/68/37/14/1123683714.db2.gz APRUEAOMWVBKMU-LLVKDONJSA-N 1 2 295.789 3.796 20 0 CHADLO COc1ccc([C@@H]([NH2+]Cc2cccnc2)c2ccco2)cc1 ZINC000346461103 1123689468 /nfs/dbraw/zinc/68/94/68/1123689468.db2.gz NXVHPZGXTAPRJA-GOSISDBHSA-N 1 2 294.354 3.562 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(Cl)n(C)n1)c1cc(F)ccc1F ZINC000859234928 1123691638 /nfs/dbraw/zinc/69/16/38/1123691638.db2.gz DGCGZQCAUJZGKI-ZDUSSCGKSA-N 1 2 299.752 3.593 20 0 CHADLO C[C@@H]1C[NH+](Cc2cnc(Cl)s2)C[C@@H](C)C1(F)F ZINC000859411480 1123697118 /nfs/dbraw/zinc/69/71/18/1123697118.db2.gz KUBLZHFGHAFWQZ-HTQZYQBOSA-N 1 2 280.771 3.520 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@@H+]1Cc1ccc(F)cn1 ZINC000346616036 1123698657 /nfs/dbraw/zinc/69/86/57/1123698657.db2.gz OIXBMAVZLWWSSK-VXGBXAGGSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@@H](C)[N@H+]1Cc1ccc(F)cn1 ZINC000346616036 1123698660 /nfs/dbraw/zinc/69/86/60/1123698660.db2.gz OIXBMAVZLWWSSK-VXGBXAGGSA-N 1 2 288.341 3.868 20 0 CHADLO CCCCCCC(=O)N(C)Cc1[nH+]cc(C)c(OC)c1C ZINC000195004349 1129140282 /nfs/dbraw/zinc/14/02/82/1129140282.db2.gz WFDPEHYUQTXZHD-UHFFFAOYSA-N 1 2 292.423 3.636 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nccn1-c1ccccc1)c1ccns1 ZINC000860062249 1123720725 /nfs/dbraw/zinc/72/07/25/1123720725.db2.gz SJXQCYAKIYNKQU-CHWSQXEVSA-N 1 2 298.415 3.741 20 0 CHADLO FC(F)(F)C1(C(F)(F)F)CC[N@@H+](C/C=C/Cl)C1 ZINC000117432455 1123731709 /nfs/dbraw/zinc/73/17/09/1123731709.db2.gz GDJMDLYGDWTMHS-HNQUOIGGSA-N 1 2 281.627 3.556 20 0 CHADLO FC(F)(F)C1(C(F)(F)F)CC[N@H+](C/C=C/Cl)C1 ZINC000117432455 1123731705 /nfs/dbraw/zinc/73/17/05/1123731705.db2.gz GDJMDLYGDWTMHS-HNQUOIGGSA-N 1 2 281.627 3.556 20 0 CHADLO C[C@H]1CCC[C@@H]1CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000346948998 1123735771 /nfs/dbraw/zinc/73/57/71/1123735771.db2.gz LGWAQFAZMLZUGH-UONOGXRCSA-N 1 2 283.375 3.637 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCC[C@@H]3c3cccnc3)oc2c1 ZINC000346963953 1123736752 /nfs/dbraw/zinc/73/67/52/1123736752.db2.gz AWICPVLWZJYFNL-OAHLLOKOSA-N 1 2 297.333 3.699 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCC[C@@H]3c3cccnc3)oc2c1 ZINC000346963953 1123736757 /nfs/dbraw/zinc/73/67/57/1123736757.db2.gz AWICPVLWZJYFNL-OAHLLOKOSA-N 1 2 297.333 3.699 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@H](C)c2nnc(C)o2)cc1 ZINC000281928378 1123751294 /nfs/dbraw/zinc/75/12/94/1123751294.db2.gz QXSOTLLXPFYUFJ-NXEZZACHSA-N 1 2 277.393 3.512 20 0 CHADLO Cc1cncc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)c1 ZINC000336303949 1123758893 /nfs/dbraw/zinc/75/88/93/1123758893.db2.gz BXERUPWNQXHKJF-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cncc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)c1 ZINC000336303949 1123758896 /nfs/dbraw/zinc/75/88/96/1123758896.db2.gz BXERUPWNQXHKJF-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cncc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)c1 ZINC000336303948 1123758944 /nfs/dbraw/zinc/75/89/44/1123758944.db2.gz BXERUPWNQXHKJF-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cncc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)c1 ZINC000336303948 1123758947 /nfs/dbraw/zinc/75/89/47/1123758947.db2.gz BXERUPWNQXHKJF-INIZCTEOSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1c(F)nccc1C[N@H+](C)Cc1ccccc1Cl ZINC000862213939 1123792743 /nfs/dbraw/zinc/79/27/43/1123792743.db2.gz YPJSDLVFLJHGAW-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1c(F)nccc1C[N@@H+](C)Cc1ccccc1Cl ZINC000862213939 1123792746 /nfs/dbraw/zinc/79/27/46/1123792746.db2.gz YPJSDLVFLJHGAW-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccnc(F)c1C ZINC000862252641 1123795116 /nfs/dbraw/zinc/79/51/16/1123795116.db2.gz GPJQBBLUVYEWAG-UHFFFAOYSA-N 1 2 273.355 3.516 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(F)c(F)c1OC ZINC001211895027 1123826490 /nfs/dbraw/zinc/82/64/90/1123826490.db2.gz CBCGAGVKFKWLSI-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO CCc1ccccc1NC(=S)NCc1c[nH+]c(C)cc1C ZINC000840825842 1123828283 /nfs/dbraw/zinc/82/82/83/1123828283.db2.gz AZTUOTBKWPAJIR-UHFFFAOYSA-N 1 2 299.443 3.747 20 0 CHADLO CSc1ccc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)s1 ZINC001142018880 1123862262 /nfs/dbraw/zinc/86/22/62/1123862262.db2.gz ZSFJYOOCAWXYGI-JQWIXIFHSA-N 1 2 277.405 3.742 20 0 CHADLO CSc1ccc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)s1 ZINC001142018880 1123862266 /nfs/dbraw/zinc/86/22/66/1123862266.db2.gz ZSFJYOOCAWXYGI-JQWIXIFHSA-N 1 2 277.405 3.742 20 0 CHADLO c1ccc([C@@H](CC2CC2)[NH2+]Cc2nc(C3CCC3)no2)cc1 ZINC000639565677 1123864982 /nfs/dbraw/zinc/86/49/82/1123864982.db2.gz QXNJLUJXPZZNKE-MRXNPFEDSA-N 1 2 297.402 3.968 20 0 CHADLO CC1CCN(c2ccc([NH2+]CC[C@H]3CCOC3)cc2)CC1 ZINC000783582588 1123873093 /nfs/dbraw/zinc/87/30/93/1123873093.db2.gz OCORMKPBBOZWIT-INIZCTEOSA-N 1 2 288.435 3.761 20 0 CHADLO CO[C@H](CNc1cc(C)[nH+]c(C(C)C)n1)c1ccccc1 ZINC000301094541 1123873553 /nfs/dbraw/zinc/87/35/53/1123873553.db2.gz JROMZUMKDXFCCK-OAHLLOKOSA-N 1 2 285.391 3.708 20 0 CHADLO Cc1ccnc(Nc2ccc([NH+]3CCC(C)CC3)cc2)n1 ZINC000301249135 1123884329 /nfs/dbraw/zinc/88/43/29/1123884329.db2.gz AHQKITCKMXTSLM-UHFFFAOYSA-N 1 2 282.391 3.765 20 0 CHADLO CCC[C@@H](c1nc(C[NH2+]CC)no1)c1cccc(Cl)c1 ZINC000863656558 1123884502 /nfs/dbraw/zinc/88/45/02/1123884502.db2.gz PGRRNXRNYVGKKT-CYBMUJFWSA-N 1 2 293.798 3.765 20 0 CHADLO CNc1ccc(Nc2cc(OC)ccc2Cl)c[nH+]1 ZINC001203455441 1129152093 /nfs/dbraw/zinc/15/20/93/1129152093.db2.gz SVAKVAHJBBXNBR-UHFFFAOYSA-N 1 2 263.728 3.529 20 0 CHADLO CCO[C@H]1C[C@H](Nc2cc(C)[nH+]c(C(C)C)n2)C12CCC2 ZINC000301402496 1123898196 /nfs/dbraw/zinc/89/81/96/1123898196.db2.gz DCHKUHCSEVUMTH-KBPBESRZSA-N 1 2 289.423 3.668 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2cc(C)[nH+]c(C(C)C)n2)C12CCC2 ZINC000301402498 1123898787 /nfs/dbraw/zinc/89/87/87/1123898787.db2.gz DCHKUHCSEVUMTH-UONOGXRCSA-N 1 2 289.423 3.668 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc3c(c2)C[C@@H](C)O3)n1C ZINC000863973530 1123905125 /nfs/dbraw/zinc/90/51/25/1123905125.db2.gz NGGONCQNQOLYII-LLVKDONJSA-N 1 2 256.349 3.534 20 0 CHADLO Fc1cc(-c2c[nH+]c3n2CCCC3)ccc1OC(F)F ZINC000863975441 1123905567 /nfs/dbraw/zinc/90/55/67/1123905567.db2.gz PWYRUWWJRKWFKY-UHFFFAOYSA-N 1 2 282.265 3.627 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H](O)c1ccccc1F ZINC000301590810 1123915629 /nfs/dbraw/zinc/91/56/29/1123915629.db2.gz XWNFEOTZMSLEQQ-QGZVFWFLSA-N 1 2 296.345 3.828 20 0 CHADLO c1csc(C[C@H]2CCN(c3[nH+]ccc4ccccc43)C2)n1 ZINC000897279137 1123917013 /nfs/dbraw/zinc/91/70/13/1123917013.db2.gz HHVPOODBPKWNCQ-CYBMUJFWSA-N 1 2 295.411 3.760 20 0 CHADLO Cc1ccc(CNc2cccc[nH+]2)c(OC[C@H]2CCCO2)c1 ZINC000301626417 1123918887 /nfs/dbraw/zinc/91/88/87/1123918887.db2.gz QHEWGPHMFLQHLS-MRXNPFEDSA-N 1 2 298.386 3.560 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2cccc[nH+]2)C12CCCCC2 ZINC000301753905 1123929858 /nfs/dbraw/zinc/92/98/58/1123929858.db2.gz CEDFYSJRNUEUMS-KGLIPLIRSA-N 1 2 260.381 3.621 20 0 CHADLO CCO[C@H]1C[C@H](Nc2cccc[nH+]2)C12CCCCC2 ZINC000301753904 1123929994 /nfs/dbraw/zinc/92/99/94/1123929994.db2.gz CEDFYSJRNUEUMS-KBPBESRZSA-N 1 2 260.381 3.621 20 0 CHADLO COC[C@@H](Nc1cccc[nH+]1)c1cccc(C(F)(F)F)c1 ZINC000301780127 1123932628 /nfs/dbraw/zinc/93/26/28/1123932628.db2.gz SHWRYBFAXHZIQQ-CYBMUJFWSA-N 1 2 296.292 3.900 20 0 CHADLO COC[C@@H]1CCCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000301809914 1123934555 /nfs/dbraw/zinc/93/45/55/1123934555.db2.gz GQGMZOXIWKOPTJ-CQSZACIVSA-N 1 2 291.439 3.586 20 0 CHADLO c1cn(Cc2cccc(-c3ccnc4c3CCCC4)c2)c[nH+]1 ZINC000864533890 1123934648 /nfs/dbraw/zinc/93/46/48/1123934648.db2.gz SPPWGKXKOOKJHL-UHFFFAOYSA-N 1 2 289.382 3.872 20 0 CHADLO Cc1cc(N[C@@H](C)[C@@H]2COc3ccccc32)nc(C(C)C)[nH+]1 ZINC000301813755 1123935538 /nfs/dbraw/zinc/93/55/38/1123935538.db2.gz CKWSCOHUAFLCAZ-ZFWWWQNUSA-N 1 2 297.402 3.885 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(NCC3CC3)[nH+]cn2)s1 ZINC000301840287 1123937621 /nfs/dbraw/zinc/93/76/21/1123937621.db2.gz XZXYCFIONOCBFI-NSHDSACASA-N 1 2 288.420 3.842 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(NCC3CC3)nc[nH+]2)s1 ZINC000301840287 1123937623 /nfs/dbraw/zinc/93/76/23/1123937623.db2.gz XZXYCFIONOCBFI-NSHDSACASA-N 1 2 288.420 3.842 20 0 CHADLO Fc1cc(N2CC[C@H](Nc3cccc[nH+]3)C2)ccc1Cl ZINC000301863445 1123939050 /nfs/dbraw/zinc/93/90/50/1123939050.db2.gz CWJAHNYPJRPFIH-NSHDSACASA-N 1 2 291.757 3.565 20 0 CHADLO CCc1cc(N(Cc2ccccc2)C2CC2)nc(C)[nH+]1 ZINC000302030509 1123950806 /nfs/dbraw/zinc/95/08/06/1123950806.db2.gz BQJXDOQIEMLZKR-UHFFFAOYSA-N 1 2 267.376 3.516 20 0 CHADLO CCN(c1nc(C)[nH+]c2c1CCCC2)[C@H](C)c1cccnc1 ZINC000302048539 1123952732 /nfs/dbraw/zinc/95/27/32/1123952732.db2.gz MIFZHIMYKRBJAY-CYBMUJFWSA-N 1 2 296.418 3.646 20 0 CHADLO Cc1cc(N(C)C[C@@H](C)c2nccs2)nc(C(C)C)[nH+]1 ZINC000302124092 1123955672 /nfs/dbraw/zinc/95/56/72/1123955672.db2.gz FHVZLDQCQDTOAU-LLVKDONJSA-N 1 2 290.436 3.605 20 0 CHADLO Cc1cc(N2Cc3ccccc3C3(CC3)C2)nc(C(C)C)[nH+]1 ZINC000302387052 1123967933 /nfs/dbraw/zinc/96/79/33/1123967933.db2.gz FFFVFHHIJNJCKG-UHFFFAOYSA-N 1 2 293.414 3.960 20 0 CHADLO CC(C)c1cc(N[C@@H]2CCC[C@H]2CCO)nc(C(C)C)[nH+]1 ZINC000302428201 1123968776 /nfs/dbraw/zinc/96/87/76/1123968776.db2.gz KNTUIYIKCQBTBA-UONOGXRCSA-N 1 2 291.439 3.686 20 0 CHADLO FC(F)(F)[C@@H](CNc1cccc[nH+]1)c1ccccc1 ZINC000302508644 1123970089 /nfs/dbraw/zinc/97/00/89/1123970089.db2.gz WTUXZKNLHKUUGG-LBPRGKRZSA-N 1 2 266.266 3.840 20 0 CHADLO COc1cccc2c(N3C[C@H](C)S[C@@H](C)C3)cc[nH+]c12 ZINC000302500935 1123970588 /nfs/dbraw/zinc/97/05/88/1123970588.db2.gz CRWXBYPUYACTQT-RYUDHWBXSA-N 1 2 288.416 3.574 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cncc(Cl)c2)cs1 ZINC000621278775 1129156826 /nfs/dbraw/zinc/15/68/26/1129156826.db2.gz YAVYCNUDHLEGPQ-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO CC(C)c1cc(N[C@@H]2C[C@@H]3OCCC[C@@H]23)nc(C(C)C)[nH+]1 ZINC000302574460 1123972861 /nfs/dbraw/zinc/97/28/61/1123972861.db2.gz RGEJLLZEPRXYQM-CFVMTHIKSA-N 1 2 289.423 3.703 20 0 CHADLO COc1cccc2c(NCc3ncccc3C)c(C)c[nH+]c12 ZINC000302656120 1123975984 /nfs/dbraw/zinc/97/59/84/1123975984.db2.gz OAKUOYKUCWPSEM-UHFFFAOYSA-N 1 2 293.370 3.867 20 0 CHADLO CC(C)(C)c1cn(Cc2ccn(-c3ccccc3F)n2)c[nH+]1 ZINC000865376304 1123976826 /nfs/dbraw/zinc/97/68/26/1123976826.db2.gz IHKKWSCTSNTKGH-UHFFFAOYSA-N 1 2 298.365 3.554 20 0 CHADLO COc1ccc(CNc2[nH+]c3ccccc3cc2C)cc1O ZINC000302674412 1123977763 /nfs/dbraw/zinc/97/77/63/1123977763.db2.gz SZRHVHUISINFOQ-UHFFFAOYSA-N 1 2 294.354 3.870 20 0 CHADLO CCOC1CC(CCNc2cc(C)[nH+]c(C(C)C)n2)C1 ZINC000302719805 1123980491 /nfs/dbraw/zinc/98/04/91/1123980491.db2.gz ZLRQFIBEQUJUMG-UHFFFAOYSA-N 1 2 277.412 3.526 20 0 CHADLO Cn1ncc2c1nc[nH+]c2NC1CCC2(CCCCC2)CC1 ZINC000302762798 1123981924 /nfs/dbraw/zinc/98/19/24/1123981924.db2.gz KPSDKWWUPUGBDF-UHFFFAOYSA-N 1 2 299.422 3.668 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)C1(C)C ZINC000085383765 1129159385 /nfs/dbraw/zinc/15/93/85/1129159385.db2.gz ASULOMMDEGWOHX-IAGOWNOFSA-N 1 2 288.435 3.902 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](C)c2c(F)cccc2OC)o1 ZINC000314716616 1123993165 /nfs/dbraw/zinc/99/31/65/1123993165.db2.gz INQOSOKHNRYVMS-NSHDSACASA-N 1 2 292.354 3.544 20 0 CHADLO F[C@@H]1CCCC[C@@H]1[NH2+]Cc1ncc(C(F)(F)F)s1 ZINC000865699290 1124005426 /nfs/dbraw/zinc/00/54/26/1124005426.db2.gz OOSVPLDIJCJZKC-SFYZADRCSA-N 1 2 282.306 3.532 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1nnc(C2CC2)s1 ZINC000840893645 1124006426 /nfs/dbraw/zinc/00/64/26/1124006426.db2.gz ORYYEIXUWTVTEZ-SNVBAGLBSA-N 1 2 291.395 3.714 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(C(C)(C)C)o2)s1 ZINC000865814342 1124017084 /nfs/dbraw/zinc/01/70/84/1124017084.db2.gz CZROUDBNVFLVSI-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(F)c1C(C)(F)F ZINC000865830589 1124019001 /nfs/dbraw/zinc/01/90/01/1124019001.db2.gz QRVMCTBOHSZXJK-UHFFFAOYSA-N 1 2 283.297 3.766 20 0 CHADLO CC(F)(F)CCNc1cc(NCc2ccccc2)nc[nH+]1 ZINC000865841385 1124020732 /nfs/dbraw/zinc/02/07/32/1124020732.db2.gz UMPGWQRTTWBEIO-UHFFFAOYSA-N 1 2 292.333 3.546 20 0 CHADLO CC(F)(F)CCNc1cc(NCc2ccccc2)[nH+]cn1 ZINC000865841385 1124020735 /nfs/dbraw/zinc/02/07/35/1124020735.db2.gz UMPGWQRTTWBEIO-UHFFFAOYSA-N 1 2 292.333 3.546 20 0 CHADLO COc1ccc(C2CC2)c(NCc2c[nH+]cn2C(C)C)c1 ZINC000865854713 1124021883 /nfs/dbraw/zinc/02/18/83/1124021883.db2.gz UWVVIFCPXBQCTF-UHFFFAOYSA-N 1 2 285.391 3.962 20 0 CHADLO COc1ccc2c(c1)[C@@H]([NH2+][C@@H](C)C(C)(F)F)CCC2 ZINC000389446599 1124024396 /nfs/dbraw/zinc/02/43/96/1124024396.db2.gz NXXZLUGVDFFYQS-HZMBPMFUSA-N 1 2 269.335 3.706 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc2c(c1)OCCCO2 ZINC000389471654 1124029787 /nfs/dbraw/zinc/02/97/87/1124029787.db2.gz RWPHKHAAEBLETJ-QWRGUYRKSA-N 1 2 285.334 3.542 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cc(F)c(F)c(F)c3)n2c1 ZINC000508500978 1124043910 /nfs/dbraw/zinc/04/39/10/1124043910.db2.gz WIUPDTGMCBICAF-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO COc1ccc([NH2+][C@@H](C)CCc2cccnc2)c(OC)c1 ZINC000866316534 1124052451 /nfs/dbraw/zinc/05/24/51/1124052451.db2.gz RNBYLHIJAJPSMU-ZDUSSCGKSA-N 1 2 286.375 3.532 20 0 CHADLO CCC[C@H]([NH2+]Cc1coc(CC)n1)c1cc(C)ccn1 ZINC000866372836 1124059122 /nfs/dbraw/zinc/05/91/22/1124059122.db2.gz UZVNFMAUEHXZGQ-AWEZNQCLSA-N 1 2 273.380 3.571 20 0 CHADLO CCC[C@@H]([NH2+]Cc1coc(CC)n1)c1cc(C)ccn1 ZINC000866372837 1124059254 /nfs/dbraw/zinc/05/92/54/1124059254.db2.gz UZVNFMAUEHXZGQ-CQSZACIVSA-N 1 2 273.380 3.571 20 0 CHADLO CCCc1nc(C)c(C[N@@H+]2CCc3ccc(F)cc3C2)o1 ZINC000428377404 1124059633 /nfs/dbraw/zinc/05/96/33/1124059633.db2.gz XOXWDOXOXAEITQ-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO CCCc1nc(C)c(C[N@H+]2CCc3ccc(F)cc3C2)o1 ZINC000428377404 1124059638 /nfs/dbraw/zinc/05/96/38/1124059638.db2.gz XOXWDOXOXAEITQ-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCc3c(F)cccc32)c1 ZINC000866385742 1124059933 /nfs/dbraw/zinc/05/99/33/1124059933.db2.gz YXIMVMVFIRQDSM-MRXNPFEDSA-N 1 2 286.350 3.857 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NCC[C@@H]2CC2(F)F)c1 ZINC000621345567 1129166026 /nfs/dbraw/zinc/16/60/26/1129166026.db2.gz PWARCOCGWPMXAT-MRVPVSSYSA-N 1 2 266.213 3.558 20 0 CHADLO C[C@@H]([NH2+]Cc1cccnc1Cl)c1cccc(F)c1F ZINC000121857286 1124104129 /nfs/dbraw/zinc/10/41/29/1124104129.db2.gz IYQOFHLVCQVCKP-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO Fc1cnccc1C[NH+]1CC(Cc2ccccc2Cl)C1 ZINC000459567416 1124109793 /nfs/dbraw/zinc/10/97/93/1124109793.db2.gz VWUDEGBYMFHICR-UHFFFAOYSA-N 1 2 290.769 3.549 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1cc2sccc2s1 ZINC000525130769 1124116135 /nfs/dbraw/zinc/11/61/35/1124116135.db2.gz DVLUOTQGXICDKL-UHFFFAOYSA-N 1 2 299.380 3.863 20 0 CHADLO CCCCc1nc(C[N@@H+]2CC[C@@](C)(c3ccccc3)C2)no1 ZINC000446732236 1124119377 /nfs/dbraw/zinc/11/93/77/1124119377.db2.gz PQJYVXTWGWTPQJ-GOSISDBHSA-N 1 2 299.418 3.576 20 0 CHADLO CCCCc1nc(C[N@H+]2CC[C@@](C)(c3ccccc3)C2)no1 ZINC000446732236 1124119379 /nfs/dbraw/zinc/11/93/79/1124119379.db2.gz PQJYVXTWGWTPQJ-GOSISDBHSA-N 1 2 299.418 3.576 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@H]1CCCC2(CC2)C1 ZINC000665934306 1124135061 /nfs/dbraw/zinc/13/50/61/1124135061.db2.gz GXEAFYYPRCRIPN-AWEZNQCLSA-N 1 2 295.386 3.781 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2C[C@@H](C)C[C@H]2CF)c1Cl ZINC001238777791 1131245353 /nfs/dbraw/zinc/24/53/53/1131245353.db2.gz QIHPVHUVSHEAQG-ZANVPECISA-N 1 2 273.754 3.967 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2C[C@@H](C)C[C@H]2CF)c1Cl ZINC001238777791 1131245359 /nfs/dbraw/zinc/24/53/59/1131245359.db2.gz QIHPVHUVSHEAQG-ZANVPECISA-N 1 2 273.754 3.967 20 0 CHADLO COc1cc(F)c(C[N@H+](C)C2CC(F)(F)C2)cc1Cl ZINC001238786921 1131247619 /nfs/dbraw/zinc/24/76/19/1131247619.db2.gz BPOHSNULDMJCLQ-UHFFFAOYSA-N 1 2 293.716 3.717 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)C2CC(F)(F)C2)cc1Cl ZINC001238786921 1131247622 /nfs/dbraw/zinc/24/76/22/1131247622.db2.gz BPOHSNULDMJCLQ-UHFFFAOYSA-N 1 2 293.716 3.717 20 0 CHADLO Cc1cc(C)c(CNC(=O)/C=C/CCc2ccccc2)c[nH+]1 ZINC000868792393 1124485398 /nfs/dbraw/zinc/48/53/98/1124485398.db2.gz IYVGTTPAYKCNCF-YRNVUSSQSA-N 1 2 294.398 3.504 20 0 CHADLO CCSc1ccccc1C[NH2+][C@@H](C)c1nnc(C)s1 ZINC001119817751 1131264786 /nfs/dbraw/zinc/26/47/86/1131264786.db2.gz QPLQXHMXUOSUQY-JTQLQIEISA-N 1 2 293.461 3.809 20 0 CHADLO Cc1cc(-c2cc3ccccc3[nH]c2=O)c2[nH+]ccn2c1 ZINC001238882784 1131265005 /nfs/dbraw/zinc/26/50/05/1131265005.db2.gz YIYVWMDMVZLVCX-UHFFFAOYSA-N 1 2 275.311 3.564 20 0 CHADLO CC[C@H]1COCC[N@@H+]1CCCc1c(F)cccc1Cl ZINC000447746795 1124520648 /nfs/dbraw/zinc/52/06/48/1124520648.db2.gz RALQEAXEXVKPET-LBPRGKRZSA-N 1 2 285.790 3.523 20 0 CHADLO CC[C@H]1COCC[N@H+]1CCCc1c(F)cccc1Cl ZINC000447746795 1124520656 /nfs/dbraw/zinc/52/06/56/1124520656.db2.gz RALQEAXEXVKPET-LBPRGKRZSA-N 1 2 285.790 3.523 20 0 CHADLO Cc1cc(CNc2cccc(-n3cc[nH+]c3)c2)c(C)o1 ZINC000122793479 1124535540 /nfs/dbraw/zinc/53/55/40/1124535540.db2.gz OSLMLLBPONBJDO-UHFFFAOYSA-N 1 2 267.332 3.694 20 0 CHADLO COC(=O)[C@@H]([NH3+])c1ccc(-c2csc3ccccc32)cc1 ZINC001238893546 1131267085 /nfs/dbraw/zinc/26/70/85/1131267085.db2.gz SBYJOALCXGBPLT-INIZCTEOSA-N 1 2 297.379 3.741 20 0 CHADLO CC(C)OC(=O)[C@H](C)[N@H+](C)C/C=C/c1ccc(Cl)cc1 ZINC000527297601 1124566904 /nfs/dbraw/zinc/56/69/04/1124566904.db2.gz RFVSSAYZUHXUJG-GFUIURDCSA-N 1 2 295.810 3.625 20 0 CHADLO CC(C)OC(=O)[C@H](C)[N@@H+](C)C/C=C/c1ccc(Cl)cc1 ZINC000527297601 1124566910 /nfs/dbraw/zinc/56/69/10/1124566910.db2.gz RFVSSAYZUHXUJG-GFUIURDCSA-N 1 2 295.810 3.625 20 0 CHADLO CC[C@@H]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000448622693 1124599372 /nfs/dbraw/zinc/59/93/72/1124599372.db2.gz AWSAWZIKWDKVKZ-DGCLKSJQSA-N 1 2 271.364 3.636 20 0 CHADLO COc1ccc(-c2cccn3cc[nH+]c23)cc1OC(C)C ZINC001238930910 1131272662 /nfs/dbraw/zinc/27/26/62/1131272662.db2.gz SNLKTIPMWPRMFV-UHFFFAOYSA-N 1 2 282.343 3.797 20 0 CHADLO OCC[C@H]1CCCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000449001771 1124631126 /nfs/dbraw/zinc/63/11/26/1124631126.db2.gz GGAMRXJGRUHUAJ-SNVBAGLBSA-N 1 2 294.247 3.792 20 0 CHADLO OCC[C@H]1CCCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000449001771 1124631131 /nfs/dbraw/zinc/63/11/31/1124631131.db2.gz GGAMRXJGRUHUAJ-SNVBAGLBSA-N 1 2 294.247 3.792 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)s1)Cc1ccncc1F ZINC000449010410 1124632947 /nfs/dbraw/zinc/63/29/47/1124632947.db2.gz ZSCRXOJTNWEIHD-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)s1)Cc1ccncc1F ZINC000449010410 1124632949 /nfs/dbraw/zinc/63/29/49/1124632949.db2.gz ZSCRXOJTNWEIHD-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO Fc1cccc2c1CC[N@H+](Cc1cnc(C3CC3)s1)C2 ZINC000123814568 1124644244 /nfs/dbraw/zinc/64/42/44/1124644244.db2.gz XIHRHJQZZYGUNP-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO Fc1cccc2c1CC[N@@H+](Cc1cnc(C3CC3)s1)C2 ZINC000123814568 1124644251 /nfs/dbraw/zinc/64/42/51/1124644251.db2.gz XIHRHJQZZYGUNP-UHFFFAOYSA-N 1 2 288.391 3.718 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000528415491 1124658179 /nfs/dbraw/zinc/65/81/79/1124658179.db2.gz UTRKVKJALCRYRS-WDZFZDKYSA-N 1 2 260.768 3.669 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000528415491 1124658181 /nfs/dbraw/zinc/65/81/81/1124658181.db2.gz UTRKVKJALCRYRS-WDZFZDKYSA-N 1 2 260.768 3.669 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+][C@H](C)c1cc(O)ccc1F ZINC000872034515 1124661547 /nfs/dbraw/zinc/66/15/47/1124661547.db2.gz JWJWPOWUAKYFSM-RKDXNWHRSA-N 1 2 278.327 3.548 20 0 CHADLO CC1(C)C[C@H]([NH2+]Cc2cocn2)c2ccccc2S1 ZINC000872055051 1124667621 /nfs/dbraw/zinc/66/76/21/1124667621.db2.gz FEFADZPQWMZZPA-ZDUSSCGKSA-N 1 2 274.389 3.780 20 0 CHADLO c1cn(-c2cccc(N[C@@H]3CCOC4(CCCC4)C3)c2)c[nH+]1 ZINC000872058829 1124669361 /nfs/dbraw/zinc/66/93/61/1124669361.db2.gz CHCYADIFLNFPHJ-MRXNPFEDSA-N 1 2 297.402 3.776 20 0 CHADLO CC(C)(C)[C@H]1C[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)CCO1 ZINC000872059406 1124670097 /nfs/dbraw/zinc/67/00/97/1124670097.db2.gz HKZZXLROJMRZGR-DOTOQJQBSA-N 1 2 299.418 3.878 20 0 CHADLO C/C(Cl)=C/C[NH2+][C@H](C)c1nc(-c2cccc(F)c2)no1 ZINC000528452659 1124671778 /nfs/dbraw/zinc/67/17/78/1124671778.db2.gz PLACVSCOYSQXCL-ABRRARGCSA-N 1 2 295.745 3.669 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1C/C=C(/C)Cl ZINC000528484156 1124677926 /nfs/dbraw/zinc/67/79/26/1124677926.db2.gz DVBZIQLHZOOBQQ-FFXRNRBCSA-N 1 2 267.804 3.573 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1C/C=C(/C)Cl ZINC000528484156 1124677928 /nfs/dbraw/zinc/67/79/28/1124677928.db2.gz DVBZIQLHZOOBQQ-FFXRNRBCSA-N 1 2 267.804 3.573 20 0 CHADLO COc1ccc(F)c(C[NH2+][C@H](C)c2cccc(O)c2F)c1 ZINC000872086650 1124679388 /nfs/dbraw/zinc/67/93/88/1124679388.db2.gz BMYTUPHUNCUURO-SNVBAGLBSA-N 1 2 293.313 3.530 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2ccc(C(F)(F)F)nc2)no1 ZINC000872129994 1124690541 /nfs/dbraw/zinc/69/05/41/1124690541.db2.gz CUOZNKMZXQFHJG-VHSXEESVSA-N 1 2 299.296 3.809 20 0 CHADLO CC[N@H+](Cc1cc(Cl)sc1Cl)[C@H]1CCOC1 ZINC000449333393 1124692151 /nfs/dbraw/zinc/69/21/51/1124692151.db2.gz BKDRRMPDUGKVKT-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO CC[N@@H+](Cc1cc(Cl)sc1Cl)[C@H]1CCOC1 ZINC000449333393 1124692154 /nfs/dbraw/zinc/69/21/54/1124692154.db2.gz BKDRRMPDUGKVKT-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2conc2Cc2ccccc2)C1 ZINC000449350242 1124697432 /nfs/dbraw/zinc/69/74/32/1124697432.db2.gz LLWLJMFYCJPQNC-KRWDZBQOSA-N 1 2 288.366 3.589 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2conc2Cc2ccccc2)C1 ZINC000449350242 1124697433 /nfs/dbraw/zinc/69/74/33/1124697433.db2.gz LLWLJMFYCJPQNC-KRWDZBQOSA-N 1 2 288.366 3.589 20 0 CHADLO CCCCOc1ncc(-c2ccn3cc[nH+]c3c2)cc1C ZINC001238964572 1131280676 /nfs/dbraw/zinc/28/06/76/1131280676.db2.gz MEIMWVYADSEXDU-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@H](C(F)(F)F)C[C@H]2C)s1 ZINC000449459437 1124747224 /nfs/dbraw/zinc/74/72/24/1124747224.db2.gz LBGMJWQHGTUSMI-ZJUUUORDSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@H](C(F)(F)F)C[C@H]2C)s1 ZINC000449459437 1124747231 /nfs/dbraw/zinc/74/72/31/1124747231.db2.gz LBGMJWQHGTUSMI-ZJUUUORDSA-N 1 2 292.370 3.868 20 0 CHADLO COc1c(O)cccc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000449641861 1124828365 /nfs/dbraw/zinc/82/83/65/1124828365.db2.gz WBANNWAIAYCUEK-QGZVFWFLSA-N 1 2 299.370 3.721 20 0 CHADLO COc1c(O)cccc1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000449641861 1124828373 /nfs/dbraw/zinc/82/83/73/1124828373.db2.gz WBANNWAIAYCUEK-QGZVFWFLSA-N 1 2 299.370 3.721 20 0 CHADLO CCn1c[nH+]cc1COC(=O)[C@H]1CCC[C@@H]1c1ccccc1 ZINC001123376442 1131313401 /nfs/dbraw/zinc/31/34/01/1131313401.db2.gz CYUUGPKPKZLXON-SJORKVTESA-N 1 2 298.386 3.530 20 0 CHADLO CC(C)Oc1ncc(Cl)cc1-c1ccn2cc[nH+]c2c1 ZINC001239319759 1131316752 /nfs/dbraw/zinc/31/67/52/1131316752.db2.gz YYFABLAAKGAYEC-UHFFFAOYSA-N 1 2 287.750 3.837 20 0 CHADLO CC[C@@H](CC(C)C)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000449876904 1124888564 /nfs/dbraw/zinc/88/85/64/1124888564.db2.gz NENYEVYOGINAFW-INIZCTEOSA-N 1 2 299.418 3.561 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cnc2c(F)cccc2c1 ZINC000876531515 1124959261 /nfs/dbraw/zinc/95/92/61/1124959261.db2.gz IEYNCJYGVFWNGS-ZDUSSCGKSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cnc2c(F)cccc2c1 ZINC000876531515 1124959264 /nfs/dbraw/zinc/95/92/64/1124959264.db2.gz IEYNCJYGVFWNGS-ZDUSSCGKSA-N 1 2 295.361 3.752 20 0 CHADLO CC[N@H+](Cc1nc(Cl)cs1)Cc1ccc(OC)cc1 ZINC000876583079 1124960982 /nfs/dbraw/zinc/96/09/82/1124960982.db2.gz GYMFPXUKQFMXTL-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC[N@@H+](Cc1nc(Cl)cs1)Cc1ccc(OC)cc1 ZINC000876583079 1124960987 /nfs/dbraw/zinc/96/09/87/1124960987.db2.gz GYMFPXUKQFMXTL-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)nn1)Cc1ccccc1Cl ZINC000876581577 1124962437 /nfs/dbraw/zinc/96/24/37/1124962437.db2.gz NGONPWPYEBBNSY-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)nn1)Cc1ccccc1Cl ZINC000876581577 1124962440 /nfs/dbraw/zinc/96/24/40/1124962440.db2.gz NGONPWPYEBBNSY-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC(C)C[C@@]1(C)CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000821156045 1131322147 /nfs/dbraw/zinc/32/21/47/1131322147.db2.gz SQAFHGWORVCBPU-QGZVFWFLSA-N 1 2 285.391 3.604 20 0 CHADLO CC[N@H+](Cc1c(Cl)cncc1Cl)C1CCC1 ZINC000876755647 1124974565 /nfs/dbraw/zinc/97/45/65/1124974565.db2.gz NAGFVWJAGTYXHY-UHFFFAOYSA-N 1 2 259.180 3.763 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cncc1Cl)C1CCC1 ZINC000876755647 1124974570 /nfs/dbraw/zinc/97/45/70/1124974570.db2.gz NAGFVWJAGTYXHY-UHFFFAOYSA-N 1 2 259.180 3.763 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1ccc(Cl)nn1 ZINC000876740125 1124975675 /nfs/dbraw/zinc/97/56/75/1124975675.db2.gz HISVRELPMHHONL-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1ccc(Cl)nn1 ZINC000876740125 1124975679 /nfs/dbraw/zinc/97/56/79/1124975679.db2.gz HISVRELPMHHONL-OAHLLOKOSA-N 1 2 287.794 3.639 20 0 CHADLO ClC1(Cl)C[C@@H]1CNc1ccc([NH+]2CCCC2)cc1 ZINC000876820164 1124981456 /nfs/dbraw/zinc/98/14/56/1124981456.db2.gz LQYZBOAONPWFTP-LLVKDONJSA-N 1 2 285.218 3.893 20 0 CHADLO COC[C@H]1CCN(c2cc[nH+]c3cc(F)c(Cl)cc32)C1 ZINC000450348605 1124990566 /nfs/dbraw/zinc/99/05/66/1124990566.db2.gz SHFSIRRANZXMEC-JTQLQIEISA-N 1 2 294.757 3.500 20 0 CHADLO CC[N@H+](Cc1ccncc1Cl)[C@@H](C)c1cccnc1 ZINC000529565074 1125002124 /nfs/dbraw/zinc/00/21/24/1125002124.db2.gz DLSGXAVPSWSAOP-LBPRGKRZSA-N 1 2 275.783 3.713 20 0 CHADLO CC[N@@H+](Cc1ccncc1Cl)[C@@H](C)c1cccnc1 ZINC000529565074 1125002129 /nfs/dbraw/zinc/00/21/29/1125002129.db2.gz DLSGXAVPSWSAOP-LBPRGKRZSA-N 1 2 275.783 3.713 20 0 CHADLO C[C@H]1COCCN(c2cc[nH+]c3cc(F)c(Cl)cc32)C1 ZINC000450406288 1125016554 /nfs/dbraw/zinc/01/65/54/1125016554.db2.gz QIOAMHVWDLUUPU-SNVBAGLBSA-N 1 2 294.757 3.500 20 0 CHADLO Cc1cccc(C2=CC[N@H+](Cc3ccc(Cl)nn3)CC2)c1 ZINC000877181218 1125018327 /nfs/dbraw/zinc/01/83/27/1125018327.db2.gz JOQFBGUPAOCAJM-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1cccc(C2=CC[N@@H+](Cc3ccc(Cl)nn3)CC2)c1 ZINC000877181218 1125018336 /nfs/dbraw/zinc/01/83/36/1125018336.db2.gz JOQFBGUPAOCAJM-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cc2nc(Cl)ccc2s1 ZINC000877551635 1125045178 /nfs/dbraw/zinc/04/51/78/1125045178.db2.gz ICGMXHVBJHINHB-UHFFFAOYSA-N 1 2 276.739 3.695 20 0 CHADLO Clc1csc(C[NH2+][C@@H](c2ccccn2)C2CCC2)n1 ZINC000877652872 1125058083 /nfs/dbraw/zinc/05/80/83/1125058083.db2.gz OIGDGVIUPAMUJS-CQSZACIVSA-N 1 2 293.823 3.823 20 0 CHADLO CC(C)[N@H+](Cc1c(Cl)cncc1Cl)C1CC1 ZINC000877965741 1125106945 /nfs/dbraw/zinc/10/69/45/1125106945.db2.gz ZTORHGWGDSLDIC-UHFFFAOYSA-N 1 2 259.180 3.761 20 0 CHADLO CC(C)[N@@H+](Cc1c(Cl)cncc1Cl)C1CC1 ZINC000877965741 1125106949 /nfs/dbraw/zinc/10/69/49/1125106949.db2.gz ZTORHGWGDSLDIC-UHFFFAOYSA-N 1 2 259.180 3.761 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@@H+]1Cc1nocc1C ZINC000878079770 1125116617 /nfs/dbraw/zinc/11/66/17/1125116617.db2.gz MARJQYLDCPWQIE-MRXNPFEDSA-N 1 2 286.375 3.719 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@H+]1Cc1nocc1C ZINC000878079770 1125116620 /nfs/dbraw/zinc/11/66/20/1125116620.db2.gz MARJQYLDCPWQIE-MRXNPFEDSA-N 1 2 286.375 3.719 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ccc(C)c(Cl)n2)n1 ZINC000878250015 1125135760 /nfs/dbraw/zinc/13/57/60/1125135760.db2.gz WWICTAPFSHNTHB-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO CC(C)(C)CCCCNC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000303645152 1125137573 /nfs/dbraw/zinc/13/75/73/1125137573.db2.gz CGWYKZJNFSGFFQ-UHFFFAOYSA-N 1 2 299.418 3.819 20 0 CHADLO Clc1ncsc1C[N@@H+]1CCC[C@H]1c1ccncc1 ZINC000878310091 1125139311 /nfs/dbraw/zinc/13/93/11/1125139311.db2.gz JLMKWGAQGBMJHX-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ncsc1C[N@H+]1CCC[C@H]1c1ccncc1 ZINC000878310091 1125139313 /nfs/dbraw/zinc/13/93/13/1125139313.db2.gz JLMKWGAQGBMJHX-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Cc1cccc(C(C)(C)[NH2+]Cc2nc3c(o2)CCCC3)c1 ZINC000878364234 1125145019 /nfs/dbraw/zinc/14/50/19/1125145019.db2.gz DMXFCTLZPSWBGI-UHFFFAOYSA-N 1 2 284.403 3.887 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1noc2c1CC(C)(C)CC2 ZINC000878404173 1125148796 /nfs/dbraw/zinc/14/87/96/1125148796.db2.gz XPTSFAQRSMIWSN-CYBMUJFWSA-N 1 2 299.418 3.744 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@H+](Cc2scnc2Cl)C1 ZINC000878429269 1125153696 /nfs/dbraw/zinc/15/36/96/1125153696.db2.gz YZTVIPFQRPMTTP-ZYHUDNBSSA-N 1 2 293.823 3.775 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)[N@@H+](Cc2scnc2Cl)C1 ZINC000878429269 1125153697 /nfs/dbraw/zinc/15/36/97/1125153697.db2.gz YZTVIPFQRPMTTP-ZYHUDNBSSA-N 1 2 293.823 3.775 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc(F)cc2)cc1 ZINC001239476278 1131335512 /nfs/dbraw/zinc/33/55/12/1131335512.db2.gz KLOBRIGCMBBBPS-UHFFFAOYSA-N 1 2 252.292 3.893 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(F)(F)[C@@H](C)C2)nc1Cl ZINC000878624984 1125167868 /nfs/dbraw/zinc/16/78/68/1125167868.db2.gz KTVQEGYHBHFTHA-JTQLQIEISA-N 1 2 274.742 3.521 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(F)(F)[C@@H](C)C2)nc1Cl ZINC000878624984 1125167869 /nfs/dbraw/zinc/16/78/69/1125167869.db2.gz KTVQEGYHBHFTHA-JTQLQIEISA-N 1 2 274.742 3.521 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@@H]1C[C@@H]3CCC[C@@H]3O1)C2 ZINC000878703360 1125173192 /nfs/dbraw/zinc/17/31/92/1125173192.db2.gz GKZWBYBGDYVWQJ-UVBJJODRSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@@H]1C[C@@H]3CCC[C@@H]3O1)C2 ZINC000878703360 1125173194 /nfs/dbraw/zinc/17/31/94/1125173194.db2.gz GKZWBYBGDYVWQJ-UVBJJODRSA-N 1 2 277.795 3.613 20 0 CHADLO Cc1conc1C[N@@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000878770175 1125177959 /nfs/dbraw/zinc/17/79/59/1125177959.db2.gz MQIHRWMLKDJVGI-QGZVFWFLSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1conc1C[N@H+]1CC2(CC=CC2)[C@H]1c1ccccc1 ZINC000878770175 1125177961 /nfs/dbraw/zinc/17/79/61/1125177961.db2.gz MQIHRWMLKDJVGI-QGZVFWFLSA-N 1 2 280.371 3.876 20 0 CHADLO ClC1=CCC[N@H+](Cc2cnc3ccccc3c2)C1 ZINC000878790274 1125178077 /nfs/dbraw/zinc/17/80/77/1125178077.db2.gz JBYGIPQXOHXUCB-UHFFFAOYSA-N 1 2 258.752 3.563 20 0 CHADLO ClC1=CCC[N@@H+](Cc2cnc3ccccc3c2)C1 ZINC000878790274 1125178080 /nfs/dbraw/zinc/17/80/80/1125178080.db2.gz JBYGIPQXOHXUCB-UHFFFAOYSA-N 1 2 258.752 3.563 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCC[C@@H]2c2ncccn2)cc1 ZINC000878975783 1125188812 /nfs/dbraw/zinc/18/88/12/1125188812.db2.gz IBZYOECDHSTJEG-QGZVFWFLSA-N 1 2 297.402 3.601 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCC[C@@H]2c2ncccn2)cc1 ZINC000878975783 1125188815 /nfs/dbraw/zinc/18/88/15/1125188815.db2.gz IBZYOECDHSTJEG-QGZVFWFLSA-N 1 2 297.402 3.601 20 0 CHADLO CC1(C)C[C@]1([NH2+]Cc1nc2c(o1)CCCC2)c1ccccc1 ZINC000879007981 1125190473 /nfs/dbraw/zinc/19/04/73/1125190473.db2.gz MFDGBVNMMNXFEP-IBGZPJMESA-N 1 2 296.414 3.968 20 0 CHADLO Cc1ccc(-c2ccc(C[NH+]3CCOCC3)cc2F)cc1 ZINC001239480257 1131338040 /nfs/dbraw/zinc/33/80/40/1131338040.db2.gz BXZQCGKFNMBOAZ-UHFFFAOYSA-N 1 2 285.362 3.633 20 0 CHADLO C[C@H](c1cc2ccccc2o1)[N@H+](C)Cc1ccon1 ZINC000122696776 1125199764 /nfs/dbraw/zinc/19/97/64/1125199764.db2.gz AWHKDGMCAXXIDT-LLVKDONJSA-N 1 2 256.305 3.614 20 0 CHADLO C[C@H](c1cc2ccccc2o1)[N@@H+](C)Cc1ccon1 ZINC000122696776 1125199768 /nfs/dbraw/zinc/19/97/68/1125199768.db2.gz AWHKDGMCAXXIDT-LLVKDONJSA-N 1 2 256.305 3.614 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2[nH]nc3c2cccc3C)no1 ZINC001137141643 1125202791 /nfs/dbraw/zinc/20/27/91/1125202791.db2.gz DBYJLMMTDFXQNY-INIZCTEOSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2[nH]nc3c2cccc3C)no1 ZINC001137141643 1125202794 /nfs/dbraw/zinc/20/27/94/1125202794.db2.gz DBYJLMMTDFXQNY-INIZCTEOSA-N 1 2 296.374 3.505 20 0 CHADLO Cl/C=C(/Cl)C[NH+]1CCC(Cc2nccs2)CC1 ZINC000879208795 1125202952 /nfs/dbraw/zinc/20/29/52/1125202952.db2.gz VUNILYRTFCVVSJ-DHZHZOJOSA-N 1 2 291.247 3.717 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCn2ccnc21)c1ccc(F)cc1F ZINC000655728477 1125227078 /nfs/dbraw/zinc/22/70/78/1125227078.db2.gz VGFFNPJHAMWELL-HUUCEWRRSA-N 1 2 291.345 3.737 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2cnc(Cl)s2)c1 ZINC000879748517 1125229805 /nfs/dbraw/zinc/22/98/05/1125229805.db2.gz FGCGQHRYDSKRAQ-CYBMUJFWSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2cnc(Cl)s2)c1 ZINC000879748517 1125229807 /nfs/dbraw/zinc/22/98/07/1125229807.db2.gz FGCGQHRYDSKRAQ-CYBMUJFWSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2noc3c2CCCC3)c1 ZINC000879747210 1125229857 /nfs/dbraw/zinc/22/98/57/1125229857.db2.gz KNHURCOZMQNKMU-KRWDZBQOSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2noc3c2CCCC3)c1 ZINC000879747210 1125229859 /nfs/dbraw/zinc/22/98/59/1125229859.db2.gz KNHURCOZMQNKMU-KRWDZBQOSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)nc2)c1 ZINC000879747844 1125230231 /nfs/dbraw/zinc/23/02/31/1125230231.db2.gz RRVXXOSVZNTVDK-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2ccc(Cl)nc2)c1 ZINC000879747844 1125230237 /nfs/dbraw/zinc/23/02/37/1125230237.db2.gz RRVXXOSVZNTVDK-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CC[C@H]1CCC[C@]1(C)C(=O)NCc1c[nH+]c(C)cc1C ZINC000880240711 1125260018 /nfs/dbraw/zinc/26/00/18/1125260018.db2.gz JKOGLWPVEXSXSZ-RDJZCZTQSA-N 1 2 274.408 3.531 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1ncccc1Cl ZINC000880375618 1125268073 /nfs/dbraw/zinc/26/80/73/1125268073.db2.gz HEYFSZWYPUYBCY-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1ncccc1Cl ZINC000880375618 1125268077 /nfs/dbraw/zinc/26/80/77/1125268077.db2.gz HEYFSZWYPUYBCY-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO CC(C)c1cccc(C[NH2+]C2(c3ncccn3)CCC2)c1 ZINC000880368372 1125268203 /nfs/dbraw/zinc/26/82/03/1125268203.db2.gz YBTADXCAUKMIHV-UHFFFAOYSA-N 1 2 281.403 3.769 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC000880375707 1125268792 /nfs/dbraw/zinc/26/87/92/1125268792.db2.gz IWIPUXBZHMIBJC-LLVKDONJSA-N 1 2 288.416 3.734 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1nc2c(o1)CCCC2 ZINC000880375707 1125268789 /nfs/dbraw/zinc/26/87/89/1125268789.db2.gz IWIPUXBZHMIBJC-LLVKDONJSA-N 1 2 288.416 3.734 20 0 CHADLO FC(F)[C@@H](NCCCn1cc[nH+]c1)c1cccc(Cl)c1 ZINC000880538611 1125278731 /nfs/dbraw/zinc/27/87/31/1125278731.db2.gz VKGDOJUUOHVPAG-ZDUSSCGKSA-N 1 2 299.752 3.523 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CC[C@H](F)C(F)(F)CC2)cc1F ZINC001137885552 1125307211 /nfs/dbraw/zinc/30/72/11/1125307211.db2.gz WZAJUWPZXLYKDJ-LBPRGKRZSA-N 1 2 297.242 3.673 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CC[C@H](F)C(F)(F)CC2)cc1F ZINC001137885552 1125307212 /nfs/dbraw/zinc/30/72/12/1125307212.db2.gz WZAJUWPZXLYKDJ-LBPRGKRZSA-N 1 2 297.242 3.673 20 0 CHADLO c1coc(CSCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000191475429 1125325209 /nfs/dbraw/zinc/32/52/09/1125325209.db2.gz YOUCOQLBDBOVOB-UHFFFAOYSA-N 1 2 270.357 3.899 20 0 CHADLO COc1ccccc1CCC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000192679701 1125335660 /nfs/dbraw/zinc/33/56/60/1125335660.db2.gz PVSHHGNEIMGZHC-UHFFFAOYSA-N 1 2 298.386 3.587 20 0 CHADLO FC(F)(F)CC[N@@H+](C/C(Cl)=C/Cl)CC1CC1 ZINC000129573971 1125343548 /nfs/dbraw/zinc/34/35/48/1125343548.db2.gz RZGZKFDAVZKARH-UITAMQMPSA-N 1 2 276.129 3.970 20 0 CHADLO FC(F)(F)CC[N@H+](C/C(Cl)=C/Cl)CC1CC1 ZINC000129573971 1125343549 /nfs/dbraw/zinc/34/35/49/1125343549.db2.gz RZGZKFDAVZKARH-UITAMQMPSA-N 1 2 276.129 3.970 20 0 CHADLO c1csc([C@H]2CCN(c3[nH+]ccc4ccccc43)C2)n1 ZINC000882855207 1125358348 /nfs/dbraw/zinc/35/83/48/1125358348.db2.gz DFANRGHGPMFMGW-ZDUSSCGKSA-N 1 2 281.384 3.685 20 0 CHADLO CCCC[C@H](C)C(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882872211 1125358948 /nfs/dbraw/zinc/35/89/48/1125358948.db2.gz ZNJZDJFYHUGVML-RDJZCZTQSA-N 1 2 299.418 3.567 20 0 CHADLO CSc1ccc(CNc2ccc(N3CCCC3)c[nH+]2)o1 ZINC000882908205 1125361273 /nfs/dbraw/zinc/36/12/73/1125361273.db2.gz IBRQQGBCINTPOC-UHFFFAOYSA-N 1 2 289.404 3.609 20 0 CHADLO c1cc(N2CCCCC2)[nH+]cc1NC(C1CC1)C1CC1 ZINC000036988668 1125362503 /nfs/dbraw/zinc/36/25/03/1125362503.db2.gz QOIUDEDXFZOOKS-UHFFFAOYSA-N 1 2 271.408 3.672 20 0 CHADLO CCc1cccc2c1OCC[C@H]2[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000882986484 1125365409 /nfs/dbraw/zinc/36/54/09/1125365409.db2.gz ZNSZXFROPMCXHN-OAHLLOKOSA-N 1 2 299.418 3.709 20 0 CHADLO Cc1cc(NCc2nc(C(C)C)c[nH]2)ccc1[NH+](C)C ZINC000883056048 1125370218 /nfs/dbraw/zinc/37/02/18/1125370218.db2.gz ZUWVWWGZSXYLFE-UHFFFAOYSA-N 1 2 272.396 3.520 20 0 CHADLO CC(C)c1noc(C[N@H+](C)Cc2cccc3ccccc32)n1 ZINC000051992756 1125371671 /nfs/dbraw/zinc/37/16/71/1125371671.db2.gz HGYQYOZPPZLXNS-UHFFFAOYSA-N 1 2 295.386 3.978 20 0 CHADLO CC(C)c1noc(C[N@@H+](C)Cc2cccc3ccccc32)n1 ZINC000051992756 1125371674 /nfs/dbraw/zinc/37/16/74/1125371674.db2.gz HGYQYOZPPZLXNS-UHFFFAOYSA-N 1 2 295.386 3.978 20 0 CHADLO C[C@@H](c1noc(-c2ccccc2)n1)[NH+]1CCC(C)(F)CC1 ZINC000640071956 1125376335 /nfs/dbraw/zinc/37/63/35/1125376335.db2.gz VRAQSSVHCXLKNS-LBPRGKRZSA-N 1 2 289.354 3.622 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@@H+](Cc2noc(CCCC)n2)C1 ZINC000626162625 1125380566 /nfs/dbraw/zinc/38/05/66/1125380566.db2.gz WWWBEXPYCXUIQG-LYKUJDHUSA-N 1 2 277.412 3.590 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@H+](Cc2noc(CCCC)n2)C1 ZINC000626162625 1125380568 /nfs/dbraw/zinc/38/05/68/1125380568.db2.gz WWWBEXPYCXUIQG-LYKUJDHUSA-N 1 2 277.412 3.590 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCc3ccc(F)cc32)c(C)[nH+]1 ZINC000640141448 1125386608 /nfs/dbraw/zinc/38/66/08/1125386608.db2.gz MPRQRCAYUWJGQM-UHFFFAOYSA-N 1 2 299.349 3.741 20 0 CHADLO Cc1sc(/C=C/c2c[nH+]c3c(C)cccn23)cc1C=O ZINC000760262028 1125392497 /nfs/dbraw/zinc/39/24/97/1125392497.db2.gz AKYZPSNYOQWRRN-AATRIKPKSA-N 1 2 282.368 3.996 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2ccc(Cl)cn2)c(Cl)n1 ZINC000883301950 1125392577 /nfs/dbraw/zinc/39/25/77/1125392577.db2.gz MRNZFAXAKFUVTA-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO C[C@H]([NH2+]C1(c2ncccn2)CCC1)c1ccc(Cl)s1 ZINC000883313777 1125393448 /nfs/dbraw/zinc/39/34/48/1125393448.db2.gz MGWRFMFOELHONR-JTQLQIEISA-N 1 2 293.823 3.922 20 0 CHADLO c1cnc(C2([NH2+][C@@H]3CCSc4ccccc43)CCC2)nc1 ZINC000883314215 1125394677 /nfs/dbraw/zinc/39/46/77/1125394677.db2.gz YLBDDCHANMCTMG-CQSZACIVSA-N 1 2 297.427 3.683 20 0 CHADLO Cc1cc(NCc2scnc2C2CC2)c[nH+]c1C ZINC000883340869 1125397897 /nfs/dbraw/zinc/39/78/97/1125397897.db2.gz BADPRGYQSCTLHL-UHFFFAOYSA-N 1 2 259.378 3.644 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc([S@@](C)=O)cc2)c[nH+]c1C ZINC000883342071 1125398796 /nfs/dbraw/zinc/39/87/96/1125398796.db2.gz JPAGUPIZWQMSBN-RNODOKPDSA-N 1 2 288.416 3.609 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](c2cccc(F)c2)C(C)(C)C)n1 ZINC000342243771 1125401889 /nfs/dbraw/zinc/40/18/89/1125401889.db2.gz OQASHNGNOHEWIW-INIZCTEOSA-N 1 2 287.382 3.801 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@H]1F)c1ccoc1 ZINC000883376241 1125404040 /nfs/dbraw/zinc/40/40/40/1125404040.db2.gz GSYRETOKDUJREM-XZJROXQQSA-N 1 2 259.324 3.956 20 0 CHADLO CC(C)c1nnc(C[N@H+](C)Cc2cccc3ccccc32)o1 ZINC000171144801 1125404753 /nfs/dbraw/zinc/40/47/53/1125404753.db2.gz OJZHDASMEJGTLC-UHFFFAOYSA-N 1 2 295.386 3.978 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C)Cc2cccc3ccccc32)o1 ZINC000171144801 1125404756 /nfs/dbraw/zinc/40/47/56/1125404756.db2.gz OJZHDASMEJGTLC-UHFFFAOYSA-N 1 2 295.386 3.978 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccc2c(n1)CCC2 ZINC000883377750 1125404987 /nfs/dbraw/zinc/40/49/87/1125404987.db2.gz PGTVATSYDPDTCQ-UHFFFAOYSA-N 1 2 299.443 3.831 20 0 CHADLO F[C@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1cc2cccnc2o1 ZINC000883380943 1125406043 /nfs/dbraw/zinc/40/60/43/1125406043.db2.gz DCJIQFRODVFYKU-IRXDYDNUSA-N 1 2 296.345 3.943 20 0 CHADLO CC(C)n1nccc1C[NH2+][C@@H]1c2ccccc2CC[C@@H]1F ZINC000883380831 1125406092 /nfs/dbraw/zinc/40/60/92/1125406092.db2.gz BCKSXIDDQUVMNM-DLBZAZTESA-N 1 2 287.382 3.579 20 0 CHADLO CCc1cc(C[NH2+][C@@H](CC)c2cc(F)ccc2F)on1 ZINC000532520419 1125409278 /nfs/dbraw/zinc/40/92/78/1125409278.db2.gz KLYMVTFJPGYFBI-HNNXBMFYSA-N 1 2 280.318 3.756 20 0 CHADLO CC1(C)Nc2ccccc2[C@@H]1[NH2+]Cc1ncc(C2CC2)o1 ZINC000883464303 1125411842 /nfs/dbraw/zinc/41/18/42/1125411842.db2.gz JSDMWCAKZTYPPQ-INIZCTEOSA-N 1 2 283.375 3.587 20 0 CHADLO Cc1ccc2c(Nc3cnnc(Cl)c3)cccc2[nH+]1 ZINC001212794283 1125412657 /nfs/dbraw/zinc/41/26/57/1125412657.db2.gz RVJQICHVZJVKEF-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO C[C@H]([NH2+][C@H]1CC(C)(C)Oc2ccccc21)c1ncco1 ZINC000925273623 1125417059 /nfs/dbraw/zinc/41/70/59/1125417059.db2.gz GLVIQSPSJQOAIO-AAEUAGOBSA-N 1 2 272.348 3.628 20 0 CHADLO Cc1ccc2c(Nc3c(Cl)cnn3C)cccc2[nH+]1 ZINC001212796205 1125418962 /nfs/dbraw/zinc/41/89/62/1125418962.db2.gz SQAKTYZXSRGMMH-UHFFFAOYSA-N 1 2 272.739 3.674 20 0 CHADLO Cc1ccc2c(cccc2N(c2ccc(N)cn2)C2CC2)[nH+]1 ZINC001212796963 1125430309 /nfs/dbraw/zinc/43/03/09/1125430309.db2.gz PGGVXFBWOLYDDE-UHFFFAOYSA-N 1 2 290.370 3.821 20 0 CHADLO Cc1nc([C@@H](C)Nc2cc(C(C)C)[nH+]c(C(C)C)n2)no1 ZINC000161990971 1125438003 /nfs/dbraw/zinc/43/80/03/1125438003.db2.gz JRPUURKHZVNOJY-SNVBAGLBSA-N 1 2 289.383 3.588 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nccn2C(C)C)cc1 ZINC000107298974 1125448787 /nfs/dbraw/zinc/44/87/87/1125448787.db2.gz KXVRUDKTDVWRAP-HNNXBMFYSA-N 1 2 271.408 3.965 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nccn2C(C)C)cc1 ZINC000107298974 1125448788 /nfs/dbraw/zinc/44/87/88/1125448788.db2.gz KXVRUDKTDVWRAP-HNNXBMFYSA-N 1 2 271.408 3.965 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H](C)[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC001126725924 1125449884 /nfs/dbraw/zinc/44/98/84/1125449884.db2.gz JIYZQBLNGKLOBC-GJZGRUSLSA-N 1 2 296.414 3.929 20 0 CHADLO CC[C@H](C)C(=O)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000044567825 1125455904 /nfs/dbraw/zinc/45/59/04/1125455904.db2.gz PAIUIDAVEIWXJF-ZDUSSCGKSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)C[C@@H](C(=O)Nc1cccc2cc[nH]c21)n1cc[nH+]c1 ZINC000635816166 1129191317 /nfs/dbraw/zinc/19/13/17/1129191317.db2.gz LCEYVPCCQXMTLS-HNNXBMFYSA-N 1 2 296.374 3.590 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CC(C)(C)OC2(C)C)c(C)[nH+]1 ZINC000636581711 1129205307 /nfs/dbraw/zinc/20/53/07/1129205307.db2.gz JIXIRBZJXWFSHQ-ZDUSSCGKSA-N 1 2 290.407 3.539 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCCC23CC3)c(C)[nH+]1 ZINC000636581824 1129205443 /nfs/dbraw/zinc/20/54/43/1129205443.db2.gz MEWLLVFNGSXTHD-CYBMUJFWSA-N 1 2 258.365 3.526 20 0 CHADLO Cc1ccc2c(c1C)OC[C@@H]2[NH2+]Cc1nc2ccccc2o1 ZINC000638278178 1129251615 /nfs/dbraw/zinc/25/16/15/1129251615.db2.gz YEKXLUHYISTJMQ-HNNXBMFYSA-N 1 2 294.354 3.668 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2cc(C3CC3)no2)o1 ZINC000638385607 1129257224 /nfs/dbraw/zinc/25/72/24/1129257224.db2.gz LXGNHSBHIREXKW-QMTHXVAHSA-N 1 2 272.348 3.558 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000638438205 1129258614 /nfs/dbraw/zinc/25/86/14/1129258614.db2.gz HVHDVQLCQYBAGJ-UHFFFAOYSA-N 1 2 292.766 3.504 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1)C(C)C ZINC000246212397 1129261799 /nfs/dbraw/zinc/26/17/99/1129261799.db2.gz XLLSHOXIPNNZHX-WMLDXEAASA-N 1 2 276.424 3.758 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)C1CCCC1 ZINC000638636181 1129263912 /nfs/dbraw/zinc/26/39/12/1129263912.db2.gz QRTJTXQQPBQPAK-IUODEOHRSA-N 1 2 276.428 3.594 20 0 CHADLO COc1cccc(-c2cccc(-c3c[nH+]cn3C)c2)c1 ZINC001239521984 1131361731 /nfs/dbraw/zinc/36/17/31/1131361731.db2.gz PEQUCPSDAQILHI-UHFFFAOYSA-N 1 2 264.328 3.763 20 0 CHADLO CC[C@H]([NH2+][C@H](c1nnc[nH]1)C1CCCCC1)c1ccncc1 ZINC000638678845 1129265394 /nfs/dbraw/zinc/26/53/94/1129265394.db2.gz UPUHWIPZZRSKGO-HOTGVXAUSA-N 1 2 299.422 3.562 20 0 CHADLO CC1(C)CCC[C@@H]1[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000638720701 1129267682 /nfs/dbraw/zinc/26/76/82/1129267682.db2.gz HQYORQKUMDPQPS-UONOGXRCSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(OC3CCCC3)cc2)o1 ZINC000638865931 1129272383 /nfs/dbraw/zinc/27/23/83/1129272383.db2.gz LXAUZKHLWBYLKP-UHFFFAOYSA-N 1 2 286.375 3.594 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@H](C)c1nnc(C)s1 ZINC000282603272 1129273662 /nfs/dbraw/zinc/27/36/62/1129273662.db2.gz YGINNOXOIVAQNP-VHSXEESVSA-N 1 2 293.461 3.980 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000348056817 1129278209 /nfs/dbraw/zinc/27/82/09/1129278209.db2.gz WDTYDCKOMMXYAE-CYBMUJFWSA-N 1 2 295.386 3.978 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000348056817 1129278212 /nfs/dbraw/zinc/27/82/12/1129278212.db2.gz WDTYDCKOMMXYAE-CYBMUJFWSA-N 1 2 295.386 3.978 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2CCc3ccc(C(C)C)cc32)o1 ZINC000639088903 1129280608 /nfs/dbraw/zinc/28/06/08/1129280608.db2.gz HWJUSYNUMBUMRR-INIZCTEOSA-N 1 2 270.376 3.884 20 0 CHADLO Cc1noc([C@H](C)[NH2+]C/C=C/c2cc(C)c(C)cc2C)n1 ZINC000639097432 1129280830 /nfs/dbraw/zinc/28/08/30/1129280830.db2.gz KVZRGSYNASCZPZ-UZYOAWRESA-N 1 2 285.391 3.667 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H]3CS[C@H](C)C3)cc2)c1C ZINC000639199211 1129291599 /nfs/dbraw/zinc/29/15/99/1129291599.db2.gz VYQUTXHGVKLDKB-IAQYHMDHSA-N 1 2 287.432 3.795 20 0 CHADLO CC[C@@](C)([NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)C1CC1 ZINC000639316347 1129302742 /nfs/dbraw/zinc/30/27/42/1129302742.db2.gz IDDYBEVRCHPRKF-GDBMZVCRSA-N 1 2 276.428 3.594 20 0 CHADLO CCn1nc(C)c(C[N@H+](C)Cc2ccc(C)cc2F)c1C ZINC000639360594 1129306410 /nfs/dbraw/zinc/30/64/10/1129306410.db2.gz PQDSQUBXIDAESU-UHFFFAOYSA-N 1 2 289.398 3.599 20 0 CHADLO CCn1nc(C)c(C[N@@H+](C)Cc2ccc(C)cc2F)c1C ZINC000639360594 1129306412 /nfs/dbraw/zinc/30/64/12/1129306412.db2.gz PQDSQUBXIDAESU-UHFFFAOYSA-N 1 2 289.398 3.599 20 0 CHADLO C[C@@H](CNc1cc(N2CCCCC2)nc[nH+]1)C(C)(C)C ZINC000734479501 1129310003 /nfs/dbraw/zinc/31/00/03/1129310003.db2.gz CXXHAQXNVBFPAP-ZDUSSCGKSA-N 1 2 276.428 3.561 20 0 CHADLO C[C@@H](CNc1cc(N2CCCCC2)[nH+]cn1)C(C)(C)C ZINC000734479501 1129310006 /nfs/dbraw/zinc/31/00/06/1129310006.db2.gz CXXHAQXNVBFPAP-ZDUSSCGKSA-N 1 2 276.428 3.561 20 0 CHADLO Cc1nn(C[NH+]2CCC(C(C)(C)C)CC2)c(=S)s1 ZINC000734829572 1129323632 /nfs/dbraw/zinc/32/36/32/1129323632.db2.gz SNKVDTULDXJHRR-UHFFFAOYSA-N 1 2 285.482 3.698 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(C3CCC3)no2)c(C)s1 ZINC000639560996 1129324174 /nfs/dbraw/zinc/32/41/74/1129324174.db2.gz FYUMSNFQHHKZRR-JTQLQIEISA-N 1 2 291.420 3.866 20 0 CHADLO Cc1cc(N2CCc3c(C)cccc3C2)nc(C2CC2)[nH+]1 ZINC000734835128 1129324587 /nfs/dbraw/zinc/32/45/87/1129324587.db2.gz PDNOWTSCVPNRBW-UHFFFAOYSA-N 1 2 279.387 3.534 20 0 CHADLO C[C@H]([NH2+]Cc1nc(CC2CC2)no1)c1cccc(C2CC2)c1 ZINC000639658779 1129328139 /nfs/dbraw/zinc/32/81/39/1129328139.db2.gz JRLJDLXXRIXRBU-LBPRGKRZSA-N 1 2 297.402 3.750 20 0 CHADLO CC(C)n1ccc(C[N@H+]2Cc3ccccc3[C@H](C)C2)n1 ZINC000735077521 1129331180 /nfs/dbraw/zinc/33/11/80/1129331180.db2.gz SKNGBBUAYFLRAW-CQSZACIVSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2Cc3ccccc3[C@H](C)C2)n1 ZINC000735077521 1129331184 /nfs/dbraw/zinc/33/11/84/1129331184.db2.gz SKNGBBUAYFLRAW-CQSZACIVSA-N 1 2 269.392 3.583 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1cc(F)cc(F)c1 ZINC000719404242 1129333332 /nfs/dbraw/zinc/33/33/32/1129333332.db2.gz ZROBZVCPYLAGHD-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO Cc1ccc(C[NH2+]Cc2occc2Br)cc1F ZINC000735321011 1129339130 /nfs/dbraw/zinc/33/91/30/1129339130.db2.gz UHYDNLYEWOLNSN-UHFFFAOYSA-N 1 2 298.155 3.779 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)[C@H]2CCC[C@@H](C)C2)c(C)[nH+]1 ZINC001124929764 1131367631 /nfs/dbraw/zinc/36/76/31/1131367631.db2.gz XGZGXAYGUKDMKG-ABAIWWIYSA-N 1 2 274.408 3.658 20 0 CHADLO Fc1ccc(C[NH2+]Cc2nc3c(s2)CCCC3)c(F)c1 ZINC000719531920 1129343335 /nfs/dbraw/zinc/34/33/35/1129343335.db2.gz WXAYXLBMZWASBB-UHFFFAOYSA-N 1 2 294.370 3.590 20 0 CHADLO Fc1ccc(Cl)cc1C[NH2+]Cc1nc2c(s1)CCC2 ZINC000719536859 1129343811 /nfs/dbraw/zinc/34/38/11/1129343811.db2.gz HHQMRBSWRJSKPU-UHFFFAOYSA-N 1 2 296.798 3.714 20 0 CHADLO C[C@H]([NH2+]Cc1nc2c(s1)CCC2)c1cc(F)ccc1F ZINC000719593297 1129346107 /nfs/dbraw/zinc/34/61/07/1129346107.db2.gz AGTAPAVJTGMMTE-VIFPVBQESA-N 1 2 294.370 3.761 20 0 CHADLO Cc1ccc(C[NH2+][C@H](CF)c2ccc(F)cc2)c(C)n1 ZINC000640154250 1129350639 /nfs/dbraw/zinc/35/06/39/1129350639.db2.gz DTXUMRNXNCUJAM-MRXNPFEDSA-N 1 2 276.330 3.638 20 0 CHADLO CC(F)(F)CNc1cc(-c2ccnc(Cl)c2)cc[nH+]1 ZINC001154855478 1129358754 /nfs/dbraw/zinc/35/87/54/1129358754.db2.gz UFPMSSPUYPUOEF-UHFFFAOYSA-N 1 2 283.709 3.864 20 0 CHADLO CC[N@H+](C)c1ccc(NC2=CC[C@H](OC)CC2)cc1 ZINC001212886190 1129365071 /nfs/dbraw/zinc/36/50/71/1129365071.db2.gz KSWAQKRMMKVGRP-INIZCTEOSA-N 1 2 260.381 3.637 20 0 CHADLO CC[N@@H+](C)c1ccc(NC2=CC[C@H](OC)CC2)cc1 ZINC001212886190 1129365074 /nfs/dbraw/zinc/36/50/74/1129365074.db2.gz KSWAQKRMMKVGRP-INIZCTEOSA-N 1 2 260.381 3.637 20 0 CHADLO OCc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1Cl ZINC001212891700 1129375117 /nfs/dbraw/zinc/37/51/17/1129375117.db2.gz DJHCMHXXALGRSC-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1CNc1cc(CO)cc[nH+]1 ZINC000641524961 1129382697 /nfs/dbraw/zinc/38/26/97/1129382697.db2.gz GXHJNAZRVXWZLH-UHFFFAOYSA-N 1 2 296.292 3.513 20 0 CHADLO CC[N@H+](Cn1nc(-c2ccccc2)oc1=S)C1CCC1 ZINC000738052169 1129389565 /nfs/dbraw/zinc/38/95/65/1129389565.db2.gz CPJPDZFXTJFSDE-UHFFFAOYSA-N 1 2 289.404 3.704 20 0 CHADLO CC[N@@H+](Cn1nc(-c2ccccc2)oc1=S)C1CCC1 ZINC000738052169 1129389570 /nfs/dbraw/zinc/38/95/70/1129389570.db2.gz CPJPDZFXTJFSDE-UHFFFAOYSA-N 1 2 289.404 3.704 20 0 CHADLO Cc1[nH]c(CNc2ccc(F)c(C(F)(F)F)c2)[nH+]c1C ZINC000641777782 1129391600 /nfs/dbraw/zinc/39/16/00/1129391600.db2.gz MYZSHVKBQBQEOV-UHFFFAOYSA-N 1 2 287.260 3.797 20 0 CHADLO CCc1ccc(C[NH2+]Cc2nsc3ccccc23)o1 ZINC000641794155 1129393038 /nfs/dbraw/zinc/39/30/38/1129393038.db2.gz RFWUKSYAMCKCFL-UHFFFAOYSA-N 1 2 272.373 3.742 20 0 CHADLO C[C@@H]1CC[C@@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C[C@H]1C ZINC001125083317 1131372225 /nfs/dbraw/zinc/37/22/25/1131372225.db2.gz CQYRAMHFAOUEDR-RBSFLKMASA-N 1 2 297.402 3.883 20 0 CHADLO CCSc1cc[nH+]c(N[C@@H]2CCCC[C@H]2F)c1 ZINC001155064767 1129412789 /nfs/dbraw/zinc/41/27/89/1129412789.db2.gz JZKWEUATFQYRAP-VXGBXAGGSA-N 1 2 254.374 3.886 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](c3ccccc3F)C2)c(C)o1 ZINC000092975292 1129414036 /nfs/dbraw/zinc/41/40/36/1129414036.db2.gz NWSVXGSXCZIRRR-QGZVFWFLSA-N 1 2 289.350 3.609 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](c3ccccc3F)C2)c(C)o1 ZINC000092975292 1129414041 /nfs/dbraw/zinc/41/40/41/1129414041.db2.gz NWSVXGSXCZIRRR-QGZVFWFLSA-N 1 2 289.350 3.609 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)OCc1cccc(C)c1)c1ccccc1 ZINC000741151261 1129430249 /nfs/dbraw/zinc/43/02/49/1129430249.db2.gz YWSZAYUELQROQD-SFHVURJKSA-N 1 2 297.398 3.731 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)OCc1cccc(C)c1)c1ccccc1 ZINC000741151261 1129430251 /nfs/dbraw/zinc/43/02/51/1129430251.db2.gz YWSZAYUELQROQD-SFHVURJKSA-N 1 2 297.398 3.731 20 0 CHADLO CC(C)=C[C@@H]1[C@H](C(=O)NCc2cc(C)[nH+]c(C)c2)C1(C)C ZINC000643318606 1129438850 /nfs/dbraw/zinc/43/88/50/1129438850.db2.gz UGODCLWCJZCTJO-HZPDHXFCSA-N 1 2 286.419 3.553 20 0 CHADLO CO[C@H]1CCC[C@H](Nc2ccc(-n3c[nH+]c(C)c3C)cc2)C1 ZINC000643354283 1129440250 /nfs/dbraw/zinc/44/02/50/1129440250.db2.gz ILQOQJOLOXJRFT-WMZOPIPTSA-N 1 2 299.418 3.859 20 0 CHADLO CC1(C)CCC[C@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000094303637 1129442434 /nfs/dbraw/zinc/44/24/34/1129442434.db2.gz KKHZBKLUAVHITN-MRXNPFEDSA-N 1 2 274.408 3.514 20 0 CHADLO Cc1ncc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)s1 ZINC000643503302 1129442817 /nfs/dbraw/zinc/44/28/17/1129442817.db2.gz BEJICHBQLBJYAE-VIFPVBQESA-N 1 2 259.378 3.593 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1c(F)ccc2c(Cl)ncnc21 ZINC001155181324 1129444497 /nfs/dbraw/zinc/44/44/97/1129444497.db2.gz DVFCMRIWTJSVST-UHFFFAOYSA-N 1 2 280.734 3.858 20 0 CHADLO CCc1nc2sccc2c(NC(=[NH2+])C(C)(C)C)n1 ZINC001155181176 1129444596 /nfs/dbraw/zinc/44/45/96/1129444596.db2.gz AGOSBZLFYMXYJT-UHFFFAOYSA-N 1 2 262.382 3.689 20 0 CHADLO CCC(=CC(=O)Nc1cc[nH+]c(C)c1)c1ccc(C)cc1 ZINC000741691800 1129448617 /nfs/dbraw/zinc/44/86/17/1129448617.db2.gz VCOJRWDMCYDTEG-NTCAYCPXSA-N 1 2 280.371 3.552 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@H+](C)[C@H](C)c1ccc(F)cc1 ZINC000741775704 1129451945 /nfs/dbraw/zinc/45/19/45/1129451945.db2.gz XPYSZYTUUJUJLC-CHWSQXEVSA-N 1 2 281.371 3.550 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@@H+](C)[C@H](C)c1ccc(F)cc1 ZINC000741775704 1129451947 /nfs/dbraw/zinc/45/19/47/1129451947.db2.gz XPYSZYTUUJUJLC-CHWSQXEVSA-N 1 2 281.371 3.550 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000180166843 1129455635 /nfs/dbraw/zinc/45/56/35/1129455635.db2.gz SMBJYQZNJCPRDR-NKAIQICCSA-N 1 2 283.371 3.756 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000180166843 1129455637 /nfs/dbraw/zinc/45/56/37/1129455637.db2.gz SMBJYQZNJCPRDR-NKAIQICCSA-N 1 2 283.371 3.756 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1c(Cl)cccc1OC(F)F ZINC000644843661 1129479036 /nfs/dbraw/zinc/47/90/36/1129479036.db2.gz LFOGYHOPBJHJKS-VIFPVBQESA-N 1 2 275.726 3.926 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1c(Cl)cccc1OC(F)F ZINC000644843661 1129479037 /nfs/dbraw/zinc/47/90/37/1129479037.db2.gz LFOGYHOPBJHJKS-VIFPVBQESA-N 1 2 275.726 3.926 20 0 CHADLO COc1ccccc1C1([NH2+]Cc2cc(F)ccc2F)CC1 ZINC000645999183 1129516112 /nfs/dbraw/zinc/51/61/12/1129516112.db2.gz DUMCBHFGDLJROJ-UHFFFAOYSA-N 1 2 289.325 3.752 20 0 CHADLO CCc1cnc(C[NH2+]C2(c3cccc(C)c3)CCC2)o1 ZINC000646021498 1129518373 /nfs/dbraw/zinc/51/83/73/1129518373.db2.gz FMBBVUPDNBWUSF-UHFFFAOYSA-N 1 2 270.376 3.714 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]CCOc1ccccc1C(C)C ZINC000180881503 1129518884 /nfs/dbraw/zinc/51/88/84/1129518884.db2.gz SCLQWZQAHRVPRA-ZDUSSCGKSA-N 1 2 287.407 3.571 20 0 CHADLO CC[C@@]1(C)CCC[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000743388006 1129521499 /nfs/dbraw/zinc/52/14/99/1129521499.db2.gz MTQJVUTWMNVOGI-HNNXBMFYSA-N 1 2 296.484 3.708 20 0 CHADLO CC[C@@]1(C)CCC[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)C1 ZINC000743388006 1129521503 /nfs/dbraw/zinc/52/15/03/1129521503.db2.gz MTQJVUTWMNVOGI-HNNXBMFYSA-N 1 2 296.484 3.708 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000646115831 1129523431 /nfs/dbraw/zinc/52/34/31/1129523431.db2.gz IHEIVLAHHPDLOP-NEPJUHHUSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000646115831 1129523433 /nfs/dbraw/zinc/52/34/33/1129523433.db2.gz IHEIVLAHHPDLOP-NEPJUHHUSA-N 1 2 281.346 3.669 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)o1 ZINC000646117753 1129524435 /nfs/dbraw/zinc/52/44/35/1129524435.db2.gz PEYJJDGVYJXIKT-QGZVFWFLSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)o1 ZINC000646117753 1129524441 /nfs/dbraw/zinc/52/44/41/1129524441.db2.gz PEYJJDGVYJXIKT-QGZVFWFLSA-N 1 2 280.371 3.876 20 0 CHADLO CCC[C@H]1[C@@H](C)CCC[N@@H+]1CC(F)(F)C(F)F ZINC000744415292 1129576012 /nfs/dbraw/zinc/57/60/12/1129576012.db2.gz YZMOVFFNVJIPEZ-UWVGGRQHSA-N 1 2 255.299 3.787 20 0 CHADLO CCC[C@H]1[C@@H](C)CCC[N@H+]1CC(F)(F)C(F)F ZINC000744415292 1129576016 /nfs/dbraw/zinc/57/60/16/1129576016.db2.gz YZMOVFFNVJIPEZ-UWVGGRQHSA-N 1 2 255.299 3.787 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+]Cc3cccnc3C)co2)cc1 ZINC000744447736 1129577922 /nfs/dbraw/zinc/57/79/22/1129577922.db2.gz WFJKTQYFAOMEKB-UHFFFAOYSA-N 1 2 293.370 3.643 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2cscc2Cl)C1 ZINC000648058964 1129593717 /nfs/dbraw/zinc/59/37/17/1129593717.db2.gz MVOAJVHITGBVIJ-UHFFFAOYSA-N 1 2 265.756 3.879 20 0 CHADLO CC[C@H](OC(=O)[C@H]1CCCC[N@@H+]1C)c1ccc(Cl)cc1 ZINC000745080794 1129595405 /nfs/dbraw/zinc/59/54/05/1129595405.db2.gz UOTWZGZAFGVFCN-CABCVRRESA-N 1 2 295.810 3.819 20 0 CHADLO CC[C@H](OC(=O)[C@H]1CCCC[N@H+]1C)c1ccc(Cl)cc1 ZINC000745080794 1129595410 /nfs/dbraw/zinc/59/54/10/1129595410.db2.gz UOTWZGZAFGVFCN-CABCVRRESA-N 1 2 295.810 3.819 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1C1=CCCCC1 ZINC001241718776 1129609283 /nfs/dbraw/zinc/60/92/83/1129609283.db2.gz BYMAIVAVNOPZGS-UHFFFAOYSA-N 1 2 254.333 3.838 20 0 CHADLO Clc1cc(C[NH+]2CC([C@H]3CCOC3)C2)c(Cl)s1 ZINC000648562974 1129614376 /nfs/dbraw/zinc/61/43/76/1129614376.db2.gz CIBIRNIOCCCDRG-QMMMGPOBSA-N 1 2 292.231 3.523 20 0 CHADLO CCOc1ccccc1-c1ccc(-n2cc[nH+]c2)cc1OC ZINC001239560325 1131386011 /nfs/dbraw/zinc/38/60/11/1131386011.db2.gz PFUATLHGEXIAMU-UHFFFAOYSA-N 1 2 294.354 3.947 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2cscc2Cl)o1 ZINC000648790096 1129626054 /nfs/dbraw/zinc/62/60/54/1129626054.db2.gz RNBSIYGEIXUTHH-UHFFFAOYSA-N 1 2 270.785 3.632 20 0 CHADLO CCOc1ccccc1-c1ccc2[nH+]ccn2c1C ZINC001239560816 1131387082 /nfs/dbraw/zinc/38/70/82/1131387082.db2.gz WYDMATUTJZRLCC-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]C[C@H](c1ccccc1)C(F)(F)F ZINC000186848779 1129635334 /nfs/dbraw/zinc/63/53/34/1129635334.db2.gz UNFFEIYIESGGHR-QMTHXVAHSA-N 1 2 297.324 3.715 20 0 CHADLO CC(=O)Nc1ccc(-c2cc(C)[nH+]c(C)c2)c(C)c1 ZINC001241992442 1129641419 /nfs/dbraw/zinc/64/14/19/1129641419.db2.gz KPUMOPJNLXLQMD-UHFFFAOYSA-N 1 2 254.333 3.632 20 0 CHADLO Cc1ccccc1[C@@H](CC(C)C)NC(=O)CCc1c[nH]c[nH+]1 ZINC000193242493 1129645105 /nfs/dbraw/zinc/64/51/05/1129645105.db2.gz MUOSTMHDBAWLFM-QGZVFWFLSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1ccccc1[C@@H](CC(C)C)NC(=O)CCc1c[nH+]c[nH]1 ZINC000193242493 1129645107 /nfs/dbraw/zinc/64/51/07/1129645107.db2.gz MUOSTMHDBAWLFM-QGZVFWFLSA-N 1 2 299.418 3.554 20 0 CHADLO Fc1ccc([C@H]2CCCC[N@@H+]2Cc2cc3n(n2)CCC3)cc1 ZINC000649548372 1129656540 /nfs/dbraw/zinc/65/65/40/1129656540.db2.gz VSXUKGNVNKIJHP-GOSISDBHSA-N 1 2 299.393 3.696 20 0 CHADLO Fc1ccc([C@H]2CCCC[N@H+]2Cc2cc3n(n2)CCC3)cc1 ZINC000649548372 1129656542 /nfs/dbraw/zinc/65/65/42/1129656542.db2.gz VSXUKGNVNKIJHP-GOSISDBHSA-N 1 2 299.393 3.696 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2C[C@H]2C2CCCC2)c(C)[nH+]1 ZINC000649566539 1129658049 /nfs/dbraw/zinc/65/80/49/1129658049.db2.gz NUUYXDLHDHJQOJ-LSDHHAIUSA-N 1 2 272.392 3.772 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@H]1C[C@H]1C1CCCC1 ZINC000649568334 1129658421 /nfs/dbraw/zinc/65/84/21/1129658421.db2.gz XQMBPZWLOVCNBM-IRXDYDNUSA-N 1 2 295.386 3.637 20 0 CHADLO Clc1cccc(-c2ccc(Cn3cc[nH+]c3)cn2)c1 ZINC001242143822 1129661452 /nfs/dbraw/zinc/66/14/52/1129661452.db2.gz DUVCYJWSXFJLPO-UHFFFAOYSA-N 1 2 269.735 3.647 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@H]1C[C@H]1C1CCCC1 ZINC000649624817 1129663964 /nfs/dbraw/zinc/66/39/64/1129663964.db2.gz DUBQXRMSFZEWHW-IRXDYDNUSA-N 1 2 295.386 3.637 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccon1)c1cc(Cl)ccc1OC ZINC000766421432 1129664758 /nfs/dbraw/zinc/66/47/58/1129664758.db2.gz MSUIXINONDCRNJ-CYBMUJFWSA-N 1 2 280.755 3.578 20 0 CHADLO CCCC(C)(C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000649634836 1129664942 /nfs/dbraw/zinc/66/49/42/1129664942.db2.gz WWLAJNHEHPAQEM-UHFFFAOYSA-N 1 2 289.354 3.776 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2CCC23CCCC3)c(Cl)c1 ZINC000649656815 1129666328 /nfs/dbraw/zinc/66/63/28/1129666328.db2.gz BCLZNLMOLBRWNW-UHFFFAOYSA-N 1 2 292.810 3.605 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2CCC23CCCC3)c(Cl)c1 ZINC000649656815 1129666331 /nfs/dbraw/zinc/66/63/31/1129666331.db2.gz BCLZNLMOLBRWNW-UHFFFAOYSA-N 1 2 292.810 3.605 20 0 CHADLO CC[C@@H]1CO[C@@H](CC)C[N@@H+]1Cc1nc2ccccc2s1 ZINC000649717258 1129672824 /nfs/dbraw/zinc/67/28/24/1129672824.db2.gz ACTIWAJNZVPIJB-OLZOCXBDSA-N 1 2 290.432 3.686 20 0 CHADLO CC[C@@H]1CO[C@@H](CC)C[N@H+]1Cc1nc2ccccc2s1 ZINC000649717258 1129672825 /nfs/dbraw/zinc/67/28/25/1129672825.db2.gz ACTIWAJNZVPIJB-OLZOCXBDSA-N 1 2 290.432 3.686 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccc(F)c(-n3cc[nH+]c3)c2)nc1 ZINC001168859693 1129677982 /nfs/dbraw/zinc/67/79/82/1129677982.db2.gz DSXGJJJWNNNOBD-ZDUSSCGKSA-N 1 2 296.349 3.888 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc[nH+]c(OCC(C)C)c2)nc1 ZINC001168859275 1129678151 /nfs/dbraw/zinc/67/81/51/1129678151.db2.gz BDYLNURSZJLHIR-AWEZNQCLSA-N 1 2 285.391 3.993 20 0 CHADLO Cc1c2cccc(C)c2oc1C[N@H+](C)Cc1ccon1 ZINC000649819821 1129680052 /nfs/dbraw/zinc/68/00/52/1129680052.db2.gz HJHNMKBCRZSQGE-UHFFFAOYSA-N 1 2 270.332 3.670 20 0 CHADLO Cc1c2cccc(C)c2oc1C[N@@H+](C)Cc1ccon1 ZINC000649819821 1129680055 /nfs/dbraw/zinc/68/00/55/1129680055.db2.gz HJHNMKBCRZSQGE-UHFFFAOYSA-N 1 2 270.332 3.670 20 0 CHADLO c1cc(Cc2ccncc2)[nH+]c(NCCC2CCC2)c1 ZINC001168888831 1129680316 /nfs/dbraw/zinc/68/03/16/1129680316.db2.gz WOJLBGAOUNBHMP-UHFFFAOYSA-N 1 2 267.376 3.670 20 0 CHADLO C=Cc1cccc(NCCc2cn3ccccc3[nH+]2)c1 ZINC001169003714 1129689031 /nfs/dbraw/zinc/68/90/31/1129689031.db2.gz BCGWSOOQGBKVOT-UHFFFAOYSA-N 1 2 263.344 3.632 20 0 CHADLO COC(=O)[C@@H](c1ccsc1)[NH+]1CC(C)(C)CC(C)(C)C1 ZINC001169005200 1129689474 /nfs/dbraw/zinc/68/94/74/1129689474.db2.gz QVBRXJJQJBFHLF-CYBMUJFWSA-N 1 2 295.448 3.720 20 0 CHADLO COCc1cc[nH+]c(NCc2cnc(C(C)(C)C)s2)c1 ZINC000650244900 1129702474 /nfs/dbraw/zinc/70/24/74/1129702474.db2.gz URCSXWUNRQDGEK-UHFFFAOYSA-N 1 2 291.420 3.594 20 0 CHADLO COCc1cc[nH+]c(NCc2csc(C(C)(C)C)n2)c1 ZINC000650244553 1129702686 /nfs/dbraw/zinc/70/26/86/1129702686.db2.gz DKPDITPRMFAWHN-UHFFFAOYSA-N 1 2 291.420 3.594 20 0 CHADLO Cn1c[nH+]c2ccc(N[C@H]3CCCC(F)(F)C3)cc21 ZINC001169213746 1129708473 /nfs/dbraw/zinc/70/84/73/1129708473.db2.gz OLJLAYIOFQCUAB-NSHDSACASA-N 1 2 265.307 3.563 20 0 CHADLO FC1(F)CCC[C@H](Nc2ccc(-n3cccc3)c[nH+]2)C1 ZINC001169215168 1129708765 /nfs/dbraw/zinc/70/87/65/1129708765.db2.gz UTRYKGMLPWGBEV-LBPRGKRZSA-N 1 2 277.318 3.862 20 0 CHADLO FC1(F)CCC[C@H](Nc2ccc(N3CCCC3)[nH+]c2)C1 ZINC001169219278 1129710125 /nfs/dbraw/zinc/71/01/25/1129710125.db2.gz RMCTVNFSHLRNIJ-LBPRGKRZSA-N 1 2 281.350 3.672 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1C1=CCC(F)(F)CC1 ZINC001242234241 1129710256 /nfs/dbraw/zinc/71/02/56/1129710256.db2.gz NTEPKHTUKKDCBJ-UHFFFAOYSA-N 1 2 264.275 3.774 20 0 CHADLO COc1cccc2c(NCCc3cc(C)ccn3)cc[nH+]c12 ZINC001169319839 1129716702 /nfs/dbraw/zinc/71/67/02/1129716702.db2.gz GQLHJOYIUPQCPF-UHFFFAOYSA-N 1 2 293.370 3.601 20 0 CHADLO C[C@H]1C[C@H](Nc2ccc3c([nH+]2)CCOC3)c2ccccc21 ZINC001169363801 1129720025 /nfs/dbraw/zinc/72/00/25/1129720025.db2.gz JNYDXCROFWYKSV-SJCJKPOMSA-N 1 2 280.371 3.815 20 0 CHADLO C[C@@H]1C[C@@H](Nc2cc(N3CCCC3)nc[nH+]2)c2ccccc21 ZINC001169368328 1129720806 /nfs/dbraw/zinc/72/08/06/1129720806.db2.gz WXTRINPRFXVWAA-CZUORRHYSA-N 1 2 294.402 3.737 20 0 CHADLO C[C@@H]1C[C@@H](Nc2cc(N3CCCC3)[nH+]cn2)c2ccccc21 ZINC001169368328 1129720809 /nfs/dbraw/zinc/72/08/09/1129720809.db2.gz WXTRINPRFXVWAA-CZUORRHYSA-N 1 2 294.402 3.737 20 0 CHADLO C[C@H](CNc1ccc(N2CCCC2)[nH+]c1)c1ccc(O)cc1 ZINC001169540368 1129734265 /nfs/dbraw/zinc/73/42/65/1129734265.db2.gz UGFJKDKLDDGSMU-CQSZACIVSA-N 1 2 297.402 3.603 20 0 CHADLO Cc1cc(NC[C@@H](C)c2ccc(O)cc2)[nH+]c2cc[nH]c21 ZINC001169542629 1129734841 /nfs/dbraw/zinc/73/48/41/1129734841.db2.gz AHHYPEKYDLMLEI-GFCCVEGCSA-N 1 2 281.359 3.793 20 0 CHADLO Cc1cc[nH+]cc1NCCc1coc2ccccc12 ZINC001169599364 1129739741 /nfs/dbraw/zinc/73/97/41/1129739741.db2.gz HZJRMJWFLQPVTH-UHFFFAOYSA-N 1 2 252.317 3.791 20 0 CHADLO CCc1ccc([N@H+](CC[C@@H]2CCOC2=O)CC(C)C)cc1 ZINC000796477806 1129740053 /nfs/dbraw/zinc/74/00/53/1129740053.db2.gz MOZYDMPSDPSAGO-MRXNPFEDSA-N 1 2 289.419 3.665 20 0 CHADLO CCc1ccc([N@@H+](CC[C@@H]2CCOC2=O)CC(C)C)cc1 ZINC000796477806 1129740055 /nfs/dbraw/zinc/74/00/55/1129740055.db2.gz MOZYDMPSDPSAGO-MRXNPFEDSA-N 1 2 289.419 3.665 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NCc3ncccc3O)c2)cc1 ZINC001169734017 1129753428 /nfs/dbraw/zinc/75/34/28/1129753428.db2.gz TUOFMXUNROXRBE-UHFFFAOYSA-N 1 2 291.354 3.770 20 0 CHADLO C[C@H](COCC1CC1)[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000651982783 1129754245 /nfs/dbraw/zinc/75/42/45/1129754245.db2.gz IASUSASYYYVDPM-CJNGLKHVSA-N 1 2 297.389 3.658 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)CCc2ccc(F)cc2)c1 ZINC000652067965 1129756833 /nfs/dbraw/zinc/75/68/33/1129756833.db2.gz NBUXGOQUWLFHQM-CYBMUJFWSA-N 1 2 288.366 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)CCCC(F)(F)F)c1 ZINC000652068249 1129757247 /nfs/dbraw/zinc/75/72/47/1129757247.db2.gz WUVAYZFZHCFISN-SNVBAGLBSA-N 1 2 276.302 3.761 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCc3cc(OC)ccc32)c1 ZINC000652068011 1129757650 /nfs/dbraw/zinc/75/76/50/1129757650.db2.gz OXQFEDQYKSTCQF-QGZVFWFLSA-N 1 2 298.386 3.726 20 0 CHADLO c1cn(Cc2ccc(N[C@@H]3C[C@H]3c3ccccc3)cc2)c[nH+]1 ZINC001169812698 1129758622 /nfs/dbraw/zinc/75/86/22/1129758622.db2.gz NNOXTCCRCMFMBS-RBUKOAKNSA-N 1 2 289.382 3.899 20 0 CHADLO CC[C@H](Nc1cc(COC)cc[nH+]1)c1ccc(OC)cc1 ZINC000652331059 1129763245 /nfs/dbraw/zinc/76/32/45/1129763245.db2.gz WWOCEZMVRIWLBB-INIZCTEOSA-N 1 2 286.375 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cc(F)ccc2F)c1 ZINC000652330550 1129763694 /nfs/dbraw/zinc/76/36/94/1129763694.db2.gz JADVGQMIIPCDKW-SNVBAGLBSA-N 1 2 278.302 3.679 20 0 CHADLO C[C@]1(C2CCCCC2)CCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000828708880 1131396781 /nfs/dbraw/zinc/39/67/81/1131396781.db2.gz RPWJRPBJZMXRGO-GOSISDBHSA-N 1 2 297.402 3.748 20 0 CHADLO Fc1cccc(-c2nc(C[NH+]3CCC(F)(F)CC3)co2)c1 ZINC000796542607 1129777045 /nfs/dbraw/zinc/77/70/45/1129777045.db2.gz ZJFWHIYFTOUDMV-UHFFFAOYSA-N 1 2 296.292 3.712 20 0 CHADLO COc1cc(C)c[nH+]c1NC/C=C/c1ccccc1 ZINC001156468040 1129788254 /nfs/dbraw/zinc/78/82/54/1129788254.db2.gz ORVPSZBVQQFSLK-RMKNXTFCSA-N 1 2 254.333 3.524 20 0 CHADLO COc1ccc(Cl)cc1CNc1cc2cc[nH]c2c[nH+]1 ZINC000804353158 1129790259 /nfs/dbraw/zinc/79/02/59/1129790259.db2.gz HIRFRIMPIXIWLJ-UHFFFAOYSA-N 1 2 287.750 3.837 20 0 CHADLO CC(C)(C)OCCCC[NH+]1Cc2cc(F)c(F)cc2C1 ZINC000653831169 1129797511 /nfs/dbraw/zinc/79/75/11/1129797511.db2.gz XTMUOJFLWORABJ-UHFFFAOYSA-N 1 2 283.362 3.876 20 0 CHADLO C[C@H]1CC[C@]2(CC[N@H+](Cc3csc(Cl)n3)C2)C1 ZINC000828766835 1131398632 /nfs/dbraw/zinc/39/86/32/1131398632.db2.gz WEBCBZUOIJTQDI-GWCFXTLKSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@H]1CC[C@]2(CC[N@@H+](Cc3csc(Cl)n3)C2)C1 ZINC000828766835 1131398637 /nfs/dbraw/zinc/39/86/37/1131398637.db2.gz WEBCBZUOIJTQDI-GWCFXTLKSA-N 1 2 270.829 3.809 20 0 CHADLO CN(C)c1cc(CSCc2cccc(F)c2F)cc[nH+]1 ZINC000767870360 1129819202 /nfs/dbraw/zinc/81/92/02/1129819202.db2.gz UHXGHDANELEVEN-UHFFFAOYSA-N 1 2 294.370 3.859 20 0 CHADLO CC[C@H](C)CCCCC(=O)NCc1c[nH+]c(C)cc1C ZINC000654380177 1129826473 /nfs/dbraw/zinc/82/64/73/1129826473.db2.gz INXUAVPRPCRGRO-ZDUSSCGKSA-N 1 2 276.424 3.921 20 0 CHADLO COc1ccc(C[N@H+](Cc2coc(C3CC3)n2)C2CC2)cc1 ZINC000768202173 1129839459 /nfs/dbraw/zinc/83/94/59/1129839459.db2.gz YNHAUXOFNDFHSJ-UHFFFAOYSA-N 1 2 298.386 3.725 20 0 CHADLO COc1ccc(C[N@@H+](Cc2coc(C3CC3)n2)C2CC2)cc1 ZINC000768202173 1129839465 /nfs/dbraw/zinc/83/94/65/1129839465.db2.gz YNHAUXOFNDFHSJ-UHFFFAOYSA-N 1 2 298.386 3.725 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1ccccc1 ZINC000724393221 1129852255 /nfs/dbraw/zinc/85/22/55/1129852255.db2.gz APKRZALGKONGAL-GFCCVEGCSA-N 1 2 258.365 3.823 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](c3ccc(F)cc3)C2)c(C)o1 ZINC000768424164 1129856541 /nfs/dbraw/zinc/85/65/41/1129856541.db2.gz DBEBSRBMPQXTTG-QGZVFWFLSA-N 1 2 289.350 3.609 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](c3ccc(F)cc3)C2)c(C)o1 ZINC000768424164 1129856546 /nfs/dbraw/zinc/85/65/46/1129856546.db2.gz DBEBSRBMPQXTTG-QGZVFWFLSA-N 1 2 289.350 3.609 20 0 CHADLO CCc1ccc(-c2ccc(Cn3cc[nH+]c3)cn2)cc1 ZINC001239588295 1131402259 /nfs/dbraw/zinc/40/22/59/1131402259.db2.gz PNYHNLYISMKVCI-UHFFFAOYSA-N 1 2 263.344 3.556 20 0 CHADLO COCC[C@@H]1CCC[C@@H]1[NH2+]c1ccc2c(c1)CCCN2C ZINC000800489692 1129879762 /nfs/dbraw/zinc/87/97/62/1129879762.db2.gz VXDFKJXXFZZWSN-YOEHRIQHSA-N 1 2 288.435 3.686 20 0 CHADLO COCC[C@@H]1CCC[C@@H]1Nc1ccc2c(c1)CCC[N@H+]2C ZINC000800489692 1129879765 /nfs/dbraw/zinc/87/97/65/1129879765.db2.gz VXDFKJXXFZZWSN-YOEHRIQHSA-N 1 2 288.435 3.686 20 0 CHADLO COCC[C@@H]1CCC[C@@H]1Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000800489692 1129879768 /nfs/dbraw/zinc/87/97/68/1129879768.db2.gz VXDFKJXXFZZWSN-YOEHRIQHSA-N 1 2 288.435 3.686 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)CNc1cccc[nH+]1 ZINC000900429946 1129884612 /nfs/dbraw/zinc/88/46/12/1129884612.db2.gz OPQCJSZUUNMBFG-UHFFFAOYSA-N 1 2 297.402 3.721 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCCC[N@@H+]1Cc1ccoc1 ZINC000768993675 1129885726 /nfs/dbraw/zinc/88/57/26/1129885726.db2.gz ZUHOXGCJNQRGEO-KRWDZBQOSA-N 1 2 295.386 3.894 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCCC[N@H+]1Cc1ccoc1 ZINC000768993675 1129885731 /nfs/dbraw/zinc/88/57/31/1129885731.db2.gz ZUHOXGCJNQRGEO-KRWDZBQOSA-N 1 2 295.386 3.894 20 0 CHADLO C[C@H]1COCCN1c1ccc([NH2+][C@@H]2CCC[C@H]3C[C@H]32)cc1 ZINC000800981777 1129902490 /nfs/dbraw/zinc/90/24/90/1129902490.db2.gz JBRPOTPQSMARFA-LBTBCDHLSA-N 1 2 286.419 3.512 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)c(C)o1 ZINC000769489891 1129909622 /nfs/dbraw/zinc/90/96/22/1129909622.db2.gz TVYSSTVKIMRLAP-RDTXWAMCSA-N 1 2 285.387 3.858 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)OC[C@@H]2c2ccccc2)c(C)o1 ZINC000769489891 1129909623 /nfs/dbraw/zinc/90/96/23/1129909623.db2.gz TVYSSTVKIMRLAP-RDTXWAMCSA-N 1 2 285.387 3.858 20 0 CHADLO Cc1cc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)c(C)o1 ZINC000769489888 1129909647 /nfs/dbraw/zinc/90/96/47/1129909647.db2.gz TVYSSTVKIMRLAP-KBXCAEBGSA-N 1 2 285.387 3.858 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)c(C)o1 ZINC000769489888 1129909648 /nfs/dbraw/zinc/90/96/48/1129909648.db2.gz TVYSSTVKIMRLAP-KBXCAEBGSA-N 1 2 285.387 3.858 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000769537439 1129916154 /nfs/dbraw/zinc/91/61/54/1129916154.db2.gz KLHHUCKJTDRXCJ-INIZCTEOSA-N 1 2 285.391 3.618 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1coc(C2CC2)n1 ZINC000769537439 1129916157 /nfs/dbraw/zinc/91/61/57/1129916157.db2.gz KLHHUCKJTDRXCJ-INIZCTEOSA-N 1 2 285.391 3.618 20 0 CHADLO CC(C)n1c[nH+]cc1/C=C\c1ncc(Br)s1 ZINC000901501959 1129932217 /nfs/dbraw/zinc/93/22/17/1129932217.db2.gz ZKLXFMSRAWSOIH-ARJAWSKDSA-N 1 2 298.209 3.853 20 0 CHADLO CCn1c[nH+]cc1/C=C/c1nc(C)c(Br)s1 ZINC000901514381 1129932353 /nfs/dbraw/zinc/93/23/53/1129932353.db2.gz GMXWPVNKPNFCML-SNAWJCMRSA-N 1 2 298.209 3.601 20 0 CHADLO C[N@H+](C/C(Cl)=C/Cl)[C@H]1CCc2ccccc21 ZINC000746499145 1129933536 /nfs/dbraw/zinc/93/35/36/1129933536.db2.gz GAFNGPKYLVEKJO-ZWXCPPHNSA-N 1 2 256.176 3.925 20 0 CHADLO C[N@@H+](C/C(Cl)=C/Cl)[C@H]1CCc2ccccc21 ZINC000746499145 1129933538 /nfs/dbraw/zinc/93/35/38/1129933538.db2.gz GAFNGPKYLVEKJO-ZWXCPPHNSA-N 1 2 256.176 3.925 20 0 CHADLO CC(C)(C)c1cn(C[C@@H]2CCC[C@@H](C(F)(F)F)O2)c[nH+]1 ZINC000901588748 1129937901 /nfs/dbraw/zinc/93/79/01/1129937901.db2.gz VWNFNMGIEOEZTH-JQWIXIFHSA-N 1 2 290.329 3.681 20 0 CHADLO C[C@@H]1c2cc(F)cc(F)c2CC[N@H+]1Cc1ccoc1 ZINC000770327943 1129944930 /nfs/dbraw/zinc/94/49/30/1129944930.db2.gz VGCYSDURCMUAON-SNVBAGLBSA-N 1 2 263.287 3.677 20 0 CHADLO C[C@@H]1c2cc(F)cc(F)c2CC[N@@H+]1Cc1ccoc1 ZINC000770327943 1129944932 /nfs/dbraw/zinc/94/49/32/1129944932.db2.gz VGCYSDURCMUAON-SNVBAGLBSA-N 1 2 263.287 3.677 20 0 CHADLO Cc1cc(CNc2ccc(-n3cc[nH+]c3)cc2)cnc1Cl ZINC000770407991 1129946509 /nfs/dbraw/zinc/94/65/09/1129946509.db2.gz BWHAATCUEFUYFD-UHFFFAOYSA-N 1 2 298.777 3.841 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1nn(C)c2ccccc12 ZINC000770482309 1129949535 /nfs/dbraw/zinc/94/95/35/1129949535.db2.gz PUGSHYXFYATAFK-UHFFFAOYSA-N 1 2 294.402 3.560 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc([NH+](C)C)c(C)c2)C1(C)C ZINC000770522810 1129950504 /nfs/dbraw/zinc/95/05/04/1129950504.db2.gz IHIXVLSVXFKIAF-HOTGVXAUSA-N 1 2 276.424 3.677 20 0 CHADLO COC/C(C)=C/CSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000901783917 1129952880 /nfs/dbraw/zinc/95/28/80/1129952880.db2.gz PGLXBNBJTSNOEQ-VGOFMYFVSA-N 1 2 288.416 3.698 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2ncccn2)c(C)s1 ZINC000930196035 1129953124 /nfs/dbraw/zinc/95/31/24/1129953124.db2.gz VNELKOUARZHHOC-MNOVXSKESA-N 1 2 261.394 3.567 20 0 CHADLO C[C@@H]1CCCC[C@@H]1CC(=O)OCc1cccc2[nH+]ccn21 ZINC000770921263 1129963360 /nfs/dbraw/zinc/96/33/60/1129963360.db2.gz OFRFSDXSMJUMJO-ZIAGYGMSSA-N 1 2 286.375 3.594 20 0 CHADLO CC(C)(C)SCC[N@@H+]1CCCC[C@H]1C(F)F ZINC000801954807 1129963656 /nfs/dbraw/zinc/96/36/56/1129963656.db2.gz MKSDFJNCSIUKLC-JTQLQIEISA-N 1 2 251.386 3.638 20 0 CHADLO CC(C)(C)SCC[N@H+]1CCCC[C@H]1C(F)F ZINC000801954807 1129963658 /nfs/dbraw/zinc/96/36/58/1129963658.db2.gz MKSDFJNCSIUKLC-JTQLQIEISA-N 1 2 251.386 3.638 20 0 CHADLO O=C(/C=C/C1CCCCC1)OCc1cccc2[nH+]ccn21 ZINC000770933007 1129964292 /nfs/dbraw/zinc/96/42/92/1129964292.db2.gz ZYRCZJKTQMOXKK-MDZDMXLPSA-N 1 2 284.359 3.514 20 0 CHADLO Cc1cccc(OC(=O)c2ccc(-n3cc[nH+]c3)cc2)c1F ZINC000780079580 1129975009 /nfs/dbraw/zinc/97/50/09/1129975009.db2.gz GHSOXDLFULSOJG-UHFFFAOYSA-N 1 2 296.301 3.539 20 0 CHADLO CC[N@H+](Cc1c(C)nn(C)c1Cl)Cc1cccc(F)c1 ZINC001137750320 1131412462 /nfs/dbraw/zinc/41/24/62/1131412462.db2.gz SSLKTLHQAMUXKO-UHFFFAOYSA-N 1 2 295.789 3.543 20 0 CHADLO CC[N@@H+](Cc1c(C)nn(C)c1Cl)Cc1cccc(F)c1 ZINC001137750320 1131412464 /nfs/dbraw/zinc/41/24/64/1131412464.db2.gz SSLKTLHQAMUXKO-UHFFFAOYSA-N 1 2 295.789 3.543 20 0 CHADLO C[NH+](C)[C@@H](C(=O)OC/C=C\c1ccccc1)c1ccccc1 ZINC000780090059 1129997757 /nfs/dbraw/zinc/99/77/57/1129997757.db2.gz RGCNHQGFIYADMR-ZVBPTUHVSA-N 1 2 295.382 3.546 20 0 CHADLO CNc1ccccc1CNc1ccc(N2CCCCC2)[nH+]c1 ZINC000902400068 1130006730 /nfs/dbraw/zinc/00/67/30/1130006730.db2.gz XGXSCIGOWRFOLP-UHFFFAOYSA-N 1 2 296.418 3.726 20 0 CHADLO COc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)cc1OC ZINC001239617257 1130014651 /nfs/dbraw/zinc/01/46/51/1130014651.db2.gz IRQFYCNHBBGCPY-UHFFFAOYSA-N 1 2 294.354 3.771 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1csc(N)n1 ZINC000902488856 1130017474 /nfs/dbraw/zinc/01/74/74/1130017474.db2.gz PDKOUBPLQJHGHF-SNVBAGLBSA-N 1 2 287.388 3.631 20 0 CHADLO CC(=O)c1ccc(-c2cc[nH+]c(N3CCCCC3)c2)cc1 ZINC001239629985 1130022217 /nfs/dbraw/zinc/02/22/17/1130022217.db2.gz QEHOICCXCAUUQA-UHFFFAOYSA-N 1 2 280.371 3.942 20 0 CHADLO CC(=O)c1ccc(-c2ccc3[nH+]ccn3c2C)cc1 ZINC001239631888 1130024559 /nfs/dbraw/zinc/02/45/59/1130024559.db2.gz BJGJTFGFLODDKY-UHFFFAOYSA-N 1 2 250.301 3.512 20 0 CHADLO CNc1ccc(C)cc1C[NH2+][C@@H](C)c1c(F)cncc1F ZINC000902564975 1130025623 /nfs/dbraw/zinc/02/56/23/1130025623.db2.gz XTJDLHKAZCKQEP-NSHDSACASA-N 1 2 291.345 3.561 20 0 CHADLO CC(=O)c1cccc(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001239639247 1130029664 /nfs/dbraw/zinc/02/96/64/1130029664.db2.gz XUUJGCKZMXZWAO-UHFFFAOYSA-N 1 2 276.339 3.957 20 0 CHADLO C[C@H](CC(=O)OCCCc1[nH+]ccn1C)C1CCCCC1 ZINC000802789732 1130039929 /nfs/dbraw/zinc/03/99/29/1130039929.db2.gz GEZGKCWRIFZSOJ-CQSZACIVSA-N 1 2 292.423 3.502 20 0 CHADLO COc1cccc(OC)c1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001239674713 1130047198 /nfs/dbraw/zinc/04/71/98/1130047198.db2.gz KDRKXRQJNQEWCH-UHFFFAOYSA-N 1 2 294.354 3.771 20 0 CHADLO Fc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c(F)c1 ZINC001239679919 1130050627 /nfs/dbraw/zinc/05/06/27/1130050627.db2.gz FVQFRCFHYCAHAE-UHFFFAOYSA-N 1 2 274.245 3.957 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1cccc(Cl)c1F ZINC000786112210 1130079260 /nfs/dbraw/zinc/07/92/60/1130079260.db2.gz QRBDZFYUUFSSGS-UHFFFAOYSA-N 1 2 298.770 3.782 20 0 CHADLO CCCn1cc([C@@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)nn1 ZINC000902967840 1130092447 /nfs/dbraw/zinc/09/24/47/1130092447.db2.gz ZDWFZRQQYHWJFQ-CHWSQXEVSA-N 1 2 298.390 3.846 20 0 CHADLO Cc1cc(F)cc(C2=CCCC2)c1C[NH+]1CCOCC1 ZINC001239788801 1130108525 /nfs/dbraw/zinc/10/85/25/1130108525.db2.gz GGYAADSHDKPMQP-UHFFFAOYSA-N 1 2 275.367 3.534 20 0 CHADLO Cc1ccc(-c2cc(C[NH+]3CCOCC3)ccc2F)c(C)c1 ZINC001239810187 1130118069 /nfs/dbraw/zinc/11/80/69/1130118069.db2.gz GTGBHBHOOKQSRZ-UHFFFAOYSA-N 1 2 299.389 3.942 20 0 CHADLO CC(=O)OCc1cccc([C@H](C)[NH2+][C@H]2CCCC2(F)F)c1 ZINC000903171059 1130123456 /nfs/dbraw/zinc/12/34/56/1130123456.db2.gz MHSWVTMTQFCMHV-NHYWBVRUSA-N 1 2 297.345 3.588 20 0 CHADLO c1nc2c(s1)CCC[C@@H]2[NH2+]Cc1nccc2c1CCCC2 ZINC000903182078 1130124533 /nfs/dbraw/zinc/12/45/33/1130124533.db2.gz MVOIUOIVXOZBEA-AWEZNQCLSA-N 1 2 299.443 3.584 20 0 CHADLO Fc1cc(F)c(C[NH2+][C@H](c2ccccn2)C2CC2)c(F)c1 ZINC000903177918 1130125062 /nfs/dbraw/zinc/12/50/62/1130125062.db2.gz RPKDIPTWABZFPY-INIZCTEOSA-N 1 2 292.304 3.740 20 0 CHADLO CCCCCC[C@@H](C)NC(=O)C[N@H+](C)[C@@H](C)c1ccco1 ZINC000746654322 1130134665 /nfs/dbraw/zinc/13/46/65/1130134665.db2.gz ZAQZUOLZOUTDPI-CABCVRRESA-N 1 2 294.439 3.748 20 0 CHADLO CCCCCC[C@@H](C)NC(=O)C[N@@H+](C)[C@@H](C)c1ccco1 ZINC000746654322 1130134670 /nfs/dbraw/zinc/13/46/70/1130134670.db2.gz ZAQZUOLZOUTDPI-CABCVRRESA-N 1 2 294.439 3.748 20 0 CHADLO Cc1ccc(-c2ccc(Cn3cc[nH+]c3)cn2)c(Cl)c1 ZINC001239861951 1130137975 /nfs/dbraw/zinc/13/79/75/1130137975.db2.gz KUHICJLKQQIMOY-UHFFFAOYSA-N 1 2 283.762 3.955 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3ccc(C)cc3Cl)nn2)c1C ZINC001239862206 1130138216 /nfs/dbraw/zinc/13/82/16/1130138216.db2.gz SOKWBGFAUVFMEU-UHFFFAOYSA-N 1 2 298.777 3.908 20 0 CHADLO Cc1ccc(-c2ccn3cc(N)[nH+]c3c2)c(Cl)c1 ZINC001239862281 1130138947 /nfs/dbraw/zinc/13/89/47/1130138947.db2.gz CXZMWMKJLIXQRR-UHFFFAOYSA-N 1 2 257.724 3.545 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+][C@@H]1CCCc2ncccc21 ZINC000903265442 1130139301 /nfs/dbraw/zinc/13/93/01/1130139301.db2.gz DVUBQRSNYZYCBJ-KYJSFNMBSA-N 1 2 298.361 3.520 20 0 CHADLO COC(=O)[C@H]([NH3+])c1ccc(-c2ccccc2C(C)C)cc1 ZINC001239865652 1130140828 /nfs/dbraw/zinc/14/08/28/1130140828.db2.gz CYVRFRFROWQQCP-QGZVFWFLSA-N 1 2 283.371 3.650 20 0 CHADLO CCOc1ccc(F)c(-c2cc3[nH]ccc3c[nH+]2)c1 ZINC001242935376 1130145753 /nfs/dbraw/zinc/14/57/53/1130145753.db2.gz USFIUESSTKGKJF-UHFFFAOYSA-N 1 2 256.280 3.768 20 0 CHADLO Cc1cnc(C)c(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001239907168 1130151332 /nfs/dbraw/zinc/15/13/32/1130151332.db2.gz KUHRGVZNHZLFJT-UHFFFAOYSA-N 1 2 263.344 3.766 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+][C@H](c1ncccn1)C1CC1 ZINC000903330332 1130157885 /nfs/dbraw/zinc/15/78/85/1130157885.db2.gz HWKBDCMTQJBBQW-GUYCJALGSA-N 1 2 297.402 3.596 20 0 CHADLO COCc1ccccc1-c1ccccc1Cn1cc[nH+]c1 ZINC001239953495 1130169370 /nfs/dbraw/zinc/16/93/70/1130169370.db2.gz PTLKFXIMMIQLDW-UHFFFAOYSA-N 1 2 278.355 3.745 20 0 CHADLO CCCCNC(=O)c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001239986239 1130182730 /nfs/dbraw/zinc/18/27/30/1130182730.db2.gz ORYSYMVEHYJHHB-UHFFFAOYSA-N 1 2 293.370 3.531 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@H]2c2cccs2)cn1 ZINC000788468969 1130187946 /nfs/dbraw/zinc/18/79/46/1130187946.db2.gz CNSFUBQLDRGGIX-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@H]2c2cccs2)cn1 ZINC000788468969 1130187948 /nfs/dbraw/zinc/18/79/48/1130187948.db2.gz CNSFUBQLDRGGIX-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Cc1cn2c(cccc2-c2cnn(Cc3ccccc3)c2)[nH+]1 ZINC001240003680 1130188816 /nfs/dbraw/zinc/18/88/16/1130188816.db2.gz HCPFLSCMJQWYHD-UHFFFAOYSA-N 1 2 288.354 3.555 20 0 CHADLO Nc1ccc(-c2ccc(C(=O)Nc3ccccc3)cc2)c[nH+]1 ZINC001240047421 1130203807 /nfs/dbraw/zinc/20/38/07/1130203807.db2.gz NMMLOGFXDNMOQU-UHFFFAOYSA-N 1 2 289.338 3.583 20 0 CHADLO C[C@@H](CCO[NH+]=C(N)c1ccccc1)OCc1ccccc1 ZINC000788784444 1130211574 /nfs/dbraw/zinc/21/15/74/1130211574.db2.gz LVGNLGDTZLZCIE-HNNXBMFYSA-N 1 2 298.386 3.529 20 0 CHADLO Nc1[nH+]cccc1-c1ccc(C(=O)c2ccccc2)cc1 ZINC001240137100 1130222500 /nfs/dbraw/zinc/22/25/00/1130222500.db2.gz UXEDVYHNPLLVFP-UHFFFAOYSA-N 1 2 274.323 3.562 20 0 CHADLO Cn1c[nH+]cc1-c1ccc2c(c1)C(C)(C)CCS2 ZINC001243067656 1130225158 /nfs/dbraw/zinc/22/51/58/1130225158.db2.gz MSMYJKUNWBMDDJ-UHFFFAOYSA-N 1 2 258.390 3.861 20 0 CHADLO COc1cccc2nc(-c3ccc4[nH+]ccn4c3)ccc21 ZINC001240214956 1130233730 /nfs/dbraw/zinc/23/37/30/1130233730.db2.gz RYVOLXIZXFIFNS-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1cc2ccccc2nc1-c1ccc2[nH+]ccn2c1 ZINC001240214249 1130233825 /nfs/dbraw/zinc/23/38/25/1130233825.db2.gz MRYRSPBCRBJSKD-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO COc1nc(-c2ccc3[nH+]ccn3c2)cc2cc(F)ccc21 ZINC001240217867 1130235328 /nfs/dbraw/zinc/23/53/28/1130235328.db2.gz ZHJDWPURPGTIPO-UHFFFAOYSA-N 1 2 293.301 3.697 20 0 CHADLO Cc1cccc2ccnc(-c3ccc4[nH+]ccn4c3)c12 ZINC001240217397 1130236240 /nfs/dbraw/zinc/23/62/40/1130236240.db2.gz ORMXCRFYBXIJAD-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1ccc2c(c1)nccc2-c1ccc2[nH+]ccn2c1 ZINC001240218308 1130236366 /nfs/dbraw/zinc/23/63/66/1130236366.db2.gz KPDPMLWJMFBGSW-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CC1(C)COC(c2ccccc2-c2ccc3[nH+]ccn3c2)=N1 ZINC001240218966 1130236618 /nfs/dbraw/zinc/23/66/18/1130236618.db2.gz ROLLITJOMQUJOM-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO COC(=O)c1ccc(C(C)C)c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240222057 1130239240 /nfs/dbraw/zinc/23/92/40/1130239240.db2.gz WANOPRLZXRSWPE-UHFFFAOYSA-N 1 2 294.354 3.911 20 0 CHADLO Cc1cc(OCC(C)C)ncc1-c1ccc2[nH+]ccn2c1 ZINC001240221656 1130239495 /nfs/dbraw/zinc/23/94/95/1130239495.db2.gz OVKLIUZGWLVFNV-UHFFFAOYSA-N 1 2 281.359 3.740 20 0 CHADLO Cc1cc(-c2ccc(C(F)(F)F)nc2)cn2cc[nH+]c12 ZINC001240268915 1130253215 /nfs/dbraw/zinc/25/32/15/1130253215.db2.gz UDSWOCDDRCSFDJ-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO c1ccc(NCc2ccccc2-c2cccnc2)[nH+]c1 ZINC001170108094 1130256694 /nfs/dbraw/zinc/25/66/94/1130256694.db2.gz MTBFPXSPPCSVHP-UHFFFAOYSA-N 1 2 261.328 3.756 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2cccc3n[nH]cc32)c1 ZINC001240314093 1130263714 /nfs/dbraw/zinc/26/37/14/1130263714.db2.gz KBKWVZLKIGHLSU-UHFFFAOYSA-N 1 2 274.327 3.630 20 0 CHADLO Cc1c(C)c(C)c(C[N@@H+]2CCc3cn[nH]c3C2)c(C)c1C ZINC000676797061 1130271415 /nfs/dbraw/zinc/27/14/15/1130271415.db2.gz ORVUGVXVOSUDAJ-UHFFFAOYSA-N 1 2 283.419 3.510 20 0 CHADLO Cc1c(C)c(C)c(C[N@H+]2CCc3cn[nH]c3C2)c(C)c1C ZINC000676797061 1130271419 /nfs/dbraw/zinc/27/14/19/1130271419.db2.gz ORVUGVXVOSUDAJ-UHFFFAOYSA-N 1 2 283.419 3.510 20 0 CHADLO c1cn2ccc(-c3ccc4ncsc4c3)cc2[nH+]1 ZINC001240344984 1130272834 /nfs/dbraw/zinc/27/28/34/1130272834.db2.gz WAJUFBXGEUDUAU-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO CCc1noc(C[NH2+][C@@H](C)c2ccc(F)c3ccccc32)n1 ZINC000676841368 1130272953 /nfs/dbraw/zinc/27/29/53/1130272953.db2.gz KBLZGHMJZCLFAD-NSHDSACASA-N 1 2 299.349 3.775 20 0 CHADLO Fc1c(CNc2c[nH+]cc3c2CCCC3)ccnc1Cl ZINC000826815454 1130286627 /nfs/dbraw/zinc/28/66/27/1130286627.db2.gz LBHUIZBLAQQMDB-UHFFFAOYSA-N 1 2 291.757 3.760 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2cccc(C(C)(C)O)c2)cc1 ZINC001240409267 1130294684 /nfs/dbraw/zinc/29/46/84/1130294684.db2.gz JJGZUALOTYVIFQ-UHFFFAOYSA-N 1 2 292.382 3.982 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3c(O)cccc3C2)c1Cl ZINC001137287797 1130296765 /nfs/dbraw/zinc/29/67/65/1130296765.db2.gz XXKULSHVKMANRP-UHFFFAOYSA-N 1 2 293.819 3.974 20 0 CHADLO Cc1csc(C[N@H+]2CCc3c(O)cccc3C2)c1Cl ZINC001137287797 1130296769 /nfs/dbraw/zinc/29/67/69/1130296769.db2.gz XXKULSHVKMANRP-UHFFFAOYSA-N 1 2 293.819 3.974 20 0 CHADLO c1cn(C2CC2)c(SCc2ccc(-n3cc[nH+]c3)cc2)n1 ZINC000790263951 1130303836 /nfs/dbraw/zinc/30/38/36/1130303836.db2.gz RQIFXLGONQVAEU-UHFFFAOYSA-N 1 2 296.399 3.696 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3ncccc3C2)c1Cl ZINC001137291194 1130305969 /nfs/dbraw/zinc/30/59/69/1130305969.db2.gz IIRSRHATIDHRIN-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1csc(C[N@H+]2CCc3ncccc3C2)c1Cl ZINC001137291194 1130305976 /nfs/dbraw/zinc/30/59/76/1130305976.db2.gz IIRSRHATIDHRIN-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1ccc(Cl)c(Cl)c1)C2 ZINC000677474196 1130313049 /nfs/dbraw/zinc/31/30/49/1130313049.db2.gz GMHZDAJRCUCNCD-UHFFFAOYSA-N 1 2 274.166 3.927 20 0 CHADLO Fc1cccc(Cl)c1C[N@@H+]1CC[C@H](C(F)(F)F)C1 ZINC000677713170 1130321899 /nfs/dbraw/zinc/32/18/99/1130321899.db2.gz CKAYWZAFHAMUIU-QMMMGPOBSA-N 1 2 281.680 3.863 20 0 CHADLO Fc1cccc(Cl)c1C[N@H+]1CC[C@H](C(F)(F)F)C1 ZINC000677713170 1130321905 /nfs/dbraw/zinc/32/19/05/1130321905.db2.gz CKAYWZAFHAMUIU-QMMMGPOBSA-N 1 2 281.680 3.863 20 0 CHADLO COc1ccc2nc(-c3ccn4cc[nH+]c4c3)c(C)cc2c1 ZINC001240496262 1130328690 /nfs/dbraw/zinc/32/86/90/1130328690.db2.gz KICCCJPXMYPWCA-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO COc1ccc2c(C)cc(-c3ccn4cc[nH+]c4c3)nc2c1 ZINC001240497518 1130329220 /nfs/dbraw/zinc/32/92/20/1130329220.db2.gz RBDPYTCWEKRREB-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO Cc1cccc2ccc(-c3ccn4cc[nH+]c4c3)nc12 ZINC001240497045 1130329618 /nfs/dbraw/zinc/32/96/18/1130329618.db2.gz SANLREZGYWIVGY-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO c1cn2ccc(-c3ccc4sccc4n3)cc2[nH+]1 ZINC001240496733 1130330029 /nfs/dbraw/zinc/33/00/29/1130330029.db2.gz RQTNJTSGNNQHET-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+][C@@H](C)c2csc(C)n2)s1 ZINC000677755575 1130330356 /nfs/dbraw/zinc/33/03/56/1130330356.db2.gz HJYYCWOPYVNKNE-JGVFFNPUSA-N 1 2 267.423 3.628 20 0 CHADLO Cc1ccc(-c2ccc(-c3ccn4cc[nH+]c4c3)nn2)s1 ZINC001240503956 1130333220 /nfs/dbraw/zinc/33/32/20/1130333220.db2.gz IYDMVTDJKUDUPU-UHFFFAOYSA-N 1 2 292.367 3.828 20 0 CHADLO Cc1nc(-c2ccn3cc[nH+]c3c2)nc(C)c1CC(C)C ZINC001240503205 1130333325 /nfs/dbraw/zinc/33/33/25/1130333325.db2.gz KBSYNCLGDHFTGK-UHFFFAOYSA-N 1 2 280.375 3.607 20 0 CHADLO Fc1cc(OC(F)F)ccc1-c1ccn2cc[nH+]c2c1 ZINC001240508807 1130334415 /nfs/dbraw/zinc/33/44/15/1130334415.db2.gz KFKRRWKMQKPYQC-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO c1cn2ccc(-c3cccc4scnc43)cc2[nH+]1 ZINC001240509412 1130334738 /nfs/dbraw/zinc/33/47/38/1130334738.db2.gz YUTPQAABMZEHGR-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Fc1ccc(-c2ccn3cc[nH+]c3c2)c2cccnc12 ZINC001240510475 1130335799 /nfs/dbraw/zinc/33/57/99/1130335799.db2.gz LQEOAJHHBQKJFA-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO FC(F)(F)COc1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240510942 1130335948 /nfs/dbraw/zinc/33/59/48/1130335948.db2.gz WGVVUCXEZHRIGK-UHFFFAOYSA-N 1 2 292.260 3.942 20 0 CHADLO c1cn2ccc(-c3cccc4ncsc43)cc2[nH+]1 ZINC001240510259 1130336470 /nfs/dbraw/zinc/33/64/70/1130336470.db2.gz FWNXJKYZBXUQRZ-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO c1cn2ccc(-c3ccccc3OC3CC3)cc2[nH+]1 ZINC001240511572 1130336500 /nfs/dbraw/zinc/33/65/00/1130336500.db2.gz HYWHGYHRVADOPA-UHFFFAOYSA-N 1 2 250.301 3.543 20 0 CHADLO CC1(C)COC(c2cccc(-c3ccn4cc[nH+]c4c3)c2)=N1 ZINC001240513341 1130337333 /nfs/dbraw/zinc/33/73/33/1130337333.db2.gz MUQMGFGBYKNZLI-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO CCOc1c(F)c(F)ccc1-c1ccn2cc[nH+]c2c1 ZINC001240512928 1130337460 /nfs/dbraw/zinc/33/74/60/1130337460.db2.gz CSABCVHUPLSZGX-UHFFFAOYSA-N 1 2 274.270 3.678 20 0 CHADLO CC(C)Oc1cccc(-c2ccn3cc[nH+]c3c2)c1F ZINC001240513119 1130337628 /nfs/dbraw/zinc/33/76/28/1130337628.db2.gz HPPBZYNHCVCOKT-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO CC1(C)Cc2ccc(-c3ccn4cc[nH+]c4c3)cc2NC1=O ZINC001240519038 1130340673 /nfs/dbraw/zinc/34/06/73/1130340673.db2.gz YIZJTXXNIJWCTD-UHFFFAOYSA-N 1 2 291.354 3.522 20 0 CHADLO CCOc1ccc(C)c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240518236 1130341171 /nfs/dbraw/zinc/34/11/71/1130341171.db2.gz MHZGMUCGUBLFAZ-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO c1csc([C@H]2CCC[N@H+]2Cc2cc3ccccc3[nH]2)n1 ZINC001137319580 1130345116 /nfs/dbraw/zinc/34/51/16/1130345116.db2.gz FCTINMHMFGGEED-OAHLLOKOSA-N 1 2 283.400 3.962 20 0 CHADLO c1csc([C@H]2CCC[N@@H+]2Cc2cc3ccccc3[nH]2)n1 ZINC001137319580 1130345122 /nfs/dbraw/zinc/34/51/22/1130345122.db2.gz FCTINMHMFGGEED-OAHLLOKOSA-N 1 2 283.400 3.962 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2ccc3c[nH]nc3c2)cc1 ZINC001240557843 1130356518 /nfs/dbraw/zinc/35/65/18/1130356518.db2.gz FTQNGNHXRRVLAG-UHFFFAOYSA-N 1 2 274.327 3.630 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1nn(C)c2ccccc12 ZINC000678135344 1130357930 /nfs/dbraw/zinc/35/79/30/1130357930.db2.gz MINOWAIAGNYCCH-ZDUSSCGKSA-N 1 2 297.377 3.905 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1nn(C)c2ccccc12 ZINC000678135344 1130357935 /nfs/dbraw/zinc/35/79/35/1130357935.db2.gz MINOWAIAGNYCCH-ZDUSSCGKSA-N 1 2 297.377 3.905 20 0 CHADLO C[N@H+](Cc1nccn1C(F)F)Cc1ccccc1C1CC1 ZINC000678142776 1130358739 /nfs/dbraw/zinc/35/87/39/1130358739.db2.gz MZKUUNGKEVQJEY-UHFFFAOYSA-N 1 2 291.345 3.788 20 0 CHADLO C[N@@H+](Cc1nccn1C(F)F)Cc1ccccc1C1CC1 ZINC000678142776 1130358743 /nfs/dbraw/zinc/35/87/43/1130358743.db2.gz MZKUUNGKEVQJEY-UHFFFAOYSA-N 1 2 291.345 3.788 20 0 CHADLO CC1=C(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2C)CCC1 ZINC000791418599 1130371634 /nfs/dbraw/zinc/37/16/34/1130371634.db2.gz XHROQWFYOVBJMH-UHFFFAOYSA-N 1 2 296.370 3.724 20 0 CHADLO Cc1cccn2c(/C=C/c3nc(Cl)ncc3C)c[nH+]c12 ZINC000791432261 1130372991 /nfs/dbraw/zinc/37/29/91/1130372991.db2.gz MUDMGWFRPYFVMI-AATRIKPKSA-N 1 2 284.750 3.565 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)[C@H]1C[C@H]1C(C)C ZINC000791444981 1130373755 /nfs/dbraw/zinc/37/37/55/1130373755.db2.gz KTXHEQKXBLLLKP-IRXDYDNUSA-N 1 2 298.386 3.516 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cc2ccccc2nc1OC ZINC000678233605 1130374787 /nfs/dbraw/zinc/37/47/87/1130374787.db2.gz LBFLSOLLQGUCAT-UHFFFAOYSA-N 1 2 296.370 3.859 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cc2ccccc2nc1OC ZINC000678233605 1130374793 /nfs/dbraw/zinc/37/47/93/1130374793.db2.gz LBFLSOLLQGUCAT-UHFFFAOYSA-N 1 2 296.370 3.859 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1cnc(Cl)s1 ZINC000791585869 1130386636 /nfs/dbraw/zinc/38/66/36/1130386636.db2.gz UXCMFMVVUGWSKV-POYBYMJQSA-N 1 2 284.734 3.569 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1cnc(Cl)s1 ZINC000791585869 1130386641 /nfs/dbraw/zinc/38/66/41/1130386641.db2.gz UXCMFMVVUGWSKV-POYBYMJQSA-N 1 2 284.734 3.569 20 0 CHADLO COCc1ccc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)o1 ZINC000678283730 1130390053 /nfs/dbraw/zinc/39/00/53/1130390053.db2.gz QKMOGVBOCOVCMH-MRXNPFEDSA-N 1 2 275.323 3.512 20 0 CHADLO COCc1ccc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)o1 ZINC000678283730 1130390061 /nfs/dbraw/zinc/39/00/61/1130390061.db2.gz QKMOGVBOCOVCMH-MRXNPFEDSA-N 1 2 275.323 3.512 20 0 CHADLO Fc1cccc([C@H]2CC[N@@H+]2Cc2[nH]nc3ccccc32)c1 ZINC000678283551 1130392145 /nfs/dbraw/zinc/39/21/45/1130392145.db2.gz NWDJRNYSLNMADN-QGZVFWFLSA-N 1 2 281.334 3.649 20 0 CHADLO Fc1cccc([C@H]2CC[N@H+]2Cc2[nH]nc3ccccc32)c1 ZINC000678283551 1130392150 /nfs/dbraw/zinc/39/21/50/1130392150.db2.gz NWDJRNYSLNMADN-QGZVFWFLSA-N 1 2 281.334 3.649 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cnn([C@H]3CCOC3)c2)c1 ZINC001240645140 1130399268 /nfs/dbraw/zinc/39/92/68/1130399268.db2.gz GRVZQHTUBJJCHH-KRWDZBQOSA-N 1 2 299.418 3.666 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cccc(C(F)F)c1 ZINC001240690077 1130419106 /nfs/dbraw/zinc/41/91/06/1130419106.db2.gz GBWJPWVDBGWPIK-UHFFFAOYSA-N 1 2 274.270 3.948 20 0 CHADLO CC[C@H](C)c1ccc(C(=O)OCc2cc[nH+]c(N)c2)cc1 ZINC000791993850 1130423796 /nfs/dbraw/zinc/42/37/96/1130423796.db2.gz DOMFKLHKEMHUSR-LBPRGKRZSA-N 1 2 284.359 3.534 20 0 CHADLO COc1c(F)ccc(F)c1-c1ccc2[nH+]ccn2c1C ZINC001240726331 1130441450 /nfs/dbraw/zinc/44/14/50/1130441450.db2.gz MFTDZLNOXZUVIQ-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO CCc1noc(C[NH2+][C@@H](CC(C)C)c2ccccc2C)n1 ZINC000678773263 1130453272 /nfs/dbraw/zinc/45/32/72/1130453272.db2.gz MHOZKQXBEXDUJF-HNNXBMFYSA-N 1 2 287.407 3.817 20 0 CHADLO CC[C@@]([NH2+]C[C@@H](C)CC(C)C)(C(=O)OC)c1ccccc1 ZINC001170195253 1130461616 /nfs/dbraw/zinc/46/16/16/1130461616.db2.gz SGXXZOBGPYBVNL-YJBOKZPZSA-N 1 2 291.435 3.737 20 0 CHADLO Nc1cn2ccc(-c3ccc(C(F)F)cc3)cc2[nH+]1 ZINC001240771359 1130463351 /nfs/dbraw/zinc/46/33/51/1130463351.db2.gz LPLASBFEAWCHIZ-UHFFFAOYSA-N 1 2 259.259 3.521 20 0 CHADLO Clc1ccc([C@@H]([NH2+]Cc2csnn2)C2CCC2)cc1 ZINC000678932341 1130474312 /nfs/dbraw/zinc/47/43/12/1130474312.db2.gz UMWUCXDGEAYSPP-AWEZNQCLSA-N 1 2 293.823 3.823 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+]([C@@H](C)Cc1ccccc1Cl)C2 ZINC001170294915 1130474646 /nfs/dbraw/zinc/47/46/46/1130474646.db2.gz TWVQDRKJCSXJSR-NSHDSACASA-N 1 2 290.794 3.626 20 0 CHADLO Cc1nc2c(o1)CC[N@H+]([C@@H](C)Cc1ccccc1Cl)C2 ZINC001170294915 1130474652 /nfs/dbraw/zinc/47/46/52/1130474652.db2.gz TWVQDRKJCSXJSR-NSHDSACASA-N 1 2 290.794 3.626 20 0 CHADLO c1ccc2c(c1)CC[N@@H+](Cc1nc3c(s1)CCCC3)C2 ZINC000678940627 1130476221 /nfs/dbraw/zinc/47/62/21/1130476221.db2.gz HGUXWVFDGYPZQM-UHFFFAOYSA-N 1 2 284.428 3.580 20 0 CHADLO c1ccc2c(c1)CC[N@H+](Cc1nc3c(s1)CCCC3)C2 ZINC000678940627 1130476222 /nfs/dbraw/zinc/47/62/22/1130476222.db2.gz HGUXWVFDGYPZQM-UHFFFAOYSA-N 1 2 284.428 3.580 20 0 CHADLO COc1ccc[nH+]c1NCc1cc2ccccc2s1 ZINC000678941175 1130476269 /nfs/dbraw/zinc/47/62/69/1130476269.db2.gz LSRMRQFBJTYTRJ-UHFFFAOYSA-N 1 2 270.357 3.917 20 0 CHADLO Cn1ccc2cc(-c3cc[nH+]c(N4CCCCC4)c3)cnc21 ZINC001240836074 1130489528 /nfs/dbraw/zinc/48/95/28/1130489528.db2.gz VDTCIWARZIDLSS-UHFFFAOYSA-N 1 2 292.386 3.626 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+][C@H](C)c1nc2ccccc2o1 ZINC000679184298 1130501145 /nfs/dbraw/zinc/50/11/45/1130501145.db2.gz CDJCOBRFWDZTOX-MWLCHTKSSA-N 1 2 285.347 3.844 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H]1F ZINC000679220644 1130503961 /nfs/dbraw/zinc/50/39/61/1130503961.db2.gz IMVYRSYLFMRLBP-GWCFXTLKSA-N 1 2 275.289 3.885 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H]1F ZINC000679220644 1130503963 /nfs/dbraw/zinc/50/39/63/1130503963.db2.gz IMVYRSYLFMRLBP-GWCFXTLKSA-N 1 2 275.289 3.885 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679227825 1130505131 /nfs/dbraw/zinc/50/51/31/1130505131.db2.gz TWJMLNHUDFPUQO-GPCCPHFNSA-N 1 2 257.299 3.706 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC000679227825 1130505133 /nfs/dbraw/zinc/50/51/33/1130505133.db2.gz TWJMLNHUDFPUQO-GPCCPHFNSA-N 1 2 257.299 3.706 20 0 CHADLO Cc1cn2c(cccc2-c2cc(Cl)ccc2N)[nH+]1 ZINC001243483214 1130508604 /nfs/dbraw/zinc/50/86/04/1130508604.db2.gz ORIVUQMMARCYEW-UHFFFAOYSA-N 1 2 257.724 3.545 20 0 CHADLO CN(C)c1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001240914551 1130513917 /nfs/dbraw/zinc/51/39/17/1130513917.db2.gz KYGCQKHEQOYPOD-UHFFFAOYSA-N 1 2 277.371 3.664 20 0 CHADLO c1sc(CCc2ccccc2)nc1C[N@H+]1CC=CCC1 ZINC000679324331 1130515028 /nfs/dbraw/zinc/51/50/28/1130515028.db2.gz XXNSTSUPTZSRCX-UHFFFAOYSA-N 1 2 284.428 3.690 20 0 CHADLO c1sc(CCc2ccccc2)nc1C[N@@H+]1CC=CCC1 ZINC000679324331 1130515031 /nfs/dbraw/zinc/51/50/31/1130515031.db2.gz XXNSTSUPTZSRCX-UHFFFAOYSA-N 1 2 284.428 3.690 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]Cc1cc(C)cc2c(C)c(C)[nH]c21 ZINC000679339308 1130517180 /nfs/dbraw/zinc/51/71/80/1130517180.db2.gz GEIUEGIHIAHAAV-CYBMUJFWSA-N 1 2 296.418 3.975 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1cc(F)ccc1C ZINC001240920243 1130517255 /nfs/dbraw/zinc/51/72/55/1130517255.db2.gz LUIBMQNCKAGJLA-UHFFFAOYSA-N 1 2 256.280 3.686 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](c1ccccc1C)C1CC1 ZINC000679342261 1130517494 /nfs/dbraw/zinc/51/74/94/1130517494.db2.gz NLFRLMUKKWGPAN-YVEFUNNKSA-N 1 2 269.392 3.828 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(C(C)(C)C)s2)C[C@H]1F ZINC000679358671 1130520084 /nfs/dbraw/zinc/52/00/84/1130520084.db2.gz JBMRUGBUVMRRQK-CMPLNLGQSA-N 1 2 270.417 3.621 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(C(C)(C)C)s2)C[C@H]1F ZINC000679358671 1130520088 /nfs/dbraw/zinc/52/00/88/1130520088.db2.gz JBMRUGBUVMRRQK-CMPLNLGQSA-N 1 2 270.417 3.621 20 0 CHADLO Fc1ccc(C[N@H+]2CC=CCC2)cc1C(F)(F)F ZINC000679358089 1130520190 /nfs/dbraw/zinc/52/01/90/1130520190.db2.gz BJDVMVOKRUUUEN-UHFFFAOYSA-N 1 2 259.246 3.606 20 0 CHADLO Fc1ccc(C[N@@H+]2CC=CCC2)cc1C(F)(F)F ZINC000679358089 1130520194 /nfs/dbraw/zinc/52/01/94/1130520194.db2.gz BJDVMVOKRUUUEN-UHFFFAOYSA-N 1 2 259.246 3.606 20 0 CHADLO COc1cccc(C[N@@H+]2CC[C@@H](C)[C@@H](F)C2)c1OC(C)C ZINC000679359953 1130522327 /nfs/dbraw/zinc/52/23/27/1130522327.db2.gz SXPJEKQWZYNHCS-HIFRSBDPSA-N 1 2 295.398 3.662 20 0 CHADLO COc1cccc(C[N@H+]2CC[C@@H](C)[C@@H](F)C2)c1OC(C)C ZINC000679359953 1130522331 /nfs/dbraw/zinc/52/23/31/1130522331.db2.gz SXPJEKQWZYNHCS-HIFRSBDPSA-N 1 2 295.398 3.662 20 0 CHADLO Cc1ccc(-c2ccc(COC(C)(C)C)cc2)[nH+]c1N ZINC001240941173 1130527260 /nfs/dbraw/zinc/52/72/60/1130527260.db2.gz GGANSCADDWHQQY-UHFFFAOYSA-N 1 2 270.376 3.954 20 0 CHADLO CCCCC[C@@](C)(CC)C(=O)OCCc1c[nH+]cn1C ZINC000793240533 1130535157 /nfs/dbraw/zinc/53/51/57/1130535157.db2.gz GBQGVUDUPGCEQQ-MRXNPFEDSA-N 1 2 280.412 3.502 20 0 CHADLO Cc1ccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)cc1N ZINC001240965210 1130540995 /nfs/dbraw/zinc/54/09/95/1130540995.db2.gz HEAAJEFGDOCUSD-UHFFFAOYSA-N 1 2 276.330 3.584 20 0 CHADLO O=c1ccoc2ccc(-c3ccc(-n4cc[nH+]c4)cc3)cc12 ZINC001240968052 1130542933 /nfs/dbraw/zinc/54/29/33/1130542933.db2.gz KTZUOAQWVJXTOB-UHFFFAOYSA-N 1 2 288.306 3.646 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1ccc(C)c(Br)c1 ZINC000700162287 1130545357 /nfs/dbraw/zinc/54/53/57/1130545357.db2.gz YZHGPPAZMPKTPD-UHFFFAOYSA-N 1 2 292.167 3.892 20 0 CHADLO Cc1cc(-c2ccc(F)c(F)c2F)c2[nH+]ccn2c1 ZINC001240990785 1130555153 /nfs/dbraw/zinc/55/51/53/1130555153.db2.gz KTCPWDNTSRUAEB-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO Fc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)c(F)c1F ZINC001240990107 1130555382 /nfs/dbraw/zinc/55/53/82/1130555382.db2.gz YSHJBZAOVWXWFQ-UHFFFAOYSA-N 1 2 274.245 3.957 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](c2ccco2)c2ccccc2)n(C)n1 ZINC000793515642 1130560895 /nfs/dbraw/zinc/56/08/95/1130560895.db2.gz IHUTVYWVJJRIPF-KBXCAEBGSA-N 1 2 295.386 3.762 20 0 CHADLO CCCc1nc(C[NH2+][C@H](C)c2cnccc2C)cs1 ZINC000679944120 1130564147 /nfs/dbraw/zinc/56/41/47/1130564147.db2.gz JUXIBGJZLKIXAK-GFCCVEGCSA-N 1 2 275.421 3.650 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1ncc(-c2ccccc2)o1 ZINC000679942716 1130564296 /nfs/dbraw/zinc/56/42/96/1130564296.db2.gz OBJRWZCKOQEZSX-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO COc1c(C)cc(-c2ccc3[nH+]c(C)cn3c2)cc1C ZINC001241016132 1130568517 /nfs/dbraw/zinc/56/85/17/1130568517.db2.gz AKKMMFOKYSKSQQ-UHFFFAOYSA-N 1 2 266.344 3.935 20 0 CHADLO Cc1cnc(=S)n(C[N@@H+]2CC(C)(C)[C@@H]2c2ccccc2)c1 ZINC000758306120 1130605065 /nfs/dbraw/zinc/60/50/65/1130605065.db2.gz NPDBGKXLSGJQOM-HNNXBMFYSA-N 1 2 299.443 3.962 20 0 CHADLO Cc1cnc(=S)n(C[N@H+]2CC(C)(C)[C@@H]2c2ccccc2)c1 ZINC000758306120 1130605070 /nfs/dbraw/zinc/60/50/70/1130605070.db2.gz NPDBGKXLSGJQOM-HNNXBMFYSA-N 1 2 299.443 3.962 20 0 CHADLO CCCCCC[C@@](C)(CCC)C(=O)NCc1c[nH+]cn1C ZINC000811588910 1130622378 /nfs/dbraw/zinc/62/23/78/1130622378.db2.gz CVCBTLXPPNSZBK-QGZVFWFLSA-N 1 2 293.455 3.813 20 0 CHADLO C[NH+]1CC(Oc2ccc(Cl)cc2-c2ccccc2)C1 ZINC001234326326 1130636211 /nfs/dbraw/zinc/63/62/11/1130636211.db2.gz DHEQPHGMROWSMC-UHFFFAOYSA-N 1 2 273.763 3.700 20 0 CHADLO CC(C)c1ccc(N2Cc3cnc(Cl)cc3C2)[nH+]c1 ZINC001158755752 1130641073 /nfs/dbraw/zinc/64/10/73/1130641073.db2.gz LXAPZZBGHVDTQE-UHFFFAOYSA-N 1 2 273.767 3.774 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CC=C(C(C)(C)C)CC2)o1 ZINC000759264848 1130647533 /nfs/dbraw/zinc/64/75/33/1130647533.db2.gz YZPKWPPNXSKFTA-UHFFFAOYSA-N 1 2 262.397 3.976 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CC=C(C(C)(C)C)CC2)o1 ZINC000759264848 1130647536 /nfs/dbraw/zinc/64/75/36/1130647536.db2.gz YZPKWPPNXSKFTA-UHFFFAOYSA-N 1 2 262.397 3.976 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H]2CCCC(=O)C2(C)C)cc1 ZINC001234587956 1130658984 /nfs/dbraw/zinc/65/89/84/1130658984.db2.gz GKEUGJLLBOLAIK-HNNXBMFYSA-N 1 2 289.375 3.575 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2CCCc3cn(C(C)C)nc32)o1 ZINC000780407978 1130679614 /nfs/dbraw/zinc/67/96/14/1130679614.db2.gz FTQOAMMPLOAVNZ-OAHLLOKOSA-N 1 2 273.380 3.533 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)Cc1ncc(Cl)cn1 ZINC001235413136 1130740364 /nfs/dbraw/zinc/74/03/64/1130740364.db2.gz BQSWYKSYOMRAJS-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)Cc1ncc(Cl)cn1 ZINC001235413136 1130740366 /nfs/dbraw/zinc/74/03/66/1130740366.db2.gz BQSWYKSYOMRAJS-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)CCCCCC(C)C ZINC001133682443 1130756296 /nfs/dbraw/zinc/75/62/96/1130756296.db2.gz YMGXUNARFSDIIC-UHFFFAOYSA-N 1 2 262.397 3.665 20 0 CHADLO CCOc1ccccc1N[C@@H](C)c1cn2c([nH+]1)CCCC2 ZINC000813329744 1130760190 /nfs/dbraw/zinc/76/01/90/1130760190.db2.gz WPLBWEFBDCAVJP-ZDUSSCGKSA-N 1 2 285.391 3.791 20 0 CHADLO CC(C)(C)OC(=O)n1cccc1C[N@@H+]1CCCC[C@H](F)C1 ZINC001235625261 1130761284 /nfs/dbraw/zinc/76/12/84/1130761284.db2.gz LULXVGYPDFAIDN-ZDUSSCGKSA-N 1 2 296.386 3.595 20 0 CHADLO CC(C)(C)OC(=O)n1cccc1C[N@H+]1CCCC[C@H](F)C1 ZINC001235625261 1130761288 /nfs/dbraw/zinc/76/12/88/1130761288.db2.gz LULXVGYPDFAIDN-ZDUSSCGKSA-N 1 2 296.386 3.595 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CC[C@H](c4ccccc4)C3)[nH]c2c1 ZINC001235683726 1130767951 /nfs/dbraw/zinc/76/79/51/1130767951.db2.gz PDHOHGWPFSWDHP-AWEZNQCLSA-N 1 2 295.361 3.692 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CC[C@H](c4ccccc4)C3)[nH]c2c1 ZINC001235683726 1130767955 /nfs/dbraw/zinc/76/79/55/1130767955.db2.gz PDHOHGWPFSWDHP-AWEZNQCLSA-N 1 2 295.361 3.692 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCC4(C3)CCCCC4)[nH]c2c1 ZINC001235694460 1130769226 /nfs/dbraw/zinc/76/92/26/1130769226.db2.gz MTEKANXHLXCPLQ-UHFFFAOYSA-N 1 2 287.382 3.858 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCC4(C3)CCCCC4)[nH]c2c1 ZINC001235694460 1130769228 /nfs/dbraw/zinc/76/92/28/1130769228.db2.gz MTEKANXHLXCPLQ-UHFFFAOYSA-N 1 2 287.382 3.858 20 0 CHADLO COC[C@@H]1CCC[N@@H+]1Cc1c(F)cc(Cl)cc1Cl ZINC001235740415 1130774851 /nfs/dbraw/zinc/77/48/51/1130774851.db2.gz OKCOPERDWINPRT-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@@H]1CCC[N@H+]1Cc1c(F)cc(Cl)cc1Cl ZINC001235740415 1130774855 /nfs/dbraw/zinc/77/48/55/1130774855.db2.gz OKCOPERDWINPRT-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO Cc1cc(Br)cc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c1F ZINC001235733183 1130775371 /nfs/dbraw/zinc/77/53/71/1130775371.db2.gz SKOYOTRVRKIPBE-MFKMUULPSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1cc(Br)cc(C[N@H+]2CCC[C@@H]3C[C@@H]32)c1F ZINC001235733183 1130775376 /nfs/dbraw/zinc/77/53/76/1130775376.db2.gz SKOYOTRVRKIPBE-MFKMUULPSA-N 1 2 298.199 3.881 20 0 CHADLO COc1nccc(C[NH+]2CC3(C2)CCCCC3)c1Cl ZINC001235835739 1130785603 /nfs/dbraw/zinc/78/56/03/1130785603.db2.gz CVBIIQGCNZVPJX-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]c2ccc(N3CCSCC3)cc2)[C@@H]1C ZINC000813865597 1130791162 /nfs/dbraw/zinc/79/11/62/1130791162.db2.gz BRFQTLOZFCAMTJ-XJKCOSOUSA-N 1 2 276.449 3.696 20 0 CHADLO O=C(CCNc1[nH+]ccc2ccccc21)c1ccccc1 ZINC001159022021 1130791547 /nfs/dbraw/zinc/79/15/47/1130791547.db2.gz RHPGFUDJIKDBKG-UHFFFAOYSA-N 1 2 276.339 3.920 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]c2ccc(N3CCSCC3)cc2)[C@H]1C ZINC000813865596 1130791709 /nfs/dbraw/zinc/79/17/09/1130791709.db2.gz BRFQTLOZFCAMTJ-WWGRRREGSA-N 1 2 276.449 3.696 20 0 CHADLO CCc1cnccc1[C@H](C)[NH2+]Cc1ncc(C(C)C)o1 ZINC000783017241 1130794471 /nfs/dbraw/zinc/79/44/71/1130794471.db2.gz RGFRJEZCTOPZFX-LBPRGKRZSA-N 1 2 273.380 3.606 20 0 CHADLO FC(F)(F)Oc1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001235929713 1130808504 /nfs/dbraw/zinc/80/85/04/1130808504.db2.gz GHVWHDVMGPGQIP-UHFFFAOYSA-N 1 2 278.233 3.900 20 0 CHADLO Nc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)c(F)c1)C2 ZINC001236104614 1130864584 /nfs/dbraw/zinc/86/45/84/1130864584.db2.gz CWLXIHSMPCZBGL-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)c(F)c1)C2 ZINC001236104614 1130864591 /nfs/dbraw/zinc/86/45/91/1130864591.db2.gz CWLXIHSMPCZBGL-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO CSCCCCCCNc1cc(C)[nH+]c(C2CC2)n1 ZINC000784174651 1130886989 /nfs/dbraw/zinc/88/69/89/1130886989.db2.gz NHVONHGAGGNYRY-UHFFFAOYSA-N 1 2 279.453 3.998 20 0 CHADLO CCCn1c2ccccc2[nH+]c1NCc1ccc(F)nc1C ZINC000784285033 1130895638 /nfs/dbraw/zinc/89/56/38/1130895638.db2.gz XZFCDWFZFIIEPA-UHFFFAOYSA-N 1 2 298.365 3.901 20 0 CHADLO Cc1ccc(C2=CC[C@@H](C(F)(F)F)CC2)[nH+]c1N ZINC001236250410 1130906076 /nfs/dbraw/zinc/90/60/76/1130906076.db2.gz PWXWYJOLFZMBQA-SNVBAGLBSA-N 1 2 256.271 3.718 20 0 CHADLO Nc1ccc(-c2ccccc2Cc2ccccc2)c[nH+]1 ZINC001236313496 1130912580 /nfs/dbraw/zinc/91/25/80/1130912580.db2.gz RLLWOZNHTILGGO-UHFFFAOYSA-N 1 2 260.340 3.922 20 0 CHADLO CC(C)OCc1ccccc1C[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000796706436 1130924733 /nfs/dbraw/zinc/92/47/33/1130924733.db2.gz XFYKBDNVASPTLN-KRWDZBQOSA-N 1 2 299.418 3.502 20 0 CHADLO Cc1ccc(F)c(-c2ccccc2Cn2cc[nH+]c2)c1O ZINC001236406537 1130931087 /nfs/dbraw/zinc/93/10/87/1130931087.db2.gz XBYRJHNWGOTNBQ-UHFFFAOYSA-N 1 2 282.318 3.752 20 0 CHADLO CCOc1ncc(Cl)cc1-c1c[nH+]c(C)cc1OC ZINC001236496230 1130956993 /nfs/dbraw/zinc/95/69/93/1130956993.db2.gz WHGMRYAEQGURTJ-UHFFFAOYSA-N 1 2 278.739 3.513 20 0 CHADLO Cc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)c2c[nH]nc21 ZINC001236495109 1130957574 /nfs/dbraw/zinc/95/75/74/1130957574.db2.gz KQNJUOSAFDXRRP-UHFFFAOYSA-N 1 2 288.354 3.783 20 0 CHADLO CCCOc1ccc(Nc2ccc(N)[nH+]c2)cc1Cl ZINC001159281874 1130957758 /nfs/dbraw/zinc/95/77/58/1130957758.db2.gz GLQKGTMEUGYEFU-UHFFFAOYSA-N 1 2 277.755 3.850 20 0 CHADLO Cc1cc(Cl)nc(Cl)c1C[NH+]1CCC(CF)CC1 ZINC001236609081 1130983292 /nfs/dbraw/zinc/98/32/92/1130983292.db2.gz VQMSLEHLQQANFD-UHFFFAOYSA-N 1 2 291.197 3.878 20 0 CHADLO O=C(CCCn1cc[nH+]c1)O[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000797406706 1130984754 /nfs/dbraw/zinc/98/47/54/1130984754.db2.gz FPJXQSJDJWMYDC-OWCLPIDISA-N 1 2 290.407 3.565 20 0 CHADLO CC1=C(Br)C[N@H+](Cc2cccs2)CC1 ZINC000797529471 1130990475 /nfs/dbraw/zinc/99/04/75/1130990475.db2.gz WADLIRDEUNNQSX-UHFFFAOYSA-N 1 2 272.211 3.623 20 0 CHADLO CC1=C(Br)C[N@@H+](Cc2cccs2)CC1 ZINC000797529471 1130990478 /nfs/dbraw/zinc/99/04/78/1130990478.db2.gz WADLIRDEUNNQSX-UHFFFAOYSA-N 1 2 272.211 3.623 20 0 CHADLO COc1ccc(C[N@@H+]2CCC(C)=C(Br)C2)cc1 ZINC000797529238 1130990583 /nfs/dbraw/zinc/99/05/83/1130990583.db2.gz NEWIQGIAGQFJJJ-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO COc1ccc(C[N@H+]2CCC(C)=C(Br)C2)cc1 ZINC000797529238 1130990585 /nfs/dbraw/zinc/99/05/85/1130990585.db2.gz NEWIQGIAGQFJJJ-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1cccc3sccc31)C2 ZINC001236693452 1130995467 /nfs/dbraw/zinc/99/54/67/1130995467.db2.gz YHIPOIIUNBDZQB-UHFFFAOYSA-N 1 2 284.384 3.756 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1cccc3sccc31)C2 ZINC001236693452 1130995469 /nfs/dbraw/zinc/99/54/69/1130995469.db2.gz YHIPOIIUNBDZQB-UHFFFAOYSA-N 1 2 284.384 3.756 20 0 CHADLO c1cc2c(cccc2C[NH+]2CC(OCc3ccccc3)C2)o1 ZINC001236743847 1131005483 /nfs/dbraw/zinc/00/54/83/1131005483.db2.gz OSXGFTNRZSMGJA-UHFFFAOYSA-N 1 2 293.366 3.834 20 0 CHADLO COC(=O)C[N@H+](C)Cc1cccc(-c2c(C)cccc2C)c1 ZINC001236815356 1131014626 /nfs/dbraw/zinc/01/46/26/1131014626.db2.gz QXEORYRIXUJYGN-UHFFFAOYSA-N 1 2 297.398 3.575 20 0 CHADLO COC(=O)C[N@@H+](C)Cc1cccc(-c2c(C)cccc2C)c1 ZINC001236815356 1131014632 /nfs/dbraw/zinc/01/46/32/1131014632.db2.gz QXEORYRIXUJYGN-UHFFFAOYSA-N 1 2 297.398 3.575 20 0 CHADLO Fc1cc(F)c(C[NH2+][C@H]2CCCc3cccnc32)c(F)c1 ZINC000815649630 1131016863 /nfs/dbraw/zinc/01/68/63/1131016863.db2.gz VIEZRHFZQFDUPU-HNNXBMFYSA-N 1 2 292.304 3.666 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3ncsc3C2)c1Cl ZINC001236854963 1131023263 /nfs/dbraw/zinc/02/32/63/1131023263.db2.gz CQUDDUJWIYMDBH-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3ncsc3C2)c1Cl ZINC001236854963 1131023267 /nfs/dbraw/zinc/02/32/67/1131023267.db2.gz CQUDDUJWIYMDBH-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1cccc(C)c1Cl)CC2 ZINC001236854683 1131023302 /nfs/dbraw/zinc/02/33/02/1131023302.db2.gz WLUASTQWJHRTBM-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1cccc(C)c1Cl)CC2 ZINC001236854683 1131023309 /nfs/dbraw/zinc/02/33/09/1131023309.db2.gz WLUASTQWJHRTBM-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC[C@H]2c2ncccn2)c1Cl ZINC001236857482 1131023424 /nfs/dbraw/zinc/02/34/24/1131023424.db2.gz XRGUGEDEAMFBGW-AWEZNQCLSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cccc(C[N@H+]2CCC[C@H]2c2ncccn2)c1Cl ZINC001236857482 1131023431 /nfs/dbraw/zinc/02/34/31/1131023431.db2.gz XRGUGEDEAMFBGW-AWEZNQCLSA-N 1 2 287.794 3.776 20 0 CHADLO C/C(=C/c1ccc(F)cc1)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000798262349 1131031914 /nfs/dbraw/zinc/03/19/14/1131031914.db2.gz LERSDOFNINCZKU-BENRWUELSA-N 1 2 295.317 3.515 20 0 CHADLO Cc1cccc(-c2ccc(C[N@H+]3CCOC[C@H]3C)cn2)c1C ZINC001236982970 1131033450 /nfs/dbraw/zinc/03/34/50/1131033450.db2.gz XQCHOHUEKVEZSD-OAHLLOKOSA-N 1 2 296.414 3.586 20 0 CHADLO Cc1cccc(-c2ccc(C[N@@H+]3CCOC[C@H]3C)cn2)c1C ZINC001236982970 1131033457 /nfs/dbraw/zinc/03/34/57/1131033457.db2.gz XQCHOHUEKVEZSD-OAHLLOKOSA-N 1 2 296.414 3.586 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc(C2CCC2)cc1 ZINC001237104475 1131051434 /nfs/dbraw/zinc/05/14/34/1131051434.db2.gz HCYDAKWQCVCHBZ-HOTGVXAUSA-N 1 2 265.347 3.836 20 0 CHADLO CC(C)c1nc(C[N@H+](C)CC2OC=CC2=S)cs1 ZINC001237191233 1131067752 /nfs/dbraw/zinc/06/77/52/1131067752.db2.gz LTDWJJYCPRJAOW-UHFFFAOYSA-N 1 2 282.434 3.780 20 0 CHADLO CC(C)c1nc(C[N@@H+](C)CC2OC=CC2=S)cs1 ZINC001237191233 1131067757 /nfs/dbraw/zinc/06/77/57/1131067757.db2.gz LTDWJJYCPRJAOW-UHFFFAOYSA-N 1 2 282.434 3.780 20 0 CHADLO CCC[N@H+](Cc1cnc(Cl)cn1)Cc1ccccc1F ZINC000799116640 1131069886 /nfs/dbraw/zinc/06/98/86/1131069886.db2.gz BZGUWORYYQXGSM-UHFFFAOYSA-N 1 2 293.773 3.681 20 0 CHADLO CCC[N@@H+](Cc1cnc(Cl)cn1)Cc1ccccc1F ZINC000799116640 1131069891 /nfs/dbraw/zinc/06/98/91/1131069891.db2.gz BZGUWORYYQXGSM-UHFFFAOYSA-N 1 2 293.773 3.681 20 0 CHADLO COc1cccc([C@H](C)[N@@H+]2CCC=C(Br)C2)c1 ZINC000799327816 1131080376 /nfs/dbraw/zinc/08/03/76/1131080376.db2.gz CYVNFXIAVPHVKF-NSHDSACASA-N 1 2 296.208 3.741 20 0 CHADLO COc1cccc([C@H](C)[N@H+]2CCC=C(Br)C2)c1 ZINC000799327816 1131080383 /nfs/dbraw/zinc/08/03/83/1131080383.db2.gz CYVNFXIAVPHVKF-NSHDSACASA-N 1 2 296.208 3.741 20 0 CHADLO COc1ccc(-c2nc(C[NH+]3CC(C)(C)C3)cs2)cc1 ZINC001237337993 1131087028 /nfs/dbraw/zinc/08/70/28/1131087028.db2.gz BWUIHAHSNQCYHH-UHFFFAOYSA-N 1 2 288.416 3.661 20 0 CHADLO c1nc(-c2ccccc2)oc1C[NH+]1Cc2ccccc2C1 ZINC001237376568 1131091598 /nfs/dbraw/zinc/09/15/98/1131091598.db2.gz YJOHURMUDIFMMW-UHFFFAOYSA-N 1 2 276.339 3.857 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+]2CCn3cncc3C2)cc1 ZINC001170827590 1131097913 /nfs/dbraw/zinc/09/79/13/1131097913.db2.gz SMUBLHKQRUSLIY-UHFFFAOYSA-N 1 2 283.419 3.587 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+]2CCn3cncc3C2)cc1 ZINC001170827590 1131097917 /nfs/dbraw/zinc/09/79/17/1131097917.db2.gz SMUBLHKQRUSLIY-UHFFFAOYSA-N 1 2 283.419 3.587 20 0 CHADLO Cn1ncc(C[N@H+](C)Cc2ccc3ccccc3c2)c1Cl ZINC000816482774 1131098907 /nfs/dbraw/zinc/09/89/07/1131098907.db2.gz SNGXOXPRAZVIJY-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO Cn1ncc(C[N@@H+](C)Cc2ccc3ccccc3c2)c1Cl ZINC000816482774 1131098912 /nfs/dbraw/zinc/09/89/12/1131098912.db2.gz SNGXOXPRAZVIJY-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO Cn1ncc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2)c1Cl ZINC000816483338 1131099052 /nfs/dbraw/zinc/09/90/52/1131099052.db2.gz OTEVBHYDWVJKAF-CQSZACIVSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1ncc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2)c1Cl ZINC000816483338 1131099054 /nfs/dbraw/zinc/09/90/54/1131099054.db2.gz OTEVBHYDWVJKAF-CQSZACIVSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1ncc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccccc2)c1Cl ZINC000816496605 1131100702 /nfs/dbraw/zinc/10/07/02/1131100702.db2.gz PLKTXSOUBURJTR-AWEZNQCLSA-N 1 2 289.810 3.657 20 0 CHADLO Cn1ncc(C[N@H+]2CC(C)(C)[C@@H]2c2ccccc2)c1Cl ZINC000816496605 1131100706 /nfs/dbraw/zinc/10/07/06/1131100706.db2.gz PLKTXSOUBURJTR-AWEZNQCLSA-N 1 2 289.810 3.657 20 0 CHADLO CCc1nocc1C[N@@H+]1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000816511944 1131103972 /nfs/dbraw/zinc/10/39/72/1131103972.db2.gz QODBXMZPZNQHFP-INIZCTEOSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1nocc1C[N@H+]1CCC[C@H]1c1ccc(F)c(F)c1 ZINC000816511944 1131103973 /nfs/dbraw/zinc/10/39/73/1131103973.db2.gz QODBXMZPZNQHFP-INIZCTEOSA-N 1 2 292.329 3.852 20 0 CHADLO Cc1cc(N[C@H](C)Cc2ccc(O)cc2)ccc1[NH+](C)C ZINC000816525433 1131108844 /nfs/dbraw/zinc/10/88/44/1131108844.db2.gz SWVQVNNJZTXHCL-CQSZACIVSA-N 1 2 284.403 3.810 20 0 CHADLO Cc1cc([NH2+][C@H](C)Cc2ccc(O)cc2)ccc1N(C)C ZINC000816525433 1131108848 /nfs/dbraw/zinc/10/88/48/1131108848.db2.gz SWVQVNNJZTXHCL-CQSZACIVSA-N 1 2 284.403 3.810 20 0 CHADLO CCc1n[nH]cc1C[N@H+](C)Cc1cccc(Cl)c1Cl ZINC001237650805 1131123938 /nfs/dbraw/zinc/12/39/38/1131123938.db2.gz IYGMGCWXBSMFRE-UHFFFAOYSA-N 1 2 298.217 3.911 20 0 CHADLO CCc1[nH]ncc1C[N@H+](Cc1cccc(C)c1C)C1CC1 ZINC001237656815 1131125897 /nfs/dbraw/zinc/12/58/97/1131125897.db2.gz VIFMKGHYHFSXCQ-UHFFFAOYSA-N 1 2 283.419 3.754 20 0 CHADLO CCc1[nH]ncc1C[N@@H+](Cc1cccc(C)c1C)C1CC1 ZINC001237656815 1131125900 /nfs/dbraw/zinc/12/59/00/1131125900.db2.gz VIFMKGHYHFSXCQ-UHFFFAOYSA-N 1 2 283.419 3.754 20 0 CHADLO CCCC1CC[NH+](Cc2noc(-c3ccsc3)n2)CC1 ZINC001116196425 1131133154 /nfs/dbraw/zinc/13/31/54/1131133154.db2.gz IGBBBGDEFUCTKF-UHFFFAOYSA-N 1 2 291.420 3.810 20 0 CHADLO CCc1cc(C[N@@H+]2CCOC[C@H]2C2CC2)ccc1Cl ZINC001237741919 1131136097 /nfs/dbraw/zinc/13/60/97/1131136097.db2.gz OMWDZWSZSZRWHB-INIZCTEOSA-N 1 2 279.811 3.513 20 0 CHADLO CCc1cc(C[N@H+]2CCOC[C@H]2C2CC2)ccc1Cl ZINC001237741919 1131136099 /nfs/dbraw/zinc/13/60/99/1131136099.db2.gz OMWDZWSZSZRWHB-INIZCTEOSA-N 1 2 279.811 3.513 20 0 CHADLO Cc1cc(NCc2ccc(F)cn2)[nH+]c2ccccc12 ZINC001116282219 1131138820 /nfs/dbraw/zinc/13/88/20/1131138820.db2.gz JKIVQYZQAUELHO-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO C[N@H+](Cc1cccc2c1OCC2)Cc1ccc(F)cc1F ZINC001237753244 1131138893 /nfs/dbraw/zinc/13/88/93/1131138893.db2.gz KUABAGKETQHARS-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[N@@H+](Cc1cccc2c1OCC2)Cc1ccc(F)cc1F ZINC001237753244 1131138896 /nfs/dbraw/zinc/13/88/96/1131138896.db2.gz KUABAGKETQHARS-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CC[N@H+](Cc1ccc(F)c(C)n1)Cc1cccc(F)c1F ZINC001237829771 1131144678 /nfs/dbraw/zinc/14/46/78/1131144678.db2.gz ZZIBPEUUMJTOFK-UHFFFAOYSA-N 1 2 294.320 3.829 20 0 CHADLO CC[N@@H+](Cc1ccc(F)c(C)n1)Cc1cccc(F)c1F ZINC001237829771 1131144683 /nfs/dbraw/zinc/14/46/83/1131144683.db2.gz ZZIBPEUUMJTOFK-UHFFFAOYSA-N 1 2 294.320 3.829 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)ccc1F ZINC001116444874 1131146327 /nfs/dbraw/zinc/14/63/27/1131146327.db2.gz CGRPCOBAHQAVEL-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)ccc1F ZINC001116444874 1131146329 /nfs/dbraw/zinc/14/63/29/1131146329.db2.gz CGRPCOBAHQAVEL-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO CCCOc1cc(F)ccc1C[N@H+](C)Cc1ccccn1 ZINC001237902906 1131150059 /nfs/dbraw/zinc/15/00/59/1131150059.db2.gz DAALEVRNALKFSF-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CCCOc1cc(F)ccc1C[N@@H+](C)Cc1ccccn1 ZINC001237902906 1131150064 /nfs/dbraw/zinc/15/00/64/1131150064.db2.gz DAALEVRNALKFSF-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1cccc(CO)c1 ZINC001116497974 1131151250 /nfs/dbraw/zinc/15/12/50/1131151250.db2.gz MPWQOUVYSNLSRE-OAHLLOKOSA-N 1 2 296.414 3.952 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](CC)c2ccc(F)cc2)n1 ZINC001116539004 1131153787 /nfs/dbraw/zinc/15/37/87/1131153787.db2.gz HVUCPLJUDWAWRW-GWCFXTLKSA-N 1 2 277.343 3.573 20 0 CHADLO CC1(C)CCC[N@@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237984039 1131157708 /nfs/dbraw/zinc/15/77/08/1131157708.db2.gz LDEKYAZAVPXQGP-UHFFFAOYSA-N 1 2 257.736 3.559 20 0 CHADLO CC1(C)CCC[N@H+]1Cc1cc(F)c(O)c(Cl)c1 ZINC001237984039 1131157710 /nfs/dbraw/zinc/15/77/10/1131157710.db2.gz LDEKYAZAVPXQGP-UHFFFAOYSA-N 1 2 257.736 3.559 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CC[C@H]3CCC[C@@H]3C2)cc1Cl ZINC001237984068 1131158640 /nfs/dbraw/zinc/15/86/40/1131158640.db2.gz LGYYVFJFDOLWEQ-VXGBXAGGSA-N 1 2 283.774 3.807 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CC[C@H]3CCC[C@@H]3C2)cc1Cl ZINC001237984068 1131158641 /nfs/dbraw/zinc/15/86/41/1131158641.db2.gz LGYYVFJFDOLWEQ-VXGBXAGGSA-N 1 2 283.774 3.807 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237994551 1131160524 /nfs/dbraw/zinc/16/05/24/1131160524.db2.gz FDIZKSCIJMORQZ-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)C1 ZINC001237994551 1131160528 /nfs/dbraw/zinc/16/05/28/1131160528.db2.gz FDIZKSCIJMORQZ-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H](CC(F)(F)F)C2)c(F)cn1 ZINC000817413497 1131160522 /nfs/dbraw/zinc/16/05/22/1131160522.db2.gz YOHWISGAOYFSCV-SECBINFHSA-N 1 2 294.267 3.524 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H](CC(F)(F)F)C2)c(F)cn1 ZINC000817413497 1131160525 /nfs/dbraw/zinc/16/05/25/1131160525.db2.gz YOHWISGAOYFSCV-SECBINFHSA-N 1 2 294.267 3.524 20 0 CHADLO CCc1cnc(CSCc2ccc(-n3cc[nH+]c3)cc2)o1 ZINC001116761924 1131163053 /nfs/dbraw/zinc/16/30/53/1131163053.db2.gz USHUIILQSHCHER-UHFFFAOYSA-N 1 2 299.399 3.856 20 0 CHADLO COc1cccc2c(N3CC4CCC3CC4)cc[nH+]c12 ZINC001116908344 1131169863 /nfs/dbraw/zinc/16/98/63/1131169863.db2.gz OOIHQTQFODHWLC-UHFFFAOYSA-N 1 2 268.360 3.622 20 0 CHADLO Cc1cc(CNC(=S)Nc2c(C)cccc2C)cc(C)[nH+]1 ZINC000817562042 1131175183 /nfs/dbraw/zinc/17/51/83/1131175183.db2.gz GFJCIKOXAJFSRB-UHFFFAOYSA-N 1 2 299.443 3.802 20 0 CHADLO CCC1CC[NH+](Cc2cc(Cl)c(O)cc2F)CC1 ZINC001238147600 1131175249 /nfs/dbraw/zinc/17/52/49/1131175249.db2.gz YKRSYYIKWNUMDO-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO C[N@H+](Cc1cncc(C2CC2)c1)Cc1cccc(F)c1F ZINC001238192978 1131179304 /nfs/dbraw/zinc/17/93/04/1131179304.db2.gz GIFCMRBAUNIGIO-UHFFFAOYSA-N 1 2 288.341 3.869 20 0 CHADLO C[N@@H+](Cc1cncc(C2CC2)c1)Cc1cccc(F)c1F ZINC001238192978 1131179307 /nfs/dbraw/zinc/17/93/07/1131179307.db2.gz GIFCMRBAUNIGIO-UHFFFAOYSA-N 1 2 288.341 3.869 20 0 CHADLO C[N@H+](CCc1ccccc1F)Cc1c(F)ccnc1Cl ZINC001238240454 1131185505 /nfs/dbraw/zinc/18/55/05/1131185505.db2.gz VMWVDAFBDUTPRO-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1ccccc1F)Cc1c(F)ccnc1Cl ZINC001238240454 1131185510 /nfs/dbraw/zinc/18/55/10/1131185510.db2.gz VMWVDAFBDUTPRO-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO CCOc1cc(-c2cc(C)cn3cc[nH+]c23)c(Cl)cn1 ZINC001245815412 1131189397 /nfs/dbraw/zinc/18/93/97/1131189397.db2.gz ACDADSREECPDOU-UHFFFAOYSA-N 1 2 287.750 3.757 20 0 CHADLO COc1c(Br)cc(C)cc1C[NH+]1CC(C)(C)C1 ZINC001238318386 1131190002 /nfs/dbraw/zinc/19/00/02/1131190002.db2.gz PJPBHFMQNPCUSY-UHFFFAOYSA-N 1 2 298.224 3.608 20 0 CHADLO Cc1cc(N2CCNc3ccccc3C2)[nH+]c2ccccc12 ZINC001117684184 1131192313 /nfs/dbraw/zinc/19/23/13/1131192313.db2.gz HKMOEPGNCYWLFQ-UHFFFAOYSA-N 1 2 289.382 3.975 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccc(F)cc2)c(Cl)cn1 ZINC001238359540 1131192443 /nfs/dbraw/zinc/19/24/43/1131192443.db2.gz HZROPGUIGQHXAX-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccc(F)cc2)c(Cl)cn1 ZINC001238359540 1131192447 /nfs/dbraw/zinc/19/24/47/1131192447.db2.gz HZROPGUIGQHXAX-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c1F ZINC001238423881 1131197744 /nfs/dbraw/zinc/19/77/44/1131197744.db2.gz ODSPNKACAQRFLP-TZMCWYRMSA-N 1 2 283.362 3.793 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c1F ZINC001238423881 1131197746 /nfs/dbraw/zinc/19/77/46/1131197746.db2.gz ODSPNKACAQRFLP-TZMCWYRMSA-N 1 2 283.362 3.793 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCC[C@@H]2CF)c1F ZINC001238435670 1131201190 /nfs/dbraw/zinc/20/11/90/1131201190.db2.gz XIJPRGMCQKFRAU-CYBMUJFWSA-N 1 2 269.335 3.547 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2c(c1)CC(C)(C)O2 ZINC000818196693 1131204385 /nfs/dbraw/zinc/20/43/85/1131204385.db2.gz WMLJUQJNEJJZMW-UHFFFAOYSA-N 1 2 285.391 3.790 20 0 CHADLO COc1c(C[N@@H+]2CCCC[C@](C)(F)C2)ccc(F)c1F ZINC001238467645 1131205714 /nfs/dbraw/zinc/20/57/14/1131205714.db2.gz VPHSXXWBKRVZFK-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO COc1c(C[N@H+]2CCCC[C@](C)(F)C2)ccc(F)c1F ZINC001238467645 1131205720 /nfs/dbraw/zinc/20/57/20/1131205720.db2.gz VPHSXXWBKRVZFK-HNNXBMFYSA-N 1 2 287.325 3.688 20 0 CHADLO C=COc1ccc(NCc2c[nH+]cn2C(C)C)cc1 ZINC000818215427 1131205918 /nfs/dbraw/zinc/20/59/18/1131205918.db2.gz ILPOIEIYINGRKZ-UHFFFAOYSA-N 1 2 257.337 3.598 20 0 CHADLO CCOC1CC(SCc2[nH+]cc(C)c(OC)c2C)C1 ZINC001117978729 1131206754 /nfs/dbraw/zinc/20/67/54/1131206754.db2.gz MZSROYHQWVVIOQ-UHFFFAOYSA-N 1 2 281.421 3.508 20 0 CHADLO Fc1c(C[N@@H+]2C[C@@H]3C[C@@H]3C2)cc(Cl)cc1C(F)(F)F ZINC001238505056 1131211170 /nfs/dbraw/zinc/21/11/70/1131211170.db2.gz KZXZOFJTIHCXEC-OCAPTIKFSA-N 1 2 293.691 3.950 20 0 CHADLO Fc1c(C[N@H+]2C[C@@H]3C[C@@H]3C2)cc(Cl)cc1C(F)(F)F ZINC001238505056 1131211175 /nfs/dbraw/zinc/21/11/75/1131211175.db2.gz KZXZOFJTIHCXEC-OCAPTIKFSA-N 1 2 293.691 3.950 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3cccnc3C2)cc1C1CC1 ZINC001238517354 1131212697 /nfs/dbraw/zinc/21/26/97/1131212697.db2.gz UHYYOJHNTYDFMP-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3cccnc3C2)cc1C1CC1 ZINC001238517354 1131212701 /nfs/dbraw/zinc/21/27/01/1131212701.db2.gz UHYYOJHNTYDFMP-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO Cc1c(Cl)ccc(C[N@H+](C)Cc2cccnc2)c1F ZINC001238517436 1131212755 /nfs/dbraw/zinc/21/27/55/1131212755.db2.gz YNLVXJUKHXKNQR-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1c(Cl)ccc(C[N@@H+](C)Cc2cccnc2)c1F ZINC001238517436 1131212758 /nfs/dbraw/zinc/21/27/58/1131212758.db2.gz YNLVXJUKHXKNQR-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)c1 ZINC001238565994 1131215937 /nfs/dbraw/zinc/21/59/37/1131215937.db2.gz YBRAIJWIEAEEKL-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)c1 ZINC001238565994 1131215941 /nfs/dbraw/zinc/21/59/41/1131215941.db2.gz YBRAIJWIEAEEKL-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)c1 ZINC001238561301 1131216174 /nfs/dbraw/zinc/21/61/74/1131216174.db2.gz SVJOTKLSKZKDAO-HOCLYGCPSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCC(=O)[C@H]3CCCC[C@@H]32)c1 ZINC001238561301 1131216176 /nfs/dbraw/zinc/21/61/76/1131216176.db2.gz SVJOTKLSKZKDAO-HOCLYGCPSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(F)cc(Br)c1C[NH+]1CC(C)(C)C1 ZINC001238565281 1131216256 /nfs/dbraw/zinc/21/62/56/1131216256.db2.gz DYVSIVZLEGIHOW-UHFFFAOYSA-N 1 2 286.188 3.738 20 0 CHADLO Cc1cc(Cl)cc(C)c1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC001118240509 1131216605 /nfs/dbraw/zinc/21/66/05/1131216605.db2.gz SJGOSWREWMCKLZ-GFCCVEGCSA-N 1 2 291.782 3.743 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCC(=O)[C@H]3CCCC[C@H]32)c1 ZINC001238561300 1131216742 /nfs/dbraw/zinc/21/67/42/1131216742.db2.gz SVJOTKLSKZKDAO-GOEBONIOSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCC(=O)[C@H]3CCCC[C@H]32)c1 ZINC001238561300 1131216746 /nfs/dbraw/zinc/21/67/46/1131216746.db2.gz SVJOTKLSKZKDAO-GOEBONIOSA-N 1 2 291.822 3.982 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC001238671929 1131229532 /nfs/dbraw/zinc/22/95/32/1131229532.db2.gz NUYPWEOLYDRJRZ-PHIMTYICSA-N 1 2 285.840 3.671 20 0 CHADLO COc1cc(F)cc(CNc2cc(C(C)C)[nH+]c(C)n2)c1 ZINC001160213945 1131422271 /nfs/dbraw/zinc/42/22/71/1131422271.db2.gz XMFLPGJXLNTFNR-UHFFFAOYSA-N 1 2 289.354 3.668 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1c(F)ccc(Cl)c1F ZINC001137876767 1131428124 /nfs/dbraw/zinc/42/81/24/1131428124.db2.gz ZECJDQAUHKLPEB-VIFPVBQESA-N 1 2 259.727 3.993 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1c(F)ccc(Cl)c1F ZINC001137876767 1131428126 /nfs/dbraw/zinc/42/81/26/1131428126.db2.gz ZECJDQAUHKLPEB-VIFPVBQESA-N 1 2 259.727 3.993 20 0 CHADLO Fc1cc(F)c(F)c(C[NH+]2CCC(C(F)F)CC2)c1 ZINC001137873808 1131428205 /nfs/dbraw/zinc/42/82/05/1131428205.db2.gz VKMVUHVVQJARKI-UHFFFAOYSA-N 1 2 279.252 3.581 20 0 CHADLO Cc1cc(N[C@H](C)Cc2ccoc2)ccc1[NH+](C)C ZINC000823534205 1131430810 /nfs/dbraw/zinc/43/08/10/1131430810.db2.gz OSFFJBCQXJLNLB-CYBMUJFWSA-N 1 2 258.365 3.697 20 0 CHADLO Cc1cc([NH2+][C@H](C)Cc2ccoc2)ccc1N(C)C ZINC000823534205 1131430813 /nfs/dbraw/zinc/43/08/13/1131430813.db2.gz OSFFJBCQXJLNLB-CYBMUJFWSA-N 1 2 258.365 3.697 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccccc3C2)c(F)c1F ZINC001137964876 1131443749 /nfs/dbraw/zinc/44/37/49/1131443749.db2.gz ROXBAOFENFRDPN-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccccc3C2)c(F)c1F ZINC001137964876 1131443752 /nfs/dbraw/zinc/44/37/52/1131443752.db2.gz ROXBAOFENFRDPN-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(F)ccc(F)c2Cl)[C@@H](C)C1 ZINC001138005515 1131450213 /nfs/dbraw/zinc/45/02/13/1131450213.db2.gz HPGRHWZXYKXKQD-UWVGGRQHSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2C[C@@H](C)C[C@H]2CF)c1Cl ZINC001138022524 1131452582 /nfs/dbraw/zinc/45/25/82/1131452582.db2.gz BZKGZPVRKJQVQK-ONGXEEELSA-N 1 2 273.754 3.967 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2C[C@@H](C)C[C@H]2CF)c1Cl ZINC001138022524 1131452584 /nfs/dbraw/zinc/45/25/84/1131452584.db2.gz BZKGZPVRKJQVQK-ONGXEEELSA-N 1 2 273.754 3.967 20 0 CHADLO Cc1ccnc(C[NH2+]C2(c3cccc(Cl)c3)CCC2)n1 ZINC000830597194 1131462239 /nfs/dbraw/zinc/46/22/39/1131462239.db2.gz BMSUAGPEHGAZDC-UHFFFAOYSA-N 1 2 287.794 3.607 20 0 CHADLO CCOc1cccc(C[N@H+](C)Cc2cnc(Cl)s2)c1 ZINC001138096225 1131464529 /nfs/dbraw/zinc/46/45/29/1131464529.db2.gz ZUKPGJLHSCBOJT-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CCOc1cccc(C[N@@H+](C)Cc2cnc(Cl)s2)c1 ZINC001138096225 1131464532 /nfs/dbraw/zinc/46/45/32/1131464532.db2.gz ZUKPGJLHSCBOJT-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO Clc1cccc2c1CC[C@@H]([N@H+]1Cc3ncsc3C1)C2 ZINC001171056850 1131471379 /nfs/dbraw/zinc/47/13/79/1131471379.db2.gz NMHZVTKDEAICMO-LLVKDONJSA-N 1 2 290.819 3.670 20 0 CHADLO Clc1cccc2c1CC[C@@H]([N@@H+]1Cc3ncsc3C1)C2 ZINC001171056850 1131471382 /nfs/dbraw/zinc/47/13/82/1131471382.db2.gz NMHZVTKDEAICMO-LLVKDONJSA-N 1 2 290.819 3.670 20 0 CHADLO COCc1csc(C[N@@H+]2CCC[C@H]2c2cc(C)on2)c1 ZINC000824575089 1131473983 /nfs/dbraw/zinc/47/39/83/1131473983.db2.gz AGCHOSGQRVWFLX-HNNXBMFYSA-N 1 2 292.404 3.528 20 0 CHADLO COCc1csc(C[N@H+]2CCC[C@H]2c2cc(C)on2)c1 ZINC000824575089 1131473987 /nfs/dbraw/zinc/47/39/87/1131473987.db2.gz AGCHOSGQRVWFLX-HNNXBMFYSA-N 1 2 292.404 3.528 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc(N3CCCCC3)o2)C[C@H]1F ZINC001138172072 1131474850 /nfs/dbraw/zinc/47/48/50/1131474850.db2.gz KNLJWGBIWCUKML-ZBFHGGJFSA-N 1 2 298.377 3.542 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc(N3CCCCC3)o2)C[C@H]1F ZINC001138172072 1131474852 /nfs/dbraw/zinc/47/48/52/1131474852.db2.gz KNLJWGBIWCUKML-ZBFHGGJFSA-N 1 2 298.377 3.542 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(Cl)cc2)co1 ZINC000825056743 1131495880 /nfs/dbraw/zinc/49/58/80/1131495880.db2.gz JPFZSNJNFZYPAX-SNVBAGLBSA-N 1 2 264.756 3.741 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(C(F)(F)F)s2)co1 ZINC000825062015 1131496732 /nfs/dbraw/zinc/49/67/32/1131496732.db2.gz NGPWDPXJUVOKKC-UHFFFAOYSA-N 1 2 290.310 3.607 20 0 CHADLO COc1c(C)cc(C[N@@H+]2Cc3cnc(C)cc3C2)cc1C ZINC001138428453 1131503553 /nfs/dbraw/zinc/50/35/53/1131503553.db2.gz JMNQOEHFCNKWJT-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO COc1c(C)cc(C[N@H+]2Cc3cnc(C)cc3C2)cc1C ZINC001138428453 1131503555 /nfs/dbraw/zinc/50/35/55/1131503555.db2.gz JMNQOEHFCNKWJT-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2ccc(F)c(Cl)c2F)[C@H](C)C1 ZINC001143628999 1131518846 /nfs/dbraw/zinc/51/88/46/1131518846.db2.gz NYHWSGARLIDPRJ-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2ccc(F)c(Cl)c2F)[C@H](C)C1 ZINC001143628999 1131518850 /nfs/dbraw/zinc/51/88/50/1131518850.db2.gz NYHWSGARLIDPRJ-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)CC[C@@H]1CCC[C@@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001143641175 1131520304 /nfs/dbraw/zinc/52/03/04/1131520304.db2.gz IDJNETVJMWWSAO-ZFWWWQNUSA-N 1 2 299.418 3.898 20 0 CHADLO Cc1cc(C[NH+]2CC(OCc3ccccc3)C2)c(C)s1 ZINC001138568019 1131522792 /nfs/dbraw/zinc/52/27/92/1131522792.db2.gz PKZBMIRWUQYXFG-UHFFFAOYSA-N 1 2 287.428 3.766 20 0 CHADLO CCOc1c(F)cc(C[NH+]2CCC(F)CC2)cc1Cl ZINC001143734125 1131526533 /nfs/dbraw/zinc/52/65/33/1131526533.db2.gz BQPNXTXBDWVCOS-UHFFFAOYSA-N 1 2 289.753 3.812 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cccn2-c2ccccc2F)C[C@H]1F ZINC001138631752 1131529724 /nfs/dbraw/zinc/52/97/24/1131529724.db2.gz ARBMBSDOUZKUTG-CZUORRHYSA-N 1 2 290.357 3.796 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cccn2-c2ccccc2F)C[C@H]1F ZINC001138631752 1131529728 /nfs/dbraw/zinc/52/97/28/1131529728.db2.gz ARBMBSDOUZKUTG-CZUORRHYSA-N 1 2 290.357 3.796 20 0 CHADLO C[C@@H]1C[C@H](O)C[N@@H+]1Cc1c(Cl)cc(Cl)cc1Cl ZINC001143797699 1131534999 /nfs/dbraw/zinc/53/49/99/1131534999.db2.gz PEVOXGYUSUDFCB-APPZFPTMSA-N 1 2 294.609 3.602 20 0 CHADLO C[C@@H]1C[C@H](O)C[N@H+]1Cc1c(Cl)cc(Cl)cc1Cl ZINC001143797699 1131535001 /nfs/dbraw/zinc/53/50/01/1131535001.db2.gz PEVOXGYUSUDFCB-APPZFPTMSA-N 1 2 294.609 3.602 20 0 CHADLO COc1c(F)cc(C[NH+]2CC3(C2)CCCC3)cc1Cl ZINC001143840178 1131538457 /nfs/dbraw/zinc/53/84/57/1131538457.db2.gz URZZZPYVUQJXRS-UHFFFAOYSA-N 1 2 283.774 3.864 20 0 CHADLO CCN(C(=O)C[C@@H](C)n1cc[nH+]c1)c1ccc(C)cc1C ZINC000844317516 1131550474 /nfs/dbraw/zinc/55/04/74/1131550474.db2.gz BHDBNVLZKOCLDE-OAHLLOKOSA-N 1 2 285.391 3.504 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ccnc(Cl)c2)cn1 ZINC001138819944 1131552330 /nfs/dbraw/zinc/55/23/30/1131552330.db2.gz PVHZEXQIHDUVOR-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ccnc(Cl)c2)cn1 ZINC001138819944 1131552334 /nfs/dbraw/zinc/55/23/34/1131552334.db2.gz PVHZEXQIHDUVOR-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO C[C@@H](CC(=O)N(C)c1ccc(C(C)(C)C)cc1)n1cc[nH+]c1 ZINC000844348349 1131552726 /nfs/dbraw/zinc/55/27/26/1131552726.db2.gz WISHSNXVTAIHQL-AWEZNQCLSA-N 1 2 299.418 3.795 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1c[nH]c2c1cccc2Cl ZINC001138833877 1131554672 /nfs/dbraw/zinc/55/46/72/1131554672.db2.gz NPNVAPWDITTZJQ-OAHLLOKOSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1c[nH]c2c1cccc2Cl ZINC001138833877 1131554679 /nfs/dbraw/zinc/55/46/79/1131554679.db2.gz NPNVAPWDITTZJQ-OAHLLOKOSA-N 1 2 290.794 3.765 20 0 CHADLO Cc1c(C[N@@H+]2CCCC(=O)[C@@H](C)C2)cccc1C(F)(F)F ZINC001143921426 1131555223 /nfs/dbraw/zinc/55/52/23/1131555223.db2.gz BFTWDTMBVDSLGA-NSHDSACASA-N 1 2 299.336 3.815 20 0 CHADLO Cc1c(C[N@H+]2CCCC(=O)[C@@H](C)C2)cccc1C(F)(F)F ZINC001143921426 1131555225 /nfs/dbraw/zinc/55/52/25/1131555225.db2.gz BFTWDTMBVDSLGA-NSHDSACASA-N 1 2 299.336 3.815 20 0 CHADLO Cc1cc(NC(=O)CCCC(C)C)ccc1-n1cc[nH+]c1 ZINC001143939666 1131556012 /nfs/dbraw/zinc/55/60/12/1131556012.db2.gz LKEUAJXBBZJIKE-UHFFFAOYSA-N 1 2 285.391 3.946 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1cc(F)cnc1Cl ZINC001138891719 1131557840 /nfs/dbraw/zinc/55/78/40/1131557840.db2.gz CQANMMHNILGKBN-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1cc(F)cnc1Cl ZINC001138891719 1131557833 /nfs/dbraw/zinc/55/78/33/1131557833.db2.gz CQANMMHNILGKBN-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO COC1(C)CC[NH+](Cc2c(Cl)ccc(F)c2F)CC1 ZINC001143946821 1131558451 /nfs/dbraw/zinc/55/84/51/1131558451.db2.gz XBBSVGULWIYXRH-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957167 1131559224 /nfs/dbraw/zinc/55/92/24/1131559224.db2.gz LDNRLEKPGWVAJO-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143957167 1131559227 /nfs/dbraw/zinc/55/92/27/1131559227.db2.gz LDNRLEKPGWVAJO-SECBINFHSA-N 1 2 277.717 3.800 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+]2CCC[C@@]3(CC3(F)F)C2)n1 ZINC000844435229 1131563648 /nfs/dbraw/zinc/56/36/48/1131563648.db2.gz DTTWMXOQJKFOBQ-SMDDNHRTSA-N 1 2 299.365 3.594 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+]2CCC[C@@]3(CC3(F)F)C2)n1 ZINC000844435229 1131563651 /nfs/dbraw/zinc/56/36/51/1131563651.db2.gz DTTWMXOQJKFOBQ-SMDDNHRTSA-N 1 2 299.365 3.594 20 0 CHADLO CC(C)Oc1ccc(Cl)cc1C[NH+]1CCSCC1 ZINC001138958369 1131564534 /nfs/dbraw/zinc/56/45/34/1131564534.db2.gz HEZWKNFPXCHCGN-UHFFFAOYSA-N 1 2 285.840 3.676 20 0 CHADLO CC[C@H](OC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccc(C)cc1 ZINC000844462935 1131568233 /nfs/dbraw/zinc/56/82/33/1131568233.db2.gz RAPOHRMZBJCDGC-ZBFHGGJFSA-N 1 2 286.375 3.837 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000844464971 1131568639 /nfs/dbraw/zinc/56/86/39/1131568639.db2.gz PGEYMIIQKFXGKD-HUUCEWRRSA-N 1 2 286.375 3.755 20 0 CHADLO CCCOc1ccccc1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC001138999346 1131570399 /nfs/dbraw/zinc/57/03/99/1131570399.db2.gz CFULMGOMJYKGKK-MRXNPFEDSA-N 1 2 297.402 3.603 20 0 CHADLO CCCOc1ccccc1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC001138999346 1131570403 /nfs/dbraw/zinc/57/04/03/1131570403.db2.gz CFULMGOMJYKGKK-MRXNPFEDSA-N 1 2 297.402 3.603 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001139005990 1131571532 /nfs/dbraw/zinc/57/15/32/1131571532.db2.gz MZCZKYMFFJUSQA-ZWNOBZJWSA-N 1 2 271.763 3.671 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001139005990 1131571538 /nfs/dbraw/zinc/57/15/38/1131571538.db2.gz MZCZKYMFFJUSQA-ZWNOBZJWSA-N 1 2 271.763 3.671 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@H+](C)Cc1ccccn1 ZINC001139006813 1131571558 /nfs/dbraw/zinc/57/15/58/1131571558.db2.gz ZAEQIRFTCFWZEL-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccc(Cl)cc1C[N@@H+](C)Cc1ccccn1 ZINC001139006813 1131571560 /nfs/dbraw/zinc/57/15/60/1131571560.db2.gz ZAEQIRFTCFWZEL-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCCN(C(=O)C[C@H](C)n1cc[nH+]c1)c1ccc(CC)cc1 ZINC000844555928 1131577985 /nfs/dbraw/zinc/57/79/85/1131577985.db2.gz JKCXOGZTBKZSRL-HNNXBMFYSA-N 1 2 299.418 3.840 20 0 CHADLO CCOC1C[NH+](Cc2cc(-c3ccccc3)ccc2OC)C1 ZINC001139068733 1131579859 /nfs/dbraw/zinc/57/98/59/1131579859.db2.gz YASVFGKDAGHNLJ-UHFFFAOYSA-N 1 2 297.398 3.583 20 0 CHADLO CCn1ccc2ccc(C[N@@H+]3C[C@@H](F)C[C@H]3CF)cc21 ZINC001139119330 1131583428 /nfs/dbraw/zinc/58/34/28/1131583428.db2.gz LOKKFCUKYFGQIE-GJZGRUSLSA-N 1 2 278.346 3.543 20 0 CHADLO CCn1ccc2ccc(C[N@H+]3C[C@@H](F)C[C@H]3CF)cc21 ZINC001139119330 1131583431 /nfs/dbraw/zinc/58/34/31/1131583431.db2.gz LOKKFCUKYFGQIE-GJZGRUSLSA-N 1 2 278.346 3.543 20 0 CHADLO CCn1ccc2c1cccc2C[N@@H+]1CCc2oc(C)nc2C1 ZINC001139156696 1131588110 /nfs/dbraw/zinc/58/81/10/1131588110.db2.gz FJBJCGABCJDDAW-UHFFFAOYSA-N 1 2 295.386 3.516 20 0 CHADLO CCn1ccc2c1cccc2C[N@H+]1CCc2oc(C)nc2C1 ZINC001139156696 1131588114 /nfs/dbraw/zinc/58/81/14/1131588114.db2.gz FJBJCGABCJDDAW-UHFFFAOYSA-N 1 2 295.386 3.516 20 0 CHADLO CCn1cc(Nc2ccc(N(C)C3CCCCC3)[nH+]c2)cn1 ZINC001212613472 1131593859 /nfs/dbraw/zinc/59/38/59/1131593859.db2.gz VVRIKVKXTOSWQF-UHFFFAOYSA-N 1 2 299.422 3.811 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2n[nH]c3ccc(F)cc32)CCC1(F)F ZINC001144190751 1131596738 /nfs/dbraw/zinc/59/67/38/1131596738.db2.gz AKGLPOMHGSYXQQ-SNVBAGLBSA-N 1 2 297.324 3.569 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2n[nH]c3ccc(F)cc32)CCC1(F)F ZINC001144190751 1131596742 /nfs/dbraw/zinc/59/67/42/1131596742.db2.gz AKGLPOMHGSYXQQ-SNVBAGLBSA-N 1 2 297.324 3.569 20 0 CHADLO Clc1ccccc1C1([NH2+]Cc2cn3ccccc3n2)CC1 ZINC001202922446 1131601282 /nfs/dbraw/zinc/60/12/82/1131601282.db2.gz QLXLHHZFOWQFFH-UHFFFAOYSA-N 1 2 297.789 3.767 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1ccc(-n3cccc3)cc1)C2 ZINC001144246039 1131605631 /nfs/dbraw/zinc/60/56/31/1131605631.db2.gz QFZVDLMCFVMLQB-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1ccc(-n3cccc3)cc1)C2 ZINC001144246039 1131605633 /nfs/dbraw/zinc/60/56/33/1131605633.db2.gz QFZVDLMCFVMLQB-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO CC[N@H+](Cc1ccc(=O)[nH]c1)Cc1c(F)cccc1Cl ZINC001139469132 1131609895 /nfs/dbraw/zinc/60/98/95/1131609895.db2.gz LGUCQCDVPPSJNQ-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO CC[N@@H+](Cc1ccc(=O)[nH]c1)Cc1c(F)cccc1Cl ZINC001139469132 1131609898 /nfs/dbraw/zinc/60/98/98/1131609898.db2.gz LGUCQCDVPPSJNQ-UHFFFAOYSA-N 1 2 294.757 3.602 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2c(Cl)ccnc2Cl)CC1 ZINC001139474838 1131610395 /nfs/dbraw/zinc/61/03/95/1131610395.db2.gz JOILKOBNPXVUCI-SECBINFHSA-N 1 2 277.170 3.712 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2c(Cl)ccnc2Cl)CC1 ZINC001139474838 1131610397 /nfs/dbraw/zinc/61/03/97/1131610397.db2.gz JOILKOBNPXVUCI-SECBINFHSA-N 1 2 277.170 3.712 20 0 CHADLO Clc1ccnc(Cl)c1C[N@@H+]1CCCC12CC2 ZINC001139477234 1131610553 /nfs/dbraw/zinc/61/05/53/1131610553.db2.gz WVFXSSNDZWZRPC-UHFFFAOYSA-N 1 2 257.164 3.517 20 0 CHADLO Clc1ccnc(Cl)c1C[N@H+]1CCCC12CC2 ZINC001139477234 1131610556 /nfs/dbraw/zinc/61/05/56/1131610556.db2.gz WVFXSSNDZWZRPC-UHFFFAOYSA-N 1 2 257.164 3.517 20 0 CHADLO C[N@H+](Cc1cncs1)Cc1ccc(-c2ccccc2)nc1 ZINC001139522238 1131612179 /nfs/dbraw/zinc/61/21/79/1131612179.db2.gz QYBWEOWVZUSFCT-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1cncs1)Cc1ccc(-c2ccccc2)nc1 ZINC001139522238 1131612180 /nfs/dbraw/zinc/61/21/80/1131612180.db2.gz QYBWEOWVZUSFCT-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2cccc(Cl)n2)cn1 ZINC001139552014 1131615374 /nfs/dbraw/zinc/61/53/74/1131615374.db2.gz KWVABFKKXMGTIQ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2cccc(Cl)n2)cn1 ZINC001139552014 1131615377 /nfs/dbraw/zinc/61/53/77/1131615377.db2.gz KWVABFKKXMGTIQ-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO CSc1cc(NC(=O)C[C@@H](C)n2cc[nH+]c2)ccc1C ZINC000845032065 1131615608 /nfs/dbraw/zinc/61/56/08/1131615608.db2.gz GDOGSESLAGJLQC-GFCCVEGCSA-N 1 2 289.404 3.503 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCO[C@H](C(C)C)C2)cc1Cl ZINC001139597444 1131619432 /nfs/dbraw/zinc/61/94/32/1131619432.db2.gz WLNDVKJWLREDSG-INIZCTEOSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@H+]2CCO[C@H](C(C)C)C2)cc1Cl ZINC001139597444 1131619434 /nfs/dbraw/zinc/61/94/34/1131619434.db2.gz WLNDVKJWLREDSG-INIZCTEOSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@@H+]2CCO[C@@H](C(C)C)C2)cc1Cl ZINC001139597445 1131620928 /nfs/dbraw/zinc/62/09/28/1131620928.db2.gz WLNDVKJWLREDSG-MRXNPFEDSA-N 1 2 297.826 3.596 20 0 CHADLO CCOc1ccc(C[N@H+]2CCO[C@@H](C(C)C)C2)cc1Cl ZINC001139597445 1131620930 /nfs/dbraw/zinc/62/09/30/1131620930.db2.gz WLNDVKJWLREDSG-MRXNPFEDSA-N 1 2 297.826 3.596 20 0 CHADLO Cc1nc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)sc1C ZINC001144375635 1131622654 /nfs/dbraw/zinc/62/26/54/1131622654.db2.gz UFXQGSCHGSGEPZ-HNNXBMFYSA-N 1 2 294.464 3.541 20 0 CHADLO Cc1nc(C[N@H+]2CCOC[C@H]2C2CCCCC2)sc1C ZINC001144375635 1131622657 /nfs/dbraw/zinc/62/26/57/1131622657.db2.gz UFXQGSCHGSGEPZ-HNNXBMFYSA-N 1 2 294.464 3.541 20 0 CHADLO CCCC[C@H](CCC)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845282971 1131624641 /nfs/dbraw/zinc/62/46/41/1131624641.db2.gz WLIBKBWFIGJSHB-KGLIPLIRSA-N 1 2 266.385 3.736 20 0 CHADLO CCCC[C@@H](CCC)OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845282973 1131624660 /nfs/dbraw/zinc/62/46/60/1131624660.db2.gz WLIBKBWFIGJSHB-ZIAGYGMSSA-N 1 2 266.385 3.736 20 0 CHADLO c1cnc2c(c1)C[N@@H+](Cc1ccc(-c3cccnc3)cc1)C2 ZINC001139640057 1131625763 /nfs/dbraw/zinc/62/57/63/1131625763.db2.gz OBPLJONPBAEETR-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO c1cnc2c(c1)C[N@H+](Cc1ccc(-c3cccnc3)cc1)C2 ZINC001139640057 1131625764 /nfs/dbraw/zinc/62/57/64/1131625764.db2.gz OBPLJONPBAEETR-UHFFFAOYSA-N 1 2 287.366 3.659 20 0 CHADLO CC(C)c1csc(SCCCn2cc[nH+]c2)n1 ZINC000845330535 1131627464 /nfs/dbraw/zinc/62/74/64/1131627464.db2.gz PJRRETMDRUUNGB-UHFFFAOYSA-N 1 2 267.423 3.645 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)cc1Br ZINC001139728505 1131633755 /nfs/dbraw/zinc/63/37/55/1131633755.db2.gz IEVHZZGKANZUID-OBJOEFQTSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)cc1Br ZINC001139728505 1131633758 /nfs/dbraw/zinc/63/37/58/1131633758.db2.gz IEVHZZGKANZUID-OBJOEFQTSA-N 1 2 298.199 3.547 20 0 CHADLO CC[C@H](C)c1ccc(OC(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000845440161 1131634364 /nfs/dbraw/zinc/63/43/64/1131634364.db2.gz IJTRQUYVYRWHQR-KBPBESRZSA-N 1 2 286.375 3.953 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@H]2OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845504596 1131639082 /nfs/dbraw/zinc/63/90/82/1131639082.db2.gz XRHPBQBBXHVZKE-IIAWOOMASA-N 1 2 298.386 3.934 20 0 CHADLO COc1ncc(C[N@H+](C)Cc2ccccc2Cl)cc1F ZINC001144462909 1131640823 /nfs/dbraw/zinc/64/08/23/1131640823.db2.gz LKSWTUUMYYPEFA-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ncc(C[N@@H+](C)Cc2ccccc2Cl)cc1F ZINC001144462909 1131640824 /nfs/dbraw/zinc/64/08/24/1131640824.db2.gz LKSWTUUMYYPEFA-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(Cl)cc(C[N@@H+]2CCC[C@@](C)(F)C2)c1 ZINC001144480803 1131642236 /nfs/dbraw/zinc/64/22/36/1131642236.db2.gz IDLXEHKHUVQGOY-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(Cl)cc(C[N@H+]2CCC[C@@](C)(F)C2)c1 ZINC001144480803 1131642238 /nfs/dbraw/zinc/64/22/38/1131642238.db2.gz IDLXEHKHUVQGOY-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+]1Cc1cc(C(F)(F)F)ccc1C ZINC001139886611 1131646706 /nfs/dbraw/zinc/64/67/06/1131646706.db2.gz BDQSGWATDGIXBD-AWEZNQCLSA-N 1 2 285.309 3.567 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+]1Cc1cc(C(F)(F)F)ccc1C ZINC001139886611 1131646708 /nfs/dbraw/zinc/64/67/08/1131646708.db2.gz BDQSGWATDGIXBD-AWEZNQCLSA-N 1 2 285.309 3.567 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCC[C@H](F)C1 ZINC001139886026 1131646716 /nfs/dbraw/zinc/64/67/16/1131646716.db2.gz XJDBEXLGZOBFOF-ZDUSSCGKSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CC[C@@H](F)C1 ZINC001139884513 1131646884 /nfs/dbraw/zinc/64/68/84/1131646884.db2.gz DGZVIOKSBVHTJB-GFCCVEGCSA-N 1 2 261.262 3.558 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CC[C@@H](F)C1 ZINC001139884513 1131646886 /nfs/dbraw/zinc/64/68/86/1131646886.db2.gz DGZVIOKSBVHTJB-GFCCVEGCSA-N 1 2 261.262 3.558 20 0 CHADLO Clc1ccsc1CNc1cc2cc[nH]c2c[nH+]1 ZINC001202985542 1131651665 /nfs/dbraw/zinc/65/16/65/1131651665.db2.gz IUQAQGFGZCCOSL-UHFFFAOYSA-N 1 2 263.753 3.890 20 0 CHADLO FC(F)(F)c1ccnc(C[N@@H+]2CCc3ccccc3C2)c1 ZINC001140102357 1131663585 /nfs/dbraw/zinc/66/35/85/1131663585.db2.gz NMXOKCVWYZFNKK-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1ccnc(C[N@H+]2CCc3ccccc3C2)c1 ZINC001140102357 1131663587 /nfs/dbraw/zinc/66/35/87/1131663587.db2.gz NMXOKCVWYZFNKK-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO Cc1cc(C[N@@H+]2CCCCC(F)(F)C2)c(C)cc1O ZINC001140197833 1131675013 /nfs/dbraw/zinc/67/50/13/1131675013.db2.gz VPTSGNBBESMETF-UHFFFAOYSA-N 1 2 269.335 3.630 20 0 CHADLO Cc1cc(C[N@H+]2CCCCC(F)(F)C2)c(C)cc1O ZINC001140197833 1131675016 /nfs/dbraw/zinc/67/50/16/1131675016.db2.gz VPTSGNBBESMETF-UHFFFAOYSA-N 1 2 269.335 3.630 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1cc(F)c(O)cc1F ZINC001144660199 1131679443 /nfs/dbraw/zinc/67/94/43/1131679443.db2.gz WGOTWOWXYYBWKB-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1cc(F)c(O)cc1F ZINC001144660199 1131679445 /nfs/dbraw/zinc/67/94/45/1131679445.db2.gz WGOTWOWXYYBWKB-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO Oc1cccc2[nH]cc(C[N@@H+]3Cc4ccc(F)cc4C3)c21 ZINC001140236014 1131680285 /nfs/dbraw/zinc/68/02/85/1131680285.db2.gz XTOZWDWJEOBCDW-UHFFFAOYSA-N 1 2 282.318 3.528 20 0 CHADLO Oc1cccc2[nH]cc(C[N@H+]3Cc4ccc(F)cc4C3)c21 ZINC001140236014 1131680288 /nfs/dbraw/zinc/68/02/88/1131680288.db2.gz XTOZWDWJEOBCDW-UHFFFAOYSA-N 1 2 282.318 3.528 20 0 CHADLO Fc1cc(Cl)c(C[N@@H+]2CCC[C@H]3C[C@H]32)cc1F ZINC001144732079 1131695853 /nfs/dbraw/zinc/69/58/53/1131695853.db2.gz LDPDMDLUUMKTFM-ISVAXAHUSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(Cl)c(C[N@H+]2CCC[C@H]3C[C@H]32)cc1F ZINC001144732079 1131695855 /nfs/dbraw/zinc/69/58/55/1131695855.db2.gz LDPDMDLUUMKTFM-ISVAXAHUSA-N 1 2 257.711 3.603 20 0 CHADLO c1cc2cccc(C[NH2+][C@@H]3CCCc4[nH]ncc43)c2s1 ZINC000846176096 1131696022 /nfs/dbraw/zinc/69/60/22/1131696022.db2.gz UHUJGRGLVOYNHQ-CQSZACIVSA-N 1 2 283.400 3.792 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2C[C@@H](OC)C23CCC3)c1 ZINC000834733365 1131699154 /nfs/dbraw/zinc/69/91/54/1131699154.db2.gz GEBTVIJDMYICNP-ZIAGYGMSSA-N 1 2 292.448 3.704 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@@H+]2Cc2ccncn2)s1 ZINC001140347896 1131704653 /nfs/dbraw/zinc/70/46/53/1131704653.db2.gz ZXYWMQQHKKFROQ-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@H+]2Cc2ccncn2)s1 ZINC001140347896 1131704655 /nfs/dbraw/zinc/70/46/55/1131704655.db2.gz ZXYWMQQHKKFROQ-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(OC)c(COC)c1)C(F)F ZINC000834828178 1131709136 /nfs/dbraw/zinc/70/91/36/1131709136.db2.gz QMAQYYYBCORZIZ-MFKMUULPSA-N 1 2 287.350 3.536 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1ccc3n[nH]cc3c1)C2 ZINC001140388038 1131712386 /nfs/dbraw/zinc/71/23/86/1131712386.db2.gz GRIPFMCVHLUVCQ-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1ccc3n[nH]cc3c1)C2 ZINC001140388038 1131712391 /nfs/dbraw/zinc/71/23/91/1131712391.db2.gz GRIPFMCVHLUVCQ-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccccc2OC(F)F)co1 ZINC000834899483 1131724219 /nfs/dbraw/zinc/72/42/19/1131724219.db2.gz HRUMOULPGURJSM-JTQLQIEISA-N 1 2 296.317 3.689 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCSc3ccccc32)co1 ZINC000834901352 1131724536 /nfs/dbraw/zinc/72/45/36/1131724536.db2.gz SNJJNFZUJKRCHR-ZDUSSCGKSA-N 1 2 274.389 3.564 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCO[C@H](C(C)(C)C)C2)c(OC)c1 ZINC000846752190 1131731933 /nfs/dbraw/zinc/73/19/33/1131731933.db2.gz XOSDUXHBIKYTCA-WBMJQRKESA-N 1 2 293.407 3.709 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1c[nH]c2cccc(Cl)c12 ZINC001140445944 1131740048 /nfs/dbraw/zinc/74/00/48/1131740048.db2.gz ASKQIJGTFXNWOA-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1c[nH]c2cccc(Cl)c12 ZINC001140445944 1131740052 /nfs/dbraw/zinc/74/00/52/1131740052.db2.gz ASKQIJGTFXNWOA-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO CCCC[C@@H]([NH2+]Cc1cnsn1)c1ccc(OC)cc1 ZINC000846844902 1131751049 /nfs/dbraw/zinc/75/10/49/1131751049.db2.gz QUYKPKWSNHGGNW-OAHLLOKOSA-N 1 2 291.420 3.568 20 0 CHADLO CC1(C)C[NH+](Cc2cc(F)cc(Cl)c2)CC(C)(C)O1 ZINC001140542897 1131787444 /nfs/dbraw/zinc/78/74/44/1131787444.db2.gz RRHHQXKMPLRRPT-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO CC(C)Oc1cc(N[C@@H]2COCc3ccccc32)cc[nH+]1 ZINC001171295602 1131806734 /nfs/dbraw/zinc/80/67/34/1131806734.db2.gz VVFNTJZSTXPFSY-MRXNPFEDSA-N 1 2 284.359 3.552 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)sc2Cl)CC[C@@]1(C)O ZINC001140584668 1131809832 /nfs/dbraw/zinc/80/98/32/1131809832.db2.gz ARDKJFJIKLHGRW-PRHODGIISA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)sc2Cl)CC[C@@]1(C)O ZINC001140584668 1131809836 /nfs/dbraw/zinc/80/98/36/1131809836.db2.gz ARDKJFJIKLHGRW-PRHODGIISA-N 1 2 294.247 3.648 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1cc(Cl)ncc1F ZINC001140607832 1131824503 /nfs/dbraw/zinc/82/45/03/1131824503.db2.gz XKKSXUCHINUNGY-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1cc(Cl)ncc1F ZINC001140607832 1131824511 /nfs/dbraw/zinc/82/45/11/1131824511.db2.gz XKKSXUCHINUNGY-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H]3CCCc4cccnc43)cc2[nH+]1 ZINC001228326539 1131858515 /nfs/dbraw/zinc/85/85/15/1131858515.db2.gz ISUPVWNXXHSVOO-MRXNPFEDSA-N 1 2 279.343 3.723 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](C)c3cccnc3F)cc2[nH+]1 ZINC001228328840 1131859630 /nfs/dbraw/zinc/85/96/30/1131859630.db2.gz JLZZPQPQJXMBBQ-SECBINFHSA-N 1 2 271.295 3.545 20 0 CHADLO CSC1CC[NH+](Cc2cc(F)c(Cl)cc2F)CC1 ZINC001140701162 1131880510 /nfs/dbraw/zinc/88/05/10/1131880510.db2.gz AGUAFYBMHLWITH-UHFFFAOYSA-N 1 2 291.794 3.946 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2coc3ccccc23)no1 ZINC000282707217 1131883401 /nfs/dbraw/zinc/88/34/01/1131883401.db2.gz PHWPQOWYUATZAM-LLVKDONJSA-N 1 2 256.305 3.580 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H]3C[C@H]32)c(F)cc1Cl ZINC001140702274 1131883696 /nfs/dbraw/zinc/88/36/96/1131883696.db2.gz LJWQEXMJBMPNQK-ISVAXAHUSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H]3C[C@H]32)c(F)cc1Cl ZINC001140702274 1131883706 /nfs/dbraw/zinc/88/37/06/1131883706.db2.gz LJWQEXMJBMPNQK-ISVAXAHUSA-N 1 2 257.711 3.603 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2cc(F)c(Cl)cc2F)[C@H](C)C1 ZINC001140704389 1131885336 /nfs/dbraw/zinc/88/53/36/1131885336.db2.gz OHFAXMQSHHFCPU-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2cc(F)c(Cl)cc2F)[C@H](C)C1 ZINC001140704389 1131885344 /nfs/dbraw/zinc/88/53/44/1131885344.db2.gz OHFAXMQSHHFCPU-MWLCHTKSSA-N 1 2 289.753 3.618 20 0 CHADLO Fc1ccc(OC2C[NH+](Cc3coc4ccccc34)C2)cc1 ZINC001140787073 1131918042 /nfs/dbraw/zinc/91/80/42/1131918042.db2.gz WWKBYUMMCOQSMI-UHFFFAOYSA-N 1 2 297.329 3.835 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2ccccc2)c(F)c1 ZINC001140823333 1131937932 /nfs/dbraw/zinc/93/79/32/1131937932.db2.gz CIBYMVRPUIDEKC-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2ccccc2)c(F)c1 ZINC001140823333 1131937936 /nfs/dbraw/zinc/93/79/36/1131937936.db2.gz CIBYMVRPUIDEKC-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)N1CCCCCCCC1 ZINC000836418282 1131969686 /nfs/dbraw/zinc/96/96/86/1131969686.db2.gz WFJMTMBHIFSXBZ-UHFFFAOYSA-N 1 2 286.379 3.522 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1)Cc1cc(F)c(O)c(F)c1 ZINC001140990419 1131978493 /nfs/dbraw/zinc/97/84/93/1131978493.db2.gz YMOBQCJQFDIFJP-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1)Cc1cc(F)c(O)c(F)c1 ZINC001140990419 1131978497 /nfs/dbraw/zinc/97/84/97/1131978497.db2.gz YMOBQCJQFDIFJP-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1cnccc1C ZINC001141037582 1131990025 /nfs/dbraw/zinc/99/00/25/1131990025.db2.gz XOOLYNBIOXQVOP-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1cnccc1C ZINC001141037582 1131990029 /nfs/dbraw/zinc/99/00/29/1131990029.db2.gz XOOLYNBIOXQVOP-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO CCC(CC)[C@@H]([NH2+]Cc1ccn[nH]1)c1ccccc1 ZINC000037107837 1131996325 /nfs/dbraw/zinc/99/63/25/1131996325.db2.gz NBZUWTGXPGKMJD-MRXNPFEDSA-N 1 2 257.381 3.677 20 0 CHADLO CCCCc1ncc(C[N@H+](Cc2ccccc2)C2CC2)[nH]1 ZINC001141071161 1132004587 /nfs/dbraw/zinc/00/45/87/1132004587.db2.gz DRYUXVNSNXXOBA-UHFFFAOYSA-N 1 2 283.419 3.917 20 0 CHADLO CCCCc1ncc(C[N@@H+](Cc2ccccc2)C2CC2)[nH]1 ZINC001141071161 1132004592 /nfs/dbraw/zinc/00/45/92/1132004592.db2.gz DRYUXVNSNXXOBA-UHFFFAOYSA-N 1 2 283.419 3.917 20 0 CHADLO CCCCc1nc(C[N@H+](Cc2ccccc2)C2CC2)c[nH]1 ZINC001141071161 1132004599 /nfs/dbraw/zinc/00/45/99/1132004599.db2.gz DRYUXVNSNXXOBA-UHFFFAOYSA-N 1 2 283.419 3.917 20 0 CHADLO CCCCc1nc(C[N@@H+](Cc2ccccc2)C2CC2)c[nH]1 ZINC001141071161 1132004607 /nfs/dbraw/zinc/00/46/07/1132004607.db2.gz DRYUXVNSNXXOBA-UHFFFAOYSA-N 1 2 283.419 3.917 20 0 CHADLO CC(C)(C)[NH+]1CC(Oc2cc3ccccc3nc2Cl)C1 ZINC001229135584 1132024677 /nfs/dbraw/zinc/02/46/77/1132024677.db2.gz ZRXXCUMLNURSMI-UHFFFAOYSA-N 1 2 290.794 3.750 20 0 CHADLO C/C(=C/c1ccc(C)cc1)C(=O)NCc1c[nH+]c(C)cc1C ZINC000837103296 1132035723 /nfs/dbraw/zinc/03/57/23/1132035723.db2.gz CPJDYMICNPEATL-GDNBJRDFSA-N 1 2 294.398 3.727 20 0 CHADLO Cc1cc(C)c(CNC(=O)/C(F)=C/C2CCCCC2)c[nH+]1 ZINC000837106609 1132037122 /nfs/dbraw/zinc/03/71/22/1132037122.db2.gz ZZPPKJWIRAXLDT-SXGWCWSVSA-N 1 2 290.382 3.748 20 0 CHADLO CC(=O)CC(C)(C)Nc1cc(C)[nH+]c(-c2ccccc2)n1 ZINC001171496105 1132039144 /nfs/dbraw/zinc/03/91/44/1132039144.db2.gz VQCZGKHWPKJWSX-UHFFFAOYSA-N 1 2 283.375 3.622 20 0 CHADLO Cc1ccc(C[N@@H+]2CCn3cccc3[C@@H]2C)c(F)c1 ZINC001141195797 1132041415 /nfs/dbraw/zinc/04/14/15/1132041415.db2.gz DTQNROGTTAIYIM-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1ccc(C[N@H+]2CCn3cccc3[C@@H]2C)c(F)c1 ZINC001141195797 1132041423 /nfs/dbraw/zinc/04/14/23/1132041423.db2.gz DTQNROGTTAIYIM-ZDUSSCGKSA-N 1 2 258.340 3.512 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)ccc1Cl ZINC001141232741 1132053548 /nfs/dbraw/zinc/05/35/48/1132053548.db2.gz JTSZKQXZJVZHOI-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)ccc1Cl ZINC001141232741 1132053553 /nfs/dbraw/zinc/05/35/53/1132053553.db2.gz JTSZKQXZJVZHOI-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO CC(=O)[C@H]1CCCC[N@@H+]1Cc1ccc(Cl)c(C)c1 ZINC001141236677 1132054456 /nfs/dbraw/zinc/05/44/56/1132054456.db2.gz XWZXUYLLPLQULO-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@H]1CCCC[N@H+]1Cc1ccc(Cl)c(C)c1 ZINC001141236677 1132054464 /nfs/dbraw/zinc/05/44/64/1132054464.db2.gz XWZXUYLLPLQULO-OAHLLOKOSA-N 1 2 265.784 3.592 20 0 CHADLO C[C@H]([NH2+][C@H](Cc1ccccc1)c1ncco1)c1ccncc1 ZINC001171567550 1132056628 /nfs/dbraw/zinc/05/66/28/1132056628.db2.gz LZPCEVHPEQRBGP-WMLDXEAASA-N 1 2 293.370 3.704 20 0 CHADLO Fc1ccc(Oc2ccc(C[N@H+]3C[C@H]4C[C@@H](C3)O4)cc2)cc1 ZINC001141317336 1132082002 /nfs/dbraw/zinc/08/20/02/1132082002.db2.gz VEPZJLYEVDTGCJ-HDICACEKSA-N 1 2 299.345 3.591 20 0 CHADLO Fc1ccc(Oc2ccc(C[N@@H+]3C[C@H]4C[C@@H](C3)O4)cc2)cc1 ZINC001141317336 1132082009 /nfs/dbraw/zinc/08/20/09/1132082009.db2.gz VEPZJLYEVDTGCJ-HDICACEKSA-N 1 2 299.345 3.591 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)[C@@H](C)CO1 ZINC001171707475 1132084265 /nfs/dbraw/zinc/08/42/65/1132084265.db2.gz REVWOJOFVXROBZ-SMDDNHRTSA-N 1 2 287.325 3.705 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)[C@@H](C)CO1 ZINC001171707475 1132084273 /nfs/dbraw/zinc/08/42/73/1132084273.db2.gz REVWOJOFVXROBZ-SMDDNHRTSA-N 1 2 287.325 3.705 20 0 CHADLO COc1ccsc1C[N@@H+]1CCC[C@@H]1c1nccs1 ZINC001141327113 1132086268 /nfs/dbraw/zinc/08/62/68/1132086268.db2.gz KCLXZLWVNLJHRF-SNVBAGLBSA-N 1 2 280.418 3.550 20 0 CHADLO COc1ccsc1C[N@H+]1CCC[C@@H]1c1nccs1 ZINC001141327113 1132086275 /nfs/dbraw/zinc/08/62/75/1132086275.db2.gz KCLXZLWVNLJHRF-SNVBAGLBSA-N 1 2 280.418 3.550 20 0 CHADLO Clc1cncc(Cl)c1C[N@@H+]1C[C@H]2CCCC[C@H]21 ZINC001171756066 1132087772 /nfs/dbraw/zinc/08/77/72/1132087772.db2.gz DMYJCURCGJEWRS-NOZJJQNGSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1cncc(Cl)c1C[N@H+]1C[C@H]2CCCC[C@H]21 ZINC001171756066 1132087780 /nfs/dbraw/zinc/08/77/80/1132087780.db2.gz DMYJCURCGJEWRS-NOZJJQNGSA-N 1 2 271.191 3.763 20 0 CHADLO O=C1CC[NH+](Cc2cccc(-c3ccsc3)c2)CC1 ZINC001141377379 1132106297 /nfs/dbraw/zinc/10/62/97/1132106297.db2.gz MDDFYFOLSVFSTJ-UHFFFAOYSA-N 1 2 271.385 3.580 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1ccncc1 ZINC001204081014 1132119857 /nfs/dbraw/zinc/11/98/57/1132119857.db2.gz GWFBVVIMHFGPEV-IAGOWNOFSA-N 1 2 276.424 3.845 20 0 CHADLO CC[C@@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1ccncc1 ZINC001204081014 1132119861 /nfs/dbraw/zinc/11/98/61/1132119861.db2.gz GWFBVVIMHFGPEV-IAGOWNOFSA-N 1 2 276.424 3.845 20 0 CHADLO C[C@H]1CCCC[C@@H]1Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229952096 1132135305 /nfs/dbraw/zinc/13/53/05/1132135305.db2.gz XXWKSEUEQJGJQZ-BBRMVZONSA-N 1 2 256.349 3.830 20 0 CHADLO C[C@H](Cc1ccccc1)Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229954701 1132136587 /nfs/dbraw/zinc/13/65/87/1132136587.db2.gz UXHVRJWEAGXNRK-OAHLLOKOSA-N 1 2 278.355 3.882 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1c(F)cc(Br)cc1F ZINC001141551834 1132163834 /nfs/dbraw/zinc/16/38/34/1132163834.db2.gz RSQMUXHYWDBVJN-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1c(F)cc(Br)cc1F ZINC001141551834 1132163832 /nfs/dbraw/zinc/16/38/32/1132163832.db2.gz RSQMUXHYWDBVJN-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO Cc1cc([NH2+]C2CC3(CCC3)C2)ccc1N1CCOCC1 ZINC000839008852 1132166639 /nfs/dbraw/zinc/16/66/39/1132166639.db2.gz PVUUXWWLOXPTMA-UHFFFAOYSA-N 1 2 286.419 3.576 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCc3occc3C2)c(Cl)n1 ZINC000839015129 1132168003 /nfs/dbraw/zinc/16/80/03/1132168003.db2.gz HZQHEOZIZWZJKE-UHFFFAOYSA-N 1 2 276.767 3.585 20 0 CHADLO Cc1ccc(C[N@H+]2CCCc3occc3C2)c(Cl)n1 ZINC000839015129 1132168005 /nfs/dbraw/zinc/16/80/05/1132168005.db2.gz HZQHEOZIZWZJKE-UHFFFAOYSA-N 1 2 276.767 3.585 20 0 CHADLO Cc1nc([C@@H]2COCC[N@H+]2[C@@H]2CC[C@@H](C)[C@@H](C)C2)cs1 ZINC001172373310 1132168164 /nfs/dbraw/zinc/16/81/64/1132168164.db2.gz LQROXTZVKZULMS-FIRUKDTASA-N 1 2 294.464 3.650 20 0 CHADLO Cc1nc([C@@H]2COCC[N@@H+]2[C@@H]2CC[C@@H](C)[C@@H](C)C2)cs1 ZINC001172373310 1132168167 /nfs/dbraw/zinc/16/81/67/1132168167.db2.gz LQROXTZVKZULMS-FIRUKDTASA-N 1 2 294.464 3.650 20 0 CHADLO FC(F)(F)CC1C[NH+](Cc2cc(Cl)cs2)C1 ZINC000839138646 1132182454 /nfs/dbraw/zinc/18/24/54/1132182454.db2.gz RUNXEPONCLLBGN-UHFFFAOYSA-N 1 2 269.719 3.786 20 0 CHADLO Cc1ccccc1[C@H](C)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230919949 1132227448 /nfs/dbraw/zinc/22/74/48/1132227448.db2.gz HEBWOUYQKRJAFF-ZDUSSCGKSA-N 1 2 281.355 3.816 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920543 1132228174 /nfs/dbraw/zinc/22/81/74/1132228174.db2.gz OTLSZWXVVMAJEG-WBMJQRKESA-N 1 2 273.376 3.715 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc2c(c1)Cc1ccccc1-2 ZINC001141740049 1132244905 /nfs/dbraw/zinc/24/49/05/1132244905.db2.gz SIRABGGXBJDFLB-UHFFFAOYSA-N 1 2 290.366 3.878 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc2c(c1)Cc1ccccc1-2 ZINC001141740049 1132244912 /nfs/dbraw/zinc/24/49/12/1132244912.db2.gz SIRABGGXBJDFLB-UHFFFAOYSA-N 1 2 290.366 3.878 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(C(F)(F)F)cs2)C2(CC2)C1 ZINC001141765128 1132247776 /nfs/dbraw/zinc/24/77/76/1132247776.db2.gz LEJHQBCLSNFOIO-QMMMGPOBSA-N 1 2 276.327 3.536 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(C(F)(F)F)cs2)C2(CC2)C1 ZINC001141765128 1132247783 /nfs/dbraw/zinc/24/77/83/1132247783.db2.gz LEJHQBCLSNFOIO-QMMMGPOBSA-N 1 2 276.327 3.536 20 0 CHADLO C[C@@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1ncccc1F ZINC001231083699 1132252608 /nfs/dbraw/zinc/25/26/08/1132252608.db2.gz NNZWARLRJWJPHL-GFCCVEGCSA-N 1 2 297.333 3.761 20 0 CHADLO C[C@H](COC(C)(C)C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231078861 1132252693 /nfs/dbraw/zinc/25/26/93/1132252693.db2.gz FVYILGFZIPGLNO-CYBMUJFWSA-N 1 2 288.391 3.670 20 0 CHADLO c1c[nH+]c(-c2ccc(O[C@@H]3COCc4ccccc43)cc2)[nH]1 ZINC001231080988 1132252767 /nfs/dbraw/zinc/25/27/67/1132252767.db2.gz JQWQRZKLOULEQD-QGZVFWFLSA-N 1 2 292.338 3.727 20 0 CHADLO C[C@@H](Oc1ccc(-c2c[nH+]cn2C)cc1)c1cccnc1 ZINC001231082630 1132253352 /nfs/dbraw/zinc/25/33/52/1132253352.db2.gz PXLNVJGGWLWHLE-CYBMUJFWSA-N 1 2 279.343 3.622 20 0 CHADLO c1c[nH+]c(-c2ccc(O[C@H]3CCOc4ccccc43)cc2)[nH]1 ZINC001231080812 1132254035 /nfs/dbraw/zinc/25/40/35/1132254035.db2.gz IEGSXJDHSZOMLJ-KRWDZBQOSA-N 1 2 292.338 3.979 20 0 CHADLO C[C@H](Cc1ccco1)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231084013 1132254396 /nfs/dbraw/zinc/25/43/96/1132254396.db2.gz SKWOBEZHHLSDHG-CYBMUJFWSA-N 1 2 282.343 3.690 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2Cc3ccncc3C2)cc1C ZINC001231484490 1132299924 /nfs/dbraw/zinc/29/99/24/1132299924.db2.gz OLCOOQSOGHCLBD-UHFFFAOYSA-N 1 2 252.361 3.523 20 0 CHADLO Cc1cc(C)c(C[N@H+]2Cc3ccncc3C2)cc1C ZINC001231484490 1132299933 /nfs/dbraw/zinc/29/99/33/1132299933.db2.gz OLCOOQSOGHCLBD-UHFFFAOYSA-N 1 2 252.361 3.523 20 0 CHADLO CC(C)(C)[C@H]1CCC[C@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001142064841 1132315458 /nfs/dbraw/zinc/31/54/58/1132315458.db2.gz BCKXRINUJBUNAW-GXTWGEPZSA-N 1 2 285.391 3.508 20 0 CHADLO CCCCCCO[C@@H](C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000841023341 1132319721 /nfs/dbraw/zinc/31/97/21/1132319721.db2.gz BNWJXADVQLDYOK-HNNXBMFYSA-N 1 2 292.423 3.931 20 0 CHADLO COc1cc(F)ccc1C[N@@H+]1CCc2ccc(F)cc2C1 ZINC001231604782 1132326021 /nfs/dbraw/zinc/32/60/21/1132326021.db2.gz GOEXHOANHMGQKA-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(F)ccc1C[N@H+]1CCc2ccc(F)cc2C1 ZINC001231604782 1132326028 /nfs/dbraw/zinc/32/60/28/1132326028.db2.gz GOEXHOANHMGQKA-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[NH+]1C[C@@H](C)O[C@H](C)C1 ZINC001172849477 1132339312 /nfs/dbraw/zinc/33/93/12/1132339312.db2.gz MYVPFUQUTJCUBF-QJPTWQEYSA-N 1 2 285.790 3.519 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1[C@@H](C)Cc1c(F)cccc1Cl ZINC001172858107 1132343575 /nfs/dbraw/zinc/34/35/75/1132343575.db2.gz TZZXOGAYXVPGLY-NWDGAFQWSA-N 1 2 285.790 3.521 20 0 CHADLO CC[C@@H]1COCC[N@H+]1[C@@H](C)Cc1c(F)cccc1Cl ZINC001172858107 1132343583 /nfs/dbraw/zinc/34/35/83/1132343583.db2.gz TZZXOGAYXVPGLY-NWDGAFQWSA-N 1 2 285.790 3.521 20 0 CHADLO CC[C@H]1COCC[N@@H+]1[C@@H](C)Cc1c(F)cccc1Cl ZINC001172858109 1132343720 /nfs/dbraw/zinc/34/37/20/1132343720.db2.gz TZZXOGAYXVPGLY-RYUDHWBXSA-N 1 2 285.790 3.521 20 0 CHADLO CC[C@H]1COCC[N@H+]1[C@@H](C)Cc1c(F)cccc1Cl ZINC001172858109 1132343728 /nfs/dbraw/zinc/34/37/28/1132343728.db2.gz TZZXOGAYXVPGLY-RYUDHWBXSA-N 1 2 285.790 3.521 20 0 CHADLO C[N@H+](Cc1ccc(Cl)c(O)c1)Cc1ccc(F)cc1F ZINC001231736676 1132350816 /nfs/dbraw/zinc/35/08/16/1132350816.db2.gz JPVQQUVYILLFML-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)c(O)c1)Cc1ccc(F)cc1F ZINC001231736676 1132350825 /nfs/dbraw/zinc/35/08/25/1132350825.db2.gz JPVQQUVYILLFML-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO CC(C)OC1C[NH+]([C@@H](C)Cc2ccc(F)cc2Cl)C1 ZINC001172870974 1132350783 /nfs/dbraw/zinc/35/07/83/1132350783.db2.gz GFRXNDAFDRVWSX-NSHDSACASA-N 1 2 285.790 3.519 20 0 CHADLO CSc1ccccc1C[N@H+]1CCC(F)(F)[C@H](F)C1 ZINC001231781747 1132360798 /nfs/dbraw/zinc/36/07/98/1132360798.db2.gz CVNKQDCIWXGCJP-GFCCVEGCSA-N 1 2 275.339 3.588 20 0 CHADLO CSc1ccccc1C[N@@H+]1CCC(F)(F)[C@H](F)C1 ZINC001231781747 1132360788 /nfs/dbraw/zinc/36/07/88/1132360788.db2.gz CVNKQDCIWXGCJP-GFCCVEGCSA-N 1 2 275.339 3.588 20 0 CHADLO c1csc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212693250 1132362764 /nfs/dbraw/zinc/36/27/64/1132362764.db2.gz LKRQADDJCJGIPC-UHFFFAOYSA-N 1 2 255.346 3.737 20 0 CHADLO CCCC1CC[NH+](Cc2cnc(SC)nc2Cl)CC1 ZINC001142427010 1132386219 /nfs/dbraw/zinc/38/62/19/1132386219.db2.gz IVQZIUHJVXDGDU-UHFFFAOYSA-N 1 2 299.871 3.864 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(Cl)cnc2F)CCC1(F)F ZINC001231990390 1132407055 /nfs/dbraw/zinc/40/70/55/1132407055.db2.gz DBBAWIXDKOYVHO-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(Cl)cnc2F)CCC1(F)F ZINC001231990390 1132407061 /nfs/dbraw/zinc/40/70/61/1132407061.db2.gz DBBAWIXDKOYVHO-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CCOC(=O)c1ccc(C[N@@H+]2Cc3ccc(F)cc3C2)cc1 ZINC001142599921 1132413406 /nfs/dbraw/zinc/41/34/06/1132413406.db2.gz ZQTIFVGFEAWMBR-UHFFFAOYSA-N 1 2 299.345 3.518 20 0 CHADLO CCOC(=O)c1ccc(C[N@H+]2Cc3ccc(F)cc3C2)cc1 ZINC001142599921 1132413409 /nfs/dbraw/zinc/41/34/09/1132413409.db2.gz ZQTIFVGFEAWMBR-UHFFFAOYSA-N 1 2 299.345 3.518 20 0 CHADLO Cc1scnc1C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1 ZINC001232204341 1132436118 /nfs/dbraw/zinc/43/61/18/1132436118.db2.gz LHTKCUYQKAIABF-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1scnc1C[N@H+]1CCC[C@@H]1c1ccc(F)cc1 ZINC001232204341 1132436122 /nfs/dbraw/zinc/43/61/22/1132436122.db2.gz LHTKCUYQKAIABF-OAHLLOKOSA-N 1 2 276.380 3.928 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CCc3occc3C2)ccn1 ZINC001232220530 1132438523 /nfs/dbraw/zinc/43/85/23/1132438523.db2.gz NAWDJWIBQHIKCA-UHFFFAOYSA-N 1 2 270.376 3.530 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CCc3occc3C2)ccn1 ZINC001232220530 1132438528 /nfs/dbraw/zinc/43/85/28/1132438528.db2.gz NAWDJWIBQHIKCA-UHFFFAOYSA-N 1 2 270.376 3.530 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccccc2Cl)c(C)n1 ZINC001232334077 1132455675 /nfs/dbraw/zinc/45/56/75/1132455675.db2.gz UFKCOBUDCOIXEQ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccccc2Cl)c(C)n1 ZINC001232334077 1132455681 /nfs/dbraw/zinc/45/56/81/1132455681.db2.gz UFKCOBUDCOIXEQ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1ccc(OCc2ccccc2)c(C[N@H+](C)CCF)c1 ZINC001232377174 1132462468 /nfs/dbraw/zinc/46/24/68/1132462468.db2.gz UFNAFESOFFADIK-UHFFFAOYSA-N 1 2 287.378 3.975 20 0 CHADLO Cc1ccc(OCc2ccccc2)c(C[N@@H+](C)CCF)c1 ZINC001232377174 1132462472 /nfs/dbraw/zinc/46/24/72/1132462472.db2.gz UFNAFESOFFADIK-UHFFFAOYSA-N 1 2 287.378 3.975 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCc3sccc3C2)cc1F ZINC001232421112 1132467318 /nfs/dbraw/zinc/46/73/18/1132467318.db2.gz GCSJFCGJWCLAAW-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCc3sccc3C2)cc1F ZINC001232421112 1132467320 /nfs/dbraw/zinc/46/73/20/1132467320.db2.gz GCSJFCGJWCLAAW-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1c(F)cc(C[NH+]2CCC(F)(F)CC2)cc1F ZINC001232420429 1132467628 /nfs/dbraw/zinc/46/76/28/1132467628.db2.gz QDFWUANYDFMPIJ-UHFFFAOYSA-N 1 2 261.262 3.504 20 0 CHADLO Cc1ccc(OC(C)C)c(C[N@@H+]2CCC(=O)C(C)(C)C2)c1 ZINC001232399087 1132467876 /nfs/dbraw/zinc/46/78/76/1132467876.db2.gz SGJWJJZCPGOECL-UHFFFAOYSA-N 1 2 289.419 3.583 20 0 CHADLO Cc1ccc(OC(C)C)c(C[N@H+]2CCC(=O)C(C)(C)C2)c1 ZINC001232399087 1132467880 /nfs/dbraw/zinc/46/78/80/1132467880.db2.gz SGJWJJZCPGOECL-UHFFFAOYSA-N 1 2 289.419 3.583 20 0 CHADLO Fc1ccnc(C[N@@H+]2CCC[C@H]2c2ccccc2F)c1 ZINC001232493689 1132479803 /nfs/dbraw/zinc/47/98/03/1132479803.db2.gz ACYDTXQVYPNMEW-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccnc(C[N@H+]2CCC[C@H]2c2ccccc2F)c1 ZINC001232493689 1132479804 /nfs/dbraw/zinc/47/98/04/1132479804.db2.gz ACYDTXQVYPNMEW-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO FCc1cccc(C[N@@H+]2CCc3cccc(Cl)c3C2)n1 ZINC001232539209 1132486181 /nfs/dbraw/zinc/48/61/81/1132486181.db2.gz ZGMGQLVUTXVRNY-UHFFFAOYSA-N 1 2 290.769 3.763 20 0 CHADLO FCc1cccc(C[N@H+]2CCc3cccc(Cl)c3C2)n1 ZINC001232539209 1132486185 /nfs/dbraw/zinc/48/61/85/1132486185.db2.gz ZGMGQLVUTXVRNY-UHFFFAOYSA-N 1 2 290.769 3.763 20 0 CHADLO CC[NH2+]Cc1noc([C@H](C)Sc2ccccc2Cl)n1 ZINC000843143933 1132496861 /nfs/dbraw/zinc/49/68/61/1132496861.db2.gz LNVLMPVFGRIPOV-VIFPVBQESA-N 1 2 297.811 3.686 20 0 CHADLO CCO[C@@H]1CC[N@H+](Cc2cc(F)c(Cl)cc2Cl)C1 ZINC001232704196 1132508517 /nfs/dbraw/zinc/50/85/17/1132508517.db2.gz VFUSRPZKPFZTQV-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc3ncsc3c2)no1 ZINC001232736674 1132512333 /nfs/dbraw/zinc/51/23/33/1132512333.db2.gz INMPBIJBUIFAJR-OAHLLOKOSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc3ncsc3c2)no1 ZINC001232736674 1132512340 /nfs/dbraw/zinc/51/23/40/1132512340.db2.gz INMPBIJBUIFAJR-OAHLLOKOSA-N 1 2 299.399 3.930 20 0 CHADLO N=C1C=C(Cl)C=C(N)[N@H+]1c1ccccc1-c1ccccc1 ZINC001173816944 1132521726 /nfs/dbraw/zinc/52/17/26/1132521726.db2.gz JAIZLBSZXTUAKW-UHFFFAOYSA-N 1 2 295.773 3.859 20 0 CHADLO N=C1C=C(Cl)C=C(N)[N@@H+]1c1ccccc1-c1ccccc1 ZINC001173816944 1132521730 /nfs/dbraw/zinc/52/17/30/1132521730.db2.gz JAIZLBSZXTUAKW-UHFFFAOYSA-N 1 2 295.773 3.859 20 0 CHADLO c1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cs1 ZINC001173820169 1132524003 /nfs/dbraw/zinc/52/40/03/1132524003.db2.gz QQDZZLMHZUBQKR-UHFFFAOYSA-N 1 2 259.378 3.877 20 0 CHADLO C[C@@H]1CN(c2ccc(Nc3ccsc3)c[nH+]2)C[C@H](C)O1 ZINC001173819665 1132524360 /nfs/dbraw/zinc/52/43/60/1132524360.db2.gz IPFBAHRFRFALKI-TXEJJXNPSA-N 1 2 289.404 3.500 20 0 CHADLO CCC[N@H+](C)Cc1c(Cl)cc(Cl)nc1Cl ZINC001232846209 1132528649 /nfs/dbraw/zinc/52/86/49/1132528649.db2.gz HOHKLSYHULCPQR-UHFFFAOYSA-N 1 2 267.587 3.884 20 0 CHADLO CCC[N@@H+](C)Cc1c(Cl)cc(Cl)nc1Cl ZINC001232846209 1132528656 /nfs/dbraw/zinc/52/86/56/1132528656.db2.gz HOHKLSYHULCPQR-UHFFFAOYSA-N 1 2 267.587 3.884 20 0 CHADLO Fc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001173800415 1132533427 /nfs/dbraw/zinc/53/34/27/1132533427.db2.gz YSYCQAHVWNMPBD-UHFFFAOYSA-N 1 2 267.307 3.814 20 0 CHADLO COc1c(F)ccc(C[N@H+](C)Cc2ccc(F)cc2)c1F ZINC001143304088 1132534315 /nfs/dbraw/zinc/53/43/15/1132534315.db2.gz GPNGLFFIONFKOX-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1c(F)ccc(C[N@@H+](C)Cc2ccc(F)cc2)c1F ZINC001143304088 1132534320 /nfs/dbraw/zinc/53/43/20/1132534320.db2.gz GPNGLFFIONFKOX-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1c(F)ccc(C[N@@H+]2CCc3ccsc3C2)c1F ZINC001143317751 1132535492 /nfs/dbraw/zinc/53/54/92/1132535492.db2.gz BFKDPKCYDJWZCJ-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO COc1c(F)ccc(C[N@H+]2CCc3ccsc3C2)c1F ZINC001143317751 1132535501 /nfs/dbraw/zinc/53/55/01/1132535501.db2.gz BFKDPKCYDJWZCJ-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO Cc1cccc(Nc2[nH+]cccc2N2CCCC2)c1 ZINC001173809090 1132543190 /nfs/dbraw/zinc/54/31/90/1132543190.db2.gz QLIGNDIGRORBHF-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1ccc(F)c(O)c1F ZINC001232936888 1132544325 /nfs/dbraw/zinc/54/43/25/1132544325.db2.gz HQFJBFHLEIQODU-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1ccc(F)c(O)c1F ZINC001232936888 1132544331 /nfs/dbraw/zinc/54/43/31/1132544331.db2.gz HQFJBFHLEIQODU-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1ccc(F)c(O)c1F ZINC001232936603 1132545933 /nfs/dbraw/zinc/54/59/33/1132545933.db2.gz VGSZBPGUAYDCQP-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1ccc(F)c(O)c1F ZINC001232936603 1132545939 /nfs/dbraw/zinc/54/59/39/1132545939.db2.gz VGSZBPGUAYDCQP-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1ccc(F)c(O)c1F ZINC001232933663 1132546582 /nfs/dbraw/zinc/54/65/82/1132546582.db2.gz MEAOJVPQTYIASC-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1ccc(F)c(O)c1F ZINC001232933663 1132546589 /nfs/dbraw/zinc/54/65/89/1132546589.db2.gz MEAOJVPQTYIASC-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO CCOc1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1 ZINC001173852840 1132556123 /nfs/dbraw/zinc/55/61/23/1132556123.db2.gz NGOYQFASAZCZLN-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Oc1cnc(Cl)cc1C[N@@H+]1CCC[C@@H]2CCCC[C@@H]21 ZINC001233034636 1132565553 /nfs/dbraw/zinc/56/55/53/1132565553.db2.gz OSFHPWZFXYVRMM-AAEUAGOBSA-N 1 2 280.799 3.595 20 0 CHADLO Oc1cnc(Cl)cc1C[N@H+]1CCC[C@@H]2CCCC[C@@H]21 ZINC001233034636 1132565556 /nfs/dbraw/zinc/56/55/56/1132565556.db2.gz OSFHPWZFXYVRMM-AAEUAGOBSA-N 1 2 280.799 3.595 20 0 CHADLO COc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1F ZINC001173863957 1132566237 /nfs/dbraw/zinc/56/62/37/1132566237.db2.gz URFXAURAQUDKIS-UHFFFAOYSA-N 1 2 297.333 3.823 20 0 CHADLO Cc1cc(C)cc([C@@H](C)NC(=O)c2cc3c[nH+]ccc3[nH]2)c1 ZINC001151976801 1132576847 /nfs/dbraw/zinc/57/68/47/1132576847.db2.gz UCWUSVVUNLBKHK-CYBMUJFWSA-N 1 2 293.370 3.671 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1ccc(Cl)c(F)c1F ZINC001233213108 1132582654 /nfs/dbraw/zinc/58/26/54/1132582654.db2.gz IFFOEIQLHSLTOW-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1ccc(Cl)c(F)c1F ZINC001233213108 1132582658 /nfs/dbraw/zinc/58/26/58/1132582658.db2.gz IFFOEIQLHSLTOW-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO COc1ccc(Nc2cccc3cc[nH+]cc32)c(OC)c1 ZINC001173891324 1132595952 /nfs/dbraw/zinc/59/59/52/1132595952.db2.gz MBVCFGTUYJVVQX-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO CC(=O)c1cccc(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001173898286 1132602161 /nfs/dbraw/zinc/60/21/61/1132602161.db2.gz LJQIYFITIPBEKE-UHFFFAOYSA-N 1 2 265.316 3.589 20 0 CHADLO Fc1cc(F)cc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001173914607 1132610336 /nfs/dbraw/zinc/61/03/36/1132610336.db2.gz SYGWCQDISPWKRF-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@H]2c2c(F)cccc2F)o1 ZINC001233367819 1132612733 /nfs/dbraw/zinc/61/27/33/1132612733.db2.gz GXRWRISYPYALBG-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@H]2c2c(F)cccc2F)o1 ZINC001233367819 1132612738 /nfs/dbraw/zinc/61/27/38/1132612738.db2.gz GXRWRISYPYALBG-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO FC1CC(Nc2[nH+]ccc3cc(Cl)ccc32)C1 ZINC001154832762 1132626974 /nfs/dbraw/zinc/62/69/74/1132626974.db2.gz GOXOPXYZMGGIMV-UHFFFAOYSA-N 1 2 250.704 3.801 20 0 CHADLO COc1ccc(Nc2cc[nH+]c(SC)c2)c(OC)c1 ZINC001173891256 1132629097 /nfs/dbraw/zinc/62/90/97/1132629097.db2.gz MGVHRPPJNAMRCE-UHFFFAOYSA-N 1 2 276.361 3.564 20 0 CHADLO Cc1cn2cccc(Nc3ccc(F)cc3C)c2[nH+]1 ZINC001173965960 1132636036 /nfs/dbraw/zinc/63/60/36/1132636036.db2.gz LZOJYYMAIGDKEH-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO CCSc1cc[nH+]c(N[C@@H]2c3cc(C)ccc3NC2=O)c1 ZINC001155109857 1132641823 /nfs/dbraw/zinc/64/18/23/1132641823.db2.gz CFSSGXKYKTUHKL-OAHLLOKOSA-N 1 2 299.399 3.607 20 0 CHADLO C[N@@H+](Cc1cn2c(cccc2Cl)n1)Cc1cccs1 ZINC001233592109 1132643921 /nfs/dbraw/zinc/64/39/21/1132643921.db2.gz KSDOFIFYYXKXIN-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@H+](Cc1cn2c(cccc2Cl)n1)Cc1cccs1 ZINC001233592109 1132643925 /nfs/dbraw/zinc/64/39/25/1132643925.db2.gz KSDOFIFYYXKXIN-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[NH+](C)Cc1ccccc1Nc1ccc(S)cc1 ZINC001173931906 1132644871 /nfs/dbraw/zinc/64/48/71/1132644871.db2.gz MADFELXXWUUXDX-UHFFFAOYSA-N 1 2 258.390 3.781 20 0 CHADLO CSc1cc[nH+]c(NC[C@H]2CCC(F)(F)C2)c1 ZINC001155175017 1132644962 /nfs/dbraw/zinc/64/49/62/1132644962.db2.gz XUYLDEGDJXYUFS-VIFPVBQESA-N 1 2 258.337 3.651 20 0 CHADLO Brc1ccc2[nH+]ccc(Nc3ccoc3)c2c1 ZINC001173933526 1132646568 /nfs/dbraw/zinc/64/65/68/1132646568.db2.gz SSMNQUQZQPHPPR-UHFFFAOYSA-N 1 2 289.132 3.756 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cnc2c(Cl)cccc2n1 ZINC001155181190 1132646604 /nfs/dbraw/zinc/64/66/04/1132646604.db2.gz KSDVQDOSZWVROE-UHFFFAOYSA-N 1 2 262.744 3.718 20 0 CHADLO Fc1cccc(Nc2ccc[nH+]c2N2CCCC2)c1F ZINC001173944923 1132653355 /nfs/dbraw/zinc/65/33/55/1132653355.db2.gz AGCLGEPHSGPQFN-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(F)c2F)c[nH+]1 ZINC001173946101 1132654401 /nfs/dbraw/zinc/65/44/01/1132654401.db2.gz SUTPVUSRGBASCV-UHFFFAOYSA-N 1 2 263.291 3.560 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3cc(Cl)ccc32)[C@H](C)CO1 ZINC001155417286 1132656003 /nfs/dbraw/zinc/65/60/03/1132656003.db2.gz FHBVTSZBHHAUGF-GHMZBOCLSA-N 1 2 276.767 3.502 20 0 CHADLO Fc1ccc(F)c(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001173949447 1132656520 /nfs/dbraw/zinc/65/65/20/1132656520.db2.gz AGHOSUDSZSUPIC-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO CCc1cc(N2CCc3c4ccccc4oc3C2)nc(C)[nH+]1 ZINC001155511161 1132659814 /nfs/dbraw/zinc/65/98/14/1132659814.db2.gz HRZRPTRUXZUVJE-UHFFFAOYSA-N 1 2 293.370 3.656 20 0 CHADLO COc1ccc(C)cc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001173985811 1132664685 /nfs/dbraw/zinc/66/46/85/1132664685.db2.gz NLMWDJUTRYTJIE-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO COC1C[C@H]2CC[C@@H](C1)N2c1cc(-c2ccccc2)cc[nH+]1 ZINC001155797139 1132665142 /nfs/dbraw/zinc/66/51/42/1132665142.db2.gz VQFAQYJBJXCUAA-JWTNVVGKSA-N 1 2 294.398 3.895 20 0 CHADLO COc1ccc(C)cc1Nc1cc[nH+]c(SC)c1 ZINC001173986814 1132665572 /nfs/dbraw/zinc/66/55/72/1132665572.db2.gz ZOONHXSLVYIUIB-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO [NH2+]=C(Nc1cncnc1Cl)C12CC3CC(CC(C3)C1)C2 ZINC001155956536 1132671907 /nfs/dbraw/zinc/67/19/07/1132671907.db2.gz SXSIIUCHLHOZFM-UHFFFAOYSA-N 1 2 290.798 3.736 20 0 CHADLO Nc1ccccc1CNc1cc(-c2ccccc2)cc[nH+]1 ZINC001155983786 1132674858 /nfs/dbraw/zinc/67/48/58/1132674858.db2.gz XKXARCNIXUVLRB-UHFFFAOYSA-N 1 2 275.355 3.943 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(C(=O)N(C)C)cc2)cc1 ZINC001174008734 1132675302 /nfs/dbraw/zinc/67/53/02/1132675302.db2.gz PXOWXEPZRAQHTB-UHFFFAOYSA-N 1 2 297.402 3.588 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(C(=O)N(C)C)cc2)cc1 ZINC001174008734 1132675307 /nfs/dbraw/zinc/67/53/07/1132675307.db2.gz PXOWXEPZRAQHTB-UHFFFAOYSA-N 1 2 297.402 3.588 20 0 CHADLO Fc1ccccc1OCCNc1cc2ccccc2c[nH+]1 ZINC001156019373 1132677786 /nfs/dbraw/zinc/67/77/86/1132677786.db2.gz MUQYVLINKCCIIH-UHFFFAOYSA-N 1 2 282.318 3.865 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(C)cc1C ZINC001174012576 1132678957 /nfs/dbraw/zinc/67/89/57/1132678957.db2.gz GOXIKVXYOVHZCT-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO COc1ccc(CCCNc2cc(SC)cc[nH+]2)cc1 ZINC001156169009 1132685880 /nfs/dbraw/zinc/68/58/80/1132685880.db2.gz PQCMIDLLYVMHQH-UHFFFAOYSA-N 1 2 288.416 3.857 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)[C@@H](O)CCC3)c(C)[nH+]1 ZINC001203376538 1132689170 /nfs/dbraw/zinc/68/91/70/1132689170.db2.gz NXOICDZVXPJVDW-KRWDZBQOSA-N 1 2 268.360 3.812 20 0 CHADLO COCCOc1ccc(Nc2ccc(C)[nH+]c2C)cc1F ZINC001203376614 1132689351 /nfs/dbraw/zinc/68/93/51/1132689351.db2.gz PQFUWRYIXPRTJA-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO CC(=O)c1ccc(C)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001203376722 1132689727 /nfs/dbraw/zinc/68/97/27/1132689727.db2.gz QASBBFWWALNDAM-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO CCN(CC)C(=O)[C@H](C)Nc1cc(C)c2cccc(C)c2[nH+]1 ZINC001156359968 1132690762 /nfs/dbraw/zinc/69/07/62/1132690762.db2.gz CMLGQRPBDYENNT-AWEZNQCLSA-N 1 2 299.418 3.520 20 0 CHADLO Cc1ccc(Nc2ccc(C(=O)N3CCCC3)cc2)c(C)[nH+]1 ZINC001174066524 1132690847 /nfs/dbraw/zinc/69/08/47/1132690847.db2.gz RTAYUYPYYKCJJA-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO COC(C)(C)CNc1cc(C)c2cccc(C)c2[nH+]1 ZINC001156439106 1132695905 /nfs/dbraw/zinc/69/59/05/1132695905.db2.gz FMMOGCLOCNWMGF-UHFFFAOYSA-N 1 2 258.365 3.689 20 0 CHADLO COc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)c(C)c1 ZINC001174024893 1132699248 /nfs/dbraw/zinc/69/92/48/1132699248.db2.gz UWJUEKVUAWZDGU-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO Nc1[nH+]c(NCc2ccccc2C2CC2)ccc1Cl ZINC001156544850 1132704935 /nfs/dbraw/zinc/70/49/35/1132704935.db2.gz WCDZTPOMQYMNMZ-UHFFFAOYSA-N 1 2 273.767 3.807 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1ccccc1C1CC1 ZINC001156542517 1132705166 /nfs/dbraw/zinc/70/51/66/1132705166.db2.gz ZBENSOLVNFGXCK-UHFFFAOYSA-N 1 2 268.360 3.888 20 0 CHADLO Cc1ccc2c(c1)nc(Cl)cc2NCc1cc[nH+]c(N)c1 ZINC001156756670 1132709020 /nfs/dbraw/zinc/70/90/20/1132709020.db2.gz CNZPYZAGPWZKLW-UHFFFAOYSA-N 1 2 298.777 3.786 20 0 CHADLO COCc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001174094042 1132711315 /nfs/dbraw/zinc/71/13/15/1132711315.db2.gz YBONOUMXIXTPSQ-UHFFFAOYSA-N 1 2 279.343 3.762 20 0 CHADLO Cc1ccc(Nc2ccc3c(ccn3C)c2)c(C)[nH+]1 ZINC001174096098 1132713373 /nfs/dbraw/zinc/71/33/73/1132713373.db2.gz KYSPFKITZZYOHN-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO COc1ccc2c(c1)nc(NC=[NH2+])cc2-c1ccccc1 ZINC001157078326 1132720732 /nfs/dbraw/zinc/72/07/32/1132720732.db2.gz LYSMEVYGUQCLGM-UHFFFAOYSA-N 1 2 277.327 3.929 20 0 CHADLO Cc1nc(NC[C@H]2C[C@H](F)C2)cc(C2CCCCC2)[nH+]1 ZINC001157456244 1132731666 /nfs/dbraw/zinc/73/16/66/1132731666.db2.gz CWWGRIRKBFRUEZ-MQMHXKEQSA-N 1 2 277.387 3.993 20 0 CHADLO CCC1(CNc2cc(-c3ccccc3)cc[nH+]2)COC1 ZINC001157541709 1132733950 /nfs/dbraw/zinc/73/39/50/1132733950.db2.gz BSSZBFUEPNKQRW-UHFFFAOYSA-N 1 2 268.360 3.587 20 0 CHADLO COc1cncc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001174089752 1132735210 /nfs/dbraw/zinc/73/52/10/1132735210.db2.gz FZYVSNUAZBJMTE-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO COc1cc(F)ccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001174129552 1132738435 /nfs/dbraw/zinc/73/84/35/1132738435.db2.gz DRZFQWKOUGDLHF-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO COc1cc(F)ccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001174129495 1132738974 /nfs/dbraw/zinc/73/89/74/1132738974.db2.gz RGSRAJRLMHHGCW-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO CSc1cc[nH+]c(N[C@H](c2ccccn2)C(F)(F)F)c1 ZINC001157687503 1132739360 /nfs/dbraw/zinc/73/93/60/1132739360.db2.gz VHEWUFWRXLPHMZ-GFCCVEGCSA-N 1 2 299.321 3.914 20 0 CHADLO COc1cc(Nc2cccc3cnccc32)cc(C)[nH+]1 ZINC001174136599 1132742715 /nfs/dbraw/zinc/74/27/15/1132742715.db2.gz NYPUZYBKWZBHSY-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO CC(=O)c1cc(C)ccc1Nc1ccc(C)[nH+]c1C ZINC001203380103 1132749577 /nfs/dbraw/zinc/74/95/77/1132749577.db2.gz HXDHSHNSVSOCRQ-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO COc1ccc2cccnc2c1Nc1ccc(C)[nH+]c1C ZINC001203379927 1132749789 /nfs/dbraw/zinc/74/97/89/1132749789.db2.gz FEHHPXNCRAHOGN-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CCCCNC(=O)c1ccc(Nc2cc(C)cc[nH+]2)cc1 ZINC001174122046 1132752735 /nfs/dbraw/zinc/75/27/35/1132752735.db2.gz ZCPDFYPADIIENA-UHFFFAOYSA-N 1 2 283.375 3.664 20 0 CHADLO CCN(CC)C(=O)c1cccc(Nc2cccc(C)[nH+]2)c1 ZINC001174165206 1132753014 /nfs/dbraw/zinc/75/30/14/1132753014.db2.gz WGPIZHKYOSCTGW-UHFFFAOYSA-N 1 2 283.375 3.616 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(OC)ccc1F ZINC001174208994 1132757317 /nfs/dbraw/zinc/75/73/17/1132757317.db2.gz ABOVWABTDMZIQJ-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO CSCc1cc[nH+]c(NCCCCc2ccccn2)c1 ZINC001157975212 1132758494 /nfs/dbraw/zinc/75/84/94/1132758494.db2.gz GHKHEMHONMHYKC-UHFFFAOYSA-N 1 2 287.432 3.774 20 0 CHADLO CSc1cc[nH+]c(NCCCc2ccc(C)nc2)c1 ZINC001158377018 1132773060 /nfs/dbraw/zinc/77/30/60/1132773060.db2.gz GHYFELNJRPYEOL-UHFFFAOYSA-N 1 2 273.405 3.552 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(-n3cccn3)c2)[nH+]1 ZINC001174299600 1132778469 /nfs/dbraw/zinc/77/84/69/1132778469.db2.gz IFMSOTWYNAMTES-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO COCCOc1c(F)cccc1Nc1ccc(C)[nH+]c1C ZINC001203381019 1132789327 /nfs/dbraw/zinc/78/93/27/1132789327.db2.gz OOLUWQQPELEKDZ-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(C(F)(F)F)nc2)c[nH+]1 ZINC001174310004 1132790227 /nfs/dbraw/zinc/79/02/27/1132790227.db2.gz QBJBKRTZONMMSD-UHFFFAOYSA-N 1 2 296.296 3.695 20 0 CHADLO CCN(C)C(=O)c1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001174320185 1132792049 /nfs/dbraw/zinc/79/20/49/1132792049.db2.gz IHZAAHFWVMFYSK-UHFFFAOYSA-N 1 2 283.375 3.534 20 0 CHADLO COc1c[nH+]c(N[C@@H]2CCO[C@@H](c3ccccc3)C2)c(C)c1 ZINC001158793937 1132794013 /nfs/dbraw/zinc/79/40/13/1132794013.db2.gz YJVZKFZESVZHBX-NVXWUHKLSA-N 1 2 298.386 3.731 20 0 CHADLO c1cn(Cc2cccc(Nc3cccc4n[nH]cc43)c2)c[nH+]1 ZINC001174344355 1132801888 /nfs/dbraw/zinc/80/18/88/1132801888.db2.gz WBWHZCPEHJCAOI-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO c1cn(Cc2cccc(Nc3cccc4[nH]ncc43)c2)c[nH+]1 ZINC001174344355 1132801897 /nfs/dbraw/zinc/80/18/97/1132801897.db2.gz WBWHZCPEHJCAOI-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc2cc(N)ccc2c1 ZINC001159124489 1132810748 /nfs/dbraw/zinc/81/07/48/1132810748.db2.gz ZMAKCCVJCLOTFB-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2cc(N)ccc2c1 ZINC001159204138 1132816085 /nfs/dbraw/zinc/81/60/85/1132816085.db2.gz RHMBVXATIXLUMU-UHFFFAOYSA-N 1 2 279.343 3.959 20 0 CHADLO Nc1ccc(Nc2ccc(C(F)(F)F)c(F)c2)c[nH+]1 ZINC001159282541 1132824748 /nfs/dbraw/zinc/82/47/48/1132824748.db2.gz XTBGDICMEZPJNA-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO CSc1ccc(F)c(C)c1Nc1ccc(N)[nH+]c1 ZINC001159282143 1132823528 /nfs/dbraw/zinc/82/35/28/1132823528.db2.gz OXEPALCVZISHQT-UHFFFAOYSA-N 1 2 263.341 3.577 20 0 CHADLO Nc1ccc(Nc2ccc(C(=O)c3ccccc3)cc2)c[nH+]1 ZINC001159280922 1132824071 /nfs/dbraw/zinc/82/40/71/1132824071.db2.gz HNRDYIGNRYNSFB-UHFFFAOYSA-N 1 2 289.338 3.638 20 0 CHADLO Nc1ccc(Nc2ccc(-c3ccc(O)cc3)cc2)c[nH+]1 ZINC001159282563 1132825003 /nfs/dbraw/zinc/82/50/03/1132825003.db2.gz YDSLXGUWABWXIY-UHFFFAOYSA-N 1 2 277.327 3.780 20 0 CHADLO COc1c[nH+]c(NC2CC(C)(C)OC(C)(C)C2)c(C)c1 ZINC001159314755 1132831652 /nfs/dbraw/zinc/83/16/52/1132831652.db2.gz CUJHQTKLZIFBSB-UHFFFAOYSA-N 1 2 278.396 3.547 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc2c1CCN2 ZINC001159417131 1132840772 /nfs/dbraw/zinc/84/07/72/1132840772.db2.gz SCNQAVQWKZYNPE-UHFFFAOYSA-N 1 2 253.349 3.664 20 0 CHADLO Cc1cnc(Cl)cc1Nc1cc[nH+]c2[nH]ccc21 ZINC001174409029 1132847831 /nfs/dbraw/zinc/84/78/31/1132847831.db2.gz WLZNONTVUMMZBS-UHFFFAOYSA-N 1 2 258.712 3.615 20 0 CHADLO c1cc2c(nccc2Nc2cccc(Cn3cc[nH+]c3)c2)[nH]1 ZINC001174412376 1132850543 /nfs/dbraw/zinc/85/05/43/1132850543.db2.gz SNTDUHKIMKDVER-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO COc1ccc2cc(Nc3cc[nH+]c4[nH]ccc43)ccc2n1 ZINC001174414535 1132851993 /nfs/dbraw/zinc/85/19/93/1132851993.db2.gz UVIUYLFQUMBEEY-UHFFFAOYSA-N 1 2 290.326 3.815 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(N2CCCC2)c1 ZINC001174486849 1132858671 /nfs/dbraw/zinc/85/86/71/1132858671.db2.gz UTUIPVLIKJWSKO-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Cc1sccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001174459862 1132870199 /nfs/dbraw/zinc/87/01/99/1132870199.db2.gz OPFAKARYVNSGFW-UHFFFAOYSA-N 1 2 255.346 3.986 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1cnn(CC2CC2)c1 ZINC001174468921 1132875569 /nfs/dbraw/zinc/87/55/69/1132875569.db2.gz ZSEKFTBDHIXCBD-UHFFFAOYSA-N 1 2 270.380 3.864 20 0 CHADLO Cc1cn(-c2ccc([NH+]3CCCC3)cc2)c2ncc(N)cc12 ZINC001174527150 1132888891 /nfs/dbraw/zinc/88/88/91/1132888891.db2.gz REZGEUXOXXCQNK-UHFFFAOYSA-N 1 2 292.386 3.516 20 0 CHADLO Cn1ccc2ccc(Nc3cccc4[nH+]ccn43)cc21 ZINC001174514042 1132907466 /nfs/dbraw/zinc/90/74/66/1132907466.db2.gz SZGWPIAXKKYKDX-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2ccn(C)c2c1 ZINC001174514796 1132907972 /nfs/dbraw/zinc/90/79/72/1132907972.db2.gz FGUACMOPLFVBNO-UHFFFAOYSA-N 1 2 267.332 3.716 20 0 CHADLO Sc1cccc(Nc2ccc([C@@H]3CC[NH2+]C3)cc2)c1 ZINC001159776444 1132908088 /nfs/dbraw/zinc/90/80/88/1132908088.db2.gz USZOKVSZIXPQKJ-CYBMUJFWSA-N 1 2 270.401 3.796 20 0 CHADLO CSCc1cc[nH+]c(NCC(F)(F)c2ccccn2)c1 ZINC001159794418 1132910124 /nfs/dbraw/zinc/91/01/24/1132910124.db2.gz QZALFVZSCZBEBZ-UHFFFAOYSA-N 1 2 295.358 3.544 20 0 CHADLO COc1cc(C)c(Nc2ccc(N3CCCCC3)[nH+]c2)cn1 ZINC001174522855 1132912582 /nfs/dbraw/zinc/91/25/82/1132912582.db2.gz RGDJRPMFKAYURV-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cnc1F ZINC001174523409 1132912948 /nfs/dbraw/zinc/91/29/48/1132912948.db2.gz MGRWUBSHRKSIDS-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO c1noc2c1cccc2Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174524222 1132913371 /nfs/dbraw/zinc/91/33/71/1132913371.db2.gz BDBHCOZCVMQMPS-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO Nc1ccc(Cl)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001159870957 1132918406 /nfs/dbraw/zinc/91/84/06/1132918406.db2.gz KFQXDLOKEBVVQO-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO Oc1ccc(F)cc1Nc1cccc2cc[nH+]cc21 ZINC001174628841 1132932763 /nfs/dbraw/zinc/93/27/63/1132932763.db2.gz PZYXLJLFYDKNHI-UHFFFAOYSA-N 1 2 254.264 3.823 20 0 CHADLO Clc1ccc2[nH+]ccc(Nc3cnc(C4CC4)nc3)c2c1 ZINC001174611762 1132941864 /nfs/dbraw/zinc/94/18/64/1132941864.db2.gz ZYUOFIOIKIPXCL-UHFFFAOYSA-N 1 2 296.761 3.721 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(Br)c(N)c2)c1C ZINC001160304557 1132959060 /nfs/dbraw/zinc/95/90/60/1132959060.db2.gz HMGZMBNSYCOCGE-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2c(c1)NCCC2(C)C ZINC001160321574 1132962099 /nfs/dbraw/zinc/96/20/99/1132962099.db2.gz GJWSXYRPKMSOHF-UHFFFAOYSA-N 1 2 283.375 3.927 20 0 CHADLO Cc1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1N ZINC001160329675 1132962741 /nfs/dbraw/zinc/96/27/41/1132962741.db2.gz XZKZXGHUTLJGJW-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO Cc1cccc2c(C)cc(NCCC(=O)NC(C)(C)C)[nH+]c12 ZINC001160413757 1132969909 /nfs/dbraw/zinc/96/99/09/1132969909.db2.gz KETLDJBWGSCDCT-UHFFFAOYSA-N 1 2 299.418 3.568 20 0 CHADLO CSCc1ccc(NC2CC3(C2)CC(F)(F)C3)[nH+]c1 ZINC001160591787 1132976395 /nfs/dbraw/zinc/97/63/95/1132976395.db2.gz KMZXKOUJUJCCLJ-UHFFFAOYSA-N 1 2 284.375 3.934 20 0 CHADLO Cc1cc(NCc2noc3ccccc23)nc(C(C)(C)C)[nH+]1 ZINC001160585188 1132975451 /nfs/dbraw/zinc/97/54/51/1132975451.db2.gz LPJINIXFIINMRH-UHFFFAOYSA-N 1 2 296.374 3.836 20 0 CHADLO FC(F)(F)c1ccncc1Nc1cccc2[nH+]c[nH]c21 ZINC001213027352 1132986148 /nfs/dbraw/zinc/98/61/48/1132986148.db2.gz VKQMBIPQOFIPMJ-UHFFFAOYSA-N 1 2 278.237 3.720 20 0 CHADLO Cc1ccccc1-n1nccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213029207 1132992285 /nfs/dbraw/zinc/99/22/85/1132992285.db2.gz IKOPLQPGHGNJAV-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO c1[nH]c2c(cccc2Nc2ccc(C3CCC3)nc2)[nH+]1 ZINC001213029656 1132994508 /nfs/dbraw/zinc/99/45/08/1132994508.db2.gz PWNVGSQHKNMNIQ-UHFFFAOYSA-N 1 2 264.332 3.969 20 0 CHADLO COc1ccc(C2(Nc3[nH+]cc(OC)cc3C)CC2)cc1 ZINC001161260941 1132996948 /nfs/dbraw/zinc/99/69/48/1132996948.db2.gz PVARANMOYXYKBL-UHFFFAOYSA-N 1 2 284.359 3.508 20 0 CHADLO Nc1cc(Nc2cccc3[nH+]c[nH]c32)cc(C(F)(F)F)c1 ZINC001213031555 1132997136 /nfs/dbraw/zinc/99/71/36/1132997136.db2.gz GCPREBWMEDHNIX-UHFFFAOYSA-N 1 2 292.264 3.908 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c[nH]c32)c2ncccc12 ZINC001213032556 1133000725 /nfs/dbraw/zinc/00/07/25/1133000725.db2.gz LPBOMROGOXUODC-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO CSCc1ccc(NC[C@@H]2CCOC(C)(C)C2)[nH+]c1 ZINC001161430753 1133001257 /nfs/dbraw/zinc/00/12/57/1133001257.db2.gz YVCWTSLGEKQYII-GFCCVEGCSA-N 1 2 280.437 3.562 20 0 CHADLO Cc1noc2ccc(Nc3cccc4[nH+]c[nH]c43)cc12 ZINC001213032705 1133001839 /nfs/dbraw/zinc/00/18/39/1133001839.db2.gz TYEBBCPOXKOFNM-UHFFFAOYSA-N 1 2 264.288 3.756 20 0 CHADLO COc1ccc(F)c(Nc2cccc3[nH+]c[nH]c32)c1F ZINC001213032739 1133001905 /nfs/dbraw/zinc/00/19/05/1133001905.db2.gz VUMHGCYALHPQAE-UHFFFAOYSA-N 1 2 275.258 3.593 20 0 CHADLO c1[nH+]cn(CC2CCC2)c1CNc1ccc2ccccc2n1 ZINC001161529997 1133005611 /nfs/dbraw/zinc/00/56/11/1133005611.db2.gz SGHVYZATWHPOGB-UHFFFAOYSA-N 1 2 292.386 3.844 20 0 CHADLO CCC1(Nc2[nH+]cnc3[nH]c4cc(F)ccc4c32)CCC1 ZINC001161561570 1133006066 /nfs/dbraw/zinc/00/60/66/1133006066.db2.gz NAWZNWNNGYYUSF-UHFFFAOYSA-N 1 2 284.338 3.995 20 0 CHADLO Cc1ccccc1CNc1cccc(Cc2ccncc2)[nH+]1 ZINC001161620876 1133010621 /nfs/dbraw/zinc/01/06/21/1133010621.db2.gz JWAXMUUTLDFVEE-UHFFFAOYSA-N 1 2 289.382 3.988 20 0 CHADLO CC(C)(C)CCNc1cc[nH+]c(OCc2ccccn2)c1 ZINC001161681263 1133014619 /nfs/dbraw/zinc/01/46/19/1133014619.db2.gz NJQVKIGSGDKQKF-UHFFFAOYSA-N 1 2 285.391 3.904 20 0 CHADLO COc1c(C)[nH+]c(C2CC2)nc1NCCC(C)(C)C ZINC001161677038 1133014761 /nfs/dbraw/zinc/01/47/61/1133014761.db2.gz HCJRCQXZGYKOFG-UHFFFAOYSA-N 1 2 263.385 3.519 20 0 CHADLO COc1cc(Nc2cccc3[nH+]c[nH]c32)cc(F)c1F ZINC001213034592 1133015021 /nfs/dbraw/zinc/01/50/21/1133015021.db2.gz SLDBVOXGWQFMEC-UHFFFAOYSA-N 1 2 275.258 3.593 20 0 CHADLO Cc1cc(Cl)cc(C)c1NCCCn1cc[nH+]c1 ZINC001161684851 1133016343 /nfs/dbraw/zinc/01/63/43/1133016343.db2.gz SSBZRPVSPASHDL-UHFFFAOYSA-N 1 2 263.772 3.656 20 0 CHADLO COc1ccc(NCCCn2cc[nH+]c2)c2ccccc12 ZINC001161684434 1133016659 /nfs/dbraw/zinc/01/66/59/1133016659.db2.gz AFOCGMFBIMKFKP-UHFFFAOYSA-N 1 2 281.359 3.547 20 0 CHADLO c1csc(-c2ccc(NCCCn3cc[nH+]c3)cn2)c1 ZINC001161689408 1133016847 /nfs/dbraw/zinc/01/68/47/1133016847.db2.gz SAXQUKMALXDWDO-UHFFFAOYSA-N 1 2 284.388 3.509 20 0 CHADLO COC[C@@H](Nc1cc(C2CCCCC2)[nH+]c(C)n1)C1CC1 ZINC001161726380 1133021545 /nfs/dbraw/zinc/02/15/45/1133021545.db2.gz LFQSZYLHKOXLHA-MRXNPFEDSA-N 1 2 289.423 3.670 20 0 CHADLO Cc1ccc(CNc2nc(C(C)(C)C)[nH+]c(C)c2C)o1 ZINC001161765821 1133026731 /nfs/dbraw/zinc/02/67/31/1133026731.db2.gz WNJLKWZBPFBYGI-UHFFFAOYSA-N 1 2 273.380 3.904 20 0 CHADLO CCOc1cccc(CNc2cc3ccccc3c[nH+]2)n1 ZINC001161886951 1133038746 /nfs/dbraw/zinc/03/87/46/1133038746.db2.gz VRWBILVKSISCRI-UHFFFAOYSA-N 1 2 279.343 3.641 20 0 CHADLO CN(C)c1ccc(N[C@@H](c2ccccc2)C2CC2)[nH+]c1 ZINC001161963152 1133043355 /nfs/dbraw/zinc/04/33/55/1133043355.db2.gz VWFOMBHXKQQYFE-KRWDZBQOSA-N 1 2 267.376 3.711 20 0 CHADLO CC(C)(CNc1cc(N)cc(Cl)[nH+]1)CC1CC1 ZINC001162121630 1133051106 /nfs/dbraw/zinc/05/11/06/1133051106.db2.gz ASYVSHAYYOKUCM-UHFFFAOYSA-N 1 2 253.777 3.555 20 0 CHADLO Cc1cc(C)n(CCNc2[nH+]cccc2-c2ccccc2)n1 ZINC001162143265 1133054324 /nfs/dbraw/zinc/05/43/24/1133054324.db2.gz IFQJFFMVPXWYHK-UHFFFAOYSA-N 1 2 292.386 3.674 20 0 CHADLO Cc1cc2cc(NCc3ccc4c(ccn4C)c3)[nH+]cc2[nH]1 ZINC001162168181 1133057342 /nfs/dbraw/zinc/05/73/42/1133057342.db2.gz IFHSHUOKRDXLTN-UHFFFAOYSA-N 1 2 290.370 3.975 20 0 CHADLO CCc1cc(N(CC)CCc2ccccc2)nc(CC)[nH+]1 ZINC001162177831 1133057578 /nfs/dbraw/zinc/05/75/78/1133057578.db2.gz WHGFLQYXBWHWAC-UHFFFAOYSA-N 1 2 283.419 3.670 20 0 CHADLO CC(C)(CNc1ccc(Cl)c(N)[nH+]1)CC(F)(F)F ZINC001162254898 1133064669 /nfs/dbraw/zinc/06/46/69/1133064669.db2.gz QZTDZYXXKYOSMX-UHFFFAOYSA-N 1 2 281.709 3.708 20 0 CHADLO CCCCC[C@H](C)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC001162293208 1133067610 /nfs/dbraw/zinc/06/76/10/1133067610.db2.gz SJSOBLWQPNFXQK-LBPRGKRZSA-N 1 2 289.427 3.962 20 0 CHADLO CC(C)c1cc(N[C@H]2CC[C@](C)(O)CC2)nc(C(C)C)[nH+]1 ZINC001162355174 1133071479 /nfs/dbraw/zinc/07/14/79/1133071479.db2.gz ICNBZOOEDGZVBB-WJYNOGRTSA-N 1 2 291.439 3.829 20 0 CHADLO Cc1cc(N[C@@H]2C[C@@]23CCCOC3)[nH+]c2ccccc12 ZINC001162371270 1133073417 /nfs/dbraw/zinc/07/34/17/1133073417.db2.gz QNTHVHXOLOTFMO-NVXWUHKLSA-N 1 2 268.360 3.524 20 0 CHADLO Cc1cc(NC[C@@H]2COc3cnccc32)[nH+]c2ccccc12 ZINC001162571702 1133092480 /nfs/dbraw/zinc/09/24/80/1133092480.db2.gz GHNJTFBVZYOWAG-CYBMUJFWSA-N 1 2 291.354 3.526 20 0 CHADLO Cc1cnccc1CNc1cc2c3c(c1)CCC[NH+]3CCC2 ZINC001162721694 1133105613 /nfs/dbraw/zinc/10/56/13/1133105613.db2.gz CTOHWDGCQCVSMK-UHFFFAOYSA-N 1 2 293.414 3.701 20 0 CHADLO CS[C@@H]1CCCCN(c2cc(C)[nH+]c(C3CCC3)n2)C1 ZINC001162861978 1133117285 /nfs/dbraw/zinc/11/72/85/1133117285.db2.gz OLARHJOVHILUQJ-CQSZACIVSA-N 1 2 291.464 3.774 20 0 CHADLO CCCc1cc(NCc2ccc(C)nc2)nc(CCC)[nH+]1 ZINC001162870909 1133118285 /nfs/dbraw/zinc/11/82/85/1133118285.db2.gz XYNBSGWUWSTHBN-UHFFFAOYSA-N 1 2 284.407 3.697 20 0 CHADLO CCc1cc(N2Cc3ccccc3C[C@@H]2CC)nc(CC)[nH+]1 ZINC001162999756 1133125838 /nfs/dbraw/zinc/12/58/38/1133125838.db2.gz BCNAHVHABXEJOT-KRWDZBQOSA-N 1 2 295.430 3.943 20 0 CHADLO CN(C)c1cc(N[C@H]2CCC[C@@H](c3ccccc3)C2)[nH+]cn1 ZINC001163328587 1133142015 /nfs/dbraw/zinc/14/20/15/1133142015.db2.gz WEXIQZPLQACCKB-CVEARBPZSA-N 1 2 296.418 3.681 20 0 CHADLO CN(C)c1cc(N[C@H]2CCC[C@@H](c3ccccc3)C2)nc[nH+]1 ZINC001163328587 1133142019 /nfs/dbraw/zinc/14/20/19/1133142019.db2.gz WEXIQZPLQACCKB-CVEARBPZSA-N 1 2 296.418 3.681 20 0 CHADLO COc1c[nH+]c(N[C@@H](C)c2cccc(F)c2OC)c(C)c1 ZINC001163335810 1133143767 /nfs/dbraw/zinc/14/37/67/1133143767.db2.gz XZLJBLPNVGMMFC-NSHDSACASA-N 1 2 290.338 3.719 20 0 CHADLO Cc1ccc([C@@H]2C[C@H]2Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC001163571525 1133159276 /nfs/dbraw/zinc/15/92/76/1133159276.db2.gz NDGRBYILDUZNNO-JKSUJKDBSA-N 1 2 279.387 3.939 20 0 CHADLO COc1ccc2[nH+]c(N(C)CC(F)(F)F)cc(C)c2c1 ZINC001163710503 1133167211 /nfs/dbraw/zinc/16/72/11/1133167211.db2.gz XOVSOORYEHCWFU-UHFFFAOYSA-N 1 2 284.281 3.550 20 0 CHADLO CCc1cc(N2C[C@H](CC)CC[C@H]2C)nc(CC)[nH+]1 ZINC001163733313 1133169290 /nfs/dbraw/zinc/16/92/90/1133169290.db2.gz HMETZBKMPXWDGJ-CHWSQXEVSA-N 1 2 261.413 3.616 20 0 CHADLO CSCCCNc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001163874437 1133181963 /nfs/dbraw/zinc/18/19/63/1133181963.db2.gz BLQRVPLQKWLAOF-UHFFFAOYSA-N 1 2 290.354 3.841 20 0 CHADLO CSCCCNc1nc(C(C)(C)C)[nH+]c(C)c1C ZINC001163871194 1133181189 /nfs/dbraw/zinc/18/11/89/1133181189.db2.gz JNPNMNJSXJPRQR-UHFFFAOYSA-N 1 2 267.442 3.556 20 0 CHADLO CC1(Nc2c[nH+]c3c(F)cc(Br)cn23)CCC1 ZINC001164037497 1133193960 /nfs/dbraw/zinc/19/39/60/1133193960.db2.gz NCRCYYWAILQJIU-UHFFFAOYSA-N 1 2 298.159 3.590 20 0 CHADLO CC(C)(C)c1ccc(N2CCC[C@H](F)C(F)(F)C2)[nH+]c1 ZINC001164062438 1133195885 /nfs/dbraw/zinc/19/58/85/1133195885.db2.gz LEBZOVRHMYRKCN-LBPRGKRZSA-N 1 2 286.341 3.953 20 0 CHADLO CC(C)c1ccc(N2CC[C@H](CF)C(F)(F)C2)[nH+]c1 ZINC001164093698 1133197178 /nfs/dbraw/zinc/19/71/78/1133197178.db2.gz DSCFNXCLBCGFFQ-GFCCVEGCSA-N 1 2 272.314 3.636 20 0 CHADLO Cc1cc(NC[C@@]23C[C@@H]2CCCC3)nc(C2CC2)[nH+]1 ZINC001164137980 1133199966 /nfs/dbraw/zinc/19/99/66/1133199966.db2.gz GERHKLIMCXHPDX-XJKSGUPXSA-N 1 2 257.381 3.655 20 0 CHADLO CNc1ccc(CNc2ccc3c(cccc3OC)[nH+]2)cc1 ZINC001164151530 1133201602 /nfs/dbraw/zinc/20/16/02/1133201602.db2.gz ZXBGQQQWVDLHPO-UHFFFAOYSA-N 1 2 293.370 3.897 20 0 CHADLO COc1ccc(CCCNc2ccc3[nH+]cn(C)c3c2)cc1 ZINC001164191869 1133204818 /nfs/dbraw/zinc/20/48/18/1133204818.db2.gz GBUQPZBLZGFQED-UHFFFAOYSA-N 1 2 295.386 3.627 20 0 CHADLO CCc1cc(N[C@H](C)c2ccc3c(c2)CCO3)nc(CC)[nH+]1 ZINC001164260580 1133210529 /nfs/dbraw/zinc/21/05/29/1133210529.db2.gz GMEKYOFTWOGWBK-GFCCVEGCSA-N 1 2 297.402 3.709 20 0 CHADLO C[C@@H]1CC=CC[C@H]1Nc1nc2ccccc2n2c[nH+]cc12 ZINC001164342878 1133215178 /nfs/dbraw/zinc/21/51/78/1133215178.db2.gz UYEACXMBUSQXMN-CHWSQXEVSA-N 1 2 278.359 3.649 20 0 CHADLO CCSc1cc[nH+]c(N2CCC(OC3CCC3)CC2)c1 ZINC001164578438 1133224535 /nfs/dbraw/zinc/22/45/35/1133224535.db2.gz ZXSLXPVCJCIMNP-UHFFFAOYSA-N 1 2 292.448 3.732 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1ccc(C)[nH+]c1C ZINC001203381467 1133225872 /nfs/dbraw/zinc/22/58/72/1133225872.db2.gz ZEKIRNLQTPJTBQ-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1cccc2c(C)cc(N(C)[C@H]3CC[C@H](O)CC3)[nH+]c12 ZINC001165225862 1133231046 /nfs/dbraw/zinc/23/10/46/1133231046.db2.gz DSJSQTREHXAEIW-SHTZXODSSA-N 1 2 284.403 3.591 20 0 CHADLO CN(c1[nH+]ccc2cc(Cl)ccc21)[C@H]1CC[C@H](O)CC1 ZINC001165226279 1133231213 /nfs/dbraw/zinc/23/12/13/1133231213.db2.gz ZSUYLVBIKXIQKP-HDJSIYSDSA-N 1 2 290.794 3.628 20 0 CHADLO F[C@@H]1CN(c2[nH+]ccc3cc(Cl)ccc32)C[C@@H]2C[C@@H]21 ZINC001165365318 1133241607 /nfs/dbraw/zinc/24/16/07/1133241607.db2.gz LTLUYONGJNWTLJ-LEWSCRJBSA-N 1 2 276.742 3.682 20 0 CHADLO Cc1cc2cc(NC/C=C/c3ccccc3)[nH+]cc2[nH]1 ZINC001166066022 1133258362 /nfs/dbraw/zinc/25/83/62/1133258362.db2.gz XYXHBDBTOZTVGB-VMPITWQZSA-N 1 2 263.344 3.997 20 0 CHADLO Cn1c[nH+]c2ccc(NC/C=C/c3ccccc3)cc21 ZINC001166097443 1133258984 /nfs/dbraw/zinc/25/89/84/1133258984.db2.gz UHBVDMOTASQTQI-VMPITWQZSA-N 1 2 263.344 3.699 20 0 CHADLO CCSc1cc[nH+]c(N2CCc3ccsc3C2)c1 ZINC001166684291 1133282548 /nfs/dbraw/zinc/28/25/48/1133282548.db2.gz ROKFNEURZVFWAZ-UHFFFAOYSA-N 1 2 276.430 3.818 20 0 CHADLO CCCc1cc(N2CCC[C@H]2c2nccs2)nc(C)[nH+]1 ZINC001167040677 1133302128 /nfs/dbraw/zinc/30/21/28/1133302128.db2.gz BCHIRKMAFCFBNX-ZDUSSCGKSA-N 1 2 288.420 3.536 20 0 CHADLO COC(=O)c1cc(Nc2ccc(C)[nH+]c2C)c(Cl)s1 ZINC001203366760 1133316402 /nfs/dbraw/zinc/31/64/02/1133316402.db2.gz DBVTZFSPCNTMSX-UHFFFAOYSA-N 1 2 296.779 3.944 20 0 CHADLO Cc1noc2ccc(Nc3ccc(C)[nH+]c3C)cc12 ZINC001203373646 1133319960 /nfs/dbraw/zinc/31/99/60/1133319960.db2.gz AXCMARRLGIUFSS-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO COc1cc(C(C)=O)ccc1Nc1ccc(C)[nH+]c1C ZINC001203374455 1133320357 /nfs/dbraw/zinc/32/03/57/1133320357.db2.gz KAGBUMHLYMOQPG-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO Cc1nc2ccc(Nc3ccc(C)[nH+]c3C)cc2o1 ZINC001203374325 1133320399 /nfs/dbraw/zinc/32/03/99/1133320399.db2.gz HJUIXIYHTVQGJG-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO COC(=O)c1cc(Nc2ccc(C)[nH+]c2C)ccc1C ZINC001203374982 1133320516 /nfs/dbraw/zinc/32/05/16/1133320516.db2.gz RQWQUJAQQVQOIX-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO CNc1ccc(Nc2cc(Br)ccc2F)c[nH+]1 ZINC001203454976 1133329031 /nfs/dbraw/zinc/32/90/31/1133329031.db2.gz OQAYSJFKQPOHQB-UHFFFAOYSA-N 1 2 296.143 3.769 20 0 CHADLO CNc1ccc(Nc2ccc(Cc3ccccn3)cc2)c[nH+]1 ZINC001203457975 1133329178 /nfs/dbraw/zinc/32/91/78/1133329178.db2.gz FRPYCBBPHMIGJU-UHFFFAOYSA-N 1 2 290.370 3.853 20 0 CHADLO CCc1cc(Nc2ccc(NC)[nH+]c2)n(-c2ccccc2)n1 ZINC001203449068 1133329718 /nfs/dbraw/zinc/32/97/18/1133329718.db2.gz YMECATVVTHMUJO-UHFFFAOYSA-N 1 2 293.374 3.615 20 0 CHADLO CNc1ccc(Nc2cnc(Cl)c(Cl)c2)c[nH+]1 ZINC001203448836 1133329856 /nfs/dbraw/zinc/32/98/56/1133329856.db2.gz MOAHMIVUWLDWOW-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO CNc1ccc(Nc2cc(C(F)(F)F)ccc2OC)c[nH+]1 ZINC001203454739 1133329927 /nfs/dbraw/zinc/32/99/27/1133329927.db2.gz KZHUJJFYGZQSKG-UHFFFAOYSA-N 1 2 297.280 3.894 20 0 CHADLO CNc1ccc(Nc2ccc(SC)cc2F)c[nH+]1 ZINC001203462516 1133330478 /nfs/dbraw/zinc/33/04/78/1133330478.db2.gz WABNHZPTDSKKKL-UHFFFAOYSA-N 1 2 263.341 3.728 20 0 CHADLO COc1cccc2[nH]c(NCc3ccc4[nH]ccc4c3)[nH+]c21 ZINC001203548650 1133339482 /nfs/dbraw/zinc/33/94/82/1133339482.db2.gz RRFXTDZLMBEZCO-UHFFFAOYSA-N 1 2 292.342 3.665 20 0 CHADLO CCc1ncc(CNc2[nH]c3ccc(Cl)cc3[nH+]2)s1 ZINC001203571865 1133340176 /nfs/dbraw/zinc/34/01/76/1133340176.db2.gz KWEQCJKDQNCYEU-UHFFFAOYSA-N 1 2 292.795 3.847 20 0 CHADLO CCc1ncc(CNc2[nH]c3cc(Cl)ccc3[nH+]2)s1 ZINC001203571865 1133340183 /nfs/dbraw/zinc/34/01/83/1133340183.db2.gz KWEQCJKDQNCYEU-UHFFFAOYSA-N 1 2 292.795 3.847 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccccc2C(=O)NC(C)(C)C)c1 ZINC001203663054 1133349704 /nfs/dbraw/zinc/34/97/04/1133349704.db2.gz GZLJCHBKJXMVSZ-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(F)c(F)cc(F)c2F)c1 ZINC001203663358 1133351758 /nfs/dbraw/zinc/35/17/58/1133351758.db2.gz OCTDDAWEYCNAER-UHFFFAOYSA-N 1 2 270.229 3.998 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(Cl)c2CO)c1 ZINC001203663372 1133352058 /nfs/dbraw/zinc/35/20/58/1133352058.db2.gz IUEKDIDIBGVEGH-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2C(F)(F)F)CCCC1=O ZINC001203686278 1133354044 /nfs/dbraw/zinc/35/40/44/1133354044.db2.gz CYOLVMRPGKVFAL-NSHDSACASA-N 1 2 285.309 3.506 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)CCCC1=O ZINC001203686278 1133354049 /nfs/dbraw/zinc/35/40/49/1133354049.db2.gz CYOLVMRPGKVFAL-NSHDSACASA-N 1 2 285.309 3.506 20 0 CHADLO CCn1cc(Nc2ccc([NH+]3CCCCC3)cc2)c(C)n1 ZINC001203712135 1133357594 /nfs/dbraw/zinc/35/75/94/1133357594.db2.gz FYVYXBBMDXCEGB-UHFFFAOYSA-N 1 2 284.407 3.945 20 0 CHADLO Fc1cc2[nH]ccc2c(Nc2cccn3cc[nH+]c23)c1 ZINC001203727657 1133359946 /nfs/dbraw/zinc/35/99/46/1133359946.db2.gz ULOJYUBLSFHCAR-UHFFFAOYSA-N 1 2 266.279 3.698 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(Oc3ccccc3)c2)CCC1=O ZINC001203811208 1133373195 /nfs/dbraw/zinc/37/31/95/1133373195.db2.gz NUPQUQTVMFQQET-OAHLLOKOSA-N 1 2 295.382 3.890 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(Oc3ccccc3)c2)CCC1=O ZINC001203811208 1133373201 /nfs/dbraw/zinc/37/32/01/1133373201.db2.gz NUPQUQTVMFQQET-OAHLLOKOSA-N 1 2 295.382 3.890 20 0 CHADLO CSc1ccc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)cc1 ZINC001204138349 1133408169 /nfs/dbraw/zinc/40/81/69/1133408169.db2.gz HKHDTTUTQBEOBM-KGLIPLIRSA-N 1 2 271.376 3.681 20 0 CHADLO CSc1ccc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)cc1 ZINC001204138349 1133408174 /nfs/dbraw/zinc/40/81/74/1133408174.db2.gz HKHDTTUTQBEOBM-KGLIPLIRSA-N 1 2 271.376 3.681 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1c(F)cccc1F)C2 ZINC001204202419 1133418178 /nfs/dbraw/zinc/41/81/78/1133418178.db2.gz BVHANVDESIQCFV-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1c(F)cccc1F)C2 ZINC001204202419 1133418179 /nfs/dbraw/zinc/41/81/79/1133418179.db2.gz BVHANVDESIQCFV-UHFFFAOYSA-N 1 2 273.326 3.832 20 0 CHADLO C[N@H+](CCC(=O)c1ccccc1)Cc1cc(F)ccc1F ZINC001204220064 1133421011 /nfs/dbraw/zinc/42/10/11/1133421011.db2.gz YHNIKQGMYSMPRL-UHFFFAOYSA-N 1 2 289.325 3.670 20 0 CHADLO C[N@@H+](CCC(=O)c1ccccc1)Cc1cc(F)ccc1F ZINC001204220064 1133421015 /nfs/dbraw/zinc/42/10/15/1133421015.db2.gz YHNIKQGMYSMPRL-UHFFFAOYSA-N 1 2 289.325 3.670 20 0 CHADLO CCCCCCOc1ccccc1C[N@@H+]1CCOC[C@H]1C ZINC001204296551 1133430966 /nfs/dbraw/zinc/43/09/66/1133430966.db2.gz BQKORKOWWPTUQZ-MRXNPFEDSA-N 1 2 291.435 3.866 20 0 CHADLO CCCCCCOc1ccccc1C[N@H+]1CCOC[C@H]1C ZINC001204296551 1133430968 /nfs/dbraw/zinc/43/09/68/1133430968.db2.gz BQKORKOWWPTUQZ-MRXNPFEDSA-N 1 2 291.435 3.866 20 0 CHADLO CCCOc1ccc(C[N@@H+]2Cc3ccc(OC)cc3C2)cc1 ZINC001204308725 1133433817 /nfs/dbraw/zinc/43/38/17/1133433817.db2.gz JYBWDCJDBFHLHL-UHFFFAOYSA-N 1 2 297.398 4.000 20 0 CHADLO CCCOc1ccc(C[N@H+]2Cc3ccc(OC)cc3C2)cc1 ZINC001204308725 1133433820 /nfs/dbraw/zinc/43/38/20/1133433820.db2.gz JYBWDCJDBFHLHL-UHFFFAOYSA-N 1 2 297.398 4.000 20 0 CHADLO Cc1ccc(CN2CC[N@@H+](C)[C@@H](c3ccccc3)C2)cc1C ZINC001204355182 1133439579 /nfs/dbraw/zinc/43/95/79/1133439579.db2.gz ZFXZQZGGODQKFZ-HXUWFJFHSA-N 1 2 294.442 3.792 20 0 CHADLO Cc1ccc(CN2CC[N@H+](C)[C@@H](c3ccccc3)C2)cc1C ZINC001204355182 1133439583 /nfs/dbraw/zinc/43/95/83/1133439583.db2.gz ZFXZQZGGODQKFZ-HXUWFJFHSA-N 1 2 294.442 3.792 20 0 CHADLO CC(C)Oc1ccc(C[N@H+](C)CC(=O)c2ccccc2)cc1 ZINC001204457910 1133449877 /nfs/dbraw/zinc/44/98/77/1133449877.db2.gz NTYRIMDUYLWUKI-UHFFFAOYSA-N 1 2 297.398 3.789 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+](C)CC(=O)c2ccccc2)cc1 ZINC001204457910 1133449878 /nfs/dbraw/zinc/44/98/78/1133449878.db2.gz NTYRIMDUYLWUKI-UHFFFAOYSA-N 1 2 297.398 3.789 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(OC(C)C)cc1)C2 ZINC001204454639 1133450443 /nfs/dbraw/zinc/45/04/43/1133450443.db2.gz GIFUNGAFVCIJPX-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(OC(C)C)cc1)C2 ZINC001204454639 1133450447 /nfs/dbraw/zinc/45/04/47/1133450447.db2.gz GIFUNGAFVCIJPX-UHFFFAOYSA-N 1 2 297.398 3.998 20 0 CHADLO Cc1cc(C)cc(C[N@@H+]2CCc3ncc(Cl)cc3C2)c1 ZINC001204525215 1133457427 /nfs/dbraw/zinc/45/74/27/1133457427.db2.gz SUZROZDRJRKBPU-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C)cc(C[N@H+]2CCc3ncc(Cl)cc3C2)c1 ZINC001204525215 1133457431 /nfs/dbraw/zinc/45/74/31/1133457431.db2.gz SUZROZDRJRKBPU-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1cc(C[NH+]2CCN(Cc3ccc(C)cc3)CC2)oc1C ZINC001204535749 1133459311 /nfs/dbraw/zinc/45/93/11/1133459311.db2.gz YFBROZPWMAXDQZ-UHFFFAOYSA-N 1 2 298.430 3.523 20 0 CHADLO COc1cc(C[N@@H+]2CCc3c(F)cccc3C2)ccc1F ZINC001204564575 1133462755 /nfs/dbraw/zinc/46/27/55/1133462755.db2.gz WMLCEGJLMGCRPR-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(C[N@H+]2CCc3c(F)cccc3C2)ccc1F ZINC001204564575 1133462756 /nfs/dbraw/zinc/46/27/56/1133462756.db2.gz WMLCEGJLMGCRPR-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1occc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC001204632472 1133469932 /nfs/dbraw/zinc/46/99/32/1133469932.db2.gz JUQXWHMNTCFKPX-SNVBAGLBSA-N 1 2 277.314 3.986 20 0 CHADLO Cc1occc1C[N@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC001204632472 1133469935 /nfs/dbraw/zinc/46/99/35/1133469935.db2.gz JUQXWHMNTCFKPX-SNVBAGLBSA-N 1 2 277.314 3.986 20 0 CHADLO CCC[C@H](C[N@@H+]1CCCC[C@H]1c1noc(C(C)C)n1)OC ZINC001204850858 1133492747 /nfs/dbraw/zinc/49/27/47/1133492747.db2.gz DKHDBGINRQNVQH-KGLIPLIRSA-N 1 2 295.427 3.535 20 0 CHADLO CCC[C@H](C[N@H+]1CCCC[C@H]1c1noc(C(C)C)n1)OC ZINC001204850858 1133492751 /nfs/dbraw/zinc/49/27/51/1133492751.db2.gz DKHDBGINRQNVQH-KGLIPLIRSA-N 1 2 295.427 3.535 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)ccc1C(F)(F)F ZINC001204863142 1133493076 /nfs/dbraw/zinc/49/30/76/1133493076.db2.gz SSPCBBLLSFTLIE-KWBADKCTSA-N 1 2 291.263 3.634 20 0 CHADLO Fc1cc(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)ccc1C(F)(F)F ZINC001204863142 1133493078 /nfs/dbraw/zinc/49/30/78/1133493078.db2.gz SSPCBBLLSFTLIE-KWBADKCTSA-N 1 2 291.263 3.634 20 0 CHADLO Fc1cc(C[N@@H+]2CCc3occc3C2)ccc1C(F)(F)F ZINC001204886789 1133496389 /nfs/dbraw/zinc/49/63/89/1133496389.db2.gz OFTQXBPIHWNXRI-UHFFFAOYSA-N 1 2 299.267 3.996 20 0 CHADLO Fc1cc(C[N@H+]2CCc3occc3C2)ccc1C(F)(F)F ZINC001204886789 1133496391 /nfs/dbraw/zinc/49/63/91/1133496391.db2.gz OFTQXBPIHWNXRI-UHFFFAOYSA-N 1 2 299.267 3.996 20 0 CHADLO c1cn2ccc(Nc3nc(-c4ccncc4)cs3)cc2[nH+]1 ZINC001204950613 1133506081 /nfs/dbraw/zinc/50/60/81/1133506081.db2.gz AUVCMMLLBUCIKB-UHFFFAOYSA-N 1 2 293.355 3.596 20 0 CHADLO Clc1cc(Nc2ccn3cc[nH+]c3c2)cnc1Cl ZINC001204959548 1133508315 /nfs/dbraw/zinc/50/83/15/1133508315.db2.gz PPEFWXVENOYBLH-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO CC(C)c1cccnc1Nc1ccn2cc[nH+]c2c1 ZINC001204972281 1133509888 /nfs/dbraw/zinc/50/98/88/1133509888.db2.gz XXMKPLGLOQMNHB-UHFFFAOYSA-N 1 2 252.321 3.596 20 0 CHADLO c1cn2ccc(Nc3cccc(-c4cnco4)c3)cc2[nH+]1 ZINC001204977242 1133511191 /nfs/dbraw/zinc/51/11/91/1133511191.db2.gz DAWRYVMALDBKJV-UHFFFAOYSA-N 1 2 276.299 3.733 20 0 CHADLO Fc1ccc(Nc2ccn3cc[nH+]c3c2)c(Cl)c1 ZINC001204977370 1133511463 /nfs/dbraw/zinc/51/14/63/1133511463.db2.gz FSWUOFNNGYSCKW-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO Fc1cc(Cl)cc(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204977299 1133512054 /nfs/dbraw/zinc/51/20/54/1133512054.db2.gz DXLFZLFPAWHGHQ-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO Fc1cccc(Nc2ccn3cc[nH+]c3c2)c1N1CCCC1 ZINC001204997286 1133514715 /nfs/dbraw/zinc/51/47/15/1133514715.db2.gz HTIWVLOCXDUMSW-UHFFFAOYSA-N 1 2 296.349 3.817 20 0 CHADLO Nc1cc(Cl)cc2ccn(-c3ccn4cc[nH+]c4c3)c21 ZINC001205006377 1133515853 /nfs/dbraw/zinc/51/58/53/1133515853.db2.gz XUKUHPRIQQAEJR-UHFFFAOYSA-N 1 2 282.734 3.514 20 0 CHADLO Cc1cc(-c2ccn3cc(N)[nH+]c3c2)cc(C)c1Cl ZINC001205052465 1133521762 /nfs/dbraw/zinc/52/17/62/1133521762.db2.gz WQGRPKKQKDJHRC-UHFFFAOYSA-N 1 2 271.751 3.854 20 0 CHADLO CCn1ccc(C[N@H+](C)Cc2ccccc2C(F)(F)F)n1 ZINC001205185326 1133542764 /nfs/dbraw/zinc/54/27/64/1133542764.db2.gz MDIUKSRFLFXLOD-UHFFFAOYSA-N 1 2 297.324 3.554 20 0 CHADLO CCn1ccc(C[N@@H+](C)Cc2ccccc2C(F)(F)F)n1 ZINC001205185326 1133542765 /nfs/dbraw/zinc/54/27/65/1133542765.db2.gz MDIUKSRFLFXLOD-UHFFFAOYSA-N 1 2 297.324 3.554 20 0 CHADLO CC(C)[C@H](C)c1nc(-c2ccc(-n3cc[nH+]c3)cc2)no1 ZINC001205187578 1133542893 /nfs/dbraw/zinc/54/28/93/1133542893.db2.gz BTJNWZXHZWKESQ-LBPRGKRZSA-N 1 2 282.347 3.682 20 0 CHADLO Cc1ccc(C[N@@H+]2CCCC(F)(F)[C@@H](F)C2)cc1F ZINC001205214806 1133546067 /nfs/dbraw/zinc/54/60/67/1133546067.db2.gz CBTWOGOMMIOPOU-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO CCCC[C@@H]([NH2+][C@H](C)[C@@H]1C[C@H]1c1cccs1)C(=O)OC ZINC001205291877 1133555415 /nfs/dbraw/zinc/55/54/15/1133555415.db2.gz RCRPCYFEKBLRQR-XJFOESAGSA-N 1 2 295.448 3.562 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1sccc1Cl)C2 ZINC001205312336 1133559356 /nfs/dbraw/zinc/55/93/56/1133559356.db2.gz LXXMVQKKZHEXMU-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1sccc1Cl)C2 ZINC001205312336 1133559358 /nfs/dbraw/zinc/55/93/58/1133559358.db2.gz LXXMVQKKZHEXMU-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CCn1nccc1Nc1ccc([NH+]2CCCCC2)cc1C ZINC001205322361 1133561540 /nfs/dbraw/zinc/56/15/40/1133561540.db2.gz HFSPQOICKKZANA-UHFFFAOYSA-N 1 2 284.407 3.945 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1sccc1Cl)CC2 ZINC001205354223 1133567410 /nfs/dbraw/zinc/56/74/10/1133567410.db2.gz TWHYIXZMAILOAE-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1sccc1Cl)CC2 ZINC001205354223 1133567412 /nfs/dbraw/zinc/56/74/12/1133567412.db2.gz TWHYIXZMAILOAE-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CCCc1ccc(C[N@H+]([C@H](C)C(=O)OCC)C2CC2)s1 ZINC001205426760 1133576103 /nfs/dbraw/zinc/57/61/03/1133576103.db2.gz NRNRBUQSWGGZKS-GFCCVEGCSA-N 1 2 295.448 3.617 20 0 CHADLO CCCc1ccc(C[N@@H+]([C@H](C)C(=O)OCC)C2CC2)s1 ZINC001205426760 1133576108 /nfs/dbraw/zinc/57/61/08/1133576108.db2.gz NRNRBUQSWGGZKS-GFCCVEGCSA-N 1 2 295.448 3.617 20 0 CHADLO COCOc1cc(C)ccc1Nc1c[nH+]c(C)cc1C ZINC001205447382 1133577644 /nfs/dbraw/zinc/57/76/44/1133577644.db2.gz PFGZZTIKPGTQJC-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO Clc1ccc([C@H]2CCC[N@@H+]2Cc2cnoc2)s1 ZINC001205703692 1133603904 /nfs/dbraw/zinc/60/39/04/1133603904.db2.gz IYRPILXCPHGRSQ-SNVBAGLBSA-N 1 2 268.769 3.727 20 0 CHADLO Clc1ccc([C@H]2CCC[N@H+]2Cc2cnoc2)s1 ZINC001205703692 1133603908 /nfs/dbraw/zinc/60/39/08/1133603908.db2.gz IYRPILXCPHGRSQ-SNVBAGLBSA-N 1 2 268.769 3.727 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1cnoc1 ZINC001205731441 1133606989 /nfs/dbraw/zinc/60/69/89/1133606989.db2.gz GKOOEOMHSOKKCB-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1cnoc1 ZINC001205731441 1133606991 /nfs/dbraw/zinc/60/69/91/1133606991.db2.gz GKOOEOMHSOKKCB-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[N@H+](Cc1cnoc1)Cc1cc(Cl)cc(Cl)c1 ZINC001205763657 1133610416 /nfs/dbraw/zinc/61/04/16/1133610416.db2.gz UFCOELYFNBRFTC-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1cnoc1)Cc1cc(Cl)cc(Cl)c1 ZINC001205763657 1133610418 /nfs/dbraw/zinc/61/04/18/1133610418.db2.gz UFCOELYFNBRFTC-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO CSc1ccc(F)cc1-c1cc[nH+]c2c1CCN2 ZINC001205990288 1133639168 /nfs/dbraw/zinc/63/91/68/1133639168.db2.gz MBLHBBGZMXMAGP-UHFFFAOYSA-N 1 2 260.337 3.578 20 0 CHADLO CSc1ccc(-c2cc[nH+]c(N(C)C)c2)c(C)c1 ZINC001206091369 1133656028 /nfs/dbraw/zinc/65/60/28/1133656028.db2.gz WLULQJLWDWAAQP-UHFFFAOYSA-N 1 2 258.390 3.845 20 0 CHADLO Cc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c(O)c1 ZINC001206274432 1133681370 /nfs/dbraw/zinc/68/13/70/1133681370.db2.gz ILGSQVJEZABTSP-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO COc1cc(Nc2cccnc2OC(C)(C)C)[nH+]cc1C ZINC001206501168 1133711331 /nfs/dbraw/zinc/71/13/31/1133711331.db2.gz INKOTCFHQZJVRB-UHFFFAOYSA-N 1 2 287.363 3.715 20 0 CHADLO c1[nH+]cc(N[C@@H]2CC[C@H]3COCC[C@H]3C2)c2c1CCCC2 ZINC001206637240 1133730458 /nfs/dbraw/zinc/73/04/58/1133730458.db2.gz XTLYSGWLAOVKEK-CWRNSKLLSA-N 1 2 286.419 3.578 20 0 CHADLO Oc1cc(F)cc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001206760048 1133743946 /nfs/dbraw/zinc/74/39/46/1133743946.db2.gz CXGXAWSQOMVCOL-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Cc1ccc([C@@H]2CCCN2Cc2[nH+]cc3ccccn32)cc1 ZINC001207052018 1133762387 /nfs/dbraw/zinc/76/23/87/1133762387.db2.gz WBDWASOGDZQVCV-SFHVURJKSA-N 1 2 291.398 3.980 20 0 CHADLO CCc1nccc(C[N@H+](C)[C@H](C)c2ccccc2Cl)n1 ZINC001207089049 1133766470 /nfs/dbraw/zinc/76/64/70/1133766470.db2.gz CHXDQXPRWWXMJI-GFCCVEGCSA-N 1 2 289.810 3.885 20 0 CHADLO CCc1nccc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)n1 ZINC001207089049 1133766473 /nfs/dbraw/zinc/76/64/73/1133766473.db2.gz CHXDQXPRWWXMJI-GFCCVEGCSA-N 1 2 289.810 3.885 20 0 CHADLO Fc1cnc(C[N@H+](Cc2ccccc2)C2CC2)c(F)c1 ZINC001207099582 1133768123 /nfs/dbraw/zinc/76/81/23/1133768123.db2.gz SALQDOSROUEONS-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO Fc1cnc(C[N@@H+](Cc2ccccc2)C2CC2)c(F)c1 ZINC001207099582 1133768124 /nfs/dbraw/zinc/76/81/24/1133768124.db2.gz SALQDOSROUEONS-UHFFFAOYSA-N 1 2 274.314 3.524 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1cccc(C(F)F)c1 ZINC001207131821 1133769902 /nfs/dbraw/zinc/76/99/02/1133769902.db2.gz DGADFFKWUWUWID-UHFFFAOYSA-N 1 2 299.361 3.788 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1cccc(C(F)F)c1 ZINC001207131821 1133769908 /nfs/dbraw/zinc/76/99/08/1133769908.db2.gz DGADFFKWUWUWID-UHFFFAOYSA-N 1 2 299.361 3.788 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cccc(C(F)F)c2)C[C@H]1F ZINC001207127278 1133770522 /nfs/dbraw/zinc/77/05/22/1133770522.db2.gz YLWUSXRJHWLVNZ-OCCSQVGLSA-N 1 2 275.289 3.896 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cccc(C(F)F)c2)C[C@H]1F ZINC001207127278 1133770527 /nfs/dbraw/zinc/77/05/27/1133770527.db2.gz YLWUSXRJHWLVNZ-OCCSQVGLSA-N 1 2 275.289 3.896 20 0 CHADLO O=C1CC[N@@H+](Cc2cccc(C(F)F)c2)[C@@H]2CCCC[C@@H]12 ZINC001207127140 1133770596 /nfs/dbraw/zinc/77/05/96/1133770596.db2.gz YKMNFCIJMNHZIG-HUUCEWRRSA-N 1 2 293.357 3.958 20 0 CHADLO O=C1CC[N@H+](Cc2cccc(C(F)F)c2)[C@@H]2CCCC[C@@H]12 ZINC001207127140 1133770602 /nfs/dbraw/zinc/77/06/02/1133770602.db2.gz YKMNFCIJMNHZIG-HUUCEWRRSA-N 1 2 293.357 3.958 20 0 CHADLO CC[N@H+](Cc1cccnc1C)Cc1cccc(F)c1F ZINC001207154904 1133771363 /nfs/dbraw/zinc/77/13/63/1133771363.db2.gz WNAPLLRCUCUVKJ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1cccnc1C)Cc1cccc(F)c1F ZINC001207154904 1133771365 /nfs/dbraw/zinc/77/13/65/1133771365.db2.gz WNAPLLRCUCUVKJ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO Cc1nccc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)s2)n1 ZINC001207166156 1133773350 /nfs/dbraw/zinc/77/33/50/1133773350.db2.gz BOXZNRPTKOLCSA-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1nccc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)s2)n1 ZINC001207166156 1133773355 /nfs/dbraw/zinc/77/33/55/1133773355.db2.gz BOXZNRPTKOLCSA-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO FC1(C2CC2)C[NH+](C[C@@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001207180366 1133775762 /nfs/dbraw/zinc/77/57/62/1133775762.db2.gz HRRJHZWFYJDIOP-WFASDCNBSA-N 1 2 279.786 3.877 20 0 CHADLO Cc1cccnc1[C@@H](C)[NH2+]Cc1cncc(Cl)c1Cl ZINC001207783059 1133847254 /nfs/dbraw/zinc/84/72/54/1133847254.db2.gz ASYTWJGSCMMIEA-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO C[C@H](C[N@@H+]1CCC[C@H](F)C(F)(F)C1)c1ccccc1 ZINC001207899479 1133859478 /nfs/dbraw/zinc/85/94/78/1133859478.db2.gz BUZNIAWDLZLZOE-OCCSQVGLSA-N 1 2 271.326 3.859 20 0 CHADLO C[C@H](C[N@H+]1CCC[C@H](F)C(F)(F)C1)c1ccccc1 ZINC001207899479 1133859484 /nfs/dbraw/zinc/85/94/84/1133859484.db2.gz BUZNIAWDLZLZOE-OCCSQVGLSA-N 1 2 271.326 3.859 20 0 CHADLO C[C@@H](C[N@H+]1C[C@@H](F)[C@@H](F)C1)c1cccc2ccccc21 ZINC001208706623 1133932537 /nfs/dbraw/zinc/93/25/37/1133932537.db2.gz QHVMHEDQUBPFQK-AFAVFJNCSA-N 1 2 275.342 3.935 20 0 CHADLO C[C@@H](C[N@@H+]1C[C@@H](F)[C@@H](F)C1)c1cccc2ccccc21 ZINC001208706623 1133932539 /nfs/dbraw/zinc/93/25/39/1133932539.db2.gz QHVMHEDQUBPFQK-AFAVFJNCSA-N 1 2 275.342 3.935 20 0 CHADLO COc1ccccc1CCC[N@@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001208835577 1133950783 /nfs/dbraw/zinc/95/07/83/1133950783.db2.gz MMEPCAVOTUKHEH-CYBMUJFWSA-N 1 2 283.362 3.605 20 0 CHADLO COc1ccccc1CCC[N@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001208835577 1133950785 /nfs/dbraw/zinc/95/07/85/1133950785.db2.gz MMEPCAVOTUKHEH-CYBMUJFWSA-N 1 2 283.362 3.605 20 0 CHADLO COc1cc(C[N@H+](C)C2CC(F)(F)C2)c(F)cc1Cl ZINC001209661084 1134045918 /nfs/dbraw/zinc/04/59/18/1134045918.db2.gz FROFVBULAHPLNU-UHFFFAOYSA-N 1 2 293.716 3.717 20 0 CHADLO COc1cc(C[N@@H+](C)C2CC(F)(F)C2)c(F)cc1Cl ZINC001209661084 1134045923 /nfs/dbraw/zinc/04/59/23/1134045923.db2.gz FROFVBULAHPLNU-UHFFFAOYSA-N 1 2 293.716 3.717 20 0 CHADLO FC(F)(F)Oc1ccnc(Nc2ccc3[nH]c[nH+]c3c2)c1 ZINC001209849164 1134083709 /nfs/dbraw/zinc/08/37/09/1134083709.db2.gz YKVJYBLLETXCAI-UHFFFAOYSA-N 1 2 294.236 3.600 20 0 CHADLO COc1cc(Cl)ccc1Nc1cccn2cc[nH+]c12 ZINC001209904597 1134099354 /nfs/dbraw/zinc/09/93/54/1134099354.db2.gz AZTZOFMUMKCIHX-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO C=Cc1ccc(Nc2ccccc2C[NH+]2CCOCC2)cc1 ZINC001209929255 1134103592 /nfs/dbraw/zinc/10/35/92/1134103592.db2.gz PAKZBLDORDFDNT-UHFFFAOYSA-N 1 2 294.398 3.905 20 0 CHADLO CO[C@@H](C)c1cccc(Nc2[nH+]cc(O)cc2C)c1 ZINC001209946616 1134106529 /nfs/dbraw/zinc/10/65/29/1134106529.db2.gz OIMPQDKHRREZEW-NSHDSACASA-N 1 2 258.321 3.547 20 0 CHADLO CO[C@H](C)c1cccc(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001209946895 1134106801 /nfs/dbraw/zinc/10/68/01/1134106801.db2.gz QHSDFGXQFKUIGA-GFCCVEGCSA-N 1 2 271.364 3.599 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc([C@@H](C)OC)c1 ZINC001209947951 1134107054 /nfs/dbraw/zinc/10/70/54/1134107054.db2.gz UVAZJZDYSBVESJ-GFCCVEGCSA-N 1 2 272.348 3.931 20 0 CHADLO CN(C)c1ccccc1Nc1cc(OC(F)(F)F)cc[nH+]1 ZINC001209992042 1134118306 /nfs/dbraw/zinc/11/83/06/1134118306.db2.gz SMGAGKKRMVXMNJ-UHFFFAOYSA-N 1 2 297.280 3.790 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)OCCCO3)c1 ZINC001210003726 1134120287 /nfs/dbraw/zinc/12/02/87/1134120287.db2.gz MGWZBVZLOOXYTG-UHFFFAOYSA-N 1 2 284.359 3.939 20 0 CHADLO c1cc(Nc2ccc3c(c2)OCCCO3)[nH+]c(C2CC2)c1 ZINC001210004161 1134120484 /nfs/dbraw/zinc/12/04/84/1134120484.db2.gz UDNYTRIUHQPURV-UHFFFAOYSA-N 1 2 282.343 3.864 20 0 CHADLO Cc1cc(Nc2ccc3nccnc3c2)ccc1[NH+](C)C ZINC001210041129 1134130859 /nfs/dbraw/zinc/13/08/59/1134130859.db2.gz IYTSZKABAIWIRZ-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO Cc1cc(Nc2ccc(OC(F)F)nc2)ccc1[NH+](C)C ZINC001210042466 1134132307 /nfs/dbraw/zinc/13/23/07/1134132307.db2.gz FVYMTZITQVMHSF-UHFFFAOYSA-N 1 2 293.317 3.801 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)OCC3)ccc1[NH+](C)C ZINC001210042895 1134132340 /nfs/dbraw/zinc/13/23/40/1134132340.db2.gz FIQABBBRLOJNLC-UHFFFAOYSA-N 1 2 268.360 3.740 20 0 CHADLO CCNC(=O)c1ccccc1Nc1ccc([NH+](C)C)c(C)c1 ZINC001210042028 1134132358 /nfs/dbraw/zinc/13/23/58/1134132358.db2.gz USOGUPSUZPRTTH-UHFFFAOYSA-N 1 2 297.402 3.554 20 0 CHADLO Cc1cc(Nc2ccc3ncncc3c2)ccc1[NH+](C)C ZINC001210045456 1134133604 /nfs/dbraw/zinc/13/36/04/1134133604.db2.gz UAMMTYOZUNSKFS-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO Fc1ccc(F)c(Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210046917 1134133852 /nfs/dbraw/zinc/13/38/52/1134133852.db2.gz ZEHRUIZGXWTSOT-UHFFFAOYSA-N 1 2 257.243 3.652 20 0 CHADLO c1nc(Nc2ccc3c[nH+]ccc3c2)cn1C1CCC1 ZINC001210047348 1134135832 /nfs/dbraw/zinc/13/58/32/1134135832.db2.gz ZLLXCHDPRLBRML-UHFFFAOYSA-N 1 2 264.332 3.900 20 0 CHADLO Cc1cccc(Nc2cc(Cl)c3[nH+]ccn3c2)c1O ZINC001210188989 1134162122 /nfs/dbraw/zinc/16/21/22/1134162122.db2.gz ZPUQFJQCTQDJKF-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO Oc1c(F)cccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001210197250 1134164571 /nfs/dbraw/zinc/16/45/71/1134164571.db2.gz JVFFYHBJQFKAQW-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Nc1ccnc(Cl)c1Nc1cccc2cc[nH+]cc21 ZINC001210223961 1134170921 /nfs/dbraw/zinc/17/09/21/1134170921.db2.gz LRSQPPWXXHKRBK-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO c1cc(Nc2cccc3cc[nH+]cc32)n(CC2CC2)n1 ZINC001210224359 1134171639 /nfs/dbraw/zinc/17/16/39/1134171639.db2.gz KYJACWTUPZCCGM-UHFFFAOYSA-N 1 2 264.332 3.585 20 0 CHADLO Clc1ccc2ncc(Nc3cccc4cc[nH+]cc43)n2n1 ZINC001210223974 1134171899 /nfs/dbraw/zinc/17/18/99/1134171899.db2.gz MHKMJWFYIZZLHV-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO CCc1cnn2cc(Nc3cccc4cc[nH+]cc43)cnc12 ZINC001210226477 1134172166 /nfs/dbraw/zinc/17/21/66/1134172166.db2.gz XXTFXJXTDQFGAG-UHFFFAOYSA-N 1 2 289.342 3.584 20 0 CHADLO Fc1cc(Nc2cccc3cc[nH+]cc32)c(F)c(F)n1 ZINC001210224490 1134172552 /nfs/dbraw/zinc/17/25/52/1134172552.db2.gz PLDRTQOAAWCMEB-UHFFFAOYSA-N 1 2 275.233 3.791 20 0 CHADLO c1cc(Nc2cccc3cc[nH+]cc32)n(C2CCOCC2)n1 ZINC001210225209 1134173053 /nfs/dbraw/zinc/17/30/53/1134173053.db2.gz FTBYWMRFWUDNRJ-UHFFFAOYSA-N 1 2 294.358 3.526 20 0 CHADLO c1cc2cc[nH+]cc2c(Nc2cccc3nccnc32)c1 ZINC001210231336 1134173587 /nfs/dbraw/zinc/17/35/87/1134173587.db2.gz PNVVBOOJXPAOAB-UHFFFAOYSA-N 1 2 272.311 3.922 20 0 CHADLO COC(=O)c1c(F)cccc1Nc1cccc2cc[nH+]cc21 ZINC001210232061 1134174060 /nfs/dbraw/zinc/17/40/60/1134174060.db2.gz YIFASRHQGRDXHX-UHFFFAOYSA-N 1 2 296.301 3.904 20 0 CHADLO c1cc2nsnc2c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210231837 1134174135 /nfs/dbraw/zinc/17/41/35/1134174135.db2.gz RPDULMQFGYJVOZ-UHFFFAOYSA-N 1 2 278.340 3.983 20 0 CHADLO O[C@@H]1CCOc2c(Nc3cccc4cc[nH+]cc43)cccc21 ZINC001210233340 1134174713 /nfs/dbraw/zinc/17/47/13/1134174713.db2.gz VRVKRELEFWKLIX-QGZVFWFLSA-N 1 2 292.338 3.794 20 0 CHADLO Cc1cccc2sc(Nc3c[nH+]c(C)c(N)c3)nc21 ZINC001210284145 1134185795 /nfs/dbraw/zinc/18/57/95/1134185795.db2.gz FLMBPKGQFSWPNF-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO CCn1nc(-c2ccccc2)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210288264 1134186395 /nfs/dbraw/zinc/18/63/95/1134186395.db2.gz GOVYUBBTDMZQPO-UHFFFAOYSA-N 1 2 293.374 3.599 20 0 CHADLO Cc1[nH+]cc(Nc2cccc3cc(Cl)cnc32)cc1N ZINC001210290199 1134186448 /nfs/dbraw/zinc/18/64/48/1134186448.db2.gz GERYTKVURNWVDQ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(C(F)(F)F)c2)cc1N ZINC001210288899 1134186547 /nfs/dbraw/zinc/18/65/47/1134186547.db2.gz WONIGEHVKGBDIG-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1[nH+]cc(Nc2cnc3cccc(Cl)c3c2)cc1N ZINC001210288419 1134186714 /nfs/dbraw/zinc/18/67/14/1134186714.db2.gz HVRPQBDKMLUJNL-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cnc3c(ccc(F)c3F)c2)cc1N ZINC001210289014 1134186907 /nfs/dbraw/zinc/18/69/07/1134186907.db2.gz QNIJAMUSYVVHLS-UHFFFAOYSA-N 1 2 286.285 3.542 20 0 CHADLO CC(C)c1cccc(Nc2ccc(-n3ccnn3)cc2)[nH+]1 ZINC001210293958 1134187782 /nfs/dbraw/zinc/18/77/82/1134187782.db2.gz JBEIVIQJJSQSDH-UHFFFAOYSA-N 1 2 279.347 3.529 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2-c2cccnc2)cc1N ZINC001210291540 1134187926 /nfs/dbraw/zinc/18/79/26/1134187926.db2.gz NNRPLKLWEYSBGA-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO CCOc1cc(Nc2ccc(OC(F)F)nc2)cc(C)[nH+]1 ZINC001210301128 1134189053 /nfs/dbraw/zinc/18/90/53/1134189053.db2.gz LCYDDQQIHOVTLW-UHFFFAOYSA-N 1 2 295.289 3.529 20 0 CHADLO Cn1cc[nH+]c1-c1ccc(-n2ccc3cc(N)ccc32)cc1 ZINC001210431218 1134213046 /nfs/dbraw/zinc/21/30/46/1134213046.db2.gz OAVUHUQYMRKBMH-UHFFFAOYSA-N 1 2 288.354 3.613 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)c(F)cc2OC)c[nH+]1 ZINC001210547894 1134244546 /nfs/dbraw/zinc/24/45/46/1134244546.db2.gz CDJMJPAAJGVMCV-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO CSc1cc(Nc2ccc([C@H](C)O)cc2)cc[nH+]1 ZINC001210645617 1134267303 /nfs/dbraw/zinc/26/73/03/1134267303.db2.gz HYSQWVQFUQJACT-JTQLQIEISA-N 1 2 260.362 3.600 20 0 CHADLO C[C@@H](O)c1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001210649695 1134269218 /nfs/dbraw/zinc/26/92/18/1134269218.db2.gz XKVWEQBCOSKFEF-CQSZACIVSA-N 1 2 293.370 3.728 20 0 CHADLO COc1cc(Nc2ccc(C(C)C)nc2)cc(C)[nH+]1 ZINC001210775290 1134293557 /nfs/dbraw/zinc/29/35/57/1134293557.db2.gz VUGRAYHEOMPZGE-UHFFFAOYSA-N 1 2 257.337 3.661 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)[nH+]c(OC)c2)cc1 ZINC001210783214 1134294843 /nfs/dbraw/zinc/29/48/43/1134294843.db2.gz CVWWIXPXQIQOIC-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO COc1cc(Nc2cnc3ccsc3c2)cc(C)[nH+]1 ZINC001210779574 1134295197 /nfs/dbraw/zinc/29/51/97/1134295197.db2.gz SPSSYBNUFAMQQC-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO COc1cc(Nc2cnc3ccc(F)cc3c2)cc(C)[nH+]1 ZINC001210779028 1134295222 /nfs/dbraw/zinc/29/52/22/1134295222.db2.gz CKZPGWCZBGNUTG-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO Cc1cn2cccc(Nc3cccc(O)c3Cl)c2[nH+]1 ZINC001210851782 1134311750 /nfs/dbraw/zinc/31/17/50/1134311750.db2.gz KLVRWILARGXXMH-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO Cc1cn2cccc(Nc3cccc(OC4CC4)c3)c2[nH+]1 ZINC001210859461 1134314098 /nfs/dbraw/zinc/31/40/98/1134314098.db2.gz VHMSYCWQXQTIRA-UHFFFAOYSA-N 1 2 279.343 3.928 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(OC2CC2)c1 ZINC001210860428 1134315861 /nfs/dbraw/zinc/31/58/61/1134315861.db2.gz MCNOYTKSWZNZJM-UHFFFAOYSA-N 1 2 270.332 3.765 20 0 CHADLO CCc1[nH]nc2ncc(Nc3c(C)cc[nH+]c3CC)cc21 ZINC001210884974 1134323751 /nfs/dbraw/zinc/32/37/51/1134323751.db2.gz WZMKOWZSKIFXII-UHFFFAOYSA-N 1 2 281.363 3.530 20 0 CHADLO C[NH+](C)Cc1cccc(Nc2cccc(S)c2)c1 ZINC001210947071 1134335253 /nfs/dbraw/zinc/33/52/53/1134335253.db2.gz DAHNIAHCKWVKIE-UHFFFAOYSA-N 1 2 258.390 3.781 20 0 CHADLO COCCCOc1ccc(Nc2ccc(C)c[nH+]2)cc1 ZINC001211013616 1134349230 /nfs/dbraw/zinc/34/92/30/1134349230.db2.gz IISRGODWUBJOCL-UHFFFAOYSA-N 1 2 272.348 3.549 20 0 CHADLO CCOc1ccc(F)c(Nc2cc(C)cc(C)[nH+]2)c1 ZINC001211051227 1134357328 /nfs/dbraw/zinc/35/73/28/1134357328.db2.gz VNEDNOWTTQCNAX-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO COc1cc(C)ccc1Nc1cccc2[nH+]c(C)cn21 ZINC001211116181 1134373410 /nfs/dbraw/zinc/37/34/10/1134373410.db2.gz XCFFOOJIMOOPTP-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COC(=O)c1ccc(Nc2[nH+]cc(C)cc2C)cc1C ZINC001211168579 1134383639 /nfs/dbraw/zinc/38/36/39/1134383639.db2.gz GKNQWIVHGNXHMG-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO COc1ccc(C)nc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213087197 1134424063 /nfs/dbraw/zinc/42/40/63/1134424063.db2.gz LLSICKQIBQDURM-UHFFFAOYSA-N 1 2 280.331 3.532 20 0 CHADLO Oc1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213088377 1134424970 /nfs/dbraw/zinc/42/49/70/1134424970.db2.gz ZMGXZFGKFFNDOI-UHFFFAOYSA-N 1 2 251.289 3.526 20 0 CHADLO Nc1cccc2c1ccn2-c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089188 1134425709 /nfs/dbraw/zinc/42/57/09/1134425709.db2.gz YDWASEJLFQJMPF-UHFFFAOYSA-N 1 2 274.327 3.603 20 0 CHADLO Oc1cc(F)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1F ZINC001213090847 1134426161 /nfs/dbraw/zinc/42/61/61/1134426161.db2.gz JBUDBTBGWCYTSW-UHFFFAOYSA-N 1 2 287.269 3.804 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OCC(F)F)cc1 ZINC001213301241 1134440145 /nfs/dbraw/zinc/44/01/45/1134440145.db2.gz DKJLQQQTCPGYQM-UHFFFAOYSA-N 1 2 294.301 3.868 20 0 CHADLO CCCc1cc[nH+]c(Nc2cc(F)c(O)c(F)c2)c1 ZINC001213377449 1134444930 /nfs/dbraw/zinc/44/49/30/1134444930.db2.gz PJGOWJVGIOAHPR-UHFFFAOYSA-N 1 2 264.275 3.762 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(Cl)nn2ccnc12 ZINC001213497589 1134454841 /nfs/dbraw/zinc/45/48/41/1134454841.db2.gz WLFAUEMGHAKRQJ-UHFFFAOYSA-N 1 2 299.765 3.707 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)ccc1O ZINC001213499655 1134455286 /nfs/dbraw/zinc/45/52/86/1134455286.db2.gz UUYZOFGUQPOVFK-UHFFFAOYSA-N 1 2 258.296 3.856 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1-n1ccc2c1cccc2N ZINC001213499254 1134455451 /nfs/dbraw/zinc/45/54/51/1134455451.db2.gz FWJCUDAHZYDMRB-UHFFFAOYSA-N 1 2 263.344 3.794 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(O)c(F)c1 ZINC001213499659 1134455647 /nfs/dbraw/zinc/45/56/47/1134455647.db2.gz VGENAWKEVFPSRD-UHFFFAOYSA-N 1 2 258.296 3.856 20 0 CHADLO Cc1cc(C)c(Nc2cnc(-c3ccccc3)cn2)c[nH+]1 ZINC001213503542 1134456506 /nfs/dbraw/zinc/45/65/06/1134456506.db2.gz LOZCAAAUXNUROJ-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO COc1cc(CO)cc(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213503652 1134456550 /nfs/dbraw/zinc/45/65/50/1134456550.db2.gz QUXKOWQHCRFXLX-UHFFFAOYSA-N 1 2 284.359 3.512 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1OCC[C@@H]2O ZINC001213500886 1134456674 /nfs/dbraw/zinc/45/66/74/1134456674.db2.gz BFPKOPALWKJUDQ-KRWDZBQOSA-N 1 2 296.370 3.827 20 0 CHADLO CCOC(=O)c1ccsc1Nc1c[nH+]c(C)cc1C ZINC001213503452 1134457062 /nfs/dbraw/zinc/45/70/62/1134457062.db2.gz HFRISPHFCNBVKU-UHFFFAOYSA-N 1 2 276.361 3.680 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)cnc2Br)c[nH+]1 ZINC001213505406 1134457395 /nfs/dbraw/zinc/45/73/95/1134457395.db2.gz DDECYMXTBWUSCB-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1cc(C)c(Nc2ncc(Cl)nc2Cl)c[nH+]1 ZINC001213505929 1134457833 /nfs/dbraw/zinc/45/78/33/1134457833.db2.gz UHSOLKSVIPROFJ-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)cc2C)cc(Br)n1 ZINC001213508475 1134457899 /nfs/dbraw/zinc/45/78/99/1134457899.db2.gz UCLCUNXWFVZFJO-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1cc(C)c(Nc2nc(C)c(C)nc2Cl)c[nH+]1 ZINC001213508274 1134458047 /nfs/dbraw/zinc/45/80/47/1134458047.db2.gz OOICPLSHWOMXSD-UHFFFAOYSA-N 1 2 262.744 3.502 20 0 CHADLO Cc1cc(C)c(Nc2ccc(-n3ccccc3=O)cc2)c[nH+]1 ZINC001213518275 1134458956 /nfs/dbraw/zinc/45/89/56/1134458956.db2.gz FKAHQQXVLJIVSA-UHFFFAOYSA-N 1 2 291.354 3.593 20 0 CHADLO CCOC(=O)CCc1cccc(Nc2c[nH+]c(C)cc2C)c1 ZINC001213521109 1134459452 /nfs/dbraw/zinc/45/94/52/1134459452.db2.gz UJHAOVSQTBIEOD-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO COCCOc1cccc(F)c1Nc1c[nH+]c(C)cc1C ZINC001213521187 1134459814 /nfs/dbraw/zinc/45/98/14/1134459814.db2.gz YFPNRIOTHCPOTI-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3nnc(C(C)(C)C)n3c2)c1C ZINC001213527601 1134459990 /nfs/dbraw/zinc/45/99/90/1134459990.db2.gz VFFQDXUIXVDCFQ-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO Cc1c[nH+]cc(Nc2cnccc2OC(F)(F)F)c1C ZINC001213528581 1134460119 /nfs/dbraw/zinc/46/01/19/1134460119.db2.gz GYXBGYNJFXXMEI-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3nc(C(C)C)nn3c2)c1C ZINC001213528357 1134460270 /nfs/dbraw/zinc/46/02/70/1134460270.db2.gz BZVNPKRWNVQBIG-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(Cl)c(F)c2)c1C ZINC001213527672 1134460544 /nfs/dbraw/zinc/46/05/44/1134460544.db2.gz YCGGSGOTIRTCGK-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO COC(=O)c1c(F)cc(F)cc1Nc1c[nH+]cc(C)c1C ZINC001213532763 1134461522 /nfs/dbraw/zinc/46/15/22/1134461522.db2.gz TUNDSIGWXSYTCC-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO CCOc1ccc(F)cc1Nc1c[nH+]cc(C)c1C ZINC001213530634 1134461864 /nfs/dbraw/zinc/46/18/64/1134461864.db2.gz IBDADNLDVVCFPY-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(-n3ccccc3=O)cc2)c1C ZINC001213534383 1134462743 /nfs/dbraw/zinc/46/27/43/1134462743.db2.gz ZNGWSQNASTWDST-UHFFFAOYSA-N 1 2 291.354 3.593 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3c2CN(C2CC2)C3=O)c1C ZINC001213536470 1134462758 /nfs/dbraw/zinc/46/27/58/1134462758.db2.gz LGEPGDJCSQCEAL-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1c[nH+]cc(C)c1C ZINC001213536706 1134462887 /nfs/dbraw/zinc/46/28/87/1134462887.db2.gz MSFRAQHBNCZXEB-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO COc1cccc(C2CC2)c1Nc1ccn2cc[nH+]c2c1 ZINC001213816524 1134488155 /nfs/dbraw/zinc/48/81/55/1134488155.db2.gz PLPCZIDEYDDKSI-UHFFFAOYSA-N 1 2 279.343 3.964 20 0 CHADLO c1cn(-c2cccc(Nc3cnccc3C3CC3)c2)c[nH+]1 ZINC001213874997 1134493953 /nfs/dbraw/zinc/49/39/53/1134493953.db2.gz SDQRSLXBCAGVHT-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1c(Cl)ccc(F)c1F ZINC001213947091 1134500191 /nfs/dbraw/zinc/50/01/91/1134500191.db2.gz HAHMNYQQQJGMLX-UHFFFAOYSA-N 1 2 293.704 3.848 20 0 CHADLO CCOc1cc(Nc2[nH+]cc(O)cc2C)ccc1C ZINC001214320853 1134528085 /nfs/dbraw/zinc/52/80/85/1134528085.db2.gz GXYOVZZROPFTRB-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2N)cc1OC(C)C ZINC001214328936 1134529756 /nfs/dbraw/zinc/52/97/56/1134529756.db2.gz CQAIDPBOXLWHKV-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO Cc1ccc(O)cc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001214348094 1134531997 /nfs/dbraw/zinc/53/19/97/1134531997.db2.gz OQFKKZHZCWLNEQ-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO COCOc1ccc(C)c(Nc2c[nH+]c(C)cc2C)c1 ZINC001214359495 1134532761 /nfs/dbraw/zinc/53/27/61/1134532761.db2.gz LEIYEUGJQGMEIN-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(OC(F)(F)F)cc2O)c1 ZINC001214386601 1134534886 /nfs/dbraw/zinc/53/48/86/1134534886.db2.gz HCJHMJLXJPFODW-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO COCOc1cccc(F)c1Nc1c[nH+]c(C)cc1C ZINC001214433065 1134537442 /nfs/dbraw/zinc/53/74/42/1134537442.db2.gz UZHHMQYTQMNDMR-UHFFFAOYSA-N 1 2 276.311 3.564 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(C)cc2CO)cc1 ZINC001214468924 1134541481 /nfs/dbraw/zinc/54/14/81/1134541481.db2.gz PAXALMPNEFRKTD-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(C)cc2CO)cc1 ZINC001214468924 1134541484 /nfs/dbraw/zinc/54/14/84/1134541484.db2.gz PAXALMPNEFRKTD-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO Oc1c(F)cc(Nc2cccc3[nH+]ccn32)cc1Cl ZINC001214480226 1134542737 /nfs/dbraw/zinc/54/27/37/1134542737.db2.gz PSLIIJPJUPCQDD-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO COc1cc(Nc2c(F)ccc(C)c2OC)cc(C)[nH+]1 ZINC001214532260 1134548074 /nfs/dbraw/zinc/54/80/74/1134548074.db2.gz JSFKLKVMLYGAOR-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO Cc1cc(C)c(Nc2c(Cl)ccc(O)c2F)c[nH+]1 ZINC001214556095 1134550759 /nfs/dbraw/zinc/55/07/59/1134550759.db2.gz KDOSWHKULRWQOZ-UHFFFAOYSA-N 1 2 266.703 3.940 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1csc(C(C)=O)c1 ZINC001214771608 1134570511 /nfs/dbraw/zinc/57/05/11/1134570511.db2.gz XQAVYSKJPSLKEV-UHFFFAOYSA-N 1 2 260.362 3.960 20 0 CHADLO CCOc1ncc(Nc2ccccc2-n2cc[nH+]c2)cc1C ZINC001214933375 1134582849 /nfs/dbraw/zinc/58/28/49/1134582849.db2.gz YFHQDVCFUIWDHE-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO Cc1cc(CNc2ccc([NH+](C)C)cc2)cc(Cl)n1 ZINC000401861659 1134624239 /nfs/dbraw/zinc/62/42/39/1134624239.db2.gz RMEFQPSGMNRAQF-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO Fc1cc(Nc2cccc(-n3cc[nH+]c3)c2)cc2n[nH]cc21 ZINC001215555002 1134640937 /nfs/dbraw/zinc/64/09/37/1134640937.db2.gz PCWRENOWHMKQBK-UHFFFAOYSA-N 1 2 293.305 3.631 20 0 CHADLO CCOc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(C)n1 ZINC001215578555 1134647018 /nfs/dbraw/zinc/64/70/18/1134647018.db2.gz KQBOXYQOYAUXKA-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO COc1cncc(Nc2c(C)cc[nH+]c2C(C)C)c1C ZINC001215734630 1134668486 /nfs/dbraw/zinc/66/84/86/1134668486.db2.gz FKNIXYCCQGEOSI-UHFFFAOYSA-N 1 2 271.364 3.969 20 0 CHADLO Cc1ccc(Nc2cc(OC(C)C)cnc2F)c(C)[nH+]1 ZINC001215780291 1134684819 /nfs/dbraw/zinc/68/48/19/1134684819.db2.gz YCVRPBPQXMCCLO-UHFFFAOYSA-N 1 2 275.327 3.763 20 0 CHADLO CCOCc1cncc(Nc2ccc([N@H+](C)CC)cc2)c1 ZINC001215793725 1134688518 /nfs/dbraw/zinc/68/85/18/1134688518.db2.gz PETLUQGSJSQYAA-UHFFFAOYSA-N 1 2 285.391 3.818 20 0 CHADLO CCOCc1cncc(Nc2ccc([N@@H+](C)CC)cc2)c1 ZINC001215793725 1134688521 /nfs/dbraw/zinc/68/85/21/1134688521.db2.gz PETLUQGSJSQYAA-UHFFFAOYSA-N 1 2 285.391 3.818 20 0 CHADLO COc1cnc(F)cc1Nc1cccc2cc[nH+]cc21 ZINC001215807115 1134692563 /nfs/dbraw/zinc/69/25/63/1134692563.db2.gz PXKFWRGKQPWCGT-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO CSc1ncc(Nc2cccc3[nH+]ccn32)cc1C ZINC001215826340 1134696480 /nfs/dbraw/zinc/69/64/80/1134696480.db2.gz MMUIBQFVDXMCHP-UHFFFAOYSA-N 1 2 270.361 3.503 20 0 CHADLO CSc1ccc(F)c(Nc2ccc(N(C)C)[nH+]c2)c1F ZINC001215837072 1134699221 /nfs/dbraw/zinc/69/92/21/1134699221.db2.gz KKADQPUJIVATBQ-UHFFFAOYSA-N 1 2 295.358 3.891 20 0 CHADLO COc1nccc(SC)c1Nc1ccc(C)[nH+]c1C ZINC001215926406 1134722487 /nfs/dbraw/zinc/72/24/87/1134722487.db2.gz OLPJJYHJIQLRPX-UHFFFAOYSA-N 1 2 275.377 3.568 20 0 CHADLO CCOc1cc(Nc2cc(C3CC3)cnc2F)cc(C)[nH+]1 ZINC001215953875 1134730340 /nfs/dbraw/zinc/73/03/40/1134730340.db2.gz BDNJXZXMFKNFOF-UHFFFAOYSA-N 1 2 287.338 3.944 20 0 CHADLO Cc1cc(F)cc(C)c1Nc1cccn2cc[nH+]c12 ZINC001215962630 1134733220 /nfs/dbraw/zinc/73/32/20/1134733220.db2.gz CGYHSLUMEDJHKI-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1cc(F)cc(C)c1Nc1[nH+]cccc1N(C)C ZINC001215963159 1134733964 /nfs/dbraw/zinc/73/39/64/1134733964.db2.gz GUMQGYUXSSDEET-UHFFFAOYSA-N 1 2 259.328 3.647 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(N)c(OC(F)(F)F)c1 ZINC001216029130 1134754360 /nfs/dbraw/zinc/75/43/60/1134754360.db2.gz GXVQMAZMSASAMD-UHFFFAOYSA-N 1 2 283.253 3.614 20 0 CHADLO CCc1cc(Nc2cccc3[nH+]c(C)cn32)ccc1N ZINC001216034984 1134755404 /nfs/dbraw/zinc/75/54/04/1134755404.db2.gz WQKNJGQJKJOFQD-UHFFFAOYSA-N 1 2 266.348 3.531 20 0 CHADLO Cc1cn2cccc(Nc3ccc(Cl)c4c[nH]nc43)c2[nH+]1 ZINC001216056101 1134762170 /nfs/dbraw/zinc/76/21/70/1134762170.db2.gz UMDKGBPCVHHAJB-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO CCc1cccc(Nc2cc(C)cc(Cl)c2N)[nH+]1 ZINC001216091682 1134774791 /nfs/dbraw/zinc/77/47/91/1134774791.db2.gz CDYAIPIOFOQEHR-UHFFFAOYSA-N 1 2 261.756 3.932 20 0 CHADLO CCc1cc(Nc2cc[nH+]c(SC)c2)ccc1CO ZINC001216105628 1134780122 /nfs/dbraw/zinc/78/01/22/1134780122.db2.gz PCOXZLOSJIEFPX-UHFFFAOYSA-N 1 2 274.389 3.602 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)cc(C)c1CO ZINC001216119192 1134782810 /nfs/dbraw/zinc/78/28/10/1134782810.db2.gz YSAPSYSFIYMKIT-UHFFFAOYSA-N 1 2 297.402 3.535 20 0 CHADLO Nc1ccc(Nc2cccn3cc[nH+]c23)c(C(F)(F)F)c1 ZINC001216130926 1134788003 /nfs/dbraw/zinc/78/80/03/1134788003.db2.gz NTKRGXZBLAHFJO-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO OCc1cc(Nc2ccc([NH+]3CCCCC3)cc2)ccc1O ZINC001216139392 1134789803 /nfs/dbraw/zinc/78/98/03/1134789803.db2.gz IMPYBXBZZGOZKO-UHFFFAOYSA-N 1 2 298.386 3.618 20 0 CHADLO CSc1cc(Nc2cc(C)cnc2SC)cc[nH+]1 ZINC001216241325 1134821005 /nfs/dbraw/zinc/82/10/05/1134821005.db2.gz LENIJXWTMSFZIU-UHFFFAOYSA-N 1 2 277.418 3.972 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)cnc2SC)c[nH+]1 ZINC001216240831 1134822202 /nfs/dbraw/zinc/82/22/02/1134822202.db2.gz FHRZIFZBVGEJEP-UHFFFAOYSA-N 1 2 288.420 3.707 20 0 CHADLO COc1cnc(F)c(Nc2ccc3c(C)cc[nH+]c3c2)c1 ZINC001216409423 1134887348 /nfs/dbraw/zinc/88/73/48/1134887348.db2.gz MBXHRHACIBKWIN-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO CSc1cc(Nc2cc(Cl)cc(CO)c2)cc[nH+]1 ZINC001216438403 1134897041 /nfs/dbraw/zinc/89/70/41/1134897041.db2.gz UKRBPBKWDAJSOO-UHFFFAOYSA-N 1 2 280.780 3.693 20 0 CHADLO OCc1cc(Cl)cc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001216438311 1134897826 /nfs/dbraw/zinc/89/78/26/1134897826.db2.gz NWIQSERHBBZMER-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO Fc1ccc(Cl)c(Nc2cccn3cc[nH+]c23)c1 ZINC001216477035 1134902325 /nfs/dbraw/zinc/90/23/25/1134902325.db2.gz NKPUCQHUWRPORB-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CSc1cc(Nc2cc(F)cc(C(C)(C)O)c2)cc[nH+]1 ZINC001216491015 1134907239 /nfs/dbraw/zinc/90/72/39/1134907239.db2.gz BBQVSBDVKYRABC-UHFFFAOYSA-N 1 2 292.379 3.914 20 0 CHADLO CC(=O)c1cccc(Nc2[nH+]c3ccccc3n2C)c1F ZINC001216495562 1134908357 /nfs/dbraw/zinc/90/83/57/1134908357.db2.gz CUUCFADPMBXCIP-UHFFFAOYSA-N 1 2 283.306 3.659 20 0 CHADLO Nc1c(Cl)cccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001216528013 1134915718 /nfs/dbraw/zinc/91/57/18/1134915718.db2.gz KMWPTXZKFSVIBC-UHFFFAOYSA-N 1 2 298.777 3.911 20 0 CHADLO CCCCCC[C@@H](C)NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC001217319634 1134971731 /nfs/dbraw/zinc/97/17/31/1134971731.db2.gz YIKUCOLOPXMNMQ-CYBMUJFWSA-N 1 2 288.395 3.815 20 0 CHADLO Cc1cccc(-c2noc(-c3cccc4[nH+]ccn43)n2)c1C ZINC001217500359 1134981727 /nfs/dbraw/zinc/98/17/27/1134981727.db2.gz DMLYAIMJVKKXFM-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO O=Nc1c(O[C@@H]2CC[NH2+]CC2(F)F)ccc2ccccc21 ZINC001218007554 1135041735 /nfs/dbraw/zinc/04/17/35/1135041735.db2.gz ROTQZKRPVISLOH-CYBMUJFWSA-N 1 2 292.285 3.614 20 0 CHADLO FC1(F)C[NH2+]CC[C@H]1Oc1ccc2ccccc2c1Cl ZINC001218006119 1135041949 /nfs/dbraw/zinc/04/19/49/1135041949.db2.gz ITHHMZJYQNXGLA-CYBMUJFWSA-N 1 2 297.732 3.869 20 0 CHADLO FC1(F)C[NH2+]CC[C@@H]1Oc1ccccc1-c1ccccc1 ZINC001218006608 1135042392 /nfs/dbraw/zinc/04/23/92/1135042392.db2.gz MNNKRVJEYDGHRX-INIZCTEOSA-N 1 2 289.325 3.730 20 0 CHADLO C[C@H](Oc1cc(N)cc[nH+]1)c1cccc(C(F)(F)F)c1 ZINC001218195572 1135067606 /nfs/dbraw/zinc/06/76/06/1135067606.db2.gz FUBPTQGVQAYFLI-VIFPVBQESA-N 1 2 282.265 3.823 20 0 CHADLO CC[C@H](C)c1ccccc1O[C@H]1C[NH2+]CC(F)(F)C1 ZINC001218273040 1135078141 /nfs/dbraw/zinc/07/81/41/1135078141.db2.gz HKATXTBGXXJTFE-NWDGAFQWSA-N 1 2 269.335 3.576 20 0 CHADLO CC[C@@H](C)c1ccc(O[C@H]2C[NH2+]CC(F)(F)C2)cc1 ZINC001218274125 1135077785 /nfs/dbraw/zinc/07/77/85/1135077785.db2.gz NVLRZPYKWOWDKD-BXUZGUMPSA-N 1 2 269.335 3.576 20 0 CHADLO CCC(C)(C)c1ccccc1O[C@H]1C[NH2+]CC(F)(F)C1 ZINC001218277738 1135078033 /nfs/dbraw/zinc/07/80/33/1135078033.db2.gz HJZMJKMDOFUQBX-GFCCVEGCSA-N 1 2 283.362 3.750 20 0 CHADLO C[C@H](Oc1ccc[nH+]c1N)c1cccc(OC(F)(F)F)c1 ZINC001218436745 1135104558 /nfs/dbraw/zinc/10/45/58/1135104558.db2.gz RSOYZNHRJWZIOQ-VIFPVBQESA-N 1 2 298.264 3.702 20 0 CHADLO Cc1cc(C)c(NC(=O)N(CC2CC2)C2CCC2)c(C)[nH+]1 ZINC001219009475 1135124858 /nfs/dbraw/zinc/12/48/58/1135124858.db2.gz AZEAUCURBWHILL-UHFFFAOYSA-N 1 2 287.407 3.803 20 0 CHADLO CCc1ccc(-c2noc([C@](C)([NH3+])c3ccccc3)n2)cc1 ZINC000315365716 1135153428 /nfs/dbraw/zinc/15/34/28/1135153428.db2.gz BXCQVZPJSSOXSO-GOSISDBHSA-N 1 2 293.370 3.521 20 0 CHADLO CCCOc1ccc(C)c(-c2cc[nH+]c(N(C)C)c2)c1 ZINC001222179256 1135205394 /nfs/dbraw/zinc/20/53/94/1135205394.db2.gz MHCGYOHKPWWJNN-UHFFFAOYSA-N 1 2 270.376 3.912 20 0 CHADLO Cc1cc(-c2c[nH+]c3c(c2)CCCN3)c(F)cc1Cl ZINC001222241248 1135213387 /nfs/dbraw/zinc/21/33/87/1135213387.db2.gz BYRDTCBVDFWTGB-UHFFFAOYSA-N 1 2 276.742 3.850 20 0 CHADLO Cc1ccc([C@@H](OCc2c[nH+]cn2C)C(F)(F)F)cc1 ZINC001222594089 1135253631 /nfs/dbraw/zinc/25/36/31/1135253631.db2.gz CFWDECQAXVTCJO-CYBMUJFWSA-N 1 2 284.281 3.549 20 0 CHADLO Cc1ccc(Cl)cc1[C@@H](C)OCc1c[nH+]cn1C ZINC001222595168 1135254213 /nfs/dbraw/zinc/25/42/13/1135254213.db2.gz RNTIRXWNEYVGDK-LLVKDONJSA-N 1 2 264.756 3.660 20 0 CHADLO Cn1c[nH+]cc1CO[C@H]1CC[C@H](C(C)(C)C)CC1 ZINC001222599438 1135254672 /nfs/dbraw/zinc/25/46/72/1135254672.db2.gz BBVJIGLGSZPCNN-MQMHXKEQSA-N 1 2 250.386 3.542 20 0 CHADLO CCCC[C@@H](CC)[C@@H](CC)OCc1c[nH+]cn1C ZINC001222599868 1135255440 /nfs/dbraw/zinc/25/54/40/1135255440.db2.gz HNUWUEKLKGXMSV-UKRRQHHQSA-N 1 2 252.402 3.932 20 0 CHADLO CCCC[C@@H](CC)C[C@H](C)OCc1c[nH+]cn1C ZINC001222600661 1135255730 /nfs/dbraw/zinc/25/57/30/1135255730.db2.gz UBJVOIYWKPLBCJ-UONOGXRCSA-N 1 2 252.402 3.932 20 0 CHADLO CCOC(=O)[C@H](OCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC001223420095 1135342517 /nfs/dbraw/zinc/34/25/17/1135342517.db2.gz HTAFSFFRNORZLG-QGZVFWFLSA-N 1 2 299.370 3.519 20 0 CHADLO Cc1cc(CO[C@H](C)c2ccncc2F)cc(C)[nH+]1 ZINC001223425962 1135344761 /nfs/dbraw/zinc/34/47/61/1135344761.db2.gz WDLXCOCKURNWPE-GFCCVEGCSA-N 1 2 260.312 3.510 20 0 CHADLO CCOC(=[NH2+])CCCCOCc1ccnc(OC(C)C)c1 ZINC001224966033 1135497576 /nfs/dbraw/zinc/49/75/76/1135497576.db2.gz OVFUMKYCYJSTBN-UHFFFAOYSA-N 1 2 294.395 3.569 20 0 CHADLO C[C@@H](Oc1cc[nH+]cc1)c1ncc(C(F)(F)F)cc1F ZINC001225295858 1135536767 /nfs/dbraw/zinc/53/67/67/1135536767.db2.gz NXJJCJZSTGUVSV-MRVPVSSYSA-N 1 2 286.228 3.775 20 0 CHADLO FC(F)(F)[C@H](Oc1cc[nH+]cc1)c1ccccc1 ZINC001225291272 1135536898 /nfs/dbraw/zinc/53/68/98/1135536898.db2.gz RCFBTEREKHUMCP-GFCCVEGCSA-N 1 2 253.223 3.764 20 0 CHADLO C[NH+]1CC(Oc2cccc3ccc(Cl)c(Cl)c32)C1 ZINC001225528210 1135582854 /nfs/dbraw/zinc/58/28/54/1135582854.db2.gz APZIUFVKZHJBTM-UHFFFAOYSA-N 1 2 282.170 3.839 20 0 CHADLO CCc1nocc1C[NH2+][C@@H](C)c1nc(C(C)(C)C)cs1 ZINC001330558774 1135689374 /nfs/dbraw/zinc/68/93/74/1135689374.db2.gz JCERPIANNXHWIR-JTQLQIEISA-N 1 2 293.436 3.842 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H]1CCc2cc(F)ccc21 ZINC001227263820 1135811829 /nfs/dbraw/zinc/81/18/29/1135811829.db2.gz ITKSJFGLERFMJM-OAHLLOKOSA-N 1 2 257.308 3.904 20 0 CHADLO C[C@@H](Nc1cccc(C(F)(F)F)c1)c1[nH+]ccn1C ZINC000070443279 260157359 /nfs/dbraw/zinc/15/73/59/260157359.db2.gz PITNHNVODLDXJT-SECBINFHSA-N 1 2 269.270 3.612 20 0 CHADLO CC[C@@H](C)n1ncc(N[C@@H](C)c2[nH+]ccn2C)c1C1CC1 ZINC000347331334 529444067 /nfs/dbraw/zinc/44/40/67/529444067.db2.gz GONHRKDTFMOSFD-NEPJUHHUSA-N 1 2 287.411 3.638 20 0 CHADLO CC[C@H](Nc1cnn(CCC(C)C)c1C)c1[nH+]ccn1C ZINC000347353063 529680371 /nfs/dbraw/zinc/68/03/71/529680371.db2.gz YBRXJXSYVAPYIL-AWEZNQCLSA-N 1 2 289.427 3.534 20 0 CHADLO CCc1nc(C)ccc1OCc1ccc(C)[nH+]c1C ZINC000352554250 529730353 /nfs/dbraw/zinc/73/03/53/529730353.db2.gz QNFXGISFDDMCJE-UHFFFAOYSA-N 1 2 256.349 3.543 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccc(Cl)o2)cs1 ZINC000344485898 529746757 /nfs/dbraw/zinc/74/67/57/529746757.db2.gz PXZVFWZLPMMBNP-QMMMGPOBSA-N 1 2 270.785 3.803 20 0 CHADLO C[N@H+](CCCOc1ccccc1)Cc1ncc(Cl)s1 ZINC000351998118 529896387 /nfs/dbraw/zinc/89/63/87/529896387.db2.gz XJGUHGINTMGRID-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO C[N@@H+](CCCOc1ccccc1)Cc1ncc(Cl)s1 ZINC000351998118 529896389 /nfs/dbraw/zinc/89/63/89/529896389.db2.gz XJGUHGINTMGRID-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1cc(Cl)cc2cccnc21 ZINC000353810958 530013699 /nfs/dbraw/zinc/01/36/99/530013699.db2.gz DWGQOWJBKMRFIB-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1cc(Cl)cc2cccnc21 ZINC000353810958 530013700 /nfs/dbraw/zinc/01/37/00/530013700.db2.gz DWGQOWJBKMRFIB-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO Cc1cc(C)c(NC(=O)Cc2c[nH]c3ccccc23)c(C)[nH+]1 ZINC000097039909 185511558 /nfs/dbraw/zinc/51/15/58/185511558.db2.gz FHKFQIFPJGTCBE-UHFFFAOYSA-N 1 2 293.370 3.669 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccc(Cl)c(F)c2)[nH]1 ZINC000574272565 335005638 /nfs/dbraw/zinc/00/56/38/335005638.db2.gz PZAKGEDHTGEGDG-SECBINFHSA-N 1 2 296.777 3.571 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1c(F)cccc1F ZINC000162373885 261279374 /nfs/dbraw/zinc/27/93/74/261279374.db2.gz KATOPZOLMWJWIF-DTWKUNHWSA-N 1 2 268.332 3.833 20 0 CHADLO CSc1ccc(Cl)cc1C[NH+]1CC(C(F)F)C1 ZINC001238679055 1131230314 /nfs/dbraw/zinc/23/03/14/1131230314.db2.gz CIENZGLICRDNGN-UHFFFAOYSA-N 1 2 277.767 3.759 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2nc(C)c(C)s2)cs1 ZINC000184687174 262025836 /nfs/dbraw/zinc/02/58/36/262025836.db2.gz CAFUAUIARRHUMG-SECBINFHSA-N 1 2 281.450 3.630 20 0 CHADLO CSc1ccc(C[NH2+][C@@H](C)c2nnc(C(C)C)[nH]2)s1 ZINC000352831463 532959782 /nfs/dbraw/zinc/95/97/82/532959782.db2.gz CWZAXGMNVXQISV-VIFPVBQESA-N 1 2 296.465 3.562 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CC[C@@H](C)c2ccccc21 ZINC000171137490 335056540 /nfs/dbraw/zinc/05/65/40/335056540.db2.gz FWUJPGSUVFSNGC-CQSZACIVSA-N 1 2 269.392 3.978 20 0 CHADLO COCc1cccc(NCCCc2ccc(C)[nH+]c2)c1 ZINC000353812679 533252429 /nfs/dbraw/zinc/25/24/29/533252429.db2.gz FVMNTOHHNGGDFJ-UHFFFAOYSA-N 1 2 270.376 3.581 20 0 CHADLO CO[C@@H]1CC[C@@H](Nc2[nH+]cccc2OCc2ccccc2)C1 ZINC000350699551 533430811 /nfs/dbraw/zinc/43/08/11/533430811.db2.gz HLORLIYYIFDLEW-HZPDHXFCSA-N 1 2 298.386 3.640 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)c2cccc(C3CCC3)c2)c2[nH+]ccn21 ZINC000334767335 533638613 /nfs/dbraw/zinc/63/86/13/533638613.db2.gz GJBCDMVZHOLUOM-MLGOLLRUSA-N 1 2 295.386 3.586 20 0 CHADLO CCCOc1ncccc1CNc1[nH+]ccc(C)c1Cl ZINC000341825751 130059192 /nfs/dbraw/zinc/05/91/92/130059192.db2.gz RBFDDKBOIMJUSG-UHFFFAOYSA-N 1 2 291.782 3.839 20 0 CHADLO CCn1ccnc1C[N@@H+](Cc1ccccc1F)CC(C)C ZINC000341809760 130071746 /nfs/dbraw/zinc/07/17/46/130071746.db2.gz OXSWNCBKDZSPOA-UHFFFAOYSA-N 1 2 289.398 3.700 20 0 CHADLO CCn1ccnc1C[N@H+](Cc1ccccc1F)CC(C)C ZINC000341809760 130071748 /nfs/dbraw/zinc/07/17/48/130071748.db2.gz OXSWNCBKDZSPOA-UHFFFAOYSA-N 1 2 289.398 3.700 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2ccccc2Cl)C(C)C)nc1 ZINC000341866177 130115349 /nfs/dbraw/zinc/11/53/49/130115349.db2.gz QFKSPQMGRCHNGR-MRXNPFEDSA-N 1 2 289.810 3.925 20 0 CHADLO CC(C)=CCSCc1[nH+]ccn1CC(F)(F)F ZINC000341960660 130176125 /nfs/dbraw/zinc/17/61/25/130176125.db2.gz PJZRFZVVFCIIDL-UHFFFAOYSA-N 1 2 264.316 3.645 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](C)c2ccccc2C(F)(F)F)n1 ZINC000342013788 130198927 /nfs/dbraw/zinc/19/89/27/130198927.db2.gz UPHLVRZFDGGIJG-LLVKDONJSA-N 1 2 295.308 3.655 20 0 CHADLO CC/C=C\CC[N@@H+]1CCOC[C@H]1c1ccc(CC)o1 ZINC000342196308 130329498 /nfs/dbraw/zinc/32/94/98/130329498.db2.gz FJGSCLYTABQBEQ-YVACAVLKSA-N 1 2 263.381 3.572 20 0 CHADLO CC/C=C\CC[N@H+]1CCOC[C@H]1c1ccc(CC)o1 ZINC000342196308 130329500 /nfs/dbraw/zinc/32/95/00/130329500.db2.gz FJGSCLYTABQBEQ-YVACAVLKSA-N 1 2 263.381 3.572 20 0 CHADLO Cc1cc(C)c(NC(=O)CCc2ccccc2C)c(C)[nH+]1 ZINC000171435402 335065965 /nfs/dbraw/zinc/06/59/65/335065965.db2.gz ZBASVFAIHYOBMN-UHFFFAOYSA-N 1 2 282.387 3.887 20 0 CHADLO Fc1ccccc1CNc1ccc2ccccc2[nH+]1 ZINC000020565721 171254235 /nfs/dbraw/zinc/25/42/35/171254235.db2.gz IAPXZJHFSYRYJT-UHFFFAOYSA-N 1 2 252.292 3.986 20 0 CHADLO c1coc(C[NH2+][C@@H](c2ccco2)c2ccccc2)c1 ZINC000022148676 171357589 /nfs/dbraw/zinc/35/75/89/171357589.db2.gz PXOUUOXQURLJOU-MRXNPFEDSA-N 1 2 253.301 3.752 20 0 CHADLO Nc1ccc(SCc2cn3ccccc3[nH+]2)c(Cl)c1 ZINC000037205051 173027594 /nfs/dbraw/zinc/02/75/94/173027594.db2.gz QVPJNSIQNUDESL-UHFFFAOYSA-N 1 2 289.791 3.862 20 0 CHADLO CC(C)NC(=O)Cn1c2ccccc2[nH+]c1C1CCCCC1 ZINC000040295795 174223863 /nfs/dbraw/zinc/22/38/63/174223863.db2.gz WZVWGKZOKPWGSM-UHFFFAOYSA-N 1 2 299.418 3.609 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccc(Cl)s2)no1 ZINC000044692702 175233676 /nfs/dbraw/zinc/23/36/76/175233676.db2.gz VUTIDTIGHUEMPM-QMMMGPOBSA-N 1 2 256.758 3.549 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccccc1OC(C)C ZINC000071413714 176159072 /nfs/dbraw/zinc/15/90/72/176159072.db2.gz ZSVAVKSGBDPJER-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO Clc1ccc(C[NH2+]C2(c3nccs3)CCCC2)o1 ZINC000344360024 225042065 /nfs/dbraw/zinc/04/20/65/225042065.db2.gz ONTSYDZSDYYDEV-UHFFFAOYSA-N 1 2 282.796 3.949 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@H](C)c2c(F)cccc2F)n1 ZINC000347374014 226138992 /nfs/dbraw/zinc/13/89/92/226138992.db2.gz ZDRSOVWAFXPTJQ-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@H](C)c2c(F)cccc2F)n1 ZINC000347374014 226138994 /nfs/dbraw/zinc/13/89/94/226138994.db2.gz ZDRSOVWAFXPTJQ-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1ccc(Cl)cc1F ZINC000171669410 335073750 /nfs/dbraw/zinc/07/37/50/335073750.db2.gz HFBLNAKOAYQVOR-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1ccc(Cl)cc1F ZINC000171669410 335073751 /nfs/dbraw/zinc/07/37/51/335073751.db2.gz HFBLNAKOAYQVOR-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO CSc1ccc(N[C@@H](C)C2CCSCC2)[nH+]c1 ZINC000354468276 227004117 /nfs/dbraw/zinc/00/41/17/227004117.db2.gz SXESAIHRYMMEGW-JTQLQIEISA-N 1 2 268.451 3.747 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1cscc1C(F)(F)F ZINC000354518839 227021625 /nfs/dbraw/zinc/02/16/25/227021625.db2.gz CABOOBPKQKIYOU-VIFPVBQESA-N 1 2 267.291 3.701 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1cscc1C(F)(F)F ZINC000354518839 227021628 /nfs/dbraw/zinc/02/16/28/227021628.db2.gz CABOOBPKQKIYOU-VIFPVBQESA-N 1 2 267.291 3.701 20 0 CHADLO CO[C@@H]1C[C@H](Nc2[nH+]ccc3ccc(F)cc32)C1(C)C ZINC000354536557 227025363 /nfs/dbraw/zinc/02/53/63/227025363.db2.gz JJDWDJDXZQXJFO-UONOGXRCSA-N 1 2 274.339 3.599 20 0 CHADLO CC(C)c1nc(N[C@@H](c2ccccc2)[C@@H]2CCCO2)cc[nH+]1 ZINC000171910092 335084553 /nfs/dbraw/zinc/08/45/53/335084553.db2.gz ADMPWKATXVXTCE-RDJZCZTQSA-N 1 2 297.402 3.932 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC[C@@H]3CCC[C@H]32)n1 ZINC000336610628 227179843 /nfs/dbraw/zinc/17/98/43/227179843.db2.gz VTJQDACNKMFYBY-DTWKUNHWSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC[C@@H]3CCC[C@H]32)n1 ZINC000336610628 227179849 /nfs/dbraw/zinc/17/98/49/227179849.db2.gz VTJQDACNKMFYBY-DTWKUNHWSA-N 1 2 276.327 3.536 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc2nc(C3CC3)oc2c1 ZINC000417925987 227189161 /nfs/dbraw/zinc/18/91/61/227189161.db2.gz DBDVTNBFQFNVQB-UHFFFAOYSA-N 1 2 282.347 3.534 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H]2COc3ccc(F)cc32)o1 ZINC000336623360 227214827 /nfs/dbraw/zinc/21/48/27/227214827.db2.gz VJDMUHKXHVVXOO-GXFFZTMASA-N 1 2 261.296 3.511 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H]2COc3ccc(F)cc32)o1 ZINC000336623361 227215593 /nfs/dbraw/zinc/21/55/93/227215593.db2.gz VJDMUHKXHVVXOO-MFKMUULPSA-N 1 2 261.296 3.511 20 0 CHADLO COc1cc(C)cc(CNc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000301776291 227674317 /nfs/dbraw/zinc/67/43/17/227674317.db2.gz GQINJOCYFPIZTC-UHFFFAOYSA-N 1 2 285.391 3.838 20 0 CHADLO Cc1nc(N2CCS[C@H](c3ccccc3)C2)c(C)c(C)[nH+]1 ZINC000301785451 227677947 /nfs/dbraw/zinc/67/79/47/227677947.db2.gz KXUJWUYHCJJNER-INIZCTEOSA-N 1 2 299.443 3.696 20 0 CHADLO C[C@H]([NH2+][C@H]1CSc2ccccc21)c1cscn1 ZINC000335916422 228012554 /nfs/dbraw/zinc/01/25/54/228012554.db2.gz XYTGGNBRHNJPOK-CABZTGNLSA-N 1 2 262.403 3.641 20 0 CHADLO c1sc(C2CC2)nc1C[NH+]1CCC(OC2CCC2)CC1 ZINC000418092200 228028648 /nfs/dbraw/zinc/02/86/48/228028648.db2.gz OQNGXVROLDVINV-UHFFFAOYSA-N 1 2 292.448 3.554 20 0 CHADLO Cc1ccc(Cc2noc(C(C)(C)C3CCCC3)n2)c[nH+]1 ZINC000331380793 228096463 /nfs/dbraw/zinc/09/64/63/228096463.db2.gz MSLCOJPISHOMRA-UHFFFAOYSA-N 1 2 285.391 3.832 20 0 CHADLO FC(F)(F)c1cscc1C[N@@H+]1CCO[C@@H]2CCC[C@@H]21 ZINC000356056538 228103741 /nfs/dbraw/zinc/10/37/41/228103741.db2.gz MOXRYRFRGUVUEY-NWDGAFQWSA-N 1 2 291.338 3.520 20 0 CHADLO FC(F)(F)c1cscc1C[N@H+]1CCO[C@@H]2CCC[C@@H]21 ZINC000356056538 228103744 /nfs/dbraw/zinc/10/37/44/228103744.db2.gz MOXRYRFRGUVUEY-NWDGAFQWSA-N 1 2 291.338 3.520 20 0 CHADLO O=C(CCCC(F)(F)F)Nc1ccccc1-n1cc[nH+]c1 ZINC000355945519 228061211 /nfs/dbraw/zinc/06/12/11/228061211.db2.gz PJQOUEYVIRISNP-UHFFFAOYSA-N 1 2 297.280 3.543 20 0 CHADLO COc1cccc(C[N@H+](C)[C@@H](C)c2c(F)cccc2F)n1 ZINC000353295262 228082454 /nfs/dbraw/zinc/08/24/54/228082454.db2.gz MBMPZSWIRUYXIC-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@@H](C)c2c(F)cccc2F)n1 ZINC000353295262 228082456 /nfs/dbraw/zinc/08/24/56/228082456.db2.gz MBMPZSWIRUYXIC-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO FC(F)(F)c1cscc1C[N@@H+]1CCOC2(CCC2)C1 ZINC000356120571 228129291 /nfs/dbraw/zinc/12/92/91/228129291.db2.gz DWVGOWSCZWDHHL-UHFFFAOYSA-N 1 2 291.338 3.522 20 0 CHADLO FC(F)(F)c1cscc1C[N@H+]1CCOC2(CCC2)C1 ZINC000356120571 228129292 /nfs/dbraw/zinc/12/92/92/228129292.db2.gz DWVGOWSCZWDHHL-UHFFFAOYSA-N 1 2 291.338 3.522 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+]Cc2ccn(C3CCCC3)n2)c1 ZINC000353626547 228134990 /nfs/dbraw/zinc/13/49/90/228134990.db2.gz XWYQKGVYXXIUDX-AWEZNQCLSA-N 1 2 284.407 3.552 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCC1CCCCCC1 ZINC000331987503 228143145 /nfs/dbraw/zinc/14/31/45/228143145.db2.gz MAVOZYSZLVEEPP-UHFFFAOYSA-N 1 2 275.396 3.790 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1C[C@@H](C)C[C@H](C)C1 ZINC000351936202 228167832 /nfs/dbraw/zinc/16/78/32/228167832.db2.gz KQWLYIWMLLJIGE-QWRGUYRKSA-N 1 2 275.396 3.645 20 0 CHADLO Cc1cc(C)cc(CN(C)C(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000351936682 228167834 /nfs/dbraw/zinc/16/78/34/228167834.db2.gz MZOFKYCMBYSUMY-UHFFFAOYSA-N 1 2 297.402 3.979 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1C[C@H](C)C[C@@H](C)C1 ZINC000351936201 228167946 /nfs/dbraw/zinc/16/79/46/228167946.db2.gz KQWLYIWMLLJIGE-GHMZBOCLSA-N 1 2 275.396 3.645 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@H](C)c2ccccc21 ZINC000351943605 228168157 /nfs/dbraw/zinc/16/81/57/228168157.db2.gz MYYXKVMTZKGSPS-LBPRGKRZSA-N 1 2 281.359 3.854 20 0 CHADLO CCn1nc(C[N@H+](C)[C@@H](C)c2cccnc2)c2ccccc21 ZINC000351980595 228170986 /nfs/dbraw/zinc/17/09/86/228170986.db2.gz FXXOHEIQRJIESG-AWEZNQCLSA-N 1 2 294.402 3.644 20 0 CHADLO CCn1nc(C[N@@H+](C)[C@@H](C)c2cccnc2)c2ccccc21 ZINC000351980595 228170987 /nfs/dbraw/zinc/17/09/87/228170987.db2.gz FXXOHEIQRJIESG-AWEZNQCLSA-N 1 2 294.402 3.644 20 0 CHADLO Clc1cnc(C[N@@H+]2CCCc3ccccc3C2)s1 ZINC000351988438 228171174 /nfs/dbraw/zinc/17/11/74/228171174.db2.gz HLDVJMXKSCVCME-UHFFFAOYSA-N 1 2 278.808 3.745 20 0 CHADLO Clc1cnc(C[N@H+]2CCCc3ccccc3C2)s1 ZINC000351988438 228171175 /nfs/dbraw/zinc/17/11/75/228171175.db2.gz HLDVJMXKSCVCME-UHFFFAOYSA-N 1 2 278.808 3.745 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@@H](C(C)(C)C)C1 ZINC000335964107 228171835 /nfs/dbraw/zinc/17/18/35/228171835.db2.gz PZLMMWCMSAYTJP-CYBMUJFWSA-N 1 2 275.396 3.598 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2ccc(Cl)cc21)c1ccco1 ZINC000336119812 228190566 /nfs/dbraw/zinc/19/05/66/228190566.db2.gz LQROREMLBWAYAF-JOYOIKCWSA-N 1 2 263.724 3.717 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3c(Cl)cccc3C2)n1 ZINC000352212626 228200338 /nfs/dbraw/zinc/20/03/38/228200338.db2.gz BBGQJFOLLZSHOD-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3c(Cl)cccc3C2)n1 ZINC000352212626 228200339 /nfs/dbraw/zinc/20/03/39/228200339.db2.gz BBGQJFOLLZSHOD-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2c(F)cccc2F)on1 ZINC000336160151 228201681 /nfs/dbraw/zinc/20/16/81/228201681.db2.gz PRJQRYRWKCKJKI-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2c(F)cccc2F)on1 ZINC000336160151 228201682 /nfs/dbraw/zinc/20/16/82/228201682.db2.gz PRJQRYRWKCKJKI-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO C[C@@H]([NH2+]Cc1csnn1)c1cccc2ccccc21 ZINC000036902066 260050093 /nfs/dbraw/zinc/05/00/93/260050093.db2.gz BBEMFYXUZUSBDX-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO Cc1ccc([C@H](C)N[C@@H]2CC[N@H+](Cc3ccccc3)C2)o1 ZINC000036960100 260057665 /nfs/dbraw/zinc/05/76/65/260057665.db2.gz GBVWCJICLYCCII-DOTOQJQBSA-N 1 2 284.403 3.513 20 0 CHADLO Cc1ccc([C@H](C)N[C@@H]2CC[N@@H+](Cc3ccccc3)C2)o1 ZINC000036960100 260057666 /nfs/dbraw/zinc/05/76/66/260057666.db2.gz GBVWCJICLYCCII-DOTOQJQBSA-N 1 2 284.403 3.513 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(Cl)c(F)c2)c(C)[nH+]1 ZINC000179772199 260068294 /nfs/dbraw/zinc/06/82/94/260068294.db2.gz HMQKTPXHJRUUSB-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO CCc1cc(N2CCC(CC)CC2)nc(-c2ccncc2)[nH+]1 ZINC000521370754 260088315 /nfs/dbraw/zinc/08/83/15/260088315.db2.gz IMMZEZNADKRBSA-UHFFFAOYSA-N 1 2 296.418 3.727 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@H](C)c2nc(C)cs2)n1 ZINC000069994369 260151224 /nfs/dbraw/zinc/15/12/24/260151224.db2.gz ZHJLQWYLFVJXDS-GFCCVEGCSA-N 1 2 292.452 3.860 20 0 CHADLO COC(=O)[C@H]([NH2+]C[C@@H](C)c1cccc(C)c1)c1ccccc1 ZINC000525462051 260154637 /nfs/dbraw/zinc/15/46/37/260154637.db2.gz WWHFNQSHCRUFJW-CRAIPNDOSA-N 1 2 297.398 3.602 20 0 CHADLO CC[C@H](C)Oc1cccc(N[C@H](C)c2[nH+]ccn2C)c1 ZINC000070445427 260157394 /nfs/dbraw/zinc/15/73/94/260157394.db2.gz KSGSNWBGCZSVIE-QWHCGFSZSA-N 1 2 273.380 3.771 20 0 CHADLO CC[C@H](C)Oc1cccc(N[C@@H](C)c2[nH+]ccn2C)c1 ZINC000070445425 260157433 /nfs/dbraw/zinc/15/74/33/260157433.db2.gz KSGSNWBGCZSVIE-STQMWFEESA-N 1 2 273.380 3.771 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccc3ccccc3n2)n1 ZINC000078004652 260167787 /nfs/dbraw/zinc/16/77/87/260167787.db2.gz ZXUFMXUYPSPEBG-LBPRGKRZSA-N 1 2 283.400 3.851 20 0 CHADLO CSc1ccccc1NCc1cn2ccccc2[nH+]1 ZINC000078651560 260168471 /nfs/dbraw/zinc/16/84/71/260168471.db2.gz QHIYTSMIKOLIJI-UHFFFAOYSA-N 1 2 269.373 3.668 20 0 CHADLO Cc1cc([C@@H](C)Nc2[nH+]c3ccccc3n2C)c(C)o1 ZINC000079046435 260174140 /nfs/dbraw/zinc/17/41/40/260174140.db2.gz WMSBGYIYDRKOTD-LLVKDONJSA-N 1 2 269.348 3.956 20 0 CHADLO c1cc2c(cc1Nc1nc(C3CC3)ns1)CCC[NH2+]2 ZINC000087642662 260216310 /nfs/dbraw/zinc/21/63/10/260216310.db2.gz BUBNCGISAXLTBB-UHFFFAOYSA-N 1 2 272.377 3.517 20 0 CHADLO Cc1[nH+]cc(CNc2cccc(F)c2)n1-c1ccccc1 ZINC000092128677 260267797 /nfs/dbraw/zinc/26/77/97/260267797.db2.gz PIHVGVUNPPAHTH-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO Cc1ccc(NCc2ccc(OC(C)C)cc2)c[nH+]1 ZINC000128009364 260370892 /nfs/dbraw/zinc/37/08/92/260370892.db2.gz UJHIJLFGBNCYQR-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)C(=O)OC(C)(C)C)c1cccs1 ZINC000090578418 260371129 /nfs/dbraw/zinc/37/11/29/260371129.db2.gz QPEZQZKOTIOUSZ-QWRGUYRKSA-N 1 2 269.410 3.519 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccccc2OC)s1 ZINC000130495946 260397126 /nfs/dbraw/zinc/39/71/26/260397126.db2.gz ARDNUXSFVIOIMW-LLVKDONJSA-N 1 2 276.405 3.565 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)cc(Br)c1)C(F)F ZINC000449362077 260446907 /nfs/dbraw/zinc/44/69/07/260446907.db2.gz MAYGWELOLVRVNP-JTQLQIEISA-N 1 2 296.130 3.722 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2cc3cccnc3o2)n1 ZINC000518687382 260495497 /nfs/dbraw/zinc/49/54/97/260495497.db2.gz GVFLYLJOXWQZSM-UHFFFAOYSA-N 1 2 287.388 3.698 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000525997056 260696255 /nfs/dbraw/zinc/69/62/55/260696255.db2.gz UODFEQZWTUZOQS-DTORHVGOSA-N 1 2 261.262 3.827 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000525997056 260696256 /nfs/dbraw/zinc/69/62/56/260696256.db2.gz UODFEQZWTUZOQS-DTORHVGOSA-N 1 2 261.262 3.827 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+](C)Cc1ncc(Cl)n1C ZINC000136818735 260929117 /nfs/dbraw/zinc/92/91/17/260929117.db2.gz NEZYPQLPMQNOEA-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+](C)Cc1ncc(Cl)n1C ZINC000136818735 260929119 /nfs/dbraw/zinc/92/91/19/260929119.db2.gz NEZYPQLPMQNOEA-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO C[C@H]([NH2+]Cc1noc(-c2ccccc2)n1)c1ccccc1 ZINC000102184859 260969418 /nfs/dbraw/zinc/96/94/18/260969418.db2.gz QDDYVTJJUPSHDF-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO C(=C/c1ccccc1)\C[N@@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000152029333 261058341 /nfs/dbraw/zinc/05/83/41/261058341.db2.gz QEZOSDIZDUFGTD-YXMGTMDOSA-N 1 2 267.376 3.650 20 0 CHADLO C(=C/c1ccccc1)\C[N@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000152029333 261058342 /nfs/dbraw/zinc/05/83/42/261058342.db2.gz QEZOSDIZDUFGTD-YXMGTMDOSA-N 1 2 267.376 3.650 20 0 CHADLO CCN(Cc1ccccc1)C(=O)Nc1ccc(C)[nH+]c1C ZINC000152370142 261063519 /nfs/dbraw/zinc/06/35/19/261063519.db2.gz PEAFHMPDDMZYEO-UHFFFAOYSA-N 1 2 283.375 3.752 20 0 CHADLO CCc1nc2c(s1)[C@H]([N@H+](C)Cc1ncc(C)o1)CCC2 ZINC000152562783 261066109 /nfs/dbraw/zinc/06/61/09/261066109.db2.gz ULFUAZKRBAFMAU-GFCCVEGCSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1nc2c(s1)[C@H]([N@@H+](C)Cc1ncc(C)o1)CCC2 ZINC000152562783 261066111 /nfs/dbraw/zinc/06/61/11/261066111.db2.gz ULFUAZKRBAFMAU-GFCCVEGCSA-N 1 2 291.420 3.511 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2CCCCC(F)(F)F)o1 ZINC000153876845 261084703 /nfs/dbraw/zinc/08/47/03/261084703.db2.gz QDHJBTIZUWNTBY-GFCCVEGCSA-N 1 2 291.313 3.694 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2CCCCC(F)(F)F)o1 ZINC000153876845 261084705 /nfs/dbraw/zinc/08/47/05/261084705.db2.gz QDHJBTIZUWNTBY-GFCCVEGCSA-N 1 2 291.313 3.694 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@@H+]1CCOC2(CCC2)C1 ZINC000154255988 261089690 /nfs/dbraw/zinc/08/96/90/261089690.db2.gz BZMVBQSAECPECG-CQSZACIVSA-N 1 2 298.386 3.658 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@H+]1CCOC2(CCC2)C1 ZINC000154255988 261089692 /nfs/dbraw/zinc/08/96/92/261089692.db2.gz BZMVBQSAECPECG-CQSZACIVSA-N 1 2 298.386 3.658 20 0 CHADLO CC(C)(C(=O)Nc1cccc(-n2cc[nH+]c2)c1)C1CCC1 ZINC000448725244 261091465 /nfs/dbraw/zinc/09/14/65/261091465.db2.gz OITGWIDKNGHRHK-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO CCOCCC[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000444698028 261106786 /nfs/dbraw/zinc/10/67/86/261106786.db2.gz LNQMXWQLSQHJQG-CJNGLKHVSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCC[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000444698028 261106788 /nfs/dbraw/zinc/10/67/88/261106788.db2.gz LNQMXWQLSQHJQG-CJNGLKHVSA-N 1 2 297.826 3.528 20 0 CHADLO CCOc1cccc(NC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000156178225 261116071 /nfs/dbraw/zinc/11/60/71/261116071.db2.gz XJTQDTDUUXPFLJ-UHFFFAOYSA-N 1 2 285.347 3.741 20 0 CHADLO C[N@H+](CCC(F)(F)F)Cc1ncc(C(C)(C)C)s1 ZINC000448333599 261117557 /nfs/dbraw/zinc/11/75/57/261117557.db2.gz VAUNPYHINPWAAI-UHFFFAOYSA-N 1 2 280.359 3.825 20 0 CHADLO C[N@@H+](CCC(F)(F)F)Cc1ncc(C(C)(C)C)s1 ZINC000448333599 261117559 /nfs/dbraw/zinc/11/75/59/261117559.db2.gz VAUNPYHINPWAAI-UHFFFAOYSA-N 1 2 280.359 3.825 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1ccccc1F)c1ccc(C)o1 ZINC000156360075 261120674 /nfs/dbraw/zinc/12/06/74/261120674.db2.gz IZFJNFKEOZZIAI-WFASDCNBSA-N 1 2 277.339 3.765 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1cc(F)ccc1F)c1ccc(C)o1 ZINC000156385115 261121274 /nfs/dbraw/zinc/12/12/74/261121274.db2.gz PHRWCSZANVADSH-IAQYHMDHSA-N 1 2 295.329 3.905 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000448357479 261124507 /nfs/dbraw/zinc/12/45/07/261124507.db2.gz WYYNRKPOVQOVDQ-CQSZACIVSA-N 1 2 296.480 3.589 20 0 CHADLO CC(C)Cc1ncc(C[N@H+]2CCO[C@@H](C(C)(C)C)C2)s1 ZINC000448357479 261124508 /nfs/dbraw/zinc/12/45/08/261124508.db2.gz WYYNRKPOVQOVDQ-CQSZACIVSA-N 1 2 296.480 3.589 20 0 CHADLO CCc1ncc(C[N@@H+]2Cc3ccc(C)cc3C2)s1 ZINC000361109407 261161542 /nfs/dbraw/zinc/16/15/42/261161542.db2.gz DXNLLMHHSBDCSP-UHFFFAOYSA-N 1 2 258.390 3.530 20 0 CHADLO CCc1ncc(C[N@H+]2Cc3ccc(C)cc3C2)s1 ZINC000361109407 261161544 /nfs/dbraw/zinc/16/15/44/261161544.db2.gz DXNLLMHHSBDCSP-UHFFFAOYSA-N 1 2 258.390 3.530 20 0 CHADLO CCc1nc(C[N@@H+]2CCCCC[C@H]2c2ccc(C)o2)no1 ZINC000158680552 261168865 /nfs/dbraw/zinc/16/88/65/261168865.db2.gz PWXGEFMBYNMDPJ-ZDUSSCGKSA-N 1 2 289.379 3.651 20 0 CHADLO CCc1nc(C[N@H+]2CCCCC[C@H]2c2ccc(C)o2)no1 ZINC000158680552 261168866 /nfs/dbraw/zinc/16/88/66/261168866.db2.gz PWXGEFMBYNMDPJ-ZDUSSCGKSA-N 1 2 289.379 3.651 20 0 CHADLO CCO[C@H](C)c1nc(C[N@H+](C)[C@H]2C=CCCC2)cs1 ZINC000174006056 261176026 /nfs/dbraw/zinc/17/60/26/261176026.db2.gz ILUSWUIGXVEONL-OCCSQVGLSA-N 1 2 280.437 3.781 20 0 CHADLO CCO[C@H](C)c1nc(C[N@@H+](C)[C@H]2C=CCCC2)cs1 ZINC000174006056 261176028 /nfs/dbraw/zinc/17/60/28/261176028.db2.gz ILUSWUIGXVEONL-OCCSQVGLSA-N 1 2 280.437 3.781 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2cccs2)n1)c1ccco1 ZINC000102696222 261270864 /nfs/dbraw/zinc/27/08/64/261270864.db2.gz KXKBXKBPLOPDRB-JTQLQIEISA-N 1 2 274.345 3.847 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+](Cc1ccncc1)C1CC1 ZINC000162394268 261280558 /nfs/dbraw/zinc/28/05/58/261280558.db2.gz WTMOEZRSKBKINM-UHFFFAOYSA-N 1 2 285.416 3.580 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+](Cc1ccncc1)C1CC1 ZINC000162394268 261280560 /nfs/dbraw/zinc/28/05/60/261280560.db2.gz WTMOEZRSKBKINM-UHFFFAOYSA-N 1 2 285.416 3.580 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1csc(C2CC2)n1 ZINC000162545447 261285191 /nfs/dbraw/zinc/28/51/91/261285191.db2.gz ULRBWMYUCNSGCE-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1csc(C2CC2)n1 ZINC000162545447 261285193 /nfs/dbraw/zinc/28/51/93/261285193.db2.gz ULRBWMYUCNSGCE-OAHLLOKOSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1cc(N[C@@H](CC(F)(F)F)C(C)C)nc(C(C)C)[nH+]1 ZINC000445661080 261292223 /nfs/dbraw/zinc/29/22/23/261292223.db2.gz ALIZUARVAJNEGJ-NSHDSACASA-N 1 2 289.345 3.719 20 0 CHADLO Clc1ccc([C@@H]([NH2+]Cc2ccn[nH]2)C2CCC2)cc1 ZINC000105493737 261310946 /nfs/dbraw/zinc/31/09/46/261310946.db2.gz CYCIGNCFVVAFLE-HNNXBMFYSA-N 1 2 275.783 3.694 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1csc2c1CC[C@@H](C)C2 ZINC000107289594 261882791 /nfs/dbraw/zinc/88/27/91/261882791.db2.gz WKKBQBYLKLHYHT-SNVBAGLBSA-N 1 2 286.400 3.829 20 0 CHADLO CC[C@H](C(=O)Nc1ccc(C)[nH+]c1C)c1ccc(OC)cc1 ZINC000181255479 261891011 /nfs/dbraw/zinc/89/10/11/261891011.db2.gz DCXDCXJWFYQQHS-INIZCTEOSA-N 1 2 298.386 3.839 20 0 CHADLO CCOCC[N@H+](CC)Cc1csc(CCC(C)C)n1 ZINC000107791859 261899766 /nfs/dbraw/zinc/89/97/66/261899766.db2.gz DWFPVLZYBOGTRH-UHFFFAOYSA-N 1 2 284.469 3.590 20 0 CHADLO CCOCC[N@@H+](CC)Cc1csc(CCC(C)C)n1 ZINC000107791859 261899768 /nfs/dbraw/zinc/89/97/68/261899768.db2.gz DWFPVLZYBOGTRH-UHFFFAOYSA-N 1 2 284.469 3.590 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+]Cc2nc(C(C)C)no2)c1 ZINC000182890458 261954673 /nfs/dbraw/zinc/95/46/73/261954673.db2.gz WTBPLRBFFNJXPO-ZDUSSCGKSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nc(C(C)C)no2)c1C ZINC000183713490 261985549 /nfs/dbraw/zinc/98/55/49/261985549.db2.gz ANMIGLVKJCJBKJ-CYBMUJFWSA-N 1 2 273.380 3.661 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)c(C)s1)c1nc(C)cs1 ZINC000184354997 262017569 /nfs/dbraw/zinc/01/75/69/262017569.db2.gz HDMZEFMJIXUCEJ-NSHDSACASA-N 1 2 281.450 3.766 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc(Br)s2)nc[nH+]1 ZINC000309826669 262028823 /nfs/dbraw/zinc/02/88/23/262028823.db2.gz XKZAOBNALZSBMB-MRVPVSSYSA-N 1 2 298.209 3.782 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1c2ccccc2CCC[C@H]1C ZINC000185087735 262041138 /nfs/dbraw/zinc/04/11/38/262041138.db2.gz MSEFFKXLKZWCET-PXAZEXFGSA-N 1 2 269.392 3.521 20 0 CHADLO Cc1ccc(CN(Cc2[nH+]ccn2C)c2ccc(F)cc2)o1 ZINC000108873525 262065683 /nfs/dbraw/zinc/06/56/83/262065683.db2.gz MBYWOAGFHKXNCC-UHFFFAOYSA-N 1 2 299.349 3.667 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCC[C@H](c3ccnn3C)C2)cc1 ZINC000363996360 262083322 /nfs/dbraw/zinc/08/33/22/262083322.db2.gz AQQSRVHATJWYFA-GOEBONIOSA-N 1 2 298.434 3.624 20 0 CHADLO Cn1nccc1[C@H]1CCC[C@@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000363996360 262083323 /nfs/dbraw/zinc/08/33/23/262083323.db2.gz AQQSRVHATJWYFA-GOEBONIOSA-N 1 2 298.434 3.624 20 0 CHADLO C[C@@H]([NH2+][C@@H](CCO)c1ccco1)c1ccc(F)cc1Cl ZINC000186549191 262097948 /nfs/dbraw/zinc/09/79/48/262097948.db2.gz YWDNOHIPCNIPFR-YGRLFVJLSA-N 1 2 297.757 3.846 20 0 CHADLO CC(C)(C)C(C)(C)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000497443019 262162320 /nfs/dbraw/zinc/16/23/20/262162320.db2.gz VNTMSCMCKOCOBQ-UHFFFAOYSA-N 1 2 299.418 3.765 20 0 CHADLO Cc1ccc2[nH+]c(CN3CCCc4ccccc43)cn2c1 ZINC000497741333 262198627 /nfs/dbraw/zinc/19/86/27/262198627.db2.gz DCHGIQAMTOBUQG-UHFFFAOYSA-N 1 2 277.371 3.596 20 0 CHADLO c1cc(CNc2ccc([N@@H+]3C[C@H]4CC[C@@H]3C4)cc2)ccn1 ZINC000192217178 262200057 /nfs/dbraw/zinc/20/00/57/262200057.db2.gz MZJVIQXLSKKMAO-MAUKXSAKSA-N 1 2 279.387 3.682 20 0 CHADLO c1cc(CNc2ccc([N@H+]3C[C@H]4CC[C@@H]3C4)cc2)ccn1 ZINC000192217178 262200058 /nfs/dbraw/zinc/20/00/58/262200058.db2.gz MZJVIQXLSKKMAO-MAUKXSAKSA-N 1 2 279.387 3.682 20 0 CHADLO CCCC[C@H]([NH2+][C@@H](C(=O)OC)c1ccsc1)C1CCC1 ZINC000499622690 262204785 /nfs/dbraw/zinc/20/47/85/262204785.db2.gz AWSMUWUFRLOILH-LSDHHAIUSA-N 1 2 295.448 3.911 20 0 CHADLO CCc1cnc(C[NH+]2CCC(c3ccccc3F)CC2)o1 ZINC000525784450 262233937 /nfs/dbraw/zinc/23/39/37/262233937.db2.gz OJLCIIPKWCRRMP-UHFFFAOYSA-N 1 2 288.366 3.756 20 0 CHADLO c1coc(C[NH2+]Cc2nc(-c3ccccc3)cs2)c1 ZINC000057997844 262350690 /nfs/dbraw/zinc/35/06/90/262350690.db2.gz YZHVXKYDZMDYFU-UHFFFAOYSA-N 1 2 270.357 3.693 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cccc1Cl)c1ccn(C)n1 ZINC000414089917 262803390 /nfs/dbraw/zinc/80/33/90/262803390.db2.gz KJDHADMSIPPKHI-ZJUUUORDSA-N 1 2 281.762 3.624 20 0 CHADLO CCc1cnc(CNc2ccc3c(c2)CCC[NH2+]3)s1 ZINC000414851680 263068654 /nfs/dbraw/zinc/06/86/54/263068654.db2.gz OVGBENFFADCXJS-UHFFFAOYSA-N 1 2 273.405 3.676 20 0 CHADLO CCc1nc(CNc2ccc3c(c2)CCC[NH2+]3)cs1 ZINC000414853675 263071023 /nfs/dbraw/zinc/07/10/23/263071023.db2.gz SNLWFSMBFOGVCW-UHFFFAOYSA-N 1 2 273.405 3.676 20 0 CHADLO Fc1cc(F)c(CNc2cc[nH+]c(C3CC3)n2)c(F)c1F ZINC000282069442 263074115 /nfs/dbraw/zinc/07/41/15/263074115.db2.gz VGVNHMLQEHOKHZ-UHFFFAOYSA-N 1 2 297.255 3.523 20 0 CHADLO C[C@H]([NH2+]Cc1ncnn1C(C)(C)C)c1csc(Cl)c1 ZINC000282693823 263078008 /nfs/dbraw/zinc/07/80/08/263078008.db2.gz KLYFKKTVPISAOI-VIFPVBQESA-N 1 2 298.843 3.599 20 0 CHADLO CCc1nc2cc(N[C@H](C)c3[nH+]ccn3CC)ccc2o1 ZINC000296701400 263195632 /nfs/dbraw/zinc/19/56/32/263195632.db2.gz HQUCIEQZVOLLHL-LLVKDONJSA-N 1 2 284.363 3.780 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCSc2ccc(F)cc21)C(F)F ZINC000453248343 263209316 /nfs/dbraw/zinc/20/93/16/263209316.db2.gz PJAVFDXMXBZRES-WDEREUQCSA-N 1 2 275.339 3.996 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1nnc(-c2ccccc2)o1 ZINC000299332117 263239572 /nfs/dbraw/zinc/23/95/72/263239572.db2.gz CDYGJQJTUULNJV-UHFFFAOYSA-N 1 2 297.358 3.740 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1nnc(-c2ccccc2)o1 ZINC000299332117 263239575 /nfs/dbraw/zinc/23/95/75/263239575.db2.gz CDYGJQJTUULNJV-UHFFFAOYSA-N 1 2 297.358 3.740 20 0 CHADLO CC(C)(C)OC(=O)CCCSc1[nH+]cc2ccccn21 ZINC000342518000 263445573 /nfs/dbraw/zinc/44/55/73/263445573.db2.gz DBQXLHZNIZWVDM-UHFFFAOYSA-N 1 2 292.404 3.548 20 0 CHADLO Cc1ocnc1CNc1cc[nH+]c2c(Cl)cccc12 ZINC000342754062 263474630 /nfs/dbraw/zinc/47/46/30/263474630.db2.gz NSDMDWIQVIDZGZ-UHFFFAOYSA-N 1 2 273.723 3.797 20 0 CHADLO CCc1nc(Cc2[nH+]ccn2Cc2ccccc2)sc1C ZINC000342974408 263558382 /nfs/dbraw/zinc/55/83/82/263558382.db2.gz DOVIFEHDCZLBSV-UHFFFAOYSA-N 1 2 297.427 3.850 20 0 CHADLO Cc1nnc(C[NH2+]C(C)(C)c2ccc(C(C)(C)C)cc2)o1 ZINC000330708646 264019949 /nfs/dbraw/zinc/01/99/49/264019949.db2.gz RBXLCAWYIBRSFL-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000456364933 264032153 /nfs/dbraw/zinc/03/21/53/264032153.db2.gz VKYSAIGNHKCCHO-UONOGXRCSA-N 1 2 279.428 3.687 20 0 CHADLO CC[C@H](NC(=O)C[C@@H]1C[C@@H](C)CC(C)(C)C1)c1[nH]cc[nH+]1 ZINC000331010823 264107046 /nfs/dbraw/zinc/10/70/46/264107046.db2.gz VKTNXXGRWYIYCF-RDBSUJKOSA-N 1 2 291.439 3.830 20 0 CHADLO CC1(C)C[C@H](c2ccccc2)[N@H+](Cc2csnn2)C1 ZINC000425376949 264216005 /nfs/dbraw/zinc/21/60/05/264216005.db2.gz YWGKICSQNVCYOJ-CQSZACIVSA-N 1 2 273.405 3.511 20 0 CHADLO CC1(C)C[C@H](c2ccccc2)[N@@H+](Cc2csnn2)C1 ZINC000425376949 264216007 /nfs/dbraw/zinc/21/60/07/264216007.db2.gz YWGKICSQNVCYOJ-CQSZACIVSA-N 1 2 273.405 3.511 20 0 CHADLO Cc1cc(NCCc2cscn2)c2cccc(F)c2[nH+]1 ZINC000126724604 264228220 /nfs/dbraw/zinc/22/82/20/264228220.db2.gz QAWZNJOZUTYUFK-UHFFFAOYSA-N 1 2 287.363 3.793 20 0 CHADLO Fc1cc(Cl)ccc1CN1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000189087549 264267699 /nfs/dbraw/zinc/26/76/99/264267699.db2.gz WVYPPMVRRYSDCQ-CQSZACIVSA-N 1 2 293.773 3.929 20 0 CHADLO Cc1cccn2c(CNc3ccsc3Cl)c[nH+]c12 ZINC000189522440 264304354 /nfs/dbraw/zinc/30/43/54/264304354.db2.gz PBDBEIBAJDXFAF-UHFFFAOYSA-N 1 2 277.780 3.970 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(C(F)F)no2)c(C)s1 ZINC000425459368 264330694 /nfs/dbraw/zinc/33/06/94/264330694.db2.gz OUERUVKABKVJFS-SSDOTTSWSA-N 1 2 287.335 3.536 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)CCC1CC1)c1ccc(Cl)cc1 ZINC000428097010 264366630 /nfs/dbraw/zinc/36/66/30/264366630.db2.gz CFYADYLNUVHVFO-XHDPSFHLSA-N 1 2 295.810 3.722 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)o1 ZINC000334508683 264395932 /nfs/dbraw/zinc/39/59/32/264395932.db2.gz ZKNAEXMBMGKHJR-GFCCVEGCSA-N 1 2 250.729 3.598 20 0 CHADLO Clc1ccc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)o1 ZINC000334508683 264395934 /nfs/dbraw/zinc/39/59/34/264395934.db2.gz ZKNAEXMBMGKHJR-GFCCVEGCSA-N 1 2 250.729 3.598 20 0 CHADLO Oc1cc(F)cc(CNc2ccc3c(c2)CCC[NH2+]3)c1 ZINC000334861655 265076469 /nfs/dbraw/zinc/07/64/69/265076469.db2.gz FDEDDTVMSDOJIL-UHFFFAOYSA-N 1 2 272.323 3.502 20 0 CHADLO CCCC[C@H](CC)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000345965122 265103699 /nfs/dbraw/zinc/10/36/99/265103699.db2.gz RCDWHLVCGBVVGU-PMPSAXMXSA-N 1 2 291.439 3.509 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@H+](C)Cc1ccc(Cl)cc1 ZINC000096593444 265376758 /nfs/dbraw/zinc/37/67/58/265376758.db2.gz FGTGHLIWYXTTKO-AWEZNQCLSA-N 1 2 283.799 3.504 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000096593444 265376760 /nfs/dbraw/zinc/37/67/60/265376760.db2.gz FGTGHLIWYXTTKO-AWEZNQCLSA-N 1 2 283.799 3.504 20 0 CHADLO Cc1ccc(Cc2noc([C@@H](C)Oc3ccccc3)n2)c[nH+]1 ZINC000356130888 266056601 /nfs/dbraw/zinc/05/66/01/266056601.db2.gz STXXQMKUWDWWOV-CYBMUJFWSA-N 1 2 295.342 3.504 20 0 CHADLO c1ncn2c1C[N@H+](Cc1ccc(-c3ccccc3)cc1)CC2 ZINC000356288734 266088317 /nfs/dbraw/zinc/08/83/17/266088317.db2.gz WVEIULSMZVTLOU-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO c1ncn2c1C[N@@H+](Cc1ccc(-c3ccccc3)cc1)CC2 ZINC000356288734 266088318 /nfs/dbraw/zinc/08/83/18/266088318.db2.gz WVEIULSMZVTLOU-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO c1[nH+]cn2c1CN(Cc1ccc(-c3ccccc3)cc1)CC2 ZINC000356288734 266088319 /nfs/dbraw/zinc/08/83/19/266088319.db2.gz WVEIULSMZVTLOU-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@@H]2/C=C/c2ccccc2)o1 ZINC000356346736 266099209 /nfs/dbraw/zinc/09/92/09/266099209.db2.gz NWXQEJWNTUBGFA-SIFUEBAJSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@@H]2/C=C/c2ccccc2)o1 ZINC000356346736 266099212 /nfs/dbraw/zinc/09/92/12/266099212.db2.gz NWXQEJWNTUBGFA-SIFUEBAJSA-N 1 2 297.402 3.871 20 0 CHADLO CC[C@H]1CCCC[C@@H]1C(=O)Nc1ccc(C)[nH+]c1C ZINC000356374037 266104118 /nfs/dbraw/zinc/10/41/18/266104118.db2.gz GLOOCQGFGXZYPQ-KBPBESRZSA-N 1 2 260.381 3.853 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cscc2C(F)(F)F)[C@@H](C)[C@@H](C)O1 ZINC000356388028 266106777 /nfs/dbraw/zinc/10/67/77/266106777.db2.gz HBUSXPJEFBNWTQ-KXUCPTDWSA-N 1 2 293.354 3.765 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cscc2C(F)(F)F)[C@@H](C)[C@@H](C)O1 ZINC000356388028 266106780 /nfs/dbraw/zinc/10/67/80/266106780.db2.gz HBUSXPJEFBNWTQ-KXUCPTDWSA-N 1 2 293.354 3.765 20 0 CHADLO FC1(F)CCC12C[NH+](Cc1cc(Cl)cc3c1OCC3)C2 ZINC000356520533 266129650 /nfs/dbraw/zinc/12/96/50/266129650.db2.gz GQAZMTQYVOZLRZ-UHFFFAOYSA-N 1 2 299.748 3.506 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(Cl)o1)c1nc(C)cs1 ZINC000356812706 266179578 /nfs/dbraw/zinc/17/95/78/266179578.db2.gz KEFPQJCUNOFLFP-JTQLQIEISA-N 1 2 270.785 3.939 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)[C@@H](C)c1ncc(C)o1 ZINC000356954813 266217512 /nfs/dbraw/zinc/21/75/12/266217512.db2.gz CPOXTJJZRDSWFR-LBPRGKRZSA-N 1 2 262.328 3.705 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)[C@@H](C)c1ncc(C)o1 ZINC000356954813 266217517 /nfs/dbraw/zinc/21/75/17/266217517.db2.gz CPOXTJJZRDSWFR-LBPRGKRZSA-N 1 2 262.328 3.705 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccc(Cl)cc2)o1 ZINC000356978657 266222486 /nfs/dbraw/zinc/22/24/86/266222486.db2.gz NEPCKJGSEDMWCJ-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccc(Cl)cc2)o1 ZINC000356978657 266222490 /nfs/dbraw/zinc/22/24/90/266222490.db2.gz NEPCKJGSEDMWCJ-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cn1cc[nH+]c1CN1CCc2cc(-c3ccccc3)ccc21 ZINC000356981647 266224798 /nfs/dbraw/zinc/22/47/98/266224798.db2.gz NIBGDTRCMYOZBY-UHFFFAOYSA-N 1 2 289.382 3.650 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccc(Cl)c(F)c2)o1 ZINC000357008039 266232135 /nfs/dbraw/zinc/23/21/35/266232135.db2.gz POGJFHAUVZYJJB-SNVBAGLBSA-N 1 2 282.746 3.969 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccc(Cl)c(F)c2)o1 ZINC000357008039 266232140 /nfs/dbraw/zinc/23/21/40/266232140.db2.gz POGJFHAUVZYJJB-SNVBAGLBSA-N 1 2 282.746 3.969 20 0 CHADLO CC[C@H](C)c1cc(C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)on1 ZINC000357036205 266236832 /nfs/dbraw/zinc/23/68/32/266236832.db2.gz WXJUNSIVDYBIKJ-VIFPVBQESA-N 1 2 298.346 3.625 20 0 CHADLO CCN(C(=O)CCn1c(C)[nH+]c2ccccc21)C1CCCC1 ZINC000357073996 266242279 /nfs/dbraw/zinc/24/22/79/266242279.db2.gz MBIOLGOFQCLVBU-UHFFFAOYSA-N 1 2 299.418 3.526 20 0 CHADLO CC[C@H](CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1)c1ccccc1 ZINC000357115494 266250898 /nfs/dbraw/zinc/25/08/98/266250898.db2.gz GDRJRKGZWJJVBL-GDBMZVCRSA-N 1 2 297.402 3.657 20 0 CHADLO CC[N@H+](Cc1nc(C(C)(C)C)no1)Cc1cccc(F)c1 ZINC000357513620 266314148 /nfs/dbraw/zinc/31/41/48/266314148.db2.gz PZJBPTVGPBKXEK-UHFFFAOYSA-N 1 2 291.370 3.528 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)(C)C)no1)Cc1cccc(F)c1 ZINC000357513620 266314150 /nfs/dbraw/zinc/31/41/50/266314150.db2.gz PZJBPTVGPBKXEK-UHFFFAOYSA-N 1 2 291.370 3.528 20 0 CHADLO CC[C@H]1CCN(c2cc(COC)[nH+]c3ccc(F)cc32)C1 ZINC000357533348 266317406 /nfs/dbraw/zinc/31/74/06/266317406.db2.gz LKRUIWBXNUXWTH-LBPRGKRZSA-N 1 2 288.366 3.757 20 0 CHADLO Clc1cc2c(s1)CC[C@@H]2Nc1cc[nH+]c(C2CC2)n1 ZINC000357612180 266335432 /nfs/dbraw/zinc/33/54/32/266335432.db2.gz VMHQRWUXEGHFNJ-JTQLQIEISA-N 1 2 291.807 3.590 20 0 CHADLO CC(C)[N@H+](Cc1ccn(C2CCCC2)n1)Cc1cccnc1 ZINC000357612224 266335731 /nfs/dbraw/zinc/33/57/31/266335731.db2.gz IUWNYHVWUBAHNO-UHFFFAOYSA-N 1 2 298.434 3.804 20 0 CHADLO CC(C)[N@@H+](Cc1ccn(C2CCCC2)n1)Cc1cccnc1 ZINC000357612224 266335733 /nfs/dbraw/zinc/33/57/33/266335733.db2.gz IUWNYHVWUBAHNO-UHFFFAOYSA-N 1 2 298.434 3.804 20 0 CHADLO c1cnn(-c2ccc([NH2+]CCCOc3ccccc3)cc2)c1 ZINC000359523318 266596324 /nfs/dbraw/zinc/59/63/24/266596324.db2.gz MJAUCUSZQRTUSQ-UHFFFAOYSA-N 1 2 293.370 3.753 20 0 CHADLO CC(C)[C@@]1(C)C[C@@H]1C(=O)Nc1c[nH+]ccc1OC(C)(C)C ZINC000360634634 266730010 /nfs/dbraw/zinc/73/00/10/266730010.db2.gz HQHKZSFXGVHXHV-SJKOYZFVSA-N 1 2 290.407 3.880 20 0 CHADLO Cc1nc(N[C@@H]2C[C@@H]2c2c(F)cccc2Cl)cc[nH+]1 ZINC000361042432 266773222 /nfs/dbraw/zinc/77/32/22/266773222.db2.gz BAJZQTBYCLNIFG-JOYOIKCWSA-N 1 2 277.730 3.546 20 0 CHADLO CC1CCC(N(C)c2cc[nH+]c3ccncc32)CC1 ZINC000362857640 267055090 /nfs/dbraw/zinc/05/50/90/267055090.db2.gz XNZAQZZOBCJXME-UHFFFAOYSA-N 1 2 255.365 3.645 20 0 CHADLO Cc1cc(F)ccc1CN(C)c1cc[nH+]c(C(C)C)n1 ZINC000362953945 267066388 /nfs/dbraw/zinc/06/63/88/267066388.db2.gz DZQXBYCCUQKSRS-UHFFFAOYSA-N 1 2 273.355 3.684 20 0 CHADLO COc1ncccc1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000362962686 267068414 /nfs/dbraw/zinc/06/84/14/267068414.db2.gz FVHBFLKLLGEZBE-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ncccc1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000362962686 267068417 /nfs/dbraw/zinc/06/84/17/267068417.db2.gz FVHBFLKLLGEZBE-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1nc(N2CCC[C@@H]2CCCc2ccccc2)cc[nH+]1 ZINC000362992568 267071898 /nfs/dbraw/zinc/07/18/98/267071898.db2.gz MILDBQJFGMUSQH-KRWDZBQOSA-N 1 2 281.403 3.777 20 0 CHADLO CCCOc1ccc(C[NH2+][C@H]2CCCc3[nH]ncc32)c(C)c1 ZINC000367614737 267104698 /nfs/dbraw/zinc/10/46/98/267104698.db2.gz IBXICFYYPRFUCJ-KRWDZBQOSA-N 1 2 299.418 3.674 20 0 CHADLO c1coc(C2=CCCN(c3cc[nH+]c4ccncc43)C2)c1 ZINC000367749712 267114606 /nfs/dbraw/zinc/11/46/06/267114606.db2.gz XMTBTGIUSREQEE-UHFFFAOYSA-N 1 2 277.327 3.517 20 0 CHADLO c1[nH+]cn2c1CN(Cc1cc(-c3ccccc3)cs1)CC2 ZINC000367946069 267129603 /nfs/dbraw/zinc/12/96/03/267129603.db2.gz ZPMLLCJPFAFYAA-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCCC12CCCCC2 ZINC000368124695 267146828 /nfs/dbraw/zinc/14/68/28/267146828.db2.gz ITQKCAZYBNDGOM-UHFFFAOYSA-N 1 2 298.390 3.665 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000368751939 267201397 /nfs/dbraw/zinc/20/13/97/267201397.db2.gz WCQOJAZGECKIFL-AWEZNQCLSA-N 1 2 276.742 3.821 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000368751939 267201399 /nfs/dbraw/zinc/20/13/99/267201399.db2.gz WCQOJAZGECKIFL-AWEZNQCLSA-N 1 2 276.742 3.821 20 0 CHADLO O=C(/C=C/C1CCCCC1)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000117775110 267221712 /nfs/dbraw/zinc/22/17/12/267221712.db2.gz QKCUCDGNTUVWRR-FEAKQIBJSA-N 1 2 287.407 3.600 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2cccs2)n1)c1cccnc1 ZINC000119093024 267296170 /nfs/dbraw/zinc/29/61/70/267296170.db2.gz RSHRFXJJTMQBJF-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO Cc1c2cc(C)ccc2[nH]c1C(=O)Nc1cc[nH+]cc1C ZINC000119163073 267305172 /nfs/dbraw/zinc/30/51/72/267305172.db2.gz WYBRGUBKXZZYFX-UHFFFAOYSA-N 1 2 279.343 3.740 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(Cl)cc2F)c(C)[nH+]1 ZINC000119291089 267314768 /nfs/dbraw/zinc/31/47/68/267314768.db2.gz BEPTXFKSPBVYQW-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO C[C@@H]1CC[C@H](CC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000119291467 267314905 /nfs/dbraw/zinc/31/49/05/267314905.db2.gz VLXJDFMIPONCSF-KGLIPLIRSA-N 1 2 283.375 3.637 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2nccs2)cc1 ZINC000370656882 267334685 /nfs/dbraw/zinc/33/46/85/267334685.db2.gz NPPLGMCOVHYSGE-ZDUSSCGKSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2nccs2)cc1 ZINC000370656882 267334688 /nfs/dbraw/zinc/33/46/88/267334688.db2.gz NPPLGMCOVHYSGE-ZDUSSCGKSA-N 1 2 262.353 3.619 20 0 CHADLO CCn1cc[nH+]c1[C@@H]1CCCCN1c1ccnc(C(C)C)n1 ZINC000370666152 267336589 /nfs/dbraw/zinc/33/65/89/267336589.db2.gz SVRQDJMFRMLCDT-AWEZNQCLSA-N 1 2 299.422 3.548 20 0 CHADLO CC[C@@H](NC(=O)Nc1cc[nH+]c(C)c1)c1ccc(OC)cc1 ZINC000119568326 267344809 /nfs/dbraw/zinc/34/48/09/267344809.db2.gz KVMCAPIOVJRNPN-MRXNPFEDSA-N 1 2 299.374 3.671 20 0 CHADLO Clc1cnc(C[N@@H+]2CCSC[C@H]2C2CCC2)s1 ZINC000418127393 267355524 /nfs/dbraw/zinc/35/55/24/267355524.db2.gz OINWKNWTEHEMRP-JTQLQIEISA-N 1 2 288.869 3.514 20 0 CHADLO Clc1cnc(C[N@H+]2CCSC[C@H]2C2CCC2)s1 ZINC000418127393 267355526 /nfs/dbraw/zinc/35/55/26/267355526.db2.gz OINWKNWTEHEMRP-JTQLQIEISA-N 1 2 288.869 3.514 20 0 CHADLO CCC[C@@H](C)C(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000371248536 267377148 /nfs/dbraw/zinc/37/71/48/267377148.db2.gz WEEZZJYZTFDLNB-LLVKDONJSA-N 1 2 271.364 3.760 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@@H+]1CCCC12CCC2 ZINC000373103219 267638013 /nfs/dbraw/zinc/63/80/13/267638013.db2.gz DPMQRKPYRULOLR-UHFFFAOYSA-N 1 2 275.396 3.636 20 0 CHADLO C(c1noc(C2CCCCC2)n1)[N@H+]1CCCC12CCC2 ZINC000373103219 267638015 /nfs/dbraw/zinc/63/80/15/267638015.db2.gz DPMQRKPYRULOLR-UHFFFAOYSA-N 1 2 275.396 3.636 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)OCc2ccccc2)c(C)[nH+]1 ZINC000123353967 268038417 /nfs/dbraw/zinc/03/84/17/268038417.db2.gz NPUQOZCWPUHPHK-OAHLLOKOSA-N 1 2 298.386 3.551 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2cccc(Cl)c2Cl)nn1 ZINC000396525255 328823444 /nfs/dbraw/zinc/82/34/44/328823444.db2.gz DXNOUYUUJCPRGL-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](C)c2cscn2)oc1C ZINC000570942234 327681104 /nfs/dbraw/zinc/68/11/04/327681104.db2.gz HCFVHGQGIGQYIA-NXEZZACHSA-N 1 2 250.367 3.765 20 0 CHADLO C[C@H]1C[C@H]([NH2+]CC(C)(F)F)c2ccsc2S1 ZINC000378726978 327753381 /nfs/dbraw/zinc/75/33/81/327753381.db2.gz JCFAEIRVBIPSFP-CBAPKCEASA-N 1 2 263.378 3.918 20 0 CHADLO C[C@@H](CCC(C)(C)C)[NH2+]c1ccc(NS(C)(=O)=O)cc1 ZINC000380208365 327782992 /nfs/dbraw/zinc/78/29/92/327782992.db2.gz HFOLTTGDCSMEMW-LBPRGKRZSA-N 1 2 298.452 3.685 20 0 CHADLO CC(C)c1noc([C@H](C)[NH2+][C@H](c2ccccc2)C2CC2)n1 ZINC000179102290 327799456 /nfs/dbraw/zinc/79/94/56/327799456.db2.gz WGYDMVYXSABSRQ-SWLSCSKDSA-N 1 2 285.391 3.995 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)o1 ZINC000182628681 327814556 /nfs/dbraw/zinc/81/45/56/327814556.db2.gz DAOVEBSIGVKDGD-ZJUUUORDSA-N 1 2 271.320 3.536 20 0 CHADLO Cc1cc(OC[C@@H]2CCO[C@H](C)C2)c2ccccc2[nH+]1 ZINC000582042510 327816489 /nfs/dbraw/zinc/81/64/89/327816489.db2.gz VTIPZWMMCPFPDQ-ZIAGYGMSSA-N 1 2 271.360 3.737 20 0 CHADLO Cc1ccc2cc(CNc3ccc[nH+]c3C)ccc2n1 ZINC000097861819 327992363 /nfs/dbraw/zinc/99/23/63/327992363.db2.gz SZJAEBYWYMURKH-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO CC[N@H+](Cc1nc(C2CC2)no1)Cc1cccc(Cl)c1 ZINC000047958483 328030728 /nfs/dbraw/zinc/03/07/28/328030728.db2.gz OSDKVLPRGKAXBF-UHFFFAOYSA-N 1 2 291.782 3.623 20 0 CHADLO CC[N@@H+](Cc1nc(C2CC2)no1)Cc1cccc(Cl)c1 ZINC000047958483 328030729 /nfs/dbraw/zinc/03/07/29/328030729.db2.gz OSDKVLPRGKAXBF-UHFFFAOYSA-N 1 2 291.782 3.623 20 0 CHADLO CCC[C@@H](C(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000534299731 328044091 /nfs/dbraw/zinc/04/40/91/328044091.db2.gz AZWSFLBDFJHIHH-MRXNPFEDSA-N 1 2 282.387 3.643 20 0 CHADLO CC(C)[N@H+](Cc1ccccn1)Cc1c(F)cccc1F ZINC000534346971 328047322 /nfs/dbraw/zinc/04/73/22/328047322.db2.gz ISZQYOCDHWFFDS-UHFFFAOYSA-N 1 2 276.330 3.770 20 0 CHADLO CC(C)[N@@H+](Cc1ccccn1)Cc1c(F)cccc1F ZINC000534346971 328047324 /nfs/dbraw/zinc/04/73/24/328047324.db2.gz ISZQYOCDHWFFDS-UHFFFAOYSA-N 1 2 276.330 3.770 20 0 CHADLO Cc1ccc(C[C@H]2CCCN(c3cc(C)[nH+]cn3)C2)cc1 ZINC000563468108 328068954 /nfs/dbraw/zinc/06/89/54/328068954.db2.gz KCMUHIMPTUQZFB-QGZVFWFLSA-N 1 2 281.403 3.553 20 0 CHADLO COc1ccnc2c(N[C@H]3C[C@H](C)n4cc[nH+]c43)cccc12 ZINC000563510514 328070816 /nfs/dbraw/zinc/07/08/16/328070816.db2.gz JHLCOGUMJHCMFL-FZMZJTMJSA-N 1 2 294.358 3.558 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H](c2ccccc2)C2CC2)c(C)[nH+]1 ZINC000152155360 328075667 /nfs/dbraw/zinc/07/56/67/328075667.db2.gz SEOHNQXEGSWYRU-KRWDZBQOSA-N 1 2 295.386 3.971 20 0 CHADLO CC[C@H]1CC[C@H]1Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000571087211 328084285 /nfs/dbraw/zinc/08/42/85/328084285.db2.gz OAXBBOUHXVHCSF-SWLSCSKDSA-N 1 2 255.365 3.688 20 0 CHADLO CCCc1cc(C(=O)N[C@H](C)c2[nH]cc[nH+]2)ccc1Cl ZINC000571104595 328085855 /nfs/dbraw/zinc/08/58/55/328085855.db2.gz WJKGUCGNAWRJKM-SNVBAGLBSA-N 1 2 291.782 3.507 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+][C@H]2CC23CC3)C(F)F)c1 ZINC000571298321 328100547 /nfs/dbraw/zinc/10/05/47/328100547.db2.gz VJJKOLOQRUERPG-UONOGXRCSA-N 1 2 281.346 3.922 20 0 CHADLO Cc1cc(Br)ccc1C[NH2+]CC(C)(F)F ZINC000393255540 329085287 /nfs/dbraw/zinc/08/52/87/329085287.db2.gz LPAVVLDVCWVPIC-UHFFFAOYSA-N 1 2 278.140 3.502 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3ccc(Cl)cc3)CC2)no1 ZINC000571486636 328124928 /nfs/dbraw/zinc/12/49/28/328124928.db2.gz JOERGEUQRXDUBM-NSHDSACASA-N 1 2 276.767 3.976 20 0 CHADLO c1ccc([C@H]2CN(c3cccc[nH+]3)CC23CCC3)cc1 ZINC000413529550 328148695 /nfs/dbraw/zinc/14/86/95/328148695.db2.gz ITHBRIBZWZJCFY-MRXNPFEDSA-N 1 2 264.372 3.856 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2noc(C(C)(C)C)n2)cc1C ZINC000271811458 328197564 /nfs/dbraw/zinc/19/75/64/328197564.db2.gz UMLYHDQRIGMWGE-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO CSc1ccccc1C[N@H+](C)Cc1nc(C)cs1 ZINC000272911021 328201580 /nfs/dbraw/zinc/20/15/80/328201580.db2.gz MFIYXZDMNDUWAE-UHFFFAOYSA-N 1 2 278.446 3.805 20 0 CHADLO CSc1ccccc1C[N@@H+](C)Cc1nc(C)cs1 ZINC000272911021 328201581 /nfs/dbraw/zinc/20/15/81/328201581.db2.gz MFIYXZDMNDUWAE-UHFFFAOYSA-N 1 2 278.446 3.805 20 0 CHADLO CCO[C@H](C)c1nc(C[N@H+]2CC(C)=C[C@H](C)C2)cs1 ZINC000430265500 328202538 /nfs/dbraw/zinc/20/25/38/328202538.db2.gz VJPKKKSYIRRRFH-WCQYABFASA-N 1 2 280.437 3.639 20 0 CHADLO CCO[C@H](C)c1nc(C[N@@H+]2CC(C)=C[C@H](C)C2)cs1 ZINC000430265500 328202539 /nfs/dbraw/zinc/20/25/39/328202539.db2.gz VJPKKKSYIRRRFH-WCQYABFASA-N 1 2 280.437 3.639 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(-c3ccoc3)n2)CCC1(F)F ZINC000289949489 328256966 /nfs/dbraw/zinc/25/69/66/328256966.db2.gz VYCIAZFROIYFAZ-SNVBAGLBSA-N 1 2 298.358 3.880 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(-c3ccoc3)n2)CCC1(F)F ZINC000289949489 328256968 /nfs/dbraw/zinc/25/69/68/328256968.db2.gz VYCIAZFROIYFAZ-SNVBAGLBSA-N 1 2 298.358 3.880 20 0 CHADLO COc1cc(C)[nH+]c(CN2C[C@@H](C)[C@@H]2c2ccccc2)c1 ZINC000534551436 328306104 /nfs/dbraw/zinc/30/61/04/328306104.db2.gz IFRWYRJBSLNSRN-FZKQIMNGSA-N 1 2 282.387 3.592 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H](C)CCc2ccccc2)c(C)[nH+]1 ZINC000152273220 328344529 /nfs/dbraw/zinc/34/45/29/328344529.db2.gz YJFDFZOBXBWIEZ-AWEZNQCLSA-N 1 2 297.402 3.841 20 0 CHADLO CCOC(=O)C[NH2+]C(CC)(CC)c1ccc(Cl)cc1 ZINC000303901038 328365862 /nfs/dbraw/zinc/36/58/62/328365862.db2.gz LMAUMPIOKMNDQL-UHFFFAOYSA-N 1 2 283.799 3.508 20 0 CHADLO C[C@H]1[C@@H](c2ccccc2)CCN1c1[nH+]c2ccccc2n1C ZINC000534980193 328372959 /nfs/dbraw/zinc/37/29/59/328372959.db2.gz WKCOZCIWNDCRKM-HOCLYGCPSA-N 1 2 291.398 3.956 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)Nc1cc(C)[nH+]cc1C ZINC000535035290 328379332 /nfs/dbraw/zinc/37/93/32/328379332.db2.gz WSYLBCBGZQMHKY-HNNXBMFYSA-N 1 2 274.408 3.665 20 0 CHADLO CCC[C@@]1(C)CCCN(C(=O)Nc2cc(C)[nH+]cc2C)C1 ZINC000535060385 328381778 /nfs/dbraw/zinc/38/17/78/328381778.db2.gz NGUTWUYMPAPUJC-KRWDZBQOSA-N 1 2 289.423 3.554 20 0 CHADLO CCC[C@@H]1[C@@H](C)CCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535061075 328381959 /nfs/dbraw/zinc/38/19/59/328381959.db2.gz OTZJWLSGGLEWKZ-BLLLJJGKSA-N 1 2 289.423 3.553 20 0 CHADLO Brc1ccsc1CNc1cccc[nH+]1 ZINC000058555501 328497122 /nfs/dbraw/zinc/49/71/22/328497122.db2.gz QUPKWKTWTQWQAQ-UHFFFAOYSA-N 1 2 269.167 3.518 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(Cl)cc2Cl)[nH]1 ZINC000392457604 329341472 /nfs/dbraw/zinc/34/14/72/329341472.db2.gz LDBYKHNJVISITL-YUMQZZPRSA-N 1 2 299.205 3.832 20 0 CHADLO Cc1ccc(CNc2cnn(C)c2-c2ccccc2)c(C)[nH+]1 ZINC000345337778 328564788 /nfs/dbraw/zinc/56/47/88/328564788.db2.gz IUMSQCIISLFTSE-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398500142 328593638 /nfs/dbraw/zinc/59/36/38/328593638.db2.gz ZFXQJBMFLUTGMY-WDEREUQCSA-N 1 2 261.394 3.512 20 0 CHADLO C/C(=C\c1ccccc1OC(F)F)C[NH2+]CC(C)(F)F ZINC000549862981 326840304 /nfs/dbraw/zinc/84/03/04/326840304.db2.gz KOLFYYVJJSFQBD-JXMROGBWSA-N 1 2 291.288 3.936 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(F)cc1Cl ZINC000398291632 326877935 /nfs/dbraw/zinc/87/79/35/326877935.db2.gz CHSFCWUHYKIGIO-SFYZADRCSA-N 1 2 285.775 3.742 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398308466 326878291 /nfs/dbraw/zinc/87/82/91/326878291.db2.gz QPIDVUMPMZAZOO-NEPJUHHUSA-N 1 2 291.420 3.737 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(F)c(Cl)c1F ZINC000185885835 326916740 /nfs/dbraw/zinc/91/67/40/326916740.db2.gz SVNBWNVYBVZBAQ-UHFFFAOYSA-N 1 2 282.677 3.574 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCC(C)(C)C1=CCCC1 ZINC000556645326 326955809 /nfs/dbraw/zinc/95/58/09/326955809.db2.gz QJERQHWATSRJJY-UHFFFAOYSA-N 1 2 287.407 3.956 20 0 CHADLO COC(=O)c1ccc(SCc2[nH+]ccn2C(C)C)cc1 ZINC000530969155 326958989 /nfs/dbraw/zinc/95/89/89/326958989.db2.gz WNKHEIZLFGSTSN-UHFFFAOYSA-N 1 2 290.388 3.543 20 0 CHADLO CC(C)c1[nH]ccc1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000556767694 326963260 /nfs/dbraw/zinc/96/32/60/326963260.db2.gz GAMKJSMIBTUAGA-UHFFFAOYSA-N 1 2 294.358 3.781 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2ccc(C3CC3)cc2)no1 ZINC000584103375 326970080 /nfs/dbraw/zinc/97/00/80/326970080.db2.gz VBQLOAJOKWQGRW-WDEREUQCSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1cc[nH+]c(NCc2ccc(F)c(F)c2)c1Cl ZINC000557537853 327012421 /nfs/dbraw/zinc/01/24/21/327012421.db2.gz IIKOQMWYTOQNQN-UHFFFAOYSA-N 1 2 268.694 3.934 20 0 CHADLO FC(F)(F)c1ccc(C[NH+]2CC3(CCC3(F)F)C2)s1 ZINC000557541998 327012835 /nfs/dbraw/zinc/01/28/35/327012835.db2.gz AKVRIPRURJAAOH-UHFFFAOYSA-N 1 2 297.292 3.998 20 0 CHADLO CO[C@H](C)[C@H](C)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000557659892 327019402 /nfs/dbraw/zinc/01/94/02/327019402.db2.gz JLSVSSWRDGIULH-VHSXEESVSA-N 1 2 264.756 3.724 20 0 CHADLO CCc1nc(N2C[C@@H](C)C[C@H]2c2ccccc2)cc(C)[nH+]1 ZINC000557763167 327025591 /nfs/dbraw/zinc/02/55/91/327025591.db2.gz YNSCGBLMLCHGDV-BBRMVZONSA-N 1 2 281.403 3.935 20 0 CHADLO Cn1c[nH+]cc1CNc1ccccc1-c1ccccc1 ZINC000090153413 327031440 /nfs/dbraw/zinc/03/14/40/327031440.db2.gz CPULHYPFWZQMJK-UHFFFAOYSA-N 1 2 263.344 3.699 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C)c(C(F)(F)F)c1 ZINC000090172888 327032006 /nfs/dbraw/zinc/03/20/06/327032006.db2.gz UXJWFZLUCOYTBJ-UHFFFAOYSA-N 1 2 283.297 3.842 20 0 CHADLO Cc1ccc(NCCCc2c[nH+]ccc2C)cc1F ZINC000558403794 327091475 /nfs/dbraw/zinc/09/14/75/327091475.db2.gz JIKFHRVIPFUUDF-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO Cc1cc(C(=O)Nc2cc[nH+]c(C)c2)c(C)n1C(C)C ZINC000074730361 327121320 /nfs/dbraw/zinc/12/13/20/327121320.db2.gz MQZOHDYZWVFAEX-UHFFFAOYSA-N 1 2 271.364 3.642 20 0 CHADLO CCc1cccc(OCc2cc(OC)cc(C)[nH+]2)c1 ZINC000214362570 327122053 /nfs/dbraw/zinc/12/20/53/327122053.db2.gz SDQUJQVOSHCOBO-UHFFFAOYSA-N 1 2 257.333 3.540 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cccc(OC(F)F)c2)o1 ZINC000223650954 327129321 /nfs/dbraw/zinc/12/93/21/327129321.db2.gz CSCBFMIBLFBOQK-JTQLQIEISA-N 1 2 296.317 3.689 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1c(F)cccc1F ZINC000293829482 327159264 /nfs/dbraw/zinc/15/92/64/327159264.db2.gz BFOZSNMCJDSUIQ-NRUUGDAUSA-N 1 2 277.318 3.518 20 0 CHADLO Cc1cccc2c1CN(c1[nH+]c3cccc(F)c3n1C)CC2 ZINC000409661478 327163335 /nfs/dbraw/zinc/16/33/35/327163335.db2.gz WNJOGSWBGSMZBN-UHFFFAOYSA-N 1 2 295.361 3.584 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnc(C(C)(C)C)s1)C(F)F ZINC000449356573 327169548 /nfs/dbraw/zinc/16/95/48/327169548.db2.gz AICIIAVPKUMDLC-SECBINFHSA-N 1 2 262.369 3.574 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cnccn1)c1cc(F)ccc1F ZINC000090078032 327177040 /nfs/dbraw/zinc/17/70/40/327177040.db2.gz OBGXDMJTMHOXBC-HZMBPMFUSA-N 1 2 277.318 3.557 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2c(C)cc(Cl)cc2C)no1 ZINC000092254114 327191150 /nfs/dbraw/zinc/19/11/50/327191150.db2.gz KZSAWRURKTVTKE-UHFFFAOYSA-N 1 2 278.783 3.885 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2c(C)cc(Cl)cc2C)no1 ZINC000092254114 327191152 /nfs/dbraw/zinc/19/11/52/327191152.db2.gz KZSAWRURKTVTKE-UHFFFAOYSA-N 1 2 278.783 3.885 20 0 CHADLO CCc1cc(OCc2nnc(C(C)C)o2)c2ccccc2[nH+]1 ZINC000092333995 327192398 /nfs/dbraw/zinc/19/23/98/327192398.db2.gz QPGMZHCZBHBNLM-UHFFFAOYSA-N 1 2 297.358 3.883 20 0 CHADLO CCC(CC)[N@@H+](Cc1nnsc1Cl)CC(C)C ZINC000093308983 327197359 /nfs/dbraw/zinc/19/73/59/327197359.db2.gz STAAHSGYISZRGJ-UHFFFAOYSA-N 1 2 275.849 3.838 20 0 CHADLO CCC(CC)[N@H+](Cc1nnsc1Cl)CC(C)C ZINC000093308983 327197360 /nfs/dbraw/zinc/19/73/60/327197360.db2.gz STAAHSGYISZRGJ-UHFFFAOYSA-N 1 2 275.849 3.838 20 0 CHADLO COc1ncc([C@H](C)[NH2+][C@H](C)c2cscn2)cc1Cl ZINC000569592292 327202110 /nfs/dbraw/zinc/20/21/10/327202110.db2.gz ZACXQLHEEGLNCB-DTWKUNHWSA-N 1 2 297.811 3.612 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncccc2Cl)s1 ZINC000528374191 327207634 /nfs/dbraw/zinc/20/76/34/327207634.db2.gz VXLWVJODHNRQOQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncccc2Cl)s1 ZINC000528374191 327207635 /nfs/dbraw/zinc/20/76/35/327207635.db2.gz VXLWVJODHNRQOQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO C[C@@H]1c2ccsc2CCN1c1[nH]c2ccccc2[nH+]1 ZINC000536503467 327241955 /nfs/dbraw/zinc/24/19/55/327241955.db2.gz UPPYRBOCWLLXLM-SNVBAGLBSA-N 1 2 269.373 3.748 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)/C=C\c1ccc2ccccc2n1 ZINC000255026351 327251158 /nfs/dbraw/zinc/25/11/58/327251158.db2.gz SMKJWWCTXLFPKT-HJWRWDBZSA-N 1 2 289.338 3.590 20 0 CHADLO COC(=O)CCC[C@H]1CCC[C@H](Nc2c[nH+]cc(C)c2)C1 ZINC000559821765 327263372 /nfs/dbraw/zinc/26/33/72/327263372.db2.gz VVJSQUBONNJXTR-CABCVRRESA-N 1 2 290.407 3.704 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+][C@H]1CCC[C@H]1F ZINC000559918799 327271089 /nfs/dbraw/zinc/27/10/89/327271089.db2.gz IMETUSKYSZRKOQ-RDBSUJKOSA-N 1 2 271.326 3.733 20 0 CHADLO C[C@@H](O)[C@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000560115375 327284783 /nfs/dbraw/zinc/28/47/83/327284783.db2.gz SBNNHNHADBTOIS-BDAKNGLRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@@H](O)[C@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000560115375 327284784 /nfs/dbraw/zinc/28/47/84/327284784.db2.gz SBNNHNHADBTOIS-BDAKNGLRSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H](O)[C@@H]1CCC[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000560115376 327284793 /nfs/dbraw/zinc/28/47/93/327284793.db2.gz SBNNHNHADBTOIS-DTWKUNHWSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H](O)[C@@H]1CCC[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000560115376 327284794 /nfs/dbraw/zinc/28/47/94/327284794.db2.gz SBNNHNHADBTOIS-DTWKUNHWSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[N@H+](C)Cc1cccc(F)c1 ZINC000097091423 327291309 /nfs/dbraw/zinc/29/13/09/327291309.db2.gz RRHJDLNVTOBETI-LLVKDONJSA-N 1 2 291.370 3.699 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[N@@H+](C)Cc1cccc(F)c1 ZINC000097091423 327291310 /nfs/dbraw/zinc/29/13/10/327291310.db2.gz RRHJDLNVTOBETI-LLVKDONJSA-N 1 2 291.370 3.699 20 0 CHADLO Cc1c[nH+]c(CCSCc2nn(C)cc2Cl)c(C)c1 ZINC000564980712 327319428 /nfs/dbraw/zinc/31/94/28/327319428.db2.gz IHYFUXXNAHVVKZ-UHFFFAOYSA-N 1 2 295.839 3.561 20 0 CHADLO CC(C)[C@@H]1CC[C@@H]1Nc1cccc(-n2cc[nH+]c2)c1 ZINC000560549695 327323566 /nfs/dbraw/zinc/32/35/66/327323566.db2.gz RXDZAUBYTHZSLM-HOTGVXAUSA-N 1 2 255.365 3.719 20 0 CHADLO c1csc(C2([NH2+]Cc3ccc4c(n3)CCC4)CCCC2)n1 ZINC000560604721 327329700 /nfs/dbraw/zinc/32/97/00/327329700.db2.gz SMBQBKGMQPSYAK-UHFFFAOYSA-N 1 2 299.443 3.586 20 0 CHADLO CC(C)CCc1ccc(NCc2[nH+]ccn2C)cc1 ZINC000560694493 327337345 /nfs/dbraw/zinc/33/73/45/327337345.db2.gz PRYKGGYILIKUCX-UHFFFAOYSA-N 1 2 257.381 3.621 20 0 CHADLO OCC[C@H]1CCC[C@@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000561305326 327384201 /nfs/dbraw/zinc/38/42/01/327384201.db2.gz RCYLVBYFKCWRQF-RISCZKNCSA-N 1 2 290.794 3.851 20 0 CHADLO CC[C@H]([NH2+][C@H](c1ccc(Cl)cc1)[C@@H]1C[C@H]1C)C(=O)OC ZINC000561371997 327389776 /nfs/dbraw/zinc/38/97/76/327389776.db2.gz YILVTFMCQTYURU-QPKOPYBWSA-N 1 2 295.810 3.578 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N(C)CCc1ccncc1 ZINC000150879102 327394393 /nfs/dbraw/zinc/39/43/93/327394393.db2.gz OFRVHUJETVFBOR-UHFFFAOYSA-N 1 2 277.371 3.617 20 0 CHADLO CCc1ccc(C[NH2+]Cc2c(F)cccc2OC(F)F)o1 ZINC000150904160 327396978 /nfs/dbraw/zinc/39/69/78/327396978.db2.gz UUCWSVMXGXNADX-UHFFFAOYSA-N 1 2 299.292 3.872 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@](F)(c3ccccc3)C2)sc1C ZINC000561487386 327399079 /nfs/dbraw/zinc/39/90/79/327399079.db2.gz QOHLSOMGBBAQKG-MRXNPFEDSA-N 1 2 290.407 3.831 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@](F)(c3ccccc3)C2)sc1C ZINC000561487386 327399081 /nfs/dbraw/zinc/39/90/81/327399081.db2.gz QOHLSOMGBBAQKG-MRXNPFEDSA-N 1 2 290.407 3.831 20 0 CHADLO COc1cccc(SCc2cn3c(cccc3C)[nH+]2)c1 ZINC000127500923 327409281 /nfs/dbraw/zinc/40/92/81/327409281.db2.gz ISENNDFBQVOOHQ-UHFFFAOYSA-N 1 2 284.384 3.944 20 0 CHADLO Cc1cc(NCC(C)(C)c2ccccc2F)nc(C2CC2)[nH+]1 ZINC000561719331 327412998 /nfs/dbraw/zinc/41/29/98/327412998.db2.gz XZKMXCWXYOEEGS-UHFFFAOYSA-N 1 2 299.393 3.613 20 0 CHADLO CC1(C(=O)Nc2ccc(Oc3cc[nH+]cc3)cc2)CC1 ZINC000151485813 327472159 /nfs/dbraw/zinc/47/21/59/327472159.db2.gz VQMJDJDTBYWSMN-UHFFFAOYSA-N 1 2 268.316 3.613 20 0 CHADLO Cc1oc2ccc(NC(=O)C[C@@H](C)n3cc[nH+]c3)cc2c1C ZINC000572648940 327599669 /nfs/dbraw/zinc/59/96/69/327599669.db2.gz WAAAOSIDRKDCCE-LLVKDONJSA-N 1 2 297.358 3.836 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@]2(C)CCC[C@H]2C)n1 ZINC000570655150 327608347 /nfs/dbraw/zinc/60/83/47/327608347.db2.gz SJFQVSIMHHXYQM-CXAGYDPISA-N 1 2 285.391 3.636 20 0 CHADLO C[C@H]([NH2+]Cc1ncoc1-c1ccccc1)c1cscn1 ZINC000535776840 328630602 /nfs/dbraw/zinc/63/06/02/328630602.db2.gz AWECWJCNGPRAHO-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO CC1(C)CCC[C@@H](CC(=O)NCc2cn3ccccc3[nH+]2)C1 ZINC000535905494 328646851 /nfs/dbraw/zinc/64/68/51/328646851.db2.gz LUUMFLWCZYNDHM-AWEZNQCLSA-N 1 2 299.418 3.557 20 0 CHADLO Cc1cc(N2CCC[C@H]2c2ccccn2)nc(C(C)C)[nH+]1 ZINC000532115608 328699465 /nfs/dbraw/zinc/69/94/65/328699465.db2.gz ZFNSAPSUBYQDTD-HNNXBMFYSA-N 1 2 282.391 3.645 20 0 CHADLO CCN(Cc1cn2cc(C)ccc2[nH+]1)c1ccccc1 ZINC000532655561 328804358 /nfs/dbraw/zinc/80/43/58/328804358.db2.gz XQASFBGMSDYLRG-UHFFFAOYSA-N 1 2 265.360 3.669 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccccc1)C1CCC1)C(=O)OC(C)(C)C ZINC000532701567 328805797 /nfs/dbraw/zinc/80/57/97/328805797.db2.gz GHGVTTYNHLQUHP-CZUORRHYSA-N 1 2 289.419 3.848 20 0 CHADLO Fc1ccc(NCc2c[nH+]c3ccc(Cl)cn23)c(F)c1 ZINC000527076649 328824374 /nfs/dbraw/zinc/82/43/74/328824374.db2.gz CWCNDZZMNUXJPU-UHFFFAOYSA-N 1 2 293.704 3.878 20 0 CHADLO c1ccc(NCc2ccnc(OC3CCCCC3)c2)[nH+]c1 ZINC000301119008 328826560 /nfs/dbraw/zinc/82/65/60/328826560.db2.gz LTWBSUFLPMFULX-UHFFFAOYSA-N 1 2 283.375 3.800 20 0 CHADLO CCN(Cc1ccccc1)c1cc[nH+]c(C(C)C)n1 ZINC000301167539 328827282 /nfs/dbraw/zinc/82/72/82/328827282.db2.gz FFNJNPLXZRWPNA-UHFFFAOYSA-N 1 2 255.365 3.627 20 0 CHADLO Cc1cnc(-c2cccc(NCc3[nH]c(C)c(C)[nH+]3)c2)o1 ZINC000563974823 328842384 /nfs/dbraw/zinc/84/23/84/328842384.db2.gz DFAFVRJIOFLKLS-UHFFFAOYSA-N 1 2 282.347 3.602 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1C(F)(F)F)C(C)(F)F ZINC000389444975 328845401 /nfs/dbraw/zinc/84/54/01/328845401.db2.gz MHWUMARSQDKAFY-QMMMGPOBSA-N 1 2 267.241 3.839 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(OC(F)(F)F)cc1)C(C)(F)F ZINC000389460048 328847634 /nfs/dbraw/zinc/84/76/34/328847634.db2.gz DYPHXKIQZIADNQ-QMMMGPOBSA-N 1 2 283.240 3.719 20 0 CHADLO C[C@H]([NH2+][C@H]1CCSc2ccccc21)C(C)(F)F ZINC000389473567 328848584 /nfs/dbraw/zinc/84/85/84/328848584.db2.gz VPMPJCKBLXWMDV-ONGXEEELSA-N 1 2 257.349 3.857 20 0 CHADLO Cn1c[nH+]cc1CSc1ncc(-c2ccc(F)cc2)o1 ZINC000360707159 328910377 /nfs/dbraw/zinc/91/03/77/328910377.db2.gz HNKQRTQUDNTEFP-UHFFFAOYSA-N 1 2 289.335 3.507 20 0 CHADLO O=C(c1csc2ccccc12)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000377316979 329011161 /nfs/dbraw/zinc/01/11/61/329011161.db2.gz MLRKWKWZEPUXKF-CYBMUJFWSA-N 1 2 297.383 3.602 20 0 CHADLO O=C(c1csc2ccccc12)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000377316980 329011217 /nfs/dbraw/zinc/01/12/17/329011217.db2.gz MLRKWKWZEPUXKF-ZDUSSCGKSA-N 1 2 297.383 3.602 20 0 CHADLO C1=C[C@H]([N@H+]2CCCC[C@@H]2c2noc(C3CC3)n2)CCC1 ZINC000377620639 329021116 /nfs/dbraw/zinc/02/11/16/329021116.db2.gz JTJUPFLECRTSFT-UONOGXRCSA-N 1 2 273.380 3.583 20 0 CHADLO C1=C[C@H]([N@@H+]2CCCC[C@@H]2c2noc(C3CC3)n2)CCC1 ZINC000377620639 329021118 /nfs/dbraw/zinc/02/11/18/329021118.db2.gz JTJUPFLECRTSFT-UONOGXRCSA-N 1 2 273.380 3.583 20 0 CHADLO C1=C[C@@H]([N@H+]2CCCC[C@H]2c2noc(C3CC3)n2)CCC1 ZINC000377620638 329021148 /nfs/dbraw/zinc/02/11/48/329021148.db2.gz JTJUPFLECRTSFT-KGLIPLIRSA-N 1 2 273.380 3.583 20 0 CHADLO C1=C[C@@H]([N@@H+]2CCCC[C@H]2c2noc(C3CC3)n2)CCC1 ZINC000377620638 329021150 /nfs/dbraw/zinc/02/11/50/329021150.db2.gz JTJUPFLECRTSFT-KGLIPLIRSA-N 1 2 273.380 3.583 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@H]3[C@@H]4CCC[C@@H]43)c2)[nH+]c1C ZINC000378003586 329036942 /nfs/dbraw/zinc/03/69/42/329036942.db2.gz MRFKMCSWURFVIH-ZSHCYNCHSA-N 1 2 295.386 3.678 20 0 CHADLO CCCCC[C@H](CC)C(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000378515458 329053814 /nfs/dbraw/zinc/05/38/14/329053814.db2.gz DBESNCSMIKTYLI-KBPBESRZSA-N 1 2 277.412 3.680 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@@H+]1Cc1nccn1C(C)C ZINC000170785637 329068151 /nfs/dbraw/zinc/06/81/51/329068151.db2.gz HFVOMIJYGQDWLF-MRXNPFEDSA-N 1 2 299.418 3.810 20 0 CHADLO COc1ccccc1[C@H]1CCC[N@H+]1Cc1nccn1C(C)C ZINC000170785637 329068153 /nfs/dbraw/zinc/06/81/53/329068153.db2.gz HFVOMIJYGQDWLF-MRXNPFEDSA-N 1 2 299.418 3.810 20 0 CHADLO Fc1ccccc1C1CN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000461985667 329073895 /nfs/dbraw/zinc/07/38/95/329073895.db2.gz HWQQZNBTOGBOLZ-UHFFFAOYSA-N 1 2 296.267 3.843 20 0 CHADLO CC(C)(C)C1CC[NH+](Cc2nccn2C(F)F)CC1 ZINC000172031967 329083542 /nfs/dbraw/zinc/08/35/42/329083542.db2.gz XRNIZGCOXOKYHU-UHFFFAOYSA-N 1 2 271.355 3.536 20 0 CHADLO CCc1ccc(NCCCc2c[nH+]ccc2C)cc1 ZINC000582513890 329099512 /nfs/dbraw/zinc/09/95/12/329099512.db2.gz RWLNPCPLTQZXLI-UHFFFAOYSA-N 1 2 254.377 3.997 20 0 CHADLO Fc1ccccc1SCc1ccc(-n2cc[nH+]c2)nc1 ZINC000175217064 329166740 /nfs/dbraw/zinc/16/67/40/329166740.db2.gz BNAKGBRFYGFRTJ-UHFFFAOYSA-N 1 2 285.347 3.699 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ncc(CC)s2)cc1 ZINC000175507861 329169261 /nfs/dbraw/zinc/16/92/61/329169261.db2.gz KSBNJYGGKURCLK-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ncc(CC)s2)cc1 ZINC000175507861 329169262 /nfs/dbraw/zinc/16/92/62/329169262.db2.gz KSBNJYGGKURCLK-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCCCc1[nH]c2ccc(NC(=O)c3cc[nH]c3C)cc2[nH+]1 ZINC000175887011 329170809 /nfs/dbraw/zinc/17/08/09/329170809.db2.gz LDZFYEBRYOYKDO-UHFFFAOYSA-N 1 2 296.374 3.794 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCc3nccs3)c2c1 ZINC000179027619 329203781 /nfs/dbraw/zinc/20/37/81/329203781.db2.gz VIJBHPQAPYAYLD-UHFFFAOYSA-N 1 2 286.356 3.587 20 0 CHADLO Cc1ccc(NC(=O)C2C[C@H](C)C[C@H](C)C2)c(C)[nH+]1 ZINC000180420317 329216299 /nfs/dbraw/zinc/21/62/99/329216299.db2.gz YGYKWFHYUREKSD-BVUQATHDSA-N 1 2 260.381 3.709 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2nccn2C(F)F)ccc1Cl ZINC000180421579 329216305 /nfs/dbraw/zinc/21/63/05/329216305.db2.gz BYIOBGZSSOUHAB-UHFFFAOYSA-N 1 2 299.752 3.872 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2nccn2C(F)F)ccc1Cl ZINC000180421579 329216306 /nfs/dbraw/zinc/21/63/06/329216306.db2.gz BYIOBGZSSOUHAB-UHFFFAOYSA-N 1 2 299.752 3.872 20 0 CHADLO Cc1ccc(C)c(CSCCCn2cc[nH+]c2)c1 ZINC000180437241 329217089 /nfs/dbraw/zinc/21/70/89/329217089.db2.gz PFAKWFFABFIHOM-UHFFFAOYSA-N 1 2 260.406 3.823 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[NH+]1Cc2ccccc2C1 ZINC000181102601 329226172 /nfs/dbraw/zinc/22/61/72/329226172.db2.gz WYKCGGRHMDYODC-LBPRGKRZSA-N 1 2 264.328 3.905 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(-c2ccccc2)o1)c1cccnc1 ZINC000181323119 329231699 /nfs/dbraw/zinc/23/16/99/329231699.db2.gz XWCHKEWWLULWIC-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO Clc1cnc(C[NH2+]Cc2cccc(Cl)c2)s1 ZINC000225293680 329248152 /nfs/dbraw/zinc/24/81/52/329248152.db2.gz JLJMKMCURPJTQB-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO CCC(=O)c1cccc(NCc2ccc(C)[nH+]c2C)c1 ZINC000184492886 329260853 /nfs/dbraw/zinc/26/08/53/329260853.db2.gz QRSHSNVJHJTAEC-UHFFFAOYSA-N 1 2 268.360 3.903 20 0 CHADLO Cc1ccc(CSCc2noc(C(C)C)n2)c(C)[nH+]1 ZINC000184822642 329263193 /nfs/dbraw/zinc/26/31/93/329263193.db2.gz RLLWHLMWAIMWHV-UHFFFAOYSA-N 1 2 277.393 3.638 20 0 CHADLO Cc1nc(C(=O)Nc2cc[nH+]cc2C)c(-c2ccccc2)o1 ZINC000184754733 329264022 /nfs/dbraw/zinc/26/40/22/329264022.db2.gz KTGTVPDIGPRMMQ-UHFFFAOYSA-N 1 2 293.326 3.606 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cnccn1)c1ncc(-c2ccccc2)o1 ZINC000185444309 329273492 /nfs/dbraw/zinc/27/34/92/329273492.db2.gz WUKHNWWVOSZEPI-STQMWFEESA-N 1 2 294.358 3.543 20 0 CHADLO CCCCCOc1ccc([C@@H](C)[NH2+]Cc2ncccn2)cc1 ZINC000582975553 329297648 /nfs/dbraw/zinc/29/76/48/329297648.db2.gz HHBHNJNUVOLHGH-OAHLLOKOSA-N 1 2 299.418 3.896 20 0 CHADLO CCCC[C@@H](C)N(C)c1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000582997121 329300140 /nfs/dbraw/zinc/30/01/40/329300140.db2.gz DWMRBFNDAJHJFQ-CQSZACIVSA-N 1 2 284.407 3.862 20 0 CHADLO Clc1ccc(Cl)c(C[NH2+]Cc2nccs2)c1 ZINC000229188876 329350112 /nfs/dbraw/zinc/35/01/12/329350112.db2.gz GQHPEJGLDHNVSV-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2oc3ccccc3c2C)[nH]1 ZINC000392359786 329326834 /nfs/dbraw/zinc/32/68/34/329326834.db2.gz WTHAVIUJKJVNID-QWRGUYRKSA-N 1 2 284.363 3.580 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2cccc(Cl)c2Cl)[nH]1 ZINC000392462003 329340726 /nfs/dbraw/zinc/34/07/26/329340726.db2.gz NQJPOERALKBNBH-JGVFFNPUSA-N 1 2 299.205 3.832 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2cc(Cl)ccc2Cl)[nH]1 ZINC000392454930 329341406 /nfs/dbraw/zinc/34/14/06/329341406.db2.gz JTYOENUCPIVEFY-HTQZYQBOSA-N 1 2 299.205 3.832 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2C[C@]2(C)C(C)C)c(C)[nH+]1 ZINC000186652929 329347142 /nfs/dbraw/zinc/34/71/42/329347142.db2.gz JZDCIMQIIRXPGE-CZUORRHYSA-N 1 2 260.381 3.628 20 0 CHADLO CCCc1ncc(CNc2[nH+]ccc3ccc(F)cc32)o1 ZINC000583184933 329349153 /nfs/dbraw/zinc/34/91/53/329349153.db2.gz CTNXUDNHVYSGOZ-UHFFFAOYSA-N 1 2 285.322 3.927 20 0 CHADLO CC(C)c1nc(C[NH+]2CCC(c3ccsc3)CC2)no1 ZINC000186938516 329350613 /nfs/dbraw/zinc/35/06/13/329350613.db2.gz REVBCJIQRZNJRM-UHFFFAOYSA-N 1 2 291.420 3.634 20 0 CHADLO Cc1ccc(C[S@](=O)[C@@H](C)c2ccccc2F)c(C)[nH+]1 ZINC000187071146 329352242 /nfs/dbraw/zinc/35/22/42/329352242.db2.gz DECKZHHACCHLLO-RBZFPXEDSA-N 1 2 291.391 3.847 20 0 CHADLO CCO[C@H](C)c1nc(C[N@@H+]2CCCC3(CC3)C2)cs1 ZINC000186990880 329352672 /nfs/dbraw/zinc/35/26/72/329352672.db2.gz BLLHEBDRFBKLJP-GFCCVEGCSA-N 1 2 280.437 3.617 20 0 CHADLO CCO[C@H](C)c1nc(C[N@H+]2CCCC3(CC3)C2)cs1 ZINC000186990880 329352674 /nfs/dbraw/zinc/35/26/74/329352674.db2.gz BLLHEBDRFBKLJP-GFCCVEGCSA-N 1 2 280.437 3.617 20 0 CHADLO Cc1ccc(N[C@@H](C)C2CCSCC2)c(C)[nH+]1 ZINC000187475866 329358066 /nfs/dbraw/zinc/35/80/66/329358066.db2.gz BQFMEFLIUZYBEX-NSHDSACASA-N 1 2 250.411 3.642 20 0 CHADLO Cc1nc([C@@H]([NH2+]CCC(C)(C)C)c2ccccc2F)no1 ZINC000187968069 329361878 /nfs/dbraw/zinc/36/18/78/329361878.db2.gz XQAYVPSXCBQBPE-AWEZNQCLSA-N 1 2 291.370 3.632 20 0 CHADLO Cc1cccc2c1OCC[C@H]2[NH2+]Cc1c(F)cccc1F ZINC000188881788 329373962 /nfs/dbraw/zinc/37/39/62/329373962.db2.gz RYRLAEZBVYRNPC-MRXNPFEDSA-N 1 2 289.325 3.887 20 0 CHADLO Fc1ccc(C[NH2+][C@H](c2ccco2)c2ccccc2)cn1 ZINC000188973128 329374527 /nfs/dbraw/zinc/37/45/27/329374527.db2.gz WNMPTYPGOLFYMH-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO CC(C)COc1ccc(C(C)(C)[NH2+]Cc2ncccn2)cc1 ZINC000192156990 329430013 /nfs/dbraw/zinc/43/00/13/329430013.db2.gz COMNHVZZSSINLX-UHFFFAOYSA-N 1 2 299.418 3.536 20 0 CHADLO Cc1csc(COc2cc(C)[nH+]c3ccccc32)n1 ZINC000192193409 329430382 /nfs/dbraw/zinc/43/03/82/329430382.db2.gz OKYXXPPIHXQWOA-UHFFFAOYSA-N 1 2 270.357 3.887 20 0 CHADLO Clc1cccc2c1OCCC[C@@H]2[NH2+]Cc1cscn1 ZINC000127308288 329598700 /nfs/dbraw/zinc/59/87/00/329598700.db2.gz AGIFPKHAUYAMRA-ZDUSSCGKSA-N 1 2 294.807 3.800 20 0 CHADLO Cc1cc[nH+]c(NC2CCCC2)c1Br ZINC000235930207 329684123 /nfs/dbraw/zinc/68/41/23/329684123.db2.gz WNBQCZQQVWFPJH-UHFFFAOYSA-N 1 2 255.159 3.507 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cc(-c3ccccc3)on2)o1 ZINC000237785547 329694956 /nfs/dbraw/zinc/69/49/56/329694956.db2.gz LPLHLKHUXHPCFU-UHFFFAOYSA-N 1 2 268.316 3.533 20 0 CHADLO CC[C@H](CSCc1cn2cc(Cl)ccc2[nH+]1)OC ZINC000419416887 329725024 /nfs/dbraw/zinc/72/50/24/329725024.db2.gz ADMROTZWWYEAMP-GFCCVEGCSA-N 1 2 284.812 3.646 20 0 CHADLO Cc1cccn2cc(CSCCOCC3CCC3)[nH+]c12 ZINC000419418740 329725585 /nfs/dbraw/zinc/72/55/85/329725585.db2.gz CAGDOHDECYGERO-UHFFFAOYSA-N 1 2 290.432 3.693 20 0 CHADLO CO[C@@H](CSCc1ccc(C)[nH+]c1C)CC(C)C ZINC000419464580 329732101 /nfs/dbraw/zinc/73/21/01/329732101.db2.gz PNEIEWNSGYZIPP-OAHLLOKOSA-N 1 2 267.438 3.993 20 0 CHADLO Clc1ccsc1CSCCn1cc[nH+]c1 ZINC000419586941 329741657 /nfs/dbraw/zinc/74/16/57/329741657.db2.gz HIKHGOUTYHXHDS-UHFFFAOYSA-N 1 2 258.799 3.531 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C(C)(C)CC(C)C)n1 ZINC000420511912 329773093 /nfs/dbraw/zinc/77/30/93/329773093.db2.gz QRQHNGORLPVZTD-UHFFFAOYSA-N 1 2 273.380 3.688 20 0 CHADLO Cc1ccc2[nH+]c(CNC(=O)CC3(C)CCCCC3)cn2c1 ZINC000509664043 332877411 /nfs/dbraw/zinc/87/74/11/332877411.db2.gz MJKDBXORMAFDQH-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO c1ccc2[nH+]c(NC[C@H]3CCCCS3)ccc2c1 ZINC000158334269 329806879 /nfs/dbraw/zinc/80/68/79/329806879.db2.gz SHYMKNLSEQRPBV-CYBMUJFWSA-N 1 2 258.390 3.932 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CC23CC3)c[nH+]c1N1CCCC[C@H]1C ZINC000421507726 329840260 /nfs/dbraw/zinc/84/02/60/329840260.db2.gz LFDNOOQJASJJPA-UKRRQHHQSA-N 1 2 299.418 3.507 20 0 CHADLO Cc1oc(C(C)C)cc1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421581474 329843743 /nfs/dbraw/zinc/84/37/43/329843743.db2.gz YVSGAQGGIKCQQN-UHFFFAOYSA-N 1 2 286.375 3.653 20 0 CHADLO Clc1ccc([C@H]([NH2+]Cc2ncc[nH]2)c2ccccc2)cc1 ZINC000067666894 329851176 /nfs/dbraw/zinc/85/11/76/329851176.db2.gz GAUUHHTWFKRYLE-QGZVFWFLSA-N 1 2 297.789 3.942 20 0 CHADLO Cc1[nH+]c2ccccc2n1Cc1nc(-c2cccs2)no1 ZINC000078534007 329964870 /nfs/dbraw/zinc/96/48/70/329964870.db2.gz HUPSBQQYCKGUHF-UHFFFAOYSA-N 1 2 296.355 3.505 20 0 CHADLO CCCn1cc(CNc2ccc(-c3ccccc3)c[nH+]2)cn1 ZINC000162221594 329984855 /nfs/dbraw/zinc/98/48/55/329984855.db2.gz DIKRHPSSEMRGFH-UHFFFAOYSA-N 1 2 292.386 3.967 20 0 CHADLO CCOCC[N@H+](CC)Cc1csc(Cl)c1Cl ZINC000432741461 330014023 /nfs/dbraw/zinc/01/40/23/330014023.db2.gz GXZASROZCRSJBX-UHFFFAOYSA-N 1 2 282.236 3.913 20 0 CHADLO CCOCC[N@@H+](CC)Cc1csc(Cl)c1Cl ZINC000432741461 330014024 /nfs/dbraw/zinc/01/40/24/330014024.db2.gz GXZASROZCRSJBX-UHFFFAOYSA-N 1 2 282.236 3.913 20 0 CHADLO Cc1ncncc1[C@H](C)[NH2+]Cc1c(Cl)cccc1Cl ZINC000572423319 330070848 /nfs/dbraw/zinc/07/08/48/330070848.db2.gz ZAJYHPOCUGPQNS-VIFPVBQESA-N 1 2 296.201 3.943 20 0 CHADLO Cc1ncccc1C[NH+](Cc1ccco1)Cc1ccco1 ZINC000162574290 330077893 /nfs/dbraw/zinc/07/78/93/330077893.db2.gz LMMRZGKHCFAWPE-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO CCOCc1cccc(N[C@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421831000 330084764 /nfs/dbraw/zinc/08/47/64/330084764.db2.gz DDXJAYOUCVTTBW-KRWDZBQOSA-N 1 2 296.414 3.978 20 0 CHADLO CN(C)c1ccccc1C[NH2+]C1(C(F)F)CCCCC1 ZINC000510154953 332894956 /nfs/dbraw/zinc/89/49/56/332894956.db2.gz SGENWULQFBQDGO-UHFFFAOYSA-N 1 2 282.378 3.810 20 0 CHADLO Cc1[nH]c(CNc2ccc(Cl)c(Cl)c2)[nH+]c1C ZINC000580452460 330138943 /nfs/dbraw/zinc/13/89/43/330138943.db2.gz VWQIZCNCKQLPKX-UHFFFAOYSA-N 1 2 270.163 3.945 20 0 CHADLO CC(C)[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc(F)cn1 ZINC000423204848 330177000 /nfs/dbraw/zinc/17/70/00/330177000.db2.gz AQVAAOLIYBIFIS-QGZVFWFLSA-N 1 2 285.366 3.998 20 0 CHADLO c1ccc2c(c1)CC[C@H]([NH2+]c1ccc3c(c1)CCN3)C2 ZINC000423204858 330177065 /nfs/dbraw/zinc/17/70/65/330177065.db2.gz ARBQCOHFCAVZAJ-INIZCTEOSA-N 1 2 264.372 3.624 20 0 CHADLO c1ccc2c(c1)CC[C@H](Nc1ccc3c(c1)CC[NH2+]3)C2 ZINC000423204858 330177066 /nfs/dbraw/zinc/17/70/66/330177066.db2.gz ARBQCOHFCAVZAJ-INIZCTEOSA-N 1 2 264.372 3.624 20 0 CHADLO CC(C)[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc(F)cn1 ZINC000423204842 330177150 /nfs/dbraw/zinc/17/71/50/330177150.db2.gz AQVAAOLIYBIFIS-KRWDZBQOSA-N 1 2 285.366 3.998 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1nc2ccccc2n1C ZINC000423208190 330177285 /nfs/dbraw/zinc/17/72/85/330177285.db2.gz DHATVMONVJVQEO-LBPRGKRZSA-N 1 2 292.386 3.714 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1nc2ccccc2n1C ZINC000423208189 330177367 /nfs/dbraw/zinc/17/73/67/330177367.db2.gz DHATVMONVJVQEO-GFCCVEGCSA-N 1 2 292.386 3.714 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)c(F)c1 ZINC000423213980 330178277 /nfs/dbraw/zinc/17/82/77/330178277.db2.gz KWCZDDFHRCIRSB-NSHDSACASA-N 1 2 286.350 3.975 20 0 CHADLO COc1cccc2c1CC[C@@H]([NH2+]c1ccc3c(c1)CCN3)C2 ZINC000423214887 330178488 /nfs/dbraw/zinc/17/84/88/330178488.db2.gz LVLINDYUZFQBDV-OAHLLOKOSA-N 1 2 294.398 3.633 20 0 CHADLO COc1cccc2c1CC[C@@H](Nc1ccc3c(c1)CC[NH2+]3)C2 ZINC000423214887 330178489 /nfs/dbraw/zinc/17/84/89/330178489.db2.gz LVLINDYUZFQBDV-OAHLLOKOSA-N 1 2 294.398 3.633 20 0 CHADLO COc1ccc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)cc1O ZINC000423222138 330179737 /nfs/dbraw/zinc/17/97/37/330179737.db2.gz ZVJQLTOQGXTPTB-NSHDSACASA-N 1 2 284.359 3.542 20 0 CHADLO COc1ccsc1[C@@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423221947 330179741 /nfs/dbraw/zinc/17/97/41/330179741.db2.gz YUVIOHVBYPCTJI-SNVBAGLBSA-N 1 2 274.389 3.898 20 0 CHADLO C[C@@H]1Cc2ccc(Br)cc2C[N@@H+]1CC1(F)CC1 ZINC000527220808 330237681 /nfs/dbraw/zinc/23/76/81/330237681.db2.gz RVROJAUWOVHBTG-SNVBAGLBSA-N 1 2 298.199 3.698 20 0 CHADLO C[C@@H]1Cc2ccc(Br)cc2C[N@H+]1CC1(F)CC1 ZINC000527220808 330237682 /nfs/dbraw/zinc/23/76/82/330237682.db2.gz RVROJAUWOVHBTG-SNVBAGLBSA-N 1 2 298.199 3.698 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@@H+]1Cc1cc(-c2ccco2)on1 ZINC000528768106 330265446 /nfs/dbraw/zinc/26/54/46/330265446.db2.gz NGDXVRDIHQUEDY-SNVBAGLBSA-N 1 2 282.290 3.554 20 0 CHADLO C[C@@H]1CC(F)(F)CC[N@H+]1Cc1cc(-c2ccco2)on1 ZINC000528768106 330265448 /nfs/dbraw/zinc/26/54/48/330265448.db2.gz NGDXVRDIHQUEDY-SNVBAGLBSA-N 1 2 282.290 3.554 20 0 CHADLO C[C@H]1C[C@@H](NCc2c(F)ccc(F)c2Cl)c2[nH+]ccn21 ZINC000527720216 330277040 /nfs/dbraw/zinc/27/70/40/330277040.db2.gz WOOHSOUHZKWMAB-QPUJVOFHSA-N 1 2 297.736 3.610 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@@H](C)c1ncccc1F ZINC000527826461 330286624 /nfs/dbraw/zinc/28/66/24/330286624.db2.gz MOVPXKQAENPWAU-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CCC2)s1)c1ncccc1F ZINC000527825434 330286727 /nfs/dbraw/zinc/28/67/27/330286727.db2.gz AGPFVGVSLDHARR-JTQLQIEISA-N 1 2 291.395 3.796 20 0 CHADLO CC[C@@H](C)c1ccc([C@@H](C)[NH2+]Cc2ccn[nH]2)cc1 ZINC000527858295 330289104 /nfs/dbraw/zinc/28/91/04/330289104.db2.gz JIOIEBQIAXJQLZ-CHWSQXEVSA-N 1 2 257.381 3.774 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CC[C@H]2CC=CCC2)C2CCCCC2)n1 ZINC000527983915 330298568 /nfs/dbraw/zinc/29/85/68/330298568.db2.gz XMQCXWKOTOXJAF-GOEBONIOSA-N 1 2 288.439 3.762 20 0 CHADLO C[C@@H](CN(C)c1[nH+]ccc2ccccc21)c1nccs1 ZINC000527962126 330300787 /nfs/dbraw/zinc/30/07/87/330300787.db2.gz MRFZLDGTTBNAKX-LBPRGKRZSA-N 1 2 283.400 3.931 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@@H](C)c1ncccc1F ZINC000528034900 330302481 /nfs/dbraw/zinc/30/24/81/330302481.db2.gz BPLCDMOOPUSSRU-OLZOCXBDSA-N 1 2 288.366 3.950 20 0 CHADLO CC[C@H]1CN(c2[nH+]ccc3ccccc32)C[C@H](CC)O1 ZINC000528038790 330303316 /nfs/dbraw/zinc/30/33/16/330303316.db2.gz XUZPOFGNKWQMOD-GJZGRUSLSA-N 1 2 270.376 3.629 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@@H](OC2CCC2)C1 ZINC000528047980 330306061 /nfs/dbraw/zinc/30/60/61/330306061.db2.gz RAZAUTPDXRTYNA-MRXNPFEDSA-N 1 2 282.387 3.773 20 0 CHADLO CCc1noc(CC)c1C[NH2+]Cc1c(F)cc(C)cc1F ZINC000424159216 330306146 /nfs/dbraw/zinc/30/61/46/330306146.db2.gz VCVGWXQBSJXIAA-UHFFFAOYSA-N 1 2 294.345 3.676 20 0 CHADLO CO[C@H](C)c1cccc(NCc2c[nH+]cn2C(C)C)c1 ZINC000424160939 330306884 /nfs/dbraw/zinc/30/68/84/330306884.db2.gz XQUBATYRNBRBNY-CYBMUJFWSA-N 1 2 273.380 3.784 20 0 CHADLO CO[C@@H](C)c1cccc(NCc2c[nH+]cn2C(C)C)c1 ZINC000424160941 330306893 /nfs/dbraw/zinc/30/68/93/330306893.db2.gz XQUBATYRNBRBNY-ZDUSSCGKSA-N 1 2 273.380 3.784 20 0 CHADLO C[C@@H]1CC(F)(F)CCN1c1[nH+]ccc2ccc(F)cc21 ZINC000528210524 330313325 /nfs/dbraw/zinc/31/33/25/330313325.db2.gz WLDIRUVFLCCRIG-SNVBAGLBSA-N 1 2 280.293 3.998 20 0 CHADLO Cc1nc(N2CC[C@H](c3cccc(F)c3)C2(C)C)cc[nH+]1 ZINC000528214410 330315532 /nfs/dbraw/zinc/31/55/32/330315532.db2.gz WLBBAKNPXJMVTP-OAHLLOKOSA-N 1 2 285.366 3.697 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@H]1CCCC12CC2 ZINC000528322294 330320745 /nfs/dbraw/zinc/32/07/45/330320745.db2.gz FIMFQSCUAISLOJ-CQSZACIVSA-N 1 2 281.359 3.596 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@@H+]1Cc1nc2cc(C)ccc2s1 ZINC000528350372 330321501 /nfs/dbraw/zinc/32/15/01/330321501.db2.gz KEMSNHDZXXJAOU-STQMWFEESA-N 1 2 290.432 3.604 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@H+]1Cc1nc2cc(C)ccc2s1 ZINC000528350372 330321502 /nfs/dbraw/zinc/32/15/02/330321502.db2.gz KEMSNHDZXXJAOU-STQMWFEESA-N 1 2 290.432 3.604 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H]2c2ccccc2)nc2ccccc12 ZINC000533013580 330324157 /nfs/dbraw/zinc/32/41/57/330324157.db2.gz AZHTWNGFUZDHHR-GOSISDBHSA-N 1 2 289.382 3.885 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H]2c2ccccc2)nc2ccccc12 ZINC000533013580 330324158 /nfs/dbraw/zinc/32/41/58/330324158.db2.gz AZHTWNGFUZDHHR-GOSISDBHSA-N 1 2 289.382 3.885 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2cscn2)c(Cl)c1 ZINC000424273903 330333275 /nfs/dbraw/zinc/33/32/75/330333275.db2.gz UUGYLAJULRZEAW-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO Cc1ccc(Cc2noc([C@H]3CCCC[C@H]3C)n2)c[nH+]1 ZINC000424341701 330344156 /nfs/dbraw/zinc/34/41/56/330344156.db2.gz VTRQKEJJNZQQLE-RISCZKNCSA-N 1 2 271.364 3.658 20 0 CHADLO COc1ccnc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)c1 ZINC000106933622 330345816 /nfs/dbraw/zinc/34/58/16/330345816.db2.gz GYKBYBKNQZLTFV-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccnc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)c1 ZINC000106933622 330345817 /nfs/dbraw/zinc/34/58/17/330345817.db2.gz GYKBYBKNQZLTFV-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cc[nH+]c(CN(C)[C@@H](C)c2ccccc2Cl)c1 ZINC000106933622 330345818 /nfs/dbraw/zinc/34/58/18/330345818.db2.gz GYKBYBKNQZLTFV-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO CCc1ccc([C@@H](C)C(=O)Nc2cc[nH+]cc2C)cc1 ZINC000107292405 330348674 /nfs/dbraw/zinc/34/86/74/330348674.db2.gz YVIXTOZYNSVUNC-CYBMUJFWSA-N 1 2 268.360 3.695 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1csc(C(C)C)n1 ZINC000046057847 330351270 /nfs/dbraw/zinc/35/12/70/330351270.db2.gz DJMVXVPQNCBEKA-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1csc(C(C)C)n1 ZINC000046057847 330351271 /nfs/dbraw/zinc/35/12/71/330351271.db2.gz DJMVXVPQNCBEKA-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO CCCCSCCC(=O)Nc1ccc(C)[nH+]c1C ZINC000119303688 330372531 /nfs/dbraw/zinc/37/25/31/330372531.db2.gz MHNNMHGQGPAKKL-UHFFFAOYSA-N 1 2 266.410 3.560 20 0 CHADLO Cc1cc(F)cc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)c1 ZINC000120468038 330388297 /nfs/dbraw/zinc/38/82/97/330388297.db2.gz GVWXCVUYWYVNLE-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cc(C)cc(C[C@H](C)NC(=O)Nc2cc[nH+]cc2C)c1 ZINC000120901273 330394052 /nfs/dbraw/zinc/39/40/52/330394052.db2.gz KATCUEJBLHAZSR-HNNXBMFYSA-N 1 2 297.402 3.760 20 0 CHADLO C[C@H]1CCCC[C@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000424594707 330396334 /nfs/dbraw/zinc/39/63/34/330396334.db2.gz DQMXNLLJEYSRCE-XJKSGUPXSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H]1CCCC[C@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000424643054 330440050 /nfs/dbraw/zinc/44/00/50/330440050.db2.gz LAHVIQPWWKWQNS-SWLSCSKDSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1nc(C(F)(F)F)ccc1NCc1c[nH+]cn1C(C)C ZINC000424709716 330452056 /nfs/dbraw/zinc/45/20/56/330452056.db2.gz AIRQOIQNIQPNTP-UHFFFAOYSA-N 1 2 298.312 3.798 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2noc3ccccc23)oc1C ZINC000515669946 330481482 /nfs/dbraw/zinc/48/14/82/330481482.db2.gz FSDULYXRDFDBDR-LLVKDONJSA-N 1 2 270.332 3.888 20 0 CHADLO Cc1cc[nH+]cc1NCc1nc2ccc(F)cc2s1 ZINC000428869068 330533366 /nfs/dbraw/zinc/53/33/66/330533366.db2.gz CCNRRBNOILHXMZ-UHFFFAOYSA-N 1 2 273.336 3.751 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2nc(-c3ccccc3)c[nH]2)n1 ZINC000194286215 330538858 /nfs/dbraw/zinc/53/88/58/330538858.db2.gz UHEBCIKQFUKYEO-GFCCVEGCSA-N 1 2 298.415 3.692 20 0 CHADLO CCOC(=O)C(CC)(CC)[NH2+]Cc1ccccc1SC ZINC000429279970 330541530 /nfs/dbraw/zinc/54/15/30/330541530.db2.gz NTEAFGUMRBGLEF-UHFFFAOYSA-N 1 2 295.448 3.620 20 0 CHADLO CC(C)(C)c1cc(CSc2[nH+]cc3ccccn32)no1 ZINC000430091667 330559457 /nfs/dbraw/zinc/55/94/57/330559457.db2.gz YNAPNBRGSRYENU-UHFFFAOYSA-N 1 2 287.388 3.912 20 0 CHADLO Fc1cccc(Br)c1COc1cc[nH+]cc1 ZINC000431496375 330585673 /nfs/dbraw/zinc/58/56/73/330585673.db2.gz RZFSRAKWRTUITL-UHFFFAOYSA-N 1 2 282.112 3.562 20 0 CHADLO CC(C)c1nc(N2CCSC3(CCCCC3)C2)cc[nH+]1 ZINC000431828183 330589310 /nfs/dbraw/zinc/58/93/10/330589310.db2.gz MYELSGKYZYDTPP-UHFFFAOYSA-N 1 2 291.464 3.856 20 0 CHADLO CN(c1ccccc1)c1cc(NCc2cccs2)[nH+]cn1 ZINC000432326139 330597361 /nfs/dbraw/zinc/59/73/61/330597361.db2.gz OWSYOIOTVQVACX-UHFFFAOYSA-N 1 2 296.399 3.918 20 0 CHADLO COc1cccc2c(N[C@@H]3CC=CCC3)cc[nH+]c12 ZINC000436437446 330677595 /nfs/dbraw/zinc/67/75/95/330677595.db2.gz YDWPDRVNGAIFJQ-GFCCVEGCSA-N 1 2 254.333 3.764 20 0 CHADLO CCC[C@H]([NH2+]CCc1ccc2ccccc2c1)C(=O)OCC ZINC000439555687 330740490 /nfs/dbraw/zinc/74/04/90/330740490.db2.gz GFHHRMYNMGIDKI-SFHVURJKSA-N 1 2 299.414 3.704 20 0 CHADLO CC[C@H](F)C[NH2+][C@@H](C)c1nc(-c2ccc(F)c(C)c2)no1 ZINC000440522830 330749799 /nfs/dbraw/zinc/74/97/99/330749799.db2.gz FPNOIUWIDVMEPP-JQWIXIFHSA-N 1 2 295.333 3.583 20 0 CHADLO COc1cccc2c(N[C@H](C)CC(F)(F)F)cc[nH+]c12 ZINC000440671084 330753869 /nfs/dbraw/zinc/75/38/69/330753869.db2.gz KDBFEJSBYMWUIW-SECBINFHSA-N 1 2 284.281 3.996 20 0 CHADLO CC[C@H]1CCC[C@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000448648381 330758600 /nfs/dbraw/zinc/75/86/00/330758600.db2.gz QEXDJQJCLHNSHM-XJKSGUPXSA-N 1 2 283.375 3.637 20 0 CHADLO CCCc1cccc(C[NH2+]C(C)(C)c2noc(CC)n2)c1 ZINC000441217946 330763427 /nfs/dbraw/zinc/76/34/27/330763427.db2.gz PJYSNFCHAVIAHZ-UHFFFAOYSA-N 1 2 287.407 3.609 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@H](C)c1ccon1 ZINC000442878633 330789957 /nfs/dbraw/zinc/78/99/57/330789957.db2.gz UETPBGMLJRCYJA-WDEREUQCSA-N 1 2 262.378 3.808 20 0 CHADLO CC1(C)CN(c2cc[nH+]c3ccncc32)CC2(CCCC2)O1 ZINC000443506927 330800440 /nfs/dbraw/zinc/80/04/40/330800440.db2.gz DWCMSYWECTTYCC-UHFFFAOYSA-N 1 2 297.402 3.558 20 0 CHADLO Cc1ccccc1[C@@H](CC(F)(F)F)[NH2+]Cc1ccn(C)n1 ZINC000444016412 330809400 /nfs/dbraw/zinc/80/94/00/330809400.db2.gz ZFGUOWAKORVTLC-CQSZACIVSA-N 1 2 297.324 3.512 20 0 CHADLO C[C@H]1CCC[C@@H](N(C)c2cc[nH+]c3ccncc32)C1 ZINC000444108664 330810201 /nfs/dbraw/zinc/81/02/01/330810201.db2.gz KFIDMTYRBKDVFD-QWHCGFSZSA-N 1 2 255.365 3.645 20 0 CHADLO Cc1ccc(NC(=O)N2CCC[C@@H]2CC(C)C)c(C)[nH+]1 ZINC000447175794 330862580 /nfs/dbraw/zinc/86/25/80/330862580.db2.gz OMGBMOUFBMIMGG-CQSZACIVSA-N 1 2 275.396 3.741 20 0 CHADLO C[C@H]1CC=C(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)CC1 ZINC000575006930 330866780 /nfs/dbraw/zinc/86/67/80/330866780.db2.gz SNOPJQAWHBJYHB-LBPRGKRZSA-N 1 2 281.359 3.762 20 0 CHADLO CCc1cc(N)nc(S[C@H](C)c2ccc(F)c(F)c2)[nH+]1 ZINC000447785591 330876626 /nfs/dbraw/zinc/87/66/26/330876626.db2.gz GSSCDSLBVRKVFI-MRVPVSSYSA-N 1 2 295.358 3.583 20 0 CHADLO C[C@@H]([NH2+]Cc1ccncc1F)c1nc(C(C)(C)C)cs1 ZINC000449129728 330900742 /nfs/dbraw/zinc/90/07/42/330900742.db2.gz WDRWTKOOCKRPTB-SNVBAGLBSA-N 1 2 293.411 3.826 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccccc2OC2CCC2)s1 ZINC000449145297 330901549 /nfs/dbraw/zinc/90/15/49/330901549.db2.gz WUIAFKSCPHKFRJ-UHFFFAOYSA-N 1 2 288.416 3.673 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc2cc(OC)ccc2o1)C(F)F ZINC000449356589 330918287 /nfs/dbraw/zinc/91/82/87/330918287.db2.gz AJNNSZDSNPSQIB-GFCCVEGCSA-N 1 2 269.291 3.575 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(-c2cccc(F)c2)s1 ZINC000449380393 330920926 /nfs/dbraw/zinc/92/09/26/330920926.db2.gz MSVQBOVIFYWPRB-UHFFFAOYSA-N 1 2 285.334 3.951 20 0 CHADLO FC(F)(F)c1ccc2c(cc[nH+]c2N2CCSCC2)c1 ZINC000450254185 330968765 /nfs/dbraw/zinc/96/87/65/330968765.db2.gz SLENUGXBPSADPP-UHFFFAOYSA-N 1 2 298.333 3.807 20 0 CHADLO CO[C@H]1CCCN(c2cc[nH+]c3cc(F)c(Cl)cc32)C1 ZINC000450309758 330971966 /nfs/dbraw/zinc/97/19/66/330971966.db2.gz VBPPHQNJJCHFJW-JTQLQIEISA-N 1 2 294.757 3.643 20 0 CHADLO Cc1nc(N2[C@H](C)C[C@H](c3ccccc3)[C@H]2C)cc[nH+]1 ZINC000450601711 330988735 /nfs/dbraw/zinc/98/87/35/330988735.db2.gz QAJKBWIUPJQWBU-IOASZLSFSA-N 1 2 267.376 3.556 20 0 CHADLO CC(C)Oc1cccc([C@H](C)[NH2+][C@@H](C)c2csnn2)c1 ZINC000450671803 330992495 /nfs/dbraw/zinc/99/24/95/330992495.db2.gz XGLFTQOUWCBXSS-RYUDHWBXSA-N 1 2 291.420 3.737 20 0 CHADLO COC[C@H](CNc1cccc[nH+]1)c1ccc(Cl)cc1 ZINC000450710993 330995046 /nfs/dbraw/zinc/99/50/46/330995046.db2.gz CFTHKUSEHINQSN-ZDUSSCGKSA-N 1 2 276.767 3.577 20 0 CHADLO Clc1cccc([C@H]2CCCC[N@@H+]2Cc2cnns2)c1 ZINC000450867684 331003709 /nfs/dbraw/zinc/00/37/09/331003709.db2.gz LMOVVRRMFRIYHP-CQSZACIVSA-N 1 2 293.823 3.919 20 0 CHADLO Clc1cccc([C@H]2CCCC[N@H+]2Cc2cnns2)c1 ZINC000450867684 331003710 /nfs/dbraw/zinc/00/37/10/331003710.db2.gz LMOVVRRMFRIYHP-CQSZACIVSA-N 1 2 293.823 3.919 20 0 CHADLO C[C@@H](c1ccc(COCc2[nH]cc[nH+]2)cc1)C(F)(F)F ZINC000450895273 331005553 /nfs/dbraw/zinc/00/55/53/331005553.db2.gz KVSAYUNLSGBTPY-JTQLQIEISA-N 1 2 284.281 3.792 20 0 CHADLO Cc1cc(F)ccc1[C@H]1CCCN1c1cccc[nH+]1 ZINC000450907868 331006343 /nfs/dbraw/zinc/00/63/43/331006343.db2.gz GLUCAWNIINKGSH-OAHLLOKOSA-N 1 2 256.324 3.871 20 0 CHADLO CCc1cc2c(ncnc2NCc2c[nH+]c(C)cc2C)s1 ZINC000450911861 331006737 /nfs/dbraw/zinc/00/67/37/331006737.db2.gz RTZKAIKCUNRWLE-UHFFFAOYSA-N 1 2 298.415 3.878 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H](C)c1csnn1)c1ccc(F)cc1 ZINC000450925736 331007446 /nfs/dbraw/zinc/00/74/46/331007446.db2.gz ZJWDMMZCECJPGE-QMTHXVAHSA-N 1 2 279.384 3.725 20 0 CHADLO Clc1ccc2[nH+]c(CSC3CCC3)cn2c1 ZINC000450987461 331010446 /nfs/dbraw/zinc/01/04/46/331010446.db2.gz VZXVLMUOMRSBAQ-UHFFFAOYSA-N 1 2 252.770 3.773 20 0 CHADLO CCc1cc(CCC[NH2+][C@@H](c2ccccc2)C(F)F)on1 ZINC000451063435 331014313 /nfs/dbraw/zinc/01/43/13/331014313.db2.gz MAKQVEVVIBVBCZ-HNNXBMFYSA-N 1 2 294.345 3.766 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](C)c2cccc(C3CC3)c2)n1 ZINC000451119100 331016433 /nfs/dbraw/zinc/01/64/33/331016433.db2.gz YZBKOBLTGLMXGP-ZDUSSCGKSA-N 1 2 267.376 3.513 20 0 CHADLO F[C@@H]1CC[N@H+](Cc2cc(-c3ccc(Cl)cc3)no2)C1 ZINC000451194159 331019977 /nfs/dbraw/zinc/01/99/77/331019977.db2.gz VPYSXBBOULKVLG-GFCCVEGCSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@@H]1CC[N@@H+](Cc2cc(-c3ccc(Cl)cc3)no2)C1 ZINC000451194159 331019978 /nfs/dbraw/zinc/01/99/78/331019978.db2.gz VPYSXBBOULKVLG-GFCCVEGCSA-N 1 2 280.730 3.539 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CC[C@H](C(F)(F)F)C[C@@H]1C ZINC000451409993 331028195 /nfs/dbraw/zinc/02/81/95/331028195.db2.gz RJNVHDPDXMSKCU-RYUDHWBXSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CC[C@H](C(F)(F)F)C[C@@H]1C ZINC000451409993 331028196 /nfs/dbraw/zinc/02/81/96/331028196.db2.gz RJNVHDPDXMSKCU-RYUDHWBXSA-N 1 2 289.345 3.627 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451846821 331044581 /nfs/dbraw/zinc/04/45/81/331044581.db2.gz XDGFIKOADVIBPE-DZGCQCFKSA-N 1 2 291.391 3.538 20 0 CHADLO CCC[C@@H](C(=O)OCC)[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451846821 331044582 /nfs/dbraw/zinc/04/45/82/331044582.db2.gz XDGFIKOADVIBPE-DZGCQCFKSA-N 1 2 291.391 3.538 20 0 CHADLO Cc1cc(C(=O)C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)c(C)[nH]1 ZINC000451856320 331044946 /nfs/dbraw/zinc/04/49/46/331044946.db2.gz PLNXTPFMCBWLPO-KRWDZBQOSA-N 1 2 298.386 3.634 20 0 CHADLO Cc1cc(C(=O)C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)c(C)[nH]1 ZINC000451856320 331044947 /nfs/dbraw/zinc/04/49/47/331044947.db2.gz PLNXTPFMCBWLPO-KRWDZBQOSA-N 1 2 298.386 3.634 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000451877309 331045836 /nfs/dbraw/zinc/04/58/36/331045836.db2.gz IFSCVWZURPWVPW-SWLSCSKDSA-N 1 2 275.371 3.838 20 0 CHADLO CC[C@H](F)C[N@H+]1CCCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000451877309 331045837 /nfs/dbraw/zinc/04/58/37/331045837.db2.gz IFSCVWZURPWVPW-SWLSCSKDSA-N 1 2 275.371 3.838 20 0 CHADLO CC(C)(CC(=O)Nc1c[nH+]c2n1CCCC2)c1ccccc1 ZINC000452331065 331062554 /nfs/dbraw/zinc/06/25/54/331062554.db2.gz CAOKVCANLDKLFN-UHFFFAOYSA-N 1 2 297.402 3.526 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nccn1CC)c1cc(F)ccc1F ZINC000453136684 331093020 /nfs/dbraw/zinc/09/30/20/331093020.db2.gz GCTSBTDFEFVTES-NHYWBVRUSA-N 1 2 293.361 3.983 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H](C)c1cc(F)c(F)c(F)c1 ZINC000453158389 331095358 /nfs/dbraw/zinc/09/53/58/331095358.db2.gz LAKFJFROLVROPO-UWVGGRQHSA-N 1 2 297.324 3.732 20 0 CHADLO CCc1cc(N[C@H](C)c2[nH+]ccn2CC)ccc1F ZINC000453211745 331101803 /nfs/dbraw/zinc/10/18/03/331101803.db2.gz NVUPRVZZKOLCCD-LLVKDONJSA-N 1 2 261.344 3.778 20 0 CHADLO C[C@@H](CCCc1cccnc1)[NH2+][C@@H](C1CC1)C(F)(F)F ZINC000453223545 331103334 /nfs/dbraw/zinc/10/33/34/331103334.db2.gz LNKKMUYGEFLUTR-FZMZJTMJSA-N 1 2 286.341 3.723 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC)C(F)F)c1ccc(C(=O)OC)cc1 ZINC000453228538 331104164 /nfs/dbraw/zinc/10/41/64/331104164.db2.gz BWCRCYXKIADRNN-STQMWFEESA-N 1 2 285.334 3.558 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cnn(C2CCCC2)c1)C(F)F ZINC000453250094 331107520 /nfs/dbraw/zinc/10/75/20/331107520.db2.gz RLMFTFUHQWLQHJ-MFKMUULPSA-N 1 2 271.355 3.693 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+][C@H](CC)C(F)F ZINC000453254120 331107990 /nfs/dbraw/zinc/10/79/90/331107990.db2.gz XSTGIAYELOWLCA-ZYHUDNBSSA-N 1 2 257.324 3.780 20 0 CHADLO C[N@H+](Cc1nc(C(C)(C)C2CCC2)no1)Cc1ccccc1 ZINC000453267851 331109435 /nfs/dbraw/zinc/10/94/35/331109435.db2.gz NAZGLBPJTYWQRV-UHFFFAOYSA-N 1 2 299.418 3.779 20 0 CHADLO C[N@@H+](Cc1nc(C(C)(C)C2CCC2)no1)Cc1ccccc1 ZINC000453267851 331109436 /nfs/dbraw/zinc/10/94/36/331109436.db2.gz NAZGLBPJTYWQRV-UHFFFAOYSA-N 1 2 299.418 3.779 20 0 CHADLO CCOc1ccc(Cl)c(N[C@H](C)c2[nH+]ccn2C)c1 ZINC000453282256 331110702 /nfs/dbraw/zinc/11/07/02/331110702.db2.gz LWAWHMWUUYHIGN-SNVBAGLBSA-N 1 2 279.771 3.645 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc2c(c1)C(C)(C)C(=O)N2 ZINC000453286553 331111289 /nfs/dbraw/zinc/11/12/89/331111289.db2.gz KKHYULSXTQWAPG-UWVGGRQHSA-N 1 2 296.361 3.611 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1cc(-c2ccccc2)nn1C ZINC000453302356 331113320 /nfs/dbraw/zinc/11/33/20/331113320.db2.gz YUFIJXFAOSYBTG-RYUDHWBXSA-N 1 2 293.361 3.781 20 0 CHADLO CCc1ccc(N[C@@H](C)c2[nH+]ccn2CC)cc1OC ZINC000453347236 331119986 /nfs/dbraw/zinc/11/99/86/331119986.db2.gz FNPRSUUSZTUVDM-LBPRGKRZSA-N 1 2 273.380 3.647 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1cnn(C2CCCC2)c1 ZINC000453356207 331121746 /nfs/dbraw/zinc/12/17/46/331121746.db2.gz PAMBJBWEIGXLHP-WWGRRREGSA-N 1 2 299.422 3.551 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cnc(C3CC3)o2)oc1C ZINC000453363163 331122815 /nfs/dbraw/zinc/12/28/15/331122815.db2.gz QMDITAAAJZKSCF-JTQLQIEISA-N 1 2 260.337 3.613 20 0 CHADLO CCSCc1ccc(N[C@@H](C)c2[nH]cc[nH+]2)cc1 ZINC000453367399 331123542 /nfs/dbraw/zinc/12/35/42/331123542.db2.gz MKXIIVBFFYZRCE-NSHDSACASA-N 1 2 261.394 3.836 20 0 CHADLO CCCC[C@H](CCC)NC(=O)N[C@H](C)c1[nH+]ccn1CC ZINC000454616233 331149868 /nfs/dbraw/zinc/14/98/68/331149868.db2.gz LVNLUTYFYIJXKS-KGLIPLIRSA-N 1 2 294.443 3.622 20 0 CHADLO CCCC[C@H](CCC)NC(=O)N[C@@H]1C[C@H](C)n2cc[nH+]c21 ZINC000454616242 331149940 /nfs/dbraw/zinc/14/99/40/331149940.db2.gz LWLIUWATOBXJRS-MELADBBJSA-N 1 2 292.427 3.547 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@@H](C(C)C)[C@@H]2C(C)C)c(C)[nH+]1 ZINC000455058451 331159776 /nfs/dbraw/zinc/15/97/76/331159776.db2.gz YCNCRGNDAHZYDF-HOCLYGCPSA-N 1 2 289.423 3.843 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+][C@H]1CCCC[C@H]1c1ccccc1 ZINC000164097079 331162294 /nfs/dbraw/zinc/16/22/94/331162294.db2.gz IKRVPAVEJGXMIH-HOTGVXAUSA-N 1 2 289.419 3.644 20 0 CHADLO CC[C@H]1CC[C@H](NC(=O)Nc2c(C)cc[nH+]c2C)CC1 ZINC000455430686 331169733 /nfs/dbraw/zinc/16/97/33/331169733.db2.gz CKNKENJZOAUAMY-HDJSIYSDSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](CC(C)C)C2)c(C)[nH+]1 ZINC000455478431 331170579 /nfs/dbraw/zinc/17/05/79/331170579.db2.gz FXGDLRCESNWDIR-OAHLLOKOSA-N 1 2 289.423 3.907 20 0 CHADLO CCC1(NC(=O)Nc2c(C)cc(C)[nH+]c2C)CCCC1 ZINC000455495254 331171004 /nfs/dbraw/zinc/17/10/04/331171004.db2.gz FTOANHYTIIDJED-UHFFFAOYSA-N 1 2 275.396 3.851 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2cc(C)c(O)c(C)c2)c(C)[nH+]1 ZINC000455494333 331171025 /nfs/dbraw/zinc/17/10/25/331171025.db2.gz DSOZGTQQHUTZFQ-UHFFFAOYSA-N 1 2 299.374 3.973 20 0 CHADLO CCN(CC1CCC1)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455516418 331171399 /nfs/dbraw/zinc/17/13/99/331171399.db2.gz KKEDEVBWKAZFIE-UHFFFAOYSA-N 1 2 275.396 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CCC23CCCC3)c(C)[nH+]1 ZINC000455524896 331172045 /nfs/dbraw/zinc/17/20/45/331172045.db2.gz OXNFCUTXSXMIQT-AWEZNQCLSA-N 1 2 287.407 3.851 20 0 CHADLO Cc1cc(C)c(CNC(=O)N(C)[C@@H](C)c2ccccc2)c[nH+]1 ZINC000455566523 331173154 /nfs/dbraw/zinc/17/31/54/331173154.db2.gz YHLQXWACMIPRNC-HNNXBMFYSA-N 1 2 297.402 3.601 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nccn1C(C)C ZINC000195514002 331174844 /nfs/dbraw/zinc/17/48/44/331174844.db2.gz ZPEKNPCDUAPBSQ-UHFFFAOYSA-N 1 2 263.410 3.548 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nccn1C(C)C ZINC000195514002 331174845 /nfs/dbraw/zinc/17/48/45/331174845.db2.gz ZPEKNPCDUAPBSQ-UHFFFAOYSA-N 1 2 263.410 3.548 20 0 CHADLO Nc1cc(CSc2nc(-c3ccccc3)co2)cc[nH+]1 ZINC000195502880 331175594 /nfs/dbraw/zinc/17/55/94/331175594.db2.gz HFCJXCGWBOALQV-UHFFFAOYSA-N 1 2 283.356 3.611 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000456354047 331190572 /nfs/dbraw/zinc/19/05/72/331190572.db2.gz OZZIZAKQLFVTJE-UONOGXRCSA-N 1 2 279.428 3.543 20 0 CHADLO C[C@H](CC1CCCCC1)C(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21 ZINC000456401097 331192211 /nfs/dbraw/zinc/19/22/11/331192211.db2.gz VPQPPKOVLUXBNN-IPYPFGDCSA-N 1 2 289.423 3.612 20 0 CHADLO CCC[C@@](C)(CC)C(=O)NCc1c[nH+]c(C)cc1C ZINC000456839351 331207252 /nfs/dbraw/zinc/20/72/52/331207252.db2.gz MRRBWRUGJVGHFX-MRXNPFEDSA-N 1 2 262.397 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc(Cl)cc2C)c[nH+]1 ZINC000456845641 331207340 /nfs/dbraw/zinc/20/73/40/331207340.db2.gz SJKGXAQYVCIFOT-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO Cc1cc(C)c(CNC(=O)CC/C=C/c2ccccc2)c[nH+]1 ZINC000456855051 331207495 /nfs/dbraw/zinc/20/74/95/331207495.db2.gz ZAICGTGWRLFSJV-UXBLZVDNSA-N 1 2 294.398 3.808 20 0 CHADLO COc1ccc(CC[C@H](C)Nc2ccc(C)[nH+]c2)cc1 ZINC000164605162 331262956 /nfs/dbraw/zinc/26/29/56/331262956.db2.gz QGWAPYIKDDMRQI-AWEZNQCLSA-N 1 2 270.376 3.832 20 0 CHADLO CCCCCN(C(=O)NCc1c[nH+]c(C)cc1C)C(C)C ZINC000459125874 331270851 /nfs/dbraw/zinc/27/08/51/331270851.db2.gz RGPJJIAJYSLUOX-UHFFFAOYSA-N 1 2 291.439 3.809 20 0 CHADLO Clc1ccc([C@@H]2CC[N@@H+]2Cc2cnc(C3CC3)nc2)cc1 ZINC000459385517 331279397 /nfs/dbraw/zinc/27/93/97/331279397.db2.gz YQZBHLXMQOVWIV-INIZCTEOSA-N 1 2 299.805 3.954 20 0 CHADLO Clc1ccc([C@@H]2CC[N@H+]2Cc2cnc(C3CC3)nc2)cc1 ZINC000459385517 331279398 /nfs/dbraw/zinc/27/93/98/331279398.db2.gz YQZBHLXMQOVWIV-INIZCTEOSA-N 1 2 299.805 3.954 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)nc1 ZINC000459574038 331288620 /nfs/dbraw/zinc/28/86/20/331288620.db2.gz JAUVTTUHLWCHSE-GOSISDBHSA-N 1 2 293.414 3.902 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)nc1 ZINC000459574038 331288621 /nfs/dbraw/zinc/28/86/21/331288621.db2.gz JAUVTTUHLWCHSE-GOSISDBHSA-N 1 2 293.414 3.902 20 0 CHADLO Clc1cccc(C[NH+]2CC3(C2)CCCOC3)c1Cl ZINC000459613373 331290675 /nfs/dbraw/zinc/29/06/75/331290675.db2.gz WUTXPCSQIAHUNV-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cncc(F)c1)c1ncc(C(C)(C)C)o1 ZINC000459619998 331290882 /nfs/dbraw/zinc/29/08/82/331290882.db2.gz KBSAEDOHWSNCGA-QWRGUYRKSA-N 1 2 291.370 3.918 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ncc(C(C)C)s2)nc1C ZINC000459675019 331293612 /nfs/dbraw/zinc/29/36/12/331293612.db2.gz SKRUWHKRHRTMKO-UHFFFAOYSA-N 1 2 275.421 3.568 20 0 CHADLO Cc1ncccc1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459736846 331296984 /nfs/dbraw/zinc/29/69/84/331296984.db2.gz BOARJSGELCLMQS-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1ncccc1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459736846 331296985 /nfs/dbraw/zinc/29/69/85/331296985.db2.gz BOARJSGELCLMQS-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO CC1(C)CC[C@H](C[N@@H+]2Cc3ccc(Cl)cc3C2)O1 ZINC000459756744 331298696 /nfs/dbraw/zinc/29/86/96/331298696.db2.gz GYKZYXGHSODJLZ-CQSZACIVSA-N 1 2 265.784 3.613 20 0 CHADLO CC1(C)CC[C@H](C[N@H+]2Cc3ccc(Cl)cc3C2)O1 ZINC000459756744 331298697 /nfs/dbraw/zinc/29/86/97/331298697.db2.gz GYKZYXGHSODJLZ-CQSZACIVSA-N 1 2 265.784 3.613 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCCCC2(F)F)c(C)[nH+]1 ZINC000459927168 331303499 /nfs/dbraw/zinc/30/34/99/331303499.db2.gz HGAXSBPNFQMWRP-GFCCVEGCSA-N 1 2 282.334 3.771 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CC3CCC2CC3)c(C)[nH+]1 ZINC000459936563 331303663 /nfs/dbraw/zinc/30/36/63/331303663.db2.gz VXCYARWUVRFHFU-NRXISQOPSA-N 1 2 272.392 3.772 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@H](C)CC1CC1 ZINC000164930387 331305505 /nfs/dbraw/zinc/30/55/05/331305505.db2.gz LTDHPEIYFAOTQS-CYBMUJFWSA-N 1 2 263.381 3.621 20 0 CHADLO C[C@H](CC(=O)Nc1cccc2[nH+]ccn21)C1CCCCC1 ZINC000460325717 331309194 /nfs/dbraw/zinc/30/91/94/331309194.db2.gz QTFJWVYSBMYXMX-CYBMUJFWSA-N 1 2 285.391 3.879 20 0 CHADLO CCc1ccc([C@@H](C)C(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000460328748 331309271 /nfs/dbraw/zinc/30/92/71/331309271.db2.gz ZQMQENIUMQQMOX-CYBMUJFWSA-N 1 2 293.370 3.639 20 0 CHADLO CC(C)C[C@@H](Nc1cc[nH+]c(C2CC2)n1)c1ccccc1 ZINC000461454643 331313467 /nfs/dbraw/zinc/31/34/67/331313467.db2.gz VGLROOHQZUBRCS-MRXNPFEDSA-N 1 2 281.403 3.975 20 0 CHADLO Cc1nc(NCC2(Sc3ccccc3)CCCC2)cc[nH+]1 ZINC000462830012 331331930 /nfs/dbraw/zinc/33/19/30/331331930.db2.gz RSIUIGNLSGQMAR-UHFFFAOYSA-N 1 2 299.443 3.724 20 0 CHADLO CC(C)c1nc(NC[C@H](C)c2ccc(F)cc2F)cc[nH+]1 ZINC000463015834 331337102 /nfs/dbraw/zinc/33/71/02/331337102.db2.gz YMKXLKVQCPCWJR-NSHDSACASA-N 1 2 291.345 3.516 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc2cc(C)c(C)cc2o1 ZINC000463822393 331352054 /nfs/dbraw/zinc/35/20/54/331352054.db2.gz MCRXQTQYCYWSEY-UHFFFAOYSA-N 1 2 294.354 3.681 20 0 CHADLO CC[C@@H]([NH2+][C@H](CC(C)(C)C)c1ccc(F)cc1)C(=O)OC ZINC000463909763 331353631 /nfs/dbraw/zinc/35/36/31/331353631.db2.gz HUXMBXVLKPBCPT-HUUCEWRRSA-N 1 2 295.398 3.844 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CC[C@H]3c3ccccc3)nc2c1 ZINC000464884619 331372831 /nfs/dbraw/zinc/37/28/31/331372831.db2.gz VCXVWWMAJLPVCW-HNNXBMFYSA-N 1 2 282.318 3.914 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CC[C@H]3c3ccccc3)nc2c1 ZINC000464884619 331372832 /nfs/dbraw/zinc/37/28/32/331372832.db2.gz VCXVWWMAJLPVCW-HNNXBMFYSA-N 1 2 282.318 3.914 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+][C@@H](C)c3ccccc3F)nc2c1 ZINC000465400339 331382741 /nfs/dbraw/zinc/38/27/41/331382741.db2.gz FHBJJRBRBBUTDF-LBPRGKRZSA-N 1 2 283.350 3.861 20 0 CHADLO Cc1ccc2nc(C[NH2+][C@@H](C)c3ccccc3F)[nH]c2c1 ZINC000465400339 331382742 /nfs/dbraw/zinc/38/27/42/331382742.db2.gz FHBJJRBRBBUTDF-LBPRGKRZSA-N 1 2 283.350 3.861 20 0 CHADLO Cc1ccc(CC[C@H](C)[NH2+][C@H](C)C(=O)OC(C)(C)C)cc1 ZINC000470340695 331479918 /nfs/dbraw/zinc/47/99/18/331479918.db2.gz PVBDWPHNRCBCAT-LSDHHAIUSA-N 1 2 291.435 3.636 20 0 CHADLO COC(=O)[C@H]([NH2+][C@H](C)C1CCCC1)c1ccc(F)c(C)c1 ZINC000474923804 331604162 /nfs/dbraw/zinc/60/41/62/331604162.db2.gz FHIRVMNISTXZGA-MLGOLLRUSA-N 1 2 293.382 3.517 20 0 CHADLO CCc1cc(N)nc(SCc2cccc(C(F)F)c2)[nH+]1 ZINC000475890931 331647894 /nfs/dbraw/zinc/64/78/94/331647894.db2.gz DPJFRGABCCVCBS-UHFFFAOYSA-N 1 2 295.358 3.681 20 0 CHADLO CC1(C)CCC[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000475913174 331648713 /nfs/dbraw/zinc/64/87/13/331648713.db2.gz VFZKZODFHDRBAZ-AWEZNQCLSA-N 1 2 283.375 3.842 20 0 CHADLO CCC[C@](C)(CC)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000476053908 331652090 /nfs/dbraw/zinc/65/20/90/331652090.db2.gz UMTGPKCATRRVMF-SFHVURJKSA-N 1 2 299.418 3.705 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@@H]1CCC[C@H]1C ZINC000086675093 332925354 /nfs/dbraw/zinc/92/53/54/332925354.db2.gz FORFVSYQTVMUBB-ZIAGYGMSSA-N 1 2 263.381 3.621 20 0 CHADLO Cc1cc(N2CC[C@H](C(F)(F)F)[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000480550227 331775920 /nfs/dbraw/zinc/77/59/20/331775920.db2.gz GFTVETRGAOITRE-QWRGUYRKSA-N 1 2 287.329 3.686 20 0 CHADLO COC[C@H](CC(C)C)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000484704138 331904425 /nfs/dbraw/zinc/90/44/25/331904425.db2.gz NTXFTIYGRWBZLD-INIZCTEOSA-N 1 2 287.407 3.560 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1NC(=O)Nc1c(C)cc[nH+]c1C ZINC000485572417 331917411 /nfs/dbraw/zinc/91/74/11/331917411.db2.gz CSXAEHGFLYLEOP-KGLIPLIRSA-N 1 2 275.396 3.789 20 0 CHADLO C[C@H](Nc1cc[nH+]c2ccncc12)c1ccccc1Cl ZINC000486469869 331937923 /nfs/dbraw/zinc/93/79/23/331937923.db2.gz OJSPKMLFGJIEPX-NSHDSACASA-N 1 2 283.762 3.878 20 0 CHADLO CCN(C)c1ccc(CNc2cc(C)cc(OC)c2C)c[nH+]1 ZINC000487157226 331957532 /nfs/dbraw/zinc/95/75/32/331957532.db2.gz OPLIADVXTBYFSG-UHFFFAOYSA-N 1 2 299.418 3.775 20 0 CHADLO C[C@@H](Nc1ccccc1OCCn1cc[nH+]c1)[C@@H]1CC1(C)C ZINC000487280090 331962740 /nfs/dbraw/zinc/96/27/40/331962740.db2.gz QPSQCGXVUJOMPJ-CABCVRRESA-N 1 2 299.418 3.809 20 0 CHADLO c1ccc(NC[C@H]2SCCc3ccccc32)[nH+]c1 ZINC000487652953 331978249 /nfs/dbraw/zinc/97/82/49/331978249.db2.gz ATUBHBZADCILRM-CQSZACIVSA-N 1 2 256.374 3.524 20 0 CHADLO C[C@@H]1C[C@H]1CNc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000488329597 332000013 /nfs/dbraw/zinc/00/00/13/332000013.db2.gz HMFUCQDJSAMYHA-HIFRSBDPSA-N 1 2 281.403 3.954 20 0 CHADLO C[C@@H]1C[C@H]1CNc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000488352394 332001458 /nfs/dbraw/zinc/00/14/58/332001458.db2.gz NQRSEFPFQQLYRJ-MNOVXSKESA-N 1 2 261.756 3.594 20 0 CHADLO Fc1ccc2cc[nH+]c(NCC3CC(F)(F)C3)c2c1 ZINC000488424714 332006546 /nfs/dbraw/zinc/00/65/46/332006546.db2.gz DLJDMAYNAOGZMC-UHFFFAOYSA-N 1 2 266.266 3.831 20 0 CHADLO CC(C)c1nc(N2CC3(CCC3)[C@@H]2C(C)C)cc[nH+]1 ZINC000488506521 332014314 /nfs/dbraw/zinc/01/43/14/332014314.db2.gz UGVRVPUXZUXSJY-AWEZNQCLSA-N 1 2 259.397 3.615 20 0 CHADLO COc1cccc2c(N(C)Cc3occc3C)cc[nH+]c12 ZINC000488722297 332022583 /nfs/dbraw/zinc/02/25/83/332022583.db2.gz OESUEQPESPCOIO-UHFFFAOYSA-N 1 2 282.343 3.781 20 0 CHADLO C[N@H+](Cc1csc(Cc2ccccc2)n1)[C@H]1CC1(C)C ZINC000489466925 332054223 /nfs/dbraw/zinc/05/42/23/332054223.db2.gz BSQISBCNQFNBCX-HNNXBMFYSA-N 1 2 286.444 3.964 20 0 CHADLO C[N@@H+](Cc1csc(Cc2ccccc2)n1)[C@H]1CC1(C)C ZINC000489466925 332054225 /nfs/dbraw/zinc/05/42/25/332054225.db2.gz BSQISBCNQFNBCX-HNNXBMFYSA-N 1 2 286.444 3.964 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+]C1(c2ccc(F)cc2)CCCC1 ZINC000489988288 332075639 /nfs/dbraw/zinc/07/56/39/332075639.db2.gz LNHJZLOVFDUUOS-UHFFFAOYSA-N 1 2 293.382 3.526 20 0 CHADLO O=C(C=Cc1ccsc1)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000491262757 332176043 /nfs/dbraw/zinc/17/60/43/332176043.db2.gz QHWUZUUSBMWKEG-LZCJLJQNSA-N 1 2 295.367 3.790 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1ccc(Cl)cc1C ZINC000492297359 332391277 /nfs/dbraw/zinc/39/12/77/332391277.db2.gz HRBVNFFNTXNGRC-SREVYHEPSA-N 1 2 289.766 3.517 20 0 CHADLO C/C=C/C=C\C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000492312629 332391864 /nfs/dbraw/zinc/39/18/64/332391864.db2.gz MGXZCXSAGAKNMC-ICWBMWKASA-N 1 2 281.359 3.522 20 0 CHADLO CCn1cc[nH+]c1/C=C/C(=O)Nc1c(C)cccc1Cl ZINC000492441796 332397615 /nfs/dbraw/zinc/39/76/15/332397615.db2.gz ASUBIAZWQMSWSH-BQYQJAHWSA-N 1 2 289.766 3.517 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H](C)C1CCCCC1)c1ccsc1 ZINC000499601084 332589411 /nfs/dbraw/zinc/58/94/11/332589411.db2.gz SDXQSWCUNFHIEZ-RISCZKNCSA-N 1 2 281.421 3.521 20 0 CHADLO CCCC[C@H]([NH2+][C@@H](C)c1ccc(SC)cc1)C(=O)OC ZINC000500245835 332603839 /nfs/dbraw/zinc/60/38/39/332603839.db2.gz MVESUQVXXRPRBU-WFASDCNBSA-N 1 2 295.448 3.791 20 0 CHADLO COc1cc[nH+]cc1NC/C(C)=C\c1ccccc1 ZINC000500425222 332608367 /nfs/dbraw/zinc/60/83/67/332608367.db2.gz XJJWBVBFBOKKPJ-RAXLEYEMSA-N 1 2 254.333 3.606 20 0 CHADLO C[C@H]1C[C@]1([NH2+]Cc1cscn1)c1ccc(Cl)cc1 ZINC000500462584 332610153 /nfs/dbraw/zinc/61/01/53/332610153.db2.gz MNDQNYWSJNOVAD-IINYFYTJSA-N 1 2 278.808 3.821 20 0 CHADLO CC[C@@H]1CCCC[C@H]1c1noc(C[C@H](C)n2cc[nH+]c2)n1 ZINC000566319196 334642776 /nfs/dbraw/zinc/64/27/76/334642776.db2.gz JNYKOLREESVVDQ-BFHYXJOUSA-N 1 2 288.395 3.754 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2COc3ccc(F)cc32)oc1C ZINC000502428982 332658835 /nfs/dbraw/zinc/65/88/35/332658835.db2.gz FWTVJYINXHZWOU-YGRLFVJLSA-N 1 2 275.323 3.820 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](c2ccc(F)cc2)C(C)C)no1 ZINC000502498395 332663508 /nfs/dbraw/zinc/66/35/08/332663508.db2.gz CXVIVSBELQOFAZ-MRXNPFEDSA-N 1 2 291.370 3.648 20 0 CHADLO CC[C@H]1C[C@]1([NH2+]Cc1nc(C2CC2)no1)c1cccc(C)c1 ZINC000502513620 332664134 /nfs/dbraw/zinc/66/41/34/332664134.db2.gz ZDNSRNBMHUUIOD-KBXCAEBGSA-N 1 2 297.402 3.670 20 0 CHADLO Cc1cc(C)cc(CCC(=O)Nc2ccn3cc[nH+]c3c2)c1 ZINC000503243182 332684480 /nfs/dbraw/zinc/68/44/80/332684480.db2.gz GIUWZKFTANRGOZ-UHFFFAOYSA-N 1 2 293.370 3.522 20 0 CHADLO Cc1cnc(C[NH2+][C@@]2(c3ccc(Cl)cc3)C[C@@H]2C)o1 ZINC000503408351 332688670 /nfs/dbraw/zinc/68/86/70/332688670.db2.gz SJMUIZVARCIYHZ-BONVTDFDSA-N 1 2 276.767 3.661 20 0 CHADLO C/C=C\C[C@H]([NH2+]C/C(C)=C/c1ccccc1)C(=O)OCC ZINC000503571520 332692704 /nfs/dbraw/zinc/69/27/04/332692704.db2.gz PPJJAWJYWRYUEB-LQEYZFIFSA-N 1 2 287.403 3.577 20 0 CHADLO Cc1cccc2c1[C@@H](C)C[C@@H]2[NH2+]C1(C(F)F)CC1 ZINC000503914784 332699099 /nfs/dbraw/zinc/69/90/99/332699099.db2.gz YXFKUEZKCRALNL-JQWIXIFHSA-N 1 2 251.320 3.931 20 0 CHADLO CC1(C)[C@H](OCc2ccccc2)C[C@@H]1[NH2+]C1(C(F)F)CC1 ZINC000503920633 332699283 /nfs/dbraw/zinc/69/92/83/332699283.db2.gz NZMRFXZJQAYTSB-UONOGXRCSA-N 1 2 295.373 3.758 20 0 CHADLO Cc1cc(N)nc(S[C@H]2CCCc3ccc(F)cc32)[nH+]1 ZINC000512732399 332982200 /nfs/dbraw/zinc/98/22/00/332982200.db2.gz FFCISDVQUQCZJO-ZDUSSCGKSA-N 1 2 289.379 3.506 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2c(F)cccc2F)cc1C ZINC000513052628 333001647 /nfs/dbraw/zinc/00/16/47/333001647.db2.gz UNTRRHKFYFEWGU-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2c(F)cccc2F)cc1C ZINC000513052628 333001649 /nfs/dbraw/zinc/00/16/49/333001649.db2.gz UNTRRHKFYFEWGU-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1CCOc1cccc2ccccc21 ZINC000513177103 333007026 /nfs/dbraw/zinc/00/70/26/333007026.db2.gz DRFJQCVNUWAPMA-OAHLLOKOSA-N 1 2 291.341 3.948 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1CCOc1cccc2ccccc21 ZINC000513177103 333007028 /nfs/dbraw/zinc/00/70/28/333007028.db2.gz DRFJQCVNUWAPMA-OAHLLOKOSA-N 1 2 291.341 3.948 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+]1C[C@H](C)OC(C)(C)C1 ZINC000175129573 333015266 /nfs/dbraw/zinc/01/52/66/333015266.db2.gz PIRTYTFIOGXXSU-RYUDHWBXSA-N 1 2 280.412 3.532 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+]1C[C@H](C)OC(C)(C)C1 ZINC000175129573 333015268 /nfs/dbraw/zinc/01/52/68/333015268.db2.gz PIRTYTFIOGXXSU-RYUDHWBXSA-N 1 2 280.412 3.532 20 0 CHADLO CC(C)c1ccc(C[N@@H+]2CCOC[C@@H]2CC(F)F)cc1 ZINC000513416612 333018273 /nfs/dbraw/zinc/01/82/73/333018273.db2.gz OWXOYRKIFJSKPZ-HNNXBMFYSA-N 1 2 283.362 3.666 20 0 CHADLO CC(C)c1ccc(C[N@H+]2CCOC[C@@H]2CC(F)F)cc1 ZINC000513416612 333018275 /nfs/dbraw/zinc/01/82/75/333018275.db2.gz OWXOYRKIFJSKPZ-HNNXBMFYSA-N 1 2 283.362 3.666 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@@H+]1Cc1ccc(Cl)cc1Cl ZINC000513708379 333034464 /nfs/dbraw/zinc/03/44/64/333034464.db2.gz RFUHJTYHORHRMT-MFKMUULPSA-N 1 2 288.218 3.993 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@H+]1Cc1ccc(Cl)cc1Cl ZINC000513708379 333034465 /nfs/dbraw/zinc/03/44/65/333034465.db2.gz RFUHJTYHORHRMT-MFKMUULPSA-N 1 2 288.218 3.993 20 0 CHADLO O=C(CCCC1CCCCC1)NCc1ccn2cc[nH+]c2c1 ZINC000178357066 333071595 /nfs/dbraw/zinc/07/15/95/333071595.db2.gz XNIBEBOMDKLKTC-UHFFFAOYSA-N 1 2 299.418 3.701 20 0 CHADLO CCc1cc(N)nc(S[C@@H](C)c2cc(F)ccc2F)[nH+]1 ZINC000516709474 333081142 /nfs/dbraw/zinc/08/11/42/333081142.db2.gz NNWFLOGRBJWRFY-QMMMGPOBSA-N 1 2 295.358 3.583 20 0 CHADLO C[N@H+](CCOCC1CC1)Cc1csc(C2CCCC2)n1 ZINC000088599612 333090290 /nfs/dbraw/zinc/09/02/90/333090290.db2.gz YWDIAXBIVIJOEW-UHFFFAOYSA-N 1 2 294.464 3.659 20 0 CHADLO C[N@@H+](CCOCC1CC1)Cc1csc(C2CCCC2)n1 ZINC000088599612 333090291 /nfs/dbraw/zinc/09/02/91/333090291.db2.gz YWDIAXBIVIJOEW-UHFFFAOYSA-N 1 2 294.464 3.659 20 0 CHADLO Cc1cc(NC(=O)C[C@H](C)n2cc[nH+]c2)ccc1C1CC1 ZINC000517032785 333090487 /nfs/dbraw/zinc/09/04/87/333090487.db2.gz AYUMOHUCPHGGMZ-ZDUSSCGKSA-N 1 2 283.375 3.659 20 0 CHADLO CC1C[NH+](Cc2ccc(Cl)c(Br)c2)C1 ZINC000517093816 333092694 /nfs/dbraw/zinc/09/26/94/333092694.db2.gz HVQPULNSAMAINX-UHFFFAOYSA-N 1 2 274.589 3.554 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)cn1)c1cscn1 ZINC000517396067 333098340 /nfs/dbraw/zinc/09/83/40/333098340.db2.gz ZXEPPAZMQJASGR-RKDXNWHRSA-N 1 2 267.785 3.603 20 0 CHADLO C[C@H](Cc1ccsc1)[N@@H+]1CC[C@H](C)C(F)(F)C1 ZINC000521246483 333116203 /nfs/dbraw/zinc/11/62/03/333116203.db2.gz NITVYVYNKIGWHL-WDEREUQCSA-N 1 2 259.365 3.656 20 0 CHADLO C[C@H](Cc1ccsc1)[N@H+]1CC[C@H](C)C(F)(F)C1 ZINC000521246483 333116204 /nfs/dbraw/zinc/11/62/04/333116204.db2.gz NITVYVYNKIGWHL-WDEREUQCSA-N 1 2 259.365 3.656 20 0 CHADLO CC(C)C[C@H](C)C(=O)Nc1c[nH+]ccc1OC(C)(C)C ZINC000185537438 333143143 /nfs/dbraw/zinc/14/31/43/333143143.db2.gz ICJXGNUMNADUCE-LBPRGKRZSA-N 1 2 278.396 3.880 20 0 CHADLO CCC[N@@H+](Cc1ccc2c(c1)COC(C)(C)O2)CC(F)F ZINC000186322194 333158772 /nfs/dbraw/zinc/15/87/72/333158772.db2.gz HWAPFFCPYIUPEW-UHFFFAOYSA-N 1 2 299.361 3.809 20 0 CHADLO CCC[N@H+](Cc1ccc2c(c1)COC(C)(C)O2)CC(F)F ZINC000186322194 333158773 /nfs/dbraw/zinc/15/87/73/333158773.db2.gz HWAPFFCPYIUPEW-UHFFFAOYSA-N 1 2 299.361 3.809 20 0 CHADLO c1ccc(CO[C@@H]2CCC[C@H]2Nc2cccc[nH+]2)cc1 ZINC000152576087 333183438 /nfs/dbraw/zinc/18/34/38/333183438.db2.gz HNJCEQUIIYNTJK-HZPDHXFCSA-N 1 2 268.360 3.631 20 0 CHADLO COc1ccc(F)cc1C[NH2+]Cc1ncc(C(C)C)s1 ZINC000189201210 333184472 /nfs/dbraw/zinc/18/44/72/333184472.db2.gz DVDMALFXNZGHQV-UHFFFAOYSA-N 1 2 294.395 3.704 20 0 CHADLO CCOC(=O)[C@@H](C)[N@H+](Cc1ccc(C(F)F)cc1)C1CC1 ZINC000190817934 333211066 /nfs/dbraw/zinc/21/10/66/333211066.db2.gz DXCXUVPABKYWKE-LLVKDONJSA-N 1 2 297.345 3.540 20 0 CHADLO CCOC(=O)[C@@H](C)[N@@H+](Cc1ccc(C(F)F)cc1)C1CC1 ZINC000190817934 333211068 /nfs/dbraw/zinc/21/10/68/333211068.db2.gz DXCXUVPABKYWKE-LLVKDONJSA-N 1 2 297.345 3.540 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccccc2C(F)(F)F)o1 ZINC000223852363 333212577 /nfs/dbraw/zinc/21/25/77/333212577.db2.gz QJMZWCFMHFBLDF-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO Fc1cc([NH2+]C[C@H]2CCSC2)ccc1N1CCCC1 ZINC000224388401 333220214 /nfs/dbraw/zinc/22/02/14/333220214.db2.gz JNNSXPDPLZCZSJ-GFCCVEGCSA-N 1 2 280.412 3.591 20 0 CHADLO CC(C)=CCNC(=O)c1ccc(C)c(Oc2cc[nH+]cc2)c1 ZINC000191745597 333224654 /nfs/dbraw/zinc/22/46/54/333224654.db2.gz DNFRWIJPERFQIG-UHFFFAOYSA-N 1 2 296.370 3.878 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+](C)Cc1nc2ccccc2n1C ZINC000195118059 333245719 /nfs/dbraw/zinc/24/57/19/333245719.db2.gz QANSLTSOMHBBOF-ZDUSSCGKSA-N 1 2 297.377 3.905 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+](C)Cc1nc2ccccc2n1C ZINC000195118059 333245721 /nfs/dbraw/zinc/24/57/21/333245721.db2.gz QANSLTSOMHBBOF-ZDUSSCGKSA-N 1 2 297.377 3.905 20 0 CHADLO c1nc(CNc2ccc(-c3ccccc3)c[nH+]2)cs1 ZINC000193852422 333259387 /nfs/dbraw/zinc/25/93/87/333259387.db2.gz PSYSZVAZTSUCNE-UHFFFAOYSA-N 1 2 267.357 3.817 20 0 CHADLO c1ccc2[nH+]c(N3CCc4[nH]c5ccccc5c4C3)[nH]c2c1 ZINC000193898592 333260793 /nfs/dbraw/zinc/26/07/93/333260793.db2.gz SBYJKNKZZSOEDI-UHFFFAOYSA-N 1 2 288.354 3.607 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(OC(F)F)cc2)c1 ZINC000227603150 333286873 /nfs/dbraw/zinc/28/68/73/333286873.db2.gz OAVRORLAGXMJTK-UHFFFAOYSA-N 1 2 264.275 3.604 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccc([C@H]3C[C@H]3C)o2)s1 ZINC000250226089 333303554 /nfs/dbraw/zinc/30/35/54/333303554.db2.gz GSCYYQGBUZGXGI-YGRLFVJLSA-N 1 2 276.405 3.800 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccc([C@H]3C[C@H]3C)o2)s1 ZINC000250226089 333303556 /nfs/dbraw/zinc/30/35/56/333303556.db2.gz GSCYYQGBUZGXGI-YGRLFVJLSA-N 1 2 276.405 3.800 20 0 CHADLO CC[C@H](Sc1nc(N)cc(C)[nH+]1)c1ccc(F)cc1F ZINC000523111815 333309624 /nfs/dbraw/zinc/30/96/24/333309624.db2.gz VLHYEDZVTQPUBM-LBPRGKRZSA-N 1 2 295.358 3.719 20 0 CHADLO Cc1cc(NC[C@H](C)c2nccs2)nc(C(C)C)[nH+]1 ZINC000230607408 333319037 /nfs/dbraw/zinc/31/90/37/333319037.db2.gz ASMAVECQZOCNIU-JTQLQIEISA-N 1 2 276.409 3.581 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2ccccc2[nH]1)c1cccc(F)c1F ZINC000231633009 333326493 /nfs/dbraw/zinc/32/64/93/333326493.db2.gz ZXSAJDDXCXLKSW-SNVBAGLBSA-N 1 2 287.313 3.692 20 0 CHADLO CCOC(=O)[C@H]([NH2+][C@H](C)C1CCCCC1)c1ccccc1 ZINC000231667033 333327456 /nfs/dbraw/zinc/32/74/56/333327456.db2.gz WZOOLYBEYLMVSA-RHSMWYFYSA-N 1 2 289.419 3.849 20 0 CHADLO Cc1cc(NC2C[C@H](C)C[C@@H](C)C2)nc(-c2cccnc2)[nH+]1 ZINC000523535992 333332507 /nfs/dbraw/zinc/33/25/07/333332507.db2.gz YQXMLDADTIOGOP-CHWSQXEVSA-N 1 2 296.418 3.506 20 0 CHADLO COc1cc(NCc2c[nH+]cn2C(C)C)ccc1Cl ZINC000232199918 333334793 /nfs/dbraw/zinc/33/47/93/333334793.db2.gz IOYOPPXTJIKTBU-UHFFFAOYSA-N 1 2 279.771 3.738 20 0 CHADLO CCOC(=O)C[N@H+]([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000303250966 333339248 /nfs/dbraw/zinc/33/92/48/333339248.db2.gz NDAYRWQVMHFJOU-AWEZNQCLSA-N 1 2 297.398 3.928 20 0 CHADLO CCOC(=O)C[N@@H+]([C@@H](C)c1ccc2ccccc2c1)C1CC1 ZINC000303250966 333339250 /nfs/dbraw/zinc/33/92/50/333339250.db2.gz NDAYRWQVMHFJOU-AWEZNQCLSA-N 1 2 297.398 3.928 20 0 CHADLO C[C@@H]1CCCC[C@@H]1CC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000523725705 333342219 /nfs/dbraw/zinc/34/22/19/333342219.db2.gz FDUJGAHAIXWMOO-RBSFLKMASA-N 1 2 289.423 3.680 20 0 CHADLO COC(=O)[C@@H](C)[NH2+][C@H](CC1CCCCC1)c1ccccc1 ZINC000523913519 333349237 /nfs/dbraw/zinc/34/92/37/333349237.db2.gz RCDGKJVEODGAIR-RHSMWYFYSA-N 1 2 289.419 3.849 20 0 CHADLO CCOC[C@@H](Nc1cc(C(F)(F)F)cc[nH+]1)C(C)C ZINC000233759977 333354981 /nfs/dbraw/zinc/35/49/81/333354981.db2.gz LRYYLIFIVHHJIX-LLVKDONJSA-N 1 2 276.302 3.573 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nnc(C)s1)c1ccccc1OC ZINC000338287905 335135711 /nfs/dbraw/zinc/13/57/11/335135711.db2.gz OAADOBKSISNEAX-GXFFZTMASA-N 1 2 291.420 3.657 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H](C)CC(F)(F)F ZINC000234915511 333363086 /nfs/dbraw/zinc/36/30/86/333363086.db2.gz MYMRRKIVFQHYCC-VIFPVBQESA-N 1 2 284.281 3.996 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCCCCSC ZINC000234910285 333363159 /nfs/dbraw/zinc/36/31/59/333363159.db2.gz BNOVVHHNHKHOMP-UHFFFAOYSA-N 1 2 276.405 3.799 20 0 CHADLO COc1cccc2c1cc[nH+]c2NC[C@H](C)c1nccs1 ZINC000235034918 333364987 /nfs/dbraw/zinc/36/49/87/333364987.db2.gz OANJTPVQSACMEU-NSHDSACASA-N 1 2 299.399 3.916 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H]1CCCSC1 ZINC000235040699 333365149 /nfs/dbraw/zinc/36/51/49/333365149.db2.gz WPLAASBMEIQYEB-LLVKDONJSA-N 1 2 274.389 3.551 20 0 CHADLO Cc1cc[nH+]c(NC(C2CC2)C2CC2)c1Br ZINC000236000408 333372927 /nfs/dbraw/zinc/37/29/27/333372927.db2.gz DKZYJOYZSJSCKH-UHFFFAOYSA-N 1 2 281.197 3.753 20 0 CHADLO C[C@H]([NH2+]Cc1coc2ccccc12)c1nc(C(C)(C)C)no1 ZINC000237521669 333380019 /nfs/dbraw/zinc/38/00/19/333380019.db2.gz MLURLOCBYWWTJQ-NSHDSACASA-N 1 2 299.374 3.964 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3cccc(F)c3[C@@H]2C)cs1 ZINC000524755713 333386641 /nfs/dbraw/zinc/38/66/41/333386641.db2.gz QINCIFYWJUVPOA-JTQLQIEISA-N 1 2 276.380 3.710 20 0 CHADLO Cc1nc(C[N@H+]2CCc3cccc(F)c3[C@@H]2C)cs1 ZINC000524755713 333386642 /nfs/dbraw/zinc/38/66/42/333386642.db2.gz QINCIFYWJUVPOA-JTQLQIEISA-N 1 2 276.380 3.710 20 0 CHADLO Cc1ccc(C(=O)Nc2ccccc2-n2cc[nH+]c2)c(F)c1 ZINC000316434121 333393839 /nfs/dbraw/zinc/39/38/39/333393839.db2.gz QDZDRFGYISXSEH-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@H](C)C(C)(C)C)ccc2n1C ZINC000525211477 333404091 /nfs/dbraw/zinc/40/40/91/333404091.db2.gz MUCLKMPMCVXXLI-JTQLQIEISA-N 1 2 273.380 3.502 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccccc1 ZINC000036982237 333449148 /nfs/dbraw/zinc/44/91/48/333449148.db2.gz CQSWBDFYYNGCHJ-CQSZACIVSA-N 1 2 267.376 3.855 20 0 CHADLO Clc1cccnc1C[NH2+][C@@H](c1ccccn1)C1CCC1 ZINC000528656191 333451025 /nfs/dbraw/zinc/45/10/25/333451025.db2.gz SQRIXMCJMUJKCO-MRXNPFEDSA-N 1 2 287.794 3.761 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)Nc1ccc2occc2c1 ZINC000529287010 333481757 /nfs/dbraw/zinc/48/17/57/333481757.db2.gz MOAZJWDWTXZRFD-LLVKDONJSA-N 1 2 298.346 3.532 20 0 CHADLO C[C@H](Nc1cccc(-c2[nH+]ccn2C)c1)C1CC(F)(F)C1 ZINC000529535069 333494372 /nfs/dbraw/zinc/49/43/72/333494372.db2.gz SFZAWDFFDJOWRP-NSHDSACASA-N 1 2 291.345 3.933 20 0 CHADLO CC(C)(C)c1cnc(C[NH+]2CC(Cc3ccco3)C2)s1 ZINC000529648439 333503648 /nfs/dbraw/zinc/50/36/48/333503648.db2.gz DMTNHFMHOLPRJJ-UHFFFAOYSA-N 1 2 290.432 3.708 20 0 CHADLO CC1(C)Cc2cccc(NCc3cn4ccccc4[nH+]3)c2O1 ZINC000530383833 333545617 /nfs/dbraw/zinc/54/56/17/333545617.db2.gz RUGOGKAXMPGXNB-UHFFFAOYSA-N 1 2 293.370 3.660 20 0 CHADLO Clc1cccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccccc2)c1 ZINC000125487873 333567149 /nfs/dbraw/zinc/56/71/49/333567149.db2.gz MTRUXEAZHWTUJH-MRXNPFEDSA-N 1 2 297.789 3.942 20 0 CHADLO c1cc(C[NH2+]Cc2csc(-c3ccsc3)n2)co1 ZINC000067220141 333635335 /nfs/dbraw/zinc/63/53/35/333635335.db2.gz UTPRMGWQARSITI-UHFFFAOYSA-N 1 2 276.386 3.754 20 0 CHADLO CCC[C@H](C)[NH2+][C@H](Cc1ccccc1)C(=O)OC(C)(C)C ZINC000539682298 333685122 /nfs/dbraw/zinc/68/51/22/333685122.db2.gz KWJIFWWOISGYKK-GOEBONIOSA-N 1 2 291.435 3.718 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@@]2(C)CCCc3ccccc32)no1 ZINC000539808151 333691429 /nfs/dbraw/zinc/69/14/29/333691429.db2.gz STVYVQHWHUVFIO-SFHVURJKSA-N 1 2 299.418 3.708 20 0 CHADLO Fc1ccc(N[C@@H](c2[nH]cc[nH+]2)c2ccccc2)cc1F ZINC000128810420 333710406 /nfs/dbraw/zinc/71/04/06/333710406.db2.gz ILWSVCQJJVLVAF-OAHLLOKOSA-N 1 2 285.297 3.889 20 0 CHADLO COc1ccc(C2([NH2+]Cc3nc4ccccc4o3)CC2)cc1 ZINC000540360282 333727205 /nfs/dbraw/zinc/72/72/05/333727205.db2.gz SHEZYVCJFOHUJC-UHFFFAOYSA-N 1 2 294.354 3.615 20 0 CHADLO Cc1cc(NC(=O)COc2ccc(C(C)C)cc2)cc[nH+]1 ZINC000070890164 333837671 /nfs/dbraw/zinc/83/76/71/333837671.db2.gz DVBQHUNKPHUBKP-UHFFFAOYSA-N 1 2 284.359 3.531 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C(C)(C)C)s1)c1nccs1 ZINC000070942356 333840062 /nfs/dbraw/zinc/84/00/62/333840062.db2.gz GYODFJVJPXQKCE-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO Cc1nnc(C[NH2+][C@H](C)c2sc(C(C)C)nc2C)s1 ZINC000542811017 333842461 /nfs/dbraw/zinc/84/24/61/333842461.db2.gz MNKQWPADQSYREJ-MRVPVSSYSA-N 1 2 296.465 3.586 20 0 CHADLO Cc1cc(F)ccc1C[NH2+][C@@H](C)c1nc(C(C)(C)C)no1 ZINC000174663073 335162810 /nfs/dbraw/zinc/16/28/10/335162810.db2.gz JHQBNVGWYLVDPR-NSHDSACASA-N 1 2 291.370 3.665 20 0 CHADLO FCC[NH2+]C1(c2noc(C3CCCC3)n2)CCCCCC1 ZINC000543560718 333880421 /nfs/dbraw/zinc/88/04/21/333880421.db2.gz PFPZJQGJTOTJGT-UHFFFAOYSA-N 1 2 295.402 3.836 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)CCc1ccccc1 ZINC000132046834 333970920 /nfs/dbraw/zinc/97/09/20/333970920.db2.gz WUOBHHYCGMIWTJ-UHFFFAOYSA-N 1 2 298.386 3.830 20 0 CHADLO Nc1nc(N2CCC[C@H]2c2ccsc2)[nH+]c2ccccc12 ZINC000132350180 333978111 /nfs/dbraw/zinc/97/81/11/333978111.db2.gz DEGWIVZWDKGLOQ-AWEZNQCLSA-N 1 2 296.399 3.615 20 0 CHADLO Cc1ccc(-c2ccc(CNc3c[nH]c[nH+]3)o2)cc1 ZINC000576200417 335168740 /nfs/dbraw/zinc/16/87/40/335168740.db2.gz KOMQJDWKTGLMRH-UHFFFAOYSA-N 1 2 253.305 3.590 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCc3ccsc3C2)c1 ZINC000132838484 334007427 /nfs/dbraw/zinc/00/74/27/334007427.db2.gz YAHAYKKTJJPISD-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCc3ccsc3C2)c1 ZINC000132838484 334007428 /nfs/dbraw/zinc/00/74/28/334007428.db2.gz YAHAYKKTJJPISD-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@H]3CCC[C@H]3C)ccc2n1C ZINC000546007690 334011632 /nfs/dbraw/zinc/01/16/32/334011632.db2.gz ZLUAQLXIVPBQRY-DGCLKSJQSA-N 1 2 285.391 3.647 20 0 CHADLO C[C@@H](CC1CCCCC1)C(=O)NCc1cn2ccccc2[nH+]1 ZINC000074687376 334011870 /nfs/dbraw/zinc/01/18/70/334011870.db2.gz HOEZGQCJXFFHSR-AWEZNQCLSA-N 1 2 299.418 3.557 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1ncc(Cl)s1)CC2 ZINC000546022139 334013031 /nfs/dbraw/zinc/01/30/31/334013031.db2.gz YORLIHXAMGHDEL-LLVKDONJSA-N 1 2 282.771 3.713 20 0 CHADLO FC1(F)CCN(c2[nH+]cccc2OCc2ccccc2)C1 ZINC000546181893 334018659 /nfs/dbraw/zinc/01/86/59/334018659.db2.gz JKRZOIPVVULNMQ-UHFFFAOYSA-N 1 2 290.313 3.506 20 0 CHADLO Cc1ccc(Cc2noc([C@@H](C)c3ccsc3)n2)c[nH+]1 ZINC000546342529 334028399 /nfs/dbraw/zinc/02/83/99/334028399.db2.gz ZGWCSPUUFKYNJB-NSHDSACASA-N 1 2 285.372 3.577 20 0 CHADLO Cc1ccc(/C=C\c2nc(Cc3ccc(C)[nH+]c3)no2)cc1 ZINC000546343125 334028402 /nfs/dbraw/zinc/02/84/02/334028402.db2.gz RQZHLHWYZRQHSW-KTKRTIGZSA-N 1 2 291.354 3.843 20 0 CHADLO Cc1ccc(Cc2noc([C@H](C)c3ccsc3)n2)c[nH+]1 ZINC000546342527 334028478 /nfs/dbraw/zinc/02/84/78/334028478.db2.gz ZGWCSPUUFKYNJB-LLVKDONJSA-N 1 2 285.372 3.577 20 0 CHADLO Cc1ccc(Cc2noc(/C=C\C3CCCCC3)n2)c[nH+]1 ZINC000546345089 334028670 /nfs/dbraw/zinc/02/86/70/334028670.db2.gz DPIPAQIHEHPXTR-KTKRTIGZSA-N 1 2 283.375 3.957 20 0 CHADLO Cc1ccc(Cc2nc(C[C@@H](C)c3ccccc3)no2)c[nH+]1 ZINC000546466571 334034050 /nfs/dbraw/zinc/03/40/50/334034050.db2.gz VGWVPHDPWLALGK-CYBMUJFWSA-N 1 2 293.370 3.710 20 0 CHADLO CCC[C@@](C)([NH2+]Cc1c(C)oc2ccccc21)C(=O)OC ZINC000546578762 334038659 /nfs/dbraw/zinc/03/86/59/334038659.db2.gz SAEBMUIDSDRIBH-QGZVFWFLSA-N 1 2 289.375 3.563 20 0 CHADLO C[C@]1(F)CCN(c2[nH+]cccc2OCc2ccccc2)C1 ZINC000546888913 334054217 /nfs/dbraw/zinc/05/42/17/334054217.db2.gz ZMYFJZBNHKHUEC-KRWDZBQOSA-N 1 2 286.350 3.599 20 0 CHADLO Cc1ccc2[nH+]c(CNc3ccc(F)c(C)c3)cn2c1 ZINC000133787663 334057042 /nfs/dbraw/zinc/05/70/42/334057042.db2.gz LUWUPQZVJSDOMY-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cc(F)c(F)c(F)c3)cn2c1 ZINC000133979364 334076349 /nfs/dbraw/zinc/07/63/49/334076349.db2.gz VAIGYCMGIHWPMB-UHFFFAOYSA-N 1 2 291.276 3.672 20 0 CHADLO CCn1cc(CC(=O)Nc2cc[nH+]c(C)c2)c2ccccc21 ZINC000134092525 334079834 /nfs/dbraw/zinc/07/98/34/334079834.db2.gz WGAHHABBMOFEDX-UHFFFAOYSA-N 1 2 293.370 3.546 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)Nc3ccccc3C)ccc2n1C ZINC000547505671 334095686 /nfs/dbraw/zinc/09/56/86/334095686.db2.gz APEXLXICCDOHKX-UHFFFAOYSA-N 1 2 294.358 3.834 20 0 CHADLO CC(C)(C)c1nc(C[NH2+]Cc2ccccc2F)cs1 ZINC000077169473 334097209 /nfs/dbraw/zinc/09/72/09/334097209.db2.gz RYIPTQFMUKSZCT-UHFFFAOYSA-N 1 2 278.396 3.870 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cccc4cc[nH]c43)cn2c1 ZINC000547811819 334120984 /nfs/dbraw/zinc/12/09/84/334120984.db2.gz JVKCTBAZLKTYOP-UHFFFAOYSA-N 1 2 276.343 3.736 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C3(C)CCCCC3)ccc2n1C ZINC000548041113 334136539 /nfs/dbraw/zinc/13/65/39/334136539.db2.gz PKXLZTRHLKHPJA-UHFFFAOYSA-N 1 2 285.391 3.791 20 0 CHADLO CCC(=O)c1cccc(NCc2cn3cc(C)ccc3[nH+]2)c1 ZINC000135717927 334136820 /nfs/dbraw/zinc/13/68/20/334136820.db2.gz OTTSPRUAZKTFDA-UHFFFAOYSA-N 1 2 293.370 3.848 20 0 CHADLO CCCc1nc(C[N@@H+]2CCc3sccc3C2)cs1 ZINC000078795848 334140756 /nfs/dbraw/zinc/14/07/56/334140756.db2.gz RQYRVBRYYZGLBG-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO CCCc1nc(C[N@H+]2CCc3sccc3C2)cs1 ZINC000078795848 334140757 /nfs/dbraw/zinc/14/07/57/334140757.db2.gz RQYRVBRYYZGLBG-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO Cc1ccc(CSc2ccc3c(c2)OCCO3)c(C)[nH+]1 ZINC000548149510 334142947 /nfs/dbraw/zinc/14/29/47/334142947.db2.gz LYPGDNKOOQLXIC-UHFFFAOYSA-N 1 2 287.384 3.762 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(F)cc2Cl)s1 ZINC000136231003 334150382 /nfs/dbraw/zinc/15/03/82/334150382.db2.gz VCZPJFSTAJPXJH-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@@H]3C[C@@]3(C)C(C)C)ccc2n1C ZINC000548495802 334160610 /nfs/dbraw/zinc/16/06/10/334160610.db2.gz BGVHKLFGWZPKTH-GUYCJALGSA-N 1 2 285.391 3.502 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCc3sccc3C2)cs1 ZINC000079843338 334163191 /nfs/dbraw/zinc/16/31/91/334163191.db2.gz GPYNQEQPFKOAED-UHFFFAOYSA-N 1 2 278.446 3.886 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCc3sccc3C2)cs1 ZINC000079843338 334163192 /nfs/dbraw/zinc/16/31/92/334163192.db2.gz GPYNQEQPFKOAED-UHFFFAOYSA-N 1 2 278.446 3.886 20 0 CHADLO Cc1cc(NC(=O)[C@@H](c2ccccc2)C(C)C)cc[nH+]1 ZINC000080220916 334167773 /nfs/dbraw/zinc/16/77/73/334167773.db2.gz IYFNNHKFTIFRLA-MRXNPFEDSA-N 1 2 268.360 3.768 20 0 CHADLO CC[C@H]1C[N@@H+]([C@H](C)c2ncc(C(C)(C)C)o2)CCS1 ZINC000245465961 334195348 /nfs/dbraw/zinc/19/53/48/334195348.db2.gz IZPQEOZBKALAJR-NEPJUHHUSA-N 1 2 282.453 3.861 20 0 CHADLO CC[C@H]1C[N@H+]([C@H](C)c2ncc(C(C)(C)C)o2)CCS1 ZINC000245465961 334195349 /nfs/dbraw/zinc/19/53/49/334195349.db2.gz IZPQEOZBKALAJR-NEPJUHHUSA-N 1 2 282.453 3.861 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ncc(C(C)(C)C)o2)C[C@H](C)O1 ZINC000245470878 334195695 /nfs/dbraw/zinc/19/56/95/334195695.db2.gz NKHSADAKFRSYCW-YNEHKIRRSA-N 1 2 280.412 3.532 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ncc(C(C)(C)C)o2)C[C@H](C)O1 ZINC000245470878 334195696 /nfs/dbraw/zinc/19/56/96/334195696.db2.gz NKHSADAKFRSYCW-YNEHKIRRSA-N 1 2 280.412 3.532 20 0 CHADLO Cc1ccc(NC(=O)N2[C@H](C)C[C@@H]3CCCC[C@H]32)c(C)[nH+]1 ZINC000245849911 334221573 /nfs/dbraw/zinc/22/15/73/334221573.db2.gz BANPOBXUAIYKFF-IVMMDQJWSA-N 1 2 287.407 3.883 20 0 CHADLO Clc1ccc2[nH+]c(CSC[C@H]3CCCCO3)cn2c1 ZINC000567566127 334222261 /nfs/dbraw/zinc/22/22/61/334222261.db2.gz ABESVRGMZAPYGL-CYBMUJFWSA-N 1 2 296.823 3.790 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](CCc1ccccc1)C(C)C ZINC000550441772 334222531 /nfs/dbraw/zinc/22/25/31/334222531.db2.gz OWVOVDZRYMUKKT-QGZVFWFLSA-N 1 2 296.414 3.655 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)[C@H]1CC2CCC1CC2 ZINC000550644767 334226034 /nfs/dbraw/zinc/22/60/34/334226034.db2.gz OFJGHRVDSUXWHM-ZUEPYMLJSA-N 1 2 295.386 3.842 20 0 CHADLO CC[C@H]([NH2+]CC(C)(F)F)c1cc(C)ccc1OC ZINC000550882970 334231635 /nfs/dbraw/zinc/23/16/35/334231635.db2.gz ULQMPGVBGVZDSP-LBPRGKRZSA-N 1 2 257.324 3.700 20 0 CHADLO CC(C)(C)C(C)(C)c1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000550957338 334234961 /nfs/dbraw/zinc/23/49/61/334234961.db2.gz UKAKFAFTTRWMAM-UHFFFAOYSA-N 1 2 298.390 3.632 20 0 CHADLO CC(C)NC(=O)Nc1ccc([C@@H](C)[NH2+]CC(C)(F)F)cc1 ZINC000551256036 334243269 /nfs/dbraw/zinc/24/32/69/334243269.db2.gz VOVIHALTLKOWOS-LLVKDONJSA-N 1 2 299.365 3.522 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](C)c2nc(C(C)(C)C)no2)s1 ZINC000551283347 334245042 /nfs/dbraw/zinc/24/50/42/334245042.db2.gz KGVUKRXFJAJVEA-JTQLQIEISA-N 1 2 293.436 3.842 20 0 CHADLO Fc1cccc(OC(F)(F)F)c1C[NH+]1C2CCC1CC2 ZINC000551557076 334254107 /nfs/dbraw/zinc/25/41/07/334254107.db2.gz FDWKRCSYQWCCBQ-UHFFFAOYSA-N 1 2 289.272 3.851 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2nc(C(F)(F)F)cs2)[C@H]1C ZINC000246802295 334269743 /nfs/dbraw/zinc/26/97/43/334269743.db2.gz SUKLDAQXRNTFTQ-IUCAKERBSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)[C@H]1C ZINC000246802295 334269745 /nfs/dbraw/zinc/26/97/45/334269745.db2.gz SUKLDAQXRNTFTQ-IUCAKERBSA-N 1 2 278.343 3.782 20 0 CHADLO CCn1c2ccccc2nc1C[NH+]1Cc2ccccc2C1 ZINC000552034512 334279256 /nfs/dbraw/zinc/27/92/56/334279256.db2.gz ZMLKWKPHKGHJMC-UHFFFAOYSA-N 1 2 277.371 3.572 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+]2CCS[C@@H](C(C)C)C2)n1 ZINC000247562188 334285632 /nfs/dbraw/zinc/28/56/32/334285632.db2.gz QXRAZMKIHGZVEO-CHWSQXEVSA-N 1 2 297.468 3.547 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+]2CCS[C@@H](C(C)C)C2)n1 ZINC000247562188 334285633 /nfs/dbraw/zinc/28/56/33/334285633.db2.gz QXRAZMKIHGZVEO-CHWSQXEVSA-N 1 2 297.468 3.547 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+][C@H](C)c1ncc[nH]1 ZINC000552240039 334307016 /nfs/dbraw/zinc/30/70/16/334307016.db2.gz NNJBTTHXXIJPSW-QWHCGFSZSA-N 1 2 273.380 3.609 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)cs2)c1 ZINC000552251272 334308783 /nfs/dbraw/zinc/30/87/83/334308783.db2.gz QJMQBERFDYXLSS-GFCCVEGCSA-N 1 2 289.448 3.995 20 0 CHADLO Cc1ccc(C)c([C@@H]2CN(c3cccc[nH+]3)[C@@H](C)CO2)c1 ZINC000248663426 334312126 /nfs/dbraw/zinc/31/21/26/334312126.db2.gz IAXGGZRATAMGJH-RDJZCZTQSA-N 1 2 282.387 3.665 20 0 CHADLO Fc1cc(Cl)cc(C[N@@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000552424210 334326255 /nfs/dbraw/zinc/32/62/55/334326255.db2.gz PWWHZCUAFQBJQB-HZPDHXFCSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1cc(Cl)cc(C[N@H+]2C[C@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000552424210 334326256 /nfs/dbraw/zinc/32/62/56/334326256.db2.gz PWWHZCUAFQBJQB-HZPDHXFCSA-N 1 2 295.785 3.623 20 0 CHADLO Cc1csc(CSCc2cn3ccccc3[nH+]2)n1 ZINC000088307012 334335707 /nfs/dbraw/zinc/33/57/07/334335707.db2.gz XUJWIYZNPMDFBR-UHFFFAOYSA-N 1 2 275.402 3.533 20 0 CHADLO CCc1nc(N2CC[C@@H]2c2ccc(Cl)cc2)cc(C)[nH+]1 ZINC000553400231 334382604 /nfs/dbraw/zinc/38/26/04/334382604.db2.gz SRVLHROVIYMBPP-CQSZACIVSA-N 1 2 287.794 3.952 20 0 CHADLO Cc1c[nH+]cc(CCc2nc([C@@H]3CCC[C@@H](C)C3)no2)c1 ZINC000555607831 334484195 /nfs/dbraw/zinc/48/41/95/334484195.db2.gz ILDFCPAXSUWJLL-IUODEOHRSA-N 1 2 285.391 3.852 20 0 CHADLO CC1=CC[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000556263327 334504837 /nfs/dbraw/zinc/50/48/37/334504837.db2.gz GPDLCFJDQFQVHD-CQSZACIVSA-N 1 2 253.349 3.783 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1ccccn1 ZINC000152716144 334510446 /nfs/dbraw/zinc/51/04/46/334510446.db2.gz ZVTHOSDKOZIYIB-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO CCCCN1CCc2ccc(NCc3[nH]c(C)c(C)[nH+]3)cc21 ZINC000564668350 334515738 /nfs/dbraw/zinc/51/57/38/334515738.db2.gz RZKKMADHZQDQDC-UHFFFAOYSA-N 1 2 298.434 3.801 20 0 CHADLO CC(C)Cc1ncc(C[NH2+][C@@H](C)c2cscn2)s1 ZINC000565556478 334574183 /nfs/dbraw/zinc/57/41/83/334574183.db2.gz NVBNAAKLKRLAFP-JTQLQIEISA-N 1 2 281.450 3.649 20 0 CHADLO Cc1ccc(C)c(N(C)C(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000566420763 334647443 /nfs/dbraw/zinc/64/74/43/334647443.db2.gz QVCYPUHVBLJNHF-UHFFFAOYSA-N 1 2 283.375 3.984 20 0 CHADLO Cc1cccc(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)c1F ZINC000154639965 334700326 /nfs/dbraw/zinc/70/03/26/334700326.db2.gz GSLMSQJDDLKSJQ-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO Cc1ccccc1[C@@H](C)c1noc(C[C@@H](C)n2cc[nH+]c2)n1 ZINC000568009447 334783763 /nfs/dbraw/zinc/78/37/63/334783763.db2.gz DSFXHJLXBHFNCG-ZIAGYGMSSA-N 1 2 296.374 3.530 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccn(C(C)C)n2)c(Cl)c1 ZINC000568030245 334785972 /nfs/dbraw/zinc/78/59/72/334785972.db2.gz OHNQCTUSKOSGKO-UHFFFAOYSA-N 1 2 277.799 3.716 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2C[C@@H](C)n3ccnc32)c(Cl)c1 ZINC000568033596 334786482 /nfs/dbraw/zinc/78/64/82/334786482.db2.gz SFDBIDQERYZEFK-RISCZKNCSA-N 1 2 275.783 3.641 20 0 CHADLO CCn1cc[nH+]c1/C=C/C(=O)Nc1c(C)cccc1C(C)C ZINC000158627356 334808141 /nfs/dbraw/zinc/80/81/41/334808141.db2.gz BKSIDBWYUFHUGB-MDZDMXLPSA-N 1 2 297.402 3.987 20 0 CHADLO Cc1nc(CSCc2[nH+]ccn2Cc2ccccc2)co1 ZINC000568247835 334808378 /nfs/dbraw/zinc/80/83/78/334808378.db2.gz YTAJTYPBVIDHOC-UHFFFAOYSA-N 1 2 299.399 3.661 20 0 CHADLO COc1nc2ccccc2cc1C[NH2+][C@H](C)c1cscn1 ZINC000568277080 334811674 /nfs/dbraw/zinc/81/16/74/334811674.db2.gz SPVMEXVBCIWITH-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(C)cc1OC)C(F)F ZINC000569191062 334860434 /nfs/dbraw/zinc/86/04/34/334860434.db2.gz BKCDXEJVTBMDNY-JQWIXIFHSA-N 1 2 257.324 3.698 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1ccc(F)cc1F ZINC000293866924 334892730 /nfs/dbraw/zinc/89/27/30/334892730.db2.gz DRKXMDVZXROZLD-BHDSKKPTSA-N 1 2 277.318 3.518 20 0 CHADLO CC(C)c1nc(N[C@@H](C)[C@@H]2COc3ccccc32)cc[nH+]1 ZINC000166329563 334912809 /nfs/dbraw/zinc/91/28/09/334912809.db2.gz DNUGDXHUPSVVDD-JSGCOSHPSA-N 1 2 283.375 3.577 20 0 CHADLO CCc1cnc(C[N@H+](C)CCOc2cccc(C)c2)s1 ZINC000175529428 335187166 /nfs/dbraw/zinc/18/71/66/335187166.db2.gz PMXIBPQOLNHMPC-UHFFFAOYSA-N 1 2 290.432 3.525 20 0 CHADLO CCc1cnc(C[N@@H+](C)CCOc2cccc(C)c2)s1 ZINC000175529428 335187167 /nfs/dbraw/zinc/18/71/67/335187167.db2.gz PMXIBPQOLNHMPC-UHFFFAOYSA-N 1 2 290.432 3.525 20 0 CHADLO O=C(Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1)[C@@H]1C[C@@H]1C1CC1 ZINC000175919809 335217897 /nfs/dbraw/zinc/21/78/97/335217897.db2.gz GYSDIDYMHZETEQ-ZIAGYGMSSA-N 1 2 295.386 3.815 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3cc(F)ccc32)C[C@H](C)S1 ZINC000576699935 335236518 /nfs/dbraw/zinc/23/65/18/335236518.db2.gz XRNWBXVGGIIIPK-QWRGUYRKSA-N 1 2 276.380 3.704 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)[C@H]1CC2CCC1CC2 ZINC000576808497 335249999 /nfs/dbraw/zinc/24/99/99/335249999.db2.gz JDVRNIMCKYUYHL-ZUEPYMLJSA-N 1 2 295.386 3.842 20 0 CHADLO Cc1ccccc1[C@@H](NC(=O)C[C@H](C)n1cc[nH+]c1)C(C)C ZINC000576993709 335275187 /nfs/dbraw/zinc/27/51/87/335275187.db2.gz CAJQZATUEWIWFC-YJBOKZPZSA-N 1 2 299.418 3.656 20 0 CHADLO CCCc1noc(C[NH2+][C@H](CC(C)C)c2ccccc2)n1 ZINC000192567860 335279074 /nfs/dbraw/zinc/27/90/74/335279074.db2.gz FANIXCMQYMZAKD-OAHLLOKOSA-N 1 2 287.407 3.899 20 0 CHADLO CCc1cc(OCc2cn(C(C)C)nn2)c2ccccc2[nH+]1 ZINC000577011721 335281650 /nfs/dbraw/zinc/28/16/50/335281650.db2.gz JONWVKFAYNRSOM-UHFFFAOYSA-N 1 2 296.374 3.549 20 0 CHADLO CC(C)[N@H+](Cc1nnc(C2CC2)o1)[C@@H](C)c1ccsc1 ZINC000577169760 335313988 /nfs/dbraw/zinc/31/39/88/335313988.db2.gz LTVXJGGSTWPUPX-NSHDSACASA-N 1 2 291.420 3.980 20 0 CHADLO CC(C)[N@@H+](Cc1nnc(C2CC2)o1)[C@@H](C)c1ccsc1 ZINC000577169760 335313990 /nfs/dbraw/zinc/31/39/90/335313990.db2.gz LTVXJGGSTWPUPX-NSHDSACASA-N 1 2 291.420 3.980 20 0 CHADLO COc1cc[nH+]cc1COc1ccc(Cl)cc1Cl ZINC000580671555 335320113 /nfs/dbraw/zinc/32/01/13/335320113.db2.gz DOKIQSZTFKSKJY-UHFFFAOYSA-N 1 2 284.142 3.976 20 0 CHADLO Cc1ccc(NC(=O)NC/C=C/c2ccccc2)c(C)[nH+]1 ZINC000176785759 335330940 /nfs/dbraw/zinc/33/09/40/335330940.db2.gz KQOVJSOKJSXVMF-RMKNXTFCSA-N 1 2 281.359 3.533 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CCC2)s1)c1cscn1 ZINC000577627969 335382017 /nfs/dbraw/zinc/38/20/17/335382017.db2.gz NBPHACKETBENDY-VIFPVBQESA-N 1 2 279.434 3.718 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2ccc3ccccc3n2)s1 ZINC000177961885 335406855 /nfs/dbraw/zinc/40/68/55/335406855.db2.gz ORJODRAADGNHAX-GFCCVEGCSA-N 1 2 283.400 3.851 20 0 CHADLO COc1ccc([C@H](C)Nc2[nH+]c3ccccc3n2C)cc1F ZINC000179279706 335485429 /nfs/dbraw/zinc/48/54/29/335485429.db2.gz CLDGPLOFKUEQHR-NSHDSACASA-N 1 2 299.349 3.894 20 0 CHADLO Cc1ccc(Cc2nc(-c3occc3C(C)C)no2)c[nH+]1 ZINC000350501113 335508199 /nfs/dbraw/zinc/50/81/99/335508199.db2.gz YWEZJUWDBAMDKS-UHFFFAOYSA-N 1 2 283.331 3.747 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H]2C[C@@]2(C)C(C)C)n1 ZINC000351235663 335585598 /nfs/dbraw/zinc/58/55/98/335585598.db2.gz PADJGYGMUSYTJM-BBRMVZONSA-N 1 2 271.364 3.513 20 0 CHADLO C[C@@H](CC(=O)N([C@@H](C)c1ccccc1)C1CC1)n1cc[nH+]c1 ZINC000578365108 335620720 /nfs/dbraw/zinc/62/07/20/335620720.db2.gz WUPRWWUSRVAYQB-GJZGRUSLSA-N 1 2 297.402 3.586 20 0 CHADLO Cc1cccn2cc(CNc3nc4cc(F)ccc4o3)[nH+]c12 ZINC000180840203 335626209 /nfs/dbraw/zinc/62/62/09/335626209.db2.gz FZBZZYWGQAIFDL-UHFFFAOYSA-N 1 2 296.305 3.535 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C2CCCCCC2)n1 ZINC000579318175 335734104 /nfs/dbraw/zinc/73/41/04/335734104.db2.gz IMXVJVIQCCCZOM-UHFFFAOYSA-N 1 2 285.391 3.996 20 0 CHADLO COC[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1ccccc1 ZINC000181690805 335778573 /nfs/dbraw/zinc/77/85/73/335778573.db2.gz ODQIZUQKQHVKQA-MRXNPFEDSA-N 1 2 293.769 3.956 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCc1nc(C2CCCC2)no1 ZINC000185420043 335951592 /nfs/dbraw/zinc/95/15/92/335951592.db2.gz MSPWNANLJZICPT-UHFFFAOYSA-N 1 2 296.374 3.628 20 0 CHADLO Cc1csc(CSCCc2[nH+]cc(C)cc2C)n1 ZINC000580834019 335972762 /nfs/dbraw/zinc/97/27/62/335972762.db2.gz JCGKLGHVJFBJKF-UHFFFAOYSA-N 1 2 278.446 3.939 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)Nc1cc[nH+]cc1C ZINC000580834098 335972769 /nfs/dbraw/zinc/97/27/69/335972769.db2.gz TUQZTIVZPXTKMG-ZDUSSCGKSA-N 1 2 260.381 3.523 20 0 CHADLO COc1cc[nH+]cc1NC(=O)[C@H]1CCC[C@@H]1c1ccccc1 ZINC000580992149 335993299 /nfs/dbraw/zinc/99/32/99/335993299.db2.gz QVUZPSPHXMRDRJ-CABCVRRESA-N 1 2 296.370 3.613 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc2ncsc2c1)c1csnn1 ZINC000581052851 336001398 /nfs/dbraw/zinc/00/13/98/336001398.db2.gz ARCKLAGNSDGECY-DTWKUNHWSA-N 1 2 290.417 3.560 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1sccc1Cl ZINC000194353896 336018210 /nfs/dbraw/zinc/01/82/10/336018210.db2.gz VJNYROBPJCTJFP-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1sccc1Cl ZINC000194353896 336018211 /nfs/dbraw/zinc/01/82/11/336018211.db2.gz VJNYROBPJCTJFP-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO CCS(=O)(=O)Nc1ccc([NH2+][C@H](C)CC(C)(C)C)cc1 ZINC000384642866 336128307 /nfs/dbraw/zinc/12/83/07/336128307.db2.gz BBCVZUFTWYOAHL-GFCCVEGCSA-N 1 2 298.452 3.685 20 0 CHADLO FC(F)(F)CC[N@H+](Cc1ccno1)Cc1ccccc1 ZINC000581919533 336166067 /nfs/dbraw/zinc/16/60/67/336166067.db2.gz XGPNGQUHTLSVMM-UHFFFAOYSA-N 1 2 284.281 3.629 20 0 CHADLO FC(F)(F)CC[N@@H+](Cc1ccno1)Cc1ccccc1 ZINC000581919533 336166069 /nfs/dbraw/zinc/16/60/69/336166069.db2.gz XGPNGQUHTLSVMM-UHFFFAOYSA-N 1 2 284.281 3.629 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cnc(C3CC3)s1)C2 ZINC000581978700 336182473 /nfs/dbraw/zinc/18/24/73/336182473.db2.gz ULMZHDDKMGVSON-UHFFFAOYSA-N 1 2 270.401 3.845 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cnc(C3CC3)s1)C2 ZINC000581978700 336182474 /nfs/dbraw/zinc/18/24/74/336182474.db2.gz ULMZHDDKMGVSON-UHFFFAOYSA-N 1 2 270.401 3.845 20 0 CHADLO CCC[C@H](CC)[S@@](=O)Cc1cn2cc(Cl)ccc2[nH+]1 ZINC000280109869 521086742 /nfs/dbraw/zinc/08/67/42/521086742.db2.gz YLZKQFHPEXNKJT-DJJJIMSYSA-N 1 2 298.839 3.815 20 0 CHADLO COc1ccc(Cl)cc1C[NH+]1Cc2ccccc2C1 ZINC000353391215 533852000 /nfs/dbraw/zinc/85/20/00/533852000.db2.gz BDCJZTFQAWQVCW-UHFFFAOYSA-N 1 2 273.763 3.864 20 0 CHADLO C[C@@H](c1c(F)cccc1F)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000354112697 534041413 /nfs/dbraw/zinc/04/14/13/534041413.db2.gz LROGXSUXCCKPMY-JTQLQIEISA-N 1 2 289.329 3.830 20 0 CHADLO C[C@@H]1Cc2ccccc2[C@@H]1Nc1cc[nH+]c(C2CC2)n1 ZINC000112558637 534085274 /nfs/dbraw/zinc/08/52/74/534085274.db2.gz UXNNSWZQWJNJOB-BDJLRTHQSA-N 1 2 265.360 3.699 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1ncc(Cl)s1 ZINC000351987793 534092954 /nfs/dbraw/zinc/09/29/54/534092954.db2.gz ARKISYVSYGMVBD-VIFPVBQESA-N 1 2 282.796 3.695 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1ncc(Cl)s1 ZINC000351987793 534092959 /nfs/dbraw/zinc/09/29/59/534092959.db2.gz ARKISYVSYGMVBD-VIFPVBQESA-N 1 2 282.796 3.695 20 0 CHADLO C[C@@H]1CC[C@H](C(N)=O)CN1c1[nH+]ccc2ccc(F)cc21 ZINC000336540278 534206765 /nfs/dbraw/zinc/20/67/65/534206765.db2.gz MJVLQUTTYJUSHO-PWSUYJOCSA-N 1 2 287.338 3.514 20 0 CHADLO Cc1ccccc1[C@@H]([NH2+]Cc1noc(C2CC2)n1)C(C)C ZINC000183201248 518191097 /nfs/dbraw/zinc/19/10/97/518191097.db2.gz CZFHFIZVRHJUIH-INIZCTEOSA-N 1 2 285.391 3.742 20 0 CHADLO C/C(=C\C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1)C1CC1 ZINC000365082085 518429851 /nfs/dbraw/zinc/42/98/51/518429851.db2.gz HBQLZWXIWMTNSX-PKNBQFBNSA-N 1 2 295.386 3.988 20 0 CHADLO CC(=CC(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1)C1CC1 ZINC000365082085 518429858 /nfs/dbraw/zinc/42/98/58/518429858.db2.gz HBQLZWXIWMTNSX-PKNBQFBNSA-N 1 2 295.386 3.988 20 0 CHADLO C/C=C(\C)C(=O)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000277676733 518484955 /nfs/dbraw/zinc/48/49/55/518484955.db2.gz LCEPAQYPKQGNEF-QLKAYGNNSA-N 1 2 295.386 3.791 20 0 CHADLO CC(C)(C)C[C@@H](O)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354326815 518684227 /nfs/dbraw/zinc/68/42/27/518684227.db2.gz FBAAPXZVCMYAAM-CYBMUJFWSA-N 1 2 276.355 3.583 20 0 CHADLO CC(C)(C)c1cnc(CSc2[nH+]cc3ccccn32)o1 ZINC000046212824 519074231 /nfs/dbraw/zinc/07/42/31/519074231.db2.gz KZHXTXJQAQKHDA-UHFFFAOYSA-N 1 2 287.388 3.912 20 0 CHADLO CC(C)(C)c1ncc(C[NH+]2Cc3ccccc3C2)s1 ZINC000119966787 519112932 /nfs/dbraw/zinc/11/29/32/519112932.db2.gz HXBKPSKPORNGQD-UHFFFAOYSA-N 1 2 272.417 3.956 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+]Cc2cccc(Cl)c2)s1 ZINC000268205129 519120821 /nfs/dbraw/zinc/12/08/21/519120821.db2.gz NNCSRSRGBNUQAM-UHFFFAOYSA-N 1 2 295.839 3.779 20 0 CHADLO CC(C)=CCCNC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000276957088 519412176 /nfs/dbraw/zinc/41/21/76/519412176.db2.gz LSNYNEAZCNNIFJ-UHFFFAOYSA-N 1 2 298.390 3.565 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccccc1OCC1CC1 ZINC000353234568 534426507 /nfs/dbraw/zinc/42/65/07/534426507.db2.gz YAOWRNUWXVYEMS-UHFFFAOYSA-N 1 2 268.360 3.791 20 0 CHADLO CC(C)CC(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000264788400 519609449 /nfs/dbraw/zinc/60/94/49/519609449.db2.gz OIUWRZVDROAMQQ-UHFFFAOYSA-N 1 2 270.332 3.859 20 0 CHADLO CC(C)Sc1ccc(C[N@@H+]2CCC(=O)[C@@H](C)C2)cc1 ZINC000338572768 519787952 /nfs/dbraw/zinc/78/79/52/519787952.db2.gz QWUSTGAYEJXCER-ZDUSSCGKSA-N 1 2 277.433 3.598 20 0 CHADLO CC(C)Sc1ccc(C[N@H+]2CCC(=O)[C@@H](C)C2)cc1 ZINC000338572768 519787953 /nfs/dbraw/zinc/78/79/53/519787953.db2.gz QWUSTGAYEJXCER-ZDUSSCGKSA-N 1 2 277.433 3.598 20 0 CHADLO CC(C)[C@@H](Nc1nc[nH+]c(N)c1Cl)c1ccc(F)cc1 ZINC000336904808 519799771 /nfs/dbraw/zinc/79/97/71/519799771.db2.gz SQOKBHVUJXINKK-GFCCVEGCSA-N 1 2 294.761 3.661 20 0 CHADLO CC(C)[C@@H](Nc1[nH+]cnc(N)c1Cl)c1ccc(F)cc1 ZINC000336904808 519799772 /nfs/dbraw/zinc/79/97/72/519799772.db2.gz SQOKBHVUJXINKK-GFCCVEGCSA-N 1 2 294.761 3.661 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@@H](C)c1csnn1)CCC2 ZINC000334847695 534453681 /nfs/dbraw/zinc/45/36/81/534453681.db2.gz PAMNEPVGVCGCOO-SMDDNHRTSA-N 1 2 273.405 3.575 20 0 CHADLO CC(C)n1cc[nH+]c1CN(C)[C@H](C)c1cc(F)ccc1F ZINC000264583083 520027652 /nfs/dbraw/zinc/02/76/52/520027652.db2.gz ZPBWQOXQMCZPSF-GFCCVEGCSA-N 1 2 293.361 3.935 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@H]1c1ccsc1 ZINC000299546902 520031130 /nfs/dbraw/zinc/03/11/30/520031130.db2.gz BHKOBHNNWHDRLR-AWEZNQCLSA-N 1 2 275.421 3.863 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@H]1c1ccsc1 ZINC000299546902 520031140 /nfs/dbraw/zinc/03/11/40/520031140.db2.gz BHKOBHNNWHDRLR-AWEZNQCLSA-N 1 2 275.421 3.863 20 0 CHADLO Fc1ccc(C[NH2+]Cc2c(Cl)cccc2Cl)nc1 ZINC000344922949 534468352 /nfs/dbraw/zinc/46/83/52/534468352.db2.gz SMVRBWRFZCFRQI-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO CC(C)n1nccc1CNc1ccc([NH+]2CCCC2)cc1 ZINC000280094894 520113262 /nfs/dbraw/zinc/11/32/62/520113262.db2.gz BADJZDTVFSYCNW-UHFFFAOYSA-N 1 2 284.407 3.676 20 0 CHADLO CC(C)n1ncnc1C[N@H+](C)Cc1ccc2ccccc2c1 ZINC000279931535 520129078 /nfs/dbraw/zinc/12/90/78/520129078.db2.gz GMHDALYWMRJGCK-UHFFFAOYSA-N 1 2 294.402 3.644 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](C)Cc1ccc2ccccc2c1 ZINC000279931535 520129084 /nfs/dbraw/zinc/12/90/84/520129084.db2.gz GMHDALYWMRJGCK-UHFFFAOYSA-N 1 2 294.402 3.644 20 0 CHADLO CCOCc1ccc(CNc2cc[nH+]c(C3CC3)n2)cc1 ZINC000110128768 520193622 /nfs/dbraw/zinc/19/36/22/520193622.db2.gz RNGDZXGZCVYPFB-UHFFFAOYSA-N 1 2 283.375 3.503 20 0 CHADLO CCCCCSCc1[nH+]ccn1CC(F)(F)F ZINC000340867358 520271789 /nfs/dbraw/zinc/27/17/89/520271789.db2.gz VYNBDOTYABWPBY-UHFFFAOYSA-N 1 2 266.332 3.869 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(F)cc2)[C@@H]1c1cccnc1 ZINC000292887044 520479273 /nfs/dbraw/zinc/47/92/73/520479273.db2.gz YKYXMOKXQOACJP-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(F)cc2)[C@@H]1c1cccnc1 ZINC000292887044 520479279 /nfs/dbraw/zinc/47/92/79/520479279.db2.gz YKYXMOKXQOACJP-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+]Cc3ccc([C@H]4C[C@H]4C)o3)nc2c1 ZINC000344741632 534505083 /nfs/dbraw/zinc/50/50/83/534505083.db2.gz ODCGESCQLDXGMK-OCCSQVGLSA-N 1 2 295.386 3.878 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccc([C@H]4C[C@H]4C)o3)[nH]c2c1 ZINC000344741632 534505086 /nfs/dbraw/zinc/50/50/86/534505086.db2.gz ODCGESCQLDXGMK-OCCSQVGLSA-N 1 2 295.386 3.878 20 0 CHADLO Fc1cccc(C[N@@H+]2CCc3cccc(F)c3C2)c1 ZINC000347938748 534518156 /nfs/dbraw/zinc/51/81/56/534518156.db2.gz CCAVHJYYRHGIJV-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1cccc(C[N@H+]2CCc3cccc(F)c3C2)c1 ZINC000347938748 534518165 /nfs/dbraw/zinc/51/81/65/534518165.db2.gz CCAVHJYYRHGIJV-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1cccc(C[N@@H+]2Cc3cccc(Cl)c3C2)c1 ZINC000347460927 534518902 /nfs/dbraw/zinc/51/89/02/534518902.db2.gz SHEJCDBOWJJTMU-UHFFFAOYSA-N 1 2 261.727 3.995 20 0 CHADLO Fc1cccc(C[N@H+]2Cc3cccc(Cl)c3C2)c1 ZINC000347460927 534518906 /nfs/dbraw/zinc/51/89/06/534518906.db2.gz SHEJCDBOWJJTMU-UHFFFAOYSA-N 1 2 261.727 3.995 20 0 CHADLO CCCC[C@H](C)C(=O)N1CCCC[C@@H]1c1[nH+]ccn1CC ZINC000337556471 521127819 /nfs/dbraw/zinc/12/78/19/521127819.db2.gz JZALPSZUYGCJMV-LSDHHAIUSA-N 1 2 291.439 3.783 20 0 CHADLO CCC(C)(C)N(C)C(=O)/C=C/c1ccc(-n2cc[nH+]c2)cc1 ZINC000265467665 521290941 /nfs/dbraw/zinc/29/09/41/521290941.db2.gz DNCRSPHQBNCDLG-DHZHZOJOSA-N 1 2 297.402 3.533 20 0 CHADLO CCCCc1nc(C[NH2+][C@H](c2ccccc2)C(C)C)no1 ZINC000064931608 521305023 /nfs/dbraw/zinc/30/50/23/521305023.db2.gz FFXNIZUXAQCRKA-KRWDZBQOSA-N 1 2 287.407 3.899 20 0 CHADLO CCCN(C(=O)CCn1cc[nH+]c1)[C@H](CC)c1ccccc1 ZINC000299677050 521417973 /nfs/dbraw/zinc/41/79/73/521417973.db2.gz QTXAGQRCCPWKNQ-QGZVFWFLSA-N 1 2 299.418 3.663 20 0 CHADLO CC[N@H+](Cc1nc(C)no1)Cc1ccc2ccccc2c1 ZINC000299128077 521449590 /nfs/dbraw/zinc/44/95/90/521449590.db2.gz KAUDNDSGWXOCJB-UHFFFAOYSA-N 1 2 281.359 3.553 20 0 CHADLO CC[N@@H+](Cc1nc(C)no1)Cc1ccc2ccccc2c1 ZINC000299128077 521449604 /nfs/dbraw/zinc/44/96/04/521449604.db2.gz KAUDNDSGWXOCJB-UHFFFAOYSA-N 1 2 281.359 3.553 20 0 CHADLO CCCc1nc(C[N@H+](C)[C@@H](C)c2ccccc2C)no1 ZINC000299099060 521505263 /nfs/dbraw/zinc/50/52/63/521505263.db2.gz QMTKCARZTPLHCW-ZDUSSCGKSA-N 1 2 273.380 3.524 20 0 CHADLO CCCc1nc(C[N@@H+](C)[C@@H](C)c2ccccc2C)no1 ZINC000299099060 521505275 /nfs/dbraw/zinc/50/52/75/521505275.db2.gz QMTKCARZTPLHCW-ZDUSSCGKSA-N 1 2 273.380 3.524 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H](C)Cc1cccc(F)c1 ZINC000265264648 522083190 /nfs/dbraw/zinc/08/31/90/522083190.db2.gz VYTKZWJMUVYTKV-GFCCVEGCSA-N 1 2 286.350 3.600 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(F)c(Cl)c2)cs1 ZINC000053157377 522344076 /nfs/dbraw/zinc/34/40/76/522344076.db2.gz HYXLTTLOZWBLOW-UHFFFAOYSA-N 1 2 284.787 3.788 20 0 CHADLO COC[C@@H]([NH2+]Cc1cc(C)ccc1F)c1ccc(C)o1 ZINC000080238688 522362336 /nfs/dbraw/zinc/36/23/36/522362336.db2.gz XYAMKOLUAMYBTR-OAHLLOKOSA-N 1 2 277.339 3.513 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1cc(C)on1)c1cccc(Cl)c1 ZINC000290585158 522378964 /nfs/dbraw/zinc/37/89/64/522378964.db2.gz GFBNBDXUZYARBZ-IAQYHMDHSA-N 1 2 294.782 3.675 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2ccccc2Cl)[nH]1 ZINC000277055867 522440949 /nfs/dbraw/zinc/44/09/49/522440949.db2.gz BCGMHSNGFRWRNJ-MNOVXSKESA-N 1 2 292.814 3.822 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000334698587 534643638 /nfs/dbraw/zinc/64/36/38/534643638.db2.gz UKZBJYHOJJHSHU-BBRMVZONSA-N 1 2 283.375 3.666 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)cn1 ZINC000334698587 534643652 /nfs/dbraw/zinc/64/36/52/534643652.db2.gz UKZBJYHOJJHSHU-BBRMVZONSA-N 1 2 283.375 3.666 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000336545905 534645069 /nfs/dbraw/zinc/64/50/69/534645069.db2.gz JCCCOSKAJFPGFL-LRDDRELGSA-N 1 2 287.794 3.946 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000336545905 534645074 /nfs/dbraw/zinc/64/50/74/534645074.db2.gz JCCCOSKAJFPGFL-LRDDRELGSA-N 1 2 287.794 3.946 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+](C)Cc1scnc1C ZINC000280603796 522552258 /nfs/dbraw/zinc/55/22/58/522552258.db2.gz YVKLTRNGTYNNTP-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+](C)Cc1scnc1C ZINC000280603796 522552262 /nfs/dbraw/zinc/55/22/62/522552262.db2.gz YVKLTRNGTYNNTP-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO CCc1ncc(C[NH2+]CC(F)(F)c2ccccc2)s1 ZINC000353216030 522554632 /nfs/dbraw/zinc/55/46/32/522554632.db2.gz GEXZMUZGLIXRDZ-UHFFFAOYSA-N 1 2 282.359 3.587 20 0 CHADLO CCc1nnc(C[N@@H+](CC)Cc2ccc3ccccc3c2)o1 ZINC000299188468 522725921 /nfs/dbraw/zinc/72/59/21/522725921.db2.gz BOLRMSJNDYEISX-UHFFFAOYSA-N 1 2 295.386 3.807 20 0 CHADLO CCc1nnc(C[N@H+](CC)Cc2ccc3ccccc3c2)o1 ZINC000299188468 522725931 /nfs/dbraw/zinc/72/59/31/522725931.db2.gz BOLRMSJNDYEISX-UHFFFAOYSA-N 1 2 295.386 3.807 20 0 CHADLO COc1cc(NCc2ccc(C)[nH+]c2C)c(C)c(OC)c1 ZINC000336961806 522747037 /nfs/dbraw/zinc/74/70/37/522747037.db2.gz DKTREFRUEFNCDS-UHFFFAOYSA-N 1 2 286.375 3.636 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C(C)C)n1)c1nccs1 ZINC000150874931 522776766 /nfs/dbraw/zinc/77/67/66/522776766.db2.gz VNJIFPBHFPJKTC-JTQLQIEISA-N 1 2 262.382 3.620 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2cc(C)sc2C)o1 ZINC000354206953 522786494 /nfs/dbraw/zinc/78/64/94/522786494.db2.gz LMOAYXPIHPUWNT-VHSXEESVSA-N 1 2 279.409 3.722 20 0 CHADLO CC[C@H](SC)C(=O)OCc1ccc(C(C)C)[nH+]c1C ZINC000292953939 522915240 /nfs/dbraw/zinc/91/52/40/522915240.db2.gz FEUYHJNUYHDXGS-AWEZNQCLSA-N 1 2 281.421 3.698 20 0 CHADLO CCc1nocc1C[NH2+]Cc1csc(-c2ccccc2)n1 ZINC000339208728 522953205 /nfs/dbraw/zinc/95/32/05/522953205.db2.gz NCRNPNFVFSHXHJ-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO CC[C@@H](Nc1ccc(C)[nH+]c1)c1ccc(C(=O)OC)cc1 ZINC000340333634 522954949 /nfs/dbraw/zinc/95/49/49/522954949.db2.gz DOVUTINWTIHGEN-MRXNPFEDSA-N 1 2 284.359 3.740 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1cc(-c2ccco2)on1 ZINC000299716650 522967260 /nfs/dbraw/zinc/96/72/60/522967260.db2.gz RLQNFLBNJYSJSR-OAHLLOKOSA-N 1 2 297.358 3.913 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1cc(-c2ccco2)on1 ZINC000299716650 522967268 /nfs/dbraw/zinc/96/72/68/522967268.db2.gz RLQNFLBNJYSJSR-OAHLLOKOSA-N 1 2 297.358 3.913 20 0 CHADLO C[N@H+](Cc1cccnc1)[C@]1(c2ccccc2)CCCCC1=O ZINC000276408870 523421607 /nfs/dbraw/zinc/42/16/07/523421607.db2.gz GTZMGWHHYHBXAU-IBGZPJMESA-N 1 2 294.398 3.552 20 0 CHADLO C[N@@H+](Cc1cccnc1)[C@]1(c2ccccc2)CCCCC1=O ZINC000276408870 523421610 /nfs/dbraw/zinc/42/16/10/523421610.db2.gz GTZMGWHHYHBXAU-IBGZPJMESA-N 1 2 294.398 3.552 20 0 CHADLO COCCC[C@H](C)[NH2+]c1cc(C)c(OC)cc1C ZINC000278501364 523493083 /nfs/dbraw/zinc/49/30/83/523493083.db2.gz QOCHXWPEWIPXHW-ZDUSSCGKSA-N 1 2 251.370 3.539 20 0 CHADLO CC[C@]1(C)C[C@H]1C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000340666068 523598825 /nfs/dbraw/zinc/59/88/25/523598825.db2.gz DUOATTLEGYTUJO-SCLBCKFNSA-N 1 2 297.402 3.825 20 0 CHADLO CCc1c[nH]c(SCc2[nH+]ccn2Cc2ccccc2)n1 ZINC000129290945 523734346 /nfs/dbraw/zinc/73/43/46/523734346.db2.gz YLTJKKFBGZLQFX-UHFFFAOYSA-N 1 2 298.415 3.509 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000294081030 523858907 /nfs/dbraw/zinc/85/89/07/523858907.db2.gz LMBVKAGAYGSZET-VNHYZAJKSA-N 1 2 285.391 3.557 20 0 CHADLO COc1cccc2c(NC[C@H]3CCCSC3)cc[nH+]c12 ZINC000340941654 524198267 /nfs/dbraw/zinc/19/82/67/524198267.db2.gz URCYMQDUXDZOLM-GFCCVEGCSA-N 1 2 288.416 3.799 20 0 CHADLO C[C@H]1CCN(C(=O)Nc2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000264865053 524259151 /nfs/dbraw/zinc/25/91/51/524259151.db2.gz UFJRKTHUFUZEFT-ZDUSSCGKSA-N 1 2 297.358 3.748 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2nnc[nH]2)C2CCCCC2)s1 ZINC000292067387 524607368 /nfs/dbraw/zinc/60/73/68/524607368.db2.gz HEKYDEJQFLYNEL-AWEZNQCLSA-N 1 2 290.436 3.586 20 0 CHADLO C[C@H]1CN(c2cc[nH+]c(C3CC3)n2)[C@H]1c1ccccc1 ZINC000266222525 524673989 /nfs/dbraw/zinc/67/39/89/524673989.db2.gz QTGRRNIBPBBIHU-BLLLJJGKSA-N 1 2 265.360 3.551 20 0 CHADLO COc1ccccc1[C@H]1CC[N@H+](C/C(Cl)=C/Cl)C1 ZINC000128492625 524813686 /nfs/dbraw/zinc/81/36/86/524813686.db2.gz HGCCNRXPAUYZMI-LCFDYFRESA-N 1 2 286.202 3.804 20 0 CHADLO COc1ccccc1[C@H]1CC[N@@H+](C/C(Cl)=C/Cl)C1 ZINC000128492625 524813697 /nfs/dbraw/zinc/81/36/97/524813697.db2.gz HGCCNRXPAUYZMI-LCFDYFRESA-N 1 2 286.202 3.804 20 0 CHADLO COc1cncc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)c1 ZINC000290179173 524920335 /nfs/dbraw/zinc/92/03/35/524920335.db2.gz FENGSZRHKNWBPZ-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1cncc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)c1 ZINC000290179173 524920343 /nfs/dbraw/zinc/92/03/43/524920343.db2.gz FENGSZRHKNWBPZ-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO Cc1cccc2[nH+]c(CSCCOCC(C)C)cn21 ZINC000336959130 524990197 /nfs/dbraw/zinc/99/01/97/524990197.db2.gz BMPXGFSBFDTLMT-UHFFFAOYSA-N 1 2 278.421 3.549 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Br)o2)CCC1(F)F ZINC000291487410 524991221 /nfs/dbraw/zinc/99/12/21/524991221.db2.gz GFHYGDSMSCKHCR-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Br)o2)CCC1(F)F ZINC000291487410 524991235 /nfs/dbraw/zinc/99/12/35/524991235.db2.gz GFHYGDSMSCKHCR-MRVPVSSYSA-N 1 2 294.139 3.519 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c(C3CC3)n2)[C@@H]1c1ccccc1 ZINC000266222541 525069386 /nfs/dbraw/zinc/06/93/86/525069386.db2.gz QTGRRNIBPBBIHU-WBMJQRKESA-N 1 2 265.360 3.551 20 0 CHADLO Cc1cc(NC(=O)CC2CC2)ccc1Oc1cc[nH+]cc1 ZINC000278328045 525121049 /nfs/dbraw/zinc/12/10/49/525121049.db2.gz FOWUOWWINYPUAL-UHFFFAOYSA-N 1 2 282.343 3.921 20 0 CHADLO Cc1ccc(NC(=O)NC2(Cc3ccccc3)CC2)c(C)[nH+]1 ZINC000187515168 525153292 /nfs/dbraw/zinc/15/32/92/525153292.db2.gz BGLDROIMKWMAGQ-UHFFFAOYSA-N 1 2 295.386 3.595 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CCCc3ccccc32)c(C)[nH+]1 ZINC000268033675 525203697 /nfs/dbraw/zinc/20/36/97/525203697.db2.gz YQUWFYGQBHQTMQ-MRXNPFEDSA-N 1 2 280.371 3.757 20 0 CHADLO Cc1cc(N[C@H](c2ccc(F)cc2)C(C)C)nc[nH+]1 ZINC000336927235 525301866 /nfs/dbraw/zinc/30/18/66/525301866.db2.gz XXCQURWTAZGAIQ-HNNXBMFYSA-N 1 2 259.328 3.733 20 0 CHADLO C[C@@H]1C[C@@H]2CCCC[C@H]2N1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000280961500 525354435 /nfs/dbraw/zinc/35/44/35/525354435.db2.gz JSDMBOPOGXXDTP-VNHYZAJKSA-N 1 2 298.390 3.519 20 0 CHADLO C[C@H]([NH2+]Cc1cc[nH]n1)c1cccc(Cl)c1Cl ZINC000227530449 525432854 /nfs/dbraw/zinc/43/28/54/525432854.db2.gz BDHNGGWCJQSAFR-QMMMGPOBSA-N 1 2 270.163 3.567 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000053611456 525437904 /nfs/dbraw/zinc/43/79/04/525437904.db2.gz XDUSFSIAKIXPJK-OAHLLOKOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@H+]1Cc1noc(C2CC2)n1 ZINC000053611456 525437914 /nfs/dbraw/zinc/43/79/14/525437914.db2.gz XDUSFSIAKIXPJK-OAHLLOKOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1ccccc1[C@]1(F)CCN(c2cc[nH+]c(C3CC3)n2)C1 ZINC000336917055 525450243 /nfs/dbraw/zinc/45/02/43/525450243.db2.gz CRVGJWNTOCMDJY-SFHVURJKSA-N 1 2 297.377 3.738 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2CCCOc3c(F)cccc32)no1 ZINC000290584970 525477574 /nfs/dbraw/zinc/47/75/74/525477574.db2.gz GCUFTYIASIDCPZ-RISCZKNCSA-N 1 2 290.338 3.687 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1cscn1 ZINC000271071901 525485666 /nfs/dbraw/zinc/48/56/66/525485666.db2.gz FNIGUQVYTSMQEH-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cc(C)ccc2OC(F)F)no1 ZINC000290728851 525520619 /nfs/dbraw/zinc/52/06/19/525520619.db2.gz PEXDCPNXUOCOKB-NSHDSACASA-N 1 2 296.317 3.744 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2nnc(C(C)C)[nH]2)c(C)o1 ZINC000278668075 525530706 /nfs/dbraw/zinc/53/07/06/525530706.db2.gz JBRSNVJNFAKSLQ-WDEREUQCSA-N 1 2 276.384 3.550 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccc(F)cc2O[C@H]1C)c1ccncc1F ZINC000340388993 525590462 /nfs/dbraw/zinc/59/04/62/525590462.db2.gz GHXMSTBQSSBBQJ-PUTJDCORSA-N 1 2 290.313 3.533 20 0 CHADLO Cc1cc2cc([C@H](C)[NH2+][C@H](C)c3ncn(C)n3)oc2cc1C ZINC000275624395 525649294 /nfs/dbraw/zinc/64/92/94/525649294.db2.gz AHTSWIPKFWXARM-QWHCGFSZSA-N 1 2 298.390 3.590 20 0 CHADLO Cc1cc2cc([C@H](C)[NH2+][C@@H](C)c3ncnn3C)oc2cc1C ZINC000276562463 525649312 /nfs/dbraw/zinc/64/93/12/525649312.db2.gz GIKWWQGCYFYTBR-STQMWFEESA-N 1 2 298.390 3.590 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccccc1)C1CC1)c1nc(C2CC2)no1 ZINC000128095535 525669904 /nfs/dbraw/zinc/66/99/04/525669904.db2.gz IXZRYJVLQRCTGD-IAQYHMDHSA-N 1 2 283.375 3.749 20 0 CHADLO C[C@@H]([NH2+][C@H]1CSc2ccccc21)c1nccs1 ZINC000156278727 525692334 /nfs/dbraw/zinc/69/23/34/525692334.db2.gz CLMHYZGGCCPRHR-KOLCDFICSA-N 1 2 262.403 3.641 20 0 CHADLO Cc1cc[nH]c1C(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000280500942 525709015 /nfs/dbraw/zinc/70/90/15/525709015.db2.gz CBMPYJBANMMHHR-UHFFFAOYSA-N 1 2 294.358 3.719 20 0 CHADLO C[C@@H](Nc1cc[nH+]c(C2CC2)n1)c1c(F)cccc1F ZINC000264633311 525721529 /nfs/dbraw/zinc/72/15/29/525721529.db2.gz DACGTAMAMLPKBJ-SECBINFHSA-N 1 2 275.302 3.805 20 0 CHADLO Cc1ccoc1C[N@@H+](C)[C@H](C)c1nc2ccccc2o1 ZINC000292147835 525797244 /nfs/dbraw/zinc/79/72/44/525797244.db2.gz JWUSZYNTHCBZEJ-GFCCVEGCSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1ccoc1C[N@H+](C)[C@H](C)c1nc2ccccc2o1 ZINC000292147835 525797255 /nfs/dbraw/zinc/79/72/55/525797255.db2.gz JWUSZYNTHCBZEJ-GFCCVEGCSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C1(C)CCC1 ZINC000280385537 525831877 /nfs/dbraw/zinc/83/18/77/525831877.db2.gz ZISVNWPQDLWUJY-UHFFFAOYSA-N 1 2 269.348 3.514 20 0 CHADLO CS[C@@H]1CCC[C@@H](Nc2cc(C)[nH+]c(C(C)C)n2)C1 ZINC000227358106 525832332 /nfs/dbraw/zinc/83/23/32/525832332.db2.gz HFGOGHZVIJWWMG-CHWSQXEVSA-N 1 2 279.453 3.995 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H](C)C(C)C ZINC000280337653 525833054 /nfs/dbraw/zinc/83/30/54/525833054.db2.gz WAEABMYREZRSPL-LBPRGKRZSA-N 1 2 271.364 3.616 20 0 CHADLO CS[C@@H]1CCC[C@H](Nc2cc(C)[nH+]c(C(C)C)n2)C1 ZINC000227358118 525837557 /nfs/dbraw/zinc/83/75/57/525837557.db2.gz HFGOGHZVIJWWMG-QWHCGFSZSA-N 1 2 279.453 3.995 20 0 CHADLO Cc1cn2cc(NC(=O)c3cc(C)ccc3C)ccc2[nH+]1 ZINC000339269921 525951092 /nfs/dbraw/zinc/95/10/92/525951092.db2.gz GVFKSOYEAVAZGA-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)nc1 ZINC000340661512 526006785 /nfs/dbraw/zinc/00/67/85/526006785.db2.gz NLIZWYCDIJBYPQ-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)nc1 ZINC000340661512 526006795 /nfs/dbraw/zinc/00/67/95/526006795.db2.gz NLIZWYCDIJBYPQ-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2csc3ccccc23)o1 ZINC000075626414 526007082 /nfs/dbraw/zinc/00/70/82/526007082.db2.gz RCIRGGSKZWYBKL-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2csc3ccccc23)o1 ZINC000075626414 526007091 /nfs/dbraw/zinc/00/70/91/526007091.db2.gz RCIRGGSKZWYBKL-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000290358586 526020193 /nfs/dbraw/zinc/02/01/93/526020193.db2.gz GLVFLGSKYRYAFN-ABAIWWIYSA-N 1 2 285.391 3.599 20 0 CHADLO Cc1c[nH]nc1C[N@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000290358586 526020202 /nfs/dbraw/zinc/02/02/02/526020202.db2.gz GLVFLGSKYRYAFN-ABAIWWIYSA-N 1 2 285.391 3.599 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)o1 ZINC000075900321 526026092 /nfs/dbraw/zinc/02/60/92/526026092.db2.gz PKIMOAKAZKVVOW-IAQYHMDHSA-N 1 2 274.339 3.705 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2F)o1 ZINC000075900321 526026099 /nfs/dbraw/zinc/02/60/99/526026099.db2.gz PKIMOAKAZKVVOW-IAQYHMDHSA-N 1 2 274.339 3.705 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccncc1 ZINC000130250881 526030979 /nfs/dbraw/zinc/03/09/79/526030979.db2.gz PFLURNONHMYZQY-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccncc1 ZINC000130250881 526030985 /nfs/dbraw/zinc/03/09/85/526030985.db2.gz PFLURNONHMYZQY-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2[nH]ncc2C)o1 ZINC000290319115 526032931 /nfs/dbraw/zinc/03/29/31/526032931.db2.gz BJKXZWGYXMKJTF-XHDPSFHLSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2[nH]ncc2C)o1 ZINC000290319115 526032938 /nfs/dbraw/zinc/03/29/38/526032938.db2.gz BJKXZWGYXMKJTF-XHDPSFHLSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1cnc(C[NH2+]C(C)(C)c2ccccc2F)s1 ZINC000292243370 526036714 /nfs/dbraw/zinc/03/67/14/526036714.db2.gz WWSBEVRDVZKEHZ-UHFFFAOYSA-N 1 2 264.369 3.616 20 0 CHADLO Cc1cnc(C[NH2+][C@H](CC(F)(F)F)c2ccccc2)nc1 ZINC000341588500 526047818 /nfs/dbraw/zinc/04/78/18/526047818.db2.gz KSHAJIOHBXSLTB-CYBMUJFWSA-N 1 2 295.308 3.568 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+](C)Cc1nccn1C(F)F ZINC000078268862 526061672 /nfs/dbraw/zinc/06/16/72/526061672.db2.gz WUHFXNTZUBYBJR-SNVBAGLBSA-N 1 2 283.297 3.610 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1nccn1C(F)F ZINC000078268862 526061682 /nfs/dbraw/zinc/06/16/82/526061682.db2.gz WUHFXNTZUBYBJR-SNVBAGLBSA-N 1 2 283.297 3.610 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cnc(Cl)s1 ZINC000079318162 526133262 /nfs/dbraw/zinc/13/32/62/526133262.db2.gz AWRMBXUDXPTUQY-QMMMGPOBSA-N 1 2 256.758 3.583 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1cn(C(C)(C)C)nn1)C1CC1 ZINC000276789680 526095182 /nfs/dbraw/zinc/09/51/82/526095182.db2.gz SHIYGWQJDKRMBI-CQSZACIVSA-N 1 2 298.434 3.759 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1cn(C(C)(C)C)nn1)C1CC1 ZINC000276789680 526095192 /nfs/dbraw/zinc/09/51/92/526095192.db2.gz SHIYGWQJDKRMBI-CQSZACIVSA-N 1 2 298.434 3.759 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1ccno1 ZINC000264617602 526112816 /nfs/dbraw/zinc/11/28/16/526112816.db2.gz MNGXGOGFSLGXNW-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1ccno1 ZINC000264617602 526112826 /nfs/dbraw/zinc/11/28/26/526112826.db2.gz MNGXGOGFSLGXNW-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127699691 526118644 /nfs/dbraw/zinc/11/86/44/526118644.db2.gz AHECNUYJZLDTMQ-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127699691 526118654 /nfs/dbraw/zinc/11/86/54/526118654.db2.gz AHECNUYJZLDTMQ-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1csc(-c2ccco2)n1 ZINC000127983460 526126450 /nfs/dbraw/zinc/12/64/50/526126450.db2.gz ITVBBDPHPZABON-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1csc(-c2ccco2)n1 ZINC000127983460 526126456 /nfs/dbraw/zinc/12/64/56/526126456.db2.gz ITVBBDPHPZABON-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cnc(Cl)s1 ZINC000079318162 526133255 /nfs/dbraw/zinc/13/32/55/526133255.db2.gz AWRMBXUDXPTUQY-QMMMGPOBSA-N 1 2 256.758 3.583 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC000264136573 526134288 /nfs/dbraw/zinc/13/42/88/526134288.db2.gz AOYMNWGSWKKEHD-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@H](c1cccnc1)[N@H+]1CCc2[nH]c3ccc(F)cc3c2C1 ZINC000264136573 526134296 /nfs/dbraw/zinc/13/42/96/526134296.db2.gz AOYMNWGSWKKEHD-GFCCVEGCSA-N 1 2 295.361 3.821 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2cccc(Cl)c2)o1 ZINC000356957779 526144721 /nfs/dbraw/zinc/14/47/21/526144721.db2.gz RFFBEYYNOHKRQQ-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2cccc(Cl)c2)o1 ZINC000356957779 526144728 /nfs/dbraw/zinc/14/47/28/526144728.db2.gz RFFBEYYNOHKRQQ-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO C[C@@H](c1cccs1)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000112964821 526145325 /nfs/dbraw/zinc/14/53/25/526145325.db2.gz SOEDRJKANYWOTC-JTQLQIEISA-N 1 2 259.378 3.613 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1C[C@@H](C)[C@H]1c1ccccc1 ZINC000081573971 526168773 /nfs/dbraw/zinc/16/87/73/526168773.db2.gz VLLZZECELOVERA-XUJVJEKNSA-N 1 2 283.375 3.701 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1C[C@@H](C)[C@H]1c1ccccc1 ZINC000081573971 526168782 /nfs/dbraw/zinc/16/87/82/526168782.db2.gz VLLZZECELOVERA-XUJVJEKNSA-N 1 2 283.375 3.701 20 0 CHADLO Cc1cncc(C[N@@H+]2CC[C@@](F)(c3ccccc3F)C2)c1 ZINC000338584299 526196159 /nfs/dbraw/zinc/19/61/59/526196159.db2.gz ATNADRGTPGVJLN-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1cncc(C[N@H+]2CC[C@@](F)(c3ccccc3F)C2)c1 ZINC000338584299 526196164 /nfs/dbraw/zinc/19/61/64/526196164.db2.gz ATNADRGTPGVJLN-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C1(Cc2ccccc2)CCC1 ZINC000129238404 526236909 /nfs/dbraw/zinc/23/69/09/526236909.db2.gz DPOBJYUCBUAOCA-UHFFFAOYSA-N 1 2 280.371 3.742 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(OC(C)(C)C)cc1 ZINC000079542398 526241661 /nfs/dbraw/zinc/24/16/61/526241661.db2.gz IEFGYRMNAKTFDG-UHFFFAOYSA-N 1 2 284.359 3.820 20 0 CHADLO Fc1cc(F)c(C[N@H+](Cc2cccnc2)C2CC2)cc1F ZINC000290657959 526303979 /nfs/dbraw/zinc/30/39/79/526303979.db2.gz ZKRNTRVVNYQWLC-UHFFFAOYSA-N 1 2 292.304 3.664 20 0 CHADLO Fc1cc(F)c(C[N@@H+](Cc2cccnc2)C2CC2)cc1F ZINC000290657959 526303987 /nfs/dbraw/zinc/30/39/87/526303987.db2.gz ZKRNTRVVNYQWLC-UHFFFAOYSA-N 1 2 292.304 3.664 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2ccccc2F)n1 ZINC000116382508 526320941 /nfs/dbraw/zinc/32/09/41/526320941.db2.gz CMXAMWNAUNFZSF-UHFFFAOYSA-N 1 2 264.369 3.616 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+](C)Cc2ccccc2C(F)(F)F)o1 ZINC000079878042 526392933 /nfs/dbraw/zinc/39/29/33/526392933.db2.gz LDHOLBFYNSBPKO-SECBINFHSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+](C)Cc2ccccc2C(F)(F)F)o1 ZINC000079878042 526392937 /nfs/dbraw/zinc/39/29/37/526392937.db2.gz LDHOLBFYNSBPKO-SECBINFHSA-N 1 2 299.296 3.590 20 0 CHADLO Fc1cccc([C@H]2CCCN2c2cc[nH+]c(C3CC3)n2)c1 ZINC000264890918 526398601 /nfs/dbraw/zinc/39/86/01/526398601.db2.gz TWMOGHYGDJSLPE-OAHLLOKOSA-N 1 2 283.350 3.835 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@H+]2Cc2nccn2-c2ccccc2)c1 ZINC000276724885 526411148 /nfs/dbraw/zinc/41/11/48/526411148.db2.gz GDCLNCXOGIGVMH-QGZVFWFLSA-N 1 2 292.386 3.538 20 0 CHADLO c1c[nH]c([C@H]2CCC[N@@H+]2Cc2nccn2-c2ccccc2)c1 ZINC000276724885 526411151 /nfs/dbraw/zinc/41/11/51/526411151.db2.gz GDCLNCXOGIGVMH-QGZVFWFLSA-N 1 2 292.386 3.538 20 0 CHADLO Cc1csc([C@H](Nc2cc[nH+]c(C3CC3)n2)C2CC2)n1 ZINC000266213798 526439138 /nfs/dbraw/zinc/43/91/38/526439138.db2.gz GRLVEBAZZIJCPC-CYBMUJFWSA-N 1 2 286.404 3.682 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](c1ccccc1F)C1CCCC1 ZINC000088765419 526553887 /nfs/dbraw/zinc/55/38/87/526553887.db2.gz WLNRVIKDLFLZCI-QGZVFWFLSA-N 1 2 287.382 3.878 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1CCCc2sc(Cl)cc21 ZINC000128011735 526555739 /nfs/dbraw/zinc/55/57/39/526555739.db2.gz MJRNCEFZSPHRRA-NSHDSACASA-N 1 2 281.812 3.600 20 0 CHADLO CC(C)(C)Oc1cccc(C[NH+]2Cc3ccccc3C2)n1 ZINC000351684403 526591508 /nfs/dbraw/zinc/59/15/08/526591508.db2.gz HHLBVSACDZCNTN-UHFFFAOYSA-N 1 2 282.387 3.775 20 0 CHADLO c1ncc(C[N@H+](Cc2ccc3ccccc3c2)C2CC2)[nH]1 ZINC000280550615 526594641 /nfs/dbraw/zinc/59/46/41/526594641.db2.gz UHHOYPPDDAWNLN-UHFFFAOYSA-N 1 2 277.371 3.728 20 0 CHADLO c1ncc(C[N@@H+](Cc2ccc3ccccc3c2)C2CC2)[nH]1 ZINC000280550615 526594646 /nfs/dbraw/zinc/59/46/46/526594646.db2.gz UHHOYPPDDAWNLN-UHFFFAOYSA-N 1 2 277.371 3.728 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000293493755 526666604 /nfs/dbraw/zinc/66/66/04/526666604.db2.gz GSVFDNBPJONFCZ-SFHVURJKSA-N 1 2 298.434 3.964 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000293493755 526666610 /nfs/dbraw/zinc/66/66/10/526666610.db2.gz GSVFDNBPJONFCZ-SFHVURJKSA-N 1 2 298.434 3.964 20 0 CHADLO Cc1nocc1C[NH2+][C@@H](C)c1nc(C(C)(C)C)cs1 ZINC000339243554 526695439 /nfs/dbraw/zinc/69/54/39/526695439.db2.gz JCVNTCVCXMDTHG-JTQLQIEISA-N 1 2 279.409 3.588 20 0 CHADLO Cc1nonc1C[N@@H+]1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000265135123 526704057 /nfs/dbraw/zinc/70/40/57/526704057.db2.gz OFVGMQUZKSGVTA-KRWDZBQOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1nonc1C[N@H+]1CCCC[C@H]1c1cc2ccccc2[nH]1 ZINC000265135123 526704061 /nfs/dbraw/zinc/70/40/61/526704061.db2.gz OFVGMQUZKSGVTA-KRWDZBQOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1nc(Cl)ccc1Cl ZINC000061630572 526826295 /nfs/dbraw/zinc/82/62/95/526826295.db2.gz LWDAJKZTQPCXFA-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1nc(Cl)ccc1Cl ZINC000061630572 526826291 /nfs/dbraw/zinc/82/62/91/526826291.db2.gz LWDAJKZTQPCXFA-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(Cl)c(F)c2)oc1C ZINC000127716963 526840215 /nfs/dbraw/zinc/84/02/15/526840215.db2.gz YFMZTLAAJLZFHZ-UHFFFAOYSA-N 1 2 282.746 3.716 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(Cl)c(F)c2)oc1C ZINC000127716963 526840219 /nfs/dbraw/zinc/84/02/19/526840219.db2.gz YFMZTLAAJLZFHZ-UHFFFAOYSA-N 1 2 282.746 3.716 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2)cs1 ZINC000073022528 526843588 /nfs/dbraw/zinc/84/35/88/526843588.db2.gz MRUBDSJRHODWCB-JTQLQIEISA-N 1 2 264.369 3.784 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2ccc(F)cc2)cs1 ZINC000073022528 526843592 /nfs/dbraw/zinc/84/35/92/526843592.db2.gz MRUBDSJRHODWCB-JTQLQIEISA-N 1 2 264.369 3.784 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccccc2Cl)oc1C ZINC000049508684 526890878 /nfs/dbraw/zinc/89/08/78/526890878.db2.gz CDNPIFDNCCWYET-JTQLQIEISA-N 1 2 264.756 3.796 20 0 CHADLO Clc1ccc([C@H]2CCC[N@@H+]2Cc2ccon2)cc1 ZINC000077250480 526963556 /nfs/dbraw/zinc/96/35/56/526963556.db2.gz DDZOGVXGUPWMSR-CQSZACIVSA-N 1 2 262.740 3.665 20 0 CHADLO Clc1ccc([C@H]2CCC[N@H+]2Cc2ccon2)cc1 ZINC000077250480 526963562 /nfs/dbraw/zinc/96/35/62/526963562.db2.gz DDZOGVXGUPWMSR-CQSZACIVSA-N 1 2 262.740 3.665 20 0 CHADLO O=C1CCC(C[N@H+](Cc2ccccc2)CC(F)F)CC1 ZINC000289978449 526964027 /nfs/dbraw/zinc/96/40/27/526964027.db2.gz JNPRRQDZIIIPJF-UHFFFAOYSA-N 1 2 281.346 3.513 20 0 CHADLO O=C1CCC(C[N@@H+](Cc2ccccc2)CC(F)F)CC1 ZINC000289978449 526964033 /nfs/dbraw/zinc/96/40/33/526964033.db2.gz JNPRRQDZIIIPJF-UHFFFAOYSA-N 1 2 281.346 3.513 20 0 CHADLO O=C1CCC(C[N@H+](CC2CC2)c2ccccc2)CC1 ZINC000290124227 526964187 /nfs/dbraw/zinc/96/41/87/526964187.db2.gz UKMYNARWPXGWAV-UHFFFAOYSA-N 1 2 257.377 3.662 20 0 CHADLO O=C1CCC(C[N@@H+](CC2CC2)c2ccccc2)CC1 ZINC000290124227 526964192 /nfs/dbraw/zinc/96/41/92/526964192.db2.gz UKMYNARWPXGWAV-UHFFFAOYSA-N 1 2 257.377 3.662 20 0 CHADLO Clc1csc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)c1 ZINC000289558359 527002516 /nfs/dbraw/zinc/00/25/16/527002516.db2.gz RNZGEAIJPYWWIV-ZDUSSCGKSA-N 1 2 281.812 3.852 20 0 CHADLO Clc1csc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)c1 ZINC000289558359 527002519 /nfs/dbraw/zinc/00/25/19/527002519.db2.gz RNZGEAIJPYWWIV-ZDUSSCGKSA-N 1 2 281.812 3.852 20 0 CHADLO Clc1ncccc1C[N@H+](Cc1cccs1)C1CC1 ZINC000052709545 527010285 /nfs/dbraw/zinc/01/02/85/527010285.db2.gz NWLKXVRJSNSLBJ-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Clc1ncccc1C[N@@H+](Cc1cccs1)C1CC1 ZINC000052709545 527010292 /nfs/dbraw/zinc/01/02/92/527010292.db2.gz NWLKXVRJSNSLBJ-UHFFFAOYSA-N 1 2 278.808 3.961 20 0 CHADLO Cn1c(CCSCc2ccco2)[nH+]c2ccccc21 ZINC000265709017 527051009 /nfs/dbraw/zinc/05/10/09/527051009.db2.gz NBXWHNUKXOXVMX-UHFFFAOYSA-N 1 2 272.373 3.642 20 0 CHADLO Cc1nc([C@H](C)[N@H+](C)Cc2cccc3ccccc32)no1 ZINC000278543755 527057395 /nfs/dbraw/zinc/05/73/95/527057395.db2.gz GPLUXPCVEVHRIC-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nc([C@H](C)[N@@H+](C)Cc2cccc3ccccc32)no1 ZINC000278543755 527057399 /nfs/dbraw/zinc/05/73/99/527057399.db2.gz GPLUXPCVEVHRIC-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](c2ccc(F)cc2)C2CCC2)no1 ZINC000278743565 527066347 /nfs/dbraw/zinc/06/63/47/527066347.db2.gz WOWGDZWTGOJIRU-ZUZCIYMTSA-N 1 2 289.354 3.709 20 0 CHADLO Cc1nc2ccc(Nc3[nH+]cnc4[nH]ccc43)cc2s1 ZINC000339243078 527149163 /nfs/dbraw/zinc/14/91/63/527149163.db2.gz VMQOXWVUWQXVJZ-UHFFFAOYSA-N 1 2 281.344 3.620 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1ccc(Cl)cn1 ZINC000364858417 527404460 /nfs/dbraw/zinc/40/44/60/527404460.db2.gz GBYNGUDAFOUFAO-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1ccc(Cl)cn1 ZINC000364858417 527404466 /nfs/dbraw/zinc/40/44/66/527404466.db2.gz GBYNGUDAFOUFAO-INIZCTEOSA-N 1 2 289.810 3.801 20 0 CHADLO Cc1ncsc1C[N@@H+](C)[C@@H](C)c1ccc(F)cc1F ZINC000066565164 527499765 /nfs/dbraw/zinc/49/97/65/527499765.db2.gz NRYIHSCRIQLRRJ-JTQLQIEISA-N 1 2 282.359 3.923 20 0 CHADLO Cc1ncsc1C[N@H+](C)[C@@H](C)c1ccc(F)cc1F ZINC000066565164 527499768 /nfs/dbraw/zinc/49/97/68/527499768.db2.gz NRYIHSCRIQLRRJ-JTQLQIEISA-N 1 2 282.359 3.923 20 0 CHADLO FC(F)(F)C1CC[NH+](Cc2ccc(Cl)nc2)CC1 ZINC000088420056 527664318 /nfs/dbraw/zinc/66/43/18/527664318.db2.gz KSMXRBKLQWYOPK-UHFFFAOYSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)CC[NH2+][C@@H](c1nccs1)c1ccccc1 ZINC000265839192 527666152 /nfs/dbraw/zinc/66/61/52/527666152.db2.gz NENXLXXZXWKICP-LLVKDONJSA-N 1 2 286.322 3.775 20 0 CHADLO CC1(C)C[C@H](Nc2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000354876987 527749173 /nfs/dbraw/zinc/74/91/73/527749173.db2.gz KRIPOBZGIBCPBP-CYBMUJFWSA-N 1 2 274.339 3.743 20 0 CHADLO CC(C)c1nc(NCc2ccc(Br)o2)cc[nH+]1 ZINC000302479166 528367420 /nfs/dbraw/zinc/36/74/20/528367420.db2.gz FYEMQYCLWRSLAY-UHFFFAOYSA-N 1 2 296.168 3.568 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc([C@H]3C[C@H]3C)o2)s1 ZINC000344627904 528443712 /nfs/dbraw/zinc/44/37/12/528443712.db2.gz GVJKGGXQOSFZPY-PWSUYJOCSA-N 1 2 291.420 3.668 20 0 CHADLO CCOc1ccc(Cl)c(NCc2c[nH+]cn2CC)c1 ZINC000418076783 528469859 /nfs/dbraw/zinc/46/98/59/528469859.db2.gz JXRKFVUVVLLKIX-UHFFFAOYSA-N 1 2 279.771 3.567 20 0 CHADLO CCCCN1CCc2ccc(NCc3c[nH+]cn3CC)cc21 ZINC000417964047 528486379 /nfs/dbraw/zinc/48/63/79/528486379.db2.gz FNVKKAZUEFEQLO-UHFFFAOYSA-N 1 2 298.434 3.678 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CCC[C@@H]2c2ccco2)n1 ZINC000116297602 528510327 /nfs/dbraw/zinc/51/03/27/528510327.db2.gz BIDVFACFTIXRHI-NWDGAFQWSA-N 1 2 275.352 3.684 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CCC[C@@H]2c2ccco2)n1 ZINC000116297602 528510335 /nfs/dbraw/zinc/51/03/35/528510335.db2.gz BIDVFACFTIXRHI-NWDGAFQWSA-N 1 2 275.352 3.684 20 0 CHADLO CCCOc1ccc(C[N@@H+]2CCC=C(F)C2)cc1OCC ZINC000351988831 528662864 /nfs/dbraw/zinc/66/28/64/528662864.db2.gz MNXXQZKMYPGECF-UHFFFAOYSA-N 1 2 293.382 3.933 20 0 CHADLO CCCOc1ccc(C[N@H+]2CCC=C(F)C2)cc1OCC ZINC000351988831 528662868 /nfs/dbraw/zinc/66/28/68/528662868.db2.gz MNXXQZKMYPGECF-UHFFFAOYSA-N 1 2 293.382 3.933 20 0 CHADLO CC1(C)CC[C@H]([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000330204418 529049690 /nfs/dbraw/zinc/04/96/90/529049690.db2.gz BQRVTUOLAPXCHL-KBPBESRZSA-N 1 2 276.428 3.594 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc3c(n2)CCC3)[C@@H]1c1cccnc1 ZINC000334644107 529123814 /nfs/dbraw/zinc/12/38/14/529123814.db2.gz BCWYOHYXSHEPOX-GOSISDBHSA-N 1 2 293.414 3.548 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc3c(n2)CCC3)[C@@H]1c1cccnc1 ZINC000334644107 529123817 /nfs/dbraw/zinc/12/38/17/529123817.db2.gz BCWYOHYXSHEPOX-GOSISDBHSA-N 1 2 293.414 3.548 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)o2)[C@@H]1c1ccncc1 ZINC000353697669 529124277 /nfs/dbraw/zinc/12/42/77/529124277.db2.gz IHMBKETTZYVGSD-CQSZACIVSA-N 1 2 276.767 3.911 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)o2)[C@@H]1c1ccncc1 ZINC000353697669 529124278 /nfs/dbraw/zinc/12/42/78/529124278.db2.gz IHMBKETTZYVGSD-CQSZACIVSA-N 1 2 276.767 3.911 20 0 CHADLO CC[C@H](C)c1noc(CCc2[nH]c3c(cccc3C)[nH+]2)n1 ZINC000355888089 529283153 /nfs/dbraw/zinc/28/31/53/529283153.db2.gz KFXHWYCQNJWCGB-JTQLQIEISA-N 1 2 284.363 3.553 20 0 CHADLO CC[C@H](C)c1noc(CCc2[nH]c3cccc(C)c3[nH+]2)n1 ZINC000355888089 529283155 /nfs/dbraw/zinc/28/31/55/529283155.db2.gz KFXHWYCQNJWCGB-JTQLQIEISA-N 1 2 284.363 3.553 20 0 CHADLO CC[C@@H]1CCCN(C(=O)Nc2c(C)cc(C)[nH+]c2C)C1 ZINC000336144807 529295542 /nfs/dbraw/zinc/29/55/42/529295542.db2.gz URKATEBEMBRNQD-CQSZACIVSA-N 1 2 275.396 3.661 20 0 CHADLO CC[C@H]1C[N@H+](Cc2cscc2C(F)(F)F)C[C@H](C)O1 ZINC000356060619 529298489 /nfs/dbraw/zinc/29/84/89/529298489.db2.gz RHEXDRPOBRRXIH-ONGXEEELSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2cscc2C(F)(F)F)C[C@H](C)O1 ZINC000356060619 529298492 /nfs/dbraw/zinc/29/84/92/529298492.db2.gz RHEXDRPOBRRXIH-ONGXEEELSA-N 1 2 293.354 3.766 20 0 CHADLO COC[C@@H]1CCCCN(c2[nH+]ccc3ccc(F)cc32)C1 ZINC000341980434 536744703 /nfs/dbraw/zinc/74/47/03/536744703.db2.gz HHGIDIPWGBAVDK-CYBMUJFWSA-N 1 2 288.366 3.627 20 0 CHADLO c1cn(Cc2ccc(-c3cccc4cccnc43)nc2)c[nH+]1 ZINC000065395563 567898906 /nfs/dbraw/zinc/89/89/06/567898906.db2.gz LGRYQIGEHYTXJN-UHFFFAOYSA-N 1 2 286.338 3.542 20 0 CHADLO CCOC1CC[NH+](Cc2c(F)ccc(Cl)c2F)CC1 ZINC001137881659 1131428923 /nfs/dbraw/zinc/42/89/23/1131428923.db2.gz ZCGZHVZEIWMYMI-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1ccco1)c1nnc2ccccn21 ZINC000129274169 1125473542 /nfs/dbraw/zinc/47/35/42/1125473542.db2.gz ADMWVLIEGJTQRR-CHWSQXEVSA-N 1 2 284.363 3.514 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nc(C)cs1)c1c(C)noc1C ZINC000623925841 1117957645 /nfs/dbraw/zinc/95/76/45/1117957645.db2.gz QUNDKBNYJRLYML-PWSUYJOCSA-N 1 2 279.409 3.858 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc(OC)c(OC)c1C ZINC000624149119 1117990265 /nfs/dbraw/zinc/99/02/65/1117990265.db2.gz WYZQEIHMMMUOQA-LLVKDONJSA-N 1 2 287.350 3.708 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)c(Cl)c(F)c1 ZINC001210841518 1118405483 /nfs/dbraw/zinc/40/54/83/1118405483.db2.gz QZVSIVUQFSKEHT-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000112517231 1125486708 /nfs/dbraw/zinc/48/67/08/1125486708.db2.gz FSNZKVBCBWUJOO-NEPJUHHUSA-N 1 2 285.391 3.669 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc2ccc(C)cc2[nH]1)C(F)F ZINC000657476735 1119120460 /nfs/dbraw/zinc/12/04/60/1119120460.db2.gz LBROZLPWBKYAJR-GFCCVEGCSA-N 1 2 252.308 3.610 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@H]2CCC(F)(F)C2)c1 ZINC000343065441 1119296185 /nfs/dbraw/zinc/29/61/85/1119296185.db2.gz LGRIQRDJJBRCFT-QMMMGPOBSA-N 1 2 280.240 3.948 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1cc(C)on1)c1ccccn1 ZINC000685646845 1119643838 /nfs/dbraw/zinc/64/38/38/1119643838.db2.gz SUKLZMHSLPBRHZ-OCCSQVGLSA-N 1 2 259.353 3.570 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2cc(C)cc(C)c2)n1 ZINC000114752220 1125499102 /nfs/dbraw/zinc/49/91/02/1125499102.db2.gz YLEROTUOCHCPKZ-STQMWFEESA-N 1 2 273.380 3.661 20 0 CHADLO CCCC[C@@H](NC(=O)Nc1cc(C)[nH+]cc1C)C1CCC1 ZINC000536619702 1125502483 /nfs/dbraw/zinc/50/24/83/1125502483.db2.gz YYYLBLUWJZRSIT-OAHLLOKOSA-N 1 2 289.423 3.601 20 0 CHADLO CC[N@H+](Cc1coc(C)n1)[C@H](C)c1ccc(F)cc1 ZINC000659852411 1120193247 /nfs/dbraw/zinc/19/32/47/1120193247.db2.gz LRGSEWQKBFTNOL-LLVKDONJSA-N 1 2 262.328 3.705 20 0 CHADLO CC[N@@H+](Cc1coc(C)n1)[C@H](C)c1ccc(F)cc1 ZINC000659852411 1120193250 /nfs/dbraw/zinc/19/32/50/1120193250.db2.gz LRGSEWQKBFTNOL-LLVKDONJSA-N 1 2 262.328 3.705 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H]2CCCC2(F)F)c(C)n1 ZINC000672621445 1120335297 /nfs/dbraw/zinc/33/52/97/1120335297.db2.gz XSAUUFIRJNPXNV-YPMHNXCESA-N 1 2 254.324 3.537 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(F)c(SC)c(F)c1 ZINC000418001699 1120502680 /nfs/dbraw/zinc/50/26/80/1120502680.db2.gz LGWISFOOQBOGPR-UHFFFAOYSA-N 1 2 283.347 3.515 20 0 CHADLO FC(F)(F)c1ccc(CNc2cccc[nH+]2)s1 ZINC000428861005 1120541089 /nfs/dbraw/zinc/54/10/89/1120541089.db2.gz NXEFAIBVEPANOV-UHFFFAOYSA-N 1 2 258.268 3.774 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@H+](C[C@@H]1CCCO1)CC2 ZINC000661372873 1120758697 /nfs/dbraw/zinc/75/86/97/1120758697.db2.gz FAKDMBXZFFSYEV-NSHDSACASA-N 1 2 286.202 3.531 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+](Cc1csnn1)C(C)C ZINC000662108153 1120878289 /nfs/dbraw/zinc/87/82/89/1120878289.db2.gz WZCJHJSPKABSCA-HNNXBMFYSA-N 1 2 275.421 3.900 20 0 CHADLO CC[C@@H](c1ccccc1)[N@@H+](Cc1csnn1)C(C)C ZINC000662108153 1120878293 /nfs/dbraw/zinc/87/82/93/1120878293.db2.gz WZCJHJSPKABSCA-HNNXBMFYSA-N 1 2 275.421 3.900 20 0 CHADLO CCCCc1nc(C[N@H+](C)C2CCC(CC)CC2)no1 ZINC000512494155 1121401367 /nfs/dbraw/zinc/40/13/67/1121401367.db2.gz NEOGYJMIJYVVJR-UHFFFAOYSA-N 1 2 279.428 3.813 20 0 CHADLO CCCCc1nc(C[N@@H+](C)C2CCC(CC)CC2)no1 ZINC000512494155 1121401373 /nfs/dbraw/zinc/40/13/73/1121401373.db2.gz NEOGYJMIJYVVJR-UHFFFAOYSA-N 1 2 279.428 3.813 20 0 CHADLO CO[C@@]1(C(F)(F)F)CC[N@H+](Cc2sccc2Cl)C1 ZINC001175724705 1121440472 /nfs/dbraw/zinc/44/04/72/1121440472.db2.gz MYPZBAYTVREIRN-JTQLQIEISA-N 1 2 299.745 3.555 20 0 CHADLO CO[C@@]1(C(F)(F)F)CC[N@@H+](Cc2sccc2Cl)C1 ZINC001175724705 1121440477 /nfs/dbraw/zinc/44/04/77/1121440477.db2.gz MYPZBAYTVREIRN-JTQLQIEISA-N 1 2 299.745 3.555 20 0 CHADLO CCc1nc(C[N@H+](C)[C@@H](C)c2ccccc2)cs1 ZINC000073686499 1121483132 /nfs/dbraw/zinc/48/31/32/1121483132.db2.gz UDZVMTPISIVGLJ-LBPRGKRZSA-N 1 2 260.406 3.899 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@@H](C)c2ccccc2)cs1 ZINC000073686499 1121483135 /nfs/dbraw/zinc/48/31/35/1121483135.db2.gz UDZVMTPISIVGLJ-LBPRGKRZSA-N 1 2 260.406 3.899 20 0 CHADLO CC[N@H+](C[C@H]1CCO[C@@H](C)C1)c1cc(C)ccc1C ZINC001191635306 1122607819 /nfs/dbraw/zinc/60/78/19/1122607819.db2.gz GXTCHIQYOUECSE-HOTGVXAUSA-N 1 2 261.409 3.945 20 0 CHADLO CC[N@@H+](C[C@H]1CCO[C@@H](C)C1)c1cc(C)ccc1C ZINC001191635306 1122607822 /nfs/dbraw/zinc/60/78/22/1122607822.db2.gz GXTCHIQYOUECSE-HOTGVXAUSA-N 1 2 261.409 3.945 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CCC[N@@H+]1Cc1cccnc1Cl ZINC000775867814 1122997121 /nfs/dbraw/zinc/99/71/21/1122997121.db2.gz SREIBSSBXALHFE-ONGXEEELSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CCC[N@H+]1Cc1cccnc1Cl ZINC000775867814 1122997123 /nfs/dbraw/zinc/99/71/23/1122997123.db2.gz SREIBSSBXALHFE-ONGXEEELSA-N 1 2 292.732 3.898 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)CCC(F)(F)F ZINC001202356030 1123201175 /nfs/dbraw/zinc/20/11/75/1123201175.db2.gz IQODYJVXTNNJNL-CYBMUJFWSA-N 1 2 287.325 3.922 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)CCC(F)(F)F ZINC001202356030 1123201181 /nfs/dbraw/zinc/20/11/81/1123201181.db2.gz IQODYJVXTNNJNL-CYBMUJFWSA-N 1 2 287.325 3.922 20 0 CHADLO C[C@@H](CSCCF)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000850458774 1123270435 /nfs/dbraw/zinc/27/04/35/1123270435.db2.gz PKTICCIWLXEPRE-LBPRGKRZSA-N 1 2 282.428 3.572 20 0 CHADLO C[C@@H](CSCCF)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000850458774 1123270438 /nfs/dbraw/zinc/27/04/38/1123270438.db2.gz PKTICCIWLXEPRE-LBPRGKRZSA-N 1 2 282.428 3.572 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)nc(Cl)c1)c1cscn1 ZINC000852418517 1123404136 /nfs/dbraw/zinc/40/41/36/1123404136.db2.gz XDAZXDNPZPWLAH-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO CC(C)c1ccc(C[NH2+][C@@H](C)c2csnn2)cc1 ZINC000398457785 1123405593 /nfs/dbraw/zinc/40/55/93/1123405593.db2.gz HTDCZQKOHPGAET-NSHDSACASA-N 1 2 261.394 3.512 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(C)cc1F)c1nccs1 ZINC000631075472 1123428949 /nfs/dbraw/zinc/42/89/49/1123428949.db2.gz DLWFZWLUPJMLJQ-CYBMUJFWSA-N 1 2 264.369 3.832 20 0 CHADLO CCC[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000444700195 1124055506 /nfs/dbraw/zinc/05/55/06/1124055506.db2.gz FLSXEAPWSLTRNB-FZMZJTMJSA-N 1 2 253.773 3.512 20 0 CHADLO CCC[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000444700195 1124055511 /nfs/dbraw/zinc/05/55/11/1124055511.db2.gz FLSXEAPWSLTRNB-FZMZJTMJSA-N 1 2 253.773 3.512 20 0 CHADLO Clc1cccnc1C[N@@H+]1CC[C@@H]1c1ccccc1 ZINC000528393763 1124652952 /nfs/dbraw/zinc/65/29/52/1124652952.db2.gz GIBPBAVBBVTBGD-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1cccnc1C[N@H+]1CC[C@@H]1c1ccccc1 ZINC000528393763 1124652954 /nfs/dbraw/zinc/65/29/54/1124652954.db2.gz GIBPBAVBBVTBGD-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Cc1c[nH+]c(CNc2cc(C)c(C)cc2Cl)n1C ZINC000449411326 1124730322 /nfs/dbraw/zinc/73/03/22/1124730322.db2.gz HQGMDORHFVDQLP-UHFFFAOYSA-N 1 2 263.772 3.611 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@H+](Cc2ccnc(Cl)c2F)C1 ZINC000877812795 1125085800 /nfs/dbraw/zinc/08/58/00/1125085800.db2.gz SRHWVOZRZDTPIL-LLVKDONJSA-N 1 2 296.695 3.648 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@@H+](Cc2ccnc(Cl)c2F)C1 ZINC000877812795 1125085807 /nfs/dbraw/zinc/08/58/07/1125085807.db2.gz SRHWVOZRZDTPIL-LLVKDONJSA-N 1 2 296.695 3.648 20 0 CHADLO Cc1ncc(C[N@@H+]2CCc3cc(Cl)ccc3C2)s1 ZINC000191612072 1125325792 /nfs/dbraw/zinc/32/57/92/1125325792.db2.gz WTPQRCBNQJXXHQ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ncc(C[N@H+]2CCc3cc(Cl)ccc3C2)s1 ZINC000191612072 1125325795 /nfs/dbraw/zinc/32/57/95/1125325795.db2.gz WTPQRCBNQJXXHQ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2c(F)c(F)cc(F)c2F)[C@H]1C ZINC001138234294 1131480479 /nfs/dbraw/zinc/48/04/79/1131480479.db2.gz MJFFTGAHXZSCDQ-BDAKNGLRSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)[C@H]1C ZINC001138234294 1131480480 /nfs/dbraw/zinc/48/04/80/1131480480.db2.gz MJFFTGAHXZSCDQ-BDAKNGLRSA-N 1 2 275.289 3.863 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1cc(C)sc1C ZINC001138570385 1131522558 /nfs/dbraw/zinc/52/25/58/1131522558.db2.gz HFIRTEHEJAZRPF-UHFFFAOYSA-N 1 2 283.437 3.529 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1cc(C)sc1C ZINC001138570385 1131522564 /nfs/dbraw/zinc/52/25/64/1131522564.db2.gz HFIRTEHEJAZRPF-UHFFFAOYSA-N 1 2 283.437 3.529 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)c(C)s1 ZINC001138567191 1131522817 /nfs/dbraw/zinc/52/28/17/1131522817.db2.gz TWKZBHBOFHVFJL-NSHDSACASA-N 1 2 263.328 3.544 20 0 CHADLO Cc1cc(C[N@H+]2CCC(F)(F)[C@@H](F)C2)c(C)s1 ZINC001138567191 1131522820 /nfs/dbraw/zinc/52/28/20/1131522820.db2.gz TWKZBHBOFHVFJL-NSHDSACASA-N 1 2 263.328 3.544 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(F)cc(Cl)cc2F)[C@@H](C)C1 ZINC001139051824 1131575652 /nfs/dbraw/zinc/57/56/52/1131575652.db2.gz AMFVHCVATSAIHB-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(F)cc(Cl)cc2F)[C@@H](C)C1 ZINC001139051824 1131575657 /nfs/dbraw/zinc/57/56/57/1131575657.db2.gz AMFVHCVATSAIHB-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO FC1(F)C[C@H]1[NH2+][C@@H]1CCc2cc(Cl)c(Cl)cc2C1 ZINC001171139485 1131584456 /nfs/dbraw/zinc/58/44/56/1131584456.db2.gz MVOXMULOQGWCLR-BXKDBHETSA-N 1 2 292.156 3.848 20 0 CHADLO Cc1ccc(Cl)nc1C[N@H+](C)Cc1ccccc1F ZINC001140447644 1131742547 /nfs/dbraw/zinc/74/25/47/1131742547.db2.gz GRTVVVTZOMPAEI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(Cl)nc1C[N@@H+](C)Cc1ccccc1F ZINC001140447644 1131742552 /nfs/dbraw/zinc/74/25/52/1131742552.db2.gz GRTVVVTZOMPAEI-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO FCC1CC[NH+](Cc2cc(F)c(Cl)cc2F)CC1 ZINC001140701268 1131881625 /nfs/dbraw/zinc/88/16/25/1131881625.db2.gz GCUQDCJTXJPFQM-UHFFFAOYSA-N 1 2 277.717 3.800 20 0 CHADLO Brc1ccc([C@H]2C[C@H]2Nc2cccc[nH+]2)s1 ZINC000348109588 1131889647 /nfs/dbraw/zinc/88/96/47/1131889647.db2.gz WVRPSUKSSYXISK-DTWKUNHWSA-N 1 2 295.205 3.874 20 0 CHADLO Oc1cc(Cl)cc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC001140794484 1131922638 /nfs/dbraw/zinc/92/26/38/1131922638.db2.gz MGKZBVKWMSPGCY-SNVBAGLBSA-N 1 2 293.716 3.820 20 0 CHADLO Oc1cc(Cl)cc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC001140794484 1131922645 /nfs/dbraw/zinc/92/26/45/1131922645.db2.gz MGKZBVKWMSPGCY-SNVBAGLBSA-N 1 2 293.716 3.820 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnccc2C(F)(F)F)CCC1(F)F ZINC001140914983 1131961821 /nfs/dbraw/zinc/96/18/21/1131961821.db2.gz CECCICFTEVDWCY-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO Cc1ccc(C[NH+]2CC3(C2)CCOC3)c(Cl)c1Cl ZINC001231662102 1132340290 /nfs/dbraw/zinc/34/02/90/1132340290.db2.gz ALUKPKKYKOLALI-UHFFFAOYSA-N 1 2 286.202 3.524 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)c(F)c1F)C1CC(F)(F)C1 ZINC001233207482 1132581137 /nfs/dbraw/zinc/58/11/37/1132581137.db2.gz MACSPSSVGBSBDF-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(O)cccc2Br)c1 ZINC001203663303 1133351240 /nfs/dbraw/zinc/35/12/40/1133351240.db2.gz MXSCGKORJPQFCF-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc(Cl)cc2)C[C@@H]1F ZINC001203919238 1133384077 /nfs/dbraw/zinc/38/40/77/1133384077.db2.gz RSPAYDBJLAZRCP-QWHCGFSZSA-N 1 2 259.727 3.612 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc(Cl)cc2)C[C@@H]1F ZINC001203919238 1133384083 /nfs/dbraw/zinc/38/40/83/1133384083.db2.gz RSPAYDBJLAZRCP-QWHCGFSZSA-N 1 2 259.727 3.612 20 0 CHADLO CNc1cc(-c2c(C)cc(COC)cc2C)cc[nH+]1 ZINC001206339745 1133691272 /nfs/dbraw/zinc/69/12/72/1133691272.db2.gz YOJMYROCOFDPOY-UHFFFAOYSA-N 1 2 256.349 3.554 20 0 CHADLO C[C@@H]1C[N@H+](CCc2ccc(Cl)cc2Cl)[C@H](C)CO1 ZINC001209082710 1133974431 /nfs/dbraw/zinc/97/44/31/1133974431.db2.gz BNMHRNISQAOJLM-GHMZBOCLSA-N 1 2 288.218 3.645 20 0 CHADLO C[C@@H]1C[N@@H+](CCc2ccc(Cl)cc2Cl)[C@H](C)CO1 ZINC001209082710 1133974435 /nfs/dbraw/zinc/97/44/35/1133974435.db2.gz BNMHRNISQAOJLM-GHMZBOCLSA-N 1 2 288.218 3.645 20 0 CHADLO Fc1ccc(F)c(C[NH2+]C2(c3nccs3)CCCC2)c1 ZINC000116374114 1125508467 /nfs/dbraw/zinc/50/84/67/1125508467.db2.gz RTKFAYOGCMVWHP-UHFFFAOYSA-N 1 2 294.370 3.980 20 0 CHADLO CC(C)c1csc(C[NH2+][C@H](C)c2cc(O)cc(F)c2)n1 ZINC000925282296 1125510987 /nfs/dbraw/zinc/51/09/87/1125510987.db2.gz HYGXOQWPBGDHND-SNVBAGLBSA-N 1 2 294.395 3.962 20 0 CHADLO Cc1ccc(C)c(C(=O)Nc2ccccc2-n2cc[nH+]c2)c1 ZINC000052909692 1125519938 /nfs/dbraw/zinc/51/99/38/1125519938.db2.gz FFUPMBNMMBAXPV-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2ccc(OC(F)F)cc2)n1 ZINC000118405201 1125523081 /nfs/dbraw/zinc/52/30/81/1125523081.db2.gz MCDAUMJYTIAFRP-UHFFFAOYSA-N 1 2 298.358 3.685 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2ccc(OC(F)F)cc2)n1 ZINC000118405201 1125523088 /nfs/dbraw/zinc/52/30/88/1125523088.db2.gz MCDAUMJYTIAFRP-UHFFFAOYSA-N 1 2 298.358 3.685 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCC[C@@H](c3ccn[nH]3)C2)o1 ZINC000245547972 1125579140 /nfs/dbraw/zinc/57/91/40/1125579140.db2.gz XCOXPCQTGQFOEQ-NFAWXSAZSA-N 1 2 285.391 3.506 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)o1 ZINC000245547972 1125579144 /nfs/dbraw/zinc/57/91/44/1125579144.db2.gz XCOXPCQTGQFOEQ-NFAWXSAZSA-N 1 2 285.391 3.506 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@H+](Cc1ccc[nH]1)C2 ZINC001204011433 1125590057 /nfs/dbraw/zinc/59/00/57/1125590057.db2.gz RJMGVMQKFXIFQA-UHFFFAOYSA-N 1 2 280.293 3.592 20 0 CHADLO FC(F)(F)c1cccc2c1CC[N@@H+](Cc1ccc[nH]1)C2 ZINC001204011433 1125590061 /nfs/dbraw/zinc/59/00/61/1125590061.db2.gz RJMGVMQKFXIFQA-UHFFFAOYSA-N 1 2 280.293 3.592 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@H+](Cc1cc(Cl)ccc1SC)C2 ZINC001238677972 1131230996 /nfs/dbraw/zinc/23/09/96/1131230996.db2.gz RPVOWDSRPGWFBH-ZFWWWQNUSA-N 1 2 297.851 3.815 20 0 CHADLO CC[C@@]12C[C@@H](CO1)[N@@H+](Cc1cc(Cl)ccc1SC)C2 ZINC001238677972 1131230999 /nfs/dbraw/zinc/23/09/99/1131230999.db2.gz RPVOWDSRPGWFBH-ZFWWWQNUSA-N 1 2 297.851 3.815 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000533531130 1125613323 /nfs/dbraw/zinc/61/33/23/1125613323.db2.gz MNWXFSCTBLGTSY-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CCc2ccc(O)cc2C1 ZINC000533531130 1125613328 /nfs/dbraw/zinc/61/33/28/1125613328.db2.gz MNWXFSCTBLGTSY-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO Cc1ccc(Oc2ccccc2C[NH2+]Cc2ncc[nH]2)cc1 ZINC000066594251 1125624805 /nfs/dbraw/zinc/62/48/05/1125624805.db2.gz RGLGQNCENQSFSI-UHFFFAOYSA-N 1 2 293.370 3.800 20 0 CHADLO Cc1cccc(C)c1NC(=O)C[N@@H+](C)[C@@H](C)c1ccco1 ZINC000069069776 1125628871 /nfs/dbraw/zinc/62/88/71/1125628871.db2.gz WGCFYYFKBNCZAV-AWEZNQCLSA-N 1 2 286.375 3.528 20 0 CHADLO Cc1cccc(C)c1NC(=O)C[N@H+](C)[C@@H](C)c1ccco1 ZINC000069069776 1125628875 /nfs/dbraw/zinc/62/88/75/1125628875.db2.gz WGCFYYFKBNCZAV-AWEZNQCLSA-N 1 2 286.375 3.528 20 0 CHADLO CN(Cc1ccc(-n2cc[nH+]c2)cc1)c1ccccc1 ZINC000071807535 1125633479 /nfs/dbraw/zinc/63/34/79/1125633479.db2.gz DUQRFQVJKYZBNR-UHFFFAOYSA-N 1 2 263.344 3.509 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1F ZINC000072058472 1125633948 /nfs/dbraw/zinc/63/39/48/1125633948.db2.gz OILGVWJSSPYYQI-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2cc(C)co2)on1 ZINC000885999737 1125634590 /nfs/dbraw/zinc/63/45/90/1125634590.db2.gz MEXJSLYDINUGIP-UHFFFAOYSA-N 1 2 262.353 3.769 20 0 CHADLO Cc1coc(C[NH2+][C@H]2c3ccccc3CC[C@@H]2F)c1 ZINC000886187759 1125660064 /nfs/dbraw/zinc/66/00/64/1125660064.db2.gz BEPQKWQMRJAJKB-HOTGVXAUSA-N 1 2 259.324 3.703 20 0 CHADLO Clc1cscc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000886271115 1125663755 /nfs/dbraw/zinc/66/37/55/1125663755.db2.gz XQAVGUHSPWGAOE-UHFFFAOYSA-N 1 2 268.769 3.557 20 0 CHADLO CCc1cccc(Nc2cc3ccccc3n2C)[nH+]1 ZINC001212044731 1125665922 /nfs/dbraw/zinc/66/59/22/1125665922.db2.gz SUOGOKSPZFQMHV-UHFFFAOYSA-N 1 2 251.333 3.879 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CC[C@@H]2CC)c1 ZINC000348377298 1125667121 /nfs/dbraw/zinc/66/71/21/1125667121.db2.gz MHJGVGPQXWHBFY-QWHCGFSZSA-N 1 2 250.411 3.935 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348375935 1125668899 /nfs/dbraw/zinc/66/88/99/1125668899.db2.gz HWERZOIWPJGICB-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1cc(-c2ccccc2)on1 ZINC000348375935 1125668902 /nfs/dbraw/zinc/66/89/02/1125668902.db2.gz HWERZOIWPJGICB-CQSZACIVSA-N 1 2 293.370 3.930 20 0 CHADLO CC(C)CC(=O)CC[N@H+]1C[C@@H](c2ccsc2)O[C@@H](C)C1 ZINC000828003258 1125679215 /nfs/dbraw/zinc/67/92/15/1125679215.db2.gz HEZJCCCQORLGSC-BBRMVZONSA-N 1 2 295.448 3.515 20 0 CHADLO CC(C)CC(=O)CC[N@@H+]1C[C@@H](c2ccsc2)O[C@@H](C)C1 ZINC000828003258 1125679218 /nfs/dbraw/zinc/67/92/18/1125679218.db2.gz HEZJCCCQORLGSC-BBRMVZONSA-N 1 2 295.448 3.515 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1cn2ccccc2n1 ZINC000076720986 1125684549 /nfs/dbraw/zinc/68/45/49/1125684549.db2.gz MDYFGAGULRPLRN-ZDUSSCGKSA-N 1 2 283.350 3.666 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1cn2ccccc2n1 ZINC000076720986 1125684553 /nfs/dbraw/zinc/68/45/53/1125684553.db2.gz MDYFGAGULRPLRN-ZDUSSCGKSA-N 1 2 283.350 3.666 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)c1 ZINC000393315006 1125685667 /nfs/dbraw/zinc/68/56/67/1125685667.db2.gz VSMBRFSWRHDRFF-GFCCVEGCSA-N 1 2 277.799 3.541 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ccn(Cc3ccccc3)n2)o1 ZINC000284489936 1125697587 /nfs/dbraw/zinc/69/75/87/1125697587.db2.gz WZMURFFWEJOWRA-OAHLLOKOSA-N 1 2 295.386 3.684 20 0 CHADLO CC(C)C[C@H](c1nc(-c2cccs2)no1)n1cc[nH+]c1 ZINC000923751830 1125698868 /nfs/dbraw/zinc/69/88/68/1125698868.db2.gz RCIJPAWZUPMEHQ-LLVKDONJSA-N 1 2 288.376 3.630 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+][C@@H]1CCc2cccnc21 ZINC000886651667 1125703452 /nfs/dbraw/zinc/70/34/52/1125703452.db2.gz NVXPLTTTWYAJQA-NUJGCVRESA-N 1 2 282.362 3.684 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2cc(C3CC3)no2)c(F)c1 ZINC000886682516 1125707634 /nfs/dbraw/zinc/70/76/34/1125707634.db2.gz JKJUIZOCWSHIMJ-JTQLQIEISA-N 1 2 290.338 3.551 20 0 CHADLO CC(=O)Oc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001212075430 1125711227 /nfs/dbraw/zinc/71/12/27/1125711227.db2.gz CAWVBDZHPFZVIP-UHFFFAOYSA-N 1 2 293.326 3.541 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCc4ccccc4C3)oc2c1 ZINC000161958215 1125717703 /nfs/dbraw/zinc/71/77/03/1125717703.db2.gz DQTBFRAXWMLNMK-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCc4ccccc4C3)oc2c1 ZINC000161958215 1125717709 /nfs/dbraw/zinc/71/77/09/1125717709.db2.gz DQTBFRAXWMLNMK-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO CC(C)c1noc([C@H](C)[NH2+][C@@H]2CCc3c2cccc3F)n1 ZINC000886925409 1125726562 /nfs/dbraw/zinc/72/65/62/1125726562.db2.gz WETGIYLGXFZVSH-IINYFYTJSA-N 1 2 289.354 3.670 20 0 CHADLO CCCCC[C@H](O)CNc1ccc2ccc[nH+]c2c1 ZINC001253543458 1125728739 /nfs/dbraw/zinc/72/87/39/1125728739.db2.gz DBCYNRACQAWDEJ-HNNXBMFYSA-N 1 2 258.365 3.588 20 0 CHADLO Fc1cccc2c1CCC[C@H]2[NH2+]Cc1n[nH]c2ccccc21 ZINC000648606035 1125770391 /nfs/dbraw/zinc/77/03/91/1125770391.db2.gz RDJBRYVHTRNPRK-MRXNPFEDSA-N 1 2 295.361 3.869 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2cnc[nH]2)o1 ZINC000093871155 1125773822 /nfs/dbraw/zinc/77/38/22/1125773822.db2.gz KBIOZOSZTSRWKS-IUODEOHRSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2cnc[nH]2)o1 ZINC000093871155 1125773829 /nfs/dbraw/zinc/77/38/29/1125773829.db2.gz KBIOZOSZTSRWKS-IUODEOHRSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2c[nH]cn2)o1 ZINC000093871155 1125773835 /nfs/dbraw/zinc/77/38/35/1125773835.db2.gz KBIOZOSZTSRWKS-IUODEOHRSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2c[nH]cn2)o1 ZINC000093871155 1125773842 /nfs/dbraw/zinc/77/38/42/1125773842.db2.gz KBIOZOSZTSRWKS-IUODEOHRSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2cccc(C(F)(F)F)c2)o1 ZINC000192502747 1125773867 /nfs/dbraw/zinc/77/38/67/1125773867.db2.gz WWOFAMWKBVMYPQ-VIFPVBQESA-N 1 2 299.296 3.502 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2cnc[nH]2)o1 ZINC000093871156 1125774453 /nfs/dbraw/zinc/77/44/53/1125774453.db2.gz KBIOZOSZTSRWKS-WFASDCNBSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2cnc[nH]2)o1 ZINC000093871156 1125774459 /nfs/dbraw/zinc/77/44/59/1125774459.db2.gz KBIOZOSZTSRWKS-WFASDCNBSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2c[nH]cn2)o1 ZINC000093871156 1125774464 /nfs/dbraw/zinc/77/44/64/1125774464.db2.gz KBIOZOSZTSRWKS-WFASDCNBSA-N 1 2 273.380 3.538 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2c[nH]cn2)o1 ZINC000093871156 1125774470 /nfs/dbraw/zinc/77/44/70/1125774470.db2.gz KBIOZOSZTSRWKS-WFASDCNBSA-N 1 2 273.380 3.538 20 0 CHADLO Cc1ncc([C@H](C)[N@@H+]2CC[C@H]2c2cccc(F)c2)c(C)n1 ZINC000638128190 1129245081 /nfs/dbraw/zinc/24/50/81/1129245081.db2.gz PYDJTTSEGWHCAM-SJCJKPOMSA-N 1 2 285.366 3.741 20 0 CHADLO Cc1ncc([C@H](C)[N@H+]2CC[C@H]2c2cccc(F)c2)c(C)n1 ZINC000638128190 1129245084 /nfs/dbraw/zinc/24/50/84/1129245084.db2.gz PYDJTTSEGWHCAM-SJCJKPOMSA-N 1 2 285.366 3.741 20 0 CHADLO CCCc1ccc(C[N@H+](C)[C@H](C)c2nc(C)no2)s1 ZINC000621732133 1129187485 /nfs/dbraw/zinc/18/74/85/1129187485.db2.gz PHDLCTQQSCRIFL-SNVBAGLBSA-N 1 2 279.409 3.585 20 0 CHADLO CCCc1ccc(C[N@@H+](C)[C@H](C)c2nc(C)no2)s1 ZINC000621732133 1129187486 /nfs/dbraw/zinc/18/74/86/1129187486.db2.gz PHDLCTQQSCRIFL-SNVBAGLBSA-N 1 2 279.409 3.585 20 0 CHADLO Cc1ccc(Cl)c(NC(=O)[C@@H]2CC[N@H+]2C2CCCC2)c1 ZINC000635691108 1129188861 /nfs/dbraw/zinc/18/88/61/1129188861.db2.gz YODVYPIEUZEEQJ-HNNXBMFYSA-N 1 2 292.810 3.604 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1coc(C)n1 ZINC000647958842 1129589281 /nfs/dbraw/zinc/58/92/81/1129589281.db2.gz GYNHLRZTDUYHCR-ZDUSSCGKSA-N 1 2 262.378 3.554 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1coc(C)n1 ZINC000647958842 1129589283 /nfs/dbraw/zinc/58/92/83/1129589283.db2.gz GYNHLRZTDUYHCR-ZDUSSCGKSA-N 1 2 262.378 3.554 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2cc(C)cc(Cl)n2)c(C)s1 ZINC000800493310 1129879083 /nfs/dbraw/zinc/87/90/83/1129879083.db2.gz VTWPRYRNXFLCCW-VIFPVBQESA-N 1 2 295.839 3.968 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H](C)c2ccsc2)o1 ZINC000801570452 1129927985 /nfs/dbraw/zinc/92/79/85/1129927985.db2.gz MEMFBXRWLUGHIP-SNVBAGLBSA-N 1 2 250.367 3.710 20 0 CHADLO Cc1ccc(Cl)cc1C(=O)Nc1cccc2[nH+]ccn21 ZINC000337969191 1126705326 /nfs/dbraw/zinc/70/53/26/1126705326.db2.gz FDYUQVRXLBAXJN-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO Cc1c(F)nccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001212839151 1126704874 /nfs/dbraw/zinc/70/48/74/1126704874.db2.gz RHJLZSAWRTWBQZ-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO CCCCCc1ccc(NC(=O)CCn2cc[nH+]c2)cc1 ZINC000338015593 1126710319 /nfs/dbraw/zinc/71/03/19/1126710319.db2.gz IUGQQIIBWKLOFQ-UHFFFAOYSA-N 1 2 285.391 3.645 20 0 CHADLO Cc1c[nH+]c(CCS[C@H]2COC(C)(C)C2)c(C)c1 ZINC000600572894 1126717491 /nfs/dbraw/zinc/71/74/91/1126717491.db2.gz PVFBWUXGBFJJGI-CYBMUJFWSA-N 1 2 265.422 3.542 20 0 CHADLO c1cn(-c2ccc([NH2+]CC3CCCCC3)cc2)cn1 ZINC000338137734 1126720912 /nfs/dbraw/zinc/72/09/12/1126720912.db2.gz UQVMSBGGCUJGJE-UHFFFAOYSA-N 1 2 255.365 3.865 20 0 CHADLO Cc1ccsc1CNc1ccc(N2CCCC2)c[nH+]1 ZINC000338150046 1126721582 /nfs/dbraw/zinc/72/15/82/1126721582.db2.gz HGHPSYXKNRFEFX-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCCC[C@H]1CC1CCC1 ZINC000826582559 1126721649 /nfs/dbraw/zinc/72/16/49/1126721649.db2.gz GQPIFBTWMWDLTQ-HNNXBMFYSA-N 1 2 297.402 3.748 20 0 CHADLO Cc1cnc(F)cc1Nc1cc[nH+]c2ccc(Cl)cc12 ZINC001212846744 1126727328 /nfs/dbraw/zinc/72/73/28/1126727328.db2.gz IVVVLKVWUYUMSK-UHFFFAOYSA-N 1 2 287.725 3.896 20 0 CHADLO Cc1ccc2c(C[N@@H+](CC(F)F)C(C)C)ccc(O)c2n1 ZINC000338274929 1126729721 /nfs/dbraw/zinc/72/97/21/1126729721.db2.gz GXQOJBZJDBOFGY-UHFFFAOYSA-N 1 2 294.345 3.724 20 0 CHADLO Cc1ccc2c(C[N@H+](CC(F)F)C(C)C)ccc(O)c2n1 ZINC000338274929 1126729724 /nfs/dbraw/zinc/72/97/24/1126729724.db2.gz GXQOJBZJDBOFGY-UHFFFAOYSA-N 1 2 294.345 3.724 20 0 CHADLO Cn1ccnc1C[N@H+](C)C(c1ccccc1)c1ccccc1 ZINC000338270970 1126729781 /nfs/dbraw/zinc/72/97/81/1126729781.db2.gz PRWIYOWJWLNNLP-UHFFFAOYSA-N 1 2 291.398 3.642 20 0 CHADLO Cn1ccnc1C[N@@H+](C)C(c1ccccc1)c1ccccc1 ZINC000338270970 1126729784 /nfs/dbraw/zinc/72/97/84/1126729784.db2.gz PRWIYOWJWLNNLP-UHFFFAOYSA-N 1 2 291.398 3.642 20 0 CHADLO c1csc(C[NH2+][C@@H]2CC3(CCC3)Oc3ccccc32)n1 ZINC000151612263 1126755569 /nfs/dbraw/zinc/75/55/69/1126755569.db2.gz NJSPUVPWOWFFPJ-CYBMUJFWSA-N 1 2 286.400 3.679 20 0 CHADLO CC(C)=CCC[C@H](C)[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000482520125 1126762486 /nfs/dbraw/zinc/76/24/86/1126762486.db2.gz QMZOTOXZSCYZIG-HOCLYGCPSA-N 1 2 284.407 3.619 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2cccc(Cl)c2Cl)nn1C ZINC000678780609 1130455232 /nfs/dbraw/zinc/45/52/32/1130455232.db2.gz NTUNQBYDUKVGOY-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2C[C@H](C)[C@H]2c2ccccc2)n1 ZINC000174977252 1126775000 /nfs/dbraw/zinc/77/50/00/1126775000.db2.gz PAILMSKLQIZPDK-YDHLFZDLSA-N 1 2 285.391 3.947 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2C[C@H](C)[C@H]2c2ccccc2)n1 ZINC000174977252 1126775002 /nfs/dbraw/zinc/77/50/02/1126775002.db2.gz PAILMSKLQIZPDK-YDHLFZDLSA-N 1 2 285.391 3.947 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cscn2)[C@@H]1c1cccc(F)c1 ZINC000175306010 1126779999 /nfs/dbraw/zinc/77/99/99/1126779999.db2.gz UDTBPAFYWHCQQP-NHYWBVRUSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cscn2)[C@@H]1c1cccc(F)c1 ZINC000175306010 1126780004 /nfs/dbraw/zinc/78/00/04/1126780004.db2.gz UDTBPAFYWHCQQP-NHYWBVRUSA-N 1 2 276.380 3.865 20 0 CHADLO Fc1ccc(C[NH+]2CCC(OC3CCC3)CC2)c(F)c1F ZINC000668242089 1126785085 /nfs/dbraw/zinc/78/50/85/1126785085.db2.gz UYRKLIAJCRJROU-UHFFFAOYSA-N 1 2 299.336 3.637 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@H](C)[C@@H](F)C2)c(Cl)c1 ZINC000679218666 1130502611 /nfs/dbraw/zinc/50/26/11/1130502611.db2.gz AGRSLFWKEYVAAS-HZMBPMFUSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@H](C)[C@@H](F)C2)c(Cl)c1 ZINC000679218666 1130502616 /nfs/dbraw/zinc/50/26/16/1130502616.db2.gz AGRSLFWKEYVAAS-HZMBPMFUSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@H](C)[C@H](F)C2)c(Cl)c1 ZINC000679218669 1130502630 /nfs/dbraw/zinc/50/26/30/1130502630.db2.gz AGRSLFWKEYVAAS-IINYFYTJSA-N 1 2 271.763 3.529 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@H](C)[C@H](F)C2)c(Cl)c1 ZINC000679218669 1130502634 /nfs/dbraw/zinc/50/26/34/1130502634.db2.gz AGRSLFWKEYVAAS-IINYFYTJSA-N 1 2 271.763 3.529 20 0 CHADLO CCC[N@H+](Cc1ncnn1C)[C@@H](C)c1cc2ccccc2o1 ZINC000177044232 1126797123 /nfs/dbraw/zinc/79/71/23/1126797123.db2.gz LIWHUYSJIJSNED-ZDUSSCGKSA-N 1 2 298.390 3.535 20 0 CHADLO CCC[N@@H+](Cc1ncnn1C)[C@@H](C)c1cc2ccccc2o1 ZINC000177044232 1126797125 /nfs/dbraw/zinc/79/71/25/1126797125.db2.gz LIWHUYSJIJSNED-ZDUSSCGKSA-N 1 2 298.390 3.535 20 0 CHADLO Clc1cccc(C[NH2+]C2(c3nccs3)CCCC2)n1 ZINC000177165140 1126798014 /nfs/dbraw/zinc/79/80/14/1126798014.db2.gz LHCBYEHMZFPUHK-UHFFFAOYSA-N 1 2 293.823 3.751 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc([C@H]2C[C@@H]2C)o1)c1ccc(C)o1 ZINC000177565441 1126802447 /nfs/dbraw/zinc/80/24/47/1126802447.db2.gz BENCBTDJQKHMMO-CQDKDKBSSA-N 1 2 289.375 3.782 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccccc1OC1CCCC1 ZINC000483829061 1126802618 /nfs/dbraw/zinc/80/26/18/1126802618.db2.gz CPDCQQNOKAXKDJ-UHFFFAOYSA-N 1 2 286.350 3.576 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc(C)c(C)s1)c1nc(C)cs1 ZINC000177775010 1126804914 /nfs/dbraw/zinc/80/49/14/1126804914.db2.gz CHPHFHJEUJYHBA-CQSZACIVSA-N 1 2 295.477 3.940 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H](OC3CCC3)C2)c(F)c1F ZINC000668296357 1126807964 /nfs/dbraw/zinc/80/79/64/1126807964.db2.gz MXMITCRQKLTBKK-ZDUSSCGKSA-N 1 2 299.336 3.637 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H](OC3CCC3)C2)c(F)c1F ZINC000668296357 1126807968 /nfs/dbraw/zinc/80/79/68/1126807968.db2.gz MXMITCRQKLTBKK-ZDUSSCGKSA-N 1 2 299.336 3.637 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)cs1 ZINC000679539401 1130537843 /nfs/dbraw/zinc/53/78/43/1130537843.db2.gz AITSDVKKBSIMKM-PSASIEDQSA-N 1 2 278.343 3.614 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1ccnc(Cl)c1Cl ZINC000811454335 1130610253 /nfs/dbraw/zinc/61/02/53/1130610253.db2.gz ZCWJBOFWLKHAQR-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1ccnc(Cl)c1Cl ZINC000811454335 1130610257 /nfs/dbraw/zinc/61/02/57/1130610257.db2.gz ZCWJBOFWLKHAQR-SECBINFHSA-N 1 2 259.180 3.763 20 0 CHADLO CSC1(CNc2ccc3c(c2)CCC[N@@H+]3C)CCC1 ZINC000811487010 1130613204 /nfs/dbraw/zinc/61/32/04/1130613204.db2.gz KRHXTUWLLKBEKS-UHFFFAOYSA-N 1 2 276.449 3.767 20 0 CHADLO CSC1(C[NH2+]c2ccc3c(c2)CCCN3C)CCC1 ZINC000811487010 1130613208 /nfs/dbraw/zinc/61/32/08/1130613208.db2.gz KRHXTUWLLKBEKS-UHFFFAOYSA-N 1 2 276.449 3.767 20 0 CHADLO CC(C)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000179613262 1126830257 /nfs/dbraw/zinc/83/02/57/1126830257.db2.gz NEYMZSHXEDMXNF-UHFFFAOYSA-N 1 2 255.365 3.707 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+]C(C3CC3)C3CC3)cc2)CCO1 ZINC000179951615 1126836451 /nfs/dbraw/zinc/83/64/51/1126836451.db2.gz CVIPDNWGLKZFAX-CYBMUJFWSA-N 1 2 286.419 3.512 20 0 CHADLO Cc1oc(C(C)C)cc1-c1nc(-c2ccc(N)[nH+]c2C)no1 ZINC000904261550 1126841311 /nfs/dbraw/zinc/84/13/11/1126841311.db2.gz FRQJFFDIRDVXNA-UHFFFAOYSA-N 1 2 298.346 3.714 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H](C)COC(C)(C)C)cc1 ZINC001234587286 1130658976 /nfs/dbraw/zinc/65/89/76/1130658976.db2.gz ZOFIKTQISRYSJD-LBPRGKRZSA-N 1 2 279.380 3.631 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccccn1)c1nc2ccccc2o1 ZINC000181771058 1126860645 /nfs/dbraw/zinc/86/06/45/1126860645.db2.gz PBZTXQJVVVRNHR-VXGBXAGGSA-N 1 2 267.332 3.635 20 0 CHADLO CCC(CC)([NH2+]Cc1csnn1)c1ccc(Cl)cc1 ZINC000182209583 1126868500 /nfs/dbraw/zinc/86/85/00/1126868500.db2.gz SEEIOMXQSAYITM-UHFFFAOYSA-N 1 2 295.839 3.997 20 0 CHADLO C[C@H]([NH2+]C1(c2cccc(F)c2)CC1)c1nccs1 ZINC000182212342 1126868508 /nfs/dbraw/zinc/86/85/08/1126868508.db2.gz DXRDFUWTSYXOID-JTQLQIEISA-N 1 2 262.353 3.622 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+]Cc2nnc(C(C)C)o2)c1 ZINC000182923605 1126879734 /nfs/dbraw/zinc/87/97/34/1126879734.db2.gz URDRYCMTQRBDRX-CYBMUJFWSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nnc(C(C)C)o2)c(C)s1 ZINC000182978787 1126880643 /nfs/dbraw/zinc/88/06/43/1126880643.db2.gz VVHIPVMDGHOPIX-SNVBAGLBSA-N 1 2 279.409 3.722 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCC(O)CC2)cc1)C1CCCC1 ZINC000183096446 1126881516 /nfs/dbraw/zinc/88/15/16/1126881516.db2.gz NLRAAVZZQWNUMP-CQSZACIVSA-N 1 2 288.435 3.638 20 0 CHADLO Cn1c[nH+]cc1-c1ccc([C@H]2CC(F)(F)C2(F)F)cc1 ZINC000905305635 1126897595 /nfs/dbraw/zinc/89/75/95/1126897595.db2.gz WSVBOJASLYEKKF-LLVKDONJSA-N 1 2 284.256 3.845 20 0 CHADLO Cc1cn2cc(-c3ccc(C4(O)CCC4)cc3)ccc2[nH+]1 ZINC000906183093 1126935409 /nfs/dbraw/zinc/93/54/09/1126935409.db2.gz XZDSDLXODVJEAS-UHFFFAOYSA-N 1 2 278.355 3.681 20 0 CHADLO COC[C@@H]([NH2+]Cc1cc(F)ccc1Cl)c1ccc(C)o1 ZINC000189436664 1126932455 /nfs/dbraw/zinc/93/24/55/1126932455.db2.gz UWHXZULWYNSSON-CQSZACIVSA-N 1 2 297.757 3.858 20 0 CHADLO Cn1ncc(Cl)c1C[N@@H+]1CCC[C@H]1c1cccc(F)c1 ZINC000189662842 1126934130 /nfs/dbraw/zinc/93/41/30/1126934130.db2.gz VVRVHDCDFQLKIL-AWEZNQCLSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1ncc(Cl)c1C[N@H+]1CCC[C@H]1c1cccc(F)c1 ZINC000189662842 1126934132 /nfs/dbraw/zinc/93/41/32/1126934132.db2.gz VVRVHDCDFQLKIL-AWEZNQCLSA-N 1 2 293.773 3.550 20 0 CHADLO Cc1ccc(Br)c(C[NH+]2CC3(CCC3)C2)c1F ZINC001235744212 1130774550 /nfs/dbraw/zinc/77/45/50/1130774550.db2.gz WPIQUXXOMUEBPY-UHFFFAOYSA-N 1 2 298.199 3.883 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H]2CCc3cc(F)ccc32)c(C)[nH+]1 ZINC000906392151 1126951275 /nfs/dbraw/zinc/95/12/75/1126951275.db2.gz VKHDCMJIVKHHOV-MRXNPFEDSA-N 1 2 298.361 3.563 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2cocn2)o1 ZINC000191949312 1126956684 /nfs/dbraw/zinc/95/66/84/1126956684.db2.gz JCSVNGIEQCNUGP-BXUZGUMPSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2cocn2)o1 ZINC000191949312 1126956687 /nfs/dbraw/zinc/95/66/87/1126956687.db2.gz JCSVNGIEQCNUGP-BXUZGUMPSA-N 1 2 260.337 3.549 20 0 CHADLO CC(C)(C)c1ncc(CNc2cc[nH+]cc2F)s1 ZINC000192149538 1126958669 /nfs/dbraw/zinc/95/86/69/1126958669.db2.gz IPGPXOOXOUQERL-UHFFFAOYSA-N 1 2 265.357 3.587 20 0 CHADLO Cc1cc(OCc2noc(C(C)C)n2)c2ccccc2[nH+]1 ZINC000192193495 1126959195 /nfs/dbraw/zinc/95/91/95/1126959195.db2.gz DFONGAKNECBOFJ-UHFFFAOYSA-N 1 2 283.331 3.629 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@H]2CCc3ccc(F)cc32)c(C)[nH+]1 ZINC000906601667 1126961529 /nfs/dbraw/zinc/96/15/29/1126961529.db2.gz UZRLKTJVGSCUGU-INIZCTEOSA-N 1 2 298.361 3.563 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1sccc1Cl ZINC000194480958 1126975214 /nfs/dbraw/zinc/97/52/14/1126975214.db2.gz MCYLQXVMOZZBCH-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1sccc1Cl ZINC000194480958 1126975221 /nfs/dbraw/zinc/97/52/21/1126975221.db2.gz MCYLQXVMOZZBCH-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2nc(C)no2)o1 ZINC000266538726 1126984226 /nfs/dbraw/zinc/98/42/26/1126984226.db2.gz HYOQLGAVUBKWLO-BXUZGUMPSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2nc(C)no2)o1 ZINC000266538726 1126984228 /nfs/dbraw/zinc/98/42/28/1126984228.db2.gz HYOQLGAVUBKWLO-BXUZGUMPSA-N 1 2 289.379 3.507 20 0 CHADLO COc1cc(C)[nH+]c(CS[C@H](C)c2ncc(C)o2)c1 ZINC001319971242 1127002229 /nfs/dbraw/zinc/00/22/29/1127002229.db2.gz TWJBBNMUAHEJHB-LLVKDONJSA-N 1 2 278.377 3.689 20 0 CHADLO Cn1ccc(C[NH2+][C@@H](c2ccc(F)cc2F)C(C)(C)C)n1 ZINC000268170694 1127013123 /nfs/dbraw/zinc/01/31/23/1127013123.db2.gz GOFBTQDWUPWBTM-HNNXBMFYSA-N 1 2 293.361 3.575 20 0 CHADLO Cc1cnc(C[NH2+]Cc2cc(C)ccc2OC(F)F)s1 ZINC000268265064 1127015189 /nfs/dbraw/zinc/01/51/89/1127015189.db2.gz FXTPGZFKZQDFEZ-UHFFFAOYSA-N 1 2 298.358 3.651 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@H+]2Cc2ccn(C3CCCC3)n2)c1 ZINC000268618518 1127019747 /nfs/dbraw/zinc/01/97/47/1127019747.db2.gz ARPQRKDYZBAUOD-KRWDZBQOSA-N 1 2 284.407 3.663 20 0 CHADLO c1c[nH]c([C@@H]2CCC[N@@H+]2Cc2ccn(C3CCCC3)n2)c1 ZINC000268618518 1127019751 /nfs/dbraw/zinc/01/97/51/1127019751.db2.gz ARPQRKDYZBAUOD-KRWDZBQOSA-N 1 2 284.407 3.663 20 0 CHADLO Cc1c(Cl)cc(NCc2c[nH+]cn2C)cc1Cl ZINC000268444774 1127017642 /nfs/dbraw/zinc/01/76/42/1127017642.db2.gz LFFATVPWUDNQQB-UHFFFAOYSA-N 1 2 270.163 3.647 20 0 CHADLO Clc1cc(NC[C@H]2CCSC2)ccc1-n1cc[nH+]c1 ZINC000487266101 1127027313 /nfs/dbraw/zinc/02/73/13/1127027313.db2.gz ICRUUKYSAQFZCK-LLVKDONJSA-N 1 2 293.823 3.691 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2scnc2C)cs1 ZINC000268914917 1127028199 /nfs/dbraw/zinc/02/81/99/1127028199.db2.gz NQTQYCIEULGBSA-PSASIEDQSA-N 1 2 281.450 3.882 20 0 CHADLO Cc1cc2cc([C@H](C)[NH2+][C@@H](C)c3nncn3C)oc2cc1C ZINC000268954847 1127029873 /nfs/dbraw/zinc/02/98/73/1127029873.db2.gz YNWCZWSUPTWSRZ-STQMWFEESA-N 1 2 298.390 3.590 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2nnc(C(C)C)s2)cc1 ZINC000269045600 1127033924 /nfs/dbraw/zinc/03/39/24/1127033924.db2.gz HKKHCQSGEQSOPC-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO C(=C\c1ccncc1)\CNc1ccc(N2CCCCC2)[nH+]c1 ZINC000487554611 1127034281 /nfs/dbraw/zinc/03/42/81/1127034281.db2.gz RONMIJKDUDOJSW-PLNGDYQASA-N 1 2 294.402 3.592 20 0 CHADLO Cc1cccc2nc(C[NH2+]Cc3cc(Cl)cs3)[nH]c21 ZINC000487561767 1127034983 /nfs/dbraw/zinc/03/49/83/1127034983.db2.gz SLOXXMBJEBHUHQ-UHFFFAOYSA-N 1 2 291.807 3.876 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]Cc1ccn(C(C)C)n1 ZINC000269024772 1127033059 /nfs/dbraw/zinc/03/30/59/1127033059.db2.gz QRZSJJXHTHNOHI-CQSZACIVSA-N 1 2 287.407 3.632 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@H](C)c1csc(C)n1 ZINC000269435799 1127039753 /nfs/dbraw/zinc/03/97/53/1127039753.db2.gz QUXFDQZTXGPXFK-SECBINFHSA-N 1 2 296.823 3.964 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)n1 ZINC000269667218 1127044660 /nfs/dbraw/zinc/04/46/60/1127044660.db2.gz ZIIMKUQBFAMVJM-QGZVFWFLSA-N 1 2 286.423 3.909 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)n1 ZINC000269667218 1127044664 /nfs/dbraw/zinc/04/46/64/1127044664.db2.gz ZIIMKUQBFAMVJM-QGZVFWFLSA-N 1 2 286.423 3.909 20 0 CHADLO Clc1ccc([C@H]2CC[N@@H+]2Cc2ccncc2)cc1 ZINC000269584906 1127042327 /nfs/dbraw/zinc/04/23/27/1127042327.db2.gz GRMLKRIWHGNTMX-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccc([C@H]2CC[N@H+]2Cc2ccncc2)cc1 ZINC000269584906 1127042329 /nfs/dbraw/zinc/04/23/29/1127042329.db2.gz GRMLKRIWHGNTMX-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO CCC1CC[NH+](CC(=O)Nc2c(C)cccc2Cl)CC1 ZINC000270268451 1127052891 /nfs/dbraw/zinc/05/28/91/1127052891.db2.gz KTJROZOXRBRTAP-UHFFFAOYSA-N 1 2 294.826 3.709 20 0 CHADLO COc1ccc(C[N@H+](Cc2ncc(C3CC3)o2)C2CC2)cc1 ZINC000270648543 1127059841 /nfs/dbraw/zinc/05/98/41/1127059841.db2.gz SXOJDZKONCHCAU-UHFFFAOYSA-N 1 2 298.386 3.725 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCC[C@H]2c2ccncc2)n1 ZINC000270964937 1127063381 /nfs/dbraw/zinc/06/33/81/1127063381.db2.gz AYNCIZSARAWJLQ-SFHVURJKSA-N 1 2 298.434 3.976 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCC[C@H]2c2ccncc2)n1 ZINC000270964937 1127063384 /nfs/dbraw/zinc/06/33/84/1127063384.db2.gz AYNCIZSARAWJLQ-SFHVURJKSA-N 1 2 298.434 3.976 20 0 CHADLO COc1ccc(C[N@@H+](Cc2ncc(C3CC3)o2)C2CC2)cc1 ZINC000270648543 1127059844 /nfs/dbraw/zinc/05/98/44/1127059844.db2.gz SXOJDZKONCHCAU-UHFFFAOYSA-N 1 2 298.386 3.725 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000270680586 1127060482 /nfs/dbraw/zinc/06/04/82/1127060482.db2.gz YPOAZAXYUMGKGS-OAHLLOKOSA-N 1 2 285.391 3.618 20 0 CHADLO Cn1cccc1[C@H]1CCCC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000270680586 1127060484 /nfs/dbraw/zinc/06/04/84/1127060484.db2.gz YPOAZAXYUMGKGS-OAHLLOKOSA-N 1 2 285.391 3.618 20 0 CHADLO CCC[N@H+](Cc1csnn1)[C@H](CC)c1ccccc1 ZINC000271111867 1127068800 /nfs/dbraw/zinc/06/88/00/1127068800.db2.gz UTTAAQZYILXFBG-OAHLLOKOSA-N 1 2 275.421 3.901 20 0 CHADLO CCC[N@@H+](Cc1csnn1)[C@H](CC)c1ccccc1 ZINC000271111867 1127068804 /nfs/dbraw/zinc/06/88/04/1127068804.db2.gz UTTAAQZYILXFBG-OAHLLOKOSA-N 1 2 275.421 3.901 20 0 CHADLO COCc1ccc(C[NH2+][C@@H](C)c2cccc(F)c2F)o1 ZINC000271172079 1127070533 /nfs/dbraw/zinc/07/05/33/1127070533.db2.gz CFKKIFAMRZHUDC-JTQLQIEISA-N 1 2 281.302 3.555 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2cccc3cc[nH]c32)o1 ZINC000271162109 1127071060 /nfs/dbraw/zinc/07/10/60/1127071060.db2.gz DOEXBLKSJCRDOI-MRXNPFEDSA-N 1 2 296.370 3.643 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2cccc3cc[nH]c32)o1 ZINC000271162109 1127071061 /nfs/dbraw/zinc/07/10/61/1127071061.db2.gz DOEXBLKSJCRDOI-MRXNPFEDSA-N 1 2 296.370 3.643 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCOc2c(Cl)cccc21)c1cscn1 ZINC000271176524 1127071196 /nfs/dbraw/zinc/07/11/96/1127071196.db2.gz VAHFAZPLABYFDJ-JOYOIKCWSA-N 1 2 294.807 3.971 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2ccc3ncccc3c2)s1 ZINC000271172707 1127071253 /nfs/dbraw/zinc/07/12/53/1127071253.db2.gz FZUJFDFYGRIZER-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2ccc3ncccc3c2)s1 ZINC000271172707 1127071257 /nfs/dbraw/zinc/07/12/57/1127071257.db2.gz FZUJFDFYGRIZER-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO C[C@H]1C[C@H]1CNc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000488301649 1127071325 /nfs/dbraw/zinc/07/13/25/1127071325.db2.gz RFTGKAZFJDHBJC-ZFWWWQNUSA-N 1 2 281.403 3.954 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2cccc3cc[nH]c32)o1 ZINC000271162108 1127071449 /nfs/dbraw/zinc/07/14/49/1127071449.db2.gz DOEXBLKSJCRDOI-INIZCTEOSA-N 1 2 296.370 3.643 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2cccc3cc[nH]c32)o1 ZINC000271162108 1127071452 /nfs/dbraw/zinc/07/14/52/1127071452.db2.gz DOEXBLKSJCRDOI-INIZCTEOSA-N 1 2 296.370 3.643 20 0 CHADLO Cc1nnc(C[N@H+]2CC[C@H](C)[C@@H]2c2cccc(F)c2)s1 ZINC000271382618 1127077533 /nfs/dbraw/zinc/07/75/33/1127077533.db2.gz DQXRNDRLCKCWHR-ZUZCIYMTSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1nnc(C[N@@H+]2CC[C@H](C)[C@@H]2c2cccc(F)c2)s1 ZINC000271382618 1127077536 /nfs/dbraw/zinc/07/75/36/1127077536.db2.gz DQXRNDRLCKCWHR-ZUZCIYMTSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1nnc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2cccc(F)c2)s1 ZINC000271424134 1127079297 /nfs/dbraw/zinc/07/92/97/1127079297.db2.gz MTBWTKGSICPWRE-IINYFYTJSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1nnc(C[N@H+]2C[C@@H](C)C[C@@H]2c2cccc(F)c2)s1 ZINC000271424134 1127079300 /nfs/dbraw/zinc/07/93/00/1127079300.db2.gz MTBWTKGSICPWRE-IINYFYTJSA-N 1 2 291.395 3.569 20 0 CHADLO CCc1cccc2c1OCC[C@@H]2[NH2+][C@@H](C)c1cscn1 ZINC000271443368 1127081305 /nfs/dbraw/zinc/08/13/05/1127081305.db2.gz GXJIDVGZBUOXJK-FZMZJTMJSA-N 1 2 288.416 3.880 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2cn[nH]c2C)c2ccccc12 ZINC000271479983 1127084793 /nfs/dbraw/zinc/08/47/93/1127084793.db2.gz KCNAXLJAGXUMNH-ZDUSSCGKSA-N 1 2 295.386 3.731 20 0 CHADLO Cc1nnc(C[N@H+](Cc2ccc(F)cc2)C(C)(C)C)s1 ZINC000271485885 1127085322 /nfs/dbraw/zinc/08/53/22/1127085322.db2.gz YPYPDZQJCWIKFM-UHFFFAOYSA-N 1 2 293.411 3.786 20 0 CHADLO Cc1nnc(C[N@@H+](Cc2ccc(F)cc2)C(C)(C)C)s1 ZINC000271485885 1127085327 /nfs/dbraw/zinc/08/53/27/1127085327.db2.gz YPYPDZQJCWIKFM-UHFFFAOYSA-N 1 2 293.411 3.786 20 0 CHADLO CCc1cccc2c1OCC[C@H]2[NH2+][C@H](C)c1nccs1 ZINC000271501047 1127086583 /nfs/dbraw/zinc/08/65/83/1127086583.db2.gz QOZLWAVQURRSIF-BXUZGUMPSA-N 1 2 288.416 3.880 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2cccc(Cl)c2Cl)ncn1 ZINC000271499400 1127086698 /nfs/dbraw/zinc/08/66/98/1127086698.db2.gz LXCPIQGADONYES-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO CC[N@H+](Cc1nc([C@@H]2CCCc3ccccc32)no1)C1CC1 ZINC000273806885 1127098259 /nfs/dbraw/zinc/09/82/59/1127098259.db2.gz WDSKPPPUBTVPOR-MRXNPFEDSA-N 1 2 297.402 3.522 20 0 CHADLO CC[N@@H+](Cc1nc([C@@H]2CCCc3ccccc32)no1)C1CC1 ZINC000273806885 1127098262 /nfs/dbraw/zinc/09/82/62/1127098262.db2.gz WDSKPPPUBTVPOR-MRXNPFEDSA-N 1 2 297.402 3.522 20 0 CHADLO CCc1noc([C@H](C)[N@H+]2CC=C(c3ccc(C)cc3)CC2)n1 ZINC000044363326 1127116483 /nfs/dbraw/zinc/11/64/83/1127116483.db2.gz NNTKJJISZSIXLN-AWEZNQCLSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1noc([C@H](C)[N@@H+]2CC=C(c3ccc(C)cc3)CC2)n1 ZINC000044363326 1127116485 /nfs/dbraw/zinc/11/64/85/1127116485.db2.gz NNTKJJISZSIXLN-AWEZNQCLSA-N 1 2 297.402 3.791 20 0 CHADLO COc1ccccc1[C@@H](CNc1cccc[nH+]1)OC(C)C ZINC000274462313 1127119944 /nfs/dbraw/zinc/11/99/44/1127119944.db2.gz KCJXVHZHDCKEBE-MRXNPFEDSA-N 1 2 286.375 3.668 20 0 CHADLO CC1(C)CCC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000348836782 1127130433 /nfs/dbraw/zinc/13/04/33/1127130433.db2.gz XZXIWFLRIGDMAT-HNNXBMFYSA-N 1 2 284.407 3.707 20 0 CHADLO C[C@H]1C[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)CC(C)(C)C1 ZINC000348837432 1127130793 /nfs/dbraw/zinc/13/07/93/1127130793.db2.gz IEKVDFQQBCPESX-GOEBONIOSA-N 1 2 298.434 3.953 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1Cc1cccc(-c2cccnc2)c1 ZINC000348851435 1127131826 /nfs/dbraw/zinc/13/18/26/1127131826.db2.gz ZYNKBYXBCIWEFI-MRXNPFEDSA-N 1 2 288.341 3.978 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1Cc1cccc(-c2cccnc2)c1 ZINC000348851435 1127131829 /nfs/dbraw/zinc/13/18/29/1127131829.db2.gz ZYNKBYXBCIWEFI-MRXNPFEDSA-N 1 2 288.341 3.978 20 0 CHADLO CCCCOC(=O)C[N@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000913391770 1127131920 /nfs/dbraw/zinc/13/19/20/1127131920.db2.gz AMUDVUMVVVFAJM-CYBMUJFWSA-N 1 2 289.375 3.769 20 0 CHADLO CCCCOC(=O)C[N@@H+](C)[C@H](C)c1cc2ccccc2o1 ZINC000913391770 1127131924 /nfs/dbraw/zinc/13/19/24/1127131924.db2.gz AMUDVUMVVVFAJM-CYBMUJFWSA-N 1 2 289.375 3.769 20 0 CHADLO C[C@H](CC(=O)N1CCC(n2cc[nH+]c2)CC1)CC(C)(C)C ZINC000586817666 1127142964 /nfs/dbraw/zinc/14/29/64/1127142964.db2.gz JBDILGFJJOAIGZ-CQSZACIVSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CCC[C@H]2c2ccsc2)s1 ZINC000283606252 1127146500 /nfs/dbraw/zinc/14/65/00/1127146500.db2.gz QTFMNFYIZAMJHN-CABZTGNLSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CCC[C@H]2c2ccsc2)s1 ZINC000283606252 1127146504 /nfs/dbraw/zinc/14/65/04/1127146504.db2.gz QTFMNFYIZAMJHN-CABZTGNLSA-N 1 2 279.434 3.806 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@H]2c2ccsc2)cn1 ZINC000799111651 1131070092 /nfs/dbraw/zinc/07/00/92/1131070092.db2.gz LEAGVBDQPAXFPZ-GFCCVEGCSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@H]2c2ccsc2)cn1 ZINC000799111651 1131070098 /nfs/dbraw/zinc/07/00/98/1131070098.db2.gz LEAGVBDQPAXFPZ-GFCCVEGCSA-N 1 2 279.796 3.529 20 0 CHADLO Cc1nc(C[N@H+](C)C/C=C\c2ccc(F)cc2)cs1 ZINC000349989315 1127170435 /nfs/dbraw/zinc/17/04/35/1127170435.db2.gz IBNDEFIPABOKKN-ARJAWSKDSA-N 1 2 276.380 3.736 20 0 CHADLO Cc1nc(C[N@@H+](C)C/C=C\c2ccc(F)cc2)cs1 ZINC000349989315 1127170438 /nfs/dbraw/zinc/17/04/38/1127170438.db2.gz IBNDEFIPABOKKN-ARJAWSKDSA-N 1 2 276.380 3.736 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2oc3ccccc3c2C)no1 ZINC000284059412 1127171330 /nfs/dbraw/zinc/17/13/30/1127171330.db2.gz KQSOYARQFWGOHN-LBPRGKRZSA-N 1 2 270.332 3.888 20 0 CHADLO C[C@@H](CC(=O)Nc1ccn2cc[nH+]c2c1)c1cccc(F)c1 ZINC000350148343 1127174177 /nfs/dbraw/zinc/17/41/77/1127174177.db2.gz RAQUBTAIFCOGJW-LBPRGKRZSA-N 1 2 297.333 3.606 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000350947045 1127183007 /nfs/dbraw/zinc/18/30/07/1127183007.db2.gz DLWOGYYXPGMVNS-GFCCVEGCSA-N 1 2 294.354 3.653 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CCc2ccc(O)cc2C1 ZINC000350947045 1127183009 /nfs/dbraw/zinc/18/30/09/1127183009.db2.gz DLWOGYYXPGMVNS-GFCCVEGCSA-N 1 2 294.354 3.653 20 0 CHADLO Cc1cc(NCc2cnc(C(C)(C)C)nc2)ccc1[NH+](C)C ZINC000284440497 1127183665 /nfs/dbraw/zinc/18/36/65/1127183665.db2.gz SSIMNJUDVNZNLO-UHFFFAOYSA-N 1 2 298.434 3.761 20 0 CHADLO Cc1sccc1C[N@@H+]1CCO[C@H](c2ccccc2F)C1 ZINC000351310045 1127187753 /nfs/dbraw/zinc/18/77/53/1127187753.db2.gz ZDYHAYMROJHNKW-INIZCTEOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1sccc1C[N@H+]1CCO[C@H](c2ccccc2F)C1 ZINC000351310045 1127187755 /nfs/dbraw/zinc/18/77/55/1127187755.db2.gz ZDYHAYMROJHNKW-INIZCTEOSA-N 1 2 291.391 3.769 20 0 CHADLO CCc1ccc(C[N@@H+]2CCO[C@H](c3ccccc3C)C2)nc1 ZINC000572130970 1127193577 /nfs/dbraw/zinc/19/35/77/1127193577.db2.gz SNZRNAKPWKBJKK-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc(C[N@H+]2CCO[C@H](c3ccccc3C)C2)nc1 ZINC000572130970 1127193580 /nfs/dbraw/zinc/19/35/80/1127193580.db2.gz SNZRNAKPWKBJKK-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO Cc1ccc(CNc2ccc(Cn3cc[nH+]c3)cn2)c(C)c1 ZINC000351569259 1127194564 /nfs/dbraw/zinc/19/45/64/1127194564.db2.gz LYGFRVJGQYEMQL-UHFFFAOYSA-N 1 2 292.386 3.555 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Cl)cc(Cl)c1)c1ccon1 ZINC000351579456 1127194971 /nfs/dbraw/zinc/19/49/71/1127194971.db2.gz JLMFAYRBTSDICW-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO CC(C)COc1ccc(C[NH2+][C@H](C)c2ccon2)cc1 ZINC000351574144 1127195091 /nfs/dbraw/zinc/19/50/91/1127195091.db2.gz MWUKPDKSLMISPA-CYBMUJFWSA-N 1 2 274.364 3.560 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+][C@@H](C)c1ccon1 ZINC000351582190 1127195545 /nfs/dbraw/zinc/19/55/45/1127195545.db2.gz PQWFQXIFNHNJHE-JTQLQIEISA-N 1 2 256.305 3.580 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1c(Cl)cccc1Cl ZINC000351604062 1127197205 /nfs/dbraw/zinc/19/72/05/1127197205.db2.gz CWUOMDDLJKJBIR-AOOOYVTPSA-N 1 2 284.186 3.689 20 0 CHADLO O=C1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1c(Cl)cccc1Cl ZINC000351604062 1127197207 /nfs/dbraw/zinc/19/72/07/1127197207.db2.gz CWUOMDDLJKJBIR-AOOOYVTPSA-N 1 2 284.186 3.689 20 0 CHADLO Fc1ccc(C[N@H+](Cc2cc[nH]n2)C2CCCC2)c(F)c1 ZINC000351673338 1127203207 /nfs/dbraw/zinc/20/32/07/1127203207.db2.gz LIWSLPYBDDAGCQ-UHFFFAOYSA-N 1 2 291.345 3.633 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2cc[nH]n2)C2CCCC2)c(F)c1 ZINC000351673338 1127203210 /nfs/dbraw/zinc/20/32/10/1127203210.db2.gz LIWSLPYBDDAGCQ-UHFFFAOYSA-N 1 2 291.345 3.633 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)C[N@H+](C)Cc1ccsc1 ZINC000588928980 1127207484 /nfs/dbraw/zinc/20/74/84/1127207484.db2.gz YQHWKPDVPYYVBM-AWEZNQCLSA-N 1 2 296.480 3.511 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)C[N@@H+](C)Cc1ccsc1 ZINC000588928980 1127207488 /nfs/dbraw/zinc/20/74/88/1127207488.db2.gz YQHWKPDVPYYVBM-AWEZNQCLSA-N 1 2 296.480 3.511 20 0 CHADLO Clc1cnccc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001212155837 1127211192 /nfs/dbraw/zinc/21/11/92/1127211192.db2.gz ZRRQNLGYGBCULG-UHFFFAOYSA-N 1 2 287.794 3.891 20 0 CHADLO Cc1cc2c(cccc2N)n1-c1cc[nH+]cc1Cl ZINC001212160244 1127229969 /nfs/dbraw/zinc/22/99/69/1127229969.db2.gz OOXDBMMWNQDEQR-UHFFFAOYSA-N 1 2 257.724 3.570 20 0 CHADLO c1c(C[NH2+]Cc2n[nH]c3ccccc32)sc2c1CCCC2 ZINC000589647728 1127233600 /nfs/dbraw/zinc/23/36/00/1127233600.db2.gz LLWLIWSJUJMQSU-UHFFFAOYSA-N 1 2 297.427 3.793 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2cc3ccccc3o2)n1 ZINC000285534945 1127235504 /nfs/dbraw/zinc/23/55/04/1127235504.db2.gz FSDOEXKMTRMPFI-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2cc3ccccc3o2)n1 ZINC000285534945 1127235505 /nfs/dbraw/zinc/23/55/05/1127235505.db2.gz FSDOEXKMTRMPFI-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCC3(CCCC3)C2)cc1Cl ZINC001237987796 1131158683 /nfs/dbraw/zinc/15/86/83/1131158683.db2.gz DRZSBTVDNRUQTF-UHFFFAOYSA-N 1 2 283.774 3.951 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+]1C[C@@H](CC)[S@@](=O)[C@@H](C)C1 ZINC000352874411 1127248259 /nfs/dbraw/zinc/24/82/59/1127248259.db2.gz HSQQPBUCPOKQKA-WJBZZZKMSA-N 1 2 297.439 3.508 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+]1C[C@@H](CC)[S@@](=O)[C@@H](C)C1 ZINC000352874411 1127248262 /nfs/dbraw/zinc/24/82/62/1127248262.db2.gz HSQQPBUCPOKQKA-WJBZZZKMSA-N 1 2 297.439 3.508 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CCC3(CCCC3)C2)cc1Cl ZINC001237987796 1131158686 /nfs/dbraw/zinc/15/86/86/1131158686.db2.gz DRZSBTVDNRUQTF-UHFFFAOYSA-N 1 2 283.774 3.951 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc([NH+](C)C)cc2)c1 ZINC000286218156 1127263436 /nfs/dbraw/zinc/26/34/36/1127263436.db2.gz SECZKBFBCQOGIX-ZDUSSCGKSA-N 1 2 255.365 3.629 20 0 CHADLO C[C@H](O)C[C@@H]1CCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000286241280 1127264144 /nfs/dbraw/zinc/26/41/44/1127264144.db2.gz ORTNKOGFQHTLAN-RYUDHWBXSA-N 1 2 290.794 3.628 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cnc(C(C)C)s1 ZINC000353289386 1127266170 /nfs/dbraw/zinc/26/61/70/1127266170.db2.gz UNEKBAXUIWHZJG-UHFFFAOYSA-N 1 2 281.450 3.663 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cnc(C(C)C)s1 ZINC000353289386 1127266174 /nfs/dbraw/zinc/26/61/74/1127266174.db2.gz UNEKBAXUIWHZJG-UHFFFAOYSA-N 1 2 281.450 3.663 20 0 CHADLO COc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)nc1 ZINC000286742744 1127273050 /nfs/dbraw/zinc/27/30/50/1127273050.db2.gz IEMYEIZTWKXHLD-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO Oc1cc(F)c(C[N@H+](CC2CC2)C2CC2)cc1Cl ZINC001238154602 1131175925 /nfs/dbraw/zinc/17/59/25/1131175925.db2.gz LGZLBROLAYMKRI-UHFFFAOYSA-N 1 2 269.747 3.559 20 0 CHADLO COc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2cccs2)nc1 ZINC000286742744 1127273052 /nfs/dbraw/zinc/27/30/52/1127273052.db2.gz IEMYEIZTWKXHLD-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO Oc1cc(F)c(C[N@@H+](CC2CC2)C2CC2)cc1Cl ZINC001238154602 1131175929 /nfs/dbraw/zinc/17/59/29/1131175929.db2.gz LGZLBROLAYMKRI-UHFFFAOYSA-N 1 2 269.747 3.559 20 0 CHADLO COc1ccnc(C[N@@H+]2CC(C)(C)[C@H]2c2cccs2)c1 ZINC000286936525 1127275840 /nfs/dbraw/zinc/27/58/40/1127275840.db2.gz LNWFRMZRGBIDLX-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO COc1ccnc(C[N@H+]2CC(C)(C)[C@H]2c2cccs2)c1 ZINC000286936525 1127275843 /nfs/dbraw/zinc/27/58/43/1127275843.db2.gz LNWFRMZRGBIDLX-OAHLLOKOSA-N 1 2 288.416 3.735 20 0 CHADLO CC[C@H]1CCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000354117793 1127293878 /nfs/dbraw/zinc/29/38/78/1127293878.db2.gz JYBKTCFKKKPXOE-GOEBONIOSA-N 1 2 297.402 3.571 20 0 CHADLO C[C@@H]([NH2+][C@H](CCO)c1ccc(Cl)cc1)c1cscn1 ZINC000287177017 1127294608 /nfs/dbraw/zinc/29/46/08/1127294608.db2.gz UYRZBFJJGADGRZ-ZWNOBZJWSA-N 1 2 296.823 3.571 20 0 CHADLO C[C@@H]([NH2+][C@H](C)[C@@H]1C[C@H]1c1cccs1)C(=O)OC(C)(C)C ZINC000591348208 1127299069 /nfs/dbraw/zinc/29/90/69/1127299069.db2.gz DXRITVUSGMDDQA-FVCCEPFGSA-N 1 2 295.448 3.560 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)[C@@H](C)c1nc(C)no1 ZINC000591373514 1127299665 /nfs/dbraw/zinc/29/96/65/1127299665.db2.gz XKSIXDNTXAHSOE-NSHDSACASA-N 1 2 299.374 3.880 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)[C@@H](C)c1nc(C)no1 ZINC000591373514 1127299669 /nfs/dbraw/zinc/29/96/69/1127299669.db2.gz XKSIXDNTXAHSOE-NSHDSACASA-N 1 2 299.374 3.880 20 0 CHADLO CCc1nc(C[NH2+]Cc2c(F)cccc2CC)cs1 ZINC000354335170 1127303477 /nfs/dbraw/zinc/30/34/77/1127303477.db2.gz QGBYMAIIJIKUQR-UHFFFAOYSA-N 1 2 278.396 3.697 20 0 CHADLO Cc1cc(C[NH+]2CC(c3cccnc3)C2)c(F)cc1Cl ZINC001238302435 1131189766 /nfs/dbraw/zinc/18/97/66/1131189766.db2.gz DZUNPCMPNCTPCF-UHFFFAOYSA-N 1 2 290.769 3.782 20 0 CHADLO Cc1ccc(-c2ccc(C[N@@H+](C)Cc3ccnn3C)o2)cc1 ZINC000355289199 1127331648 /nfs/dbraw/zinc/33/16/48/1127331648.db2.gz YHGKLPVPZCDRNX-UHFFFAOYSA-N 1 2 295.386 3.621 20 0 CHADLO Cc1ccc(-c2ccc(C[N@H+](C)Cc3ccnn3C)o2)cc1 ZINC000355289199 1127331653 /nfs/dbraw/zinc/33/16/53/1127331653.db2.gz YHGKLPVPZCDRNX-UHFFFAOYSA-N 1 2 295.386 3.621 20 0 CHADLO Cc1cnn(C)c1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000289232313 1127378040 /nfs/dbraw/zinc/37/80/40/1127378040.db2.gz YQYRCEQVALMDRS-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnn(C)c1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000289232313 1127378044 /nfs/dbraw/zinc/37/80/44/1127378044.db2.gz YQYRCEQVALMDRS-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnn(C)c1C[N@@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000289239550 1127378750 /nfs/dbraw/zinc/37/87/50/1127378750.db2.gz ZLAOYSLTIIRKBP-BLLLJJGKSA-N 1 2 299.418 3.610 20 0 CHADLO Cc1cnn(C)c1C[N@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000289239550 1127378756 /nfs/dbraw/zinc/37/87/56/1127378756.db2.gz ZLAOYSLTIIRKBP-BLLLJJGKSA-N 1 2 299.418 3.610 20 0 CHADLO Cc1ccc(C[S@](=O)C/C=C\c2ccccc2)c(C)[nH+]1 ZINC000358137238 1127403915 /nfs/dbraw/zinc/40/39/15/1127403915.db2.gz OBQNIYYNAHVEGJ-QKWWCAPFSA-N 1 2 285.412 3.661 20 0 CHADLO Cc1ccc(C[S@](=O)CCCC(C)(C)C)c(C)[nH+]1 ZINC000359101697 1127449688 /nfs/dbraw/zinc/44/96/88/1127449688.db2.gz DGWYUOWJPHQRMK-GOSISDBHSA-N 1 2 267.438 3.773 20 0 CHADLO CC1(C)C[N@H+](Cc2[nH]nc3ccccc32)[C@H]1c1ccncc1 ZINC000646216500 1127451713 /nfs/dbraw/zinc/45/17/13/1127451713.db2.gz ZDQQHVLOAGSDON-KRWDZBQOSA-N 1 2 292.386 3.541 20 0 CHADLO CC1(C)C[N@@H+](Cc2[nH]nc3ccccc32)[C@H]1c1ccncc1 ZINC000646216500 1127451715 /nfs/dbraw/zinc/45/17/15/1127451715.db2.gz ZDQQHVLOAGSDON-KRWDZBQOSA-N 1 2 292.386 3.541 20 0 CHADLO CCCCN(C(=O)CCc1[nH]cc[nH+]1)[C@@H]1CCC[C@H](C)C1 ZINC000359320449 1127458877 /nfs/dbraw/zinc/45/88/77/1127458877.db2.gz DDHKAFMSKVKEQX-LSDHHAIUSA-N 1 2 291.439 3.550 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2c(F)cccc2F)o1 ZINC000069752609 1127466714 /nfs/dbraw/zinc/46/67/14/1127466714.db2.gz TYRCFZBUOBZXDR-JQWIXIFHSA-N 1 2 277.314 3.971 20 0 CHADLO Cc1cn2cc(NC(=O)CC3CCC(C)CC3)ccc2[nH+]1 ZINC000359710093 1127474038 /nfs/dbraw/zinc/47/40/38/1127474038.db2.gz YGBWJBLOQYMHQS-UHFFFAOYSA-N 1 2 285.391 3.798 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOCC3(CC3)C2)c(Cl)c1 ZINC000360087106 1127489253 /nfs/dbraw/zinc/48/92/53/1127489253.db2.gz GOZJWWHWVKUOFK-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Clc1ccc(C[N@H+]2CCOCC3(CC3)C2)c(Cl)c1 ZINC000360087106 1127489255 /nfs/dbraw/zinc/48/92/55/1127489255.db2.gz GOZJWWHWVKUOFK-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Clc1ccc(Cl)c(C[N@@H+]2CCOCC3(CC3)C2)c1 ZINC000360089233 1127489401 /nfs/dbraw/zinc/48/94/01/1127489401.db2.gz PWJROIXNRRBQFV-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Clc1ccc(Cl)c(C[N@H+]2CCOCC3(CC3)C2)c1 ZINC000360089233 1127489403 /nfs/dbraw/zinc/48/94/03/1127489403.db2.gz PWJROIXNRRBQFV-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Cc1ccc(CSCC[C@@H]2CCCCO2)c(C)[nH+]1 ZINC000596364186 1127499710 /nfs/dbraw/zinc/49/97/10/1127499710.db2.gz JDPDSQLOKPMVKS-HNNXBMFYSA-N 1 2 265.422 3.891 20 0 CHADLO CCc1ccc(C[N@@H+](CC)Cc2cccc(F)c2F)nc1 ZINC001231642766 1127504356 /nfs/dbraw/zinc/50/43/56/1127504356.db2.gz PTXHNZAHFQZMKD-UHFFFAOYSA-N 1 2 290.357 3.944 20 0 CHADLO CCc1ccc(C[N@H+](CC)Cc2cccc(F)c2F)nc1 ZINC001231642766 1127504357 /nfs/dbraw/zinc/50/43/57/1127504357.db2.gz PTXHNZAHFQZMKD-UHFFFAOYSA-N 1 2 290.357 3.944 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000360724568 1127508662 /nfs/dbraw/zinc/50/86/62/1127508662.db2.gz COZUUNFWHSPMMK-ONGXEEELSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000360724568 1127508663 /nfs/dbraw/zinc/50/86/63/1127508663.db2.gz COZUUNFWHSPMMK-ONGXEEELSA-N 1 2 292.370 3.868 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)C3=O)c(Cl)c1Cl ZINC001231667995 1127515752 /nfs/dbraw/zinc/51/57/52/1127515752.db2.gz YMOVENJZFYDLTJ-TXEJJXNPSA-N 1 2 298.213 3.713 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)C3=O)c(Cl)c1Cl ZINC001231667995 1127515755 /nfs/dbraw/zinc/51/57/55/1127515755.db2.gz YMOVENJZFYDLTJ-TXEJJXNPSA-N 1 2 298.213 3.713 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2cc(C)c(C)o2)o1 ZINC000293772765 1127519604 /nfs/dbraw/zinc/51/96/04/1127519604.db2.gz STJLSIGCEYMGIE-NSHDSACASA-N 1 2 276.380 3.996 20 0 CHADLO Cc1nc(N(C)Cc2cc(Cl)cc(Cl)c2)cc[nH+]1 ZINC000360951703 1127523108 /nfs/dbraw/zinc/52/31/08/1127523108.db2.gz RQBAUMOTZNLIRF-UHFFFAOYSA-N 1 2 282.174 3.728 20 0 CHADLO CCOc1ccccc1[C@H](CC)Nc1cc[nH+]c(C)n1 ZINC000360987527 1127527124 /nfs/dbraw/zinc/52/71/24/1127527124.db2.gz QMPZYLOORYVNNN-AWEZNQCLSA-N 1 2 271.364 3.747 20 0 CHADLO Cc1cccc(NC(=O)[C@@H](C)[N@@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000361057114 1127534216 /nfs/dbraw/zinc/53/42/16/1127534216.db2.gz KQOFQMBQTLRBGV-LSDHHAIUSA-N 1 2 294.398 3.899 20 0 CHADLO Cc1cccc(NC(=O)[C@@H](C)[N@H+]2Cc3ccccc3[C@@H]2C)c1 ZINC000361057114 1127534218 /nfs/dbraw/zinc/53/42/18/1127534218.db2.gz KQOFQMBQTLRBGV-LSDHHAIUSA-N 1 2 294.398 3.899 20 0 CHADLO C[C@@H](CCC1CCCC1)[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000361153880 1127542583 /nfs/dbraw/zinc/54/25/83/1127542583.db2.gz NXGZXBAUCHZUMC-YOEHRIQHSA-N 1 2 298.434 3.843 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCOc2c(F)ccc(F)c21)c1ccccn1 ZINC000361186881 1127545756 /nfs/dbraw/zinc/54/57/56/1127545756.db2.gz HKXJBHJXDKJKIF-IINYFYTJSA-N 1 2 290.313 3.534 20 0 CHADLO Cn1c(C[NH2+]Cc2cscc2Cl)nc2ccccc21 ZINC000761223339 1127549559 /nfs/dbraw/zinc/54/95/59/1127549559.db2.gz ZWCQTGFFBOYBGB-UHFFFAOYSA-N 1 2 291.807 3.578 20 0 CHADLO Fc1ccccc1C[NH2+][C@@H]1CCOc2c(F)ccc(F)c21 ZINC000361248279 1127549969 /nfs/dbraw/zinc/54/99/69/1127549969.db2.gz BXPSOYOVDHXHHA-CQSZACIVSA-N 1 2 293.288 3.717 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H](C)C3CCOCC3)cc2)c1C ZINC000361252486 1127550651 /nfs/dbraw/zinc/55/06/51/1127550651.db2.gz RKRAPQBZNRDQJM-CQSZACIVSA-N 1 2 299.418 3.716 20 0 CHADLO COc1ccccc1[C@@H](C)Sc1[nH+]cnc2[nH]ccc21 ZINC000361280628 1127552824 /nfs/dbraw/zinc/55/28/24/1127552824.db2.gz KNCGIRLBXQRJAO-SNVBAGLBSA-N 1 2 285.372 3.820 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H]2CCc3ccc(F)cc32)cs1 ZINC000361399265 1127561841 /nfs/dbraw/zinc/56/18/41/1127561841.db2.gz CTWJWWZQMQQOAO-OAHLLOKOSA-N 1 2 276.380 3.710 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H]2CCc3ccc(F)cc32)cs1 ZINC000361399265 1127561843 /nfs/dbraw/zinc/56/18/43/1127561843.db2.gz CTWJWWZQMQQOAO-OAHLLOKOSA-N 1 2 276.380 3.710 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cccc3cccnc32)c(C)[nH+]1 ZINC000361451200 1127563671 /nfs/dbraw/zinc/56/36/71/1127563671.db2.gz ZDGRKFHSFMTWSV-UHFFFAOYSA-N 1 2 291.354 3.807 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cn3c(cccc3C)n1)C2 ZINC000361838885 1127593417 /nfs/dbraw/zinc/59/34/17/1127593417.db2.gz FIQJCQYGMHHLOR-UHFFFAOYSA-N 1 2 291.398 3.509 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cn3c(cccc3C)n1)C2 ZINC000361838885 1127593419 /nfs/dbraw/zinc/59/34/19/1127593419.db2.gz FIQJCQYGMHHLOR-UHFFFAOYSA-N 1 2 291.398 3.509 20 0 CHADLO CCOC1CC(CNc2cc(CSCC)cc[nH+]2)C1 ZINC000602579102 1127596059 /nfs/dbraw/zinc/59/60/59/1127596059.db2.gz ZZMFWUJCOSNLQX-UHFFFAOYSA-N 1 2 280.437 3.562 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cc(C3CC3)no2)c1 ZINC000602575141 1127596070 /nfs/dbraw/zinc/59/60/70/1127596070.db2.gz BWXRIAYFIHIPNX-UHFFFAOYSA-N 1 2 289.404 3.812 20 0 CHADLO Cc1nocc1C[N@@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000294827604 1127602135 /nfs/dbraw/zinc/60/21/35/1127602135.db2.gz GTYSUDXRAWKAOZ-MEDUHNTESA-N 1 2 286.375 3.864 20 0 CHADLO Cc1nocc1C[N@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000294827604 1127602137 /nfs/dbraw/zinc/60/21/37/1127602137.db2.gz GTYSUDXRAWKAOZ-MEDUHNTESA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1nc(Cl)ccc1F ZINC001232159673 1127628481 /nfs/dbraw/zinc/62/84/81/1127628481.db2.gz UHEZCABGATUWMY-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1nc(Cl)ccc1F ZINC001232159673 1127628483 /nfs/dbraw/zinc/62/84/83/1127628483.db2.gz UHEZCABGATUWMY-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cccc(O)c1Cl ZINC000295278589 1127631412 /nfs/dbraw/zinc/63/14/12/1127631412.db2.gz IEKBCEATDOXIAF-JTQLQIEISA-N 1 2 265.740 3.832 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cccc(O)c1Cl ZINC000295278589 1127631415 /nfs/dbraw/zinc/63/14/15/1127631415.db2.gz IEKBCEATDOXIAF-JTQLQIEISA-N 1 2 265.740 3.832 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](C)c2cccc(C3CC3)c2)n1 ZINC000295317534 1127634650 /nfs/dbraw/zinc/63/46/50/1127634650.db2.gz GLQMIXVSPDTOIB-LBPRGKRZSA-N 1 2 285.391 3.750 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)no1)c1cccc(C2CC2)c1 ZINC000295432328 1127642392 /nfs/dbraw/zinc/64/23/92/1127642392.db2.gz OFFKYPKIROBEDN-NSHDSACASA-N 1 2 283.375 3.675 20 0 CHADLO CSc1cc(C)ccc1CNc1cc(C)[nH+]c(C2CC2)n1 ZINC000362560500 1127643527 /nfs/dbraw/zinc/64/35/27/1127643527.db2.gz MBWDKXZRTULPFP-UHFFFAOYSA-N 1 2 299.443 3.727 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CCC[C@](C)(F)C1 ZINC000295556110 1127645894 /nfs/dbraw/zinc/64/58/94/1127645894.db2.gz XNNFUSCZEPCRPG-NHYWBVRUSA-N 1 2 262.328 3.713 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CCC[C@](C)(F)C1 ZINC000295556110 1127645895 /nfs/dbraw/zinc/64/58/95/1127645895.db2.gz XNNFUSCZEPCRPG-NHYWBVRUSA-N 1 2 262.328 3.713 20 0 CHADLO C[NH+](C)c1ccc(NCc2cccc3cnccc32)cc1 ZINC000061125176 1127653186 /nfs/dbraw/zinc/65/31/86/1127653186.db2.gz PFPTVLRSGVOLNA-UHFFFAOYSA-N 1 2 277.371 3.913 20 0 CHADLO C[C@H](CNc1[nH+]ccc2ccccc21)C(=O)OC(C)(C)C ZINC000599102806 1127657179 /nfs/dbraw/zinc/65/71/79/1127657179.db2.gz UOWJPHUEQIYJEN-GFCCVEGCSA-N 1 2 286.375 3.625 20 0 CHADLO Cc1cc(Cl)c(NC(=O)[C@@H]2CCC[N@@H+]2C2CC2)cc1C ZINC000296292181 1127668169 /nfs/dbraw/zinc/66/81/69/1127668169.db2.gz XXFJQWHHUPVUPU-HNNXBMFYSA-N 1 2 292.810 3.522 20 0 CHADLO Cc1cc(Cl)c(NC(=O)[C@@H]2CCC[N@H+]2C2CC2)cc1C ZINC000296292181 1127668171 /nfs/dbraw/zinc/66/81/71/1127668171.db2.gz XXFJQWHHUPVUPU-HNNXBMFYSA-N 1 2 292.810 3.522 20 0 CHADLO CC[C@H](Cc1ccccc1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000603881829 1127679745 /nfs/dbraw/zinc/67/97/45/1127679745.db2.gz QQSQJUXPLMPGMG-OAHLLOKOSA-N 1 2 293.370 3.542 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@@H+]2C[C@@H]3CCCC[C@@]32C)n1 ZINC000599675861 1127686318 /nfs/dbraw/zinc/68/63/18/1127686318.db2.gz UUOGWLZLYOZAIF-HUBLWGQQSA-N 1 2 295.452 3.648 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@H+]2C[C@@H]3CCCC[C@@]32C)n1 ZINC000599675861 1127686319 /nfs/dbraw/zinc/68/63/19/1127686319.db2.gz UUOGWLZLYOZAIF-HUBLWGQQSA-N 1 2 295.452 3.648 20 0 CHADLO CC[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1cc(F)ccc1F ZINC000297129423 1127689213 /nfs/dbraw/zinc/68/92/13/1127689213.db2.gz YYBLQMNTJJCJCS-LKTVYLICSA-N 1 2 291.345 3.908 20 0 CHADLO Cc1cc(NCc2cc3c(s2)CCCC3)nc(C2CC2)[nH+]1 ZINC000604756963 1127715604 /nfs/dbraw/zinc/71/56/04/1127715604.db2.gz NRFWVOIDIBAVNQ-UHFFFAOYSA-N 1 2 299.443 3.637 20 0 CHADLO CCN(Cc1ccc(C)cc1)c1cc(C)[nH+]c(C2CC2)n1 ZINC000604757059 1127716185 /nfs/dbraw/zinc/71/61/85/1127716185.db2.gz ZOLAJKNXEUUJMC-UHFFFAOYSA-N 1 2 281.403 3.997 20 0 CHADLO Cc1ccccc1C[N@@H+](C)[C@@H](C)c1nc(C(C)C)no1 ZINC000298207575 1127716557 /nfs/dbraw/zinc/71/65/57/1127716557.db2.gz PKOKHKZLGPJNKE-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccccc1C[N@H+](C)[C@@H](C)c1nc(C(C)C)no1 ZINC000298207575 1127716562 /nfs/dbraw/zinc/71/65/62/1127716562.db2.gz PKOKHKZLGPJNKE-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO COc1cccc([C@@H](C)[NH2+][C@H](C)c2nc(C(C)C)no2)c1 ZINC000298853273 1127734688 /nfs/dbraw/zinc/73/46/88/1127734688.db2.gz DKHCWYBNFGGSSI-VXGBXAGGSA-N 1 2 289.379 3.613 20 0 CHADLO CCS[C@H](C)c1noc(C[NH+]2CCC3(CCC3)CC2)n1 ZINC000367548518 1127754607 /nfs/dbraw/zinc/75/46/07/1127754607.db2.gz FIMKBOCMPBSEFS-GFCCVEGCSA-N 1 2 295.452 3.650 20 0 CHADLO O=C(CC/C=C/c1ccccc1)Nc1ccc2[nH+]ccn2c1 ZINC000606198530 1127757345 /nfs/dbraw/zinc/75/73/45/1127757345.db2.gz JGGJAOSWDGZVPQ-XBXARRHUSA-N 1 2 291.354 3.766 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000369145201 1127760477 /nfs/dbraw/zinc/76/04/77/1127760477.db2.gz QMVKPGAMIGGZQK-HNNXBMFYSA-N 1 2 293.313 3.791 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCO[C@H](c3ccco3)C2)c1 ZINC000369145201 1127760479 /nfs/dbraw/zinc/76/04/79/1127760479.db2.gz QMVKPGAMIGGZQK-HNNXBMFYSA-N 1 2 293.313 3.791 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@@H](C)[C@H]2c2ccc(C)cc2)no1 ZINC000606452288 1127764131 /nfs/dbraw/zinc/76/41/31/1127764131.db2.gz SXOCMWYBLWBYEC-KDOFPFPSSA-N 1 2 299.418 3.914 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@@H](C)[C@H]2c2ccc(C)cc2)no1 ZINC000606452288 1127764134 /nfs/dbraw/zinc/76/41/34/1127764134.db2.gz SXOCMWYBLWBYEC-KDOFPFPSSA-N 1 2 299.418 3.914 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606581179 1127768039 /nfs/dbraw/zinc/76/80/39/1127768039.db2.gz TWLFPMHWIBLUPP-CYBMUJFWSA-N 1 2 288.395 3.671 20 0 CHADLO COc1ccccc1C(C)(C)C/[NH+]=C/c1ccccc1O ZINC000062692446 1127769403 /nfs/dbraw/zinc/76/94/03/1127769403.db2.gz SKUXNFIBVCKPAZ-XDHOZWIPSA-N 1 2 283.371 3.798 20 0 CHADLO OCCC[N@H+](Cc1csc(C2CCCCC2)n1)C1CC1 ZINC000607152978 1127793082 /nfs/dbraw/zinc/79/30/82/1127793082.db2.gz IXODSKMWXXLUMN-UHFFFAOYSA-N 1 2 294.464 3.538 20 0 CHADLO OCCC[N@@H+](Cc1csc(C2CCCCC2)n1)C1CC1 ZINC000607152978 1127793084 /nfs/dbraw/zinc/79/30/84/1127793084.db2.gz IXODSKMWXXLUMN-UHFFFAOYSA-N 1 2 294.464 3.538 20 0 CHADLO CC1=C[C@H](C)C[C@H](C[NH2+]CC(F)(F)Br)C1 ZINC000380171106 1127818199 /nfs/dbraw/zinc/81/81/99/1127818199.db2.gz DMXIRWDWTSBOEP-WPRPVWTQSA-N 1 2 282.172 3.556 20 0 CHADLO CCC[C@]1(C)CCC[N@H+](Cn2ncn(C3CC3)c2=S)C1 ZINC000173536671 1127836751 /nfs/dbraw/zinc/83/67/51/1127836751.db2.gz LYQQDFSEZJWDRX-OAHLLOKOSA-N 1 2 294.468 3.609 20 0 CHADLO CCC[C@]1(C)CCC[N@@H+](Cn2ncn(C3CC3)c2=S)C1 ZINC000173536671 1127836755 /nfs/dbraw/zinc/83/67/55/1127836755.db2.gz LYQQDFSEZJWDRX-OAHLLOKOSA-N 1 2 294.468 3.609 20 0 CHADLO Cc1cc(N2C3CCCC2CCC3)nc(C2CC2)[nH+]1 ZINC000608090847 1127847984 /nfs/dbraw/zinc/84/79/84/1127847984.db2.gz JAVNJAUWYBXHEP-UHFFFAOYSA-N 1 2 257.381 3.574 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](CC)c2nc(C)cs2)nc1 ZINC000411513433 1127862281 /nfs/dbraw/zinc/86/22/81/1127862281.db2.gz OUXRMQDYEIVJBF-AWEZNQCLSA-N 1 2 275.421 3.650 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2ncc(-c3ccccc3)o2)cn1 ZINC000608372503 1127863537 /nfs/dbraw/zinc/86/35/37/1127863537.db2.gz XHMVUAVTARDNPA-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO FC[C@@H]1C[N@H+](Cc2cc(Cl)cc(Cl)c2)CCCO1 ZINC000411867351 1127876430 /nfs/dbraw/zinc/87/64/30/1127876430.db2.gz XZHBCPAKLJCWJD-CYBMUJFWSA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@@H]1C[N@@H+](Cc2cc(Cl)cc(Cl)c2)CCCO1 ZINC000411867351 1127876433 /nfs/dbraw/zinc/87/64/33/1127876433.db2.gz XZHBCPAKLJCWJD-CYBMUJFWSA-N 1 2 292.181 3.554 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](CCSc1ccccc1)C2 ZINC000411937884 1127880526 /nfs/dbraw/zinc/88/05/26/1127880526.db2.gz HWKOEFLKCRVKPQ-UHFFFAOYSA-N 1 2 297.423 3.997 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](CCSc1ccccc1)C2 ZINC000411937884 1127880530 /nfs/dbraw/zinc/88/05/30/1127880530.db2.gz HWKOEFLKCRVKPQ-UHFFFAOYSA-N 1 2 297.423 3.997 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[N@@H+]1CCC[C@H]1c1nccs1 ZINC000374301247 1127886271 /nfs/dbraw/zinc/88/62/71/1127886271.db2.gz IPNKTBFTRWYQQV-LBPRGKRZSA-N 1 2 290.436 3.501 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[N@H+]1CCC[C@H]1c1nccs1 ZINC000374301247 1127886276 /nfs/dbraw/zinc/88/62/76/1127886276.db2.gz IPNKTBFTRWYQQV-LBPRGKRZSA-N 1 2 290.436 3.501 20 0 CHADLO CCC1(CNC(=O)c2c(C)cc(C)[nH+]c2C)CCCC1 ZINC001127218545 1127901992 /nfs/dbraw/zinc/90/19/92/1127901992.db2.gz QOZKKVFXQXSSLX-UHFFFAOYSA-N 1 2 274.408 3.707 20 0 CHADLO CCC[C@@H](Nc1[nH+]cnc2c1cnn2C)C1CCCCC1 ZINC000509712333 1127905742 /nfs/dbraw/zinc/90/57/42/1127905742.db2.gz KKTZBUHDUBTPQG-CQSZACIVSA-N 1 2 287.411 3.524 20 0 CHADLO COc1c(C)c[nH+]c(CSCC2CCC2)c1C ZINC000539626341 1127933238 /nfs/dbraw/zinc/93/32/38/1127933238.db2.gz FQRMZMCSXKSJDM-UHFFFAOYSA-N 1 2 251.395 3.740 20 0 CHADLO CCC[C@@H](C)[NH2+][C@H](Cc1ccccc1)C(=O)OC(C)(C)C ZINC000539682297 1127935727 /nfs/dbraw/zinc/93/57/27/1127935727.db2.gz KWJIFWWOISGYKK-GDBMZVCRSA-N 1 2 291.435 3.718 20 0 CHADLO CC[C@H]1CCCC[N@@H+]1Cc1c(Cl)ncnc1Cl ZINC001233410154 1127958145 /nfs/dbraw/zinc/95/81/45/1127958145.db2.gz AYFWMAFRYQYZNY-VIFPVBQESA-N 1 2 274.195 3.548 20 0 CHADLO CC[C@H]1CCCC[N@H+]1Cc1c(Cl)ncnc1Cl ZINC001233410154 1127958148 /nfs/dbraw/zinc/95/81/48/1127958148.db2.gz AYFWMAFRYQYZNY-VIFPVBQESA-N 1 2 274.195 3.548 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nnc(C(C)C)s1)c1cccnc1 ZINC000544575871 1127977704 /nfs/dbraw/zinc/97/77/04/1127977704.db2.gz UIEDRMYSORGBOC-CYBMUJFWSA-N 1 2 290.436 3.688 20 0 CHADLO C(=C/[C@H]1CCC[N@H+]1Cc1nnc(C2CC2)o1)\c1ccccc1 ZINC000540944878 1128002508 /nfs/dbraw/zinc/00/25/08/1128002508.db2.gz IKTGQLYBJFVVJP-YCABEKBOSA-N 1 2 295.386 3.625 20 0 CHADLO C(=C/[C@H]1CCC[N@@H+]1Cc1nnc(C2CC2)o1)\c1ccccc1 ZINC000540944878 1128002511 /nfs/dbraw/zinc/00/25/11/1128002511.db2.gz IKTGQLYBJFVVJP-YCABEKBOSA-N 1 2 295.386 3.625 20 0 CHADLO CCc1cc(OCC[C@@H]2CCCO2)c2ccccc2[nH+]1 ZINC000468389529 1128005683 /nfs/dbraw/zinc/00/56/83/1128005683.db2.gz IKKNOLJEACYAJZ-AWEZNQCLSA-N 1 2 271.360 3.745 20 0 CHADLO c1cnc2c(c1)C[N@@H+](C[C@H]1CCC3(CCCCCC3)O1)C2 ZINC000930306851 1128032179 /nfs/dbraw/zinc/03/21/79/1128032179.db2.gz MHPINRLVKXZZHL-MRXNPFEDSA-N 1 2 286.419 3.669 20 0 CHADLO c1cnc2c(c1)C[N@H+](C[C@H]1CCC3(CCCCCC3)O1)C2 ZINC000930306851 1128032181 /nfs/dbraw/zinc/03/21/81/1128032181.db2.gz MHPINRLVKXZZHL-MRXNPFEDSA-N 1 2 286.419 3.669 20 0 CHADLO FC1=CCC[N@H+](C/C=C/c2ccc(Cl)cc2)C1 ZINC000469768218 1128052825 /nfs/dbraw/zinc/05/28/25/1128052825.db2.gz IGESGWLLVQAUDD-HNQUOIGGSA-N 1 2 251.732 3.912 20 0 CHADLO FC1=CCC[N@@H+](C/C=C/c2ccc(Cl)cc2)C1 ZINC000469768218 1128052830 /nfs/dbraw/zinc/05/28/30/1128052830.db2.gz IGESGWLLVQAUDD-HNQUOIGGSA-N 1 2 251.732 3.912 20 0 CHADLO C[C@H]([NH2+]Cc1nc(-c2ccsc2)no1)c1ccsc1 ZINC000669938429 1128076436 /nfs/dbraw/zinc/07/64/36/1128076436.db2.gz NOCREKJSGAGXKH-VIFPVBQESA-N 1 2 291.401 3.710 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470909638 1128080307 /nfs/dbraw/zinc/08/03/07/1128080307.db2.gz UEJXOBFHHIOWON-OHUAYANFSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000470909638 1128080311 /nfs/dbraw/zinc/08/03/11/1128080311.db2.gz UEJXOBFHHIOWON-OHUAYANFSA-N 1 2 281.346 3.525 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CC[C@](F)(c3ccccc3)C2)o1 ZINC000669942817 1128081074 /nfs/dbraw/zinc/08/10/74/1128081074.db2.gz LPLWMTMQZUYBPV-CZUORRHYSA-N 1 2 274.339 3.615 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CC[C@](F)(c3ccccc3)C2)o1 ZINC000669942817 1128081078 /nfs/dbraw/zinc/08/10/78/1128081078.db2.gz LPLWMTMQZUYBPV-CZUORRHYSA-N 1 2 274.339 3.615 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000470953369 1128083034 /nfs/dbraw/zinc/08/30/34/1128083034.db2.gz NYGOMALUOSCZMF-VHSXEESVSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1ncc(C(C)(C)C)o1 ZINC000470953369 1128083038 /nfs/dbraw/zinc/08/30/38/1128083038.db2.gz NYGOMALUOSCZMF-VHSXEESVSA-N 1 2 290.329 3.745 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)Cc2nccs2)cc1 ZINC000175081931 1128111407 /nfs/dbraw/zinc/11/14/07/1128111407.db2.gz VROKYGKHLBTVPW-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)Cc2nccs2)cc1 ZINC000175081931 1128111410 /nfs/dbraw/zinc/11/14/10/1128111410.db2.gz VROKYGKHLBTVPW-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO CCOc1ccccc1C[NH2+][C@@H]1CCCc2oc(C)nc21 ZINC000924739249 1128111454 /nfs/dbraw/zinc/11/14/54/1128111454.db2.gz HITBEPRKRDEUDI-CQSZACIVSA-N 1 2 286.375 3.549 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@H](C)c2ccc(F)cc2Cl)on1 ZINC000925094564 1128121750 /nfs/dbraw/zinc/12/17/50/1128121750.db2.gz PACVZVFKVCJEKJ-BDAKNGLRSA-N 1 2 298.745 3.888 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cn(C)cn1)c1ccc(F)cc1F ZINC000925095989 1128121819 /nfs/dbraw/zinc/12/18/19/1128121819.db2.gz BYXKLGCVMJJWEI-HZMBPMFUSA-N 1 2 279.334 3.500 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cc(-c3ccccc3)no2)s1 ZINC000925151606 1128123501 /nfs/dbraw/zinc/12/35/01/1128123501.db2.gz KPFVPOBLVVBEAO-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(CF)cc2)cs1 ZINC000777559598 1128128823 /nfs/dbraw/zinc/12/88/23/1128128823.db2.gz HJAYEJVXYZCLST-UHFFFAOYSA-N 1 2 278.396 3.807 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(CF)cc2)cs1 ZINC000777559598 1128128825 /nfs/dbraw/zinc/12/88/25/1128128825.db2.gz HJAYEJVXYZCLST-UHFFFAOYSA-N 1 2 278.396 3.807 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2Nc1ccc([NH+](C)C)cc1 ZINC000926028924 1128150402 /nfs/dbraw/zinc/15/04/02/1128150402.db2.gz XEANOEANDCITBH-CQSZACIVSA-N 1 2 271.364 3.539 20 0 CHADLO C[C@@H]1CC[N@H+](CCCOCc2ccccc2)CC1(F)F ZINC000494218275 1128159642 /nfs/dbraw/zinc/15/96/42/1128159642.db2.gz BALOJKJYJPITOB-CQSZACIVSA-N 1 2 283.362 3.570 20 0 CHADLO C[C@@H]1CC[N@@H+](CCCOCc2ccccc2)CC1(F)F ZINC000494218275 1128159645 /nfs/dbraw/zinc/15/96/45/1128159645.db2.gz BALOJKJYJPITOB-CQSZACIVSA-N 1 2 283.362 3.570 20 0 CHADLO CCc1noc([C@H](C)[N@H+](C)C/C(C)=C/c2ccccc2)n1 ZINC000494233798 1128167344 /nfs/dbraw/zinc/16/73/44/1128167344.db2.gz KWJZDFPEEGMUQS-CMPYXILNSA-N 1 2 285.391 3.728 20 0 CHADLO CCc1noc([C@H](C)[N@@H+](C)C/C(C)=C/c2ccccc2)n1 ZINC000494233798 1128167345 /nfs/dbraw/zinc/16/73/45/1128167345.db2.gz KWJZDFPEEGMUQS-CMPYXILNSA-N 1 2 285.391 3.728 20 0 CHADLO C[C@H]1CC[N@H+](CCc2c(F)cccc2Cl)C[C@@H]1F ZINC001168465593 1128176810 /nfs/dbraw/zinc/17/68/10/1128176810.db2.gz DZFRPSWSGMIEFN-HZMBPMFUSA-N 1 2 273.754 3.702 20 0 CHADLO C[C@H]1CC[N@@H+](CCc2c(F)cccc2Cl)C[C@@H]1F ZINC001168465593 1128176812 /nfs/dbraw/zinc/17/68/12/1128176812.db2.gz DZFRPSWSGMIEFN-HZMBPMFUSA-N 1 2 273.754 3.702 20 0 CHADLO Cc1ccccc1C1([NH2+][C@H](C)c2ncco2)CCC1 ZINC000926644387 1128185226 /nfs/dbraw/zinc/18/52/26/1128185226.db2.gz YTQMOESXOXYKLZ-CYBMUJFWSA-N 1 2 256.349 3.713 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CC[C@H]3SCCS[C@H]23)cc1 ZINC000777602734 1128190627 /nfs/dbraw/zinc/19/06/27/1128190627.db2.gz VMLOVDLDWUYHQM-RBSFLKMASA-N 1 2 294.489 3.544 20 0 CHADLO FCc1ccc(C[N@@H+]2CCc3c(F)cc(F)cc3C2)cc1 ZINC000777604027 1128191138 /nfs/dbraw/zinc/19/11/38/1128191138.db2.gz GLFKDGIMLUJHGR-UHFFFAOYSA-N 1 2 291.316 3.993 20 0 CHADLO FCc1ccc(C[N@H+]2CCc3c(F)cc(F)cc3C2)cc1 ZINC000777604027 1128191140 /nfs/dbraw/zinc/19/11/40/1128191140.db2.gz GLFKDGIMLUJHGR-UHFFFAOYSA-N 1 2 291.316 3.993 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](c2ccccc2)c2ccncc2)s1 ZINC000226605450 1128195987 /nfs/dbraw/zinc/19/59/87/1128195987.db2.gz UEISNVMAMYNPGS-KRWDZBQOSA-N 1 2 295.411 3.726 20 0 CHADLO CC[C@@H]1CCC[C@@H]1[NH2+]c1ccc(N2CCOC[C@@H]2C)cc1 ZINC000777694724 1128245922 /nfs/dbraw/zinc/24/59/22/1128245922.db2.gz QBMOHNDPZMIZFG-DAYGRLMNSA-N 1 2 288.435 3.902 20 0 CHADLO Cc1[nH]c(CN(C)[C@H](C)c2cccc(Cl)c2)c(C)[nH+]1 ZINC000929291617 1128268675 /nfs/dbraw/zinc/26/86/75/1128268675.db2.gz SVVJKZTUUXUWGU-LLVKDONJSA-N 1 2 277.799 3.873 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)ccc(Br)c2F)C1 ZINC000230343153 1128250877 /nfs/dbraw/zinc/25/08/77/1128250877.db2.gz OURQAGJCAHGPRC-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)ccc(Br)c2F)C1 ZINC000230343153 1128250879 /nfs/dbraw/zinc/25/08/79/1128250879.db2.gz OURQAGJCAHGPRC-MRVPVSSYSA-N 1 2 290.151 3.569 20 0 CHADLO CCc1onc(C)c1C[N@H+](C)Cc1ccccc1Cl ZINC000929122491 1128258576 /nfs/dbraw/zinc/25/85/76/1128258576.db2.gz UCIJWVKETODQHG-UHFFFAOYSA-N 1 2 278.783 3.831 20 0 CHADLO CCc1onc(C)c1C[N@@H+](C)Cc1ccccc1Cl ZINC000929122491 1128258579 /nfs/dbraw/zinc/25/85/79/1128258579.db2.gz UCIJWVKETODQHG-UHFFFAOYSA-N 1 2 278.783 3.831 20 0 CHADLO Cc1nnsc1[C@@H](C)[N@H+](C)Cc1cccc(Cl)c1 ZINC000929232779 1128265826 /nfs/dbraw/zinc/26/58/26/1128265826.db2.gz WUPBRUHSSNGCNM-SNVBAGLBSA-N 1 2 281.812 3.693 20 0 CHADLO Cc1nnsc1[C@@H](C)[N@@H+](C)Cc1cccc(Cl)c1 ZINC000929232779 1128265828 /nfs/dbraw/zinc/26/58/28/1128265828.db2.gz WUPBRUHSSNGCNM-SNVBAGLBSA-N 1 2 281.812 3.693 20 0 CHADLO C[C@@H](Cc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1)C1CC1 ZINC000762076803 1128266595 /nfs/dbraw/zinc/26/65/95/1128266595.db2.gz NXRCUBAKPVGRCL-LBPRGKRZSA-N 1 2 294.358 3.511 20 0 CHADLO CCc1onc(C)c1C[N@H+](C)[C@@H](C)c1ccccc1F ZINC000929284391 1128266988 /nfs/dbraw/zinc/26/69/88/1128266988.db2.gz AHUJAZUWYKKQTF-LBPRGKRZSA-N 1 2 276.355 3.878 20 0 CHADLO CCc1onc(C)c1C[N@@H+](C)[C@@H](C)c1ccccc1F ZINC000929284391 1128266989 /nfs/dbraw/zinc/26/69/89/1128266989.db2.gz AHUJAZUWYKKQTF-LBPRGKRZSA-N 1 2 276.355 3.878 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cnc(-c2ccco2)s1 ZINC000495162824 1128239007 /nfs/dbraw/zinc/23/90/07/1128239007.db2.gz DJAAKPRBUSLETG-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cnc(-c2ccco2)s1 ZINC000495162824 1128239012 /nfs/dbraw/zinc/23/90/12/1128239012.db2.gz DJAAKPRBUSLETG-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO CCCC[N@H+](Cc1nncs1)[C@@H](C)c1ccccc1 ZINC000929390518 1128272822 /nfs/dbraw/zinc/27/28/22/1128272822.db2.gz KSAYRAGFDNWINP-ZDUSSCGKSA-N 1 2 275.421 3.901 20 0 CHADLO CCCC[N@@H+](Cc1nncs1)[C@@H](C)c1ccccc1 ZINC000929390518 1128272827 /nfs/dbraw/zinc/27/28/27/1128272827.db2.gz KSAYRAGFDNWINP-ZDUSSCGKSA-N 1 2 275.421 3.901 20 0 CHADLO CN(C)c1cc(COc2cc(Cl)ccc2F)cc[nH+]1 ZINC000762477559 1128302071 /nfs/dbraw/zinc/30/20/71/1128302071.db2.gz ASIIEONHOCDPIP-UHFFFAOYSA-N 1 2 280.730 3.519 20 0 CHADLO Cc1cc(C)nc(C[NH2+][C@H](C)c2c(F)cccc2F)c1 ZINC000930194431 1128306792 /nfs/dbraw/zinc/30/67/92/1128306792.db2.gz YJNZFFHLPWPOAC-GFCCVEGCSA-N 1 2 276.330 3.827 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+][C@H](C)c1ncccn1 ZINC000930200150 1128306399 /nfs/dbraw/zinc/30/63/99/1128306399.db2.gz DRAAGBUBSKJKFR-HIFRSBDPSA-N 1 2 285.391 3.677 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2nncs2)cc1 ZINC000930215283 1128307764 /nfs/dbraw/zinc/30/77/64/1128307764.db2.gz SDAAJVMVMRFVJG-SNVBAGLBSA-N 1 2 279.434 3.501 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@@H+]1Cc1coc(C(C)(C)C)n1 ZINC000930275433 1128311491 /nfs/dbraw/zinc/31/14/91/1128311491.db2.gz RIQBLZRCLMXWTL-ONGXEEELSA-N 1 2 290.329 3.745 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CC[N@H+]1Cc1coc(C(C)(C)C)n1 ZINC000930275433 1128311496 /nfs/dbraw/zinc/31/14/96/1128311496.db2.gz RIQBLZRCLMXWTL-ONGXEEELSA-N 1 2 290.329 3.745 20 0 CHADLO CC(C)c1cccc2c1CC[N@@H+]([C@H](C)c1ncccn1)C2 ZINC000930256719 1128310937 /nfs/dbraw/zinc/31/09/37/1128310937.db2.gz XWEHXWRMDHWJLR-CQSZACIVSA-N 1 2 281.403 3.719 20 0 CHADLO CC(C)c1cccc2c1CC[N@H+]([C@H](C)c1ncccn1)C2 ZINC000930256719 1128310942 /nfs/dbraw/zinc/31/09/42/1128310942.db2.gz XWEHXWRMDHWJLR-CQSZACIVSA-N 1 2 281.403 3.719 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(-c3ccsc3)n2)CCS1 ZINC000171686934 1128316145 /nfs/dbraw/zinc/31/61/45/1128316145.db2.gz OFFWIEHGAFNXBE-SNVBAGLBSA-N 1 2 296.486 3.809 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(-c3ccsc3)n2)CCS1 ZINC000171686934 1128316148 /nfs/dbraw/zinc/31/61/48/1128316148.db2.gz OFFWIEHGAFNXBE-SNVBAGLBSA-N 1 2 296.486 3.809 20 0 CHADLO CC(C)([NH2+]Cc1c(F)cncc1F)c1ccccc1F ZINC000930554069 1128324937 /nfs/dbraw/zinc/32/49/37/1128324937.db2.gz GZNMBMKSGRGGNY-UHFFFAOYSA-N 1 2 280.293 3.524 20 0 CHADLO CCc1ncc(C[NH2+]C2(c3ccccc3Cl)CC2)o1 ZINC000930816097 1128336904 /nfs/dbraw/zinc/33/69/04/1128336904.db2.gz WWIUNWYXUUWJJU-UHFFFAOYSA-N 1 2 276.767 3.669 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc(C)c(C)cc1OC)C2 ZINC001238768656 1131243074 /nfs/dbraw/zinc/24/30/74/1131243074.db2.gz YVSBABMBLVMROR-UHFFFAOYSA-N 1 2 297.398 3.836 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc(C)c(C)cc1OC)C2 ZINC001238768656 1131243078 /nfs/dbraw/zinc/24/30/78/1131243078.db2.gz YVSBABMBLVMROR-UHFFFAOYSA-N 1 2 297.398 3.836 20 0 CHADLO Cc1nnc([C@@H]2Cc3ccccc3C[N@@H+]2[C@H]2C=CCCC2)o1 ZINC000171733880 1128340991 /nfs/dbraw/zinc/34/09/91/1128340991.db2.gz BDSQAEZXKQESLV-IRXDYDNUSA-N 1 2 295.386 3.586 20 0 CHADLO Cc1nnc([C@@H]2Cc3ccccc3C[N@H+]2[C@H]2C=CCCC2)o1 ZINC000171733880 1128340995 /nfs/dbraw/zinc/34/09/95/1128340995.db2.gz BDSQAEZXKQESLV-IRXDYDNUSA-N 1 2 295.386 3.586 20 0 CHADLO CC1(C)C[C@@]1([NH2+]Cc1c(F)cncc1F)c1ccccc1 ZINC000931080391 1128346653 /nfs/dbraw/zinc/34/66/53/1128346653.db2.gz YMIRSVKLNUAFOK-QGZVFWFLSA-N 1 2 288.341 3.775 20 0 CHADLO CN(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CCCCCCC1 ZINC000931278127 1128355420 /nfs/dbraw/zinc/35/54/20/1128355420.db2.gz VTVJICIMFDNMPI-UHFFFAOYSA-N 1 2 285.391 3.748 20 0 CHADLO Fc1ccc([C@H]2c3[nH]cnc3CC[N@H+]2CC2=CCCC2)cc1 ZINC000931385293 1128359686 /nfs/dbraw/zinc/35/96/86/1128359686.db2.gz JHNZXUIVLDMXFQ-SFHVURJKSA-N 1 2 297.377 3.607 20 0 CHADLO Fc1ccc([C@H]2c3[nH]cnc3CC[N@@H+]2CC2=CCCC2)cc1 ZINC000931385293 1128359691 /nfs/dbraw/zinc/35/96/91/1128359691.db2.gz JHNZXUIVLDMXFQ-SFHVURJKSA-N 1 2 297.377 3.607 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC000932565448 1128415101 /nfs/dbraw/zinc/41/51/01/1128415101.db2.gz NLLNFEGOWXYKHD-WDEREUQCSA-N 1 2 289.354 3.806 20 0 CHADLO C[C@H]([NH2+]Cc1coc(C(C)(C)C)n1)c1cc2cnccc2o1 ZINC000931566543 1128370234 /nfs/dbraw/zinc/37/02/34/1128370234.db2.gz YKUSFNKZVOPLAG-NSHDSACASA-N 1 2 299.374 3.964 20 0 CHADLO CCC(C)(C)[N@H+](C)[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000638063519 1128384790 /nfs/dbraw/zinc/38/47/90/1128384790.db2.gz URWXDOIXUANJDQ-CYBMUJFWSA-N 1 2 264.417 3.547 20 0 CHADLO CCC(C)(C)[N@@H+](C)[C@@H](c1nc[nH]n1)C1CCCCC1 ZINC000638063519 1128384795 /nfs/dbraw/zinc/38/47/95/1128384795.db2.gz URWXDOIXUANJDQ-CYBMUJFWSA-N 1 2 264.417 3.547 20 0 CHADLO CNc1cc(-c2ccc(OC(C)(C)C)cc2)cc[nH+]1 ZINC001235928629 1128395077 /nfs/dbraw/zinc/39/50/77/1128395077.db2.gz WHHSKNOCHVDXEN-UHFFFAOYSA-N 1 2 256.349 3.968 20 0 CHADLO C[C@H](CCc1ccc(O)cc1)[NH2+]c1ccc(N(C)C)cc1 ZINC000036335455 1128406320 /nfs/dbraw/zinc/40/63/20/1128406320.db2.gz KQTCXLULAREZRA-CQSZACIVSA-N 1 2 284.403 3.891 20 0 CHADLO C[C@H](CCc1ccc(O)cc1)Nc1ccc([NH+](C)C)cc1 ZINC000036335455 1128406321 /nfs/dbraw/zinc/40/63/21/1128406321.db2.gz KQTCXLULAREZRA-CQSZACIVSA-N 1 2 284.403 3.891 20 0 CHADLO CC(C)(C)c1cc(C[NH2+][C@H](c2ccccn2)C2CC2)no1 ZINC000932383977 1128406342 /nfs/dbraw/zinc/40/63/42/1128406342.db2.gz PDZVKSYFGGHJII-INIZCTEOSA-N 1 2 285.391 3.608 20 0 CHADLO COc1ccc(C[C@@H](C)Nc2ccc([NH+](C)C)cc2)cc1 ZINC000036335473 1128406553 /nfs/dbraw/zinc/40/65/53/1128406553.db2.gz SEAVRNCXHLSZTA-CQSZACIVSA-N 1 2 284.403 3.804 20 0 CHADLO COc1ccc(C[C@@H](C)[NH2+]c2ccc(N(C)C)cc2)cc1 ZINC000036335473 1128406555 /nfs/dbraw/zinc/40/65/55/1128406555.db2.gz SEAVRNCXHLSZTA-CQSZACIVSA-N 1 2 284.403 3.804 20 0 CHADLO C[C@@H](CCc1ccc(O)cc1)[NH2+]c1ccc(N(C)C)cc1 ZINC000036335454 1128406584 /nfs/dbraw/zinc/40/65/84/1128406584.db2.gz KQTCXLULAREZRA-AWEZNQCLSA-N 1 2 284.403 3.891 20 0 CHADLO C[C@@H](CCc1ccc(O)cc1)Nc1ccc([NH+](C)C)cc1 ZINC000036335454 1128406587 /nfs/dbraw/zinc/40/65/87/1128406587.db2.gz KQTCXLULAREZRA-AWEZNQCLSA-N 1 2 284.403 3.891 20 0 CHADLO CC(C)C[N@H+](Cc1nccn1C(C)C)Cc1ccccn1 ZINC000932446779 1128410417 /nfs/dbraw/zinc/41/04/17/1128410417.db2.gz VRZRAYMBWPPSSF-UHFFFAOYSA-N 1 2 286.423 3.517 20 0 CHADLO CC(C)C[N@@H+](Cc1nccn1C(C)C)Cc1ccccn1 ZINC000932446779 1128410419 /nfs/dbraw/zinc/41/04/19/1128410419.db2.gz VRZRAYMBWPPSSF-UHFFFAOYSA-N 1 2 286.423 3.517 20 0 CHADLO c1nc(C[N@@H+]2CCC[C@]3(C=Cc4ccccc4O3)C2)cs1 ZINC000933659942 1128439978 /nfs/dbraw/zinc/43/99/78/1128439978.db2.gz QZAXGEFTOIRCIP-KRWDZBQOSA-N 1 2 298.411 3.584 20 0 CHADLO c1nc(C[N@H+]2CCC[C@]3(C=Cc4ccccc4O3)C2)cs1 ZINC000933659942 1128439981 /nfs/dbraw/zinc/43/99/81/1128439981.db2.gz QZAXGEFTOIRCIP-KRWDZBQOSA-N 1 2 298.411 3.584 20 0 CHADLO Cc1cccc(C)c1C[N@@H+](C)[C@@H](C)c1nc(C2CC2)no1 ZINC000933765982 1128442557 /nfs/dbraw/zinc/44/25/57/1128442557.db2.gz CLGMKVHJXFLOHH-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1cccc(C)c1C[N@H+](C)[C@@H](C)c1nc(C2CC2)no1 ZINC000933765982 1128442559 /nfs/dbraw/zinc/44/25/59/1128442559.db2.gz CLGMKVHJXFLOHH-ZDUSSCGKSA-N 1 2 285.391 3.757 20 0 CHADLO Fc1cc(C[N@H+](Cc2ccoc2)C2CC2)cnc1Cl ZINC000934006198 1128449328 /nfs/dbraw/zinc/44/93/28/1128449328.db2.gz XTIPUMQVNCTXKI-UHFFFAOYSA-N 1 2 280.730 3.632 20 0 CHADLO Fc1cc(C[N@@H+](Cc2ccoc2)C2CC2)cnc1Cl ZINC000934006198 1128449331 /nfs/dbraw/zinc/44/93/31/1128449331.db2.gz XTIPUMQVNCTXKI-UHFFFAOYSA-N 1 2 280.730 3.632 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ncc[nH]2)c2ccccc2)cc1F ZINC000125632132 1128451545 /nfs/dbraw/zinc/45/15/45/1128451545.db2.gz SICKGNQKRKOWSZ-QGZVFWFLSA-N 1 2 295.361 3.736 20 0 CHADLO CO[C@@H]1CC[C@@H]1[N@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000934126513 1128451723 /nfs/dbraw/zinc/45/17/23/1128451723.db2.gz UWWPYTQIQCZDBM-LSDHHAIUSA-N 1 2 294.464 3.800 20 0 CHADLO CO[C@@H]1CC[C@@H]1[N@@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000934126513 1128451724 /nfs/dbraw/zinc/45/17/24/1128451724.db2.gz UWWPYTQIQCZDBM-LSDHHAIUSA-N 1 2 294.464 3.800 20 0 CHADLO Cc1nsc(C)c1C[N@H+](Cc1ccoc1)C1CC1 ZINC000934003966 1128449734 /nfs/dbraw/zinc/44/97/34/1128449734.db2.gz LUMFKRRKORTXKB-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO Cc1nsc(C)c1C[N@@H+](Cc1ccoc1)C1CC1 ZINC000934003966 1128449735 /nfs/dbraw/zinc/44/97/35/1128449735.db2.gz LUMFKRRKORTXKB-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO c1cn(-c2ccc(NCCc3ccccc3)cc2)c[nH+]1 ZINC000916840977 1128457237 /nfs/dbraw/zinc/45/72/37/1128457237.db2.gz SMMGHWDQYYGMQM-UHFFFAOYSA-N 1 2 263.344 3.527 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCN(c3ccccc3)[C@H](C)C2)o1 ZINC000934328623 1128458373 /nfs/dbraw/zinc/45/83/73/1128458373.db2.gz TWEIMIUYHXCFNY-OAHLLOKOSA-N 1 2 299.418 3.509 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCN(c3ccccc3)[C@H](C)C2)o1 ZINC000934328623 1128458374 /nfs/dbraw/zinc/45/83/74/1128458374.db2.gz TWEIMIUYHXCFNY-OAHLLOKOSA-N 1 2 299.418 3.509 20 0 CHADLO COc1ccc2oc(CNc3ccc(N(C)C)[nH+]c3)cc2c1 ZINC000126753318 1128466366 /nfs/dbraw/zinc/46/63/66/1128466366.db2.gz KDEKUMUTUGNTHL-UHFFFAOYSA-N 1 2 297.358 3.515 20 0 CHADLO Cc1nc([C@H](C)[NH+]2CCC(c3cc(C)ccc3C)CC2)no1 ZINC000934796809 1128470189 /nfs/dbraw/zinc/47/01/89/1128470189.db2.gz ITVPYTVLMJMPGJ-AWEZNQCLSA-N 1 2 299.418 3.935 20 0 CHADLO Cc1cc(C)cc(C2CC[NH+](Cc3csnn3)CC2)c1 ZINC000934801719 1128470240 /nfs/dbraw/zinc/47/02/40/1128470240.db2.gz KJQKKAKASZBNEC-UHFFFAOYSA-N 1 2 287.432 3.535 20 0 CHADLO CCC[C@H](C)CC[C@@H](C)[N@@H+]1CCCC(=O)[C@H](F)C1 ZINC001257475914 1128479645 /nfs/dbraw/zinc/47/96/45/1128479645.db2.gz IFBPKULVXGKCSH-BFHYXJOUSA-N 1 2 257.393 3.594 20 0 CHADLO CCC[C@H](C)CC[C@@H](C)[N@H+]1CCCC(=O)[C@H](F)C1 ZINC001257475914 1128479646 /nfs/dbraw/zinc/47/96/46/1128479646.db2.gz IFBPKULVXGKCSH-BFHYXJOUSA-N 1 2 257.393 3.594 20 0 CHADLO C[C@@H](CC(=O)N1CCCC[C@H]1c1ccccc1)n1cc[nH+]c1 ZINC000563814850 1128480346 /nfs/dbraw/zinc/48/03/46/1128480346.db2.gz JTWXGJFUGZGLOG-RDJZCZTQSA-N 1 2 297.402 3.588 20 0 CHADLO CC[N@H+](Cc1c(F)c(F)c(F)c(F)c1F)C(C)C ZINC000917089386 1128478145 /nfs/dbraw/zinc/47/81/45/1128478145.db2.gz SPRITZPEFVCXHJ-UHFFFAOYSA-N 1 2 267.241 3.612 20 0 CHADLO CC[N@@H+](Cc1c(F)c(F)c(F)c(F)c1F)C(C)C ZINC000917089386 1128478147 /nfs/dbraw/zinc/47/81/47/1128478147.db2.gz SPRITZPEFVCXHJ-UHFFFAOYSA-N 1 2 267.241 3.612 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1ncc(-c2cccs2)o1 ZINC000127778886 1128482361 /nfs/dbraw/zinc/48/23/61/1128482361.db2.gz VAWJUBQYGCAICP-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1ncc(-c2cccs2)o1 ZINC000127778886 1128482363 /nfs/dbraw/zinc/48/23/63/1128482363.db2.gz VAWJUBQYGCAICP-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO COc1cc(C)cc(CNc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000128110781 1128487566 /nfs/dbraw/zinc/48/75/66/1128487566.db2.gz CVHCPCCZMIIYFX-UHFFFAOYSA-N 1 2 283.375 3.592 20 0 CHADLO CC(C)=CCC[C@@H](C)CC(=O)N(C)CCCn1cc[nH+]c1 ZINC000565027387 1128518498 /nfs/dbraw/zinc/51/84/98/1128518498.db2.gz PRCRVWXYWCOIGY-MRXNPFEDSA-N 1 2 291.439 3.504 20 0 CHADLO CC(C)(CC1CCCCCC1)NC(=O)CCc1[nH]cc[nH+]1 ZINC000565146794 1128521095 /nfs/dbraw/zinc/52/10/95/1128521095.db2.gz FDDUAYBLYGSKFM-UHFFFAOYSA-N 1 2 291.439 3.598 20 0 CHADLO Cc1[nH]c(CN[C@@H](C)c2ccc(F)cc2Cl)[nH+]c1C ZINC000565241669 1128522412 /nfs/dbraw/zinc/52/24/12/1128522412.db2.gz HIQZLEQLCNUZDN-JTQLQIEISA-N 1 2 281.762 3.670 20 0 CHADLO CCCCOCC[N@@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000565257666 1128523078 /nfs/dbraw/zinc/52/30/78/1128523078.db2.gz AEHYEYUOEDAOJO-MRXNPFEDSA-N 1 2 283.362 3.513 20 0 CHADLO CCCCOCC[N@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000565257666 1128523083 /nfs/dbraw/zinc/52/30/83/1128523083.db2.gz AEHYEYUOEDAOJO-MRXNPFEDSA-N 1 2 283.362 3.513 20 0 CHADLO CCCCC[C@@H](C)N1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001257518697 1128534816 /nfs/dbraw/zinc/53/48/16/1128534816.db2.gz QMVIBNSBTXOUDC-CABCVRRESA-N 1 2 288.426 3.761 20 0 CHADLO CCCCC[C@@H](C)[N@@H+]1CC[C@H](N2CCCC2)C(F)(F)C1 ZINC001257518697 1128534818 /nfs/dbraw/zinc/53/48/18/1128534818.db2.gz QMVIBNSBTXOUDC-CABCVRRESA-N 1 2 288.426 3.761 20 0 CHADLO CCCCC[C@@H](C)[N@H+]1CC[C@H](N2CCCC2)C(F)(F)C1 ZINC001257518697 1128534820 /nfs/dbraw/zinc/53/48/20/1128534820.db2.gz QMVIBNSBTXOUDC-CABCVRRESA-N 1 2 288.426 3.761 20 0 CHADLO Cc1ccc(C[NH2+][C@H]2CCCc3cn[nH]c32)c(Cl)c1 ZINC000565955555 1128535791 /nfs/dbraw/zinc/53/57/91/1128535791.db2.gz KSCIWZWUFUIDNG-AWEZNQCLSA-N 1 2 275.783 3.539 20 0 CHADLO CCSCc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1 ZINC000566499049 1128545931 /nfs/dbraw/zinc/54/59/31/1128545931.db2.gz LOOQOLHJGQMQNA-UHFFFAOYSA-N 1 2 275.421 3.892 20 0 CHADLO CCOc1ccc(Cl)c(NCc2[nH]c(C)c(C)[nH+]2)c1 ZINC000566631725 1128548460 /nfs/dbraw/zinc/54/84/60/1128548460.db2.gz WAKKGQJAIXRUSQ-UHFFFAOYSA-N 1 2 279.771 3.691 20 0 CHADLO COc1ccsc1[C@H](C)[NH2+][C@H](C)c1cscn1 ZINC000567628103 1128568974 /nfs/dbraw/zinc/56/89/74/1128568974.db2.gz JTUVQLDTIVBXBF-BDAKNGLRSA-N 1 2 268.407 3.625 20 0 CHADLO CC(F)(F)C[NH2+][C@@H](C[C@H]1CCOC1)c1ccc(F)cc1 ZINC000569991456 1128613625 /nfs/dbraw/zinc/61/36/25/1128613625.db2.gz AJOCFNLTIFMWBQ-RISCZKNCSA-N 1 2 287.325 3.538 20 0 CHADLO Cc1ccc2c(C(=O)Nc3cc(C)[nH+]cc3C)csc2c1 ZINC000568462071 1128586072 /nfs/dbraw/zinc/58/60/72/1128586072.db2.gz BEUQDKOXTMUONG-UHFFFAOYSA-N 1 2 296.395 3.896 20 0 CHADLO CCOc1ccccc1[C@@H](C)[NH2+]Cc1ncc(CC)s1 ZINC000130623696 1128589848 /nfs/dbraw/zinc/58/98/48/1128589848.db2.gz OUOUCTZPJPIHGG-GFCCVEGCSA-N 1 2 290.432 3.955 20 0 CHADLO CC(C)(C)c1cnc(Cn2c[nH+]c(Cc3ccccc3)c2)o1 ZINC000919137582 1128591216 /nfs/dbraw/zinc/59/12/16/1128591216.db2.gz ULPWBOOOIQBHMH-UHFFFAOYSA-N 1 2 295.386 3.808 20 0 CHADLO FC1(F)CC[C@H](Cn2c[nH+]c(Cc3ccccc3)c2)C1 ZINC000919150183 1128592133 /nfs/dbraw/zinc/59/21/33/1128592133.db2.gz IKEFNLDRLBKXCU-AWEZNQCLSA-N 1 2 276.330 3.909 20 0 CHADLO COc1cc(-c2ccc3[nH+]c(C)cn3c2)cc(C)c1F ZINC001236191188 1128602153 /nfs/dbraw/zinc/60/21/53/1128602153.db2.gz RJTMJLKHTLDVCY-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(OC)cc2F)cs1 ZINC000570336917 1128621386 /nfs/dbraw/zinc/62/13/86/1128621386.db2.gz KZTSRHJFUVVSRL-SNVBAGLBSA-N 1 2 294.395 3.704 20 0 CHADLO Cc1cc(NC(=O)CSc2ccc(Cl)cc2)cc[nH+]1 ZINC000072710092 1128629406 /nfs/dbraw/zinc/62/94/06/1128629406.db2.gz BVOIYCKDLIPEHO-UHFFFAOYSA-N 1 2 292.791 3.774 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[N@H+](C)Cc1ccccc1 ZINC000073858284 1128648845 /nfs/dbraw/zinc/64/88/45/1128648845.db2.gz TWCAZVYRQNUYRC-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[N@@H+](C)Cc1ccccc1 ZINC000073858284 1128648847 /nfs/dbraw/zinc/64/88/47/1128648847.db2.gz TWCAZVYRQNUYRC-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO Fc1cccc(C[N@H+]2CCc3ccsc3C2)c1F ZINC000132589062 1128656015 /nfs/dbraw/zinc/65/60/15/1128656015.db2.gz OIBSYNFWKQKPOS-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1cccc(C[N@@H+]2CCc3ccsc3C2)c1F ZINC000132589062 1128656013 /nfs/dbraw/zinc/65/60/13/1128656013.db2.gz OIBSYNFWKQKPOS-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO CCC/C(C)=C\C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000920288176 1128676264 /nfs/dbraw/zinc/67/62/64/1128676264.db2.gz KYAPGLKWSUPZCE-QBFSEMIESA-N 1 2 269.348 3.557 20 0 CHADLO CC(C)CCc1noc(C[N@H+]2Cc3ccccc3[C@H](C)C2)n1 ZINC000920405363 1128680851 /nfs/dbraw/zinc/68/08/51/1128680851.db2.gz WVWGPKJEDZYNSO-CQSZACIVSA-N 1 2 299.418 3.778 20 0 CHADLO CC(C)CCc1noc(C[N@@H+]2Cc3ccccc3[C@H](C)C2)n1 ZINC000920405363 1128680853 /nfs/dbraw/zinc/68/08/53/1128680853.db2.gz WVWGPKJEDZYNSO-CQSZACIVSA-N 1 2 299.418 3.778 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@@H+]2C[C@@H]2CCC(F)(F)C2)no1 ZINC000649252385 1128691500 /nfs/dbraw/zinc/69/15/00/1128691500.db2.gz CGGGHIHJMNRDTP-NEPJUHHUSA-N 1 2 299.365 3.594 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@H+]2C[C@@H]2CCC(F)(F)C2)no1 ZINC000649252385 1128691501 /nfs/dbraw/zinc/69/15/01/1128691501.db2.gz CGGGHIHJMNRDTP-NEPJUHHUSA-N 1 2 299.365 3.594 20 0 CHADLO Clc1cccc([C@H]2CCCC[N@@H+]2Cc2cnccn2)c1 ZINC000577938604 1128699581 /nfs/dbraw/zinc/69/95/81/1128699581.db2.gz CAYITKWZSSCEEW-MRXNPFEDSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1cccc([C@H]2CCCC[N@H+]2Cc2cnccn2)c1 ZINC000577938604 1128699585 /nfs/dbraw/zinc/69/95/85/1128699585.db2.gz CAYITKWZSSCEEW-MRXNPFEDSA-N 1 2 287.794 3.857 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCCn2c(cc3ccccc32)C1 ZINC000578350404 1128715372 /nfs/dbraw/zinc/71/53/72/1128715372.db2.gz BLOOQKLZCVSWNQ-AWEZNQCLSA-N 1 2 260.356 3.595 20 0 CHADLO CC[C@H](F)C[N@H+]1CCCn2c(cc3ccccc32)C1 ZINC000578350404 1128715375 /nfs/dbraw/zinc/71/53/75/1128715375.db2.gz BLOOQKLZCVSWNQ-AWEZNQCLSA-N 1 2 260.356 3.595 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2cn(C)nc21 ZINC001213499420 1117750129 /nfs/dbraw/zinc/75/01/29/1117750129.db2.gz JPUZZYWUKVMFPN-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C)no1)c1cc(F)ccc1F ZINC000178748652 1117751470 /nfs/dbraw/zinc/75/14/70/1117751470.db2.gz FEFWOCKYAOBCFH-CQSZACIVSA-N 1 2 266.291 3.502 20 0 CHADLO Fc1ccc(F)c(NCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000035040055 1117761780 /nfs/dbraw/zinc/76/17/80/1117761780.db2.gz NGRFBTGAPPYTLU-UHFFFAOYSA-N 1 2 285.297 3.763 20 0 CHADLO COc1ccc(CNc2cc(C)[nH+]c(C(C)C)n2)cc1 ZINC000035121275 1117779051 /nfs/dbraw/zinc/77/90/51/1117779051.db2.gz BUUUFBYIRMUNOJ-UHFFFAOYSA-N 1 2 271.364 3.529 20 0 CHADLO CCOc1cc(Nc2ccc3c(c2)NCC3)cc(C)[nH+]1 ZINC001213147493 1117790027 /nfs/dbraw/zinc/79/00/27/1117790027.db2.gz DJPSKYYQXKWNDO-UHFFFAOYSA-N 1 2 269.348 3.500 20 0 CHADLO CCSCC[N@@H+]1Cc2cccc(Br)c2C1 ZINC000186901119 1117804360 /nfs/dbraw/zinc/80/43/60/1117804360.db2.gz MQUIWJXGHXTUEN-UHFFFAOYSA-N 1 2 286.238 3.518 20 0 CHADLO CCSCC[N@H+]1Cc2cccc(Br)c2C1 ZINC000186901119 1117804365 /nfs/dbraw/zinc/80/43/65/1117804365.db2.gz MQUIWJXGHXTUEN-UHFFFAOYSA-N 1 2 286.238 3.518 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccccc2OC)cs1 ZINC000111406290 1117840793 /nfs/dbraw/zinc/84/07/93/1117840793.db2.gz PJEQUTHTFRXDOE-LLVKDONJSA-N 1 2 276.405 3.565 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[NH+]1CCSCC1 ZINC001238265354 1117843251 /nfs/dbraw/zinc/84/32/51/1117843251.db2.gz YKNJCRBYAQTCSB-UHFFFAOYSA-N 1 2 276.232 3.851 20 0 CHADLO COc1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1Cl ZINC000114719764 1117857195 /nfs/dbraw/zinc/85/71/95/1117857195.db2.gz DBNVJPLDIZBXPJ-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO COc1ccc(C)[nH+]c1N1CC[C@@H](C2CCCCC2)C1 ZINC001167065944 1117859765 /nfs/dbraw/zinc/85/97/65/1117859765.db2.gz UIQZNNUUYIAQMW-OAHLLOKOSA-N 1 2 274.408 3.805 20 0 CHADLO Cc1nc(N2CC[C@H](C3CCCCC3)C2)c(C)c(C)[nH+]1 ZINC001167068685 1117878209 /nfs/dbraw/zinc/87/82/09/1117878209.db2.gz WOMINMRZBGXREN-INIZCTEOSA-N 1 2 273.424 3.808 20 0 CHADLO Cc1ccc2nc(CNc3ccc(C4CCC4)c[nH+]3)cn2c1 ZINC000339574062 1117884624 /nfs/dbraw/zinc/88/46/24/1117884624.db2.gz ZINPFZBNFBTEHW-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@@H+]1Cc1csc(C2CCCC2)n1 ZINC000339579050 1117886113 /nfs/dbraw/zinc/88/61/13/1117886113.db2.gz KXXDHGWNTQKLHN-WDEREUQCSA-N 1 2 250.411 3.641 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@H+]1Cc1csc(C2CCCC2)n1 ZINC000339579050 1117886116 /nfs/dbraw/zinc/88/61/16/1117886116.db2.gz KXXDHGWNTQKLHN-WDEREUQCSA-N 1 2 250.411 3.641 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cccc(OCC(F)(F)F)c1 ZINC000623680150 1117888800 /nfs/dbraw/zinc/88/88/00/1117888800.db2.gz ZRXFOHCQNCPSCE-UHFFFAOYSA-N 1 2 297.267 3.763 20 0 CHADLO CCc1cc(C)c(C(=O)Nc2c(C)cc(C)[nH+]c2C)o1 ZINC000178418119 1117891382 /nfs/dbraw/zinc/89/13/82/1117891382.db2.gz AYWBDIGGHDHCSI-UHFFFAOYSA-N 1 2 272.348 3.723 20 0 CHADLO C[C@H]([NH2+][C@H](CN1CCCC1)c1ccccc1)c1ccoc1 ZINC000178500329 1117893329 /nfs/dbraw/zinc/89/33/29/1117893329.db2.gz WDYAAAHBPVHTJW-MAUKXSAKSA-N 1 2 284.403 3.767 20 0 CHADLO Cc1[nH]c(CNc2cccc(C(C)(F)F)c2)[nH+]c1C ZINC000579252784 1128742373 /nfs/dbraw/zinc/74/23/73/1128742373.db2.gz DKOQJABIZWJAMH-UHFFFAOYSA-N 1 2 265.307 3.750 20 0 CHADLO CC(C)c1ccc(C[N@@H+]2CCc3nn[nH]c3C2)c(C(C)C)c1 ZINC000683223028 1117897685 /nfs/dbraw/zinc/89/76/85/1117897685.db2.gz NYNIOBJIMGPCRZ-UHFFFAOYSA-N 1 2 298.434 3.610 20 0 CHADLO CC(C)c1ccc(C[N@H+]2CCc3nn[nH]c3C2)c(C(C)C)c1 ZINC000683223028 1117897692 /nfs/dbraw/zinc/89/76/92/1117897692.db2.gz NYNIOBJIMGPCRZ-UHFFFAOYSA-N 1 2 298.434 3.610 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2csc(C3CC3)n2)cc1 ZINC000179462504 1117906516 /nfs/dbraw/zinc/90/65/16/1117906516.db2.gz UIVHCXFJPWVXPH-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2csc(C3CC3)n2)cc1 ZINC000179462504 1117906520 /nfs/dbraw/zinc/90/65/20/1117906520.db2.gz UIVHCXFJPWVXPH-UHFFFAOYSA-N 1 2 272.417 3.961 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1ccccn1 ZINC000179918502 1117915840 /nfs/dbraw/zinc/91/58/40/1117915840.db2.gz OQINQOQYAOBQHG-CQSZACIVSA-N 1 2 282.391 3.640 20 0 CHADLO Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H]2c2ccccc2)c1C ZINC000471183564 1117932955 /nfs/dbraw/zinc/93/29/55/1117932955.db2.gz YHXQQDWGKJGGGM-GOSISDBHSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1cccc(NC(=O)C[N@H+]2CC[C@@H]2c2ccccc2)c1C ZINC000471183564 1117932958 /nfs/dbraw/zinc/93/29/58/1117932958.db2.gz YHXQQDWGKJGGGM-GOSISDBHSA-N 1 2 294.398 3.689 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H]2COc3c2ccc(C)c3C)n1 ZINC000623911771 1117953459 /nfs/dbraw/zinc/95/34/59/1117953459.db2.gz NBRMSPMHYIWPHN-JSGCOSHPSA-N 1 2 288.416 3.853 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2COc3c2ccc(C)c3C)n1 ZINC000623911770 1117953570 /nfs/dbraw/zinc/95/35/70/1117953570.db2.gz NBRMSPMHYIWPHN-GXTWGEPZSA-N 1 2 288.416 3.853 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]C2(c3ccccc3F)CC2)n1 ZINC000623938339 1117958500 /nfs/dbraw/zinc/95/85/00/1117958500.db2.gz MWULNHZFQBYLMS-LLVKDONJSA-N 1 2 276.380 3.931 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000624083992 1117980220 /nfs/dbraw/zinc/98/02/20/1117980220.db2.gz LNOSTAOZICCVFA-CVEARBPZSA-N 1 2 296.414 3.878 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCSc3ccccc32)c1 ZINC000339840168 1117984834 /nfs/dbraw/zinc/98/48/34/1117984834.db2.gz BRVJNFNLXZKRIL-UHFFFAOYSA-N 1 2 286.400 3.511 20 0 CHADLO CC[C@@H]([NH2+]CC(F)(F)CC)c1ccc(OC)cc1 ZINC000624147826 1117989068 /nfs/dbraw/zinc/98/90/68/1117989068.db2.gz LWZAHRQRNXMHCU-CYBMUJFWSA-N 1 2 257.324 3.781 20 0 CHADLO CCC(F)(F)C[NH2+][C@H]1CCSc2ccccc21 ZINC000624147638 1117989188 /nfs/dbraw/zinc/98/91/88/1117989188.db2.gz GTLUOYZMKCIJQU-NSHDSACASA-N 1 2 257.349 3.858 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](c1ccc(OC)cc1)C1CC1 ZINC000624147618 1117989275 /nfs/dbraw/zinc/98/92/75/1117989275.db2.gz GKKUTCDORJPLJK-AWEZNQCLSA-N 1 2 269.335 3.781 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C(C)C)o1)c1ccc(C)cc1 ZINC000181699622 1118004278 /nfs/dbraw/zinc/00/42/78/1118004278.db2.gz HIZMTUILKNJTPL-CQSZACIVSA-N 1 2 273.380 3.742 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000510159813 1118008324 /nfs/dbraw/zinc/00/83/24/1118008324.db2.gz NFRGVVOQEXNYPD-KRWDZBQOSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000510159813 1118008329 /nfs/dbraw/zinc/00/83/29/1118008329.db2.gz NFRGVVOQEXNYPD-KRWDZBQOSA-N 1 2 299.418 3.924 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc2ccccc2o1)c1c(C)noc1C ZINC000182453957 1118022478 /nfs/dbraw/zinc/02/24/78/1118022478.db2.gz PCGSOOBTXSHTHE-GFCCVEGCSA-N 1 2 285.347 3.674 20 0 CHADLO C[C@@H]1CC[N@H+](CCc2ccccc2C(F)(F)F)CCC1=O ZINC001209276779 1118023229 /nfs/dbraw/zinc/02/32/29/1118023229.db2.gz DYFDLFBXUBXMOD-GFCCVEGCSA-N 1 2 299.336 3.549 20 0 CHADLO C[C@@H]1CC[N@@H+](CCc2ccccc2C(F)(F)F)CCC1=O ZINC001209276779 1118023232 /nfs/dbraw/zinc/02/32/32/1118023232.db2.gz DYFDLFBXUBXMOD-GFCCVEGCSA-N 1 2 299.336 3.549 20 0 CHADLO Cc1cccc(C[N@@H+]2CCO[C@@H](Cc3ccccc3)C2)c1F ZINC001137678217 1118024130 /nfs/dbraw/zinc/02/41/30/1118024130.db2.gz CNTWOKOKJIUETJ-SFHVURJKSA-N 1 2 299.389 3.578 20 0 CHADLO Cc1cccc(C[N@H+]2CCO[C@@H](Cc3ccccc3)C2)c1F ZINC001137678217 1118024131 /nfs/dbraw/zinc/02/41/31/1118024131.db2.gz CNTWOKOKJIUETJ-SFHVURJKSA-N 1 2 299.389 3.578 20 0 CHADLO Cc1cccc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)c1F ZINC000182565072 1118025090 /nfs/dbraw/zinc/02/50/90/1118025090.db2.gz GCASFDAADQUVPO-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CO[C@H](C)c1cccc(NCc2c[nH+]c3c(C)cccn23)c1 ZINC000128777535 1118025136 /nfs/dbraw/zinc/02/51/36/1118025136.db2.gz KVSIZPJLYLNUTR-CQSZACIVSA-N 1 2 295.386 3.962 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2ccc(OC)cc2)cc(C)[nH+]1 ZINC000339979529 1118030806 /nfs/dbraw/zinc/03/08/06/1118030806.db2.gz YJFDENQJLHYUCD-INIZCTEOSA-N 1 2 297.402 3.698 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2cccc(CO)c2)c[nH+]1 ZINC000183163176 1118039319 /nfs/dbraw/zinc/03/93/19/1118039319.db2.gz QFSAAGHMHQCESY-AWEZNQCLSA-N 1 2 299.418 3.593 20 0 CHADLO CC[C@H](c1ccccc1)N(C)c1cc(N)cc(Cl)[nH+]1 ZINC001167128319 1118043522 /nfs/dbraw/zinc/04/35/22/1118043522.db2.gz HUAWMVPRGQGFTL-CYBMUJFWSA-N 1 2 275.783 3.905 20 0 CHADLO Cc1cc([C@@H](Nc2cc[nH+]c(C)n2)C2CC2)ccc1F ZINC000340083949 1118044489 /nfs/dbraw/zinc/04/44/89/1118044489.db2.gz XFFOWAYDEKZUDM-INIZCTEOSA-N 1 2 271.339 3.796 20 0 CHADLO Cc1cc(NC(=O)C=CCc2ccc(C(C)C)cc2)cc[nH+]1 ZINC001127729551 1118069147 /nfs/dbraw/zinc/06/91/47/1118069147.db2.gz CSAILDZOTNGYKK-PLNGDYQASA-N 1 2 294.398 3.977 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccc(C)cc2Cl)s1 ZINC000404539336 1118074369 /nfs/dbraw/zinc/07/43/69/1118074369.db2.gz QLACXTJSAVOAQG-UHFFFAOYSA-N 1 2 280.824 3.957 20 0 CHADLO COc1ccc(CNc2cc(C)c[nH+]c2C)cc1C ZINC000404623312 1118077840 /nfs/dbraw/zinc/07/78/40/1118077840.db2.gz AWGSYSGLPNFGDR-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO CCCc1ccc([C@@H](C)[NH2+][C@H](C)c2csnn2)cc1 ZINC000398227471 1118102964 /nfs/dbraw/zinc/10/29/64/1118102964.db2.gz GGGOPHHVVASTFS-VXGBXAGGSA-N 1 2 275.421 3.902 20 0 CHADLO Cc1[nH]ncc1C[NH+]1CCC(CCc2ccccc2)CC1 ZINC000123639067 1118110829 /nfs/dbraw/zinc/11/08/29/1118110829.db2.gz VZZZHKJCRLXQDN-UHFFFAOYSA-N 1 2 283.419 3.563 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(N3CCCC3)nc[nH+]2)s1 ZINC000764789409 1118116956 /nfs/dbraw/zinc/11/69/56/1118116956.db2.gz DOICNIDPPRBNHO-LBPRGKRZSA-N 1 2 288.420 3.620 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(N3CCCC3)[nH+]cn2)s1 ZINC000764789409 1118116958 /nfs/dbraw/zinc/11/69/58/1118116958.db2.gz DOICNIDPPRBNHO-LBPRGKRZSA-N 1 2 288.420 3.620 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC[C@@H]2c2ccccn2)n1 ZINC000579906825 1118146933 /nfs/dbraw/zinc/14/69/33/1118146933.db2.gz JOSBWESIIIKTJE-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1csc(C[N@H+]2CCC[C@@H]2c2ccccn2)n1 ZINC000579906825 1118146936 /nfs/dbraw/zinc/14/69/36/1118146936.db2.gz JOSBWESIIIKTJE-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO Cc1cc(NC(=O)c2ccc3c4c(cccc42)CC3)cc[nH+]1 ZINC000011683309 1118162754 /nfs/dbraw/zinc/16/27/54/1118162754.db2.gz OHCJLRQKWSVDIL-UHFFFAOYSA-N 1 2 288.350 3.894 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)[C@@H](C)c2ccc(F)cc2)n1 ZINC000172011109 1118167923 /nfs/dbraw/zinc/16/79/23/1118167923.db2.gz OQONYGWZZIBYBF-ZDUSSCGKSA-N 1 2 275.371 3.796 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)[C@@H](C)c2ccc(F)cc2)n1 ZINC000172011109 1118167925 /nfs/dbraw/zinc/16/79/25/1118167925.db2.gz OQONYGWZZIBYBF-ZDUSSCGKSA-N 1 2 275.371 3.796 20 0 CHADLO c1csc(-c2ccc(C[N@@H+]3CCc4c[nH]nc4C3)cc2)c1 ZINC000683521107 1118169014 /nfs/dbraw/zinc/16/90/14/1118169014.db2.gz CJSJZDARKZTYJP-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO c1csc(-c2ccc(C[N@H+]3CCc4c[nH]nc4C3)cc2)c1 ZINC000683521107 1118169016 /nfs/dbraw/zinc/16/90/16/1118169016.db2.gz CJSJZDARKZTYJP-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO Cc1cccn2c(CNc3cc(F)ccc3F)c[nH+]c12 ZINC001650844275 1118182555 /nfs/dbraw/zinc/18/25/55/1118182555.db2.gz LEHOKLBKLIHFTP-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2cnc([C@@H]3CCCO3)s2)c1 ZINC000650242246 1118188328 /nfs/dbraw/zinc/18/83/28/1118188328.db2.gz DZGIUSDTEFKJPO-AWEZNQCLSA-N 1 2 289.404 3.619 20 0 CHADLO Oc1ccccc1/C=[NH+]/CCCCOCc1ccccc1 ZINC000064853123 1118195646 /nfs/dbraw/zinc/19/56/46/1118195646.db2.gz UBGXFNKKHAEGPZ-XMHGGMMESA-N 1 2 283.371 3.808 20 0 CHADLO c1cc(CNc2ccc([NH+]3CCCC3)cc2)n(C2CCC2)n1 ZINC000921571017 1118201507 /nfs/dbraw/zinc/20/15/07/1118201507.db2.gz YBQFVNQLBURLTP-UHFFFAOYSA-N 1 2 296.418 3.820 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2nc(C(C)(C)C)co2)cc1 ZINC000921595190 1118204277 /nfs/dbraw/zinc/20/42/77/1118204277.db2.gz DIQZJCVFULJVIU-UHFFFAOYSA-N 1 2 288.391 3.661 20 0 CHADLO CNc1ccc(Nc2cccc(Br)c2F)c[nH+]1 ZINC001203458230 1118220077 /nfs/dbraw/zinc/22/00/77/1118220077.db2.gz GVWMKWNNJZBYJS-UHFFFAOYSA-N 1 2 296.143 3.769 20 0 CHADLO Cc1cccc(C[NH2+][C@H](c2ccco2)c2ccccc2)n1 ZINC000051574091 1118224339 /nfs/dbraw/zinc/22/43/39/1118224339.db2.gz QSKXMEXEZNSRIN-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1noc(C)c1[C@H](C)Nc1ccc([NH+](C)C)c(C)c1 ZINC000926050290 1118224736 /nfs/dbraw/zinc/22/47/36/1118224736.db2.gz SPUQERIIDIUVEX-NSHDSACASA-N 1 2 273.380 3.839 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+]Cc1ccnn1C1CCC1 ZINC000921620707 1118225476 /nfs/dbraw/zinc/22/54/76/1118225476.db2.gz NHIGPUBTTGLRTF-UHFFFAOYSA-N 1 2 293.773 3.690 20 0 CHADLO CNc1ccc(Nc2c(F)cc(Cl)cc2F)c[nH+]1 ZINC001203458554 1118226803 /nfs/dbraw/zinc/22/68/03/1118226803.db2.gz OCYJJYWCFCCQLZ-UHFFFAOYSA-N 1 2 269.682 3.799 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+]Cc1cnn(C2CCC2)c1 ZINC000921621757 1118228980 /nfs/dbraw/zinc/22/89/80/1118228980.db2.gz QFFZBUXAEFTXMS-UHFFFAOYSA-N 1 2 293.773 3.690 20 0 CHADLO COc1cccc2[nH]c(NCc3ccc(C)c(C)c3)[nH+]c21 ZINC001203547552 1118234572 /nfs/dbraw/zinc/23/45/72/1118234572.db2.gz ISSDHCJMTBQVJE-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(OC(F)F)c(Cl)c2)cc1N ZINC001210288818 1118234916 /nfs/dbraw/zinc/23/49/16/1118234916.db2.gz WGFDXDPESAXKPT-UHFFFAOYSA-N 1 2 299.708 3.971 20 0 CHADLO CC(=O)c1cccc(Cl)c1Nc1c[nH+]c(C)c(N)c1 ZINC001210293287 1118236010 /nfs/dbraw/zinc/23/60/10/1118236010.db2.gz JRXZVVIRKORFLH-UHFFFAOYSA-N 1 2 275.739 3.572 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(C(=O)OC(C)(C)C)c2)cc1N ZINC001210289975 1118236214 /nfs/dbraw/zinc/23/62/14/1118236214.db2.gz CPOHTZUABRCZIT-UHFFFAOYSA-N 1 2 299.374 3.671 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Cl)c3cnccc23)cc1N ZINC001210289797 1118236311 /nfs/dbraw/zinc/23/63/11/1118236311.db2.gz ZBOVKLPWPCUZBQ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2cccc3cccnc32)n1 ZINC000921625177 1118236724 /nfs/dbraw/zinc/23/67/24/1118236724.db2.gz QSEAFTNEHDUXMS-UHFFFAOYSA-N 1 2 295.386 3.810 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+]2CCc3c[nH]nc3C2)cc1 ZINC000671685152 1118237799 /nfs/dbraw/zinc/23/77/99/1118237799.db2.gz KGSZOEVWXCJGMD-UHFFFAOYSA-N 1 2 283.419 3.656 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+]2CCc3c[nH]nc3C2)cc1 ZINC000671685152 1118237804 /nfs/dbraw/zinc/23/78/04/1118237804.db2.gz KGSZOEVWXCJGMD-UHFFFAOYSA-N 1 2 283.419 3.656 20 0 CHADLO CC(C)n1ccc(Nc2cccc3cc[nH+]cc32)n1 ZINC001210344161 1118251788 /nfs/dbraw/zinc/25/17/88/1118251788.db2.gz VRTLETFFUYUZAU-UHFFFAOYSA-N 1 2 252.321 3.756 20 0 CHADLO CC(=O)c1c(C)cc(C)c(C[NH2+][C@@H](C)c2ccon2)c1C ZINC000349247662 1118263546 /nfs/dbraw/zinc/26/35/46/1118263546.db2.gz URBGHHZXEHKRJA-ZDUSSCGKSA-N 1 2 286.375 3.653 20 0 CHADLO CO[C@H]1CCC[C@@H](Nc2ccc([NH+]3CCCC3)cc2)C1 ZINC000070327863 1118266321 /nfs/dbraw/zinc/26/63/21/1118266321.db2.gz XZWIKKYBJHUWBO-WBVHZDCISA-N 1 2 274.408 3.656 20 0 CHADLO CO[C@H]1CCC[C@@H]([NH2+]c2ccc(N3CCCC3)cc2)C1 ZINC000070327863 1118266323 /nfs/dbraw/zinc/26/63/23/1118266323.db2.gz XZWIKKYBJHUWBO-WBVHZDCISA-N 1 2 274.408 3.656 20 0 CHADLO Cc1nc(CNc2ccc(-n3cc[nH+]c3)cc2)sc1C ZINC001648864702 1118267438 /nfs/dbraw/zinc/26/74/38/1118267438.db2.gz VQDNQMYGGKBJRB-UHFFFAOYSA-N 1 2 284.388 3.558 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H](c3ccn[nH]3)C2)c(Cl)c1 ZINC000683664875 1118267503 /nfs/dbraw/zinc/26/75/03/1118267503.db2.gz JBNIPJHTOZYIBW-AWEZNQCLSA-N 1 2 289.810 3.751 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H](c3ccn[nH]3)C2)c(Cl)c1 ZINC000683664875 1118267511 /nfs/dbraw/zinc/26/75/11/1118267511.db2.gz JBNIPJHTOZYIBW-AWEZNQCLSA-N 1 2 289.810 3.751 20 0 CHADLO Cc1ccc2[nH]c(CNc3cccc[nH+]3)cc2c1C ZINC000471461247 1118275418 /nfs/dbraw/zinc/27/54/18/1118275418.db2.gz WTYJJEQJWFJCPH-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2ccc(F)cc2Cl)nn1C ZINC000282180440 1118276884 /nfs/dbraw/zinc/27/68/84/1118276884.db2.gz MUFLMLAITAOURQ-QWRGUYRKSA-N 1 2 295.789 3.933 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2nccn2C)c2ccccc2)cc1 ZINC000057591627 1118281914 /nfs/dbraw/zinc/28/19/14/1118281914.db2.gz BOJHSSVAUFKMQP-IBGZPJMESA-N 1 2 291.398 3.608 20 0 CHADLO CC1(C[N@@H+]2CCCC[C@H]2c2noc(C3CC3)n2)CCC1 ZINC000683696730 1118292153 /nfs/dbraw/zinc/29/21/53/1118292153.db2.gz INXSLCZXXJJNAR-ZDUSSCGKSA-N 1 2 275.396 3.664 20 0 CHADLO CC1(C[N@H+]2CCCC[C@H]2c2noc(C3CC3)n2)CCC1 ZINC000683696730 1118292154 /nfs/dbraw/zinc/29/21/54/1118292154.db2.gz INXSLCZXXJJNAR-ZDUSSCGKSA-N 1 2 275.396 3.664 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cc(C)c(C)o2)s1 ZINC000282216119 1118295477 /nfs/dbraw/zinc/29/54/77/1118295477.db2.gz ORSOVJGMUCDJOD-SNVBAGLBSA-N 1 2 264.394 3.766 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCOC[C@H]2C)cc1)C(C)(C)C ZINC000179946110 1128768338 /nfs/dbraw/zinc/76/83/38/1128768338.db2.gz FOXQYZNBCKBKJC-KGLIPLIRSA-N 1 2 276.424 3.758 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc([C@H](C)O)c2)c1 ZINC001210509862 1118304664 /nfs/dbraw/zinc/30/46/64/1118304664.db2.gz ZHQYCUAAJCXAOB-LBPRGKRZSA-N 1 2 256.349 3.831 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H]2CCCC2(F)F)c(C)c1 ZINC000666137147 1118307009 /nfs/dbraw/zinc/30/70/09/1118307009.db2.gz BQCGSOMJTBCYFG-FZMZJTMJSA-N 1 2 269.335 3.842 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC000047514786 1118315560 /nfs/dbraw/zinc/31/55/60/1118315560.db2.gz YFVQTZGNLGQUDA-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1csc(C2([NH2+][C@@H](C)c3ccncc3F)CCC2)n1 ZINC000340519844 1118316059 /nfs/dbraw/zinc/31/60/59/1118316059.db2.gz PYYAATAPTXRLPL-NSHDSACASA-N 1 2 291.395 3.716 20 0 CHADLO CCc1ccc([C@H]2CCC[N@H+](CC(F)F)C2)cc1 ZINC000671732819 1118321750 /nfs/dbraw/zinc/32/17/50/1118321750.db2.gz MRJNYCQAHCWAQV-AWEZNQCLSA-N 1 2 253.336 3.694 20 0 CHADLO CCc1ccc([C@H]2CCC[N@@H+](CC(F)F)C2)cc1 ZINC000671732819 1118321751 /nfs/dbraw/zinc/32/17/51/1118321751.db2.gz MRJNYCQAHCWAQV-AWEZNQCLSA-N 1 2 253.336 3.694 20 0 CHADLO COc1cc(F)c(F)cc1Nc1cccn2cc(C)[nH+]c12 ZINC001210546145 1118321833 /nfs/dbraw/zinc/32/18/33/1118321833.db2.gz DXFNUMCHIRXOHJ-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@H+]1Cc1ncccc1F ZINC001207032205 1118326366 /nfs/dbraw/zinc/32/63/66/1118326366.db2.gz IFKAYSVLVMCPGO-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@@H+]1Cc1ncccc1F ZINC001207032205 1118326372 /nfs/dbraw/zinc/32/63/72/1118326372.db2.gz IFKAYSVLVMCPGO-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO FC(F)(F)c1ccccc1CCNc1cccc[nH+]1 ZINC000064915751 1118329972 /nfs/dbraw/zinc/32/99/72/1118329972.db2.gz BDTQBXWNAKUKOC-UHFFFAOYSA-N 1 2 266.266 3.755 20 0 CHADLO Cc1c[nH+]c2cc(-c3nc([C@@H]4CCC[C@@H]5C[C@@H]54)no3)ccn12 ZINC000656052808 1118341870 /nfs/dbraw/zinc/34/18/70/1118341870.db2.gz MSQNAVKIUKDQTR-BNOWGMLFSA-N 1 2 294.358 3.596 20 0 CHADLO CC(C)c1cc(C[NH2+]Cc2sccc2Cl)on1 ZINC000683769975 1118351579 /nfs/dbraw/zinc/35/15/79/1118351579.db2.gz HRNXZVQPXOGBRX-UHFFFAOYSA-N 1 2 270.785 3.803 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cnc4sccc4c3)ccc21 ZINC001210692971 1118367540 /nfs/dbraw/zinc/36/75/40/1118367540.db2.gz JGPKWJUUWKKKJN-UHFFFAOYSA-N 1 2 280.356 3.927 20 0 CHADLO FC(F)(F)COCc1ccc(CNc2cccc[nH+]2)cc1 ZINC000073350178 1118381944 /nfs/dbraw/zinc/38/19/44/1118381944.db2.gz ASJIRGWALGPVKD-UHFFFAOYSA-N 1 2 296.292 3.773 20 0 CHADLO CC1(C)C[N@H+](Cc2sccc2Cl)C(C)(C)CO1 ZINC000683830429 1118395742 /nfs/dbraw/zinc/39/57/42/1118395742.db2.gz ORJGIJJFDODCKX-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO CC1(C)C[N@@H+](Cc2sccc2Cl)C(C)(C)CO1 ZINC000683830429 1118395746 /nfs/dbraw/zinc/39/57/46/1118395746.db2.gz ORJGIJJFDODCKX-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3c(N)cccc32)C[C@H](C)C1(F)F ZINC000624446346 1118404507 /nfs/dbraw/zinc/40/45/07/1118404507.db2.gz KGSZTALREPVQDE-QWRGUYRKSA-N 1 2 291.345 3.545 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cccc(O)c1Cl ZINC001210844884 1118406914 /nfs/dbraw/zinc/40/69/14/1118406914.db2.gz PVQZGQJJPKSVEG-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO CC[N@H+](Cc1cc(C)no1)Cc1ccccc1Cl ZINC000042294606 1118427477 /nfs/dbraw/zinc/42/74/77/1118427477.db2.gz PPLRSQOAHFWECB-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1cc(C)no1)Cc1ccccc1Cl ZINC000042294606 1118427480 /nfs/dbraw/zinc/42/74/80/1118427480.db2.gz PPLRSQOAHFWECB-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO Cc1cc(CNc2cc3cc[nH]c3c[nH+]2)ccc1F ZINC000683893445 1118431480 /nfs/dbraw/zinc/43/14/80/1118431480.db2.gz WUNYQSTZFDTJOB-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cnc(OC)c(Cl)c1 ZINC001211045731 1118445393 /nfs/dbraw/zinc/44/53/93/1118445393.db2.gz LVZGELQGYMPAGB-UHFFFAOYSA-N 1 2 277.755 3.753 20 0 CHADLO CC[C@@H](C)C(=O)Nc1cccc(CNc2cccc[nH+]2)c1 ZINC000052357871 1118450047 /nfs/dbraw/zinc/45/00/47/1118450047.db2.gz DCPAJNYUZLRDMP-CYBMUJFWSA-N 1 2 283.375 3.678 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2CCCc3ccc(Cl)cc32)o1 ZINC000639351813 1118453902 /nfs/dbraw/zinc/45/39/02/1118453902.db2.gz AICKEROTPJINJI-AWEZNQCLSA-N 1 2 276.767 3.804 20 0 CHADLO COCc1cncc(Nc2c(C)cc[nH+]c2C(C)C)c1 ZINC001211078148 1118457689 /nfs/dbraw/zinc/45/76/89/1118457689.db2.gz AFCZZNVAJMFJRM-UHFFFAOYSA-N 1 2 271.364 3.798 20 0 CHADLO Cc1cc(NC[C@@H]2CCC3(CCCC3)O2)nc(C2CC2)[nH+]1 ZINC000656228283 1118463665 /nfs/dbraw/zinc/46/36/65/1118463665.db2.gz UKLDKAWEOQHKGA-AWEZNQCLSA-N 1 2 287.407 3.566 20 0 CHADLO COc1cc(C)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001211116574 1118467648 /nfs/dbraw/zinc/46/76/48/1118467648.db2.gz WVDISOHLUPAQLK-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO FC1(F)CC12C[NH+](Cc1cnc(C3CCCC3)s1)C2 ZINC000656265611 1118486426 /nfs/dbraw/zinc/48/64/26/1118486426.db2.gz FATLKEKQIBNYNY-UHFFFAOYSA-N 1 2 284.375 3.642 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cc2ccccc2[nH]1 ZINC000684019038 1118489251 /nfs/dbraw/zinc/48/92/51/1118489251.db2.gz HALOHIDBFISTTA-ZDUSSCGKSA-N 1 2 265.360 3.546 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cc2ccccc2[nH]1 ZINC000684019038 1118489252 /nfs/dbraw/zinc/48/92/52/1118489252.db2.gz HALOHIDBFISTTA-ZDUSSCGKSA-N 1 2 265.360 3.546 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1ccc(C)cc1OC)C2 ZINC000656274829 1118493115 /nfs/dbraw/zinc/49/31/15/1118493115.db2.gz GBVURZAIAKLASG-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1ccc(C)cc1OC)C2 ZINC000656274829 1118493116 /nfs/dbraw/zinc/49/31/16/1118493116.db2.gz GBVURZAIAKLASG-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO Nc1[nH+]cccc1CSCc1cccc2cccnc21 ZINC001307384761 1118495122 /nfs/dbraw/zinc/49/51/22/1118495122.db2.gz SKMZBGSBKWOHDV-UHFFFAOYSA-N 1 2 281.384 3.645 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(C(C)(C)C)s2)C2(CCC2)CO1 ZINC000651975616 1118495880 /nfs/dbraw/zinc/49/58/80/1118495880.db2.gz HZEVWHQTGOROIC-LBPRGKRZSA-N 1 2 294.464 3.584 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(C(C)(C)C)s2)C2(CCC2)CO1 ZINC000651975616 1118495882 /nfs/dbraw/zinc/49/58/82/1118495882.db2.gz HZEVWHQTGOROIC-LBPRGKRZSA-N 1 2 294.464 3.584 20 0 CHADLO Oc1cc(F)c(-c2ccccc2Cn2cc[nH+]c2)cc1F ZINC001211353547 1118507094 /nfs/dbraw/zinc/50/70/94/1118507094.db2.gz XPIBNNOEQPRVHT-UHFFFAOYSA-N 1 2 286.281 3.582 20 0 CHADLO COc1cc(C[N@@H+]2CC(C)(C)OCC2(C)C)ccc1Cl ZINC001167438527 1118520259 /nfs/dbraw/zinc/52/02/59/1118520259.db2.gz OIGDUIVCMACPCB-UHFFFAOYSA-N 1 2 297.826 3.738 20 0 CHADLO COc1cc(C[N@H+]2CC(C)(C)OCC2(C)C)ccc1Cl ZINC001167438527 1118520261 /nfs/dbraw/zinc/52/02/61/1118520261.db2.gz OIGDUIVCMACPCB-UHFFFAOYSA-N 1 2 297.826 3.738 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C(F)(F)F)[C@@H](C)[C@H](C)O1 ZINC000352412364 1118533160 /nfs/dbraw/zinc/53/31/60/1118533160.db2.gz FDCMYEJJLKZWFM-WOPDTQHZSA-N 1 2 287.325 3.703 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)[C@@H](C)[C@H](C)O1 ZINC000352412364 1118533161 /nfs/dbraw/zinc/53/31/61/1118533161.db2.gz FDCMYEJJLKZWFM-WOPDTQHZSA-N 1 2 287.325 3.703 20 0 CHADLO COc1nc(Nc2c[nH+]cc(C)c2C)cc2ccccc21 ZINC001213528632 1118541331 /nfs/dbraw/zinc/54/13/31/1118541331.db2.gz IXQOYBMSYWVBLT-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc(-n3ccnc3)c2)c1C ZINC001213529078 1118542877 /nfs/dbraw/zinc/54/28/77/1118542877.db2.gz VKTHAXNLQHVXHP-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2CC2CCC(F)(F)CC2)no1 ZINC000656415950 1118543084 /nfs/dbraw/zinc/54/30/84/1118543084.db2.gz UYQPUKVATDNWHH-CYBMUJFWSA-N 1 2 299.365 3.731 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2CC2CCC(F)(F)CC2)no1 ZINC000656415950 1118543085 /nfs/dbraw/zinc/54/30/85/1118543085.db2.gz UYQPUKVATDNWHH-CYBMUJFWSA-N 1 2 299.365 3.731 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)C(=O)CCC3)c1C ZINC001213531051 1118547315 /nfs/dbraw/zinc/54/73/15/1118547315.db2.gz TYRNWMZLGVJFCP-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO Cc1nc2ccc(Nc3c[nH+]cc(C)c3C)cc2o1 ZINC001213531272 1118548976 /nfs/dbraw/zinc/54/89/76/1118548976.db2.gz ZCYZJJPHYGIWTH-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO Cc1nc(N2Cc3cccc(Cl)c3C2)c2c([nH+]1)CCCC2 ZINC000340918959 1118554639 /nfs/dbraw/zinc/55/46/39/1118554639.db2.gz PGVBJBCLFXAFTI-UHFFFAOYSA-N 1 2 299.805 3.837 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cncc(OC)c2C)cc1 ZINC001215736334 1118571469 /nfs/dbraw/zinc/57/14/69/1118571469.db2.gz WQPZHGSBQQKQDG-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cncc(OC)c2C)cc1 ZINC001215736334 1118571473 /nfs/dbraw/zinc/57/14/73/1118571473.db2.gz WQPZHGSBQQKQDG-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CC[C@H](C)[C@H]1CCCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000933220708 1118573642 /nfs/dbraw/zinc/57/36/42/1118573642.db2.gz IUDWPGQGWMMVFP-BLLLJJGKSA-N 1 2 285.391 3.604 20 0 CHADLO Cc1c[nH+]c(CN[C@@H](CC(F)(F)F)c2ccccc2)n1C ZINC000341140853 1118605122 /nfs/dbraw/zinc/60/51/22/1118605122.db2.gz CQSVZOWTNQXDNV-ZDUSSCGKSA-N 1 2 297.324 3.512 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](CC(F)(F)F)c2ccccc2)n1C ZINC000341140853 1118605123 /nfs/dbraw/zinc/60/51/23/1118605123.db2.gz CQSVZOWTNQXDNV-ZDUSSCGKSA-N 1 2 297.324 3.512 20 0 CHADLO CCCCN(CC)C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000341187410 1118613276 /nfs/dbraw/zinc/61/32/76/1118613276.db2.gz CGEATDVLNZAUIC-INIZCTEOSA-N 1 2 299.418 3.580 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2c1cc(F)cc2F)c1cscn1 ZINC000656681281 1118634194 /nfs/dbraw/zinc/63/41/94/1118634194.db2.gz CNZLUIXLCJEOOO-AMIZOPFISA-N 1 2 280.343 3.759 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)nc(Cl)c2)C[C@H](C)S1 ZINC000075891631 1118640932 /nfs/dbraw/zinc/64/09/32/1118640932.db2.gz DVYKGFRXZHRFAD-DTORHVGOSA-N 1 2 291.247 3.714 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)nc(Cl)c2)C[C@H](C)S1 ZINC000075891631 1118640930 /nfs/dbraw/zinc/64/09/30/1118640930.db2.gz DVYKGFRXZHRFAD-DTORHVGOSA-N 1 2 291.247 3.714 20 0 CHADLO Cc1c[nH+]c(CNC(C)(C)c2cccc(Cl)c2F)n1C ZINC000341255955 1118642713 /nfs/dbraw/zinc/64/27/13/1118642713.db2.gz COKJUALVKFKCRJ-UHFFFAOYSA-N 1 2 295.789 3.546 20 0 CHADLO Cc1cnc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)n1C ZINC000341255955 1118642714 /nfs/dbraw/zinc/64/27/14/1118642714.db2.gz COKJUALVKFKCRJ-UHFFFAOYSA-N 1 2 295.789 3.546 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2ccc3ccccc3c2)n1 ZINC000341263589 1118644311 /nfs/dbraw/zinc/64/43/11/1118644311.db2.gz DKUHNPMDPLAMEA-UHFFFAOYSA-N 1 2 281.359 3.556 20 0 CHADLO c1nc(C2CC2)sc1CNc1c[nH+]cc2c1CCCC2 ZINC000656776136 1118655066 /nfs/dbraw/zinc/65/50/66/1118655066.db2.gz IPBVBRKQOHRRGL-UHFFFAOYSA-N 1 2 285.416 3.906 20 0 CHADLO Cc1nc2ccccn2c1CNc1c[nH+]cc2c1CCCC2 ZINC000656775768 1118655285 /nfs/dbraw/zinc/65/52/85/1118655285.db2.gz AUIQLFVBMOCHHN-UHFFFAOYSA-N 1 2 292.386 3.529 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(CCC(F)(F)F)c2)n1C ZINC000341287585 1118655437 /nfs/dbraw/zinc/65/54/37/1118655437.db2.gz PIEAUWWHFXZEGY-UHFFFAOYSA-N 1 2 297.324 3.836 20 0 CHADLO CO[C@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)C12CCC2 ZINC000308388724 1118659925 /nfs/dbraw/zinc/65/99/25/1118659925.db2.gz HJHHKRRBGSFQPG-IRXDYDNUSA-N 1 2 286.419 3.656 20 0 CHADLO CC(C)Oc1cc(N[C@@H](C)c2ccc(F)cn2)cc[nH+]1 ZINC001167478959 1118674641 /nfs/dbraw/zinc/67/46/41/1118674641.db2.gz DXXJZHCFNSJJOR-NSHDSACASA-N 1 2 275.327 3.576 20 0 CHADLO Cc1nc(N2CC[C@@H](c3ccccc3)C[C@H]2C)cc[nH+]1 ZINC000341367581 1118675780 /nfs/dbraw/zinc/67/57/80/1118675780.db2.gz FNZBLSUAOIPTDU-CZUORRHYSA-N 1 2 267.376 3.558 20 0 CHADLO Cc1nn(C)c(C[N@@H+](C)[C@@H](C)c2ccccc2)c1Cl ZINC000891502816 1118697197 /nfs/dbraw/zinc/69/71/97/1118697197.db2.gz AGKAFEQGYOYVRD-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1nn(C)c(C[N@H+](C)[C@@H](C)c2ccccc2)c1Cl ZINC000891502816 1118697199 /nfs/dbraw/zinc/69/71/99/1118697199.db2.gz AGKAFEQGYOYVRD-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO COc1ccc(CNc2cc[nH+]c3c(Cl)cccc23)cn1 ZINC000341423928 1118702829 /nfs/dbraw/zinc/70/28/29/1118702829.db2.gz XSURAVAGWGEQRL-UHFFFAOYSA-N 1 2 299.761 3.904 20 0 CHADLO Cc1cc(C)cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000097039944 1118704017 /nfs/dbraw/zinc/70/40/17/1118704017.db2.gz ZYXBZWGJNNCDMV-UHFFFAOYSA-N 1 2 268.360 3.876 20 0 CHADLO CCCCc1ccc(NCc2c[nH+]cn2CC)cc1 ZINC000090170016 1118711979 /nfs/dbraw/zinc/71/19/79/1118711979.db2.gz KECUQJQCRAXBQQ-UHFFFAOYSA-N 1 2 257.381 3.858 20 0 CHADLO Cc1cc(N2CC[C@@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000341476684 1118715176 /nfs/dbraw/zinc/71/51/76/1118715176.db2.gz FRTIGNYNQPEDGQ-OAHLLOKOSA-N 1 2 265.360 3.614 20 0 CHADLO CCS[C@H]1CCCCN(c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000341488032 1118716591 /nfs/dbraw/zinc/71/65/91/1118716591.db2.gz QSZUHFOTSZIZEI-AWEZNQCLSA-N 1 2 291.464 3.774 20 0 CHADLO Cc1cc(N2CCC[C@H]2Cc2ccccc2)nc(C2CC2)[nH+]1 ZINC000341480758 1118717225 /nfs/dbraw/zinc/71/72/25/1118717225.db2.gz IGWQQUHURAJJGN-KRWDZBQOSA-N 1 2 293.414 3.874 20 0 CHADLO Cc1cc(N(C)[C@@H]2CCCC[C@H]2C)nc(C2CC2)[nH+]1 ZINC000341510790 1118717908 /nfs/dbraw/zinc/71/79/08/1118717908.db2.gz YTVYSNYEKDMQJB-BXUZGUMPSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc(N2CCC[C@@H]2C2CCCC2)nc(C2CC2)[nH+]1 ZINC000341511030 1118718183 /nfs/dbraw/zinc/71/81/83/1118718183.db2.gz ZMJQOGAWJWEDME-OAHLLOKOSA-N 1 2 271.408 3.821 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2csc(C3CC3)n2)s1 ZINC000090409345 1118729899 /nfs/dbraw/zinc/72/98/99/1118729899.db2.gz YAYLIDSQMBHORB-QMMMGPOBSA-N 1 2 279.434 3.636 20 0 CHADLO CC(C)[N@H+](Cc1csc(C(F)(F)F)n1)C1CC1 ZINC000891661061 1118733444 /nfs/dbraw/zinc/73/34/44/1118733444.db2.gz DTHWKYIFTFHKKE-UHFFFAOYSA-N 1 2 264.316 3.535 20 0 CHADLO CC(C)[N@@H+](Cc1csc(C(F)(F)F)n1)C1CC1 ZINC000891661061 1118733446 /nfs/dbraw/zinc/73/34/46/1118733446.db2.gz DTHWKYIFTFHKKE-UHFFFAOYSA-N 1 2 264.316 3.535 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1ncc(Cl)s1)C(C)C ZINC000349561535 1118749496 /nfs/dbraw/zinc/74/94/96/1118749496.db2.gz BHUCWBYIHHYSON-CYBMUJFWSA-N 1 2 295.839 3.987 20 0 CHADLO CCC(CC)[C@@H]([NH2+]Cc1noc(C)n1)c1cccs1 ZINC000341595675 1118753396 /nfs/dbraw/zinc/75/33/96/1118753396.db2.gz VLFCXHVFTDOYKL-CQSZACIVSA-N 1 2 279.409 3.707 20 0 CHADLO CC(=CC1CCC(C)CC1)C(=O)Nc1cc(C)[nH+]cc1C ZINC001266899549 1118783409 /nfs/dbraw/zinc/78/34/09/1118783409.db2.gz HKDSBNCTCWPJCS-UKTHLTGXSA-N 1 2 286.419 3.831 20 0 CHADLO Cc1cc(C)c(Nc2cc(C)c(CO)c(C)c2)c[nH+]1 ZINC001216119151 1118789721 /nfs/dbraw/zinc/78/97/21/1118789721.db2.gz WKGKLCQILXIDNH-UHFFFAOYSA-N 1 2 256.349 3.551 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cn3cccc(C)c3n2)C2CC2)o1 ZINC000051967054 1128800848 /nfs/dbraw/zinc/80/08/48/1128800848.db2.gz KVZZPEHAULJYQT-UHFFFAOYSA-N 1 2 295.386 3.709 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cn3cccc(C)c3n2)C2CC2)o1 ZINC000051967054 1128800851 /nfs/dbraw/zinc/80/08/51/1128800851.db2.gz KVZZPEHAULJYQT-UHFFFAOYSA-N 1 2 295.386 3.709 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cnc3ccccn13)CC2 ZINC000341677231 1118792866 /nfs/dbraw/zinc/79/28/66/1118792866.db2.gz AYHNMFCCINMIEF-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cnc3ccccn13)CC2 ZINC000341677231 1118792869 /nfs/dbraw/zinc/79/28/69/1118792869.db2.gz AYHNMFCCINMIEF-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO FC(F)(F)C1(C(F)(F)F)CC[N@H+](C/C=C\Cl)C1 ZINC000255415202 1118867777 /nfs/dbraw/zinc/86/77/77/1118867777.db2.gz GDJMDLYGDWTMHS-IWQZZHSRSA-N 1 2 281.627 3.556 20 0 CHADLO FC(F)(F)C1(C(F)(F)F)CC[N@@H+](C/C=C\Cl)C1 ZINC000255415202 1118867784 /nfs/dbraw/zinc/86/77/84/1118867784.db2.gz GDJMDLYGDWTMHS-IWQZZHSRSA-N 1 2 281.627 3.556 20 0 CHADLO CC[C@H](C)[C@@H](C(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000535748433 1118882049 /nfs/dbraw/zinc/88/20/49/1118882049.db2.gz LDHGMMYOTKRMLO-SCLBCKFNSA-N 1 2 296.414 3.889 20 0 CHADLO Cc1cc(-c2ccc(Cl)cc2Cl)c[nH+]c1N ZINC000129733731 1118890452 /nfs/dbraw/zinc/89/04/52/1118890452.db2.gz SJQXMYWYIOODPQ-UHFFFAOYSA-N 1 2 253.132 3.946 20 0 CHADLO Cc1cc(Br)c(F)cc1Nc1ccc(N)[nH+]c1 ZINC000224262552 1118918184 /nfs/dbraw/zinc/91/81/84/1118918184.db2.gz BQPNLBYHCGRHAG-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO C[C@@H]1CCCC[C@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000036982133 1118923887 /nfs/dbraw/zinc/92/38/87/1118923887.db2.gz HZKNNHLEPBELDF-UKRRQHHQSA-N 1 2 259.397 3.672 20 0 CHADLO COc1ccc(C2([NH2+]Cc3ncc(Cl)s3)CC2)cc1 ZINC000349657681 1118938827 /nfs/dbraw/zinc/93/88/27/1118938827.db2.gz WQPZPIYMWQIRFH-UHFFFAOYSA-N 1 2 294.807 3.584 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2F)[N@H+](Cc2nccn2C2CC2)C1 ZINC000892074910 1118957531 /nfs/dbraw/zinc/95/75/31/1118957531.db2.gz COCBOBQWYCUVIC-DYVFJYSZSA-N 1 2 299.393 3.940 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2F)[N@@H+](Cc2nccn2C2CC2)C1 ZINC000892074910 1118957532 /nfs/dbraw/zinc/95/75/32/1118957532.db2.gz COCBOBQWYCUVIC-DYVFJYSZSA-N 1 2 299.393 3.940 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nc2ccccc2o1)c1cncs1 ZINC000934195480 1118962055 /nfs/dbraw/zinc/96/20/55/1118962055.db2.gz OUBPOIWNGHJOKF-UWVGGRQHSA-N 1 2 273.361 3.696 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@H](C)c2cncs2)c1F ZINC000934196683 1118963343 /nfs/dbraw/zinc/96/33/43/1118963343.db2.gz WRXZKDBKVNVWHY-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO C[C@@H]([NH2+]Cc1ccn(C(F)F)n1)c1cc2ccccc2o1 ZINC000342296068 1118970246 /nfs/dbraw/zinc/97/02/46/1118970246.db2.gz HBPNNBNIRCBILA-SNVBAGLBSA-N 1 2 291.301 3.875 20 0 CHADLO CC[N@H+](Cc1cnc(C)o1)Cc1ccc(Cl)s1 ZINC000628121169 1128813557 /nfs/dbraw/zinc/81/35/57/1128813557.db2.gz VEXSNOYZYVXKIK-UHFFFAOYSA-N 1 2 270.785 3.720 20 0 CHADLO CC[N@@H+](Cc1cnc(C)o1)Cc1ccc(Cl)s1 ZINC000628121169 1128813559 /nfs/dbraw/zinc/81/35/59/1128813559.db2.gz VEXSNOYZYVXKIK-UHFFFAOYSA-N 1 2 270.785 3.720 20 0 CHADLO CCc1ccc(C[NH2+]Cc2nc(C(C)(C)C)co2)cc1 ZINC000724395629 1118986766 /nfs/dbraw/zinc/98/67/66/1118986766.db2.gz VSWKRAWXSLCMRQ-UHFFFAOYSA-N 1 2 272.392 3.824 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2cc(F)cc(F)c2)s1 ZINC000358288756 1118991522 /nfs/dbraw/zinc/99/15/22/1118991522.db2.gz OGDDPMSEFRTQLK-VIFPVBQESA-N 1 2 297.374 3.791 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(F)cc2OC(C)C)c(N)c1 ZINC001212289726 1128814155 /nfs/dbraw/zinc/81/41/55/1128814155.db2.gz FLEBIEAEKCKPNP-UHFFFAOYSA-N 1 2 275.327 3.642 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)[nH]c1C ZINC000628131701 1128814297 /nfs/dbraw/zinc/81/42/97/1128814297.db2.gz GVEBKZPYTTZICQ-GFCCVEGCSA-N 1 2 277.799 3.873 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)[nH]c1C ZINC000628131701 1128814301 /nfs/dbraw/zinc/81/43/01/1128814301.db2.gz GVEBKZPYTTZICQ-GFCCVEGCSA-N 1 2 277.799 3.873 20 0 CHADLO Cc1[nH]c(CN(C)[C@H](C)c2cccc(Cl)c2)[nH+]c1C ZINC000628131701 1128814304 /nfs/dbraw/zinc/81/43/04/1128814304.db2.gz GVEBKZPYTTZICQ-GFCCVEGCSA-N 1 2 277.799 3.873 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)n1 ZINC000628129812 1128814891 /nfs/dbraw/zinc/81/48/91/1128814891.db2.gz VTYAENGAUAZIRY-LBPRGKRZSA-N 1 2 269.348 3.657 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)n1 ZINC000628129812 1128814896 /nfs/dbraw/zinc/81/48/96/1128814896.db2.gz VTYAENGAUAZIRY-LBPRGKRZSA-N 1 2 269.348 3.657 20 0 CHADLO C[C@H]1Oc2ccccc2[C@H]1[NH2+]Cc1csc(C2CC2)n1 ZINC000342413043 1119010243 /nfs/dbraw/zinc/01/02/43/1119010243.db2.gz FNYSBSXSGCFJMU-BMIGLBTASA-N 1 2 286.400 3.632 20 0 CHADLO CC(C)n1ccnc1C[NH2+]Cc1ccccc1OC1CCC1 ZINC000657365149 1119031133 /nfs/dbraw/zinc/03/11/33/1119031133.db2.gz XBZWEDUCAJCLAW-UHFFFAOYSA-N 1 2 299.418 3.685 20 0 CHADLO Cc1cnc([C@H](C)[NH2+]Cc2ccc(F)c(F)c2F)s1 ZINC000657388106 1119047278 /nfs/dbraw/zinc/04/72/78/1119047278.db2.gz DPDFONJBDQPSLZ-QMMMGPOBSA-N 1 2 286.322 3.720 20 0 CHADLO CC[N@H+](Cc1ccn(C(C)C)n1)Cc1cccc(F)c1 ZINC000342510150 1119061158 /nfs/dbraw/zinc/06/11/58/1119061158.db2.gz FUVYXTITKLESCF-UHFFFAOYSA-N 1 2 275.371 3.625 20 0 CHADLO CC[N@@H+](Cc1ccn(C(C)C)n1)Cc1cccc(F)c1 ZINC000342510150 1119061161 /nfs/dbraw/zinc/06/11/61/1119061161.db2.gz FUVYXTITKLESCF-UHFFFAOYSA-N 1 2 275.371 3.625 20 0 CHADLO Cc1nnc(C[NH2+]Cc2cccc(C3CCCC3)c2)s1 ZINC000657429170 1119080083 /nfs/dbraw/zinc/08/00/83/1119080083.db2.gz GFBPHLOTLAAULF-UHFFFAOYSA-N 1 2 287.432 3.794 20 0 CHADLO COCC[C@@H]([NH2+]Cc1ccc(F)c(F)c1F)c1ccco1 ZINC000657430672 1119083583 /nfs/dbraw/zinc/08/35/83/1119083583.db2.gz UZIRPKSRZUBJRQ-GFCCVEGCSA-N 1 2 299.292 3.564 20 0 CHADLO Cc1cc(NC(=O)CCc2ccc([C@H]3C[C@@H]3C)o2)cc[nH+]1 ZINC000073069506 1119099819 /nfs/dbraw/zinc/09/98/19/1119099819.db2.gz OUSXAGDFSQRBHJ-NHYWBVRUSA-N 1 2 284.359 3.678 20 0 CHADLO Cc1[nH]c(CNc2ccc(F)c(C3CC3)c2)[nH+]c1C ZINC000657458699 1119110477 /nfs/dbraw/zinc/11/04/77/1119110477.db2.gz NTSLUBULPLWPDU-UHFFFAOYSA-N 1 2 259.328 3.655 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1nc2ccccc2[nH]1)C1CC1 ZINC000119902887 1119114738 /nfs/dbraw/zinc/11/47/38/1119114738.db2.gz VPGDZTSQJKERAU-GFCCVEGCSA-N 1 2 281.359 3.882 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1nc2ccccc2[nH]1)C1CC1 ZINC000119902887 1119114739 /nfs/dbraw/zinc/11/47/39/1119114739.db2.gz VPGDZTSQJKERAU-GFCCVEGCSA-N 1 2 281.359 3.882 20 0 CHADLO Cc1nn(-c2ccccc2)cc1C[NH+]1Cc2ccccc2C1 ZINC000119947136 1119121817 /nfs/dbraw/zinc/12/18/17/1119121817.db2.gz OBKZYNSBWJCANP-UHFFFAOYSA-N 1 2 289.382 3.697 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@@H](C)c1cc2ccccc2o1 ZINC000342697452 1119130259 /nfs/dbraw/zinc/13/02/59/1119130259.db2.gz CLDPVIZXNMUVGA-NSHDSACASA-N 1 2 299.326 3.663 20 0 CHADLO CCCCC1CCC(c2nc(C[NH2+]CC)no2)CC1 ZINC000051860554 1119163960 /nfs/dbraw/zinc/16/39/60/1119163960.db2.gz FJMHZRNTSIQNQX-UHFFFAOYSA-N 1 2 265.401 3.643 20 0 CHADLO Cc1ccc2nc(C[N@@H+]3CC[C@@H]3c3ccccc3)cn2c1 ZINC000342792911 1119170219 /nfs/dbraw/zinc/17/02/19/1119170219.db2.gz QJYFBGXXCXZETO-QGZVFWFLSA-N 1 2 277.371 3.590 20 0 CHADLO Cc1ccc2nc(C[N@H+]3CC[C@@H]3c3ccccc3)cn2c1 ZINC000342792911 1119170221 /nfs/dbraw/zinc/17/02/21/1119170221.db2.gz QJYFBGXXCXZETO-QGZVFWFLSA-N 1 2 277.371 3.590 20 0 CHADLO CCC[N@H+](Cc1csc(COC)n1)Cc1ccccc1 ZINC000075589434 1119177626 /nfs/dbraw/zinc/17/76/26/1119177626.db2.gz GTIQMRFLRUDKLU-UHFFFAOYSA-N 1 2 290.432 3.702 20 0 CHADLO CCC[N@@H+](Cc1csc(COC)n1)Cc1ccccc1 ZINC000075589434 1119177629 /nfs/dbraw/zinc/17/76/29/1119177629.db2.gz GTIQMRFLRUDKLU-UHFFFAOYSA-N 1 2 290.432 3.702 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)c1ccsc1 ZINC000050341432 1119179007 /nfs/dbraw/zinc/17/90/07/1119179007.db2.gz LHLNWJKPBZMGDL-GFCCVEGCSA-N 1 2 273.405 3.916 20 0 CHADLO COc1cccc(F)c1CNc1ccc(-n2cccc2)c[nH+]1 ZINC001167607321 1119184976 /nfs/dbraw/zinc/18/49/76/1119184976.db2.gz UYEIHPKYWBHRCE-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2c(C)cc(Cl)cc2C)n1 ZINC000342820993 1119193664 /nfs/dbraw/zinc/19/36/64/1119193664.db2.gz JPTVVBGELJFTJX-UHFFFAOYSA-N 1 2 293.798 3.673 20 0 CHADLO CCCC[C@@H](C(=O)OC)[N@H+](C)Cc1cc2ccccc2o1 ZINC000472254050 1119198771 /nfs/dbraw/zinc/19/87/71/1119198771.db2.gz CEBZLAFGJAFYJZ-HNNXBMFYSA-N 1 2 289.375 3.596 20 0 CHADLO CCCC[C@@H](C(=O)OC)[N@@H+](C)Cc1cc2ccccc2o1 ZINC000472254050 1119198774 /nfs/dbraw/zinc/19/87/74/1119198774.db2.gz CEBZLAFGJAFYJZ-HNNXBMFYSA-N 1 2 289.375 3.596 20 0 CHADLO COC(=O)c1sccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213085473 1119199482 /nfs/dbraw/zinc/19/94/82/1119199482.db2.gz HJVOLDQOESVZAR-UHFFFAOYSA-N 1 2 299.355 3.668 20 0 CHADLO Cc1ccccc1[C@@H](Nc1cc[nH+]c(C)n1)C1CC1 ZINC000078252766 1119202986 /nfs/dbraw/zinc/20/29/86/1119202986.db2.gz XUTLBMDHRDLDLP-INIZCTEOSA-N 1 2 253.349 3.657 20 0 CHADLO Cc1cc(N2CC=C(c3ccccc3F)C2)nc(C2CC2)[nH+]1 ZINC000342857699 1119210590 /nfs/dbraw/zinc/21/05/90/1119210590.db2.gz YTHZXBLCOJNLQO-UHFFFAOYSA-N 1 2 295.361 3.705 20 0 CHADLO Cc1ccc(Cl)c(C)c1NCc1cc[nH+]c(N)c1 ZINC001167619266 1119218742 /nfs/dbraw/zinc/21/87/42/1119218742.db2.gz XGESDKNQCLAFAI-UHFFFAOYSA-N 1 2 261.756 3.546 20 0 CHADLO Clc1ccc(NCc2cccc(-n3cc[nH+]c3)c2)nc1 ZINC000078664306 1119241800 /nfs/dbraw/zinc/24/18/00/1119241800.db2.gz WIDIKDZJAYSOBJ-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO Cc1nc(C[N@H+]2CC=C(c3cccc(C)c3)CC2)[nH]c1C ZINC000628235859 1128832393 /nfs/dbraw/zinc/83/23/93/1128832393.db2.gz FDFXXMNGQHNSSW-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO Cc1nc(C[N@@H+]2CC=C(c3cccc(C)c3)CC2)[nH]c1C ZINC000628235859 1128832395 /nfs/dbraw/zinc/83/23/95/1128832395.db2.gz FDFXXMNGQHNSSW-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO Cc1[nH]c(CN2CC=C(c3cccc(C)c3)CC2)[nH+]c1C ZINC000628235859 1128832397 /nfs/dbraw/zinc/83/23/97/1128832397.db2.gz FDFXXMNGQHNSSW-UHFFFAOYSA-N 1 2 281.403 3.624 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2sccc2C)cs1 ZINC000042627084 1119264982 /nfs/dbraw/zinc/26/49/82/1119264982.db2.gz SWJDBWGRTZTXKE-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2sccc2C)cs1 ZINC000042627084 1119264988 /nfs/dbraw/zinc/26/49/88/1119264988.db2.gz SWJDBWGRTZTXKE-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CCc1ccc(C2=CC[N@H+](Cc3nc(C)c[nH]3)CC2)cc1 ZINC000628236270 1128833537 /nfs/dbraw/zinc/83/35/37/1128833537.db2.gz VTAQYDYRKCONMO-UHFFFAOYSA-N 1 2 281.403 3.570 20 0 CHADLO CCc1ccc(C2=CC[N@@H+](Cc3nc(C)c[nH]3)CC2)cc1 ZINC000628236270 1128833539 /nfs/dbraw/zinc/83/35/39/1128833539.db2.gz VTAQYDYRKCONMO-UHFFFAOYSA-N 1 2 281.403 3.570 20 0 CHADLO c1ccc(-c2nnc(C[NH2+]C3(c4ccccc4)CC3)o2)cc1 ZINC000343001804 1119277175 /nfs/dbraw/zinc/27/71/75/1119277175.db2.gz HEFWPKTUVNSXNT-UHFFFAOYSA-N 1 2 291.354 3.516 20 0 CHADLO Fc1cc(Cl)cnc1NCCCCNc1cccc[nH+]1 ZINC000079298515 1119278499 /nfs/dbraw/zinc/27/84/99/1119278499.db2.gz VKSFHOHVYJCHPG-UHFFFAOYSA-N 1 2 294.761 3.573 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@H](c2ccccc2Br)C1 ZINC000181118103 1128835121 /nfs/dbraw/zinc/83/51/21/1128835121.db2.gz JDAUXLLUJISSRJ-FZMZJTMJSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@H](c2ccccc2Br)C1 ZINC000181118103 1128835125 /nfs/dbraw/zinc/83/51/25/1128835125.db2.gz JDAUXLLUJISSRJ-FZMZJTMJSA-N 1 2 298.224 3.621 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cnc(C(C)(C)C)s1 ZINC000449563427 1119292319 /nfs/dbraw/zinc/29/23/19/1119292319.db2.gz UYQRGDSJHMJBNZ-NSHDSACASA-N 1 2 292.452 3.508 20 0 CHADLO Cc1ncc(C[N@H+](CCC(F)(F)F)Cc2ccccc2)o1 ZINC000628251337 1128835998 /nfs/dbraw/zinc/83/59/98/1128835998.db2.gz CRMSKEGGVDMKEC-UHFFFAOYSA-N 1 2 298.308 3.938 20 0 CHADLO Cc1ncc(C[N@@H+](CCC(F)(F)F)Cc2ccccc2)o1 ZINC000628251337 1128836005 /nfs/dbraw/zinc/83/60/05/1128836005.db2.gz CRMSKEGGVDMKEC-UHFFFAOYSA-N 1 2 298.308 3.938 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@@H+]1CC[C@@H](C(C)C)C1 ZINC001167656019 1119301483 /nfs/dbraw/zinc/30/14/83/1119301483.db2.gz FLCYRSQYYZIVDD-DOMZBBRYSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@H+]1CC[C@@H](C(C)C)C1 ZINC001167656019 1119301485 /nfs/dbraw/zinc/30/14/85/1119301485.db2.gz FLCYRSQYYZIVDD-DOMZBBRYSA-N 1 2 295.810 3.532 20 0 CHADLO CC(C)[C@@H]([NH2+][C@H]1CCCc2[nH]ncc21)c1ccc(F)cc1 ZINC000353444893 1128836644 /nfs/dbraw/zinc/83/66/44/1128836644.db2.gz GUHUNOSKAOWREK-DOTOQJQBSA-N 1 2 287.382 3.913 20 0 CHADLO Cc1ccc([C@@H]([NH2+][C@H]2CCCc3[nH]ncc32)C2CC2)cc1 ZINC000353446783 1128837300 /nfs/dbraw/zinc/83/73/00/1128837300.db2.gz KVZRKMHOSLCZJY-FUHWJXTLSA-N 1 2 281.403 3.836 20 0 CHADLO C[C@H](Nc1cccc[nH+]1)c1ccc(OC(F)F)cc1 ZINC000079865532 1119317024 /nfs/dbraw/zinc/31/70/24/1119317024.db2.gz AJBMPNPPKGPDSX-JTQLQIEISA-N 1 2 264.275 3.856 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1cncs1 ZINC000154775040 1119319129 /nfs/dbraw/zinc/31/91/29/1119319129.db2.gz LPQLOCIZKXUFFS-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO Cc1c[nH+]c(CCSCCOCC2CCC2)c(C)c1 ZINC000583263393 1119329054 /nfs/dbraw/zinc/32/90/54/1119329054.db2.gz GTFVGHZEDNXYFE-UHFFFAOYSA-N 1 2 279.449 3.791 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](c3ccc(Cl)cc3)C2)o1 ZINC000155238978 1119330014 /nfs/dbraw/zinc/33/00/14/1119330014.db2.gz CGVMOWDEWBRVGY-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](c3ccc(Cl)cc3)C2)o1 ZINC000155238978 1119330018 /nfs/dbraw/zinc/33/00/18/1119330018.db2.gz CGVMOWDEWBRVGY-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1nc(N2CCC(CC(F)(F)F)CC2)c(C)c(C)[nH+]1 ZINC000343151817 1119331617 /nfs/dbraw/zinc/33/16/17/1119331617.db2.gz BTMWKTLSDJGGGU-UHFFFAOYSA-N 1 2 287.329 3.571 20 0 CHADLO Cc1noc(C)c1COc1cc(C)[nH+]c2ccccc21 ZINC000192193039 1119331528 /nfs/dbraw/zinc/33/15/28/1119331528.db2.gz XFDFPXOBKCPCAB-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169657 1119332883 /nfs/dbraw/zinc/33/28/83/1119332883.db2.gz NEBBIBGLEIEJAU-MEDUHNTESA-N 1 2 281.346 3.527 20 0 CHADLO C[C@@H](c1c(F)cccc1F)[N@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169657 1119332887 /nfs/dbraw/zinc/33/28/87/1119332887.db2.gz NEBBIBGLEIEJAU-MEDUHNTESA-N 1 2 281.346 3.527 20 0 CHADLO c1csc([C@H]([NH2+][C@H]2CCCc3[nH]ncc32)C2CC2)c1 ZINC000353449248 1128838722 /nfs/dbraw/zinc/83/87/22/1128838722.db2.gz QLAHUZPKZOQXOU-SWLSCSKDSA-N 1 2 273.405 3.590 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cc(F)c(F)cc1F ZINC000092935979 1119352364 /nfs/dbraw/zinc/35/23/64/1119352364.db2.gz LFUAATCKRBWDKK-UHFFFAOYSA-N 1 2 269.266 3.719 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cc(F)c(F)cc1F ZINC000092935979 1119352367 /nfs/dbraw/zinc/35/23/67/1119352367.db2.gz LFUAATCKRBWDKK-UHFFFAOYSA-N 1 2 269.266 3.719 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nc2c(s1)CCC2)c1nccs1 ZINC000093076344 1119355219 /nfs/dbraw/zinc/35/52/19/1119355219.db2.gz UHGKTMPGQYEWQC-CQSZACIVSA-N 1 2 293.461 3.503 20 0 CHADLO CO[C@]1(C)CCCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000566558063 1119364186 /nfs/dbraw/zinc/36/41/86/1119364186.db2.gz NPDZERUPNSAJGM-QGZVFWFLSA-N 1 2 288.366 3.769 20 0 CHADLO CC(=O)Nc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001213088904 1119371375 /nfs/dbraw/zinc/37/13/75/1119371375.db2.gz JWXRWABLBFERPQ-UHFFFAOYSA-N 1 2 292.342 3.779 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(CO)c(C)c2)cc1 ZINC001211592340 1119391252 /nfs/dbraw/zinc/39/12/52/1119391252.db2.gz VCEHOOWXMJPQBB-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(CO)c(C)c2)cc1 ZINC001211592340 1119391254 /nfs/dbraw/zinc/39/12/54/1119391254.db2.gz VCEHOOWXMJPQBB-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO Cc1[nH]c(CN2C[C@H](C)CSc3ccccc32)[nH+]c1C ZINC000628284511 1128843394 /nfs/dbraw/zinc/84/33/94/1128843394.db2.gz MLVARDNAGBVCHZ-NSHDSACASA-N 1 2 287.432 3.775 20 0 CHADLO C[C@H]([NH2+]Cc1nn(C)cc1Cl)c1coc2ccccc21 ZINC000926522409 1119399686 /nfs/dbraw/zinc/39/96/86/1119399686.db2.gz WFSZQGQDTZTTKC-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1nc(C)c[nH]1 ZINC000628124046 1119404535 /nfs/dbraw/zinc/40/45/35/1119404535.db2.gz PUXXVZMWJAITLE-UHFFFAOYSA-N 1 2 283.375 3.659 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1nc(C)c[nH]1 ZINC000628124046 1119404538 /nfs/dbraw/zinc/40/45/38/1119404538.db2.gz PUXXVZMWJAITLE-UHFFFAOYSA-N 1 2 283.375 3.659 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+]Cc1c[nH]nc1-c1cccs1 ZINC000657793261 1119412490 /nfs/dbraw/zinc/41/24/90/1119412490.db2.gz JBLFRRCJMXEMQQ-UHFFFAOYSA-N 1 2 299.390 3.909 20 0 CHADLO Cc1[nH]c(CN(C)[C@@H](C)c2cc3ccccc3o2)[nH+]c1C ZINC000628129823 1119414958 /nfs/dbraw/zinc/41/49/58/1119414958.db2.gz WBQBQGWEMJKRLU-ZDUSSCGKSA-N 1 2 283.375 3.966 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2cc3ccccc3o2)[nH]c1C ZINC000628129823 1119414960 /nfs/dbraw/zinc/41/49/60/1119414960.db2.gz WBQBQGWEMJKRLU-ZDUSSCGKSA-N 1 2 283.375 3.966 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2cc3ccccc3o2)[nH]c1C ZINC000628129823 1119414961 /nfs/dbraw/zinc/41/49/61/1119414961.db2.gz WBQBQGWEMJKRLU-ZDUSSCGKSA-N 1 2 283.375 3.966 20 0 CHADLO Cc1ccc(-c2ccc(C[NH2+][C@@H](C)c3nccn3C)o2)cc1 ZINC000657794899 1119415125 /nfs/dbraw/zinc/41/51/25/1119415125.db2.gz YQPQZMXOHHIWFO-AWEZNQCLSA-N 1 2 295.386 3.839 20 0 CHADLO Cc1cc2c(cccc2N)n1-c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090808 1119420707 /nfs/dbraw/zinc/42/07/07/1119420707.db2.gz HFDNUFCCKRYLKT-UHFFFAOYSA-N 1 2 288.354 3.911 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccc(F)c2)C(C)C)[nH]c1C ZINC000628135163 1119426681 /nfs/dbraw/zinc/42/66/81/1119426681.db2.gz OICSPKQQRFWGPS-UHFFFAOYSA-N 1 2 275.371 3.576 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccc(F)c2)C(C)C)[nH]c1C ZINC000628135163 1119426684 /nfs/dbraw/zinc/42/66/84/1119426684.db2.gz OICSPKQQRFWGPS-UHFFFAOYSA-N 1 2 275.371 3.576 20 0 CHADLO Cc1[nH]c(CN(Cc2cccc(F)c2)C(C)C)[nH+]c1C ZINC000628135163 1119426687 /nfs/dbraw/zinc/42/66/87/1119426687.db2.gz OICSPKQQRFWGPS-UHFFFAOYSA-N 1 2 275.371 3.576 20 0 CHADLO C[N@H+](Cc1nc(C(F)F)no1)[C@@H]1CCCc2ccccc21 ZINC000583868074 1119427056 /nfs/dbraw/zinc/42/70/56/1119427056.db2.gz IIBOWJKNFPQVJU-GFCCVEGCSA-N 1 2 293.317 3.517 20 0 CHADLO C[N@@H+](Cc1nc(C(F)F)no1)[C@@H]1CCCc2ccccc21 ZINC000583868074 1119427057 /nfs/dbraw/zinc/42/70/57/1119427057.db2.gz IIBOWJKNFPQVJU-GFCCVEGCSA-N 1 2 293.317 3.517 20 0 CHADLO C[N@H+](Cc1cccc(F)c1)Cc1cccnc1Cl ZINC000052709118 1119441960 /nfs/dbraw/zinc/44/19/60/1119441960.db2.gz MEGKGQHFLONXIZ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1)Cc1cccnc1Cl ZINC000052709118 1119441962 /nfs/dbraw/zinc/44/19/62/1119441962.db2.gz MEGKGQHFLONXIZ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO c1coc([C@H]([NH2+][C@H]2CCCn3nccc32)c2ccccc2)c1 ZINC000657826670 1119472945 /nfs/dbraw/zinc/47/29/45/1119472945.db2.gz JLSMHMOCWDMPBO-MAUKXSAKSA-N 1 2 293.370 3.690 20 0 CHADLO COC[C@@H](C)CNc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000584093057 1119475664 /nfs/dbraw/zinc/47/56/64/1119475664.db2.gz XTFGKJIBKMYQPD-AWEZNQCLSA-N 1 2 299.418 3.581 20 0 CHADLO Cc1cccn2c(CNc3nccc4sccc43)c[nH+]c12 ZINC000158936576 1119478283 /nfs/dbraw/zinc/47/82/83/1119478283.db2.gz QZRKUGQEEHPOMV-UHFFFAOYSA-N 1 2 294.383 3.865 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1cc2ccccc2s1 ZINC000169184005 1119498931 /nfs/dbraw/zinc/49/89/31/1119498931.db2.gz LRFSQZDXMZUXMK-LLVKDONJSA-N 1 2 271.389 3.784 20 0 CHADLO CCOc1cccc([C@H](C)Nc2[nH+]c(C)nc3[nH]ccc32)c1 ZINC000080970646 1119508110 /nfs/dbraw/zinc/50/81/10/1119508110.db2.gz YFCCWSGUHKXOPC-NSHDSACASA-N 1 2 296.374 3.838 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccc(COC)o2)c1 ZINC000090228285 1119544766 /nfs/dbraw/zinc/54/47/66/1119544766.db2.gz MPYAPNQNUFJKOS-UHFFFAOYSA-N 1 2 292.404 3.686 20 0 CHADLO CC(C)c1cc(NCCc2ccncc2)nc(C(C)C)[nH+]1 ZINC000090112337 1119545868 /nfs/dbraw/zinc/54/58/68/1119545868.db2.gz AGIZHBKZRNGKNU-UHFFFAOYSA-N 1 2 284.407 3.773 20 0 CHADLO CC(C)c1cc(N[C@@H](C)[C@H]2CCOC2)nc(C(C)C)[nH+]1 ZINC000090095696 1119545950 /nfs/dbraw/zinc/54/59/50/1119545950.db2.gz RLAQMSIHZJUCRV-STQMWFEESA-N 1 2 277.412 3.560 20 0 CHADLO CC(C)c1cc(N[C@H](C)[C@H]2CCOC2)nc(C(C)C)[nH+]1 ZINC000090095697 1119546075 /nfs/dbraw/zinc/54/60/75/1119546075.db2.gz RLAQMSIHZJUCRV-OLZOCXBDSA-N 1 2 277.412 3.560 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1ncccc1F)CCS2 ZINC000090722138 1119549192 /nfs/dbraw/zinc/54/91/92/1119549192.db2.gz ZJSRJZNALOZKCY-CYBMUJFWSA-N 1 2 292.354 3.687 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ncccc1C(F)(F)F ZINC000092438463 1119561925 /nfs/dbraw/zinc/56/19/25/1119561925.db2.gz IUEHDDCXQQYLEV-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ncccc1C(F)(F)F ZINC000092438463 1119561926 /nfs/dbraw/zinc/56/19/26/1119561926.db2.gz IUEHDDCXQQYLEV-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccnc([C@H](Nc2cc(C)[nH+]c(C(C)C)n2)C2CC2)n1 ZINC000092692659 1119564521 /nfs/dbraw/zinc/56/45/21/1119564521.db2.gz MALBWNCIDYDLOP-OAHLLOKOSA-N 1 2 297.406 3.570 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCO[C@H](c3cccs3)C2)c1 ZINC000092593429 1119565690 /nfs/dbraw/zinc/56/56/90/1119565690.db2.gz LTZGJUUFVROCHR-HNNXBMFYSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCO[C@H](c3cccs3)C2)c1 ZINC000092593429 1119565694 /nfs/dbraw/zinc/56/56/94/1119565694.db2.gz LTZGJUUFVROCHR-HNNXBMFYSA-N 1 2 291.391 3.769 20 0 CHADLO C[N@H+](Cc1cccnc1)Cc1cccc(F)c1Cl ZINC000093208693 1119570441 /nfs/dbraw/zinc/57/04/41/1119570441.db2.gz JPQIMMDBKXDEHT-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccnc1)Cc1cccc(F)c1Cl ZINC000093208693 1119570443 /nfs/dbraw/zinc/57/04/43/1119570443.db2.gz JPQIMMDBKXDEHT-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1ccc(Cl)o1 ZINC000093845288 1119572364 /nfs/dbraw/zinc/57/23/64/1119572364.db2.gz IREDJANFKDGMRN-AWEZNQCLSA-N 1 2 278.783 3.999 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1ccc(Cl)o1 ZINC000093845288 1119572366 /nfs/dbraw/zinc/57/23/66/1119572366.db2.gz IREDJANFKDGMRN-AWEZNQCLSA-N 1 2 278.783 3.999 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)Cc2ccccc2)c(C)[nH+]1 ZINC000171808806 1119625965 /nfs/dbraw/zinc/62/59/65/1119625965.db2.gz RZKACYPRIPIHDN-CYBMUJFWSA-N 1 2 282.387 3.824 20 0 CHADLO Cc1ncccc1C[NH2+][C@@H](C)c1ncc(-c2ccccc2)o1 ZINC000685572720 1119633128 /nfs/dbraw/zinc/63/31/28/1119633128.db2.gz GAVULACSNNWORH-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000628431150 1128859180 /nfs/dbraw/zinc/85/91/80/1128859180.db2.gz PDACLWFXWVKSOZ-KBPBESRZSA-N 1 2 285.391 3.986 20 0 CHADLO CC[N@H+](C/C(Cl)=C\Cl)Cc1ccc(OC)cc1 ZINC000763491375 1128862527 /nfs/dbraw/zinc/86/25/27/1128862527.db2.gz HCAQKATUIVJUIA-XYOKQWHBSA-N 1 2 274.191 3.836 20 0 CHADLO CC[N@@H+](C/C(Cl)=C\Cl)Cc1ccc(OC)cc1 ZINC000763491375 1128862529 /nfs/dbraw/zinc/86/25/29/1128862529.db2.gz HCAQKATUIVJUIA-XYOKQWHBSA-N 1 2 274.191 3.836 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@H+](CC1(F)CC1)CC2 ZINC000628460027 1128862917 /nfs/dbraw/zinc/86/29/17/1128862917.db2.gz DAEPZTUPYSWONC-UHFFFAOYSA-N 1 2 273.273 3.566 20 0 CHADLO FC(F)(F)c1cccc2c1C[N@@H+](CC1(F)CC1)CC2 ZINC000628460027 1128862920 /nfs/dbraw/zinc/86/29/20/1128862920.db2.gz DAEPZTUPYSWONC-UHFFFAOYSA-N 1 2 273.273 3.566 20 0 CHADLO Cc1cncc([C@H](C)[NH2+]CC(F)(F)C(C)(C)C)c1 ZINC000658337622 1119727028 /nfs/dbraw/zinc/72/70/28/1119727028.db2.gz TZZGCAPRNORMAG-NSHDSACASA-N 1 2 256.340 3.722 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)cs1)c1ccnn1C ZINC000192841116 1119744519 /nfs/dbraw/zinc/74/45/19/1119744519.db2.gz PGJZAOVYWSBWLB-MNOVXSKESA-N 1 2 292.452 3.586 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+]Cc2nc(C)c(C)o2)cc1 ZINC000223622561 1119751519 /nfs/dbraw/zinc/75/15/19/1119751519.db2.gz KYKWJMWORICFKS-LBPRGKRZSA-N 1 2 274.364 3.541 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2cccc3[nH]ccc32)no1 ZINC000375845962 1119768861 /nfs/dbraw/zinc/76/88/61/1119768861.db2.gz ZRHOPHXTDIBMMD-INIZCTEOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2cccc3[nH]ccc32)no1 ZINC000375845962 1119768864 /nfs/dbraw/zinc/76/88/64/1119768864.db2.gz ZRHOPHXTDIBMMD-INIZCTEOSA-N 1 2 296.374 3.587 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)c1 ZINC000375895115 1119779877 /nfs/dbraw/zinc/77/98/77/1119779877.db2.gz JNTDYVFMHZBRQO-BLLLJJGKSA-N 1 2 285.391 3.537 20 0 CHADLO CCC1(CC)CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC000935472630 1119783701 /nfs/dbraw/zinc/78/37/01/1119783701.db2.gz APLSGUJHZPCESG-UHFFFAOYSA-N 1 2 285.391 3.605 20 0 CHADLO C#CC[N@H+](Cc1ccc(F)cc1)Cc1cc(C)ccc1OC ZINC000065958370 1119796886 /nfs/dbraw/zinc/79/68/86/1119796886.db2.gz WRYSCPFQOUROTA-UHFFFAOYSA-N 1 2 297.373 3.778 20 0 CHADLO C#CC[N@@H+](Cc1ccc(F)cc1)Cc1cc(C)ccc1OC ZINC000065958370 1119796887 /nfs/dbraw/zinc/79/68/87/1119796887.db2.gz WRYSCPFQOUROTA-UHFFFAOYSA-N 1 2 297.373 3.778 20 0 CHADLO COCOc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001211635550 1119801771 /nfs/dbraw/zinc/80/17/71/1119801771.db2.gz HUXMRWOPBOFWQG-UHFFFAOYSA-N 1 2 270.332 3.685 20 0 CHADLO C[C@@H](Cc1ccc(Br)cc1)Nc1cccc[nH+]1 ZINC000584501909 1119829598 /nfs/dbraw/zinc/82/95/98/1119829598.db2.gz ABNZYKULXKXCDH-NSHDSACASA-N 1 2 291.192 3.887 20 0 CHADLO CCSc1cccc(CNc2cc3cc[nH]c3c[nH+]2)c1 ZINC000644140237 1119896443 /nfs/dbraw/zinc/89/64/43/1119896443.db2.gz MUQXIKHAICWQAY-UHFFFAOYSA-N 1 2 283.400 3.709 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOCC2)cc1C)[C@H]1C[C@H]1C ZINC000414109720 1119902535 /nfs/dbraw/zinc/90/25/35/1119902535.db2.gz VEVBGFPOMINJEX-COXVUDFISA-N 1 2 288.435 3.678 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc2c(c1)CCCC2)c1ncco1 ZINC000924573432 1119908073 /nfs/dbraw/zinc/90/80/73/1119908073.db2.gz PKPNPZBYOMTIOJ-STQMWFEESA-N 1 2 270.376 3.965 20 0 CHADLO Fc1cccc(C[NH2+]Cc2c(F)cccc2F)c1F ZINC000083512479 1119939161 /nfs/dbraw/zinc/93/91/61/1119939161.db2.gz IRPQBGJQASEVRQ-UHFFFAOYSA-N 1 2 269.241 3.533 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc(Br)cc1 ZINC000175075158 1119950268 /nfs/dbraw/zinc/95/02/68/1119950268.db2.gz XQULGUDIJDSIEZ-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc(Br)cc1 ZINC000175075158 1119950272 /nfs/dbraw/zinc/95/02/72/1119950272.db2.gz XQULGUDIJDSIEZ-UHFFFAOYSA-N 1 2 297.221 3.538 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)[NH2+]Cc1nccn1C1CC1 ZINC000892601100 1119970546 /nfs/dbraw/zinc/97/05/46/1119970546.db2.gz ZETRXNOLUBFEBA-CQSZACIVSA-N 1 2 299.418 3.856 20 0 CHADLO Cc1ccc(OCc2nc(C[N@@H+](C)C3CC3)cs2)cc1 ZINC000047921696 1119998689 /nfs/dbraw/zinc/99/86/89/1119998689.db2.gz MSTRKAVDTUTVRL-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO Cc1ccc(OCc2nc(C[N@H+](C)C3CC3)cs2)cc1 ZINC000047921696 1119998691 /nfs/dbraw/zinc/99/86/91/1119998691.db2.gz MSTRKAVDTUTVRL-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)nn1 ZINC000414374175 1120004859 /nfs/dbraw/zinc/00/48/59/1120004859.db2.gz VDXINAAMKYQQEG-SJCJKPOMSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)nn1 ZINC000414374175 1120004862 /nfs/dbraw/zinc/00/48/62/1120004862.db2.gz VDXINAAMKYQQEG-SJCJKPOMSA-N 1 2 297.402 3.666 20 0 CHADLO CC(C)C[C@@H](C(=O)Nc1cscc1Cl)n1cc[nH+]c1 ZINC000636437526 1120006502 /nfs/dbraw/zinc/00/65/02/1120006502.db2.gz SRUTZZOMKSMVOA-LBPRGKRZSA-N 1 2 297.811 3.824 20 0 CHADLO CC/C(C)=C/C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921656878 1120057352 /nfs/dbraw/zinc/05/73/52/1120057352.db2.gz POXVSYYBIHJTPI-ZHACJKMWSA-N 1 2 283.375 3.988 20 0 CHADLO CCC(C)=CC(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921656878 1120057355 /nfs/dbraw/zinc/05/73/55/1120057355.db2.gz POXVSYYBIHJTPI-ZHACJKMWSA-N 1 2 283.375 3.988 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)CC(C)C)cc2)[nH+]c1C ZINC000921668755 1120062877 /nfs/dbraw/zinc/06/28/77/1120062877.db2.gz WSZRAKGSVSIWRU-UHFFFAOYSA-N 1 2 271.364 3.678 20 0 CHADLO CNc1ccc(Nc2ccccc2-c2nccs2)c[nH+]1 ZINC001203462229 1120063008 /nfs/dbraw/zinc/06/30/08/1120063008.db2.gz KYVBPLGZCGXGML-UHFFFAOYSA-N 1 2 282.372 3.990 20 0 CHADLO Cc1cnccc1C[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000623658077 1120066767 /nfs/dbraw/zinc/06/67/67/1120066767.db2.gz JEBBVQNMFCUHFR-INIZCTEOSA-N 1 2 290.357 3.746 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1ccc(Cl)nn1 ZINC000167250221 1120078052 /nfs/dbraw/zinc/07/80/52/1120078052.db2.gz ZGRXUYQFLBGIJK-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1ccc(Cl)nn1 ZINC000167250221 1120078056 /nfs/dbraw/zinc/07/80/56/1120078056.db2.gz ZGRXUYQFLBGIJK-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccc(O)c(F)c2F)c1 ZINC001140889890 1120078133 /nfs/dbraw/zinc/07/81/33/1120078133.db2.gz OYZWADKWMKKDHQ-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccc(O)c(F)c2F)c1 ZINC001140889890 1120078135 /nfs/dbraw/zinc/07/81/35/1120078135.db2.gz OYZWADKWMKKDHQ-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1cccc([C@@H](NC(=O)C[C@H](C)n2cc[nH+]c2)C(C)C)c1 ZINC000628969961 1128889606 /nfs/dbraw/zinc/88/96/06/1128889606.db2.gz XVICUMRKHUAZEN-YJBOKZPZSA-N 1 2 299.418 3.656 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(-c2ccccc2)o1)c1cccc(O)c1 ZINC000181551473 1120104774 /nfs/dbraw/zinc/10/47/74/1120104774.db2.gz OUGGYHYPTASHIC-CYBMUJFWSA-N 1 2 294.354 3.898 20 0 CHADLO C[C@@H]([NH2+]Cc1scnc1C1CC1)c1c(F)cncc1F ZINC000353118656 1120116921 /nfs/dbraw/zinc/11/69/21/1120116921.db2.gz MICABGSRFKZFPE-MRVPVSSYSA-N 1 2 295.358 3.545 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1cc(C2CC2)no1 ZINC000659822958 1120119516 /nfs/dbraw/zinc/11/95/16/1120119516.db2.gz QEARVHZUQBAFDY-SNVBAGLBSA-N 1 2 274.389 3.733 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cc(C2CC2)no1 ZINC000659822958 1120119518 /nfs/dbraw/zinc/11/95/18/1120119518.db2.gz QEARVHZUQBAFDY-SNVBAGLBSA-N 1 2 274.389 3.733 20 0 CHADLO c1coc(C[NH2+][C@H](c2ccccc2)c2cccnc2)c1 ZINC000171440432 1120125902 /nfs/dbraw/zinc/12/59/02/1120125902.db2.gz QUHOFRGGRJWYGU-QGZVFWFLSA-N 1 2 264.328 3.554 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1Cl)c1nccn1C ZINC000182993624 1120128775 /nfs/dbraw/zinc/12/87/75/1120128775.db2.gz IUQDUMCFIPAQRZ-UWVGGRQHSA-N 1 2 281.762 3.624 20 0 CHADLO Fc1ccc2c(c1)CC[C@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000183150701 1120130721 /nfs/dbraw/zinc/13/07/21/1120130721.db2.gz QBIBPHFYHWAHFH-QGZVFWFLSA-N 1 2 297.377 3.920 20 0 CHADLO Cc1cccc(C[N@H+](Cc2ccco2)Cc2cncnc2)c1 ZINC000507191310 1120155611 /nfs/dbraw/zinc/15/56/11/1120155611.db2.gz OBWLSQZXITTXFZ-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2ccco2)Cc2cncnc2)c1 ZINC000507191310 1120155613 /nfs/dbraw/zinc/15/56/13/1120155613.db2.gz OBWLSQZXITTXFZ-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@H]2CCc3ccc(F)cc32)n1 ZINC000921704207 1120155712 /nfs/dbraw/zinc/15/57/12/1120155712.db2.gz JGBNHSYRYVZDJD-AWEZNQCLSA-N 1 2 288.366 3.888 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccc(F)cc1 ZINC001116554978 1120158596 /nfs/dbraw/zinc/15/85/96/1120158596.db2.gz AKZJQDMKRQCKQM-ZDUSSCGKSA-N 1 2 291.370 3.747 20 0 CHADLO C[C@@H]1CCC[C@H]([N@H+](C)Cc2nnc(C(F)(F)F)s2)C1 ZINC000659842787 1120165191 /nfs/dbraw/zinc/16/51/91/1120165191.db2.gz UKMVGCOTVPORLN-BDAKNGLRSA-N 1 2 293.358 3.567 20 0 CHADLO C[C@@H]1CCC[C@H]([N@@H+](C)Cc2nnc(C(F)(F)F)s2)C1 ZINC000659842787 1120165193 /nfs/dbraw/zinc/16/51/93/1120165193.db2.gz UKMVGCOTVPORLN-BDAKNGLRSA-N 1 2 293.358 3.567 20 0 CHADLO COc1ccnc([C@@H](C)[NH2+][C@H](C)c2cc(F)ccc2F)c1 ZINC000924612687 1120171427 /nfs/dbraw/zinc/17/14/27/1120171427.db2.gz JHNSCZLZGGVSLG-GHMZBOCLSA-N 1 2 292.329 3.780 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(C(F)(F)F)c2)c(C)o1 ZINC000659847684 1120180520 /nfs/dbraw/zinc/18/05/20/1120180520.db2.gz YUFWOKHKAFTVSS-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(C(F)(F)F)c2)c(C)o1 ZINC000659847684 1120180521 /nfs/dbraw/zinc/18/05/21/1120180521.db2.gz YUFWOKHKAFTVSS-UHFFFAOYSA-N 1 2 298.308 3.942 20 0 CHADLO CCc1cc(N[C@H](CC)c2c(C)noc2C)nc(CC)[nH+]1 ZINC000892999871 1120193470 /nfs/dbraw/zinc/19/34/70/1120193470.db2.gz UFVKLBLOCCQPCR-CYBMUJFWSA-N 1 2 288.395 3.769 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cc(C)cnc1Cl)c1nccs1 ZINC000921764243 1120203516 /nfs/dbraw/zinc/20/35/16/1120203516.db2.gz HOHNZXVQQIFPET-CQSZACIVSA-N 1 2 295.839 3.915 20 0 CHADLO CC(C)n1cc(C[N@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000271018896 1120226705 /nfs/dbraw/zinc/22/67/05/1120226705.db2.gz ASWRVLMOCJZOKF-UHFFFAOYSA-N 1 2 283.828 3.811 20 0 CHADLO CC(C)n1cc(C[N@@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000271018896 1120226710 /nfs/dbraw/zinc/22/67/10/1120226710.db2.gz ASWRVLMOCJZOKF-UHFFFAOYSA-N 1 2 283.828 3.811 20 0 CHADLO CCOc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1F ZINC000672210090 1120254044 /nfs/dbraw/zinc/25/40/44/1120254044.db2.gz PZWDTRLDCXSQBF-UHFFFAOYSA-N 1 2 285.322 3.713 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cnn(C(C)(C)C)c2)cs1 ZINC000111406370 1120260334 /nfs/dbraw/zinc/26/03/34/1120260334.db2.gz QWTQFMTYXZLBAI-LLVKDONJSA-N 1 2 292.452 3.508 20 0 CHADLO C[C@@H]([NH2+]Cc1ccco1)c1nc(C(C)(C)C)cs1 ZINC000106476798 1120267764 /nfs/dbraw/zinc/26/77/64/1120267764.db2.gz HURJLXJZTUBFAQ-SNVBAGLBSA-N 1 2 264.394 3.884 20 0 CHADLO Clc1cccc2c(N3CCO[C@H](C4CC4)C3)cc[nH+]c12 ZINC000672253755 1120268243 /nfs/dbraw/zinc/26/82/43/1120268243.db2.gz VOPHQHQWMWAAOF-HNNXBMFYSA-N 1 2 288.778 3.503 20 0 CHADLO CCc1noc(C[N@@H+]2CC[C@H](C)C[C@@H]2c2ccc(C)o2)n1 ZINC000076120708 1120276280 /nfs/dbraw/zinc/27/62/80/1120276280.db2.gz AXGULKPKKMFOFQ-WCQYABFASA-N 1 2 289.379 3.507 20 0 CHADLO CCc1noc(C[N@H+]2CC[C@H](C)C[C@@H]2c2ccc(C)o2)n1 ZINC000076120708 1120276282 /nfs/dbraw/zinc/27/62/82/1120276282.db2.gz AXGULKPKKMFOFQ-WCQYABFASA-N 1 2 289.379 3.507 20 0 CHADLO Cc1cc(C)c(CSc2nnc(C(C)(C)C)[nH]2)c(C)[nH+]1 ZINC000631007925 1120276683 /nfs/dbraw/zinc/27/66/83/1120276683.db2.gz SFAIJQLRHVDQPI-UHFFFAOYSA-N 1 2 290.436 3.715 20 0 CHADLO Cc1cc(C)c(CSc2nc(C(C)(C)C)n[nH]2)c(C)[nH+]1 ZINC000631007925 1120276687 /nfs/dbraw/zinc/27/66/87/1120276687.db2.gz SFAIJQLRHVDQPI-UHFFFAOYSA-N 1 2 290.436 3.715 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2scnc2C)c1Cl ZINC000659912490 1120282136 /nfs/dbraw/zinc/28/21/36/1120282136.db2.gz NOQYXTDSNWWIAM-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2scnc2C)c1Cl ZINC000659912490 1120282137 /nfs/dbraw/zinc/28/21/37/1120282137.db2.gz NOQYXTDSNWWIAM-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2cscn2)cc1Cl ZINC000112538496 1120287113 /nfs/dbraw/zinc/28/71/13/1120287113.db2.gz ZODXFKRPRALAFH-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CCCN(C)c1ccccc1NCc1cc(OC)cc(C)[nH+]1 ZINC000628477927 1120287643 /nfs/dbraw/zinc/28/76/43/1120287643.db2.gz MGGNRZAAJHWOEM-UHFFFAOYSA-N 1 2 299.418 3.857 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1ncc(Cl)n1C ZINC000181150409 1120290046 /nfs/dbraw/zinc/29/00/46/1120290046.db2.gz HBZYGVHLPSBKMJ-UKTHLTGXSA-N 1 2 289.810 3.609 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1ncc(Cl)n1C ZINC000181150409 1120290052 /nfs/dbraw/zinc/29/00/52/1120290052.db2.gz HBZYGVHLPSBKMJ-UKTHLTGXSA-N 1 2 289.810 3.609 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccco2)c(C)o1 ZINC000659969715 1120316279 /nfs/dbraw/zinc/31/62/79/1120316279.db2.gz JOXNCWLBUBZXLV-ABAIWWIYSA-N 1 2 274.364 3.858 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccco2)c(C)o1 ZINC000659969715 1120316282 /nfs/dbraw/zinc/31/62/82/1120316282.db2.gz JOXNCWLBUBZXLV-ABAIWWIYSA-N 1 2 274.364 3.858 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)c2ccc(F)c(Cl)c2)c1 ZINC001168699150 1120321596 /nfs/dbraw/zinc/32/15/96/1120321596.db2.gz ITKDJKTYZRQXKG-UHFFFAOYSA-N 1 2 294.757 3.828 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+][C@H](c1cccnc1)C1CCC1 ZINC000672621677 1120336045 /nfs/dbraw/zinc/33/60/45/1120336045.db2.gz DLSSHYLGTQEPQV-KBPBESRZSA-N 1 2 266.335 3.700 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+][C@@H]1CCCNc2ccccc21 ZINC000672622896 1120337315 /nfs/dbraw/zinc/33/73/15/1120337315.db2.gz XETIPFMTZSIFNS-ZIAGYGMSSA-N 1 2 266.335 3.711 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+][C@@H]1CSCCc2ccccc21 ZINC000672622668 1120337480 /nfs/dbraw/zinc/33/74/80/1120337480.db2.gz PNXCQNLDZMHHCW-ZIAGYGMSSA-N 1 2 283.387 3.794 20 0 CHADLO C[NH2+]c1ccc(NCc2cnc([C@H]3CCCO3)s2)cc1 ZINC000629388260 1128909227 /nfs/dbraw/zinc/90/92/27/1128909227.db2.gz LOPHXQWPENGFFS-CQSZACIVSA-N 1 2 289.404 3.648 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H](OC(F)F)C2)c(Cl)c1 ZINC000679682392 1120355119 /nfs/dbraw/zinc/35/51/19/1120355119.db2.gz WHHSWQQMFDHDLE-LLVKDONJSA-N 1 2 293.716 3.683 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H](OC(F)F)C2)c(Cl)c1 ZINC000679682392 1120355124 /nfs/dbraw/zinc/35/51/24/1120355124.db2.gz WHHSWQQMFDHDLE-LLVKDONJSA-N 1 2 293.716 3.683 20 0 CHADLO CC(C)(C)CCC(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000078081765 1120364194 /nfs/dbraw/zinc/36/41/94/1120364194.db2.gz DIDHUGYMMMBAIG-UHFFFAOYSA-N 1 2 289.354 3.776 20 0 CHADLO COc1cc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccccc2)sn1 ZINC000660090857 1120372650 /nfs/dbraw/zinc/37/26/50/1120372650.db2.gz KTHHVMCRUVSAQX-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO COc1cc(C[N@H+]2CC(C)(C)[C@@H]2c2ccccc2)sn1 ZINC000660090857 1120372653 /nfs/dbraw/zinc/37/26/53/1120372653.db2.gz KTHHVMCRUVSAQX-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO Cc1ccc(Cn2nc(Cl)c3ccccc32)c(C)[nH+]1 ZINC000185402930 1120375592 /nfs/dbraw/zinc/37/55/92/1120375592.db2.gz RIGCIUFZMDDZIC-UHFFFAOYSA-N 1 2 271.751 3.750 20 0 CHADLO CCOc1cc(Nc2ccc(F)c(OC)c2F)cc(C)[nH+]1 ZINC001212496504 1120392439 /nfs/dbraw/zinc/39/24/39/1120392439.db2.gz OPDWLPXPKOJUGH-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO Cc1nc(C[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)co1 ZINC000660163038 1120406309 /nfs/dbraw/zinc/40/63/09/1120406309.db2.gz CKTIMUVZUXYIQL-UHFFFAOYSA-N 1 2 282.387 3.889 20 0 CHADLO Cc1nc(C[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)co1 ZINC000660163038 1120406311 /nfs/dbraw/zinc/40/63/11/1120406311.db2.gz CKTIMUVZUXYIQL-UHFFFAOYSA-N 1 2 282.387 3.889 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)[C@@H](C)[C@@H]2C)c(C)[nH+]1 ZINC000417148216 1120407194 /nfs/dbraw/zinc/40/71/94/1120407194.db2.gz GPNLGRMKLVBXTO-SUHUHFCYSA-N 1 2 275.396 3.515 20 0 CHADLO CCOc1ccc(C2=CC[N@H+](Cc3coc(C)n3)CC2)cc1 ZINC000660166326 1120410851 /nfs/dbraw/zinc/41/08/51/1120410851.db2.gz RDZRFUWYTNWVQS-UHFFFAOYSA-N 1 2 298.386 3.671 20 0 CHADLO CCOc1ccc(C2=CC[N@@H+](Cc3coc(C)n3)CC2)cc1 ZINC000660166326 1120410853 /nfs/dbraw/zinc/41/08/53/1120410853.db2.gz RDZRFUWYTNWVQS-UHFFFAOYSA-N 1 2 298.386 3.671 20 0 CHADLO c1cc(-c2nc(C[NH2+]Cc3ccccn3)cs2)cs1 ZINC000066861945 1120416256 /nfs/dbraw/zinc/41/62/56/1120416256.db2.gz QWRFPTGZDHPSET-UHFFFAOYSA-N 1 2 287.413 3.556 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccc(OC(C)C)cc2)co1 ZINC000660180531 1120421462 /nfs/dbraw/zinc/42/14/62/1120421462.db2.gz UUPRDMONLFVNAZ-GFCCVEGCSA-N 1 2 274.364 3.621 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@H](C)c2cccc(C(F)(F)F)c2)n1 ZINC000079287709 1120432160 /nfs/dbraw/zinc/43/21/60/1120432160.db2.gz QTBIQASEOZCZFP-BDAKNGLRSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccc(F)c(F)c2F)n1 ZINC000657345090 1120437946 /nfs/dbraw/zinc/43/79/46/1120437946.db2.gz JXGYVHBZPCPNNX-QMMMGPOBSA-N 1 2 286.322 3.720 20 0 CHADLO CCc1noc(C[N@@H+](CC)[C@H](C)c2cc3ccccc3o2)n1 ZINC000067462419 1120442308 /nfs/dbraw/zinc/44/23/08/1120442308.db2.gz XCIMDVLGIZXMSF-GFCCVEGCSA-N 1 2 299.374 3.961 20 0 CHADLO CCc1noc(C[N@H+](CC)[C@H](C)c2cc3ccccc3o2)n1 ZINC000067462419 1120442309 /nfs/dbraw/zinc/44/23/09/1120442309.db2.gz XCIMDVLGIZXMSF-GFCCVEGCSA-N 1 2 299.374 3.961 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2cc(C3CC3)no2)C2CC2)n1 ZINC000660210651 1120442985 /nfs/dbraw/zinc/44/29/85/1120442985.db2.gz CSEHLDVBGNOUOV-CQSZACIVSA-N 1 2 289.404 3.558 20 0 CHADLO C[C@H]1CCCC[N@H+](Cc2ncsc2Br)C1 ZINC000660309398 1120491537 /nfs/dbraw/zinc/49/15/37/1120491537.db2.gz FWJNMCOGQLTUJD-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO C[C@H]1CCCC[N@@H+](Cc2ncsc2Br)C1 ZINC000660309398 1120491540 /nfs/dbraw/zinc/49/15/40/1120491540.db2.gz FWJNMCOGQLTUJD-VIFPVBQESA-N 1 2 289.242 3.528 20 0 CHADLO c1sc(C[N@@H+]2CCC[C@@H]2c2ccccn2)nc1C1CC1 ZINC000543206423 1120464093 /nfs/dbraw/zinc/46/40/93/1120464093.db2.gz YGNAJGIYGXFKCH-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO c1sc(C[N@H+]2CCC[C@@H]2c2ccccn2)nc1C1CC1 ZINC000543206423 1120464094 /nfs/dbraw/zinc/46/40/94/1120464094.db2.gz YGNAJGIYGXFKCH-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO CC(C)Oc1cc(N[C@H](C)CCCC(C)(C)O)cc[nH+]1 ZINC001168711663 1120466554 /nfs/dbraw/zinc/46/65/54/1120466554.db2.gz LJRDKJKCEANVCD-CYBMUJFWSA-N 1 2 280.412 3.610 20 0 CHADLO Cc1cc(F)ncc1C[N@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000893317350 1120475648 /nfs/dbraw/zinc/47/56/48/1120475648.db2.gz AAPVSUIPEIFJGL-UHFFFAOYSA-N 1 2 298.283 3.578 20 0 CHADLO Cc1cc(F)ncc1C[N@@H+](C)Cc1cc(F)c(F)c(F)c1 ZINC000893317350 1120475651 /nfs/dbraw/zinc/47/56/51/1120475651.db2.gz AAPVSUIPEIFJGL-UHFFFAOYSA-N 1 2 298.283 3.578 20 0 CHADLO CCn1c[nH+]cc1CN1CC[C@@H](C)Sc2ccccc21 ZINC000418047880 1120509937 /nfs/dbraw/zinc/50/99/37/1120509937.db2.gz UISRHQIUNPCTCO-CYBMUJFWSA-N 1 2 287.432 3.794 20 0 CHADLO F[C@H]1CCc2ccccc2[C@H]1[NH2+]Cc1cnn(C2CCC2)c1 ZINC000922335722 1120516638 /nfs/dbraw/zinc/51/66/38/1120516638.db2.gz NXAFFPJSWLPAEU-ZWKOTPCHSA-N 1 2 299.393 3.723 20 0 CHADLO CNc1ccc([NH2+][C@H](C)Cc2ccccc2F)cc1 ZINC000629653245 1128923321 /nfs/dbraw/zinc/92/33/21/1128923321.db2.gz DKCJBBLFBYMWIX-GFCCVEGCSA-N 1 2 258.340 3.911 20 0 CHADLO CC(C)c1cc(N2CC[C@@]3(CC3(F)F)C2)nc(C(C)C)[nH+]1 ZINC000651487715 1120543011 /nfs/dbraw/zinc/54/30/11/1120543011.db2.gz GDRUJQXZQFHHTN-OAHLLOKOSA-N 1 2 295.377 3.959 20 0 CHADLO F[C@H]1COc2ccccc2[C@@H]1[NH2+]Cc1cc(Cl)cs1 ZINC000922521013 1120547281 /nfs/dbraw/zinc/54/72/81/1120547281.db2.gz QZDIIJXJOVQYHT-JSGCOSHPSA-N 1 2 297.782 3.963 20 0 CHADLO Fc1cc(C[NH2+][C@@H](c2ncccn2)C2CC2)ccc1Cl ZINC000922582362 1120555626 /nfs/dbraw/zinc/55/56/26/1120555626.db2.gz ZZELCEPLCTUTAQ-CQSZACIVSA-N 1 2 291.757 3.510 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1F ZINC000398016617 1120555978 /nfs/dbraw/zinc/55/59/78/1120555978.db2.gz XDQOSZPISRADNL-NOZJJQNGSA-N 1 2 273.298 3.673 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@@H](c2ccccn2)C2CC2)s1 ZINC000922586162 1120557914 /nfs/dbraw/zinc/55/79/14/1120557914.db2.gz WOXHHERICBRQCI-MRXNPFEDSA-N 1 2 287.432 3.902 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+][C@@H](C)c1nc2ccccc2s1 ZINC000783247452 1120564382 /nfs/dbraw/zinc/56/43/82/1120564382.db2.gz XMOBTHAUDWSCNN-RYUDHWBXSA-N 1 2 298.415 3.807 20 0 CHADLO Cc1nc2cc(CNc3c[nH+]cc(C)c3C)ccc2o1 ZINC001167758044 1120568760 /nfs/dbraw/zinc/56/87/60/1120568760.db2.gz JSZKYMQBZNPFCH-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO CC(C)(/C=C/Cl)[NH2+]Cc1ncc(Br)s1 ZINC000922647861 1120571492 /nfs/dbraw/zinc/57/14/92/1120571492.db2.gz CXQKLLUSACJHPW-ONEGZZNKSA-N 1 2 295.633 3.526 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCC[C@H]2c2ccccc2)c1 ZINC000652068164 1120577720 /nfs/dbraw/zinc/57/77/20/1120577720.db2.gz UUBPYYGWXFLANI-DLBZAZTESA-N 1 2 282.387 3.976 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCC[C@H]2C2CC2)c1 ZINC000652068818 1120577775 /nfs/dbraw/zinc/57/77/75/1120577775.db2.gz PSDKINMQQGBBEC-GJZGRUSLSA-N 1 2 260.381 3.609 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1coc(C2CC2)n1 ZINC000922665535 1120578542 /nfs/dbraw/zinc/57/85/42/1120578542.db2.gz NOXHBFHLWCBTEB-LLVKDONJSA-N 1 2 274.339 3.850 20 0 CHADLO CC(C)(C)SCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000162719706 1120591399 /nfs/dbraw/zinc/59/13/99/1120591399.db2.gz KYQLWCAUXLGGDV-UHFFFAOYSA-N 1 2 289.404 3.547 20 0 CHADLO CCCCCCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000162727490 1120597873 /nfs/dbraw/zinc/59/78/73/1120597873.db2.gz BJHHTOFDCHFKME-UHFFFAOYSA-N 1 2 271.364 3.986 20 0 CHADLO CCCOc1cc(C[NH2+][C@H]2CCCC2(F)F)ccc1OC ZINC000673618039 1120601231 /nfs/dbraw/zinc/60/12/31/1120601231.db2.gz QHWXQMDTVBHBBO-HNNXBMFYSA-N 1 2 299.361 3.761 20 0 CHADLO Cc1cccn2c(/C=C/c3nc4ccccc4o3)c[nH+]c12 ZINC000125271966 1120603696 /nfs/dbraw/zinc/60/36/96/1120603696.db2.gz UZABDPNNSKFOPQ-CMDGGOBGSA-N 1 2 275.311 3.954 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cc(O)ccc2Cl)on1 ZINC000625087819 1120632543 /nfs/dbraw/zinc/63/25/43/1120632543.db2.gz YZYJBQPQZJTUKA-AWEZNQCLSA-N 1 2 292.766 3.679 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cc(O)ccc2Cl)on1 ZINC000625087819 1120632547 /nfs/dbraw/zinc/63/25/47/1120632547.db2.gz YZYJBQPQZJTUKA-AWEZNQCLSA-N 1 2 292.766 3.679 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625112136 1120635101 /nfs/dbraw/zinc/63/51/01/1120635101.db2.gz UIQGYTOACDFPIP-SNVBAGLBSA-N 1 2 265.740 3.832 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625112136 1120635105 /nfs/dbraw/zinc/63/51/05/1120635105.db2.gz UIQGYTOACDFPIP-SNVBAGLBSA-N 1 2 265.740 3.832 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1coc(C)n1 ZINC000660478763 1120636597 /nfs/dbraw/zinc/63/65/97/1120636597.db2.gz CRAFYRUAXLJIBQ-SNVBAGLBSA-N 1 2 262.378 3.556 20 0 CHADLO CCC[C@@]1(C)CCC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625207141 1120646708 /nfs/dbraw/zinc/64/67/08/1120646708.db2.gz XONYXOAFKUYKFX-INIZCTEOSA-N 1 2 277.412 3.739 20 0 CHADLO CCC[C@@]1(C)CCC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625207141 1120646709 /nfs/dbraw/zinc/64/67/09/1120646709.db2.gz XONYXOAFKUYKFX-INIZCTEOSA-N 1 2 277.412 3.739 20 0 CHADLO Cc1cocc1-c1ccc([C@@H]2CC(=O)CC[N@H+]2C)cc1 ZINC000629738316 1128931974 /nfs/dbraw/zinc/93/19/74/1128931974.db2.gz MJJUHFYKZJKCDC-KRWDZBQOSA-N 1 2 269.344 3.591 20 0 CHADLO Cc1cocc1-c1ccc([C@@H]2CC(=O)CC[N@@H+]2C)cc1 ZINC000629738316 1128931979 /nfs/dbraw/zinc/93/19/79/1128931979.db2.gz MJJUHFYKZJKCDC-KRWDZBQOSA-N 1 2 269.344 3.591 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191461393 1128934084 /nfs/dbraw/zinc/93/40/84/1128934084.db2.gz KWSRGQYZEHXQQY-JTQLQIEISA-N 1 2 294.757 3.773 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191461393 1128934087 /nfs/dbraw/zinc/93/40/87/1128934087.db2.gz KWSRGQYZEHXQQY-JTQLQIEISA-N 1 2 294.757 3.773 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccccc3F)CCC2)c(C)o1 ZINC000660898687 1120696682 /nfs/dbraw/zinc/69/66/82/1120696682.db2.gz FZXPBVIKCBYUOY-UHFFFAOYSA-N 1 2 274.339 3.600 20 0 CHADLO Cc1cccc(C)c1[C@@H]1CCC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000661133048 1120722752 /nfs/dbraw/zinc/72/27/52/1120722752.db2.gz DHNVTJMBTSMYFT-HNNXBMFYSA-N 1 2 297.402 3.901 20 0 CHADLO Cc1cccc(C)c1[C@@H]1CCC[N@H+]1Cc1noc(C2CC2)n1 ZINC000661133048 1120722758 /nfs/dbraw/zinc/72/27/58/1120722758.db2.gz DHNVTJMBTSMYFT-HNNXBMFYSA-N 1 2 297.402 3.901 20 0 CHADLO CCc1ncc(C[NH2+][C@@H]2CCc3ccc(F)c(Cl)c32)o1 ZINC000651823851 1120754809 /nfs/dbraw/zinc/75/48/09/1120754809.db2.gz ZDFGGYXGSVGPLB-GFCCVEGCSA-N 1 2 294.757 3.807 20 0 CHADLO Clc1ccc2c(c1Cl)C[N@@H+](C[C@@H]1CCCO1)CC2 ZINC000661372873 1120758694 /nfs/dbraw/zinc/75/86/94/1120758694.db2.gz FAKDMBXZFFSYEV-NSHDSACASA-N 1 2 286.202 3.531 20 0 CHADLO COc1ncccc1C[N@@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661437833 1120764442 /nfs/dbraw/zinc/76/44/42/1120764442.db2.gz PNXYFBLJIVKEPS-INIZCTEOSA-N 1 2 298.386 3.801 20 0 CHADLO COc1ncccc1C[N@H+]1CC2(CCCC2)[C@@H]1c1ccco1 ZINC000661437833 1120764444 /nfs/dbraw/zinc/76/44/44/1120764444.db2.gz PNXYFBLJIVKEPS-INIZCTEOSA-N 1 2 298.386 3.801 20 0 CHADLO COc1cncc(C[N@@H+]2CC3(CCCC3)[C@@H]2c2ccco2)c1 ZINC000661434797 1120765788 /nfs/dbraw/zinc/76/57/88/1120765788.db2.gz MJQHUOARCZHQAV-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO COc1cncc(C[N@H+]2CC3(CCCC3)[C@@H]2c2ccco2)c1 ZINC000661434797 1120765792 /nfs/dbraw/zinc/76/57/92/1120765792.db2.gz MJQHUOARCZHQAV-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](c2ccccc2F)C(C)C)[nH]1 ZINC000661485013 1120770339 /nfs/dbraw/zinc/77/03/39/1120770339.db2.gz NYMYUNVILMFBDJ-ABAIWWIYSA-N 1 2 290.386 3.554 20 0 CHADLO CCOc1ccc(C[N@@H+]2CC[C@H]2c2ccc(F)cc2)nc1 ZINC000661509977 1120774134 /nfs/dbraw/zinc/77/41/34/1120774134.db2.gz FOCHWEVZWDPIEP-KRWDZBQOSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1ccc(C[N@H+]2CC[C@H]2c2ccc(F)cc2)nc1 ZINC000661509977 1120774138 /nfs/dbraw/zinc/77/41/38/1120774138.db2.gz FOCHWEVZWDPIEP-KRWDZBQOSA-N 1 2 286.350 3.566 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nc(CC(C)C)no2)cc1 ZINC000047960001 1120799470 /nfs/dbraw/zinc/79/94/70/1120799470.db2.gz CRCHOKVIZXFZIY-AWEZNQCLSA-N 1 2 287.407 3.770 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nc(CC(C)C)no2)cc1 ZINC000047960001 1120799473 /nfs/dbraw/zinc/79/94/73/1120799473.db2.gz CRCHOKVIZXFZIY-AWEZNQCLSA-N 1 2 287.407 3.770 20 0 CHADLO CO[C@H](C)C[N@@H+]1C[C@H](c2cccc(Cl)c2)OCC1(C)C ZINC000661736460 1120805080 /nfs/dbraw/zinc/80/50/80/1120805080.db2.gz NGGAJPJQHXKNEZ-IUODEOHRSA-N 1 2 297.826 3.527 20 0 CHADLO CO[C@H](C)C[N@H+]1C[C@H](c2cccc(Cl)c2)OCC1(C)C ZINC000661736460 1120805081 /nfs/dbraw/zinc/80/50/81/1120805081.db2.gz NGGAJPJQHXKNEZ-IUODEOHRSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](Nc1cc(COC)cc[nH+]1)c1cccc(OC)c1 ZINC000652330767 1120828561 /nfs/dbraw/zinc/82/85/61/1120828561.db2.gz PCRPQOJXCIUGNP-INIZCTEOSA-N 1 2 286.375 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C2CC2)C2CCCC2)c1 ZINC000652331933 1120830544 /nfs/dbraw/zinc/83/05/44/1120830544.db2.gz YUWXEOIPKHVCFC-INIZCTEOSA-N 1 2 260.381 3.609 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3c(c2)CCCC3=O)c[nH+]1 ZINC001213103040 1120839016 /nfs/dbraw/zinc/83/90/16/1120839016.db2.gz IILYCAKLZIKISQ-UHFFFAOYSA-N 1 2 295.386 3.800 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)c(OC)c(F)c2)c[nH+]1 ZINC001211678524 1120845212 /nfs/dbraw/zinc/84/52/12/1120845212.db2.gz AUGAGSWZEQSEQK-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO CCC(CC)CCC[N@H+](C)[C@H](C)c1csnn1 ZINC000662035891 1120862249 /nfs/dbraw/zinc/86/22/49/1120862249.db2.gz GAXOSRCKUOCDNR-LLVKDONJSA-N 1 2 255.431 3.747 20 0 CHADLO CCC(CC)CCC[N@@H+](C)[C@H](C)c1csnn1 ZINC000662035891 1120862251 /nfs/dbraw/zinc/86/22/51/1120862251.db2.gz GAXOSRCKUOCDNR-LLVKDONJSA-N 1 2 255.431 3.747 20 0 CHADLO CSCCCSc1nc2ccccc2n2c[nH+]cc12 ZINC000599088967 1120872470 /nfs/dbraw/zinc/87/24/70/1120872470.db2.gz VFIGAPUAVDZREZ-UHFFFAOYSA-N 1 2 289.429 3.728 20 0 CHADLO CC(C)c1[nH+]cc(-c2cn(C)c3ccccc23)n1C ZINC000630053284 1128946127 /nfs/dbraw/zinc/94/61/27/1128946127.db2.gz PHYIFRGEJVSKHM-UHFFFAOYSA-N 1 2 253.349 3.702 20 0 CHADLO COc1c(F)cccc1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001143521847 1120879951 /nfs/dbraw/zinc/87/99/51/1120879951.db2.gz JMSHVVCXELXOBV-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1c(F)cccc1C[N@H+]1Cc2cccc(C)c2C1 ZINC001143521847 1120879953 /nfs/dbraw/zinc/87/99/53/1120879953.db2.gz JMSHVVCXELXOBV-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1C[C@@H]1CCC(F)(F)C1 ZINC000450925414 1128946528 /nfs/dbraw/zinc/94/65/28/1128946528.db2.gz IJDZCZXGBMWLMQ-NXEZZACHSA-N 1 2 253.283 3.541 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1C[C@@H]1CCC(F)(F)C1 ZINC000450925414 1128946531 /nfs/dbraw/zinc/94/65/31/1128946531.db2.gz IJDZCZXGBMWLMQ-NXEZZACHSA-N 1 2 253.283 3.541 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(Cl)sc2Cl)C[C@]1(C)CO ZINC000662142985 1120885374 /nfs/dbraw/zinc/88/53/74/1120885374.db2.gz MCTIXCRFRKMILI-QPUJVOFHSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(Cl)sc2Cl)C[C@]1(C)CO ZINC000662142985 1120885383 /nfs/dbraw/zinc/88/53/83/1120885383.db2.gz MCTIXCRFRKMILI-QPUJVOFHSA-N 1 2 294.247 3.505 20 0 CHADLO FC(F)[C@H]1CCCC[N@@H+]1C[C@H]1CCC(F)(F)C1 ZINC000450925422 1128946868 /nfs/dbraw/zinc/94/68/68/1128946868.db2.gz IJDZCZXGBMWLMQ-VHSXEESVSA-N 1 2 253.283 3.541 20 0 CHADLO FC(F)[C@H]1CCCC[N@H+]1C[C@H]1CCC(F)(F)C1 ZINC000450925422 1128946870 /nfs/dbraw/zinc/94/68/70/1128946870.db2.gz IJDZCZXGBMWLMQ-VHSXEESVSA-N 1 2 253.283 3.541 20 0 CHADLO CCCCC[C@@H]1CCCC[N@@H+]1Cc1noc(CC)n1 ZINC000662158079 1120888229 /nfs/dbraw/zinc/88/82/29/1120888229.db2.gz ZRSMYUSKSRAMNL-CYBMUJFWSA-N 1 2 265.401 3.567 20 0 CHADLO CCCCC[C@@H]1CCCC[N@H+]1Cc1noc(CC)n1 ZINC000662158079 1120888231 /nfs/dbraw/zinc/88/82/31/1120888231.db2.gz ZRSMYUSKSRAMNL-CYBMUJFWSA-N 1 2 265.401 3.567 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2F)o1 ZINC000628302703 1120891823 /nfs/dbraw/zinc/89/18/23/1120891823.db2.gz OPXCGDUJWWCTKZ-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2F)o1 ZINC000628302703 1120891825 /nfs/dbraw/zinc/89/18/25/1120891825.db2.gz OPXCGDUJWWCTKZ-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc(N2CC[C@H](C(C)(C)C)C2)nc(C2CC2)[nH+]1 ZINC000343893302 1120903156 /nfs/dbraw/zinc/90/31/56/1120903156.db2.gz UERXNVROPLSVBP-ZDUSSCGKSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H]2CC[C@H](C)C[C@@H]2C)c(C)[nH+]1 ZINC001126217577 1120909831 /nfs/dbraw/zinc/90/98/31/1120909831.db2.gz BVOQSJMNFYXCAK-ZIBATOQPSA-N 1 2 274.408 3.561 20 0 CHADLO CCc1nnc(C[NH2+]Cc2cccc(C(C)C)c2)s1 ZINC000663324443 1120926663 /nfs/dbraw/zinc/92/66/63/1120926663.db2.gz CGKRMKHXKNFGCH-UHFFFAOYSA-N 1 2 275.421 3.514 20 0 CHADLO CC1(C)C[C@@]1([NH2+]Cc1nnc(C2CC2)s1)c1ccccc1 ZINC000662340046 1120928082 /nfs/dbraw/zinc/92/80/82/1120928082.db2.gz HGZZFJILSPANDO-QGZVFWFLSA-N 1 2 299.443 3.831 20 0 CHADLO CC1(C)C[C@@]1([NH2+]Cc1cscn1)c1ccccc1 ZINC000662342643 1120928621 /nfs/dbraw/zinc/92/86/21/1120928621.db2.gz DSZLWRPXQBXJEJ-OAHLLOKOSA-N 1 2 258.390 3.558 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662365639 1120930930 /nfs/dbraw/zinc/93/09/30/1120930930.db2.gz SQCPXQYKOXVEFZ-HFAKWTLXSA-N 1 2 287.325 3.631 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+]1C[C@@H](C)O[C@](C)(C(F)F)C1 ZINC000662365639 1120930932 /nfs/dbraw/zinc/93/09/32/1120930932.db2.gz SQCPXQYKOXVEFZ-HFAKWTLXSA-N 1 2 287.325 3.631 20 0 CHADLO CCc1noc(C[N@H+](C(C)C)[C@H](C)c2ccsc2)n1 ZINC000643255321 1120933236 /nfs/dbraw/zinc/93/32/36/1120933236.db2.gz ULEUVBLKDYGKLJ-LLVKDONJSA-N 1 2 279.409 3.665 20 0 CHADLO CCc1noc(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)n1 ZINC000643255321 1120933237 /nfs/dbraw/zinc/93/32/37/1120933237.db2.gz ULEUVBLKDYGKLJ-LLVKDONJSA-N 1 2 279.409 3.665 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cscc1Cl ZINC000644840830 1120966731 /nfs/dbraw/zinc/96/67/31/1120966731.db2.gz LSMZGEBYTOKGIE-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cscc1Cl ZINC000644840830 1120966734 /nfs/dbraw/zinc/96/67/34/1120966734.db2.gz LSMZGEBYTOKGIE-UHFFFAOYSA-N 1 2 255.770 3.935 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1O ZINC001211695594 1120976523 /nfs/dbraw/zinc/97/65/23/1120976523.db2.gz KVTPXUQGPYCSMD-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCOC3(CCCC3)C2)cc1 ZINC000094367990 1121011087 /nfs/dbraw/zinc/01/10/87/1121011087.db2.gz FGKDFVQWBMMLOY-OAHLLOKOSA-N 1 2 274.408 3.656 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCOC3(CCCC3)C2)cc1 ZINC000094367990 1121011091 /nfs/dbraw/zinc/01/10/91/1121011091.db2.gz FGKDFVQWBMMLOY-OAHLLOKOSA-N 1 2 274.408 3.656 20 0 CHADLO CC(C)([NH2+]Cc1c(F)cncc1F)c1ccc(F)cc1F ZINC000931755649 1121011316 /nfs/dbraw/zinc/01/13/16/1121011316.db2.gz WHQPTQKUOFSRPN-UHFFFAOYSA-N 1 2 298.283 3.663 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)O[C@@H]3CC[C@H](C)C[C@H]3C)ccn12 ZINC001126302341 1121015207 /nfs/dbraw/zinc/01/52/07/1121015207.db2.gz BPFIKHMPXCEHPO-YWPYICTPSA-N 1 2 286.375 3.624 20 0 CHADLO CC(C)Oc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cn1 ZINC001174762532 1121021987 /nfs/dbraw/zinc/02/19/87/1121021987.db2.gz OQHPZWOFDXSNNP-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO COc1ccc(F)cc1C[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000674011047 1121038745 /nfs/dbraw/zinc/03/87/45/1121038745.db2.gz DTKRGLXBJLVAMN-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(F)cc1C[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000674011047 1121038750 /nfs/dbraw/zinc/03/87/50/1121038750.db2.gz DTKRGLXBJLVAMN-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@@H+]1CC[C@@H](C)[C@@H](C)C1 ZINC001307589510 1121044129 /nfs/dbraw/zinc/04/41/29/1121044129.db2.gz OHAZTGOLJYCQCE-XUJVJEKNSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1ccccc1Cl)[N@H+]1CC[C@@H](C)[C@@H](C)C1 ZINC001307589510 1121044136 /nfs/dbraw/zinc/04/41/36/1121044136.db2.gz OHAZTGOLJYCQCE-XUJVJEKNSA-N 1 2 295.810 3.532 20 0 CHADLO C[NH+](C)c1ccc(-n2ccc3c2cc(Cl)cc3N)cc1 ZINC001174881547 1121058350 /nfs/dbraw/zinc/05/83/50/1121058350.db2.gz WGDMIWFKUGNEJT-UHFFFAOYSA-N 1 2 285.778 3.932 20 0 CHADLO OCCc1ccc[nH+]c1Nc1ccc2ccccc2c1 ZINC001174875045 1121075677 /nfs/dbraw/zinc/07/56/77/1121075677.db2.gz DZXKPWAXHSBJLM-UHFFFAOYSA-N 1 2 264.328 3.513 20 0 CHADLO COc1cc(Nc2cccc3ncccc32)cc(C)[nH+]1 ZINC001174906498 1121085464 /nfs/dbraw/zinc/08/54/64/1121085464.db2.gz NPQSZPMCQYGPQN-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(Oc2cccnc2)cc1 ZINC000344111949 1121087441 /nfs/dbraw/zinc/08/74/41/1121087441.db2.gz RJYXCSNSXKWIPO-ZDUSSCGKSA-N 1 2 295.342 3.713 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@@H+]2Cc2c(C)cccc2C)no1 ZINC000606431615 1121117863 /nfs/dbraw/zinc/11/78/63/1121117863.db2.gz AAWJDCWJKWVBOL-INIZCTEOSA-N 1 2 299.418 3.976 20 0 CHADLO CCc1nc([C@@H]2CCCC[N@H+]2Cc2c(C)cccc2C)no1 ZINC000606431615 1121117865 /nfs/dbraw/zinc/11/78/65/1121117865.db2.gz AAWJDCWJKWVBOL-INIZCTEOSA-N 1 2 299.418 3.976 20 0 CHADLO CC(C)c1nc(C[N@H+](C)CCc2ccccc2O)cs1 ZINC000344203136 1121118728 /nfs/dbraw/zinc/11/87/28/1121118728.db2.gz DIWFSTTXZRWERD-UHFFFAOYSA-N 1 2 290.432 3.647 20 0 CHADLO CC(C)c1nc(C[N@@H+](C)CCc2ccccc2O)cs1 ZINC000344203136 1121118732 /nfs/dbraw/zinc/11/87/32/1121118732.db2.gz DIWFSTTXZRWERD-UHFFFAOYSA-N 1 2 290.432 3.647 20 0 CHADLO COc1cccc(F)c1Nc1cccn2cc(C)[nH+]c12 ZINC001174991752 1121121046 /nfs/dbraw/zinc/12/10/46/1121121046.db2.gz FXBYDBWMPPJGGP-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)cc(C(F)(F)F)c1 ZINC001175063014 1121146367 /nfs/dbraw/zinc/14/63/67/1121146367.db2.gz UFBXWWNOZXDVBE-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OCC)cc1F ZINC001175067940 1121147090 /nfs/dbraw/zinc/14/70/90/1121147090.db2.gz VPIQIAPRSKFHDT-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO CCOc1cc(Nc2cccc3[nH+]c(C)cn32)ccc1F ZINC001175047519 1121158860 /nfs/dbraw/zinc/15/88/60/1121158860.db2.gz IIQQPOMWUSVOGZ-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO C[C@H](c1cc(Cl)ccc1Cl)N1CCn2c[nH+]cc2C1 ZINC000660582288 1121168002 /nfs/dbraw/zinc/16/80/02/1121168002.db2.gz OPTBUUTYKULPRM-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(C(F)(F)F)c1 ZINC001175073056 1121173406 /nfs/dbraw/zinc/17/34/06/1121173406.db2.gz LGEZBZURAUCMLI-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO Cc1cc(NC(=O)c2ccc(CC(C)C)cc2)cc[nH+]1 ZINC000096733815 1121176136 /nfs/dbraw/zinc/17/61/36/1121176136.db2.gz RUVYMNYFRVHONU-UHFFFAOYSA-N 1 2 268.360 3.841 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(F)c(F)cc3F)ccc21 ZINC001175087619 1121180145 /nfs/dbraw/zinc/18/01/45/1121180145.db2.gz XXXYQVHMHGBJIF-UHFFFAOYSA-N 1 2 277.249 3.734 20 0 CHADLO CCOc1cccc(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001175090356 1121180225 /nfs/dbraw/zinc/18/02/25/1121180225.db2.gz CFZJWGOIZQZEEO-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccc(F)c(F)c1)CCO2 ZINC000512214365 1121202432 /nfs/dbraw/zinc/20/24/32/1121202432.db2.gz SGXOTXCAWVKJKM-UHFFFAOYSA-N 1 2 289.325 3.668 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccc(F)c(F)c1)CCO2 ZINC000512214365 1121202435 /nfs/dbraw/zinc/20/24/35/1121202435.db2.gz SGXOTXCAWVKJKM-UHFFFAOYSA-N 1 2 289.325 3.668 20 0 CHADLO C[C@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1cccnc1 ZINC000067184285 1121205502 /nfs/dbraw/zinc/20/55/02/1121205502.db2.gz NEUJMVXAMKNZFN-NSHDSACASA-N 1 2 275.421 3.686 20 0 CHADLO CC[C@H]1CC[C@@H](C)N1c1[nH+]ccc2c(N)cccc21 ZINC000663768338 1121209500 /nfs/dbraw/zinc/20/95/00/1121209500.db2.gz LIYXFIKULNOJLM-NEPJUHHUSA-N 1 2 255.365 3.584 20 0 CHADLO CC(=O)c1ccccc1Nc1cccn2cc(C)[nH+]c12 ZINC001175174186 1121216668 /nfs/dbraw/zinc/21/66/68/1121216668.db2.gz BHURGQAPSYAWER-UHFFFAOYSA-N 1 2 265.316 3.589 20 0 CHADLO CCOc1ccccc1CNc1ccc(C)[nH+]c1C ZINC000094664352 1121219431 /nfs/dbraw/zinc/21/94/31/1121219431.db2.gz MHSZXYLHTXPZNM-UHFFFAOYSA-N 1 2 256.349 3.709 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccccc2OC(F)F)s1 ZINC000122920095 1121254026 /nfs/dbraw/zinc/25/40/26/1121254026.db2.gz CKTLUAPIKPLWMS-JTQLQIEISA-N 1 2 298.358 3.904 20 0 CHADLO CCOc1cc(F)ccc1Nc1cccn2cc[nH+]c12 ZINC001175288067 1121254348 /nfs/dbraw/zinc/25/43/48/1121254348.db2.gz WTKOVSYHAPCCBV-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+][C@@H](C)c2nnc(C)o2)cc1 ZINC000112543500 1121256397 /nfs/dbraw/zinc/25/63/97/1121256397.db2.gz MKNBEIXBBRRDAL-QWRGUYRKSA-N 1 2 291.420 3.902 20 0 CHADLO CC(C)(C)OC(=O)C(C)(C)[NH2+]CCc1ccc(Cl)cc1 ZINC001175259480 1121265228 /nfs/dbraw/zinc/26/52/28/1121265228.db2.gz BAYDOQAUZRNTFY-UHFFFAOYSA-N 1 2 297.826 3.592 20 0 CHADLO Oc1ccc(F)c(Nc2cccc(-n3cc[nH+]c3)c2)c1F ZINC001175265446 1121267571 /nfs/dbraw/zinc/26/75/71/1121267571.db2.gz OTXQECUZUFIYQN-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO CCc1cc(N[C@@H]2CC[C@@H](C)C[C@@H]2C)nc(CC)[nH+]1 ZINC001162525459 1121271660 /nfs/dbraw/zinc/27/16/60/1121271660.db2.gz SCDSLJOQMJUYEA-MBNYWOFBSA-N 1 2 261.413 3.838 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc(N(C)C)nc1 ZINC001175343164 1121272149 /nfs/dbraw/zinc/27/21/49/1121272149.db2.gz ZTUPHYSQGHLDRH-UHFFFAOYSA-N 1 2 270.380 3.718 20 0 CHADLO Cc1cc(Cl)ccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175341757 1121272653 /nfs/dbraw/zinc/27/26/53/1121272653.db2.gz KBJJKDWUTIEKDY-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO CN(C)c1ccc(Nc2cccc3ccoc32)c[nH+]1 ZINC001175346660 1121273677 /nfs/dbraw/zinc/27/36/77/1121273677.db2.gz WOVHNNLSTMQVHN-UHFFFAOYSA-N 1 2 253.305 3.637 20 0 CHADLO CN(C)c1ccc(Nc2ccc(OC(F)(F)F)cc2)c[nH+]1 ZINC001175344123 1121274528 /nfs/dbraw/zinc/27/45/28/1121274528.db2.gz VLMRREULIUDKMA-UHFFFAOYSA-N 1 2 297.280 3.790 20 0 CHADLO Cn1c(Nc2ccc(N3CCCC3)[nH+]c2)cc2ccccc21 ZINC001175347771 1121276069 /nfs/dbraw/zinc/27/60/69/1121276069.db2.gz VBJFGNPGLWFAHY-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO c1nc(C2CC2)c(Nc2ccc(N3CCCC3)[nH+]c2)s1 ZINC001175349729 1121291556 /nfs/dbraw/zinc/29/15/56/1121291556.db2.gz CXUXCYHSRMYGNL-UHFFFAOYSA-N 1 2 286.404 3.759 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(F)c(OC)c1 ZINC001175397146 1121291716 /nfs/dbraw/zinc/29/17/16/1121291716.db2.gz XHYYMOXKXDHRCB-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)c(C)cc1O ZINC001175351285 1121291861 /nfs/dbraw/zinc/29/18/61/1121291861.db2.gz PRDFOUIOFJEHFN-UHFFFAOYSA-N 1 2 283.375 3.748 20 0 CHADLO c1cnc2cc(Nc3ccc(N4CCCC4)[nH+]c3)ccc2c1 ZINC001175349665 1121292063 /nfs/dbraw/zinc/29/20/63/1121292063.db2.gz BVGRFDFUSQATAR-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO c1cc2c(c(Nc3ccc(N4CCCC4)[nH+]c3)c1)CCC2 ZINC001175351352 1121293461 /nfs/dbraw/zinc/29/34/61/1121293461.db2.gz RAIQKFBUXNRCAR-UHFFFAOYSA-N 1 2 279.387 3.914 20 0 CHADLO c1cnc2ccc(Nc3ccc(N4CCCC4)[nH+]c3)cc2c1 ZINC001175351939 1121293472 /nfs/dbraw/zinc/29/34/72/1121293472.db2.gz YASUYBYCTTXPCR-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO Cc1nc2cc(Nc3ccc(N4CCCC4)[nH+]c3)ccc2o1 ZINC001175352808 1121294007 /nfs/dbraw/zinc/29/40/07/1121294007.db2.gz RTLKNJIGKGUXLH-UHFFFAOYSA-N 1 2 294.358 3.875 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cnc2cccnc2c1 ZINC001175355519 1121295021 /nfs/dbraw/zinc/29/50/21/1121295021.db2.gz WFKMHNNSJJHSTP-UHFFFAOYSA-N 1 2 264.332 3.639 20 0 CHADLO CCC(CC)(CC)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000675448373 1121296306 /nfs/dbraw/zinc/29/63/06/1121296306.db2.gz XEZIMBAXRABOFV-UHFFFAOYSA-N 1 2 273.380 3.798 20 0 CHADLO CCc1cccc(Nc2ccc(-n3cccn3)cc2)[nH+]1 ZINC001175369985 1121299120 /nfs/dbraw/zinc/29/91/20/1121299120.db2.gz RSLZQSSZTCHFCI-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO Cc1nc(N[C@H]2CCCC(F)(F)C2)cc(C(C)C)[nH+]1 ZINC000709810888 1121300656 /nfs/dbraw/zinc/30/06/56/1121300656.db2.gz OCRWZFLOXWFBOM-NSHDSACASA-N 1 2 269.339 3.898 20 0 CHADLO Fc1cccc(Cl)c1C[C@H]1CCC[N@@H+]1Cc1cocn1 ZINC000432741182 1121305987 /nfs/dbraw/zinc/30/59/87/1121305987.db2.gz JZOBHQAOVUNVDV-GFCCVEGCSA-N 1 2 294.757 3.674 20 0 CHADLO Fc1cccc(Cl)c1C[C@H]1CCC[N@H+]1Cc1cocn1 ZINC000432741182 1121305997 /nfs/dbraw/zinc/30/59/97/1121305997.db2.gz JZOBHQAOVUNVDV-GFCCVEGCSA-N 1 2 294.757 3.674 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2c(C)ccnc2F)cc1 ZINC001212561141 1121309225 /nfs/dbraw/zinc/30/92/25/1121309225.db2.gz AVXMUBAHKXGZFS-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2c(C)ccnc2F)cc1 ZINC001212561141 1121309234 /nfs/dbraw/zinc/30/92/34/1121309234.db2.gz AVXMUBAHKXGZFS-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO Cn1ncc2cc(Nc3ccccc3-n3cc[nH+]c3)ccc21 ZINC001175420315 1121319781 /nfs/dbraw/zinc/31/97/81/1121319781.db2.gz POIRKGWYQVMHEB-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1cc(O)cc(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001206248661 1121326529 /nfs/dbraw/zinc/32/65/29/1121326529.db2.gz YEOCLKDKZQTJGY-UHFFFAOYSA-N 1 2 264.328 3.768 20 0 CHADLO Cc1[nH]c2ccc(-n3ccc4c3c(N)ccc4F)cc2[nH+]1 ZINC001213077046 1121326906 /nfs/dbraw/zinc/32/69/06/1121326906.db2.gz CBHDVSWZDMHBHT-UHFFFAOYSA-N 1 2 280.306 3.537 20 0 CHADLO Cn1ncc2cccc(Nc3cccc4cc[nH+]cc43)c21 ZINC001175443599 1121328364 /nfs/dbraw/zinc/32/83/64/1121328364.db2.gz QXWGQSRHEXYSDS-UHFFFAOYSA-N 1 2 274.327 3.865 20 0 CHADLO FC1(F)CC[C@@H](COc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000418880900 1121328635 /nfs/dbraw/zinc/32/86/35/1121328635.db2.gz GGARAFUOPLUVGD-GFCCVEGCSA-N 1 2 278.302 3.687 20 0 CHADLO Cc1c2c[nH]nc2ccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001175465492 1121329895 /nfs/dbraw/zinc/32/98/95/1121329895.db2.gz UWKARTSXTRHMJR-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO Cn1cc2cccc(Nc3ccc(-n4cc[nH+]c4)cc3)c2n1 ZINC001175458028 1121347132 /nfs/dbraw/zinc/34/71/32/1121347132.db2.gz MOYFGWGLFPGPCY-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO F[C@]1(c2ccccc2)CCC[N@H+](C/C=C\Cl)C1 ZINC001175503495 1121350938 /nfs/dbraw/zinc/35/09/38/1121350938.db2.gz NEXLYRJFXGCVOL-VYLZPFMQSA-N 1 2 253.748 3.700 20 0 CHADLO F[C@]1(c2ccccc2)CCC[N@@H+](C/C=C\Cl)C1 ZINC001175503495 1121350945 /nfs/dbraw/zinc/35/09/45/1121350945.db2.gz NEXLYRJFXGCVOL-VYLZPFMQSA-N 1 2 253.748 3.700 20 0 CHADLO Cc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)cc2c[nH]nc21 ZINC001175517739 1121357681 /nfs/dbraw/zinc/35/76/81/1121357681.db2.gz SRMPOBPKJYIAJT-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO C[N@H+](C/C(Cl)=C/Cl)[C@@H]1CCCN(c2ccccc2)C1 ZINC000763560872 1128983458 /nfs/dbraw/zinc/98/34/58/1128983458.db2.gz PTAJNMYUZALOML-VSKPTYQZSA-N 1 2 299.245 3.906 20 0 CHADLO C[N@@H+](C/C(Cl)=C/Cl)[C@@H]1CCCN(c2ccccc2)C1 ZINC000763560872 1128983462 /nfs/dbraw/zinc/98/34/62/1128983462.db2.gz PTAJNMYUZALOML-VSKPTYQZSA-N 1 2 299.245 3.906 20 0 CHADLO Cc1scc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)c1C ZINC000631081680 1128983762 /nfs/dbraw/zinc/98/37/62/1128983762.db2.gz UUVGRVRPJJKPSO-SNVBAGLBSA-N 1 2 293.436 3.896 20 0 CHADLO CCOc1cc(Nc2ccc(SC)nc2)cc(C)[nH+]1 ZINC001175654371 1121420875 /nfs/dbraw/zinc/42/08/75/1121420875.db2.gz JYYFKLKHHPGKGM-UHFFFAOYSA-N 1 2 275.377 3.649 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1cc(OC(F)(F)F)ccc1F ZINC001143537748 1121427956 /nfs/dbraw/zinc/42/79/56/1121427956.db2.gz AYGLGDLSMWEGRZ-PSASIEDQSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1cc(OC(F)(F)F)ccc1F ZINC001143537748 1121427960 /nfs/dbraw/zinc/42/79/60/1121427960.db2.gz AYGLGDLSMWEGRZ-PSASIEDQSA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc3ccnc(Cl)c3s2)C[C@H](C)O1 ZINC000893865182 1121433516 /nfs/dbraw/zinc/43/35/16/1121433516.db2.gz IGHRTPLORKNAOG-AOOOYVTPSA-N 1 2 296.823 3.559 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc3ccnc(Cl)c3s2)C[C@H](C)O1 ZINC000893865182 1121433518 /nfs/dbraw/zinc/43/35/18/1121433518.db2.gz IGHRTPLORKNAOG-AOOOYVTPSA-N 1 2 296.823 3.559 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ccc3ncoc3c2)c[nH+]1 ZINC001175712647 1121434538 /nfs/dbraw/zinc/43/45/38/1121434538.db2.gz PUBRLQGMQJMMKL-UHFFFAOYSA-N 1 2 294.236 3.567 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cccc3ocnc32)c[nH+]1 ZINC001175712500 1121435081 /nfs/dbraw/zinc/43/50/81/1121435081.db2.gz CFEUNZNDERPXAM-UHFFFAOYSA-N 1 2 294.236 3.567 20 0 CHADLO COc1cc(C)ccc1C[NH2+][C@H](C)c1nc(C)sc1C ZINC000676493154 1121435979 /nfs/dbraw/zinc/43/59/79/1121435979.db2.gz SUHXYZJTZQUEQO-LLVKDONJSA-N 1 2 290.432 3.928 20 0 CHADLO COc1cc(F)c(Nc2c[nH+]c(C)cc2C)c(F)c1 ZINC001175720143 1121437796 /nfs/dbraw/zinc/43/77/96/1121437796.db2.gz FCFDHGQYRUUHRC-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1nc(C(C)C)c[nH]1 ZINC000893909975 1121439350 /nfs/dbraw/zinc/43/93/50/1121439350.db2.gz BVJQMGJVHKMJCD-UHFFFAOYSA-N 1 2 263.410 3.617 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1nc(C(C)C)c[nH]1 ZINC000893909975 1121439356 /nfs/dbraw/zinc/43/93/56/1121439356.db2.gz BVJQMGJVHKMJCD-UHFFFAOYSA-N 1 2 263.410 3.617 20 0 CHADLO CSc1ccc(C[N@@H+]2CCc3sccc3C2)o1 ZINC000893920222 1121441534 /nfs/dbraw/zinc/44/15/34/1121441534.db2.gz YWJUDEUWUMWZQH-UHFFFAOYSA-N 1 2 265.403 3.621 20 0 CHADLO CSc1ccc(C[N@H+]2CCc3sccc3C2)o1 ZINC000893920222 1121441536 /nfs/dbraw/zinc/44/15/36/1121441536.db2.gz YWJUDEUWUMWZQH-UHFFFAOYSA-N 1 2 265.403 3.621 20 0 CHADLO c1c[nH+]c(Nc2ccc3ccncc3c2)c(N2CCCC2)c1 ZINC001175704697 1121447891 /nfs/dbraw/zinc/44/78/91/1121447891.db2.gz BLSDNXJZLAZFPC-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO F[C@H]1CCC[N@H+](CC2(c3ccccc3)CC2)CC1(F)F ZINC001207832295 1121460455 /nfs/dbraw/zinc/46/04/55/1121460455.db2.gz ZAICSYGICPDHRR-AWEZNQCLSA-N 1 2 283.337 3.787 20 0 CHADLO F[C@H]1CCC[N@@H+](CC2(c3ccccc3)CC2)CC1(F)F ZINC001207832295 1121460459 /nfs/dbraw/zinc/46/04/59/1121460459.db2.gz ZAICSYGICPDHRR-AWEZNQCLSA-N 1 2 283.337 3.787 20 0 CHADLO CCC[C@@H]1CCC[N@H+](Cc2csc(Cl)n2)C1 ZINC000708501764 1121468394 /nfs/dbraw/zinc/46/83/94/1121468394.db2.gz IMRAWTVNBAGMGH-SNVBAGLBSA-N 1 2 258.818 3.809 20 0 CHADLO CCC[C@@H]1CCC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000708501764 1121468398 /nfs/dbraw/zinc/46/83/98/1121468398.db2.gz IMRAWTVNBAGMGH-SNVBAGLBSA-N 1 2 258.818 3.809 20 0 CHADLO C/C=C\C[C@H]([NH2+][C@@H](C)CCc1ccsc1)C(=O)OCC ZINC000432904140 1121475747 /nfs/dbraw/zinc/47/57/47/1121475747.db2.gz GUVISGWKIKWLEE-VLLIJTNSSA-N 1 2 295.448 3.557 20 0 CHADLO C[C@H]1[C@@H](C(F)(F)F)CCN1c1[nH+]ccc2c(N)cccc21 ZINC000663860115 1121476895 /nfs/dbraw/zinc/47/68/95/1121476895.db2.gz FHJYHDUCPMZOHQ-CABZTGNLSA-N 1 2 295.308 3.594 20 0 CHADLO Cn1ccc2c1cccc2Nc1cccn2cc[nH+]c12 ZINC001175862492 1121482899 /nfs/dbraw/zinc/48/28/99/1121482899.db2.gz JHRKWCADBNQPSB-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc3c2ccn3C)[nH+]1 ZINC001175862757 1121483637 /nfs/dbraw/zinc/48/36/37/1121483637.db2.gz LNLVMXJBBMFFQG-UHFFFAOYSA-N 1 2 276.343 3.878 20 0 CHADLO COc1ccc(Nc2c(C)cc[nH+]c2C(C)C)c(CO)c1 ZINC001211741954 1121484599 /nfs/dbraw/zinc/48/45/99/1121484599.db2.gz OBDBVKKORKZYPA-UHFFFAOYSA-N 1 2 286.375 3.758 20 0 CHADLO COc1ccc(Nc2cccc(C3CCC3)[nH+]2)c(CO)c1 ZINC001211742354 1121485495 /nfs/dbraw/zinc/48/54/95/1121485495.db2.gz ZKMWCKCHPPHSDX-UHFFFAOYSA-N 1 2 284.359 3.594 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nnc(C(C)(C)C)[nH]2)cc1 ZINC000432938048 1121487303 /nfs/dbraw/zinc/48/73/03/1121487303.db2.gz MENQNCWYYGMEPT-OAHLLOKOSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nnc(C(C)(C)C)[nH]2)cc1 ZINC000432938048 1121487305 /nfs/dbraw/zinc/48/73/05/1121487305.db2.gz MENQNCWYYGMEPT-OAHLLOKOSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nnc(C(C)(C)C)[nH]2)cc1 ZINC000432937865 1121488203 /nfs/dbraw/zinc/48/82/03/1121488203.db2.gz IYYKURYSXFPQBM-CYBMUJFWSA-N 1 2 286.423 3.604 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nnc(C(C)(C)C)[nH]2)cc1 ZINC000432937865 1121488206 /nfs/dbraw/zinc/48/82/06/1121488206.db2.gz IYYKURYSXFPQBM-CYBMUJFWSA-N 1 2 286.423 3.604 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1cccc(N2CCOCC2)c1 ZINC001176018878 1121526736 /nfs/dbraw/zinc/52/67/36/1121526736.db2.gz AQLZJRQYECRVCT-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO Cc1ccc(Nc2cccc3[nH+]ccn32)c(F)c1F ZINC001176090977 1121536335 /nfs/dbraw/zinc/53/63/35/1121536335.db2.gz CKXIQXVGKMDRJW-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO Cc1cc(O)cc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001206262138 1121540731 /nfs/dbraw/zinc/54/07/31/1121540731.db2.gz OAIAVQKATWHQCX-UHFFFAOYSA-N 1 2 268.291 3.692 20 0 CHADLO COc1cccc2[nH]c(Nc3ccn4cc[nH+]c4c3)cc21 ZINC001176060919 1121544247 /nfs/dbraw/zinc/54/42/47/1121544247.db2.gz FDDUBRSNDBFLIF-UHFFFAOYSA-N 1 2 278.315 3.568 20 0 CHADLO Cc1cc(Oc2ccc(NC3=CCOCC3)cc2)cc[nH+]1 ZINC001176147883 1121551127 /nfs/dbraw/zinc/55/11/27/1121551127.db2.gz UPXAFULRVLVCEV-UHFFFAOYSA-N 1 2 282.343 3.898 20 0 CHADLO COc1cc[nH+]cc1Nc1cncc(Cl)c1Cl ZINC001176118417 1121556532 /nfs/dbraw/zinc/55/65/32/1121556532.db2.gz KGUHNTVXFQJEFH-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)c(Cl)nc1Cl ZINC001176119037 1121556302 /nfs/dbraw/zinc/55/63/02/1121556302.db2.gz SSSXZFZMGYBLDS-UHFFFAOYSA-N 1 2 288.109 3.675 20 0 CHADLO COc1ccc(Nc2c[nH+]ccc2OC)c(C(F)(F)F)c1 ZINC001176121014 1121557454 /nfs/dbraw/zinc/55/74/54/1121557454.db2.gz DPHZBYXVAGUAAE-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2c(c1)CCC(C)(C)O2 ZINC001176124433 1121560633 /nfs/dbraw/zinc/56/06/33/1121560633.db2.gz MHTLLLBKDDIBTC-UHFFFAOYSA-N 1 2 284.359 3.937 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(OCOC)c(F)c2)c1 ZINC001176188278 1121567114 /nfs/dbraw/zinc/56/71/14/1121567114.db2.gz FGRIQXQBXRJZBR-UHFFFAOYSA-N 1 2 290.338 3.900 20 0 CHADLO Nc1[nH+]cccc1COc1ccc(C2CCC2)cc1 ZINC000664009577 1121573405 /nfs/dbraw/zinc/57/34/05/1121573405.db2.gz LYXYKHXTDADGGD-UHFFFAOYSA-N 1 2 254.333 3.510 20 0 CHADLO CCc1ccc(C[NH2+]C2(c3nccs3)CCCC2)nc1 ZINC000411379337 1121574720 /nfs/dbraw/zinc/57/47/20/1121574720.db2.gz AFLLLAFEUOOVKG-UHFFFAOYSA-N 1 2 287.432 3.660 20 0 CHADLO Clc1cnc(C[NH+]2CCC3(CCC3)CC2)s1 ZINC000671728403 1121582668 /nfs/dbraw/zinc/58/26/68/1121582668.db2.gz MPEZEURXQBBKED-UHFFFAOYSA-N 1 2 256.802 3.563 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1ccc(Cl)nc1 ZINC000711897157 1121586005 /nfs/dbraw/zinc/58/60/05/1121586005.db2.gz XPFHNDHTOYSMOO-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1ccc(Cl)nc1 ZINC000711897157 1121586012 /nfs/dbraw/zinc/58/60/12/1121586012.db2.gz XPFHNDHTOYSMOO-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO CCN(C)c1ccc(Nc2cncc(C3CC3)c2)c[nH+]1 ZINC001176283792 1121587623 /nfs/dbraw/zinc/58/76/23/1121587623.db2.gz UKFIHSIENRQFTM-UHFFFAOYSA-N 1 2 268.364 3.554 20 0 CHADLO C[NH+](C)Cc1ccc(Nc2ccccc2S)cc1 ZINC001176292231 1121592329 /nfs/dbraw/zinc/59/23/29/1121592329.db2.gz QLDDYSKCPDIOPF-UHFFFAOYSA-N 1 2 258.390 3.781 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccsc2Cl)sc1C ZINC000336671595 1121594719 /nfs/dbraw/zinc/59/47/19/1121594719.db2.gz AOMIVKLQMLJIGN-UHFFFAOYSA-N 1 2 272.826 3.765 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc4nonc4c3)ccc12 ZINC001176328534 1121597790 /nfs/dbraw/zinc/59/77/90/1121597790.db2.gz TVBZUNAEYWJWMB-UHFFFAOYSA-N 1 2 276.299 3.823 20 0 CHADLO CCOc1nnc(C[N@H+](C)C2CCC(C(C)C)CC2)s1 ZINC000433163936 1121601996 /nfs/dbraw/zinc/60/19/96/1121601996.db2.gz YZNDKDAAVOYQIS-UHFFFAOYSA-N 1 2 297.468 3.583 20 0 CHADLO CCOc1nnc(C[N@@H+](C)C2CCC(C(C)C)CC2)s1 ZINC000433163936 1121601999 /nfs/dbraw/zinc/60/19/99/1121601999.db2.gz YZNDKDAAVOYQIS-UHFFFAOYSA-N 1 2 297.468 3.583 20 0 CHADLO CCCOc1ccc(N(C)c2[nH+]cccc2N)cc1Cl ZINC001176305743 1121604794 /nfs/dbraw/zinc/60/47/94/1121604794.db2.gz AVOLTRQFKAYVFN-UHFFFAOYSA-N 1 2 291.782 3.874 20 0 CHADLO CC[C@H](COc1ccc2c(c1)[nH+]c(C)n2C)CC(F)F ZINC000664046550 1121606126 /nfs/dbraw/zinc/60/61/26/1121606126.db2.gz GLSRJNVWGNCLDQ-NSHDSACASA-N 1 2 282.334 3.942 20 0 CHADLO Cc1[nH+]c2cc(OCCCCC(F)(F)F)ccc2n1C ZINC000664046400 1121606402 /nfs/dbraw/zinc/60/64/02/1121606402.db2.gz CROYFHJGOYWWCS-UHFFFAOYSA-N 1 2 286.297 3.993 20 0 CHADLO COCc1cccc(COc2ccc3c(c2)[nH+]c(C)n3C)c1 ZINC000664046396 1121606658 /nfs/dbraw/zinc/60/66/58/1121606658.db2.gz COWDUFVROQULCS-UHFFFAOYSA-N 1 2 296.370 3.607 20 0 CHADLO Oc1ccc2cc(Nc3cccc4[nH+]ccn43)ccc2c1 ZINC001176384097 1121607651 /nfs/dbraw/zinc/60/76/51/1121607651.db2.gz IFHMESXSWWJAQZ-UHFFFAOYSA-N 1 2 275.311 3.937 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1C[NH2+]CC(C)(F)F ZINC000645196983 1121619295 /nfs/dbraw/zinc/61/92/95/1121619295.db2.gz XPXYURAADPXCRT-UHFFFAOYSA-N 1 2 267.241 3.759 20 0 CHADLO CCCN(CCOC)c1cc(C)c2cccc(C)c2[nH+]1 ZINC001163642633 1121620580 /nfs/dbraw/zinc/62/05/80/1121620580.db2.gz VPXISJHRFFBQJM-UHFFFAOYSA-N 1 2 272.392 3.714 20 0 CHADLO Oc1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)c(F)c1 ZINC001176410204 1121625433 /nfs/dbraw/zinc/62/54/33/1121625433.db2.gz KADHBOTVAVBOLP-UHFFFAOYSA-N 1 2 288.709 3.898 20 0 CHADLO Cn1cnc2cc(C[NH2+]C3(C(F)F)CCCCC3)ccc21 ZINC000512889566 1121633241 /nfs/dbraw/zinc/63/32/41/1121633241.db2.gz GMARELVYLBSUES-UHFFFAOYSA-N 1 2 293.361 3.631 20 0 CHADLO C[C@H]1CCN(c2[nH+]ccc3cc(F)ccc32)C[C@H]1F ZINC000645206671 1121653525 /nfs/dbraw/zinc/65/35/25/1121653525.db2.gz LLDSVRMAZOXJIO-IINYFYTJSA-N 1 2 262.303 3.558 20 0 CHADLO COc1cc(Nc2cc(O)cc(Cl)c2)cc(C)[nH+]1 ZINC001176623957 1121663417 /nfs/dbraw/zinc/66/34/17/1121663417.db2.gz OAIQMLPXWTWTSC-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO COC[C@@H]1CCCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000645213785 1121669558 /nfs/dbraw/zinc/66/95/58/1121669558.db2.gz INFDLKWLNKDEJM-CYBMUJFWSA-N 1 2 288.366 3.627 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(C2CC2)cc1)c1csnn1 ZINC000419343302 1121670687 /nfs/dbraw/zinc/67/06/87/1121670687.db2.gz QQMUVYFXTSOTKI-GHMZBOCLSA-N 1 2 273.405 3.827 20 0 CHADLO COCC[C@@H](C)[N@@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000493999312 1121671994 /nfs/dbraw/zinc/67/19/94/1121671994.db2.gz IAVCPTGFIJOZDA-GFCCVEGCSA-N 1 2 292.810 3.535 20 0 CHADLO COCC[C@@H](C)[N@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000493999312 1121672000 /nfs/dbraw/zinc/67/20/00/1121672000.db2.gz IAVCPTGFIJOZDA-GFCCVEGCSA-N 1 2 292.810 3.535 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc(Cl)cc2)C2CC2)no1 ZINC000052075793 1121674888 /nfs/dbraw/zinc/67/48/88/1121674888.db2.gz CDNPZACSLHYCIM-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc(Cl)cc2)C2CC2)no1 ZINC000052075793 1121674891 /nfs/dbraw/zinc/67/48/91/1121674891.db2.gz CDNPZACSLHYCIM-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(Cl)cc3)ccc21 ZINC001176729497 1121682187 /nfs/dbraw/zinc/68/21/87/1121682187.db2.gz LMUZAHILOZXMHQ-UHFFFAOYSA-N 1 2 257.724 3.970 20 0 CHADLO CC(C)Oc1ncccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001176731280 1121684639 /nfs/dbraw/zinc/68/46/39/1121684639.db2.gz KUNBTUYUCFNNTJ-UHFFFAOYSA-N 1 2 298.390 3.608 20 0 CHADLO Cc1cc(C)c(Nc2cccnc2OC(C)C)c[nH+]1 ZINC001176733100 1121685673 /nfs/dbraw/zinc/68/56/73/1121685673.db2.gz GTKKQLKQEBSXMA-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO c1ccc2c(c1)ccnc2Nc1ccc[nH+]c1N1CCCC1 ZINC001176743820 1121686306 /nfs/dbraw/zinc/68/63/06/1121686306.db2.gz NUVFMSJFIMAJGN-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO Cc1cnc(Cl)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001176744214 1121687152 /nfs/dbraw/zinc/68/71/52/1121687152.db2.gz QYBGLAIPCDHGAK-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO Cc1nc(Cl)ccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176750389 1121688224 /nfs/dbraw/zinc/68/82/24/1121688224.db2.gz QUTABYIJADXDFT-UHFFFAOYSA-N 1 2 288.782 3.782 20 0 CHADLO CCN(CC)c1ccc(Nc2ncnc3sccc32)c[nH+]1 ZINC000052314669 1121700156 /nfs/dbraw/zinc/70/01/56/1121700156.db2.gz GVGJBEISQWSSAT-UHFFFAOYSA-N 1 2 299.403 3.676 20 0 CHADLO CCC1(CC)CCCN1C(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC001177023833 1121707155 /nfs/dbraw/zinc/70/71/55/1121707155.db2.gz UODIXPIJCOXNHR-HNNXBMFYSA-N 1 2 291.439 3.652 20 0 CHADLO CC[C@H]([NH2+]Cc1coc(C2CC2)n1)c1ccccc1OC ZINC000727465868 1121720087 /nfs/dbraw/zinc/72/00/87/1121720087.db2.gz MZZIVEOLXSGEJI-HNNXBMFYSA-N 1 2 286.375 3.802 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+]Cc2coc(C3CC3)n2)cc1 ZINC000727466121 1121720598 /nfs/dbraw/zinc/72/05/98/1121720598.db2.gz YPJUWCHBZPFUHD-LBPRGKRZSA-N 1 2 286.375 3.802 20 0 CHADLO CC(C)(C)CCCC(=O)N[C@H](Cn1cc[nH+]c1)C(C)(C)C ZINC000728982761 1121733906 /nfs/dbraw/zinc/73/39/06/1121733906.db2.gz MKKRYQGFNSKXHJ-CQSZACIVSA-N 1 2 293.455 3.630 20 0 CHADLO CC[C@H](C)c1ccc(OCc2ccc[nH+]c2N)cc1 ZINC000114249584 1121743443 /nfs/dbraw/zinc/74/34/43/1121743443.db2.gz MWBNMUWZTFRWBA-LBPRGKRZSA-N 1 2 256.349 3.756 20 0 CHADLO CC[N@H+](Cn1c(=S)snc1-c1ccccc1)C1CC1 ZINC000727816970 1121748900 /nfs/dbraw/zinc/74/89/00/1121748900.db2.gz OBUILDFIBMUIAH-UHFFFAOYSA-N 1 2 291.445 3.783 20 0 CHADLO CC[N@@H+](Cn1c(=S)snc1-c1ccccc1)C1CC1 ZINC000727816970 1121748909 /nfs/dbraw/zinc/74/89/09/1121748909.db2.gz OBUILDFIBMUIAH-UHFFFAOYSA-N 1 2 291.445 3.783 20 0 CHADLO CCC1(C)CC[NH+](Cn2ncn(C(C)(C)C)c2=S)CC1 ZINC000727822243 1121751295 /nfs/dbraw/zinc/75/12/95/1121751295.db2.gz HPYGBWAOGQLUJM-UHFFFAOYSA-N 1 2 296.484 3.639 20 0 CHADLO CN(C)c1ccc(NCc2cccc(OC(C)(C)C)c2)c[nH+]1 ZINC001178143831 1121768040 /nfs/dbraw/zinc/76/80/40/1121768040.db2.gz SNHYPLBEMJXKNL-UHFFFAOYSA-N 1 2 299.418 3.937 20 0 CHADLO CCC/C=C/C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000729351455 1121781277 /nfs/dbraw/zinc/78/12/77/1121781277.db2.gz UERNWJAFIFHZND-SNAWJCMRSA-N 1 2 289.766 3.821 20 0 CHADLO CCC/C=C\C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000729351454 1121781670 /nfs/dbraw/zinc/78/16/70/1121781670.db2.gz UERNWJAFIFHZND-PLNGDYQASA-N 1 2 289.766 3.821 20 0 CHADLO Cc1ncoc1C[NH2+]Cc1cccc(Cl)c1Cl ZINC000894211210 1121787199 /nfs/dbraw/zinc/78/71/99/1121787199.db2.gz OTVCETLAPYAZIE-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO CC(C)(O)C=CNc1cc[nH+]c2cc(Cl)ccc12 ZINC001203215592 1121790044 /nfs/dbraw/zinc/79/00/44/1121790044.db2.gz WADVWPYXRVTPBQ-SOFGYWHQSA-N 1 2 262.740 3.585 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCC[C@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC000513258521 1121799246 /nfs/dbraw/zinc/79/92/46/1121799246.db2.gz XIVYYJBLRPEIDG-VNQPRFMTSA-N 1 2 289.419 3.643 20 0 CHADLO Cc1nc2[nH]ccc2c(NCCc2ccc(Cl)s2)[nH+]1 ZINC000433777487 1121807480 /nfs/dbraw/zinc/80/74/80/1121807480.db2.gz MMARSUKBYBDHFW-UHFFFAOYSA-N 1 2 292.795 3.587 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2CCSc3ccccc32)[nH+]1 ZINC000433785181 1121808608 /nfs/dbraw/zinc/80/86/08/1121808608.db2.gz PYFHSMLLEUEZJE-CYBMUJFWSA-N 1 2 296.399 3.915 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2c3ccccc3C[C@H]2C)[nH+]1 ZINC000433790704 1121810497 /nfs/dbraw/zinc/81/04/97/1121810497.db2.gz ZTTDXRXROXERES-BMIGLBTASA-N 1 2 278.359 3.612 20 0 CHADLO CC(C)=CCC[C@H](C)[NH2+]c1ccc(N(C)CCO)cc1 ZINC000182952654 1129012806 /nfs/dbraw/zinc/01/28/06/1129012806.db2.gz CPYFDCBCKAHZRF-HNNXBMFYSA-N 1 2 276.424 3.662 20 0 CHADLO Cc1cc(CO[NH+]=C(N)Cc2cccs2)c(C)s1 ZINC000731029344 1121842876 /nfs/dbraw/zinc/84/28/76/1121842876.db2.gz SQJYYWLEZMXGKT-UHFFFAOYSA-N 1 2 280.418 3.668 20 0 CHADLO Oc1cc(Cl)cc(C[NH2+][C@@H](CF)c2ccc(F)cc2)c1 ZINC001179401404 1121874056 /nfs/dbraw/zinc/87/40/56/1121874056.db2.gz DAGFEXXGOZJXDV-HNNXBMFYSA-N 1 2 297.732 3.985 20 0 CHADLO CCC[C@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1cc(C)ccn1 ZINC000631631782 1129015427 /nfs/dbraw/zinc/01/54/27/1129015427.db2.gz KDWPTPDUBRXGEG-IJEWVQPXSA-N 1 2 284.407 3.723 20 0 CHADLO CCc1ccc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)cc1 ZINC001179579083 1121889323 /nfs/dbraw/zinc/88/93/23/1121889323.db2.gz IWENGKRGYTXXIC-SJLPKXTDSA-N 1 2 285.362 3.810 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+][C@@H]1CCCc2ncccc21 ZINC000631648801 1129017169 /nfs/dbraw/zinc/01/71/69/1129017169.db2.gz RCXZMUAHPFZWMA-CYBMUJFWSA-N 1 2 268.351 3.730 20 0 CHADLO C[C@H]1COC[C@H](c2ccccc2)[N@@H+]1Cc1ccc(F)cc1 ZINC000501027022 1121942691 /nfs/dbraw/zinc/94/26/91/1121942691.db2.gz UPFQJZBKFJVHRU-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1COC[C@H](c2ccccc2)[N@H+]1Cc1ccc(F)cc1 ZINC000501027022 1121942696 /nfs/dbraw/zinc/94/26/96/1121942696.db2.gz UPFQJZBKFJVHRU-KBXCAEBGSA-N 1 2 285.362 3.788 20 0 CHADLO Cc1cccc(C)c1OCCCO[NH+]=C(N)c1ccccc1 ZINC000732983847 1121951120 /nfs/dbraw/zinc/95/11/20/1121951120.db2.gz RCVWMEBQXWEWIR-UHFFFAOYSA-N 1 2 298.386 3.619 20 0 CHADLO CC[C@H]1C[C@@H](Nc2ccc3ccc(OC)cc3[nH+]2)CCO1 ZINC000894246359 1121974608 /nfs/dbraw/zinc/97/46/08/1121974608.db2.gz AUJBCHWOCHEZBA-KBPBESRZSA-N 1 2 286.375 3.613 20 0 CHADLO CCOc1ccc(C[N@H+](Cc2ccc(C)o2)C2CC2)o1 ZINC001180404712 1121982863 /nfs/dbraw/zinc/98/28/63/1121982863.db2.gz XOLAAEKMHDMMIT-UHFFFAOYSA-N 1 2 275.348 3.744 20 0 CHADLO CCOc1ccc(C[N@@H+](Cc2ccc(C)o2)C2CC2)o1 ZINC001180404712 1121982867 /nfs/dbraw/zinc/98/28/67/1121982867.db2.gz XOLAAEKMHDMMIT-UHFFFAOYSA-N 1 2 275.348 3.744 20 0 CHADLO Fc1cccc(C[NH2+][C@@H]2CCCc3scnc32)c1F ZINC000631726755 1129023929 /nfs/dbraw/zinc/02/39/29/1129023929.db2.gz SYXAUKZFTVXDFB-LLVKDONJSA-N 1 2 280.343 3.589 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000501875904 1122021386 /nfs/dbraw/zinc/02/13/86/1122021386.db2.gz XPXFOYVCBWRYMF-LLVKDONJSA-N 1 2 262.784 3.909 20 0 CHADLO CC[C@@H](C)[N@H+]1CCn2c(cc3cc(Cl)ccc32)C1 ZINC000501875904 1122021394 /nfs/dbraw/zinc/02/13/94/1122021394.db2.gz XPXFOYVCBWRYMF-LLVKDONJSA-N 1 2 262.784 3.909 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)oc1C)c1ccc(F)cc1F ZINC000660196867 1129026537 /nfs/dbraw/zinc/02/65/37/1129026537.db2.gz MGZRYSHEMWDLRL-AWEZNQCLSA-N 1 2 280.318 3.811 20 0 CHADLO CC(C)[C@H](c1ccccc1)[N@H+](C)Cc1nn(C)cc1Cl ZINC000420910137 1122043391 /nfs/dbraw/zinc/04/33/91/1122043391.db2.gz DVOGZLBOTPTYGU-MRXNPFEDSA-N 1 2 291.826 3.903 20 0 CHADLO FC(F)(F)c1ccc(Cc2ccc3[nH+]ccn3c2)cc1 ZINC001249997160 1122043441 /nfs/dbraw/zinc/04/34/41/1122043441.db2.gz YEJBDVJXTIMOJY-UHFFFAOYSA-N 1 2 276.261 3.944 20 0 CHADLO CC(C)[C@H](c1ccccc1)[N@@H+](C)Cc1nn(C)cc1Cl ZINC000420910137 1122043396 /nfs/dbraw/zinc/04/33/96/1122043396.db2.gz DVOGZLBOTPTYGU-MRXNPFEDSA-N 1 2 291.826 3.903 20 0 CHADLO FC(F)C[C@H]([NH2+]Cc1cscn1)c1ccccc1 ZINC000420987182 1122053680 /nfs/dbraw/zinc/05/36/80/1122053680.db2.gz OJTSHWFEXDYCNQ-LBPRGKRZSA-N 1 2 268.332 3.629 20 0 CHADLO CC(C)[N@H+](Cc1ccon1)[C@H](C)c1ccsc1 ZINC000421007081 1122060822 /nfs/dbraw/zinc/06/08/22/1122060822.db2.gz KUASBWHOPRTAHM-LLVKDONJSA-N 1 2 250.367 3.708 20 0 CHADLO CC(C)[N@@H+](Cc1ccon1)[C@H](C)c1ccsc1 ZINC000421007081 1122060825 /nfs/dbraw/zinc/06/08/25/1122060825.db2.gz KUASBWHOPRTAHM-LLVKDONJSA-N 1 2 250.367 3.708 20 0 CHADLO Cc1cc(CNC(=O)Nc2ccc(C)c(C)c2)cc(C)[nH+]1 ZINC000421042143 1122067818 /nfs/dbraw/zinc/06/78/18/1122067818.db2.gz YEIRWWQTUYKETJ-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@@H]([NH2+][C@H](CF)c1ccc(F)cc1)c1cccc(O)c1 ZINC000631798444 1129029463 /nfs/dbraw/zinc/02/94/63/1129029463.db2.gz SUHSBVCCSXGACN-BDJLRTHQSA-N 1 2 277.314 3.893 20 0 CHADLO Cc1ccc(Nc2cncc(Br)c2)c(C)[nH+]1 ZINC001203367966 1122074622 /nfs/dbraw/zinc/07/46/22/1122074622.db2.gz RQFPIYBNNPQHRF-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2ccc(Cl)cc2)nn1C ZINC000421332212 1122092171 /nfs/dbraw/zinc/09/21/71/1122092171.db2.gz LDFIIUOMUZUTMP-SECBINFHSA-N 1 2 298.217 3.886 20 0 CHADLO C[N@H+](Cc1nccn1C(F)F)Cc1cccc(C2CC2)c1 ZINC000421321514 1122093030 /nfs/dbraw/zinc/09/30/30/1122093030.db2.gz CIGPUIPWPCLSFY-UHFFFAOYSA-N 1 2 291.345 3.788 20 0 CHADLO C[N@@H+](Cc1nccn1C(F)F)Cc1cccc(C2CC2)c1 ZINC000421321514 1122093035 /nfs/dbraw/zinc/09/30/35/1122093035.db2.gz CIGPUIPWPCLSFY-UHFFFAOYSA-N 1 2 291.345 3.788 20 0 CHADLO Cc1c(Cl)c(C[N@@H+]2CCC[C@H]2c2ccccc2)nn1C ZINC000421325974 1122094102 /nfs/dbraw/zinc/09/41/02/1122094102.db2.gz FIMIQJMSDSVEEG-HNNXBMFYSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1c(Cl)c(C[N@H+]2CCC[C@H]2c2ccccc2)nn1C ZINC000421325974 1122094105 /nfs/dbraw/zinc/09/41/05/1122094105.db2.gz FIMIQJMSDSVEEG-HNNXBMFYSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nn(C)c(C)c2Cl)c(C)s1 ZINC000421332802 1122095437 /nfs/dbraw/zinc/09/54/37/1122095437.db2.gz MGOMGIGGBBFRRR-VIFPVBQESA-N 1 2 297.855 3.911 20 0 CHADLO Cc1ccc(Nc2ccc3nnc(C(C)(C)C)n3c2)c(C)[nH+]1 ZINC001203368966 1122102034 /nfs/dbraw/zinc/10/20/34/1122102034.db2.gz IREUOQGYFOEYEQ-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO COCc1cc(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)no1 ZINC000421367575 1122102379 /nfs/dbraw/zinc/10/23/79/1122102379.db2.gz FCCNATLFOXUPTO-LBPRGKRZSA-N 1 2 294.420 3.854 20 0 CHADLO COCc1cc(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)no1 ZINC000421367575 1122102382 /nfs/dbraw/zinc/10/23/82/1122102382.db2.gz FCCNATLFOXUPTO-LBPRGKRZSA-N 1 2 294.420 3.854 20 0 CHADLO CC(C)n1cc(C(C)(C)[NH2+]Cc2cc3ccccc3o2)nn1 ZINC000421403207 1122106994 /nfs/dbraw/zinc/10/69/94/1122106994.db2.gz NREAZRSXWCGQMD-UHFFFAOYSA-N 1 2 298.390 3.630 20 0 CHADLO Cc1ccc(Nc2cccnc2C(F)(F)F)c(C)[nH+]1 ZINC001203370608 1122119320 /nfs/dbraw/zinc/11/93/20/1122119320.db2.gz PAHDVIKSXGSHHM-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO CC/C=C/NC(=O)[C@H](c1ccccc1Cl)[NH+](CC)CC ZINC001182222975 1122122505 /nfs/dbraw/zinc/12/25/05/1122122505.db2.gz HJHSAXSLXWAORP-BLMSOEDDSA-N 1 2 294.826 3.763 20 0 CHADLO CC(C)(C)c1ccccc1C(=O)Nc1cccc2[nH+]ccn21 ZINC000434151880 1122126057 /nfs/dbraw/zinc/12/60/57/1122126057.db2.gz HKIKSOFZXCQOGT-UHFFFAOYSA-N 1 2 293.370 3.884 20 0 CHADLO c1cn2ccc(Nc3snnc3-c3ccccc3)cc2[nH+]1 ZINC001204959864 1122142862 /nfs/dbraw/zinc/14/28/62/1122142862.db2.gz YBJADWHORBPYHB-UHFFFAOYSA-N 1 2 293.355 3.596 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+][C@@H](C)c2nonc2C)cc1 ZINC000924937203 1122147719 /nfs/dbraw/zinc/14/77/19/1122147719.db2.gz BXKOXQACAWXCBJ-MNOVXSKESA-N 1 2 291.420 3.902 20 0 CHADLO CC[C@@H](C)C[N@@H+]1CCOC[C@H]1c1nc2ccccc2s1 ZINC000502805539 1122148920 /nfs/dbraw/zinc/14/89/20/1122148920.db2.gz APGLMBWMEOQEDJ-OCCSQVGLSA-N 1 2 290.432 3.716 20 0 CHADLO CC[C@@H](C)C[N@H+]1CCOC[C@H]1c1nc2ccccc2s1 ZINC000502805539 1122148922 /nfs/dbraw/zinc/14/89/22/1122148922.db2.gz APGLMBWMEOQEDJ-OCCSQVGLSA-N 1 2 290.432 3.716 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1CCCOCCc1ccccc1 ZINC000502826522 1122149762 /nfs/dbraw/zinc/14/97/62/1122149762.db2.gz LPFFWJZJXZTFPG-INIZCTEOSA-N 1 2 297.389 3.755 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1CCCOCCc1ccccc1 ZINC000502826522 1122149764 /nfs/dbraw/zinc/14/97/64/1122149764.db2.gz LPFFWJZJXZTFPG-INIZCTEOSA-N 1 2 297.389 3.755 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC[C@](F)(c3ccccc3C)C2)o1 ZINC000502967197 1122159769 /nfs/dbraw/zinc/15/97/69/1122159769.db2.gz BAVVYEAFMTYMPQ-WMLDXEAASA-N 1 2 288.366 3.923 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC[C@](F)(c3ccccc3C)C2)o1 ZINC000502967197 1122159773 /nfs/dbraw/zinc/15/97/73/1122159773.db2.gz BAVVYEAFMTYMPQ-WMLDXEAASA-N 1 2 288.366 3.923 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC[C@@](F)(c3ccccc3C)C2)o1 ZINC000502967198 1122160391 /nfs/dbraw/zinc/16/03/91/1122160391.db2.gz BAVVYEAFMTYMPQ-YOEHRIQHSA-N 1 2 288.366 3.923 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC[C@@](F)(c3ccccc3C)C2)o1 ZINC000502967198 1122160393 /nfs/dbraw/zinc/16/03/93/1122160393.db2.gz BAVVYEAFMTYMPQ-YOEHRIQHSA-N 1 2 288.366 3.923 20 0 CHADLO COc1cc(C)c(Nc2ccc(C)[nH+]c2C)cc1OC ZINC001203372387 1122167091 /nfs/dbraw/zinc/16/70/91/1122167091.db2.gz AEDPRDHAHZWDBS-UHFFFAOYSA-N 1 2 272.348 3.768 20 0 CHADLO C[C@H]1CC(C)(C)C[C@@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC001182500918 1122170801 /nfs/dbraw/zinc/17/08/01/1122170801.db2.gz XKYSYOQXBKJLEN-ZFWWWQNUSA-N 1 2 273.424 3.918 20 0 CHADLO Cc1ccncc1[C@H](C)Nc1ccc([NH+](C)C)cc1C ZINC000271946423 1122183773 /nfs/dbraw/zinc/18/37/73/1122183773.db2.gz NMWPBCHQDWHTHK-AWEZNQCLSA-N 1 2 269.392 3.938 20 0 CHADLO Fc1ccc2c(c1)OCCC[C@H]2Nc1cccc[nH+]1 ZINC000272046718 1122187890 /nfs/dbraw/zinc/18/78/90/1122187890.db2.gz VYGRNDUPWOYBMN-CYBMUJFWSA-N 1 2 258.296 3.547 20 0 CHADLO COCC[N@H+](CCF)[C@H]1C[C@H](C)Sc2sccc21 ZINC001182781274 1122189281 /nfs/dbraw/zinc/18/92/81/1122189281.db2.gz KSVMHIPBRXGMNL-JQWIXIFHSA-N 1 2 289.441 3.591 20 0 CHADLO COCC[N@@H+](CCF)[C@H]1C[C@H](C)Sc2sccc21 ZINC001182781274 1122189284 /nfs/dbraw/zinc/18/92/84/1122189284.db2.gz KSVMHIPBRXGMNL-JQWIXIFHSA-N 1 2 289.441 3.591 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2cnc(C)nc2C)c[nH+]1 ZINC000272185687 1122193569 /nfs/dbraw/zinc/19/35/69/1122193569.db2.gz GKTXLIWNLYDTIA-ZDUSSCGKSA-N 1 2 299.422 3.508 20 0 CHADLO Cc1[nH]c2ccc(Cc3cc(F)cc(F)c3)cc2[nH+]1 ZINC001250096570 1122199903 /nfs/dbraw/zinc/19/99/03/1122199903.db2.gz VPRNGAAWYMQVLU-UHFFFAOYSA-N 1 2 258.271 3.740 20 0 CHADLO CCc1cc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2F)on1 ZINC001203229424 1122212887 /nfs/dbraw/zinc/21/28/87/1122212887.db2.gz VLMBSRJNUWEMDR-INIZCTEOSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1cc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2F)on1 ZINC001203229424 1122212891 /nfs/dbraw/zinc/21/28/91/1122212891.db2.gz VLMBSRJNUWEMDR-INIZCTEOSA-N 1 2 292.329 3.852 20 0 CHADLO C1=C[C@@H](CCC[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC001183642741 1122232189 /nfs/dbraw/zinc/23/21/89/1122232189.db2.gz LGEYATJVHBSQEU-ZBFHGGJFSA-N 1 2 288.439 3.762 20 0 CHADLO C1=C[C@H](CCC[NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC001183642739 1122232303 /nfs/dbraw/zinc/23/23/03/1122232303.db2.gz LGEYATJVHBSQEU-GOEBONIOSA-N 1 2 288.439 3.762 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nnc(C)o1)c1ccc(Cl)s1 ZINC000273468297 1122234294 /nfs/dbraw/zinc/23/42/94/1122234294.db2.gz FKDYJIALNXXPAI-IONNQARKSA-N 1 2 285.800 3.895 20 0 CHADLO C(=C\[C@@H]1CCCN1c1cccc[nH+]1)\c1ccccc1 ZINC000503282224 1122254285 /nfs/dbraw/zinc/25/42/85/1122254285.db2.gz NBWFSGHTSITDEP-JNSOCZORSA-N 1 2 250.345 3.764 20 0 CHADLO Cc1cnc(C[NH2+][C@]2(c3ccc(Cl)cc3)C[C@@H]2C)o1 ZINC000503408353 1122260980 /nfs/dbraw/zinc/26/09/80/1122260980.db2.gz SJMUIZVARCIYHZ-ZUZCIYMTSA-N 1 2 276.767 3.661 20 0 CHADLO C[N@H+](Cc1nc(-c2ccc(F)cc2)no1)Cc1ccccc1 ZINC000072790536 1122261070 /nfs/dbraw/zinc/26/10/70/1122261070.db2.gz DUKSWNQEZZZBPP-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1nc(-c2ccc(F)cc2)no1)Cc1ccccc1 ZINC000072790536 1122261073 /nfs/dbraw/zinc/26/10/73/1122261073.db2.gz DUKSWNQEZZZBPP-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO Fc1cccc(Cc2ccc(N3CCCC3)[nH+]c2)c1F ZINC001250152155 1122279835 /nfs/dbraw/zinc/27/98/35/1122279835.db2.gz PIBOPORIHFLBEF-UHFFFAOYSA-N 1 2 274.314 3.551 20 0 CHADLO Oc1c(Cl)cccc1C[NH2+]Cc1ccc2ncccc2c1 ZINC000192982544 1129048891 /nfs/dbraw/zinc/04/88/91/1129048891.db2.gz IASHZWFHIHIJFH-UHFFFAOYSA-N 1 2 298.773 3.884 20 0 CHADLO CN(C)c1ccc(Nc2coc3ccccc23)c[nH+]1 ZINC001203146885 1122308259 /nfs/dbraw/zinc/30/82/59/1122308259.db2.gz KNJMLUKPCBYVLH-UHFFFAOYSA-N 1 2 253.305 3.637 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]C/C(Cl)=C/Cl ZINC000774823670 1122315479 /nfs/dbraw/zinc/31/54/79/1122315479.db2.gz LJTMGFJKMLJSAL-PAKSIRSJSA-N 1 2 274.191 3.973 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2C[C@]2(F)c2ccccc2)c(C)[nH+]1 ZINC000414800214 1122317190 /nfs/dbraw/zinc/31/71/90/1122317190.db2.gz YXKIAHCLXJZEBE-YJBOKZPZSA-N 1 2 298.361 3.830 20 0 CHADLO Cc1cc(N[C@H]2C[C@H](C)C[C@H](C)C2)nc(C2CC2)[nH+]1 ZINC001185451832 1122341705 /nfs/dbraw/zinc/34/17/05/1122341705.db2.gz YKHLDQPZVIOYKE-YABSGUDNSA-N 1 2 259.397 3.899 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCc2ccsc2C1 ZINC000514111723 1122378131 /nfs/dbraw/zinc/37/81/31/1122378131.db2.gz SVUPSRYBQFXRRU-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCc2ccsc2C1 ZINC000514111723 1122378135 /nfs/dbraw/zinc/37/81/35/1122378135.db2.gz SVUPSRYBQFXRRU-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO CC[C@H]1CCN(c2nc3ccccc3n3c[nH+]cc23)[C@H]1C ZINC001186184077 1122378457 /nfs/dbraw/zinc/37/84/57/1122378457.db2.gz IGKWYHAYKRTRAM-STQMWFEESA-N 1 2 280.375 3.507 20 0 CHADLO CC[C@H]1[C@H](C)CCN1c1nc2ccccc2n2c[nH+]cc12 ZINC001186203472 1122379613 /nfs/dbraw/zinc/37/96/13/1122379613.db2.gz QCUNANSQLGGDLX-OCCSQVGLSA-N 1 2 280.375 3.507 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)ccc(O)c2Cl)c[nH+]1 ZINC001250234635 1122381609 /nfs/dbraw/zinc/38/16/09/1122381609.db2.gz UEMMHHWEUZCTEZ-UHFFFAOYSA-N 1 2 295.745 3.779 20 0 CHADLO CCc1cc(NCC2(C)CCCCC2)nc(CC)[nH+]1 ZINC001186620580 1122400077 /nfs/dbraw/zinc/40/00/77/1122400077.db2.gz MCNXCAFUYGLZQU-UHFFFAOYSA-N 1 2 261.413 3.984 20 0 CHADLO CCC[C@@H](C)[N@H+](C)Cc1noc(Cc2ccccc2C)n1 ZINC000434832293 1122415301 /nfs/dbraw/zinc/41/53/01/1122415301.db2.gz QMBJLEFNDSSHGY-CQSZACIVSA-N 1 2 287.407 3.589 20 0 CHADLO CCC[C@@H](C)[N@@H+](C)Cc1noc(Cc2ccccc2C)n1 ZINC000434832293 1122415304 /nfs/dbraw/zinc/41/53/04/1122415304.db2.gz QMBJLEFNDSSHGY-CQSZACIVSA-N 1 2 287.407 3.589 20 0 CHADLO CCC[C@H](C)[N@H+](C)Cc1noc(Cc2ccccc2C)n1 ZINC000434832291 1122415723 /nfs/dbraw/zinc/41/57/23/1122415723.db2.gz QMBJLEFNDSSHGY-AWEZNQCLSA-N 1 2 287.407 3.589 20 0 CHADLO CCC[C@H](C)[N@@H+](C)Cc1noc(Cc2ccccc2C)n1 ZINC000434832291 1122415725 /nfs/dbraw/zinc/41/57/25/1122415725.db2.gz QMBJLEFNDSSHGY-AWEZNQCLSA-N 1 2 287.407 3.589 20 0 CHADLO C[C@@H]1[C@@H](c2cccc(Cl)c2)OCCN1c1cccc[nH+]1 ZINC000664454366 1122418769 /nfs/dbraw/zinc/41/87/69/1122418769.db2.gz PDRKBVQMCJYZGL-WBMJQRKESA-N 1 2 288.778 3.701 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc(C(F)(F)F)cs2)c1 ZINC001203654319 1122419861 /nfs/dbraw/zinc/41/98/61/1122419861.db2.gz HBOZQRDIYZFCEY-UHFFFAOYSA-N 1 2 273.283 3.917 20 0 CHADLO Cn1cc([C@H](Nc2[nH+]c3ccccc3n2C)C(C)(C)C)cn1 ZINC000425242498 1122421815 /nfs/dbraw/zinc/42/18/15/1122421815.db2.gz ROXNPUVOYKDIKX-HNNXBMFYSA-N 1 2 297.406 3.506 20 0 CHADLO Cc1nc(NCCCCC2CC2)c2c([nH+]1)CCCC2 ZINC001187332850 1122425704 /nfs/dbraw/zinc/42/57/04/1122425704.db2.gz AAKJOBPPLGANJF-UHFFFAOYSA-N 1 2 259.397 3.656 20 0 CHADLO Cc1nc(N2CC([C@@H]3CCC[C@@H](C)C3)C2)c2c([nH+]1)CCCC2 ZINC001187391843 1122428245 /nfs/dbraw/zinc/42/82/45/1122428245.db2.gz PVUWEBSOSDAWCD-UKRRQHHQSA-N 1 2 299.462 3.926 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3c(F)cccc3F)CC2)cc1 ZINC000505592437 1122429399 /nfs/dbraw/zinc/42/93/99/1122429399.db2.gz SJZHGBJURLYHLR-UHFFFAOYSA-N 1 2 277.289 3.883 20 0 CHADLO CCOc1ccc(F)cc1Nc1cc(C)c[nH+]c1C ZINC001203663914 1122430897 /nfs/dbraw/zinc/43/08/97/1122430897.db2.gz YWBMWYQTEFSLKA-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2CCC[C@H](C)[C@H]2C)no1 ZINC000435044378 1122432324 /nfs/dbraw/zinc/43/23/24/1122432324.db2.gz TZEZYDIJVNOGFF-DZGCQCFKSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2CCC[C@H](C)[C@H]2C)no1 ZINC000435044378 1122432326 /nfs/dbraw/zinc/43/23/26/1122432326.db2.gz TZEZYDIJVNOGFF-DZGCQCFKSA-N 1 2 299.418 3.589 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@@H+]1Cc1cc(C)ccc1OC(F)F ZINC000505598301 1122432698 /nfs/dbraw/zinc/43/26/98/1122432698.db2.gz WDGMPSGJYNBZJX-TZMCWYRMSA-N 1 2 299.361 3.596 20 0 CHADLO CC[C@@H]1CO[C@H](C)C[N@H+]1Cc1cc(C)ccc1OC(F)F ZINC000505598301 1122432700 /nfs/dbraw/zinc/43/27/00/1122432700.db2.gz WDGMPSGJYNBZJX-TZMCWYRMSA-N 1 2 299.361 3.596 20 0 CHADLO Cc1cccn2c(CNc3cccc4cnccc43)c[nH+]c12 ZINC000155610490 1122433624 /nfs/dbraw/zinc/43/36/24/1122433624.db2.gz KQBHNFOPIIKNQK-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO Cc1cc(NC(C)(C)/C=C\Cl)nc(C2CCC2)[nH+]1 ZINC001187663575 1122436647 /nfs/dbraw/zinc/43/66/47/1122436647.db2.gz ZWSXXCWOYKZMOH-FPLPWBNLSA-N 1 2 265.788 3.996 20 0 CHADLO CCCCC[C@H](NC(=O)CCc1c[nH]c[nH+]1)c1ccccc1 ZINC000193134765 1129055744 /nfs/dbraw/zinc/05/57/44/1129055744.db2.gz XPEGEYWRSFYTIX-KRWDZBQOSA-N 1 2 299.418 3.780 20 0 CHADLO CCCCC[C@H](NC(=O)CCc1c[nH+]c[nH]1)c1ccccc1 ZINC000193134765 1129055749 /nfs/dbraw/zinc/05/57/49/1129055749.db2.gz XPEGEYWRSFYTIX-KRWDZBQOSA-N 1 2 299.418 3.780 20 0 CHADLO CCCCc1ccc(NC(=O)CCCn2cc[nH+]c2)cc1 ZINC000620409304 1129055918 /nfs/dbraw/zinc/05/59/18/1129055918.db2.gz MDRUFBHCNAHWRV-UHFFFAOYSA-N 1 2 285.391 3.645 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCn3cccc3[C@@H]2C)o1 ZINC000505694274 1122465972 /nfs/dbraw/zinc/46/59/72/1122465972.db2.gz LGIKAHQEKJEGOG-IPYPFGDCSA-N 1 2 270.376 3.781 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCn3cccc3[C@@H]2C)o1 ZINC000505694274 1122465974 /nfs/dbraw/zinc/46/59/74/1122465974.db2.gz LGIKAHQEKJEGOG-IPYPFGDCSA-N 1 2 270.376 3.781 20 0 CHADLO CCCc1ccc(C(=O)Nc2ccn3cc[nH+]c3c2)s1 ZINC001189505722 1122503130 /nfs/dbraw/zinc/50/31/30/1122503130.db2.gz QKKMOEQMYJUHFW-UHFFFAOYSA-N 1 2 285.372 3.601 20 0 CHADLO c1cn(-c2ccc(Nc3ccc4cncnc4c3)cc2)c[nH+]1 ZINC001203708256 1122510712 /nfs/dbraw/zinc/51/07/12/1122510712.db2.gz UTSMNFNWGLWGMN-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO CCc1ccc(C(C)(C)[NH2+]Cc2nc(C(F)F)no2)cc1 ZINC000425459907 1122511483 /nfs/dbraw/zinc/51/14/83/1122511483.db2.gz PXMPAVHDXBBVPJ-UHFFFAOYSA-N 1 2 295.333 3.595 20 0 CHADLO CCc1cn(Cc2csc(-c3ccc(F)cc3)n2)c[nH+]1 ZINC001190104003 1122524701 /nfs/dbraw/zinc/52/47/01/1122524701.db2.gz NOPGBTIPHCXRRD-UHFFFAOYSA-N 1 2 287.363 3.756 20 0 CHADLO CC1(C)CCC(NC(=O)c2ccccc2-n2cc[nH+]c2)CC1 ZINC001190105760 1122525073 /nfs/dbraw/zinc/52/50/73/1122525073.db2.gz AOWBXQSWSFEQDZ-UHFFFAOYSA-N 1 2 297.402 3.571 20 0 CHADLO CCc1cnc(Nc2ccc([NH+]3CCCCC3)cc2)cn1 ZINC001203717910 1122531718 /nfs/dbraw/zinc/53/17/18/1122531718.db2.gz ONUNOXSSTNEXKZ-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO COc1ccccc1Cc1cn(C[C@@H]2C[C@H]3C[C@H]3C2)c[nH+]1 ZINC001190283186 1122532266 /nfs/dbraw/zinc/53/22/66/1122532266.db2.gz DTPPUWUWBLJRDI-RBVVOMGSSA-N 1 2 282.387 3.529 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@@H+]2CC[C@@H](C)C[C@H]2C)n1 ZINC000425524645 1122541941 /nfs/dbraw/zinc/54/19/41/1122541941.db2.gz RJKSRBLYLLBNAJ-UTUOFQBUSA-N 1 2 283.441 3.504 20 0 CHADLO CCS[C@@H](C)c1noc(C[N@H+]2CC[C@@H](C)C[C@H]2C)n1 ZINC000425524645 1122541945 /nfs/dbraw/zinc/54/19/45/1122541945.db2.gz RJKSRBLYLLBNAJ-UTUOFQBUSA-N 1 2 283.441 3.504 20 0 CHADLO Fc1cc2[nH]ccc2c(Nc2cccc3[nH+]ccn32)c1 ZINC001203725731 1122552989 /nfs/dbraw/zinc/55/29/89/1122552989.db2.gz NSENTOBBEJKAIS-UHFFFAOYSA-N 1 2 266.279 3.698 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](C)C[C@@H](C)C2)c(C)[nH+]1 ZINC000436495330 1122555148 /nfs/dbraw/zinc/55/51/48/1122555148.db2.gz OTOAGDAFVSPILR-NWDGAFQWSA-N 1 2 289.423 3.907 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ccon1)c1cc2ccccc2o1 ZINC000775423224 1122560925 /nfs/dbraw/zinc/56/09/25/1122560925.db2.gz OMXQGDGUSSYNJQ-MRXNPFEDSA-N 1 2 270.332 3.908 20 0 CHADLO CCCc1noc(C[N@@H+]2CCC[C@H]2/C=C/c2ccccc2)n1 ZINC000505873910 1122579920 /nfs/dbraw/zinc/57/99/20/1122579920.db2.gz CESHMWHSQVSDSY-PCUGXKRQSA-N 1 2 297.402 3.700 20 0 CHADLO CCCc1noc(C[N@H+]2CCC[C@H]2/C=C/c2ccccc2)n1 ZINC000505873910 1122579925 /nfs/dbraw/zinc/57/99/25/1122579925.db2.gz CESHMWHSQVSDSY-PCUGXKRQSA-N 1 2 297.402 3.700 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2ccccn2)nc(C(C)(C)C)[nH+]1 ZINC000077933081 1122580751 /nfs/dbraw/zinc/58/07/51/1122580751.db2.gz NGIHKERZJGZTRV-OAHLLOKOSA-N 1 2 296.418 3.819 20 0 CHADLO COc1cc(C[NH2+]C(c2ccccc2)c2ccccc2)on1 ZINC001191610451 1122607428 /nfs/dbraw/zinc/60/74/28/1122607428.db2.gz LIGMBURDMAQDHE-UHFFFAOYSA-N 1 2 294.354 3.562 20 0 CHADLO CCC[N@H+](Cc1c(Cl)cnn1C)Cc1ccc(F)cc1 ZINC000437575947 1122641232 /nfs/dbraw/zinc/64/12/32/1122641232.db2.gz BPXDDRRXYCAXLX-UHFFFAOYSA-N 1 2 295.789 3.625 20 0 CHADLO CCC[N@@H+](Cc1c(Cl)cnn1C)Cc1ccc(F)cc1 ZINC000437575947 1122641234 /nfs/dbraw/zinc/64/12/34/1122641234.db2.gz BPXDDRRXYCAXLX-UHFFFAOYSA-N 1 2 295.789 3.625 20 0 CHADLO Cc1nonc1C[NH2+][C@H](C)c1ccc(SC(F)F)cc1 ZINC000775550339 1122660005 /nfs/dbraw/zinc/66/00/05/1122660005.db2.gz ZKLQVIVNUQCQQJ-MRVPVSSYSA-N 1 2 299.346 3.544 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1nccc(Cl)c1Cl ZINC001193311590 1122684295 /nfs/dbraw/zinc/68/42/95/1122684295.db2.gz RTOXMGDEKPUCQQ-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO CC[N@H+](CC(C)C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000193397029 1129074842 /nfs/dbraw/zinc/07/48/42/1129074842.db2.gz JPWMZQKRIQISMP-UHFFFAOYSA-N 1 2 294.468 3.562 20 0 CHADLO CC[N@@H+](CC(C)C)Cn1nc(C2CC2)n(C2CC2)c1=S ZINC000193397029 1129074847 /nfs/dbraw/zinc/07/48/47/1129074847.db2.gz JPWMZQKRIQISMP-UHFFFAOYSA-N 1 2 294.468 3.562 20 0 CHADLO CC(C)[C@@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000438480477 1122702291 /nfs/dbraw/zinc/70/22/91/1122702291.db2.gz FVEFSOHEGZLMKX-CQSZACIVSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)[C@@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000438480477 1122702293 /nfs/dbraw/zinc/70/22/93/1122702293.db2.gz FVEFSOHEGZLMKX-CQSZACIVSA-N 1 2 277.412 3.595 20 0 CHADLO CC[C@@]1(C)CC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000438532517 1122707638 /nfs/dbraw/zinc/70/76/38/1122707638.db2.gz DZJGOGOXGRFYQW-INIZCTEOSA-N 1 2 277.412 3.739 20 0 CHADLO CC[C@@]1(C)CC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000438532517 1122707643 /nfs/dbraw/zinc/70/76/43/1122707643.db2.gz DZJGOGOXGRFYQW-INIZCTEOSA-N 1 2 277.412 3.739 20 0 CHADLO Fc1ccccc1C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000506280896 1122710358 /nfs/dbraw/zinc/71/03/58/1122710358.db2.gz LBOOEBGYGCLQOJ-UHFFFAOYSA-N 1 2 280.346 3.865 20 0 CHADLO Fc1ccccc1C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000506280896 1122710363 /nfs/dbraw/zinc/71/03/63/1122710363.db2.gz LBOOEBGYGCLQOJ-UHFFFAOYSA-N 1 2 280.346 3.865 20 0 CHADLO CC(C)C[C@@H](c1nc(CC2=CCCC2)no1)n1cc[nH+]c1 ZINC000923746771 1122719039 /nfs/dbraw/zinc/71/90/39/1122719039.db2.gz CYPIDLDPVUOVQG-AWEZNQCLSA-N 1 2 286.379 3.554 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCO[C@H](c3ccccc3)C2)o1 ZINC000506339475 1122722213 /nfs/dbraw/zinc/72/22/13/1122722213.db2.gz KDXIFTMMTIVDFJ-LJHODMEESA-N 1 2 297.398 3.977 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCO[C@H](c3ccccc3)C2)o1 ZINC000506339475 1122722215 /nfs/dbraw/zinc/72/22/15/1122722215.db2.gz KDXIFTMMTIVDFJ-LJHODMEESA-N 1 2 297.398 3.977 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCO[C@@H](c3ccccc3)C2)c1 ZINC000506367865 1122726306 /nfs/dbraw/zinc/72/63/06/1122726306.db2.gz GWYFZJHJEYVONQ-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCO[C@@H](c3ccccc3)C2)c1 ZINC000506367865 1122726311 /nfs/dbraw/zinc/72/63/11/1122726311.db2.gz GWYFZJHJEYVONQ-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO CC(C)COc1ccccc1C[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000295172389 1122743951 /nfs/dbraw/zinc/74/39/51/1122743951.db2.gz GHVFXAFZSLLJBP-ZBFHGGJFSA-N 1 2 299.418 3.714 20 0 CHADLO Cc1cc2[nH+]s[nH]c-2cc1=NC(=O)OCC(C)(C)C ZINC001194390659 1122772096 /nfs/dbraw/zinc/77/20/96/1122772096.db2.gz AEMOFOVMQBOCOI-UHFFFAOYSA-N 1 2 279.365 3.594 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CC[C@@H](c3ccccc3)C[C@H]2C)no1 ZINC000439332817 1122773054 /nfs/dbraw/zinc/77/30/54/1122773054.db2.gz SFTDMIKPRHPELV-GDBMZVCRSA-N 1 2 299.418 3.961 20 0 CHADLO CC(C)c1nc(C[N@H+]2CC[C@@H](c3ccccc3)C[C@H]2C)no1 ZINC000439332817 1122773060 /nfs/dbraw/zinc/77/30/60/1122773060.db2.gz SFTDMIKPRHPELV-GDBMZVCRSA-N 1 2 299.418 3.961 20 0 CHADLO Cc1cc(Cl)cc2nc(SCc3c[nH+]cn3C)oc21 ZINC000439528337 1122787685 /nfs/dbraw/zinc/78/76/85/1122787685.db2.gz LNYUEUMKTUYCKY-UHFFFAOYSA-N 1 2 293.779 3.815 20 0 CHADLO CCCc1csc(C[N@H+](C)[C@@H](C)c2cccc(O)c2)n1 ZINC000426407958 1122788300 /nfs/dbraw/zinc/78/83/00/1122788300.db2.gz AFZACMMTQDJAKB-LBPRGKRZSA-N 1 2 290.432 3.994 20 0 CHADLO CCCc1csc(C[N@@H+](C)[C@@H](C)c2cccc(O)c2)n1 ZINC000426407958 1122788303 /nfs/dbraw/zinc/78/83/03/1122788303.db2.gz AFZACMMTQDJAKB-LBPRGKRZSA-N 1 2 290.432 3.994 20 0 CHADLO CCC(CC)COC(=O)Nc1cccc2[nH+]c(C)cn21 ZINC001194677531 1122790860 /nfs/dbraw/zinc/79/08/60/1122790860.db2.gz GNPMYWIGSHZSRN-UHFFFAOYSA-N 1 2 275.352 3.627 20 0 CHADLO Clc1ccc2nncc(Nc3ccn4cc[nH+]c4c3)c2c1 ZINC001204966151 1122792999 /nfs/dbraw/zinc/79/29/99/1122792999.db2.gz OIHQEOOAQVFWRN-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO CC(C)CNc1cc[nH+]c2[nH]cc(C(F)(F)F)c21 ZINC001161648403 1122830543 /nfs/dbraw/zinc/83/05/43/1122830543.db2.gz GYXLGTVHDSBWPO-UHFFFAOYSA-N 1 2 257.259 3.650 20 0 CHADLO Cc1cccn2c(C[N@@H+](C)Cc3ccccc3Cl)cnc12 ZINC000506806082 1122833835 /nfs/dbraw/zinc/83/38/35/1122833835.db2.gz NSSUMXPKEFSTOY-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1cccn2c(C[N@H+](C)Cc3ccccc3Cl)cnc12 ZINC000506806082 1122833839 /nfs/dbraw/zinc/83/38/39/1122833839.db2.gz NSSUMXPKEFSTOY-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Cc1cccn2c(CN(C)Cc3ccccc3Cl)c[nH+]c12 ZINC000506806082 1122833845 /nfs/dbraw/zinc/83/38/45/1122833845.db2.gz NSSUMXPKEFSTOY-UHFFFAOYSA-N 1 2 299.805 3.928 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cccc3c1CCOC3)C2 ZINC001195020705 1122837311 /nfs/dbraw/zinc/83/73/11/1122837311.db2.gz BBYHDVBESRKAAN-UHFFFAOYSA-N 1 2 299.801 3.929 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cccc3c1CCOC3)C2 ZINC001195020705 1122837316 /nfs/dbraw/zinc/83/73/16/1122837316.db2.gz BBYHDVBESRKAAN-UHFFFAOYSA-N 1 2 299.801 3.929 20 0 CHADLO Cc1cc(N2CCC[C@]3(CC3(F)F)C2)nc(C2CCC2)[nH+]1 ZINC000894408343 1122844020 /nfs/dbraw/zinc/84/40/20/1122844020.db2.gz DZERFPCSSGTOQV-HNNXBMFYSA-N 1 2 293.361 3.678 20 0 CHADLO CSc1cc[nH+]c(NCC2(CC3CC3)CCOCC2)c1 ZINC001161651537 1122850134 /nfs/dbraw/zinc/85/01/34/1122850134.db2.gz BVOFVPVEWWWNGZ-UHFFFAOYSA-N 1 2 292.448 3.812 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3COC4(CCC4)C3)cc2)c1C ZINC000894571128 1122875459 /nfs/dbraw/zinc/87/54/59/1122875459.db2.gz LIPMPTLWAOBKPK-INIZCTEOSA-N 1 2 297.402 3.613 20 0 CHADLO Clc1cc2c(s1)CCC[C@H]2[NH2+]Cc1ccon1 ZINC000128606259 1122900507 /nfs/dbraw/zinc/90/05/07/1122900507.db2.gz FMCSYODSUGPVED-SNVBAGLBSA-N 1 2 268.769 3.557 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000519582887 1122910792 /nfs/dbraw/zinc/91/07/92/1122910792.db2.gz PPTAQAJLONGCAW-XHDPSFHLSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000519582887 1122910798 /nfs/dbraw/zinc/91/07/98/1122910798.db2.gz PPTAQAJLONGCAW-XHDPSFHLSA-N 1 2 283.362 3.773 20 0 CHADLO Clc1ccnc(C[NH+](C2CC2)C2CC2)c1Cl ZINC001196236308 1122914369 /nfs/dbraw/zinc/91/43/69/1122914369.db2.gz BFDUKEBMYJOYLJ-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1cccc(O)c1Cl ZINC000506979927 1122916963 /nfs/dbraw/zinc/91/69/63/1122916963.db2.gz XLWBGBRQCWMUBD-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1cccc(O)c1Cl ZINC000506979927 1122916966 /nfs/dbraw/zinc/91/69/66/1122916966.db2.gz XLWBGBRQCWMUBD-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO CCCCC[C@H](CC)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000775715158 1122922315 /nfs/dbraw/zinc/92/23/15/1122922315.db2.gz XQAHJNAQZDQLLW-HOTGVXAUSA-N 1 2 291.439 3.653 20 0 CHADLO CCc1cccc(F)c1C[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000353661006 1122927565 /nfs/dbraw/zinc/92/75/65/1122927565.db2.gz VWNYQYPVFKNGOQ-QGZVFWFLSA-N 1 2 289.350 3.555 20 0 CHADLO CCc1cccc(F)c1C[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000353661006 1122927567 /nfs/dbraw/zinc/92/75/67/1122927567.db2.gz VWNYQYPVFKNGOQ-QGZVFWFLSA-N 1 2 289.350 3.555 20 0 CHADLO CC(C)OCCC[N@H+](C)[C@H](CCc1ccccc1)C(F)F ZINC001196646745 1122928221 /nfs/dbraw/zinc/92/82/21/1122928221.db2.gz QPNDAINHYJRVFP-MRXNPFEDSA-N 1 2 299.405 4.000 20 0 CHADLO CC(C)OCCC[N@@H+](C)[C@H](CCc1ccccc1)C(F)F ZINC001196646745 1122928224 /nfs/dbraw/zinc/92/82/24/1122928224.db2.gz QPNDAINHYJRVFP-MRXNPFEDSA-N 1 2 299.405 4.000 20 0 CHADLO CN(C)c1cc(CN(c2ccccc2)C2CCC2)cc[nH+]1 ZINC001196869575 1122938048 /nfs/dbraw/zinc/93/80/48/1122938048.db2.gz NEBIUVFLUJFJSN-UHFFFAOYSA-N 1 2 281.403 3.707 20 0 CHADLO Cc1coc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)c1 ZINC000894835360 1122947561 /nfs/dbraw/zinc/94/75/61/1122947561.db2.gz STBXOUQUGLQLIE-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1coc(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)c1 ZINC000894835360 1122947565 /nfs/dbraw/zinc/94/75/65/1122947565.db2.gz STBXOUQUGLQLIE-HNNXBMFYSA-N 1 2 256.349 3.566 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+]Cc1ccn(C2CCCC2)n1 ZINC000507514189 1122962451 /nfs/dbraw/zinc/96/24/51/1122962451.db2.gz BKGFXJDGMJQTDV-AWEZNQCLSA-N 1 2 298.434 3.806 20 0 CHADLO C[C@]1(C(N)=O)CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000334223267 1122967536 /nfs/dbraw/zinc/96/75/36/1122967536.db2.gz ZJKOADKAWDWWFX-HNNXBMFYSA-N 1 2 289.766 3.640 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H](C(F)(F)F)C3(CCC3)C2)no1 ZINC000334201210 1122970062 /nfs/dbraw/zinc/97/00/62/1122970062.db2.gz NYVMUBPFFRYUNV-LBPRGKRZSA-N 1 2 288.313 3.538 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H](C(F)(F)F)C3(CCC3)C2)no1 ZINC000334201210 1122970063 /nfs/dbraw/zinc/97/00/63/1122970063.db2.gz NYVMUBPFFRYUNV-LBPRGKRZSA-N 1 2 288.313 3.538 20 0 CHADLO Cc1ccc(NC(=S)Nc2ccn3cc[nH+]c3c2)c(C)c1 ZINC001197971472 1122978039 /nfs/dbraw/zinc/97/80/39/1122978039.db2.gz MOORJNGKYBFOMY-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccc(Cl)s1)C(C)(C)C ZINC000507684474 1122985782 /nfs/dbraw/zinc/98/57/82/1122985782.db2.gz PFVVBAKUPUXLFW-UHFFFAOYSA-N 1 2 289.828 3.565 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccc(Cl)s1)C(C)(C)C ZINC000507684474 1122985784 /nfs/dbraw/zinc/98/57/84/1122985784.db2.gz PFVVBAKUPUXLFW-UHFFFAOYSA-N 1 2 289.828 3.565 20 0 CHADLO C[C@@H]1CC[C@H](C)C[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000154738469 1129092136 /nfs/dbraw/zinc/09/21/36/1129092136.db2.gz VJQJHZHRUATKOY-DTWKUNHWSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1CC[C@H](C)C[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000154738469 1129092140 /nfs/dbraw/zinc/09/21/40/1129092140.db2.gz VJQJHZHRUATKOY-DTWKUNHWSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@H+]1C/C(Cl)=C\Cl ZINC000794433577 1123007688 /nfs/dbraw/zinc/00/76/88/1123007688.db2.gz BODZZLCKRHIIGB-CYXIBPNKSA-N 1 2 279.598 3.837 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@@H+]1C/C(Cl)=C\Cl ZINC000794433577 1123007692 /nfs/dbraw/zinc/00/76/92/1123007692.db2.gz BODZZLCKRHIIGB-CYXIBPNKSA-N 1 2 279.598 3.837 20 0 CHADLO CC(C)c1ccc2c(c1)CC[N@@H+](Cc1ccncc1F)C2 ZINC001198844214 1123012237 /nfs/dbraw/zinc/01/22/37/1123012237.db2.gz GTPXFXVPCZLZCC-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO CC(C)c1ccc2c(c1)CC[N@H+](Cc1ccncc1F)C2 ZINC001198844214 1123012242 /nfs/dbraw/zinc/01/22/42/1123012242.db2.gz GTPXFXVPCZLZCC-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO Cc1nccnc1[C@H](C)[NH2+][C@H](C)c1ccc(F)cc1Cl ZINC000188036889 1123016062 /nfs/dbraw/zinc/01/60/62/1123016062.db2.gz GMVMOSZTRNTNFJ-KOLCDFICSA-N 1 2 293.773 3.989 20 0 CHADLO COc1cccc2c(N[C@H](C)[C@@H]3CCCCO3)cc[nH+]c12 ZINC000440664965 1123027045 /nfs/dbraw/zinc/02/70/45/1123027045.db2.gz MIMKLZLPDWGQMO-DOMZBBRYSA-N 1 2 286.375 3.613 20 0 CHADLO Fc1ccccc1-c1ccc(C[NH2+]Cc2nccs2)o1 ZINC001199564574 1123032787 /nfs/dbraw/zinc/03/27/87/1123032787.db2.gz XOCBIKZLPKMKGH-UHFFFAOYSA-N 1 2 288.347 3.832 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000440791001 1123041656 /nfs/dbraw/zinc/04/16/56/1123041656.db2.gz HLVDPRRKRODBKC-WBVHZDCISA-N 1 2 272.367 3.641 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCn2cccc2[C@@H]1c1ccccc1 ZINC000440791001 1123041661 /nfs/dbraw/zinc/04/16/61/1123041661.db2.gz HLVDPRRKRODBKC-WBVHZDCISA-N 1 2 272.367 3.641 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+][C@H](C)c1ccccc1F ZINC000164742811 1123050249 /nfs/dbraw/zinc/05/02/49/1123050249.db2.gz JVXGATIHGGUWLL-CYBMUJFWSA-N 1 2 275.371 3.529 20 0 CHADLO COC[C@@H]([NH2+]Cc1ccc(C)cc1Cl)c1ccco1 ZINC000520238529 1123066346 /nfs/dbraw/zinc/06/63/46/1123066346.db2.gz MZHPZNCXRVHYDJ-CQSZACIVSA-N 1 2 279.767 3.719 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3c4ccccc4oc3C2)cc1 ZINC001203958431 1123068433 /nfs/dbraw/zinc/06/84/33/1123068433.db2.gz YISPEVQCDNIISW-UHFFFAOYSA-N 1 2 293.366 4.000 20 0 CHADLO COc1ccc(C[N@H+]2CCc3c4ccccc4oc3C2)cc1 ZINC001203958431 1123068439 /nfs/dbraw/zinc/06/84/39/1123068439.db2.gz YISPEVQCDNIISW-UHFFFAOYSA-N 1 2 293.366 4.000 20 0 CHADLO Nc1ccc(Nc2cc(Oc3ccccc3)ccc2N)c[nH+]1 ZINC001201285270 1123080526 /nfs/dbraw/zinc/08/05/26/1123080526.db2.gz FQTMHBHXKBEOLI-UHFFFAOYSA-N 1 2 292.342 3.782 20 0 CHADLO Nc1ccc(Nc2cccc(Cc3ccccc3)c2)c[nH+]1 ZINC001201283757 1123081087 /nfs/dbraw/zinc/08/10/87/1123081087.db2.gz FUZDQKAQXIKVJF-UHFFFAOYSA-N 1 2 275.355 3.998 20 0 CHADLO Cc1cc(F)cc(Br)c1Nc1ccc(N)[nH+]c1 ZINC001201284114 1123081098 /nfs/dbraw/zinc/08/10/98/1123081098.db2.gz JZBQKYFSRVOBCP-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Nc1ccc(Nc2ccc(C(F)(F)F)cc2F)c[nH+]1 ZINC001201287181 1123082081 /nfs/dbraw/zinc/08/20/81/1123082081.db2.gz YCFQEUHZSGBWBC-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO CCCc1nc2ccc(Nc3ccc(N)[nH+]c3)cc2o1 ZINC001201287079 1123082137 /nfs/dbraw/zinc/08/21/37/1123082137.db2.gz RYEQGWRLVUDWQA-UHFFFAOYSA-N 1 2 268.320 3.501 20 0 CHADLO Nc1ccc(Nc2ccc3c(Cl)nccc3c2)c[nH+]1 ZINC001201292491 1123083721 /nfs/dbraw/zinc/08/37/21/1123083721.db2.gz YYRXVOWDKIXDQF-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Cc1cc(F)c(Br)c(Nc2ccc(N)[nH+]c2)c1 ZINC001201300186 1123085217 /nfs/dbraw/zinc/08/52/17/1123085217.db2.gz OLFIAUHIOYEBIC-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO CCc1cc(N[C@H](C)c2cc3cnccc3o2)nc(CC)[nH+]1 ZINC000895037899 1123103713 /nfs/dbraw/zinc/10/37/13/1123103713.db2.gz QDKHTARWNBXNGP-LLVKDONJSA-N 1 2 296.374 3.916 20 0 CHADLO Cc1c[nH]c(=O)c(Nc2ccc([NH2+]C(C)C)cc2)c1 ZINC001201488839 1123108502 /nfs/dbraw/zinc/10/85/02/1123108502.db2.gz JPJLSVUHUKKICN-UHFFFAOYSA-N 1 2 257.337 3.660 20 0 CHADLO CC(=O)c1ncccc1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201490511 1123108605 /nfs/dbraw/zinc/10/86/05/1123108605.db2.gz FQWUDMNEMYILLQ-UHFFFAOYSA-N 1 2 269.348 3.848 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2ccc(F)nc2F)cc1 ZINC001201491145 1123109203 /nfs/dbraw/zinc/10/92/03/1123109203.db2.gz NKNSESNLLBBYCG-UHFFFAOYSA-N 1 2 263.291 3.924 20 0 CHADLO CCOc1ncc(Cl)cc1Nc1cc(OC)c(C)c[nH+]1 ZINC001201508316 1123113020 /nfs/dbraw/zinc/11/30/20/1123113020.db2.gz IEZXKWXBVWFGLV-UHFFFAOYSA-N 1 2 293.754 3.589 20 0 CHADLO CC(C)[NH2+]c1ccc(N2CCc3cccc(N)c32)cc1 ZINC001201506228 1123113076 /nfs/dbraw/zinc/11/30/76/1123113076.db2.gz WHJFNPMOQDNNAT-UHFFFAOYSA-N 1 2 267.376 3.783 20 0 CHADLO CCOc1ncc(Cl)cc1Nc1ccn2cc[nH+]c2c1 ZINC001201508713 1123113182 /nfs/dbraw/zinc/11/31/82/1123113182.db2.gz LKGMDPBSFBNDNT-UHFFFAOYSA-N 1 2 288.738 3.525 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCCC[C@]1(C)C(N)=O ZINC000330449977 1123118407 /nfs/dbraw/zinc/11/84/07/1123118407.db2.gz XWPUFNONTQKOOP-OAHLLOKOSA-N 1 2 296.798 3.628 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCCC[C@]1(C)C(N)=O ZINC000330449977 1123118409 /nfs/dbraw/zinc/11/84/09/1123118409.db2.gz XWPUFNONTQKOOP-OAHLLOKOSA-N 1 2 296.798 3.628 20 0 CHADLO Cc1ccc(NCc2cc3ccc(F)cc3[nH]c2=O)c(C)[nH+]1 ZINC001201573404 1123126746 /nfs/dbraw/zinc/12/67/46/1123126746.db2.gz UUTNENVFKSOTSN-UHFFFAOYSA-N 1 2 297.333 3.703 20 0 CHADLO Oc1cncc(Nc2ccc([NH+]3CCCCC3)cc2)c1 ZINC001201639420 1123130840 /nfs/dbraw/zinc/13/08/40/1123130840.db2.gz BYUIRYZNYFMXDO-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO CCC[N@@H+]1CC[C@@H](Cc2ccccc2)C(F)(F)C1 ZINC001201652596 1123136222 /nfs/dbraw/zinc/13/62/22/1123136222.db2.gz RIGAZGZEOZCNCK-AWEZNQCLSA-N 1 2 253.336 3.596 20 0 CHADLO CCC[N@H+]1CC[C@@H](Cc2ccccc2)C(F)(F)C1 ZINC001201652596 1123136224 /nfs/dbraw/zinc/13/62/24/1123136224.db2.gz RIGAZGZEOZCNCK-AWEZNQCLSA-N 1 2 253.336 3.596 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cc(F)ccc3F)n2c1 ZINC001201664257 1123136648 /nfs/dbraw/zinc/13/66/48/1123136648.db2.gz LTQMXJJYBLZAOF-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO C=C/C=C\CC[N@@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC001307464526 1123138956 /nfs/dbraw/zinc/13/89/56/1123138956.db2.gz FHIGZKOLTLSIBO-QGZUEGPWSA-N 1 2 277.795 3.846 20 0 CHADLO C=C/C=C\CC[N@H+]1CCO[C@H](c2ccccc2Cl)C1 ZINC001307464526 1123138958 /nfs/dbraw/zinc/13/89/58/1123138958.db2.gz FHIGZKOLTLSIBO-QGZUEGPWSA-N 1 2 277.795 3.846 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)o1 ZINC000353929927 1123147925 /nfs/dbraw/zinc/14/79/25/1123147925.db2.gz FCAGSOOPGIXZBK-UWVGGRQHSA-N 1 2 266.291 3.673 20 0 CHADLO O=C(Nc1ccccc1)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001201955203 1123162182 /nfs/dbraw/zinc/16/21/82/1123162182.db2.gz WFIWWRWPPVHPEO-UHFFFAOYSA-N 1 2 292.342 3.575 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2cccc(Cl)c2)nn1C ZINC000487392888 1123162699 /nfs/dbraw/zinc/16/26/99/1123162699.db2.gz IRSTVKJOCALICW-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2cccc(Cl)c2)nn1C ZINC000487392888 1123162702 /nfs/dbraw/zinc/16/27/02/1123162702.db2.gz IRSTVKJOCALICW-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO CC[C@@H](CC(=O)Nc1ccc2[nH+]c(C)cn2c1)C(C)C ZINC000520507581 1123166593 /nfs/dbraw/zinc/16/65/93/1123166593.db2.gz HRVKEGQBEBLWNE-ZDUSSCGKSA-N 1 2 273.380 3.654 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1ccc2c(c1)C[C@@H](C)O2 ZINC001202031586 1123172147 /nfs/dbraw/zinc/17/21/47/1123172147.db2.gz MAYYBTWDVCAJLN-CYBMUJFWSA-N 1 2 298.386 3.804 20 0 CHADLO CCCCCC[C@H](C)NC(=O)C[N@H+](C)Cc1ccsc1 ZINC000726984702 1123173380 /nfs/dbraw/zinc/17/33/80/1123173380.db2.gz VLLWXOOZGBADBI-AWEZNQCLSA-N 1 2 296.480 3.655 20 0 CHADLO CCCCCC[C@H](C)NC(=O)C[N@@H+](C)Cc1ccsc1 ZINC000726984702 1123173382 /nfs/dbraw/zinc/17/33/82/1123173382.db2.gz VLLWXOOZGBADBI-AWEZNQCLSA-N 1 2 296.480 3.655 20 0 CHADLO CCOC1CC2(C[C@@H]2Nc2ccc3ccc(OC)cc3[nH+]2)C1 ZINC000895091021 1123188926 /nfs/dbraw/zinc/18/89/26/1123188926.db2.gz RFLUWKGXFLKWDV-HQVVEAJESA-N 1 2 298.386 3.613 20 0 CHADLO CCn1cncc1C[N@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000417700913 1123202116 /nfs/dbraw/zinc/20/21/16/1123202116.db2.gz LVASCBLXLZEZHX-SUMWQHHRSA-N 1 2 299.418 3.784 20 0 CHADLO CCn1cncc1C[N@@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000417700913 1123202118 /nfs/dbraw/zinc/20/21/18/1123202118.db2.gz LVASCBLXLZEZHX-SUMWQHHRSA-N 1 2 299.418 3.784 20 0 CHADLO C[C@@H]1C[C@@H](Nc2[nH+]ccc3cc(Cl)ccc32)CCO1 ZINC001161692059 1123202843 /nfs/dbraw/zinc/20/28/43/1123202843.db2.gz RRGZMEGHDSUULR-MFKMUULPSA-N 1 2 276.767 3.868 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc3c(n2)CCC3)[C@H]1c1ccncc1 ZINC000334518398 1123204784 /nfs/dbraw/zinc/20/47/84/1123204784.db2.gz QWPXXLYMHVDSQH-SFHVURJKSA-N 1 2 293.414 3.548 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc3c(n2)CCC3)[C@H]1c1ccncc1 ZINC000334518398 1123204786 /nfs/dbraw/zinc/20/47/86/1123204786.db2.gz QWPXXLYMHVDSQH-SFHVURJKSA-N 1 2 293.414 3.548 20 0 CHADLO C[N@@H+](Cc1cc(-c2ccco2)on1)Cc1cccs1 ZINC000053094673 1123221616 /nfs/dbraw/zinc/22/16/16/1123221616.db2.gz GRUIPMWYALULSK-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO C[N@H+](Cc1cc(-c2ccco2)on1)Cc1cccs1 ZINC000053094673 1123221618 /nfs/dbraw/zinc/22/16/18/1123221618.db2.gz GRUIPMWYALULSK-UHFFFAOYSA-N 1 2 274.345 3.628 20 0 CHADLO Cc1cc(NCc2c(C3CCC3)cnn2C)ccc1[NH+](C)C ZINC000850207677 1123246587 /nfs/dbraw/zinc/24/65/87/1123246587.db2.gz ZRKFZTMMQZLQQP-UHFFFAOYSA-N 1 2 298.434 3.674 20 0 CHADLO COCc1csc(CNc2ccc([NH+](C)C)c(C)c2)c1 ZINC000850207698 1123246806 /nfs/dbraw/zinc/24/68/06/1123246806.db2.gz ZVTFVFIPXCAHPC-UHFFFAOYSA-N 1 2 290.432 3.881 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)cc2Cl)cn1 ZINC000427820392 1123251672 /nfs/dbraw/zinc/25/16/72/1123251672.db2.gz NEXHPZMGJJIWAQ-QWRGUYRKSA-N 1 2 293.773 3.989 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)cc2Cl)cn1 ZINC000427820390 1123251787 /nfs/dbraw/zinc/25/17/87/1123251787.db2.gz NEXHPZMGJJIWAQ-GHMZBOCLSA-N 1 2 293.773 3.989 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2ccc(F)cc2Cl)cn1 ZINC000427820391 1123252030 /nfs/dbraw/zinc/25/20/30/1123252030.db2.gz NEXHPZMGJJIWAQ-MNOVXSKESA-N 1 2 293.773 3.989 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nccs1)c1cc2cnccc2o1 ZINC000850433621 1123266103 /nfs/dbraw/zinc/26/61/03/1123266103.db2.gz OWDRGHRJKFXHJJ-UWVGGRQHSA-N 1 2 273.361 3.696 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+][C@@H]3CCCC34CC4)cc2)CCO1 ZINC000850457154 1123270311 /nfs/dbraw/zinc/27/03/11/1123270311.db2.gz KMZJHHTVZLODMD-WMLDXEAASA-N 1 2 286.419 3.656 20 0 CHADLO C[C@@H](c1csnn1)[N@@H+]1CC[C@H](c2cccc(Cl)c2)C1 ZINC000334624498 1123279706 /nfs/dbraw/zinc/27/97/06/1123279706.db2.gz VEANMOAFZFKSNU-JQWIXIFHSA-N 1 2 293.823 3.742 20 0 CHADLO C[C@@H](c1csnn1)[N@H+]1CC[C@H](c2cccc(Cl)c2)C1 ZINC000334624498 1123279709 /nfs/dbraw/zinc/27/97/09/1123279709.db2.gz VEANMOAFZFKSNU-JQWIXIFHSA-N 1 2 293.823 3.742 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1oc(C(C)C)nc1C ZINC000428221754 1123283161 /nfs/dbraw/zinc/28/31/61/1123283161.db2.gz VIADZHRQTATJLJ-UHFFFAOYSA-N 1 2 288.391 3.747 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1oc(C(C)C)nc1C ZINC000428221754 1123283164 /nfs/dbraw/zinc/28/31/64/1123283164.db2.gz VIADZHRQTATJLJ-UHFFFAOYSA-N 1 2 288.391 3.747 20 0 CHADLO CCCc1csc(C[NH2+][C@@H](C)c2cnn(C(C)C)c2)n1 ZINC000520933452 1123295951 /nfs/dbraw/zinc/29/59/51/1123295951.db2.gz USPRQZMDISMKRE-LBPRGKRZSA-N 1 2 292.452 3.724 20 0 CHADLO c1cn(-c2ccc(-c3nc(-c4ccsc4)no3)cc2)c[nH+]1 ZINC000109477921 1123297243 /nfs/dbraw/zinc/29/72/43/1123297243.db2.gz XLZHHDQPOJURTH-UHFFFAOYSA-N 1 2 294.339 3.651 20 0 CHADLO Cc1ccccc1C1CC[NH+](Cc2nnc(C(C)C)[nH]2)CC1 ZINC000428241018 1123298627 /nfs/dbraw/zinc/29/86/27/1123298627.db2.gz JRFHEKVOBGNDNV-UHFFFAOYSA-N 1 2 298.434 3.616 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2c1c(F)ccc2F)c1nccs1 ZINC000335186517 1123325079 /nfs/dbraw/zinc/32/50/79/1123325079.db2.gz JYOFVZTUMXCIOT-QPUJVOFHSA-N 1 2 280.343 3.759 20 0 CHADLO CCc1c2ccccc2oc1[C@H](C)[NH2+][C@H](C)c1nncn1C ZINC000271886375 1129115949 /nfs/dbraw/zinc/11/59/49/1129115949.db2.gz QTJOEJQFKNQPQF-NWDGAFQWSA-N 1 2 298.390 3.536 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000335384417 1123367453 /nfs/dbraw/zinc/36/74/53/1123367453.db2.gz PUMKZAFRVYSHLD-GZMMTYOYSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H](C(F)(F)F)[C@@H]2C)cs1 ZINC000335384417 1123367456 /nfs/dbraw/zinc/36/74/56/1123367456.db2.gz PUMKZAFRVYSHLD-GZMMTYOYSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H]3CCCC[C@@H]32)c(C)[nH+]1 ZINC000335448947 1123370217 /nfs/dbraw/zinc/37/02/17/1123370217.db2.gz IMQSMRAXFUFBOK-GJZGRUSLSA-N 1 2 287.407 3.803 20 0 CHADLO Cc1ccc(C)c(NC(=O)NCc2cc(C)[nH+]c(C)c2)c1 ZINC000335524746 1123375234 /nfs/dbraw/zinc/37/52/34/1123375234.db2.gz LSXWBZRTYRHUHT-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO ClC(Cl)=CC[N@@H+]1CCCO[C@@H](c2ccccc2)C1 ZINC000851753090 1123379248 /nfs/dbraw/zinc/37/92/48/1123379248.db2.gz MWKYSQHOYGNKMN-CYBMUJFWSA-N 1 2 286.202 3.769 20 0 CHADLO ClC(Cl)=CC[N@H+]1CCCO[C@@H](c2ccccc2)C1 ZINC000851753090 1123379251 /nfs/dbraw/zinc/37/92/51/1123379251.db2.gz MWKYSQHOYGNKMN-CYBMUJFWSA-N 1 2 286.202 3.769 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC(C)(C)[C@@H]2C2CC2)c(C)[nH+]1 ZINC000335591370 1123380816 /nfs/dbraw/zinc/38/08/16/1123380816.db2.gz VKGUHKNFIIRXAE-HNNXBMFYSA-N 1 2 287.407 3.659 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2Cc3ccccc3C3(CC3)C2)o1 ZINC000335680140 1123395056 /nfs/dbraw/zinc/39/50/56/1123395056.db2.gz AKMNPDZBXKOQFY-ZDUSSCGKSA-N 1 2 268.360 3.591 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2Cc3ccccc3C3(CC3)C2)o1 ZINC000335680140 1123395060 /nfs/dbraw/zinc/39/50/60/1123395060.db2.gz AKMNPDZBXKOQFY-ZDUSSCGKSA-N 1 2 268.360 3.591 20 0 CHADLO Cc1cc(F)cc(C[N@@H+]2CCC[C@H]2c2nccs2)c1 ZINC001143590586 1123404360 /nfs/dbraw/zinc/40/43/60/1123404360.db2.gz ZQDQHSOVQYSOIA-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cc(F)cc(C[N@H+]2CCC[C@H]2c2nccs2)c1 ZINC001143590586 1123404362 /nfs/dbraw/zinc/40/43/62/1123404362.db2.gz ZQDQHSOVQYSOIA-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO C[N@H+](Cc1cc[nH]n1)[C@@H]1CCc2c1cc(Cl)cc2Cl ZINC000443633960 1123450390 /nfs/dbraw/zinc/45/03/90/1123450390.db2.gz WRTYRZIBATUHMV-CQSZACIVSA-N 1 2 296.201 3.836 20 0 CHADLO C[N@@H+](Cc1cc[nH]n1)[C@@H]1CCc2c1cc(Cl)cc2Cl ZINC000443633960 1123450391 /nfs/dbraw/zinc/45/03/91/1123450391.db2.gz WRTYRZIBATUHMV-CQSZACIVSA-N 1 2 296.201 3.836 20 0 CHADLO Cc1nc(CNc2c[nH+]cc3c2CCCC3)sc1C ZINC000895307232 1123450684 /nfs/dbraw/zinc/45/06/84/1123450684.db2.gz BFIJOJHYOBSJCP-UHFFFAOYSA-N 1 2 273.405 3.646 20 0 CHADLO CC(C)c1cc(N[C@H]2COC3(CCC3)C2)nc(C(C)C)[nH+]1 ZINC000853827007 1123458263 /nfs/dbraw/zinc/45/82/63/1123458263.db2.gz HHEQQIOXKCGZFM-CYBMUJFWSA-N 1 2 289.423 3.847 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(Cl)cc2)s1 ZINC000273165225 1129123545 /nfs/dbraw/zinc/12/35/45/1129123545.db2.gz BWOAZEIGFHNWBW-BDAKNGLRSA-N 1 2 281.812 3.912 20 0 CHADLO Cc1cc(C[N@H+]2CC=C(c3ccccc3Cl)CC2)ncn1 ZINC000428290460 1123478471 /nfs/dbraw/zinc/47/84/71/1123478471.db2.gz MMVCAKXFYOQDQV-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1cc(C[N@@H+]2CC=C(c3ccccc3Cl)CC2)ncn1 ZINC000428290460 1123478473 /nfs/dbraw/zinc/47/84/73/1123478473.db2.gz MMVCAKXFYOQDQV-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO CCc1ccc(N(CC)C(=O)c2cccc3[nH+]ccn32)cc1 ZINC000776804054 1123514668 /nfs/dbraw/zinc/51/46/68/1123514668.db2.gz BIFZZFMJOIGJHM-UHFFFAOYSA-N 1 2 293.370 3.563 20 0 CHADLO Cc1cnc(CNc2ccc3[nH+]c(C)cc(C)c3c2)o1 ZINC000895879666 1123524216 /nfs/dbraw/zinc/52/42/16/1123524216.db2.gz TYYMMIAWQPVWBY-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)Cc2cscn2)cc1 ZINC000053094972 1123524944 /nfs/dbraw/zinc/52/49/44/1123524944.db2.gz SYMZQQRMMLUWHL-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)Cc2cscn2)cc1 ZINC000053094972 1123524948 /nfs/dbraw/zinc/52/49/48/1123524948.db2.gz SYMZQQRMMLUWHL-UHFFFAOYSA-N 1 2 260.406 3.899 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1cnc(Cl)s1)CC2 ZINC000776857295 1123544507 /nfs/dbraw/zinc/54/45/07/1123544507.db2.gz RZZNWPHMRYVCKN-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1cnc(Cl)s1)CC2 ZINC000776857295 1123544511 /nfs/dbraw/zinc/54/45/11/1123544511.db2.gz RZZNWPHMRYVCKN-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO C(=C/c1ccccc1)\C[N@@H+]1CCO[C@@H](c2cccs2)C1 ZINC000157508966 1129128653 /nfs/dbraw/zinc/12/86/53/1129128653.db2.gz CZBZQQOPCFDBLG-KZJSRBBCSA-N 1 2 285.412 3.835 20 0 CHADLO C(=C/c1ccccc1)\C[N@H+]1CCO[C@@H](c2cccs2)C1 ZINC000157508966 1129128655 /nfs/dbraw/zinc/12/86/55/1129128655.db2.gz CZBZQQOPCFDBLG-KZJSRBBCSA-N 1 2 285.412 3.835 20 0 CHADLO CC(C)N(C(=O)c1cccc2[nH+]ccn21)C1CCCCC1 ZINC000776873133 1123545859 /nfs/dbraw/zinc/54/58/59/1123545859.db2.gz LDEMPNTYMSEXMM-UHFFFAOYSA-N 1 2 285.391 3.518 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@H+]1Cc1cncc(Cl)c1 ZINC000856731611 1123566302 /nfs/dbraw/zinc/56/63/02/1123566302.db2.gz QEBPXXAMTZHCKT-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO C[C@H]1c2ccc(Cl)n2CC[N@@H+]1Cc1cncc(Cl)c1 ZINC000856731611 1123566310 /nfs/dbraw/zinc/56/63/10/1123566310.db2.gz QEBPXXAMTZHCKT-JTQLQIEISA-N 1 2 296.201 3.767 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nnc(C)s1)c1ccccc1F ZINC000274278277 1129130132 /nfs/dbraw/zinc/13/01/32/1129130132.db2.gz XYDIAZPPYLSCIE-RNCFNFMXSA-N 1 2 279.384 3.788 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+][C@@H](C)c1nnc(C)s1 ZINC000274263704 1129130312 /nfs/dbraw/zinc/13/03/12/1129130312.db2.gz VISQSYWHFRDBCW-MNOVXSKESA-N 1 2 291.420 3.575 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2cn(C)nc2Cl)cc1 ZINC000857012321 1123577555 /nfs/dbraw/zinc/57/75/55/1123577555.db2.gz DUOUFKWJICIYEQ-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2cn(C)nc2Cl)cc1 ZINC000857012321 1123577559 /nfs/dbraw/zinc/57/75/59/1123577559.db2.gz DUOUFKWJICIYEQ-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](Cc1cn(C)nc1Cl)C1CC1 ZINC000857012826 1123578163 /nfs/dbraw/zinc/57/81/63/1123578163.db2.gz VLKQRFLBNUGSDE-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](Cc1cn(C)nc1Cl)C1CC1 ZINC000857012826 1123578167 /nfs/dbraw/zinc/57/81/67/1123578167.db2.gz VLKQRFLBNUGSDE-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1cn(C)nc1Cl ZINC000857018288 1123578799 /nfs/dbraw/zinc/57/87/99/1123578799.db2.gz KYUYCTVMQBWFQQ-LBPRGKRZSA-N 1 2 295.839 3.644 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1cn(C)nc1Cl ZINC000857018288 1123578805 /nfs/dbraw/zinc/57/88/05/1123578805.db2.gz KYUYCTVMQBWFQQ-LBPRGKRZSA-N 1 2 295.839 3.644 20 0 CHADLO CC1(c2ccccc2)C[NH+](Cc2ccc(Cl)nc2)C1 ZINC000857058125 1123582283 /nfs/dbraw/zinc/58/22/83/1123582283.db2.gz IQVWHWIURDKBEI-UHFFFAOYSA-N 1 2 272.779 3.509 20 0 CHADLO COc1ccc([C@H](Nc2cc(CO)cc[nH+]2)C2CCC2)cc1 ZINC000896423142 1123582828 /nfs/dbraw/zinc/58/28/28/1123582828.db2.gz GYKWRYFIBILDNB-GOSISDBHSA-N 1 2 298.386 3.536 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2oc3ccccc3c2CC)[nH]1 ZINC000274596517 1129132102 /nfs/dbraw/zinc/13/21/02/1129132102.db2.gz JLXLOSMQUCQYNS-NSHDSACASA-N 1 2 298.390 3.527 20 0 CHADLO Cc1cc(NC(=O)COc2cccc3ccccc32)cc[nH+]1 ZINC000017820705 1123597091 /nfs/dbraw/zinc/59/70/91/1123597091.db2.gz YUZTVQOMTLNLEZ-UHFFFAOYSA-N 1 2 292.338 3.561 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2Cc3ccccc3N(C)C[C@@H]2C)o1 ZINC000857564533 1123607326 /nfs/dbraw/zinc/60/73/26/1123607326.db2.gz CRBBSFIUOBQWDC-AWEZNQCLSA-N 1 2 299.418 3.639 20 0 CHADLO CC(C)c1cnc(C[N@H+]2Cc3ccccc3N(C)C[C@@H]2C)o1 ZINC000857564533 1123607329 /nfs/dbraw/zinc/60/73/29/1123607329.db2.gz CRBBSFIUOBQWDC-AWEZNQCLSA-N 1 2 299.418 3.639 20 0 CHADLO C/C=C(/C)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000081711537 1129137809 /nfs/dbraw/zinc/13/78/09/1129137809.db2.gz FRUYVSIPVTVOJB-YHYXMXQVSA-N 1 2 269.348 3.598 20 0 CHADLO CC=C(C)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000081711537 1129137810 /nfs/dbraw/zinc/13/78/10/1129137810.db2.gz FRUYVSIPVTVOJB-YHYXMXQVSA-N 1 2 269.348 3.598 20 0 CHADLO Clc1cccc(NCc2cccc3[nH+]ccn32)c1 ZINC001648968967 1123626992 /nfs/dbraw/zinc/62/69/92/1123626992.db2.gz JQGCETCNIZCFQE-UHFFFAOYSA-N 1 2 257.724 3.600 20 0 CHADLO Fc1ccc2c(c1)[nH]cc2C1=CC[N@H+](Cc2cocn2)CC1 ZINC000191933825 1123631938 /nfs/dbraw/zinc/63/19/38/1123631938.db2.gz JPGCLNHAHRZXLC-UHFFFAOYSA-N 1 2 297.333 3.584 20 0 CHADLO Fc1ccc2c(c1)[nH]cc2C1=CC[N@@H+](Cc2cocn2)CC1 ZINC000191933825 1123631942 /nfs/dbraw/zinc/63/19/42/1123631942.db2.gz JPGCLNHAHRZXLC-UHFFFAOYSA-N 1 2 297.333 3.584 20 0 CHADLO CCC(C)(C)c1nc(Cc2ccc(-n3cc[nH+]c3)cc2)no1 ZINC000896823177 1123633311 /nfs/dbraw/zinc/63/33/11/1123633311.db2.gz KIVKVMKFVGRHAA-UHFFFAOYSA-N 1 2 296.374 3.534 20 0 CHADLO CCCOc1ccc(NCc2c[nH+]cn2CC)cc1C ZINC000858309790 1123643384 /nfs/dbraw/zinc/64/33/84/1123643384.db2.gz YLLLNUVHOXCZFS-UHFFFAOYSA-N 1 2 273.380 3.612 20 0 CHADLO Fc1cc(C[NH2+]C2(c3c(F)cccc3F)CCC2)ccn1 ZINC000858343208 1123646318 /nfs/dbraw/zinc/64/63/18/1123646318.db2.gz ZENFMFMKUUKELT-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO C[C@@H](CCC(C)(C)C)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000346191713 1123670978 /nfs/dbraw/zinc/67/09/78/1123670978.db2.gz LFELFBZARPVNTR-AWEZNQCLSA-N 1 2 299.418 3.817 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@@H](C)[C@@H]2c2ccccc2)s1 ZINC000346204159 1123671939 /nfs/dbraw/zinc/67/19/39/1123671939.db2.gz PBWBOUHQHHSRMH-MLGOLLRUSA-N 1 2 272.417 3.899 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@@H](C)[C@@H]2c2ccccc2)s1 ZINC000346204159 1123671942 /nfs/dbraw/zinc/67/19/42/1123671942.db2.gz PBWBOUHQHHSRMH-MLGOLLRUSA-N 1 2 272.417 3.899 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1Cc1occc1C ZINC000281236239 1123690423 /nfs/dbraw/zinc/69/04/23/1123690423.db2.gz VYGLUSRIKBEMKK-AWEZNQCLSA-N 1 2 273.380 3.532 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1Cc1occc1C ZINC000281236239 1123690424 /nfs/dbraw/zinc/69/04/24/1123690424.db2.gz VYGLUSRIKBEMKK-AWEZNQCLSA-N 1 2 273.380 3.532 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(Cl)n(C)n1)c1cc(F)ccc1F ZINC000859234927 1123692139 /nfs/dbraw/zinc/69/21/39/1123692139.db2.gz DGCGZQCAUJZGKI-CYBMUJFWSA-N 1 2 299.752 3.593 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(F)cn1)c1nc(C(C)(C)C)cs1 ZINC000346589947 1123696213 /nfs/dbraw/zinc/69/62/13/1123696213.db2.gz XLDAOSOQXQRDMJ-JTQLQIEISA-N 1 2 293.411 3.826 20 0 CHADLO Clc1ccc(Cl)c(C[NH+](C2CC2)C2CC2)n1 ZINC000859626881 1123703968 /nfs/dbraw/zinc/70/39/68/1123703968.db2.gz HCPYUFGSNSMTNN-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1cncc(C(F)(F)F)c1 ZINC000859838175 1123713518 /nfs/dbraw/zinc/71/35/18/1123713518.db2.gz NOXIAKSYXOIZFI-VIFPVBQESA-N 1 2 294.267 3.720 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1cncc(C(F)(F)F)c1 ZINC000859838175 1123713523 /nfs/dbraw/zinc/71/35/23/1123713523.db2.gz NOXIAKSYXOIZFI-VIFPVBQESA-N 1 2 294.267 3.720 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nnc(C(C)C)s2)cc1Cl ZINC000443989251 1123718948 /nfs/dbraw/zinc/71/89/48/1123718948.db2.gz OANUSTMOQIEQDP-UHFFFAOYSA-N 1 2 295.839 3.913 20 0 CHADLO O=C(/C=C/c1[nH]cc[nH+]1)c1cc(Cl)ccc1Cl ZINC000082100968 1129140778 /nfs/dbraw/zinc/14/07/78/1129140778.db2.gz WAXULUAELQFPIK-ONEGZZNKSA-N 1 2 267.115 3.613 20 0 CHADLO Clc1ccc2c(c1Cl)CC[C@H]2[NH2+]Cc1cocn1 ZINC000346886200 1123728152 /nfs/dbraw/zinc/72/81/52/1123728152.db2.gz OHXLZRZOFNZMQT-GFCCVEGCSA-N 1 2 283.158 3.759 20 0 CHADLO Cc1c(F)nccc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000862386941 1123805764 /nfs/dbraw/zinc/80/57/64/1123805764.db2.gz DZORPSKYUBTPPS-OAHLLOKOSA-N 1 2 286.350 3.849 20 0 CHADLO Cc1c(F)nccc1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000862386941 1123805767 /nfs/dbraw/zinc/80/57/67/1123805767.db2.gz DZORPSKYUBTPPS-OAHLLOKOSA-N 1 2 286.350 3.849 20 0 CHADLO c1cc(Oc2ccccc2)c(C[N@@H+]2C[C@@H]3C[C@H]2CO3)s1 ZINC001142001428 1123823507 /nfs/dbraw/zinc/82/35/07/1123823507.db2.gz PHBWULVRCXAOSA-JSGCOSHPSA-N 1 2 287.384 3.514 20 0 CHADLO c1cc(Oc2ccccc2)c(C[N@H+]2C[C@@H]3C[C@H]2CO3)s1 ZINC001142001428 1123823513 /nfs/dbraw/zinc/82/35/13/1123823513.db2.gz PHBWULVRCXAOSA-JSGCOSHPSA-N 1 2 287.384 3.514 20 0 CHADLO Fc1cc(Br)c(C[NH+]2CCCCC2)cc1F ZINC001142003716 1123828068 /nfs/dbraw/zinc/82/80/68/1123828068.db2.gz WKOJZXRENRMGOA-UHFFFAOYSA-N 1 2 290.151 3.713 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2c(F)cc(C)cc2F)o1 ZINC000897212853 1123846716 /nfs/dbraw/zinc/84/67/16/1123846716.db2.gz LPLFFLDJLKFEJS-UHFFFAOYSA-N 1 2 281.302 3.555 20 0 CHADLO Cc1cccnc1[C@@H](Nc1cc[nH+]c(C)n1)C(C)(C)C ZINC000897228342 1123851193 /nfs/dbraw/zinc/85/11/93/1123851193.db2.gz KCEJGVBILUUDIP-OAHLLOKOSA-N 1 2 270.380 3.688 20 0 CHADLO FC(F)(Cl)CCSc1[nH+]cc2ccccn21 ZINC000863260308 1123856498 /nfs/dbraw/zinc/85/64/98/1123856498.db2.gz HQJRITSHLFQFKZ-UHFFFAOYSA-N 1 2 262.712 3.648 20 0 CHADLO CN(Cc1ccc(C(F)(F)F)cc1)c1cccc[nH+]1 ZINC000301057770 1123870948 /nfs/dbraw/zinc/87/09/48/1123870948.db2.gz ODBXLGCABZDBTQ-UHFFFAOYSA-N 1 2 266.266 3.737 20 0 CHADLO Cc1cc(Nc2ccc([NH+]3CCC(C)CC3)cc2)ncn1 ZINC000301247943 1123884811 /nfs/dbraw/zinc/88/48/11/1123884811.db2.gz ORTUQTYXJSERMF-UHFFFAOYSA-N 1 2 282.391 3.765 20 0 CHADLO C[C@@]1(c2ccccc2)CCCN(c2cccc[nH+]2)C1 ZINC000301289252 1123888156 /nfs/dbraw/zinc/88/81/56/1123888156.db2.gz PKMNPXCQPPRNMD-QGZVFWFLSA-N 1 2 252.361 3.640 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc3c(c2)C[C@H](C)O3)n1C ZINC000863973531 1123905027 /nfs/dbraw/zinc/90/50/27/1123905027.db2.gz NGGONCQNQOLYII-NSHDSACASA-N 1 2 256.349 3.534 20 0 CHADLO Clc1cnc(Nc2ccc([NH+]3CCCCC3)cc2)nc1 ZINC000301498735 1123905840 /nfs/dbraw/zinc/90/58/40/1123905840.db2.gz VTUZDNHOBPFIJW-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1nnc(C)o1 ZINC000621280644 1129157859 /nfs/dbraw/zinc/15/78/59/1129157859.db2.gz QRSFNCZNSBKTBT-ZDUSSCGKSA-N 1 2 279.771 3.615 20 0 CHADLO c1ccc(NCCCO[C@H]2CCCc3ccccc32)[nH+]c1 ZINC000301554624 1123911634 /nfs/dbraw/zinc/91/16/34/1123911634.db2.gz RQWZTVGXTBLLJO-KRWDZBQOSA-N 1 2 282.387 3.978 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1nnc(C)o1 ZINC000621280644 1129157864 /nfs/dbraw/zinc/15/78/64/1129157864.db2.gz QRSFNCZNSBKTBT-ZDUSSCGKSA-N 1 2 279.771 3.615 20 0 CHADLO Cc1ccc(CNc2cccc[nH+]2)c(OC(C)C)c1 ZINC000301570319 1123913860 /nfs/dbraw/zinc/91/38/60/1123913860.db2.gz NYWFXUDDEJZKIV-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO Cc1nc(N2CCC(c3ccc(F)cc3)CC2)c(C)c(C)[nH+]1 ZINC000301637545 1123919845 /nfs/dbraw/zinc/91/98/45/1123919845.db2.gz AIRWRYHLEYHGDQ-UHFFFAOYSA-N 1 2 299.393 3.925 20 0 CHADLO Cc1nc(N2CCC[C@@]3(CC=CCC3)C2)c(C)c(C)[nH+]1 ZINC000301681148 1123923280 /nfs/dbraw/zinc/92/32/80/1123923280.db2.gz JREMEXHKPJYSNB-KRWDZBQOSA-N 1 2 271.408 3.729 20 0 CHADLO Cc1ccccc1[C@@H](O)CNc1[nH+]c2ccccc2cc1C ZINC000301715886 1123926597 /nfs/dbraw/zinc/92/65/97/1123926597.db2.gz KAIYNHNLIWXJNF-SFHVURJKSA-N 1 2 292.382 3.997 20 0 CHADLO Cc1ccccc1[C@H](O)CNc1[nH+]c2ccccc2cc1C ZINC000301715885 1123926978 /nfs/dbraw/zinc/92/69/78/1123926978.db2.gz KAIYNHNLIWXJNF-GOSISDBHSA-N 1 2 292.382 3.997 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@](C)(O)c1ccsc1 ZINC000301742150 1123929434 /nfs/dbraw/zinc/92/94/34/1123929434.db2.gz YMCIBPDCUFHUOM-KRWDZBQOSA-N 1 2 298.411 3.924 20 0 CHADLO CC1(C)CN(c2cccc[nH+]2)C[C@@H]1c1ccccc1 ZINC000301750801 1123929961 /nfs/dbraw/zinc/92/99/61/1123929961.db2.gz UOBCAAZAZLEXQL-OAHLLOKOSA-N 1 2 252.361 3.712 20 0 CHADLO CC1CC[NH+](c2ccc(Nc3ncc(F)cn3)cc2)CC1 ZINC000301774176 1123931582 /nfs/dbraw/zinc/93/15/82/1123931582.db2.gz KOFKGHGCTSWEAO-UHFFFAOYSA-N 1 2 286.354 3.596 20 0 CHADLO CC[C@@H](COC)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301857287 1123937978 /nfs/dbraw/zinc/93/79/78/1123937978.db2.gz IZZPLFUZFVAVOZ-LBPRGKRZSA-N 1 2 265.401 3.560 20 0 CHADLO Cc1ccc([C@H](C)Nc2nc(N)c3ccccc3[nH+]2)s1 ZINC000301884364 1123940722 /nfs/dbraw/zinc/94/07/22/1123940722.db2.gz NWGNODJWJDDDDT-JTQLQIEISA-N 1 2 284.388 3.755 20 0 CHADLO Nc1nc(N2CCC[C@]3(CC=CCC3)C2)[nH+]c2ccccc12 ZINC000301912429 1123943344 /nfs/dbraw/zinc/94/33/44/1123943344.db2.gz TXGXBVKBSQZRBW-GOSISDBHSA-N 1 2 294.402 3.539 20 0 CHADLO C[C@H](CNc1nc(N)c2ccccc2[nH+]1)Cc1cccs1 ZINC000301912425 1123943467 /nfs/dbraw/zinc/94/34/67/1123943467.db2.gz TWTBLVBNPXGDIB-NSHDSACASA-N 1 2 298.415 3.564 20 0 CHADLO Cc1nsc(Nc2ccc([NH+]3CCC(C)CC3)cc2)n1 ZINC000301929582 1123944197 /nfs/dbraw/zinc/94/41/97/1123944197.db2.gz LZPMENKBEHCEHO-UHFFFAOYSA-N 1 2 288.420 3.826 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cc(C)oc2C)cs1 ZINC000120295695 1123951365 /nfs/dbraw/zinc/95/13/65/1123951365.db2.gz KUPJYRCVKZOFCJ-SNVBAGLBSA-N 1 2 264.394 3.766 20 0 CHADLO CC[C@@H](Nc1nc(C)[nH+]c2c1CCCC2)c1nccs1 ZINC000302053694 1123952019 /nfs/dbraw/zinc/95/20/19/1123952019.db2.gz PJQLJWHBDLXPGZ-GFCCVEGCSA-N 1 2 288.420 3.684 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2cccc(C)c2)nc(C)[nH+]1 ZINC000302062634 1123953288 /nfs/dbraw/zinc/95/32/88/1123953288.db2.gz UMXQEXGDMTWPPX-KRWDZBQOSA-N 1 2 281.403 3.997 20 0 CHADLO COc1cc(C)cc(CNc2nc(C)[nH+]c3c2CCCC3)c1 ZINC000302096913 1123955017 /nfs/dbraw/zinc/95/50/17/1123955017.db2.gz OKYCJTUHAFMFBU-UHFFFAOYSA-N 1 2 297.402 3.593 20 0 CHADLO COc1cccc2c(NCc3csc(C)n3)cc[nH+]c12 ZINC000302386710 1123967822 /nfs/dbraw/zinc/96/78/22/1123967822.db2.gz AUASXOBOFZOHOY-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO COc1cccc2c(N[C@H](C)c3ccccn3)cc[nH+]c12 ZINC000302433746 1123968559 /nfs/dbraw/zinc/96/85/59/1123968559.db2.gz LWLIUGUVDCPGRO-GFCCVEGCSA-N 1 2 279.343 3.812 20 0 CHADLO CC(C)(C)c1cn(Cc2csc(-c3ccoc3)n2)c[nH+]1 ZINC000865376102 1123976362 /nfs/dbraw/zinc/97/63/62/1123976362.db2.gz ZHHUEZFOYALBNX-UHFFFAOYSA-N 1 2 287.388 3.945 20 0 CHADLO CC(C)(C)c1cn(Cc2ncc(-c3cccs3)o2)c[nH+]1 ZINC000865375744 1123976703 /nfs/dbraw/zinc/97/67/03/1123976703.db2.gz MNEQRJKUNRUKCK-UHFFFAOYSA-N 1 2 287.388 3.945 20 0 CHADLO CC(C)(C)c1cn(C[C@@H]2CCC3(CCCC3)O2)c[nH+]1 ZINC000865376406 1123977697 /nfs/dbraw/zinc/97/76/97/1123977697.db2.gz MBHDDBLZOBPSMG-ZDUSSCGKSA-N 1 2 262.397 3.672 20 0 CHADLO COCC[C@H]([NH2+]Cc1oc(C(C)C)nc1C)c1ccco1 ZINC000428348895 1123979020 /nfs/dbraw/zinc/97/90/20/1123979020.db2.gz AERXRYVKSRIXQU-ZDUSSCGKSA-N 1 2 292.379 3.567 20 0 CHADLO Cc1ccc2c(c1)CCN(c1cc(C)[nH+]c(C(C)C)n1)C2 ZINC000302733253 1123981011 /nfs/dbraw/zinc/98/10/11/1123981011.db2.gz VCZWDGGRFRZAOK-UHFFFAOYSA-N 1 2 281.403 3.780 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cnc(Cl)c(F)c1 ZINC000865422604 1123983445 /nfs/dbraw/zinc/98/34/45/1123983445.db2.gz RJZLOSAZGYPKKN-UHFFFAOYSA-N 1 2 293.773 3.861 20 0 CHADLO COC[C@@H](Nc1cccc[nH+]1)c1ccc(Cl)cc1 ZINC000302851524 1123987044 /nfs/dbraw/zinc/98/70/44/1123987044.db2.gz IZKYOHIGAFQZSO-CYBMUJFWSA-N 1 2 262.740 3.535 20 0 CHADLO CC[C@H]([NH2+]Cc1cn(C2CC2)cn1)c1cc(F)ccc1F ZINC000865473431 1123987544 /nfs/dbraw/zinc/98/75/44/1123987544.db2.gz NJVDRQOGZDEVGL-INIZCTEOSA-N 1 2 291.345 3.737 20 0 CHADLO Clc1cnn(C[N@@H+]2CCC[C@@H]2/C=C/c2ccccc2)c1 ZINC000779890543 1129159712 /nfs/dbraw/zinc/15/97/12/1129159712.db2.gz WVEZNMGSXFTREM-ROJDOSBLSA-N 1 2 287.794 3.672 20 0 CHADLO Clc1cnn(C[N@H+]2CCC[C@@H]2/C=C/c2ccccc2)c1 ZINC000779890543 1129159716 /nfs/dbraw/zinc/15/97/16/1129159716.db2.gz WVEZNMGSXFTREM-ROJDOSBLSA-N 1 2 287.794 3.672 20 0 CHADLO Cc1cc(C)c(C[NH2+]Cc2c(Cl)cncc2Cl)cn1 ZINC000865593024 1123997338 /nfs/dbraw/zinc/99/73/38/1123997338.db2.gz GAVYYVBNENKEOU-UHFFFAOYSA-N 1 2 296.201 3.690 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@@H](C)c2ccc(C(C)C)cc2)o1 ZINC000621289251 1129160871 /nfs/dbraw/zinc/16/08/71/1129160871.db2.gz MQCPOPQRYXITQC-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@@H](C)c2ccc(C(C)C)cc2)o1 ZINC000621289251 1129160874 /nfs/dbraw/zinc/16/08/74/1129160874.db2.gz MQCPOPQRYXITQC-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO CCCOc1cccc(C[NH2+][C@@H](C)C(C)(F)F)c1 ZINC000389475602 1124031004 /nfs/dbraw/zinc/03/10/04/1124031004.db2.gz YXDGCJDKJOTMJV-NSHDSACASA-N 1 2 257.324 3.609 20 0 CHADLO Cc1nc2c(s1)[C@@H](Nc1[nH+]c(C)nc3[nH]ccc31)CCC2 ZINC000779918458 1129162184 /nfs/dbraw/zinc/16/21/84/1129162184.db2.gz CNIGLOBRFLGAKL-LBPRGKRZSA-N 1 2 299.403 3.521 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@@H]1F)c1ccc(F)cc1 ZINC000866216314 1124038204 /nfs/dbraw/zinc/03/82/04/1124038204.db2.gz QFLSSMOWTLKCEO-XNJJOIOASA-N 1 2 289.325 3.948 20 0 CHADLO Cc1cccc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)c1 ZINC000866221859 1124038762 /nfs/dbraw/zinc/03/87/62/1124038762.db2.gz UMOAISSOFBVHKW-NVXWUHKLSA-N 1 2 271.335 3.556 20 0 CHADLO CCc1ccc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)s1 ZINC000866232102 1124039228 /nfs/dbraw/zinc/03/92/28/1124039228.db2.gz LYLSPEOTDBTGNF-ZBFHGGJFSA-N 1 2 291.391 3.872 20 0 CHADLO C[N@H+](Cc1cc[nH]n1)Cc1ccc(-c2ccsc2)cc1 ZINC000444649744 1124048027 /nfs/dbraw/zinc/04/80/27/1124048027.db2.gz MSOKAGQMNHFXKZ-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO C[N@@H+](Cc1cc[nH]n1)Cc1ccc(-c2ccsc2)cc1 ZINC000444649744 1124048032 /nfs/dbraw/zinc/04/80/32/1124048032.db2.gz MSOKAGQMNHFXKZ-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+][C@H](c1cccnc1)C1CC1 ZINC000866310543 1124050179 /nfs/dbraw/zinc/05/01/79/1124050179.db2.gz FVLYLGWSDDQFHM-NJAFHUGGSA-N 1 2 298.361 3.594 20 0 CHADLO C[C@@H](CCc1cccnc1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000866317423 1124052084 /nfs/dbraw/zinc/05/20/84/1124052084.db2.gz ZMOYUJMWDKWZOE-HNNXBMFYSA-N 1 2 296.418 3.510 20 0 CHADLO C[C@@H](CCc1cccnc1)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000866324022 1124052225 /nfs/dbraw/zinc/05/22/25/1124052225.db2.gz NXJPCLAVTJGEHZ-HNNXBMFYSA-N 1 2 296.418 3.510 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@H]1F)c1ccsc1 ZINC000866355258 1124056676 /nfs/dbraw/zinc/05/66/76/1124056676.db2.gz WDDQMFPYAAWROY-PSOPSSQASA-N 1 2 277.364 3.871 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(C)(C)C)o1)c1nccs1 ZINC000866572671 1124084255 /nfs/dbraw/zinc/08/42/55/1124084255.db2.gz AHPQGVSVNUGUOZ-SNVBAGLBSA-N 1 2 264.394 3.884 20 0 CHADLO CN(Cc1c[nH+]cn1Cc1ccccc1)c1ccccc1F ZINC000445813226 1124102059 /nfs/dbraw/zinc/10/20/59/1124102059.db2.gz FMQKPMHFMLMWCG-UHFFFAOYSA-N 1 2 295.361 3.707 20 0 CHADLO CCCC[N@H+](Cc1nc(CC)no1)[C@H](C)c1ccc(C)o1 ZINC000621317711 1129166568 /nfs/dbraw/zinc/16/65/68/1129166568.db2.gz VFJYQNTVGWTGPY-CYBMUJFWSA-N 1 2 291.395 3.897 20 0 CHADLO CCCC[N@@H+](Cc1nc(CC)no1)[C@H](C)c1ccc(C)o1 ZINC000621317711 1129166571 /nfs/dbraw/zinc/16/65/71/1129166571.db2.gz VFJYQNTVGWTGPY-CYBMUJFWSA-N 1 2 291.395 3.897 20 0 CHADLO COc1ccc(F)c(C[NH2+]Cc2ncc(C(C)C)s2)c1 ZINC000621375304 1129167919 /nfs/dbraw/zinc/16/79/19/1129167919.db2.gz WUKXFKAXWXPMGN-UHFFFAOYSA-N 1 2 294.395 3.704 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(Cl)sc2Cl)C[C@H]1CO ZINC000621373179 1129167927 /nfs/dbraw/zinc/16/79/27/1129167927.db2.gz DLSVLNYXDQNVOV-SCZZXKLOSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(Cl)sc2Cl)C[C@H]1CO ZINC000621373179 1129167929 /nfs/dbraw/zinc/16/79/29/1129167929.db2.gz DLSVLNYXDQNVOV-SCZZXKLOSA-N 1 2 294.247 3.505 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C(F)F)C2CCCCC2)cn1 ZINC000621400891 1129173100 /nfs/dbraw/zinc/17/31/00/1129173100.db2.gz VVBBILLYFSHNQJ-CQSZACIVSA-N 1 2 268.351 3.694 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NCc1ccc(C(F)F)nc1 ZINC001118991137 1131245073 /nfs/dbraw/zinc/24/50/73/1131245073.db2.gz VSDWZCBPHWXPPH-UHFFFAOYSA-N 1 2 293.317 3.814 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+](C)Cc1cccnc1 ZINC001238780439 1131246867 /nfs/dbraw/zinc/24/68/67/1131246867.db2.gz HZYNMYPLAIBHMG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+](C)Cc1cccnc1 ZINC001238780439 1131246870 /nfs/dbraw/zinc/24/68/70/1131246870.db2.gz HZYNMYPLAIBHMG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccnc(C[NH+]2CC3(C2)CCCC3)c1Br ZINC001119243954 1131247890 /nfs/dbraw/zinc/24/78/90/1131247890.db2.gz ACCWJQXRZCMWOW-UHFFFAOYSA-N 1 2 295.224 3.529 20 0 CHADLO Cc1ccc(-c2ccnc(Oc3ccccc3)c2)[nH+]c1N ZINC001238841182 1131254603 /nfs/dbraw/zinc/25/46/03/1131254603.db2.gz FOKTXGHPQYFBEA-UHFFFAOYSA-N 1 2 277.327 3.827 20 0 CHADLO CCC(=CC(=O)Nc1cccc(-n2cc[nH+]c2)c1)CC ZINC000122013263 1124458298 /nfs/dbraw/zinc/45/82/98/1124458298.db2.gz DUDUKINMCWXUBN-UHFFFAOYSA-N 1 2 269.348 3.557 20 0 CHADLO Cc1cc(CNC(=O)/C=C\CCc2ccccc2)cc(C)[nH+]1 ZINC000868911141 1124491834 /nfs/dbraw/zinc/49/18/34/1124491834.db2.gz ZSZZJMZRMSGPAQ-XFFZJAGNSA-N 1 2 294.398 3.504 20 0 CHADLO Cc1c(-c2cc3ccccc3[nH]c2=O)ccc2[nH+]ccn21 ZINC001238883090 1131264415 /nfs/dbraw/zinc/26/44/15/1131264415.db2.gz CTSDVQIDALWZHM-UHFFFAOYSA-N 1 2 275.311 3.564 20 0 CHADLO CCCn1ncnc1C[NH2+][C@H](C)c1oc2ccccc2c1C ZINC000447884350 1124541275 /nfs/dbraw/zinc/54/12/75/1124541275.db2.gz XEZFTHDMXDBPCE-CYBMUJFWSA-N 1 2 298.390 3.594 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000339099777 1124549885 /nfs/dbraw/zinc/54/98/85/1124549885.db2.gz QHPFHOBNZHBQBT-PXAZEXFGSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)cn1 ZINC000339099777 1124549891 /nfs/dbraw/zinc/54/98/91/1124549891.db2.gz QHPFHOBNZHBQBT-PXAZEXFGSA-N 1 2 297.402 3.666 20 0 CHADLO CC[C@H]1CCC[C@H]1C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000448622694 1124599592 /nfs/dbraw/zinc/59/95/92/1124599592.db2.gz AWSAWZIKWDKVKZ-WCQYABFASA-N 1 2 271.364 3.636 20 0 CHADLO COc1cc(C)[nH+]c(CSC[C@H]2CC[C@@H](C3CC3)O2)c1 ZINC001120250665 1131272131 /nfs/dbraw/zinc/27/21/31/1131272131.db2.gz CZIIMXZIJNKXSI-ZBFHGGJFSA-N 1 2 293.432 3.589 20 0 CHADLO COc1cccc(F)c1C[NH2+][C@H](C)c1ccc(C)o1 ZINC001120366924 1131274608 /nfs/dbraw/zinc/27/46/08/1131274608.db2.gz YOQKCHLSMLQORV-LLVKDONJSA-N 1 2 263.312 3.587 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H](C)c2cc(O)ccc2F)n1 ZINC000872031183 1124661393 /nfs/dbraw/zinc/66/13/93/1124661393.db2.gz HDMOSQRZAOUDAD-NXEZZACHSA-N 1 2 280.368 3.708 20 0 CHADLO Cc1cc(N[C@@H]2CCOC3(CCCC3)C2)ccc1[NH+](C)C ZINC000872059955 1124669932 /nfs/dbraw/zinc/66/99/32/1124669932.db2.gz MBHCVNABZGUURD-MRXNPFEDSA-N 1 2 288.435 3.965 20 0 CHADLO Cc1cc([NH2+][C@@H]2CCOC3(CCCC3)C2)ccc1N(C)C ZINC000872059955 1124669938 /nfs/dbraw/zinc/66/99/38/1124669938.db2.gz MBHCVNABZGUURD-MRXNPFEDSA-N 1 2 288.435 3.965 20 0 CHADLO COc1ccc(F)cc1C[NH2+][C@@H](C)c1cccc(O)c1F ZINC000872062916 1124671245 /nfs/dbraw/zinc/67/12/45/1124671245.db2.gz LTOVBRPFLKNKEO-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO Fc1ccc2c(c1)CC[N@@H+](Cc1ccnn1C1CCCC1)C2 ZINC000449222797 1124674040 /nfs/dbraw/zinc/67/40/40/1124674040.db2.gz DTNRVXRVVYRLKE-UHFFFAOYSA-N 1 2 299.393 3.696 20 0 CHADLO Fc1ccc2c(c1)CC[N@H+](Cc1ccnn1C1CCCC1)C2 ZINC000449222797 1124674043 /nfs/dbraw/zinc/67/40/43/1124674043.db2.gz DTNRVXRVVYRLKE-UHFFFAOYSA-N 1 2 299.393 3.696 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+][C@@H](C)c2cc(O)ccc2F)c1 ZINC000872071094 1124674270 /nfs/dbraw/zinc/67/42/70/1124674270.db2.gz YXIHLNJQQBFBJX-JTQLQIEISA-N 1 2 294.757 3.739 20 0 CHADLO Cc1ccc([C@H]2[C@H](C)CCC[N@@H+]2Cc2cnsn2)cc1 ZINC001307489599 1124675502 /nfs/dbraw/zinc/67/55/02/1124675502.db2.gz KRLQFWDMEXVDGS-CZUORRHYSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@H]2[C@H](C)CCC[N@H+]2Cc2cnsn2)cc1 ZINC001307489599 1124675503 /nfs/dbraw/zinc/67/55/03/1124675503.db2.gz KRLQFWDMEXVDGS-CZUORRHYSA-N 1 2 287.432 3.820 20 0 CHADLO CC(C)([NH2+]Cc1ncccc1Cl)c1ccccc1F ZINC000528512894 1124680227 /nfs/dbraw/zinc/68/02/27/1124680227.db2.gz OHTGNUHYTBAVBC-UHFFFAOYSA-N 1 2 278.758 3.899 20 0 CHADLO COc1ccc(F)c(C[NH2+][C@@H](C)c2cc(O)ccc2F)c1 ZINC000872087317 1124680852 /nfs/dbraw/zinc/68/08/52/1124680852.db2.gz IIZXERWVERSACT-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO Clc1cccc2c1C[C@H]([N@H+]1Cc3ncsc3C1)CC2 ZINC001170943945 1131276960 /nfs/dbraw/zinc/27/69/60/1131276960.db2.gz OHCSACGOWHLXQG-LLVKDONJSA-N 1 2 290.819 3.670 20 0 CHADLO Clc1cccc2c1C[C@H]([N@@H+]1Cc3ncsc3C1)CC2 ZINC001170943945 1131276963 /nfs/dbraw/zinc/27/69/63/1131276963.db2.gz OHCSACGOWHLXQG-LLVKDONJSA-N 1 2 290.819 3.670 20 0 CHADLO Fc1cncc([C@H]([NH2+]Cc2ncc(Cl)s2)C2CC2)c1 ZINC000872112347 1124686641 /nfs/dbraw/zinc/68/66/41/1124686641.db2.gz IOWKOUBYEUXDAT-CYBMUJFWSA-N 1 2 297.786 3.572 20 0 CHADLO Cc1c([C@@H](C)[NH2+]Cc2ncc(Cl)s2)cnn1C(C)C ZINC000872116590 1124687581 /nfs/dbraw/zinc/68/75/81/1124687581.db2.gz SWNKQGPDXIFJET-SECBINFHSA-N 1 2 298.843 3.733 20 0 CHADLO c1cc(C[N@H+](Cc2ccccn2)C2CC2)n(C2CCCC2)n1 ZINC000449327856 1124690642 /nfs/dbraw/zinc/69/06/42/1124690642.db2.gz DCGUUORQMVYWCY-UHFFFAOYSA-N 1 2 296.418 3.558 20 0 CHADLO c1cc(C[N@@H+](Cc2ccccn2)C2CC2)n(C2CCCC2)n1 ZINC000449327856 1124690645 /nfs/dbraw/zinc/69/06/45/1124690645.db2.gz DCGUUORQMVYWCY-UHFFFAOYSA-N 1 2 296.418 3.558 20 0 CHADLO CC[C@H](C)c1ccc([C@H](C)[NH2+]Cc2nonc2C)cc1 ZINC000872134227 1124692400 /nfs/dbraw/zinc/69/24/00/1124692400.db2.gz ALCKRHDTHCTHGW-RYUDHWBXSA-N 1 2 273.380 3.742 20 0 CHADLO CC[C@H](C)c1ccc([C@@H](C)[NH2+]Cc2nonc2C)cc1 ZINC000872134225 1124692870 /nfs/dbraw/zinc/69/28/70/1124692870.db2.gz ALCKRHDTHCTHGW-NWDGAFQWSA-N 1 2 273.380 3.742 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1c[nH]c3c1cccc3F)C2 ZINC001137057751 1124698630 /nfs/dbraw/zinc/69/86/30/1124698630.db2.gz OJHOTGNXQYIQNZ-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1c[nH]c3c1cccc3F)C2 ZINC001137057751 1124698633 /nfs/dbraw/zinc/69/86/33/1124698633.db2.gz OJHOTGNXQYIQNZ-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1cccc(Cl)c1F ZINC001238958977 1131278295 /nfs/dbraw/zinc/27/82/95/1131278295.db2.gz UCQJJTWVXYHWDN-UHFFFAOYSA-N 1 2 264.731 3.916 20 0 CHADLO CCOC[C@H]1C[N@@H+]([C@H](CC)c2ccc(Cl)cc2)CCO1 ZINC000528715997 1124768746 /nfs/dbraw/zinc/76/87/46/1124768746.db2.gz BPYNXGCJBVHOON-HZPDHXFCSA-N 1 2 297.826 3.528 20 0 CHADLO CCOC[C@H]1C[N@H+]([C@H](CC)c2ccc(Cl)cc2)CCO1 ZINC000528715997 1124768751 /nfs/dbraw/zinc/76/87/51/1124768751.db2.gz BPYNXGCJBVHOON-HZPDHXFCSA-N 1 2 297.826 3.528 20 0 CHADLO CCc1ccc(N2CCC(CC(F)(F)F)CC2)[nH+]c1 ZINC001120846087 1131284299 /nfs/dbraw/zinc/28/42/99/1131284299.db2.gz SUVROUBEISKERL-UHFFFAOYSA-N 1 2 272.314 3.813 20 0 CHADLO COc1cccc(-c2cccc3[nH+]c(C)cn32)c1C ZINC001238982845 1131285448 /nfs/dbraw/zinc/28/54/48/1131285448.db2.gz HKQSQODMKTWSLQ-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO COc1cc(SC)c(-c2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001239062854 1131297677 /nfs/dbraw/zinc/29/76/77/1131297677.db2.gz SRMCGZPAJASCMV-UHFFFAOYSA-N 1 2 297.383 3.665 20 0 CHADLO CC(C)C[C@@H](C)OC(=O)Oc1ccc(-n2cc[nH+]c2)cc1 ZINC000820762642 1131300593 /nfs/dbraw/zinc/30/05/93/1131300593.db2.gz NSUDRTLHZACUQR-CYBMUJFWSA-N 1 2 288.347 3.822 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2CCC[C@H]2c2cc(C)no2)c1 ZINC000450270536 1124966881 /nfs/dbraw/zinc/96/68/81/1124966881.db2.gz MZXGKMUDKXMZDJ-INIZCTEOSA-N 1 2 284.359 3.523 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2CCC[C@H]2c2cc(C)no2)c1 ZINC000450270536 1124966888 /nfs/dbraw/zinc/96/68/88/1124966888.db2.gz MZXGKMUDKXMZDJ-INIZCTEOSA-N 1 2 284.359 3.523 20 0 CHADLO CCCO[C@H]1CCC[N@H+](Cc2nc(Cl)cs2)CC1 ZINC000876721084 1124973446 /nfs/dbraw/zinc/97/34/46/1124973446.db2.gz QWIRFBJZRGXRRD-NSHDSACASA-N 1 2 288.844 3.578 20 0 CHADLO CCCO[C@H]1CCC[N@@H+](Cc2nc(Cl)cs2)CC1 ZINC000876721084 1124973455 /nfs/dbraw/zinc/97/34/55/1124973455.db2.gz QWIRFBJZRGXRRD-NSHDSACASA-N 1 2 288.844 3.578 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cc(F)cc(F)c1F ZINC001137873459 1124978143 /nfs/dbraw/zinc/97/81/43/1124978143.db2.gz FSTLILHTIDBFLC-UHFFFAOYSA-N 1 2 271.307 3.797 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cc(F)cc(F)c1F ZINC001137873459 1124978146 /nfs/dbraw/zinc/97/81/46/1124978146.db2.gz FSTLILHTIDBFLC-UHFFFAOYSA-N 1 2 271.307 3.797 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cccc(Cl)c1N ZINC000876818487 1124979393 /nfs/dbraw/zinc/97/93/93/1124979393.db2.gz TUQSSFPLEFTQNW-UHFFFAOYSA-N 1 2 289.810 3.909 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@H]1CC1(F)F ZINC000876820746 1124981174 /nfs/dbraw/zinc/98/11/74/1124981174.db2.gz SMSPMANDFUMTTQ-BXUZGUMPSA-N 1 2 266.335 3.742 20 0 CHADLO C[C@@H](c1csnn1)[N@H+](C)CCc1cccc2ccccc21 ZINC000450332511 1124982342 /nfs/dbraw/zinc/98/23/42/1124982342.db2.gz NMLIUGFRMMRULV-ZDUSSCGKSA-N 1 2 297.427 3.927 20 0 CHADLO C[C@@H](c1csnn1)[N@@H+](C)CCc1cccc2ccccc21 ZINC000450332511 1124982350 /nfs/dbraw/zinc/98/23/50/1124982350.db2.gz NMLIUGFRMMRULV-ZDUSSCGKSA-N 1 2 297.427 3.927 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2[C@H](C)c2csnn2)s1 ZINC000450409462 1125010001 /nfs/dbraw/zinc/01/00/01/1125010001.db2.gz LMMOWEYZKYKJTO-PWSUYJOCSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2[C@H](C)c2csnn2)s1 ZINC000450409462 1125010007 /nfs/dbraw/zinc/01/00/07/1125010007.db2.gz LMMOWEYZKYKJTO-PWSUYJOCSA-N 1 2 279.434 3.806 20 0 CHADLO C[C@@H]1CCSCC[N@@H+]1Cc1c(Cl)cncc1Cl ZINC000877473603 1125030279 /nfs/dbraw/zinc/03/02/79/1125030279.db2.gz KQMHZXGGWFWVDQ-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CCSCC[N@H+]1Cc1c(Cl)cncc1Cl ZINC000877473603 1125030285 /nfs/dbraw/zinc/03/02/85/1125030285.db2.gz KQMHZXGGWFWVDQ-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[N@H+](Cc1nc(C(C)(C)C)cs1)Cc1ccc(O)cc1 ZINC000877527001 1125037707 /nfs/dbraw/zinc/03/77/07/1125037707.db2.gz HOUKKOUAMBWGFD-UHFFFAOYSA-N 1 2 290.432 3.778 20 0 CHADLO C[N@@H+](Cc1nc(C(C)(C)C)cs1)Cc1ccc(O)cc1 ZINC000877527001 1125037715 /nfs/dbraw/zinc/03/77/15/1125037715.db2.gz HOUKKOUAMBWGFD-UHFFFAOYSA-N 1 2 290.432 3.778 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cc3c(ccnc3Cl)o2)C1 ZINC000877557023 1125044349 /nfs/dbraw/zinc/04/43/49/1125044349.db2.gz DNSNHCOTLDPAQT-CQSZACIVSA-N 1 2 282.746 3.805 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cc3c(ccnc3Cl)o2)C1 ZINC000877557023 1125044354 /nfs/dbraw/zinc/04/43/54/1125044354.db2.gz DNSNHCOTLDPAQT-CQSZACIVSA-N 1 2 282.746 3.805 20 0 CHADLO Cc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)c(C)n1 ZINC001239460937 1131328282 /nfs/dbraw/zinc/32/82/82/1131328282.db2.gz XKSWCDUTNFJFCG-UHFFFAOYSA-N 1 2 263.344 3.610 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC1CCC(F)(F)CC1 ZINC000390028169 1125059501 /nfs/dbraw/zinc/05/95/01/1125059501.db2.gz WZZAZJIZGCQSOI-UHFFFAOYSA-N 1 2 276.330 3.955 20 0 CHADLO c1cn(-c2ccc(-c3ccc(-c4ccccc4)nn3)cc2)c[nH+]1 ZINC001239466747 1131329595 /nfs/dbraw/zinc/32/95/95/1131329595.db2.gz JUDOCXWPDZHIGN-UHFFFAOYSA-N 1 2 298.349 3.996 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCCCCC2)c(C)[nH+]1 ZINC000821440013 1131329858 /nfs/dbraw/zinc/32/98/58/1131329858.db2.gz RDLMHISSQJHHRP-UHFFFAOYSA-N 1 2 275.396 3.805 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000450652251 1125079061 /nfs/dbraw/zinc/07/90/61/1125079061.db2.gz MOLGKXKWYCMESN-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000450652251 1125079068 /nfs/dbraw/zinc/07/90/68/1125079068.db2.gz MOLGKXKWYCMESN-AWEZNQCLSA-N 1 2 275.783 3.709 20 0 CHADLO OCC[C@H](Nc1cccc[nH+]1)c1cccc(C(F)(F)F)c1 ZINC000450663752 1125080774 /nfs/dbraw/zinc/08/07/74/1125080774.db2.gz MIKPEVAPWGQYLH-ZDUSSCGKSA-N 1 2 296.292 3.636 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccc(Cl)c(C)c3C2)on1 ZINC000877774672 1125081246 /nfs/dbraw/zinc/08/12/46/1125081246.db2.gz MAKYEZYPBDRUMW-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccc(Cl)c(C)c3C2)on1 ZINC000877774672 1125081254 /nfs/dbraw/zinc/08/12/54/1125081254.db2.gz MAKYEZYPBDRUMW-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO CS[C@H]1CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000450684283 1125085854 /nfs/dbraw/zinc/08/58/54/1125085854.db2.gz RIPKRJCSKQJWHR-JTQLQIEISA-N 1 2 278.808 3.830 20 0 CHADLO Cc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c(C)n1 ZINC001239471216 1131331816 /nfs/dbraw/zinc/33/18/16/1131331816.db2.gz ZZXFIRNMGQXZTK-UHFFFAOYSA-N 1 2 267.307 3.690 20 0 CHADLO c1ccc(C[N@@H+](Cc2nc3c(o2)CCCC3)C2CC2)cc1 ZINC000877916603 1125101354 /nfs/dbraw/zinc/10/13/54/1125101354.db2.gz JZEVKYJGBLHXFN-UHFFFAOYSA-N 1 2 282.387 3.718 20 0 CHADLO c1ccc(C[N@H+](Cc2nc3c(o2)CCCC3)C2CC2)cc1 ZINC000877916603 1125101357 /nfs/dbraw/zinc/10/13/57/1125101357.db2.gz JZEVKYJGBLHXFN-UHFFFAOYSA-N 1 2 282.387 3.718 20 0 CHADLO Fc1ccccc1CC[N@@H+]1CCO[C@@H](c2ccsc2)C1 ZINC000121414627 1125119696 /nfs/dbraw/zinc/11/96/96/1125119696.db2.gz WWOCCVUULYNHSN-MRXNPFEDSA-N 1 2 291.391 3.503 20 0 CHADLO Fc1ccccc1CC[N@H+]1CCO[C@@H](c2ccsc2)C1 ZINC000121414627 1125119698 /nfs/dbraw/zinc/11/96/98/1125119698.db2.gz WWOCCVUULYNHSN-MRXNPFEDSA-N 1 2 291.391 3.503 20 0 CHADLO CCc1oc(C(=O)Nc2ccccc2-n2cc[nH+]c2)cc1C ZINC000121498067 1125123953 /nfs/dbraw/zinc/12/39/53/1125123953.db2.gz DPWNYLXKFGGRLF-UHFFFAOYSA-N 1 2 295.342 3.588 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2scnc2Cl)n1 ZINC000878198398 1125130909 /nfs/dbraw/zinc/13/09/09/1125130909.db2.gz NJKUMYJGAZZXFL-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2scnc2Cl)n1 ZINC000878198398 1125130913 /nfs/dbraw/zinc/13/09/13/1125130913.db2.gz NJKUMYJGAZZXFL-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1nc2c(o1)CCCC2)C(C)C ZINC000878247559 1125134310 /nfs/dbraw/zinc/13/43/10/1125134310.db2.gz AQMUPBKWVUTBOC-QGZVFWFLSA-N 1 2 299.418 3.744 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ncccc1F)c1cc(F)ccc1F ZINC000530423848 1125151765 /nfs/dbraw/zinc/15/17/65/1125151765.db2.gz BLJVGDQOIJEFFD-MRXNPFEDSA-N 1 2 294.320 3.986 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc3c(o2)CCCC3)s1 ZINC000878416222 1125151831 /nfs/dbraw/zinc/15/18/31/1125151831.db2.gz DULKGMRTZWLKAK-NSHDSACASA-N 1 2 276.405 3.774 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@H+](Cc2scnc2Cl)C1 ZINC000878429268 1125153650 /nfs/dbraw/zinc/15/36/50/1125153650.db2.gz YZTVIPFQRPMTTP-PWSUYJOCSA-N 1 2 293.823 3.775 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2scnc2Cl)C1 ZINC000878429268 1125153655 /nfs/dbraw/zinc/15/36/55/1125153655.db2.gz YZTVIPFQRPMTTP-PWSUYJOCSA-N 1 2 293.823 3.775 20 0 CHADLO Cc1ccccc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000121828960 1125157069 /nfs/dbraw/zinc/15/70/69/1125157069.db2.gz AAUIBYOOYYFTOB-UHFFFAOYSA-N 1 2 263.344 3.793 20 0 CHADLO CCc1cc(C[NH2+]Cc2c(Cl)cccc2CC)on1 ZINC000530494171 1125157935 /nfs/dbraw/zinc/15/79/35/1125157935.db2.gz VEKIBZVYAXBAEA-UHFFFAOYSA-N 1 2 278.783 3.743 20 0 CHADLO C[C@H]1CC[C@@H](CC(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000122012804 1125168756 /nfs/dbraw/zinc/16/87/56/1125168756.db2.gz SFKWMHQCZGHHRG-UONOGXRCSA-N 1 2 283.375 3.637 20 0 CHADLO FC(F)[C@@H]([NH2+]CCCOC(F)(F)F)c1ccccc1 ZINC000878646874 1125169053 /nfs/dbraw/zinc/16/90/53/1125169053.db2.gz BKHRXUTUYQKKPJ-JTQLQIEISA-N 1 2 283.240 3.509 20 0 CHADLO C=C/C=C\CC[N@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000878921056 1125186057 /nfs/dbraw/zinc/18/60/57/1125186057.db2.gz LIDGRKWRIBNIIY-MVZIDQBPSA-N 1 2 297.324 3.765 20 0 CHADLO C=C/C=C\CC[N@@H+]1CCC[C@H]1c1nccc(C(F)(F)F)n1 ZINC000878921056 1125186060 /nfs/dbraw/zinc/18/60/60/1125186060.db2.gz LIDGRKWRIBNIIY-MVZIDQBPSA-N 1 2 297.324 3.765 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2scnc2Cl)C[C@H](C)C1(F)F ZINC000879030429 1125192697 /nfs/dbraw/zinc/19/26/97/1125192697.db2.gz JZVOMVFLJWNKIV-OCAPTIKFSA-N 1 2 280.771 3.520 20 0 CHADLO C[C@@H]1C[N@H+](Cc2scnc2Cl)C[C@H](C)C1(F)F ZINC000879030429 1125192699 /nfs/dbraw/zinc/19/26/99/1125192699.db2.gz JZVOMVFLJWNKIV-OCAPTIKFSA-N 1 2 280.771 3.520 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(F)(F)C[C@H]2C)nc1Cl ZINC000879053063 1125193563 /nfs/dbraw/zinc/19/35/63/1125193563.db2.gz OJQZYDLSGFRYBF-SNVBAGLBSA-N 1 2 274.742 3.663 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(F)(F)C[C@H]2C)nc1Cl ZINC000879053063 1125193567 /nfs/dbraw/zinc/19/35/67/1125193567.db2.gz OJQZYDLSGFRYBF-SNVBAGLBSA-N 1 2 274.742 3.663 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(F)(F)C[C@@H]2C)nc1Cl ZINC000879053062 1125194447 /nfs/dbraw/zinc/19/44/47/1125194447.db2.gz OJQZYDLSGFRYBF-JTQLQIEISA-N 1 2 274.742 3.663 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(F)(F)C[C@@H]2C)nc1Cl ZINC000879053062 1125194449 /nfs/dbraw/zinc/19/44/49/1125194449.db2.gz OJQZYDLSGFRYBF-JTQLQIEISA-N 1 2 274.742 3.663 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2ccccn2)c(F)c1 ZINC000531249679 1125205189 /nfs/dbraw/zinc/20/51/89/1125205189.db2.gz JCZNYJAHBUDFPQ-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2ccccn2)c(F)c1 ZINC000531249679 1125205194 /nfs/dbraw/zinc/20/51/94/1125205194.db2.gz JCZNYJAHBUDFPQ-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cc(F)cc2cccnc21 ZINC000025927903 1125225782 /nfs/dbraw/zinc/22/57/82/1125225782.db2.gz CXLDDYRFGXVKII-ZDUSSCGKSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cc(F)cc2cccnc21 ZINC000025927903 1125225787 /nfs/dbraw/zinc/22/57/87/1125225787.db2.gz CXLDDYRFGXVKII-ZDUSSCGKSA-N 1 2 295.361 3.752 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCn2ccnc21)c1ccc(F)cc1Cl ZINC000655728834 1125229200 /nfs/dbraw/zinc/22/92/00/1125229200.db2.gz YVBKWCJMJGFSFU-HZMBPMFUSA-N 1 2 293.773 3.861 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@@H]2c2cncc(C)c2)cs1 ZINC000879747101 1125229821 /nfs/dbraw/zinc/22/98/21/1125229821.db2.gz BEZVTICLCWRSIW-OAHLLOKOSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@@H]2c2cncc(C)c2)cs1 ZINC000879747101 1125229824 /nfs/dbraw/zinc/22/98/24/1125229824.db2.gz BEZVTICLCWRSIW-OAHLLOKOSA-N 1 2 287.432 3.746 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2coc(C3CC3)n2)c1 ZINC000879753139 1125231707 /nfs/dbraw/zinc/23/17/07/1125231707.db2.gz XNTLKBZICOLIDZ-INIZCTEOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2coc(C3CC3)n2)c1 ZINC000879753139 1125231711 /nfs/dbraw/zinc/23/17/11/1125231711.db2.gz XNTLKBZICOLIDZ-INIZCTEOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2nc3c(o2)CCCC3)c1 ZINC000879752167 1125232034 /nfs/dbraw/zinc/23/20/34/1125232034.db2.gz MVFAIGGDSOWUOK-MRXNPFEDSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2nc3c(o2)CCCC3)c1 ZINC000879752167 1125232036 /nfs/dbraw/zinc/23/20/36/1125232036.db2.gz MVFAIGGDSOWUOK-MRXNPFEDSA-N 1 2 297.402 3.594 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2OC)cc1 ZINC001239485224 1131341364 /nfs/dbraw/zinc/34/13/64/1131341364.db2.gz BMZPEODQRAGTKX-UHFFFAOYSA-N 1 2 280.327 3.557 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@H+](Cc2scnc2Cl)C1 ZINC000880004296 1125243375 /nfs/dbraw/zinc/24/33/75/1125243375.db2.gz SELBYXOXFUWOEE-SECBINFHSA-N 1 2 284.734 3.571 20 0 CHADLO C[C@@]1(C(F)(F)F)CC[N@@H+](Cc2scnc2Cl)C1 ZINC000880004296 1125243380 /nfs/dbraw/zinc/24/33/80/1125243380.db2.gz SELBYXOXFUWOEE-SECBINFHSA-N 1 2 284.734 3.571 20 0 CHADLO COc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)cc1 ZINC001239485307 1131341546 /nfs/dbraw/zinc/34/15/46/1131341546.db2.gz MQMIUFSDBTVVAE-UHFFFAOYSA-N 1 2 264.328 3.763 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1nc(Cl)cc2[nH]ccc21 ZINC001161563269 1125264709 /nfs/dbraw/zinc/26/47/09/1125264709.db2.gz WTRPZOTWDVDXOL-UHFFFAOYSA-N 1 2 289.770 3.606 20 0 CHADLO FC(F)c1ccc(C[NH2+]C2(c3ncccn3)CCC2)cc1 ZINC000880366377 1125268454 /nfs/dbraw/zinc/26/84/54/1125268454.db2.gz IDGCUVUFDJQRJF-UHFFFAOYSA-N 1 2 289.329 3.583 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137884109 1125276300 /nfs/dbraw/zinc/27/63/00/1125276300.db2.gz IUKAZTHRCOAHLZ-SNVBAGLBSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2c(F)ccc(Cl)c2F)C1 ZINC001137884109 1125276303 /nfs/dbraw/zinc/27/63/03/1125276303.db2.gz IUKAZTHRCOAHLZ-SNVBAGLBSA-N 1 2 289.753 3.618 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1cccc(F)c1F ZINC000102408406 1125277666 /nfs/dbraw/zinc/27/76/66/1125277666.db2.gz ITZJKMPKIVHJTP-UHFFFAOYSA-N 1 2 251.276 3.580 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1cccc(F)c1F ZINC000102408406 1125277671 /nfs/dbraw/zinc/27/76/71/1125277671.db2.gz ITZJKMPKIVHJTP-UHFFFAOYSA-N 1 2 251.276 3.580 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cccc(C)c1 ZINC001239492163 1131345305 /nfs/dbraw/zinc/34/53/05/1131345305.db2.gz VCVDKTAYBFGUAN-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1cn(C)cn1)c1ccc(F)cc1F ZINC000925264743 1125301943 /nfs/dbraw/zinc/30/19/43/1125301943.db2.gz NNCXUWXLASCRDJ-NHYWBVRUSA-N 1 2 293.361 3.890 20 0 CHADLO C[C@@H](SCc1ccc[nH+]c1N)c1cccs1 ZINC000882123769 1125336300 /nfs/dbraw/zinc/33/63/00/1125336300.db2.gz YVPNHVDVKNENBX-SECBINFHSA-N 1 2 250.392 3.720 20 0 CHADLO c1csc(C[N@H+](Cc2cn3ccsc3n2)C2CC2)c1 ZINC000064344937 1125330142 /nfs/dbraw/zinc/33/01/42/1125330142.db2.gz SLKZPPHQGHBILB-UHFFFAOYSA-N 1 2 289.429 3.622 20 0 CHADLO c1csc(C[N@@H+](Cc2cn3ccsc3n2)C2CC2)c1 ZINC000064344937 1125330146 /nfs/dbraw/zinc/33/01/46/1125330146.db2.gz SLKZPPHQGHBILB-UHFFFAOYSA-N 1 2 289.429 3.622 20 0 CHADLO COc1ccc(Cl)c(C[NH2+]C(C)(C)c2nccs2)c1 ZINC000192106792 1125330965 /nfs/dbraw/zinc/33/09/65/1125330965.db2.gz ISCIRKYKCDLECR-UHFFFAOYSA-N 1 2 296.823 3.830 20 0 CHADLO c1c2ccccc2[nH]c1[C@@H]1CCCC[N@H+]1Cc1ncccn1 ZINC000192102042 1125331546 /nfs/dbraw/zinc/33/15/46/1125331546.db2.gz FZBWNTNPOUTEBG-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO c1c2ccccc2[nH]c1[C@@H]1CCCC[N@@H+]1Cc1ncccn1 ZINC000192102042 1125331548 /nfs/dbraw/zinc/33/15/48/1125331548.db2.gz FZBWNTNPOUTEBG-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO CC1(C)CC[C@@H](Nc2ccc(N3CCCC3)[nH+]c2)C1 ZINC000129361075 1125331675 /nfs/dbraw/zinc/33/16/75/1125331675.db2.gz WRQMUHPQHZQYIG-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nnc(C(C)C)o1)c1ccc(F)cc1 ZINC000639927174 1125336307 /nfs/dbraw/zinc/33/63/07/1125336307.db2.gz DNTBPTJGPVOUDC-MRXNPFEDSA-N 1 2 291.370 3.747 20 0 CHADLO Cc1ccc(C)c(CC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000102719310 1125338407 /nfs/dbraw/zinc/33/84/07/1125338407.db2.gz NJODWXFJJCOMPA-UHFFFAOYSA-N 1 2 282.387 3.805 20 0 CHADLO O=C(C=C1CCC1)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000129522031 1125341727 /nfs/dbraw/zinc/34/17/27/1125341727.db2.gz PJNQZQTYQNCSOX-UHFFFAOYSA-N 1 2 287.750 3.575 20 0 CHADLO CCC[C@H](C)CC(=O)N[C@@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882873539 1125359794 /nfs/dbraw/zinc/35/97/94/1125359794.db2.gz CPHJMQQDLPCODS-RDJZCZTQSA-N 1 2 299.418 3.567 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+][C@@H](C)c2ccc(F)cc2F)n1 ZINC000882879290 1125359974 /nfs/dbraw/zinc/35/99/74/1125359974.db2.gz PUKXIJYLNNPUDH-JTQLQIEISA-N 1 2 279.334 3.662 20 0 CHADLO Nc1c(Cl)cccc1C[NH2+]C1(C(F)F)CCCC1 ZINC000883121408 1125372657 /nfs/dbraw/zinc/37/26/57/1125372657.db2.gz GYUPZFYBSXCUHK-UHFFFAOYSA-N 1 2 274.742 3.590 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C(C)C)c[nH]1)c1ccccc1F ZINC000883160827 1125374502 /nfs/dbraw/zinc/37/45/02/1125374502.db2.gz BLWJKPRQRRLFJR-CQSZACIVSA-N 1 2 275.371 3.913 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CC[C@H](C3CCC3)C2)s1 ZINC000666487904 1125375713 /nfs/dbraw/zinc/37/57/13/1125375713.db2.gz TZTHNYBQNJHWPQ-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CC[C@H](C3CCC3)C2)s1 ZINC000666487904 1125375715 /nfs/dbraw/zinc/37/57/15/1125375715.db2.gz TZTHNYBQNJHWPQ-JTQLQIEISA-N 1 2 290.354 3.784 20 0 CHADLO CC(F)(F)C[NH2+][C@H](c1ccc(F)cc1)C1CCOCC1 ZINC000883224373 1125379980 /nfs/dbraw/zinc/37/99/80/1125379980.db2.gz KRUNTZSBJMSTQU-CQSZACIVSA-N 1 2 287.325 3.538 20 0 CHADLO c1n[nH]c([C@H]([NH2+]CC2(CC3CC3)CC2)C2CCCCC2)n1 ZINC000883254050 1125385403 /nfs/dbraw/zinc/38/54/03/1125385403.db2.gz JBEGESICEUGCSZ-OAHLLOKOSA-N 1 2 288.439 3.596 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+][C@H](C)c1cc(C)on1 ZINC000883265546 1125388825 /nfs/dbraw/zinc/38/88/25/1125388825.db2.gz FBVHQWLNEAYLNI-GFCCVEGCSA-N 1 2 286.375 3.720 20 0 CHADLO Cc1cc(NCc2cccc3cn(C)nc32)ccc1[NH+](C)C ZINC001168201481 1125392427 /nfs/dbraw/zinc/39/24/27/1125392427.db2.gz WDAJMDQFRDUTHA-UHFFFAOYSA-N 1 2 294.402 3.560 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(OC)cc1F)c1nccs1 ZINC000228598465 1125393423 /nfs/dbraw/zinc/39/34/23/1125393423.db2.gz BBECYIAPIITDLJ-CYBMUJFWSA-N 1 2 280.368 3.532 20 0 CHADLO CCOCOc1ccc(CNc2c[nH+]c(C)c(C)c2)cc1 ZINC000883341681 1125398679 /nfs/dbraw/zinc/39/86/79/1125398679.db2.gz XPFRVRDJIANLLT-UHFFFAOYSA-N 1 2 286.375 3.683 20 0 CHADLO CC(=O)N1CC[C@H](Nc2c[nH+]c(C)c(C)c2)c2ccccc21 ZINC000883343049 1125398807 /nfs/dbraw/zinc/39/88/07/1125398807.db2.gz YASCMNLYSOAVGT-KRWDZBQOSA-N 1 2 295.386 3.608 20 0 CHADLO CCSCc1ccc[nH+]c1N[C@H]1CCCSC1 ZINC000883377159 1125405082 /nfs/dbraw/zinc/40/50/82/1125405082.db2.gz AJIIAWUZFDWRCK-LBPRGKRZSA-N 1 2 268.451 3.642 20 0 CHADLO CCc1nc(C[N@H+](C)[C@H](C)c2cccc(OC)c2)cs1 ZINC000532486154 1125407150 /nfs/dbraw/zinc/40/71/50/1125407150.db2.gz MFUGNIVGZUDRHO-GFCCVEGCSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@H](C)c2cccc(OC)c2)cs1 ZINC000532486154 1125407151 /nfs/dbraw/zinc/40/71/51/1125407151.db2.gz MFUGNIVGZUDRHO-GFCCVEGCSA-N 1 2 290.432 3.907 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2c3ccccc3CC[C@H]2F)o1 ZINC000883384209 1125407369 /nfs/dbraw/zinc/40/73/69/1125407369.db2.gz NFZVPHNAWZFUFX-HZPDHXFCSA-N 1 2 259.324 3.703 20 0 CHADLO COCc1ccc(C[NH2+][C@@H]2c3ccccc3CC[C@H]2F)o1 ZINC000883383252 1125407497 /nfs/dbraw/zinc/40/74/97/1125407497.db2.gz WBLLBKINABGUKD-IAGOWNOFSA-N 1 2 289.350 3.541 20 0 CHADLO CCCn1c(C)nn(C[N@@H+]2CCC[C@@H](CC)CC2)c1=S ZINC000132350936 1125417692 /nfs/dbraw/zinc/41/76/92/1125417692.db2.gz UTZOQEXJNPGALJ-CQSZACIVSA-N 1 2 296.484 3.602 20 0 CHADLO CCCn1c(C)nn(C[N@H+]2CCC[C@@H](CC)CC2)c1=S ZINC000132350936 1125417696 /nfs/dbraw/zinc/41/76/96/1125417696.db2.gz UTZOQEXJNPGALJ-CQSZACIVSA-N 1 2 296.484 3.602 20 0 CHADLO Cc1ccc2c(Nc3ncc(F)cc3O)cccc2[nH+]1 ZINC001212796029 1125418229 /nfs/dbraw/zinc/41/82/29/1125418229.db2.gz OGCHWBNOILIWCJ-UHFFFAOYSA-N 1 2 269.279 3.527 20 0 CHADLO Cc1cc(F)ccc1NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000035042335 1125422907 /nfs/dbraw/zinc/42/29/07/1125422907.db2.gz YUIWTAHKKQXXHA-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO Cc1ccc2c(Nc3cccc4c3CNC4=O)cccc2[nH+]1 ZINC001212797083 1125430565 /nfs/dbraw/zinc/43/05/65/1125430565.db2.gz XEDGBAJZWFHBSZ-UHFFFAOYSA-N 1 2 289.338 3.530 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1ccc(Cl)cc1 ZINC000123855130 1125432637 /nfs/dbraw/zinc/43/26/37/1125432637.db2.gz MZLMQZUVFRKOQU-CYBMUJFWSA-N 1 2 291.782 3.841 20 0 CHADLO Cc1ccc2c(Nc3ccc(F)c(C(N)=O)c3)cccc2[nH+]1 ZINC001212797710 1125442690 /nfs/dbraw/zinc/44/26/90/1125442690.db2.gz FWAMKQAWQHQSDL-UHFFFAOYSA-N 1 2 295.317 3.525 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc3ncccc3c2)cs1 ZINC000125025983 1125444194 /nfs/dbraw/zinc/44/41/94/1125444194.db2.gz SDVMTPVJMSJARH-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc3ncccc3c2)cs1 ZINC000125025983 1125444195 /nfs/dbraw/zinc/44/41/95/1125444195.db2.gz SDVMTPVJMSJARH-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccc2c(Nc3cc(N)ccc3O)cccc2[nH+]1 ZINC001212797801 1125444181 /nfs/dbraw/zinc/44/41/81/1125444181.db2.gz KYCIZMWDSAOKJL-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO Cc1ccc2c(Nc3c(C)cccc3C(N)=O)cccc2[nH+]1 ZINC001212797728 1125445557 /nfs/dbraw/zinc/44/55/57/1125445557.db2.gz GYKKETNGLYYLDZ-UHFFFAOYSA-N 1 2 291.354 3.694 20 0 CHADLO CCCc1nc(C[N@H+](C)Cc2ccc(OC)cc2)cs1 ZINC000043566650 1125449193 /nfs/dbraw/zinc/44/91/93/1125449193.db2.gz NIOFPYVBRGLYMR-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCCc1nc(C[N@@H+](C)Cc2ccc(OC)cc2)cs1 ZINC000043566650 1125449195 /nfs/dbraw/zinc/44/91/95/1125449195.db2.gz NIOFPYVBRGLYMR-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO Cc1ccc(Cl)c(NC(=O)[C@@H]2CC[N@@H+]2C2CCCC2)c1 ZINC000635691108 1129188859 /nfs/dbraw/zinc/18/88/59/1129188859.db2.gz YODVYPIEUZEEQJ-HNNXBMFYSA-N 1 2 292.810 3.604 20 0 CHADLO Cc1cc(F)cc(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)c1 ZINC000635713601 1129189388 /nfs/dbraw/zinc/18/93/88/1129189388.db2.gz IADUPXYQNLAPLZ-OAHLLOKOSA-N 1 2 289.354 3.557 20 0 CHADLO O=C(Nc1ccc2c(c1)C=CCCC2)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000636201353 1129198816 /nfs/dbraw/zinc/19/88/16/1129198816.db2.gz KFDRSNSEDZZYMJ-HZPDHXFCSA-N 1 2 293.370 3.501 20 0 CHADLO O=C(Nc1ccc2c(c1)C=CCCC2)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000636201353 1129198817 /nfs/dbraw/zinc/19/88/17/1129198817.db2.gz KFDRSNSEDZZYMJ-HZPDHXFCSA-N 1 2 293.370 3.501 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1ccco1 ZINC000163930406 1129204948 /nfs/dbraw/zinc/20/49/48/1129204948.db2.gz ZFAPGAAKFOCXKQ-GFCCVEGCSA-N 1 2 268.316 3.785 20 0 CHADLO CCCCCCOC(=O)C[NH2+][C@@H](C)CC(C)(C)SC ZINC001258334028 1129211018 /nfs/dbraw/zinc/21/10/18/1129211018.db2.gz RABZQMUDFDNCSX-ZDUSSCGKSA-N 1 2 289.485 3.620 20 0 CHADLO CCCc1ccccc1NC(=O)c1ccn2c(C)c[nH+]c2c1 ZINC001124695531 1131358966 /nfs/dbraw/zinc/35/89/66/1131358966.db2.gz KXSFLIYLHZLHSZ-UHFFFAOYSA-N 1 2 293.370 3.848 20 0 CHADLO Cc1cc(C)c(CNC(=O)C(C)(C)CC(C)(C)C)c[nH+]1 ZINC000822010942 1131359352 /nfs/dbraw/zinc/35/93/52/1131359352.db2.gz BDQXQBKAHYYGDF-UHFFFAOYSA-N 1 2 276.424 3.777 20 0 CHADLO CO[C@@H]1CC=C(Nc2ccc(N3CCCCC3)[nH+]c2)CC1 ZINC001212873426 1129229558 /nfs/dbraw/zinc/22/95/58/1129229558.db2.gz KPSQEDMNKHCNDS-MRXNPFEDSA-N 1 2 287.407 3.567 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccc(C)cc2F)s1 ZINC000637950743 1129237946 /nfs/dbraw/zinc/23/79/46/1129237946.db2.gz FRHVSQRAIPQLBD-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccc(C)cc2F)s1 ZINC000637950743 1129237949 /nfs/dbraw/zinc/23/79/49/1129237949.db2.gz FRHVSQRAIPQLBD-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2CC(C)(C)Cc3oc(C)cc32)o1 ZINC000638378749 1129256318 /nfs/dbraw/zinc/25/63/18/1129256318.db2.gz RTIFTOSLCCAYKT-ZDUSSCGKSA-N 1 2 274.364 3.688 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)[C@H]1CC1(F)F ZINC000638399759 1129256848 /nfs/dbraw/zinc/25/68/48/1129256848.db2.gz SPEWBCSOYQRWJX-WCQYABFASA-N 1 2 281.350 3.528 20 0 CHADLO CC[C@@H]1CCC[C@H]1[NH2+][C@H](c1ncn[nH]1)C1CCCCC1 ZINC000638743872 1129268179 /nfs/dbraw/zinc/26/81/79/1129268179.db2.gz PFTCBTOBWOSFIZ-YUELXQCFSA-N 1 2 276.428 3.594 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(OC2CCCC2)cc1 ZINC000090170888 1129272540 /nfs/dbraw/zinc/27/25/40/1129272540.db2.gz RIROELVFMKWLJT-UHFFFAOYSA-N 1 2 285.391 3.837 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cccc(OCC(C)C)c2)no1 ZINC000282579788 1129273094 /nfs/dbraw/zinc/27/30/94/1129273094.db2.gz GANHPVWIZOMYRB-AWEZNQCLSA-N 1 2 288.391 3.869 20 0 CHADLO Fc1ccc2c(c1)CC[N@@H+](Cc1ccc(C(F)F)nc1)C2 ZINC000638925718 1129274993 /nfs/dbraw/zinc/27/49/93/1129274993.db2.gz UNHQVOTWSCAYPG-UHFFFAOYSA-N 1 2 292.304 3.717 20 0 CHADLO Fc1ccc2c(c1)CC[N@H+](Cc1ccc(C(F)F)nc1)C2 ZINC000638925718 1129274995 /nfs/dbraw/zinc/27/49/95/1129274995.db2.gz UNHQVOTWSCAYPG-UHFFFAOYSA-N 1 2 292.304 3.717 20 0 CHADLO CC[C@H](NC(=O)c1cc2c[nH+]ccc2[nH]1)c1ccc(C)cc1 ZINC001136535597 1131363288 /nfs/dbraw/zinc/36/32/88/1131363288.db2.gz CWHAZRVFRRGILX-HNNXBMFYSA-N 1 2 293.370 3.752 20 0 CHADLO CC/C(=C/C(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1 ZINC000733969968 1129291099 /nfs/dbraw/zinc/29/10/99/1129291099.db2.gz ZHROGEUJCCISMZ-OWBHPGMISA-N 1 2 291.354 3.766 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000639199240 1129291500 /nfs/dbraw/zinc/29/15/00/1129291500.db2.gz JEZORHCSGXYQID-UHFFFAOYSA-N 1 2 286.350 3.858 20 0 CHADLO CCCCC[N@H+](Cn1nc(C)sc1=S)C(C)C ZINC000734829564 1129323144 /nfs/dbraw/zinc/32/31/44/1129323144.db2.gz SAWIIGVDRTUXTQ-UHFFFAOYSA-N 1 2 273.471 3.841 20 0 CHADLO CCCCC[N@@H+](Cn1nc(C)sc1=S)C(C)C ZINC000734829564 1129323149 /nfs/dbraw/zinc/32/31/49/1129323149.db2.gz SAWIIGVDRTUXTQ-UHFFFAOYSA-N 1 2 273.471 3.841 20 0 CHADLO c1n[nH]c([C@H]([NH2+][C@H](C2CC2)C2CCC2)C2CCCCC2)n1 ZINC000639246755 1129297299 /nfs/dbraw/zinc/29/72/99/1129297299.db2.gz KNASJEMHAPPBRF-JKSUJKDBSA-N 1 2 288.439 3.594 20 0 CHADLO COc1cc(C)c([C@H](C)[N@@H+]2CCCc3[nH]ncc3C2)cc1C ZINC000639340459 1129304100 /nfs/dbraw/zinc/30/41/00/1129304100.db2.gz OEFBKCXIPQERSY-AWEZNQCLSA-N 1 2 299.418 3.545 20 0 CHADLO COc1cc(C)c([C@H](C)[N@H+]2CCCc3[nH]ncc3C2)cc1C ZINC000639340459 1129304105 /nfs/dbraw/zinc/30/41/05/1129304105.db2.gz OEFBKCXIPQERSY-AWEZNQCLSA-N 1 2 299.418 3.545 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1coc(C2CC2)n1)C(C)C ZINC000734510387 1129310307 /nfs/dbraw/zinc/31/03/07/1129310307.db2.gz VDFJCOSULZJCHR-HNNXBMFYSA-N 1 2 285.391 3.742 20 0 CHADLO Cc1ccc2ncc(C[N@H+](C)Cc3ccns3)cc2c1 ZINC000639810337 1129335149 /nfs/dbraw/zinc/33/51/49/1129335149.db2.gz UOJYIKVZJFVRAK-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccc2ncc(C[N@@H+](C)Cc3ccns3)cc2c1 ZINC000639810337 1129335152 /nfs/dbraw/zinc/33/51/52/1129335152.db2.gz UOJYIKVZJFVRAK-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1c(C)cc(C)nc1C)C2 ZINC000639893538 1129338867 /nfs/dbraw/zinc/33/88/67/1129338867.db2.gz IVBHGNHGDDOSJF-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1c(C)cc(C)nc1C)C2 ZINC000639893538 1129338871 /nfs/dbraw/zinc/33/88/71/1129338871.db2.gz IVBHGNHGDDOSJF-UHFFFAOYSA-N 1 2 282.387 3.531 20 0 CHADLO COc1cc(C)nc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC000735487384 1129347264 /nfs/dbraw/zinc/34/72/64/1129347264.db2.gz WHFXEJNKZLGYOW-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO O=C(/C=C\C1CCCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000735465349 1129347904 /nfs/dbraw/zinc/34/79/04/1129347904.db2.gz SSKJVRUUCVVWHB-KHPPLWFESA-N 1 2 295.386 3.947 20 0 CHADLO COC(=O)[C@H](C)c1ccc(NCCC2=CCCCC2)[nH+]c1 ZINC001168770486 1129360259 /nfs/dbraw/zinc/36/02/59/1129360259.db2.gz CAJUEKFWLDYLGT-CYBMUJFWSA-N 1 2 288.391 3.661 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1cnc(CC(C)C)s1 ZINC000091812932 1129371287 /nfs/dbraw/zinc/37/12/87/1129371287.db2.gz WTWABXIAKNPQPZ-UHFFFAOYSA-N 1 2 293.436 3.578 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1cnc(CC(C)C)s1 ZINC000091812932 1129371291 /nfs/dbraw/zinc/37/12/91/1129371291.db2.gz WTWABXIAKNPQPZ-UHFFFAOYSA-N 1 2 293.436 3.578 20 0 CHADLO CC(C)[C@H](Nc1cc(CO)cc[nH+]1)c1ccc(F)cc1 ZINC000641266756 1129378158 /nfs/dbraw/zinc/37/81/58/1129378158.db2.gz JRSYYYKAOKASJX-INIZCTEOSA-N 1 2 274.339 3.522 20 0 CHADLO CCCCOC(=O)C[N@@H+]1CCCCC[C@@H]1c1ccco1 ZINC000736946618 1129381886 /nfs/dbraw/zinc/38/18/86/1129381886.db2.gz QXBODCLOCKSKSH-CQSZACIVSA-N 1 2 279.380 3.540 20 0 CHADLO CCCCOC(=O)C[N@H+]1CCCCC[C@@H]1c1ccco1 ZINC000736946618 1129381892 /nfs/dbraw/zinc/38/18/92/1129381892.db2.gz QXBODCLOCKSKSH-CQSZACIVSA-N 1 2 279.380 3.540 20 0 CHADLO Cc1cn2cc(-c3cn(C)c4ccccc34)ccc2[nH+]1 ZINC001239535186 1131370665 /nfs/dbraw/zinc/37/06/65/1131370665.db2.gz JHXRVACZJVNRAN-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)C(F)F)ccc1Oc1cccnc1 ZINC000641793236 1129393029 /nfs/dbraw/zinc/39/30/29/1129393029.db2.gz GBZOVODVZVLKFW-LBPRGKRZSA-N 1 2 292.329 3.926 20 0 CHADLO CC(C)c1ccc(CC[NH2+][C@H](C)C(=O)OC(C)(C)C)cc1 ZINC000738416799 1129393566 /nfs/dbraw/zinc/39/35/66/1129393566.db2.gz MBSMWIZPKVLUGR-CQSZACIVSA-N 1 2 291.435 3.672 20 0 CHADLO C[N@H+](Cc1nc(C(C)(C)C)no1)[C@H]1CCCc2ccccc21 ZINC000641979145 1129399835 /nfs/dbraw/zinc/39/98/35/1129399835.db2.gz PWMREMCLXLZWPS-HNNXBMFYSA-N 1 2 299.418 3.877 20 0 CHADLO C[N@@H+](Cc1nc(C(C)(C)C)no1)[C@H]1CCCc2ccccc21 ZINC000641979145 1129399839 /nfs/dbraw/zinc/39/98/39/1129399839.db2.gz PWMREMCLXLZWPS-HNNXBMFYSA-N 1 2 299.418 3.877 20 0 CHADLO Cc1cc(-c2cn(C)c3ccccc23)cn2cc[nH+]c12 ZINC001239535958 1131371916 /nfs/dbraw/zinc/37/19/16/1131371916.db2.gz SZRDPQFNPJHSCI-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO CCN(C)c1cc(C)[nH+]c(-c2cc(C)c(OC)c(C)c2)n1 ZINC001241014034 1129404899 /nfs/dbraw/zinc/40/48/99/1129404899.db2.gz QDPMOPMAIIIXLE-UHFFFAOYSA-N 1 2 285.391 3.534 20 0 CHADLO C[C@@H]1CC[C@@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C[C@@H]1C ZINC001125083316 1131372703 /nfs/dbraw/zinc/37/27/03/1131372703.db2.gz CQYRAMHFAOUEDR-QLFBSQMISA-N 1 2 297.402 3.883 20 0 CHADLO Cc1cn2c(cccc2-c2c(F)ccc(F)c2F)[nH+]1 ZINC001241047650 1129417090 /nfs/dbraw/zinc/41/70/90/1129417090.db2.gz NGHFKGVEXDILTN-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO CC(C)(C)C(=O)CC[NH+](Cc1ccco1)Cc1ccco1 ZINC000741155762 1129430074 /nfs/dbraw/zinc/43/00/74/1129430074.db2.gz QKYVWVWIXBFQFX-UHFFFAOYSA-N 1 2 289.375 3.880 20 0 CHADLO C[N@H+](CCC(=O)C(C)(C)C)Cc1nc2ccccc2s1 ZINC000741156135 1129430440 /nfs/dbraw/zinc/43/04/40/1129430440.db2.gz WOOKJWZNUIETII-UHFFFAOYSA-N 1 2 290.432 3.733 20 0 CHADLO C[N@@H+](CCC(=O)C(C)(C)C)Cc1nc2ccccc2s1 ZINC000741156135 1129430441 /nfs/dbraw/zinc/43/04/41/1129430441.db2.gz WOOKJWZNUIETII-UHFFFAOYSA-N 1 2 290.432 3.733 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[NH+]1CC(C2CC2)C1 ZINC000643345215 1129439154 /nfs/dbraw/zinc/43/91/54/1129439154.db2.gz JDQBTLCQKIWMDN-UHFFFAOYSA-N 1 2 286.202 3.844 20 0 CHADLO C[C@H](CCC1CC1)[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000249052247 1129439582 /nfs/dbraw/zinc/43/95/82/1129439582.db2.gz WYJOCFIJPGFPBB-HUUCEWRRSA-N 1 2 288.435 3.902 20 0 CHADLO CO[C@H]1CCC[C@@H](Nc2ccc(-n3c[nH+]c(C)c3C)cc2)C1 ZINC000643354280 1129439998 /nfs/dbraw/zinc/43/99/98/1129439998.db2.gz ILQOQJOLOXJRFT-AEFFLSMTSA-N 1 2 299.418 3.859 20 0 CHADLO COc1cccc(C[NH2+][C@H]2C[C@]2(F)c2ccccc2)c1F ZINC000643800658 1129450216 /nfs/dbraw/zinc/45/02/16/1129450216.db2.gz PSYQMGGQLYPBCU-RDJZCZTQSA-N 1 2 289.325 3.561 20 0 CHADLO CC(C)([NH2+]Cc1ccc2c(c1)CCCC2)C(F)F ZINC000644401315 1129465991 /nfs/dbraw/zinc/46/59/91/1129465991.db2.gz VYSFJMBXFDFRBZ-UHFFFAOYSA-N 1 2 253.336 3.699 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](c1ccccc1)C1CCC1 ZINC000180431060 1129475581 /nfs/dbraw/zinc/47/55/81/1129475581.db2.gz QYLGQVGJVPQEKH-SJCJKPOMSA-N 1 2 269.392 3.910 20 0 CHADLO CC(=CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1)C(C)(C)C ZINC000742502802 1129482748 /nfs/dbraw/zinc/48/27/48/1129482748.db2.gz FIRCIOZNSMKYJA-NTMALXAHSA-N 1 2 271.364 3.802 20 0 CHADLO Cc1ccc([C@H](C)C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)o1 ZINC000742517391 1129485704 /nfs/dbraw/zinc/48/57/04/1129485704.db2.gz MOQRHHLWHKBQEI-ZDUSSCGKSA-N 1 2 295.342 3.516 20 0 CHADLO CCCCCC[C@@](C)(CC)C(=O)N[C@H](C)Cn1cc[nH+]c1 ZINC000742543844 1129486371 /nfs/dbraw/zinc/48/63/71/1129486371.db2.gz KPAZJVLGDFVXFQ-NVXWUHKLSA-N 1 2 293.455 3.775 20 0 CHADLO Oc1cccc(C[NH2+][C@@H]2CSc3ccccc32)c1Cl ZINC000645156528 1129489279 /nfs/dbraw/zinc/48/92/79/1129489279.db2.gz IKTSQZOEGAVRKY-GFCCVEGCSA-N 1 2 291.803 3.982 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+]Cc1cccc(O)c1Cl)CO2 ZINC000645163885 1129489642 /nfs/dbraw/zinc/48/96/42/1129489642.db2.gz LZUQWRIRBJKKFT-ZDUSSCGKSA-N 1 2 289.762 3.577 20 0 CHADLO Cc1ccc([C@@H](C)C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)o1 ZINC000742603128 1129489817 /nfs/dbraw/zinc/48/98/17/1129489817.db2.gz FUOYNPWYKKNTJL-GFCCVEGCSA-N 1 2 295.342 3.720 20 0 CHADLO C/C(=C\C(=O)Nc1ccc2[nH+]c(C)cn2c1)C(C)(C)C ZINC000742612797 1129490589 /nfs/dbraw/zinc/49/05/89/1129490589.db2.gz LTZWPTOEDHRAAF-DHZHZOJOSA-N 1 2 271.364 3.574 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@@H+]1Cc1csc(CCc2ccccc2)n1 ZINC000645216117 1129491595 /nfs/dbraw/zinc/49/15/95/1129491595.db2.gz JOXSJMWSAKEXDY-UONOGXRCSA-N 1 2 286.444 3.769 20 0 CHADLO C[C@@H]1[C@@H](C)C[N@H+]1Cc1csc(CCc2ccccc2)n1 ZINC000645216117 1129491596 /nfs/dbraw/zinc/49/15/96/1129491596.db2.gz JOXSJMWSAKEXDY-UONOGXRCSA-N 1 2 286.444 3.769 20 0 CHADLO COC[C@@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000645255848 1129493093 /nfs/dbraw/zinc/49/30/93/1129493093.db2.gz XZADUUVPWOWABW-CYBMUJFWSA-N 1 2 288.366 3.627 20 0 CHADLO Cc1nc(N2CCc3sc(Cl)cc3C2)c(C)c(C)[nH+]1 ZINC000645291802 1129494020 /nfs/dbraw/zinc/49/40/20/1129494020.db2.gz ZMQWUVUTRNIKOT-UHFFFAOYSA-N 1 2 293.823 3.679 20 0 CHADLO COc1cccc2c(N[C@H]3CCS[C@@H]3C)cc[nH+]c12 ZINC000645399160 1129496469 /nfs/dbraw/zinc/49/64/69/1129496469.db2.gz HHUBEOGOFODROK-PWSUYJOCSA-N 1 2 274.389 3.549 20 0 CHADLO COc1ncccc1C[NH2+]C1(c2ccccc2Cl)CC1 ZINC000645978303 1129515296 /nfs/dbraw/zinc/51/52/96/1129515296.db2.gz CAKOKGNSIHODFV-UHFFFAOYSA-N 1 2 288.778 3.523 20 0 CHADLO CCOc1cc(F)ccc1-c1ccn2cc[nH+]c2c1 ZINC001241318684 1129515661 /nfs/dbraw/zinc/51/56/61/1129515661.db2.gz GMJFCLKTHSYHTP-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(C)nc2)CC2CC2)cs1 ZINC000646019042 1129517036 /nfs/dbraw/zinc/51/70/36/1129517036.db2.gz JWTCEDNYFHXMAU-UHFFFAOYSA-N 1 2 287.432 3.567 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(C)nc2)CC2CC2)cs1 ZINC000646019042 1129517038 /nfs/dbraw/zinc/51/70/38/1129517038.db2.gz JWTCEDNYFHXMAU-UHFFFAOYSA-N 1 2 287.432 3.567 20 0 CHADLO Cc1cc(C)c(-c2c[nH+]c(N3CCOCC3)c(C)c2)c(C)c1 ZINC001239549221 1131379912 /nfs/dbraw/zinc/37/99/12/1131379912.db2.gz DBJIFCSNHJPZGP-UHFFFAOYSA-N 1 2 296.414 3.819 20 0 CHADLO CCCCOC(=O)C[N@@H+]1CC[C@@H](C)C[C@@H]1c1ccc(C)o1 ZINC000743871384 1129542163 /nfs/dbraw/zinc/54/21/63/1129542163.db2.gz DYUNDGFQVWVQDA-UKRRQHHQSA-N 1 2 293.407 3.704 20 0 CHADLO CCCCOC(=O)C[N@H+]1CC[C@@H](C)C[C@@H]1c1ccc(C)o1 ZINC000743871384 1129542164 /nfs/dbraw/zinc/54/21/64/1129542164.db2.gz DYUNDGFQVWVQDA-UKRRQHHQSA-N 1 2 293.407 3.704 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3ccccc3C)CC2)no1 ZINC000647316599 1129567557 /nfs/dbraw/zinc/56/75/57/1129567557.db2.gz XVCGIRSRUZKKPL-CYBMUJFWSA-N 1 2 256.349 3.631 20 0 CHADLO Cc1nc(C[N@H+]2CCS[C@@H](C)[C@@H]2c2ccccc2)co1 ZINC000647964549 1129590119 /nfs/dbraw/zinc/59/01/19/1129590119.db2.gz OIKNAPVFIKQVOQ-BLLLJJGKSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1nc(C[N@@H+]2CCS[C@@H](C)[C@@H]2c2ccccc2)co1 ZINC000647964549 1129590121 /nfs/dbraw/zinc/59/01/21/1129590121.db2.gz OIKNAPVFIKQVOQ-BLLLJJGKSA-N 1 2 288.416 3.662 20 0 CHADLO Oc1ccc(C[N@@H+]2CCc3sc(Cl)cc3C2)cc1 ZINC000648050050 1129594183 /nfs/dbraw/zinc/59/41/83/1129594183.db2.gz SITKZVIWLSOLAC-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc(C[N@H+]2CCc3sc(Cl)cc3C2)cc1 ZINC000648050050 1129594185 /nfs/dbraw/zinc/59/41/85/1129594185.db2.gz SITKZVIWLSOLAC-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO CC(C)[C@@H]1[N@H+](Cc2ncc(Cl)s2)CC12CC=CC2 ZINC000648072540 1129597008 /nfs/dbraw/zinc/59/70/08/1129597008.db2.gz HTPFJJIHAZVFBY-ZDUSSCGKSA-N 1 2 282.840 3.973 20 0 CHADLO CC(C)[C@@H]1[N@@H+](Cc2ncc(Cl)s2)CC12CC=CC2 ZINC000648072540 1129597010 /nfs/dbraw/zinc/59/70/10/1129597010.db2.gz HTPFJJIHAZVFBY-ZDUSSCGKSA-N 1 2 282.840 3.973 20 0 CHADLO CCOc1ccccc1-c1cc(N)c(C(F)(F)F)c[nH+]1 ZINC001239558115 1131384374 /nfs/dbraw/zinc/38/43/74/1131384374.db2.gz XQERLWRTJIDMSD-UHFFFAOYSA-N 1 2 282.265 3.748 20 0 CHADLO CC[C@H]1C[C@@H](Nc2ccc(-n3c[nH+]c(C)c3C)cc2)CCO1 ZINC000648592379 1129615384 /nfs/dbraw/zinc/61/53/84/1129615384.db2.gz XSMONXMBMGUIDV-WMZOPIPTSA-N 1 2 299.418 3.859 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3c(O)cccc32)nc(C2CC2)[nH+]1 ZINC000189573325 1129618181 /nfs/dbraw/zinc/61/81/81/1129618181.db2.gz YSDKWLATOXDZHW-OAHLLOKOSA-N 1 2 295.386 3.858 20 0 CHADLO Cc1ncc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)s1 ZINC000183147171 1129618749 /nfs/dbraw/zinc/61/87/49/1129618749.db2.gz KBRCILMNWZAAEM-NSHDSACASA-N 1 2 288.420 3.620 20 0 CHADLO C[N@H+](Cc1cscc1Cl)[C@@H](CO)c1ccccc1 ZINC000648714276 1129623085 /nfs/dbraw/zinc/62/30/85/1129623085.db2.gz HCBNETDGXMFZIB-AWEZNQCLSA-N 1 2 281.808 3.567 20 0 CHADLO C[N@@H+](Cc1cscc1Cl)[C@@H](CO)c1ccccc1 ZINC000648714276 1129623087 /nfs/dbraw/zinc/62/30/87/1129623087.db2.gz HCBNETDGXMFZIB-AWEZNQCLSA-N 1 2 281.808 3.567 20 0 CHADLO CCOc1ccc(C[N@@H+]2CC3(CCC3)[C@@H]2c2ccco2)nc1 ZINC000648801678 1129625934 /nfs/dbraw/zinc/62/59/34/1129625934.db2.gz NJDMNCDIRZPMKB-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO CCOc1ccc(C[N@H+]2CC3(CCC3)[C@@H]2c2ccco2)nc1 ZINC000648801678 1129625936 /nfs/dbraw/zinc/62/59/36/1129625936.db2.gz NJDMNCDIRZPMKB-KRWDZBQOSA-N 1 2 298.386 3.801 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000648912157 1129629950 /nfs/dbraw/zinc/62/99/50/1129629950.db2.gz SNFMPRPEFJLJTQ-HOTGVXAUSA-N 1 2 291.439 3.509 20 0 CHADLO C[C@@]1(C[N@H+](CC(F)F)CC2CCC2)CC1(Cl)Cl ZINC000828554584 1131390754 /nfs/dbraw/zinc/39/07/54/1131390754.db2.gz FOFRTIVMULIYMJ-NSHDSACASA-N 1 2 286.193 3.938 20 0 CHADLO C[C@@]1(C[N@@H+](CC(F)F)CC2CCC2)CC1(Cl)Cl ZINC000828554584 1131390756 /nfs/dbraw/zinc/39/07/56/1131390756.db2.gz FOFRTIVMULIYMJ-NSHDSACASA-N 1 2 286.193 3.938 20 0 CHADLO CC1(C)C[N@H+](Cc2cc3n(n2)CCCC3)[C@H]1c1ccccc1 ZINC000649562604 1129658619 /nfs/dbraw/zinc/65/86/19/1129658619.db2.gz NQGSJGIYAYVDMI-SFHVURJKSA-N 1 2 295.430 3.803 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc3n(n2)CCCC3)[C@H]1c1ccccc1 ZINC000649562604 1129658621 /nfs/dbraw/zinc/65/86/21/1129658621.db2.gz NQGSJGIYAYVDMI-SFHVURJKSA-N 1 2 295.430 3.803 20 0 CHADLO CCSc1cccc(NC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000649728775 1129673673 /nfs/dbraw/zinc/67/36/73/1129673673.db2.gz FORIOTAEPRPWEW-LBPRGKRZSA-N 1 2 289.404 3.585 20 0 CHADLO Cc1cc(NCc2cc(C(C)C)no2)[nH+]c2cc[nH]c21 ZINC001168827437 1129675202 /nfs/dbraw/zinc/67/52/02/1129675202.db2.gz GGGNHDQVPHZKOA-UHFFFAOYSA-N 1 2 270.336 3.595 20 0 CHADLO CC(C)c1cc(CNc2cccc(-c3c[nH+]cn3C)c2)on1 ZINC001168828082 1129675495 /nfs/dbraw/zinc/67/54/95/1129675495.db2.gz RFPSUAGIOMFCCJ-UHFFFAOYSA-N 1 2 296.374 3.811 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc[nH+]c(OC(C)C)c2)nc1 ZINC001168853242 1129676880 /nfs/dbraw/zinc/67/68/80/1129676880.db2.gz DWLGAVKWMUKBHM-CYBMUJFWSA-N 1 2 271.364 3.745 20 0 CHADLO COc1cccc2[nH+]c(NCCC3CCC3)ccc21 ZINC001168886493 1129682084 /nfs/dbraw/zinc/68/20/84/1129682084.db2.gz CZBQCRYUNVVOHX-UHFFFAOYSA-N 1 2 256.349 3.846 20 0 CHADLO CCc1ccc(NC(=O)C[C@H](C)n2cc[nH+]c2)cc1CC ZINC000649896664 1129684327 /nfs/dbraw/zinc/68/43/27/1129684327.db2.gz XILWGPKYIJPRRI-ZDUSSCGKSA-N 1 2 285.391 3.598 20 0 CHADLO Cc1cc(NCCc2cn3ccccc3[nH+]2)cc2cc[nH]c21 ZINC001169010080 1129689707 /nfs/dbraw/zinc/68/97/07/1129689707.db2.gz ZNKFKCRLQGLRRW-UHFFFAOYSA-N 1 2 290.370 3.779 20 0 CHADLO COc1c(Cl)ccc(Nc2ccn3cc[nH+]c3c2)c1F ZINC001212584053 1129691764 /nfs/dbraw/zinc/69/17/64/1129691764.db2.gz MMMPOAXARNTECW-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO CC(C)C[C@H](Cc1ccccc1)[NH2+][C@@H](C)C(=O)OC(C)C ZINC001169051450 1129692786 /nfs/dbraw/zinc/69/27/86/1129692786.db2.gz VXKQCABJXLCNHF-DOTOQJQBSA-N 1 2 291.435 3.574 20 0 CHADLO COc1cc(Nc2ccc(Cl)c(OC)c2F)cc(C)[nH+]1 ZINC001212584300 1129693077 /nfs/dbraw/zinc/69/30/77/1129693077.db2.gz ZZCGIKNMAUIKJO-UHFFFAOYSA-N 1 2 296.729 3.943 20 0 CHADLO CC[C@@H]([NH2+]C/C=C\c1ccc(Cl)cc1)c1noc(C)n1 ZINC001169112179 1129703528 /nfs/dbraw/zinc/70/35/28/1129703528.db2.gz NBSCLFYMTUUWCY-ZRUQZJFASA-N 1 2 291.782 3.786 20 0 CHADLO Clc1cncc(Cl)c1CNc1cc2cc[nH]c2c[nH+]1 ZINC001169181810 1129707267 /nfs/dbraw/zinc/70/72/67/1129707267.db2.gz HRFKYPDFOVAATI-UHFFFAOYSA-N 1 2 293.157 3.877 20 0 CHADLO Cc1occc1C[NH2+][C@@H](C)c1ncc(-c2ccccc2)n1C ZINC000651205887 1129732651 /nfs/dbraw/zinc/73/26/51/1129732651.db2.gz RDXSMOZVZUAIOP-ZDUSSCGKSA-N 1 2 295.386 3.839 20 0 CHADLO C[C@H](CNc1ccc(-n2cccc2)c[nH+]1)c1ccc(O)cc1 ZINC001169534521 1129733398 /nfs/dbraw/zinc/73/33/98/1129733398.db2.gz HZSABECCPXHGBR-CQSZACIVSA-N 1 2 293.370 3.794 20 0 CHADLO COc1cc(C[NH2+][C@@H](C)c2cscn2)ccc1Cl ZINC000651467895 1129740124 /nfs/dbraw/zinc/74/01/24/1129740124.db2.gz KZZKARTWAHXVFH-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO Cc1nc(NC[C@@H]2CCCCO2)cc(C2CCCCC2)[nH+]1 ZINC001156378018 1129755804 /nfs/dbraw/zinc/75/58/04/1129755804.db2.gz SXEINNMIUBHUPV-HNNXBMFYSA-N 1 2 289.423 3.814 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2c3cc(C)ccc3C[C@H]2C)c1 ZINC000652067947 1129757389 /nfs/dbraw/zinc/75/73/89/1129757389.db2.gz MLBJGVHYDMKZAL-FZKQIMNGSA-N 1 2 282.387 3.882 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(OC)c(F)c2)c1 ZINC000652330508 1129763219 /nfs/dbraw/zinc/76/32/19/1129763219.db2.gz IFPTURHFXMPXNJ-NSHDSACASA-N 1 2 290.338 3.549 20 0 CHADLO COc1cc[nH+]cc1NCc1ccc(-c2ccccn2)cc1 ZINC001169894457 1129763692 /nfs/dbraw/zinc/76/36/92/1129763692.db2.gz FIRDHPMAHJUODD-UHFFFAOYSA-N 1 2 291.354 3.764 20 0 CHADLO CCO[C@H]1CCC[C@@H]([NH2+]c2ccc(N(C)C)cc2)C1 ZINC000721184423 1129771089 /nfs/dbraw/zinc/77/10/89/1129771089.db2.gz OGZJTHQEJYLKHD-ZBFHGGJFSA-N 1 2 262.397 3.512 20 0 CHADLO CCO[C@H]1CCC[C@@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000721184423 1129771091 /nfs/dbraw/zinc/77/10/91/1129771091.db2.gz OGZJTHQEJYLKHD-ZBFHGGJFSA-N 1 2 262.397 3.512 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2c(F)cncc2F)cnc1Cl ZINC000796525257 1129771608 /nfs/dbraw/zinc/77/16/08/1129771608.db2.gz BIEHHBQMPAFZMW-VIFPVBQESA-N 1 2 297.736 3.567 20 0 CHADLO FC1(F)CC[NH+](Cc2ncc(-c3ccccc3)o2)CC1 ZINC000796539774 1129777408 /nfs/dbraw/zinc/77/74/08/1129777408.db2.gz FGCVDHCWJGZVJQ-UHFFFAOYSA-N 1 2 278.302 3.573 20 0 CHADLO Cc1ccc2c(c1)N(C(=O)Nc1c(C)cc(C)[nH+]c1C)CC2 ZINC000653189683 1129778014 /nfs/dbraw/zinc/77/80/14/1129778014.db2.gz JKKHRARUNXMBRX-UHFFFAOYSA-N 1 2 295.386 3.910 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C)c2cnccc2C)c(C)[nH+]1 ZINC000653184673 1129778290 /nfs/dbraw/zinc/77/82/90/1129778290.db2.gz QDPMKOOTPWSCSK-CYBMUJFWSA-N 1 2 298.390 3.593 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)c2ccco2)ccc1-n1cc[nH+]c1 ZINC001136624688 1131397027 /nfs/dbraw/zinc/39/70/27/1131397027.db2.gz FVBNNXHLQZAFKN-ZDUSSCGKSA-N 1 2 295.342 3.516 20 0 CHADLO CCC1(C)CC[NH+](Cc2csc(Cl)n2)CC1 ZINC000380606832 1129832038 /nfs/dbraw/zinc/83/20/38/1129832038.db2.gz WWTLEBURVNGURK-UHFFFAOYSA-N 1 2 258.818 3.809 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2Cc3cc(C)ccc3CC2(C)C)on1 ZINC000653705245 1129792070 /nfs/dbraw/zinc/79/20/70/1129792070.db2.gz YQUZRFQRAFYUGT-UHFFFAOYSA-N 1 2 298.386 3.523 20 0 CHADLO CC(=O)c1cc(C[N@H+]2Cc3cc(C)ccc3CC2(C)C)on1 ZINC000653705245 1129792072 /nfs/dbraw/zinc/79/20/72/1129792072.db2.gz YQUZRFQRAFYUGT-UHFFFAOYSA-N 1 2 298.386 3.523 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc2n(n1)CCCC2)c1ccccc1F ZINC000653790319 1129795191 /nfs/dbraw/zinc/79/51/91/1129795191.db2.gz NCJGDVMMGUENKA-QGZVFWFLSA-N 1 2 287.382 3.599 20 0 CHADLO Clc1snnc1C[N@@H+]1CCC[C@H]1CC1CCCC1 ZINC000653893811 1129799840 /nfs/dbraw/zinc/79/98/40/1129799840.db2.gz KWMIFVLQYKQEGN-NSHDSACASA-N 1 2 285.844 3.736 20 0 CHADLO Clc1snnc1C[N@H+]1CCC[C@H]1CC1CCCC1 ZINC000653893811 1129799843 /nfs/dbraw/zinc/79/98/43/1129799843.db2.gz KWMIFVLQYKQEGN-NSHDSACASA-N 1 2 285.844 3.736 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC[C@H]2c2nccs2)c1F ZINC001137677390 1131398841 /nfs/dbraw/zinc/39/88/41/1131398841.db2.gz PORRCXCZSOMXSN-ZDUSSCGKSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1cccc(C[N@H+]2CCC[C@H]2c2nccs2)c1F ZINC001137677390 1131398844 /nfs/dbraw/zinc/39/88/44/1131398844.db2.gz PORRCXCZSOMXSN-ZDUSSCGKSA-N 1 2 276.380 3.928 20 0 CHADLO CC/C=C\CCSCc1cc[nH+]c(N(C)C)c1 ZINC000767874524 1129819158 /nfs/dbraw/zinc/81/91/58/1129819158.db2.gz HBKZUVPAQCOTOR-WAYWQWQTSA-N 1 2 250.411 3.737 20 0 CHADLO CC[N@H+](Cc1coc(C2CC2)n1)Cc1ccccc1 ZINC000768140673 1129836717 /nfs/dbraw/zinc/83/67/17/1129836717.db2.gz GRNJAKNKQSVNDN-UHFFFAOYSA-N 1 2 256.349 3.574 20 0 CHADLO CC[N@@H+](Cc1coc(C2CC2)n1)Cc1ccccc1 ZINC000768140673 1129836723 /nfs/dbraw/zinc/83/67/23/1129836723.db2.gz GRNJAKNKQSVNDN-UHFFFAOYSA-N 1 2 256.349 3.574 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2coc(C3CC3)n2)cc1 ZINC000768163918 1129838238 /nfs/dbraw/zinc/83/82/38/1129838238.db2.gz VMIFXJGGONLVOF-UHFFFAOYSA-N 1 2 286.375 3.583 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2coc(C3CC3)n2)cc1 ZINC000768163918 1129838242 /nfs/dbraw/zinc/83/82/42/1129838242.db2.gz VMIFXJGGONLVOF-UHFFFAOYSA-N 1 2 286.375 3.583 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2occc2Br)c1 ZINC000768252016 1129847402 /nfs/dbraw/zinc/84/74/02/1129847402.db2.gz GMTXJPKBNOYNIW-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2occc2Br)c1 ZINC000768252016 1129847408 /nfs/dbraw/zinc/84/74/08/1129847408.db2.gz GMTXJPKBNOYNIW-UHFFFAOYSA-N 1 2 294.192 3.983 20 0 CHADLO CC[C@H](C)c1ccc(C(=O)N(C)CCCn2cc[nH+]c2)cc1 ZINC000768325218 1129850778 /nfs/dbraw/zinc/85/07/78/1129850778.db2.gz UCFYAQQKDSFHCC-HNNXBMFYSA-N 1 2 299.418 3.559 20 0 CHADLO CCCCC[C@H](C(=O)N(C)CCCn1cc[nH+]c1)C(C)C ZINC000768325259 1129851447 /nfs/dbraw/zinc/85/14/47/1129851447.db2.gz VOSHDWHAEFNRCL-INIZCTEOSA-N 1 2 293.455 3.584 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1ccc(F)cc1 ZINC000724403707 1129853152 /nfs/dbraw/zinc/85/31/52/1129853152.db2.gz KDJISUXNXMKKTN-NSHDSACASA-N 1 2 276.355 3.962 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]2c2ccccn2)cnc1Cl ZINC000768355731 1129854075 /nfs/dbraw/zinc/85/40/75/1129854075.db2.gz PXQOYULBSCDWQY-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]2c2ccccn2)cnc1Cl ZINC000768355731 1129854080 /nfs/dbraw/zinc/85/40/80/1129854080.db2.gz PXQOYULBSCDWQY-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2cnc(Cl)c(C)c2)on1 ZINC000768877669 1129877576 /nfs/dbraw/zinc/87/75/76/1129877576.db2.gz JCTCFYOAGAJIPX-CYBMUJFWSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2cnc(Cl)c(C)c2)on1 ZINC000768877669 1129877579 /nfs/dbraw/zinc/87/75/79/1129877579.db2.gz JCTCFYOAGAJIPX-CYBMUJFWSA-N 1 2 291.782 3.677 20 0 CHADLO COCC[C@H]1CCC[C@@H]1[NH2+]c1ccc(N(C)C)c(C)c1 ZINC000800488494 1129878390 /nfs/dbraw/zinc/87/83/90/1129878390.db2.gz REGMTTNOYJZHBH-ZBFHGGJFSA-N 1 2 276.424 3.678 20 0 CHADLO COCC[C@H]1CCC[C@@H]1Nc1ccc([NH+](C)C)c(C)c1 ZINC000800488494 1129878395 /nfs/dbraw/zinc/87/83/95/1129878395.db2.gz REGMTTNOYJZHBH-ZBFHGGJFSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(C)on1)c1ccccc1OC ZINC000800502497 1129881592 /nfs/dbraw/zinc/88/15/92/1129881592.db2.gz CGMPRLWYZUGTLL-GXTWGEPZSA-N 1 2 274.364 3.794 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1C=Cc1ccccc1 ZINC001239593746 1131404746 /nfs/dbraw/zinc/40/47/46/1131404746.db2.gz JPLWFDWWNMDQFV-BQYQJAHWSA-N 1 2 250.301 3.742 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@H+]2Cc2cnc3ccccc3c2)n1 ZINC000769209257 1129896009 /nfs/dbraw/zinc/89/60/09/1129896009.db2.gz GRIDTKPAGXKQRJ-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@@H+]2Cc2cnc3ccccc3c2)n1 ZINC000769209257 1129896013 /nfs/dbraw/zinc/89/60/13/1129896013.db2.gz GRIDTKPAGXKQRJ-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1coc(C2CC2)n1 ZINC000769353070 1129904343 /nfs/dbraw/zinc/90/43/43/1129904343.db2.gz RWNRWGYQETUQBE-GFCCVEGCSA-N 1 2 268.360 3.671 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000769353070 1129904344 /nfs/dbraw/zinc/90/43/44/1129904344.db2.gz RWNRWGYQETUQBE-GFCCVEGCSA-N 1 2 268.360 3.671 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)c(C)o1 ZINC000769489889 1129909520 /nfs/dbraw/zinc/90/95/20/1129909520.db2.gz TVYSSTVKIMRLAP-KDOFPFPSSA-N 1 2 285.387 3.858 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)OC[C@H]2c2ccccc2)c(C)o1 ZINC000769489889 1129909523 /nfs/dbraw/zinc/90/95/23/1129909523.db2.gz TVYSSTVKIMRLAP-KDOFPFPSSA-N 1 2 285.387 3.858 20 0 CHADLO Clc1ccc2[nH]cc(C[N@@H+]3CCn4cccc4C3)c2c1 ZINC001137712628 1131407012 /nfs/dbraw/zinc/40/70/12/1131407012.db2.gz KVSNUJPRMMBEFD-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Clc1ccc2[nH]cc(C[N@H+]3CCn4cccc4C3)c2c1 ZINC001137712628 1131407014 /nfs/dbraw/zinc/40/70/14/1131407014.db2.gz KVSNUJPRMMBEFD-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Cc1ccc(NCc2cccc3[nH+]ccn32)cc1Cl ZINC000769685393 1129919855 /nfs/dbraw/zinc/91/98/55/1129919855.db2.gz GZZBROQNPRNRDK-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO Cc1cccc(C)c1-c1ccc(C[NH+]2CCOCC2)cc1F ZINC001239598162 1131408164 /nfs/dbraw/zinc/40/81/64/1131408164.db2.gz QOKPJWSMSHUJNT-UHFFFAOYSA-N 1 2 299.389 3.942 20 0 CHADLO C[C@H](C1CCCCC1)[N@H+](C)CC(F)(F)C(F)F ZINC000801601009 1129931587 /nfs/dbraw/zinc/93/15/87/1129931587.db2.gz QHUWVQWIDVKBRT-SECBINFHSA-N 1 2 255.299 3.787 20 0 CHADLO C[C@H](C1CCCCC1)[N@@H+](C)CC(F)(F)C(F)F ZINC000801601009 1129931590 /nfs/dbraw/zinc/93/15/90/1129931590.db2.gz QHUWVQWIDVKBRT-SECBINFHSA-N 1 2 255.299 3.787 20 0 CHADLO CCO[C@@H]1C[C@H](Nc2ccc([NH+](C)C)c(C)c2)C1(C)C ZINC000770522820 1129950640 /nfs/dbraw/zinc/95/06/40/1129950640.db2.gz IHIXVLSVXFKIAF-JKSUJKDBSA-N 1 2 276.424 3.677 20 0 CHADLO Clc1cc(C[N@@H+]2CCCC3(CC3)C2)cc(Cl)n1 ZINC000770697349 1129956488 /nfs/dbraw/zinc/95/64/88/1129956488.db2.gz APQXQECGYJNRGX-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO Clc1cc(C[N@H+]2CCCC3(CC3)C2)cc(Cl)n1 ZINC000770697349 1129956491 /nfs/dbraw/zinc/95/64/91/1129956491.db2.gz APQXQECGYJNRGX-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO Cc1cc(NCCC(=O)c2ccccc2)ccc1[NH+](C)C ZINC001170019312 1129963021 /nfs/dbraw/zinc/96/30/21/1129963021.db2.gz YFCGCQDDKPLNHD-UHFFFAOYSA-N 1 2 282.387 3.746 20 0 CHADLO c1[nH]cc([C@H]2C[C@@H]2c2nc(C3CCCCCC3)no2)[nH+]1 ZINC000901953353 1129967878 /nfs/dbraw/zinc/96/78/78/1129967878.db2.gz YTCFFUOZIXDHGJ-RYUDHWBXSA-N 1 2 272.352 3.502 20 0 CHADLO COc1ccc([C@@H]2CCC[N@@H+]2Cc2coc(C3CC3)n2)cc1 ZINC000771933476 1129996264 /nfs/dbraw/zinc/99/62/64/1129996264.db2.gz KAGAOWXRXBQTIG-KRWDZBQOSA-N 1 2 298.386 3.898 20 0 CHADLO COc1ccc([C@@H]2CCC[N@H+]2Cc2coc(C3CC3)n2)cc1 ZINC000771933476 1129996266 /nfs/dbraw/zinc/99/62/66/1129996266.db2.gz KAGAOWXRXBQTIG-KRWDZBQOSA-N 1 2 298.386 3.898 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@H]2c2ccccc2)cnc1Cl ZINC000771938458 1129996975 /nfs/dbraw/zinc/99/69/75/1129996975.db2.gz LWFHJAXKMIHMCG-HNNXBMFYSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@H]2c2ccccc2)cnc1Cl ZINC000771938458 1129996977 /nfs/dbraw/zinc/99/69/77/1129996977.db2.gz LWFHJAXKMIHMCG-HNNXBMFYSA-N 1 2 272.779 3.990 20 0 CHADLO COC(=O)[C@H]([NH3+])c1ccc(-c2ccc(C(C)C)cc2)cc1 ZINC001239626792 1130020500 /nfs/dbraw/zinc/02/05/00/1130020500.db2.gz MPYINKUDUAEBQC-QGZVFWFLSA-N 1 2 283.371 3.650 20 0 CHADLO CC(=O)c1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001239638281 1130028798 /nfs/dbraw/zinc/02/87/98/1130028798.db2.gz PKRVAOLATIMXPI-UHFFFAOYSA-N 1 2 280.302 3.881 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)[C@@H]1CC1(C)C ZINC000785494424 1130036462 /nfs/dbraw/zinc/03/64/62/1130036462.db2.gz BWGPNWBTFASTSB-KEYYUXOJSA-N 1 2 288.435 3.758 20 0 CHADLO Cc1ccc2[nH+]c(C)cc(OCc3nnc(C(C)C)o3)c2c1 ZINC000902694662 1130040911 /nfs/dbraw/zinc/04/09/11/1130040911.db2.gz LYNWKVWVGTZCIO-UHFFFAOYSA-N 1 2 297.358 3.937 20 0 CHADLO CCc1cc(C[NH2+][C@H](C)c2ccc(C(F)(F)F)cn2)on1 ZINC000902816347 1130062648 /nfs/dbraw/zinc/06/26/48/1130062648.db2.gz ONDQGNJIZOXKFM-SECBINFHSA-N 1 2 299.296 3.502 20 0 CHADLO CCc1cccc(C)c1-c1c[nH+]c2c(c1)CCCN2 ZINC001242818338 1130074099 /nfs/dbraw/zinc/07/40/99/1130074099.db2.gz QYSGUYPDESGQOA-UHFFFAOYSA-N 1 2 252.361 3.620 20 0 CHADLO Clc1cc(C[N@@H+]2CC[C@H]2c2ccccc2)ccn1 ZINC000748819786 1130078606 /nfs/dbraw/zinc/07/86/06/1130078606.db2.gz KYGBRICNARGAKT-AWEZNQCLSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1cc(C[N@H+]2CC[C@H]2c2ccccc2)ccn1 ZINC000748819786 1130078612 /nfs/dbraw/zinc/07/86/12/1130078612.db2.gz KYGBRICNARGAKT-AWEZNQCLSA-N 1 2 258.752 3.682 20 0 CHADLO COc1cccc(-c2ccc(Cn3cc[nH+]c3)cc2)c1F ZINC001239750344 1130090421 /nfs/dbraw/zinc/09/04/21/1130090421.db2.gz WDKBYKFOSSPIOK-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO COc1ccc(C)cc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001239770382 1130101561 /nfs/dbraw/zinc/10/15/61/1130101561.db2.gz RAWJPZRWABVTPY-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)c1ccccc1Cl)c1nncn1C ZINC000903060792 1130107030 /nfs/dbraw/zinc/10/70/30/1130107030.db2.gz GCNWGBZVQHPKHO-RISCZKNCSA-N 1 2 292.814 3.516 20 0 CHADLO C[N@@H+]1CCc2oc(-c3ccc(C4=CCCC4)cc3)nc2C1 ZINC001239789711 1130108201 /nfs/dbraw/zinc/10/82/01/1130108201.db2.gz UPEYWGIEEQDUBH-UHFFFAOYSA-N 1 2 280.371 3.897 20 0 CHADLO C[N@H+]1CCc2oc(-c3ccc(C4=CCCC4)cc3)nc2C1 ZINC001239789711 1130108203 /nfs/dbraw/zinc/10/82/03/1130108203.db2.gz UPEYWGIEEQDUBH-UHFFFAOYSA-N 1 2 280.371 3.897 20 0 CHADLO Cc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC001239821309 1130124034 /nfs/dbraw/zinc/12/40/34/1130124034.db2.gz HABIRFFPDFDMIR-UHFFFAOYSA-N 1 2 252.292 3.987 20 0 CHADLO COc1ccc(-c2ccccc2Cn2cc[nH+]c2)c(C)c1 ZINC001239827379 1130127795 /nfs/dbraw/zinc/12/77/95/1130127795.db2.gz RWJRMSRHHDGVDS-UHFFFAOYSA-N 1 2 278.355 3.915 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2cc(N(C)C)ccn2)C2CCC2)o1 ZINC000903199504 1130128519 /nfs/dbraw/zinc/12/85/19/1130128519.db2.gz ZSFDLOXYERGOQU-GOSISDBHSA-N 1 2 299.418 3.680 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2ccc(N)nc2F)c1 ZINC001239832428 1130129505 /nfs/dbraw/zinc/12/95/05/1130129505.db2.gz WLPNVWQXVRHOHT-UHFFFAOYSA-N 1 2 273.355 3.625 20 0 CHADLO Cc1cn2cc(-c3ccnc4ccccc43)ccc2[nH+]1 ZINC001239852053 1130134994 /nfs/dbraw/zinc/13/49/94/1130134994.db2.gz ZCEQCZSWVSDFML-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1ccc(Cl)cc1C[N@@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000787269724 1130143696 /nfs/dbraw/zinc/14/36/96/1130143696.db2.gz BHTVOVGYAISSJY-SECBINFHSA-N 1 2 281.680 3.863 20 0 CHADLO Fc1ccc(Cl)cc1C[N@H+]1CC[C@@H](C(F)(F)F)C1 ZINC000787269724 1130143697 /nfs/dbraw/zinc/14/36/97/1130143697.db2.gz BHTVOVGYAISSJY-SECBINFHSA-N 1 2 281.680 3.863 20 0 CHADLO Cc1c(C)c(C)c(C(=O)OCc2cc[nH+]c(N)c2)c(C)c1C ZINC000787598519 1130157241 /nfs/dbraw/zinc/15/72/41/1130157241.db2.gz NVKNGYKVMZTXPM-UHFFFAOYSA-N 1 2 298.386 3.563 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ncccn1)C1CC1)c1cc(F)cc(F)c1 ZINC000903332524 1130158775 /nfs/dbraw/zinc/15/87/75/1130158775.db2.gz MXJWRKCRFBZLBT-ZUZCIYMTSA-N 1 2 289.329 3.557 20 0 CHADLO Cn1ccc2cc(-c3cc[nH+]c(N4CCCC4)c3)ccc21 ZINC001239940338 1130161651 /nfs/dbraw/zinc/16/16/51/1130161651.db2.gz FBQWDTIJOLRFOP-UHFFFAOYSA-N 1 2 277.371 3.841 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc3cncnc3c2)c1 ZINC001239993794 1130184549 /nfs/dbraw/zinc/18/45/49/1130184549.db2.gz VNJICBVXEIHCMS-UHFFFAOYSA-N 1 2 286.338 3.697 20 0 CHADLO Cc1cn2cc(-c3cccc4cnccc43)ccc2[nH+]1 ZINC001240009021 1130190794 /nfs/dbraw/zinc/19/07/94/1130190794.db2.gz HGUSKDFDADIZTF-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1cn2c(cccc2-c2cccc3cnccc32)[nH+]1 ZINC001240008338 1130190892 /nfs/dbraw/zinc/19/08/92/1130190892.db2.gz GLHAHTYIBYVINL-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Nc1cn2ccc(-c3ccc(N4CCCCC4)cc3)cc2[nH+]1 ZINC001240013025 1130193356 /nfs/dbraw/zinc/19/33/56/1130193356.db2.gz SJOGDHQNWWGLOO-UHFFFAOYSA-N 1 2 292.386 3.574 20 0 CHADLO COc1ccc(-c2ccc(Cn3cc[nH+]c3)cn2)cc1Cl ZINC001240030162 1130199016 /nfs/dbraw/zinc/19/90/16/1130199016.db2.gz CEHYLFNDHRNZLI-UHFFFAOYSA-N 1 2 299.761 3.655 20 0 CHADLO CCN(CC)C(=O)c1cccc(-c2c(C)cc[nH+]c2C)c1 ZINC001240056583 1130206218 /nfs/dbraw/zinc/20/62/18/1130206218.db2.gz NYAZDOBIVOBJQP-UHFFFAOYSA-N 1 2 282.387 3.847 20 0 CHADLO CC(C)[N@H+](Cc1cccc(Cl)c1)C[C@H]([NH3+])CC(F)F ZINC000903884133 1130207315 /nfs/dbraw/zinc/20/73/15/1130207315.db2.gz SMPWNPSANSCQQE-CYBMUJFWSA-N 1 2 290.785 3.533 20 0 CHADLO CC(C)[N@@H+](Cc1cccc(Cl)c1)C[C@H]([NH3+])CC(F)F ZINC000903884133 1130207309 /nfs/dbraw/zinc/20/73/09/1130207309.db2.gz SMPWNPSANSCQQE-CYBMUJFWSA-N 1 2 290.785 3.533 20 0 CHADLO Cn1c[nH+]cc1-c1cc2cc[nH]c2cc1C(F)(F)F ZINC001243069309 1130229706 /nfs/dbraw/zinc/22/97/06/1130229706.db2.gz QISKTYLGHIRWRP-UHFFFAOYSA-N 1 2 265.238 3.587 20 0 CHADLO c1cn2cc(-c3cccc4ncsc43)ccc2[nH+]1 ZINC001240218156 1130235568 /nfs/dbraw/zinc/23/55/68/1130235568.db2.gz CYLASHIAUSGGSM-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO COc1cc(C)c(-c2ccc3[nH+]ccn3c2)c(C)c1 ZINC001240217685 1130235958 /nfs/dbraw/zinc/23/59/58/1130235958.db2.gz LQFDRILTURMTSU-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CC(C)[S@](=O)c1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001240219162 1130237184 /nfs/dbraw/zinc/23/71/84/1130237184.db2.gz CSCDHOGEDMOCLW-FQEVSTJZSA-N 1 2 284.384 3.517 20 0 CHADLO Fc1ccc(OC(F)F)c(-c2ccc3[nH+]ccn3c2)c1 ZINC001240218656 1130237560 /nfs/dbraw/zinc/23/75/60/1130237560.db2.gz IIPYLCYPLCDYME-UHFFFAOYSA-N 1 2 278.233 3.742 20 0 CHADLO CCOc1ccc(C)cc1-c1ccc2[nH+]ccn2c1 ZINC001240221181 1130238139 /nfs/dbraw/zinc/23/81/39/1130238139.db2.gz IQNUWRPIWLBDNG-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO CSc1cccc(-c2ccc3[nH+]ccn3c2)c1F ZINC001240221139 1130238320 /nfs/dbraw/zinc/23/83/20/1130238320.db2.gz GRKXSAPBHSWFOR-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO Cn1c[nH+]cc1CSc1nc(-c2ccccc2)cs1 ZINC000748651819 1130239502 /nfs/dbraw/zinc/23/95/02/1130239502.db2.gz PCOFJPJAJSAQPA-UHFFFAOYSA-N 1 2 287.413 3.836 20 0 CHADLO Cc1ccc(-c2c[nH+]c(N3CCCCC3)cc2C)cn1 ZINC001240223017 1130240709 /nfs/dbraw/zinc/24/07/09/1130240709.db2.gz CMRIGDMQCNDOMO-UHFFFAOYSA-N 1 2 267.376 3.751 20 0 CHADLO c1cn2c(cccc2-c2cccc(N3CCCCC3)c2)[nH+]1 ZINC001240251869 1130248379 /nfs/dbraw/zinc/24/83/79/1130248379.db2.gz FQHJKOFJDRWUQB-UHFFFAOYSA-N 1 2 277.371 3.992 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(OCc2ccc(F)cc2)cc1 ZINC001240280161 1130254403 /nfs/dbraw/zinc/25/44/03/1130254403.db2.gz WYXWVQIEYXCBKB-UHFFFAOYSA-N 1 2 282.318 3.805 20 0 CHADLO CCCCC[C@H]([NH2+]C[C@@H]1C[C@@H]1c1ccccc1)C(=O)OC ZINC000789577787 1130260381 /nfs/dbraw/zinc/26/03/81/1130260381.db2.gz YRYBCBCTDGKUHN-BBWFWOEESA-N 1 2 289.419 3.502 20 0 CHADLO Cn1cnc2ccc(-c3cccc(-c4c[nH+]cn4C)c3)cc21 ZINC001240321247 1130266912 /nfs/dbraw/zinc/26/69/12/1130266912.db2.gz XQQMRZAHFWODPM-UHFFFAOYSA-N 1 2 288.354 3.641 20 0 CHADLO Cc1cc(-c2ccc3ncsc3c2)c2[nH+]ccn2c1 ZINC001240344797 1130273015 /nfs/dbraw/zinc/27/30/15/1130273015.db2.gz LNMDOLREXKBUES-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO F[C@@H]1C[N@H+](Cc2cnc3ccccc3c2)CCCC1(F)F ZINC001137278323 1130281926 /nfs/dbraw/zinc/28/19/26/1130281926.db2.gz VEWVUMVDZITUJD-OAHLLOKOSA-N 1 2 294.320 3.804 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2cnc3ccccc3c2)CCCC1(F)F ZINC001137278323 1130281927 /nfs/dbraw/zinc/28/19/27/1130281927.db2.gz VEWVUMVDZITUJD-OAHLLOKOSA-N 1 2 294.320 3.804 20 0 CHADLO Nc1cn2ccc(-c3ccc(C(F)(F)F)cc3F)cc2[nH+]1 ZINC001240396105 1130291043 /nfs/dbraw/zinc/29/10/43/1130291043.db2.gz FFVKJRMYQWBYFR-UHFFFAOYSA-N 1 2 295.239 3.741 20 0 CHADLO Cc1csc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c1Cl ZINC001137287225 1130292136 /nfs/dbraw/zinc/29/21/36/1130292136.db2.gz CVJWMJCETZIAHR-PSASIEDQSA-N 1 2 261.793 3.890 20 0 CHADLO Cc1csc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c1Cl ZINC001137287225 1130292138 /nfs/dbraw/zinc/29/21/38/1130292138.db2.gz CVJWMJCETZIAHR-PSASIEDQSA-N 1 2 261.793 3.890 20 0 CHADLO CC(C)(O)c1cccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001240409209 1130295234 /nfs/dbraw/zinc/29/52/34/1130295234.db2.gz GUWQMUBSJARTKZ-UHFFFAOYSA-N 1 2 278.355 3.971 20 0 CHADLO Fc1ccc(-c2ccnc3[nH]ccc32)cc1-n1cc[nH+]c1 ZINC001240416101 1130299286 /nfs/dbraw/zinc/29/92/86/1130299286.db2.gz CJFLUDIYGIQBGA-UHFFFAOYSA-N 1 2 278.290 3.555 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3ncsc3C2)c1Cl ZINC001137292686 1130306734 /nfs/dbraw/zinc/30/67/34/1130306734.db2.gz KSYMIWHGJYLEBO-UHFFFAOYSA-N 1 2 284.837 3.725 20 0 CHADLO Cc1csc(C[N@H+]2CCc3ncsc3C2)c1Cl ZINC001137292686 1130306740 /nfs/dbraw/zinc/30/67/40/1130306740.db2.gz KSYMIWHGJYLEBO-UHFFFAOYSA-N 1 2 284.837 3.725 20 0 CHADLO COc1cc(-c2c[nH+]cn2C)ccc1OCc1ccccc1 ZINC001240471269 1130322268 /nfs/dbraw/zinc/32/22/68/1130322268.db2.gz NSIQGKBQKZKAMO-UHFFFAOYSA-N 1 2 294.354 3.675 20 0 CHADLO c1sc(C2CC2)nc1C[NH+]1CC(Cc2ccccc2)C1 ZINC000677718214 1130323909 /nfs/dbraw/zinc/32/39/09/1130323909.db2.gz ZSLMOIQHUQIZEH-UHFFFAOYSA-N 1 2 284.428 3.695 20 0 CHADLO Cc1cc2cc(-c3ccc(F)c(-n4cc[nH+]c4)c3)cnc2[nH]1 ZINC001240489319 1130324833 /nfs/dbraw/zinc/32/48/33/1130324833.db2.gz JHFXKKJKUXLYFC-UHFFFAOYSA-N 1 2 292.317 3.863 20 0 CHADLO Cc1cc(NC(=O)c2ccccc2CC(C)C)c(C)c[nH+]1 ZINC000790806229 1130329214 /nfs/dbraw/zinc/32/92/14/1130329214.db2.gz VQDAEJDZCSGNJH-UHFFFAOYSA-N 1 2 282.387 3.571 20 0 CHADLO Fc1ccc2cc(-c3ccn4cc[nH+]c4c3)ncc2c1 ZINC001240504610 1130333436 /nfs/dbraw/zinc/33/34/36/1130333436.db2.gz SGZVGPVVEIJHHV-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Fc1cc(-c2ccn3cc[nH+]c3c2)cc2cc[nH]c21 ZINC001240509308 1130334056 /nfs/dbraw/zinc/33/40/56/1130334056.db2.gz VIKIFDKWJLLFPO-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO FC(F)(F)Oc1ccccc1-c1ccn2cc[nH+]c2c1 ZINC001240508551 1130334959 /nfs/dbraw/zinc/33/49/59/1130334959.db2.gz BYCJXUHJQYMBHU-UHFFFAOYSA-N 1 2 278.233 3.900 20 0 CHADLO Fc1cc(-c2ccn3cc[nH+]c3c2)cc(F)c1OC(F)F ZINC001240509315 1130335060 /nfs/dbraw/zinc/33/50/60/1130335060.db2.gz VWPKNTTYQMGFJO-UHFFFAOYSA-N 1 2 296.223 3.881 20 0 CHADLO CC(C)[S@](=O)c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240510716 1130335659 /nfs/dbraw/zinc/33/56/59/1130335659.db2.gz PMWRIZVEIKVWTQ-FQEVSTJZSA-N 1 2 284.384 3.517 20 0 CHADLO CSc1cc(F)cc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240511884 1130335822 /nfs/dbraw/zinc/33/58/22/1130335822.db2.gz KUWYHTOIKYZJLZ-UHFFFAOYSA-N 1 2 258.321 3.862 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)cnc1C(F)(F)F ZINC001240512179 1130337667 /nfs/dbraw/zinc/33/76/67/1130337667.db2.gz QQRNEDBNQVLRDI-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO c1cn2ccc(-c3cnc4sccc4c3)cc2[nH+]1 ZINC001240517690 1130340416 /nfs/dbraw/zinc/34/04/16/1130340416.db2.gz BSNDXBLZMAJZSX-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO C[N@H+](Cc1n[nH]c2ccccc21)Cc1cccc(Cl)c1 ZINC000678123301 1130352329 /nfs/dbraw/zinc/35/23/29/1130352329.db2.gz SIVVQEYKMHBRMB-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO C[N@@H+](Cc1n[nH]c2ccccc21)Cc1cccc(Cl)c1 ZINC000678123301 1130352335 /nfs/dbraw/zinc/35/23/35/1130352335.db2.gz SIVVQEYKMHBRMB-UHFFFAOYSA-N 1 2 285.778 3.848 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1[nH]nc2ccccc21 ZINC000678135111 1130355624 /nfs/dbraw/zinc/35/56/24/1130355624.db2.gz HAGJRAFZSOFISU-GFCCVEGCSA-N 1 2 283.350 3.895 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1[nH]nc2ccccc21 ZINC000678135111 1130355630 /nfs/dbraw/zinc/35/56/30/1130355630.db2.gz HAGJRAFZSOFISU-GFCCVEGCSA-N 1 2 283.350 3.895 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1n[nH]c2ccccc21 ZINC000678135111 1130355637 /nfs/dbraw/zinc/35/56/37/1130355637.db2.gz HAGJRAFZSOFISU-GFCCVEGCSA-N 1 2 283.350 3.895 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1n[nH]c2ccccc21 ZINC000678135111 1130355644 /nfs/dbraw/zinc/35/56/44/1130355644.db2.gz HAGJRAFZSOFISU-GFCCVEGCSA-N 1 2 283.350 3.895 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)CC(C)(C)C ZINC000791270127 1130360657 /nfs/dbraw/zinc/36/06/57/1130360657.db2.gz VORUSPVCUSBSJZ-UHFFFAOYSA-N 1 2 286.375 3.660 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cscc2Cl)cc1O ZINC000678209305 1130370957 /nfs/dbraw/zinc/37/09/57/1130370957.db2.gz MGHXGHNPCBMWNT-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cscc2Cl)cc1O ZINC000678209305 1130370962 /nfs/dbraw/zinc/37/09/62/1130370962.db2.gz MGHXGHNPCBMWNT-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1cn2cccc(F)c2n1)C1CC1 ZINC000678213392 1130372543 /nfs/dbraw/zinc/37/25/43/1130372543.db2.gz SULFFYWRMGRVOW-GFCCVEGCSA-N 1 2 299.349 3.792 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1cn2cccc(F)c2n1)C1CC1 ZINC000678213392 1130372548 /nfs/dbraw/zinc/37/25/48/1130372548.db2.gz SULFFYWRMGRVOW-GFCCVEGCSA-N 1 2 299.349 3.792 20 0 CHADLO c1cn2c(cccc2-c2ccc(N3CCCC3)cc2)[nH+]1 ZINC001240589767 1130375157 /nfs/dbraw/zinc/37/51/57/1130375157.db2.gz HJDXTRCVQITTAM-UHFFFAOYSA-N 1 2 263.344 3.602 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc(N2CCCC2)cc1 ZINC001240592214 1130376361 /nfs/dbraw/zinc/37/63/61/1130376361.db2.gz UNZUWPWIZYSMQT-UHFFFAOYSA-N 1 2 293.370 3.839 20 0 CHADLO CC[C@@H](C)CC(=O)OCc1ccc(-n2cc[nH+]c2)cc1C ZINC000791498674 1130380695 /nfs/dbraw/zinc/38/06/95/1130380695.db2.gz OVLZLOOCIPALEH-CYBMUJFWSA-N 1 2 286.375 3.660 20 0 CHADLO CCOc1cccc(C[N@H+]2CC[C@@H]2c2cccc(F)c2)n1 ZINC000678283748 1130389981 /nfs/dbraw/zinc/38/99/81/1130389981.db2.gz QWWBQDFQMYOITH-MRXNPFEDSA-N 1 2 286.350 3.566 20 0 CHADLO CCOc1cccc(C[N@@H+]2CC[C@@H]2c2cccc(F)c2)n1 ZINC000678283748 1130389974 /nfs/dbraw/zinc/38/99/74/1130389974.db2.gz QWWBQDFQMYOITH-MRXNPFEDSA-N 1 2 286.350 3.566 20 0 CHADLO CCC[N@@H+](CCOC)Cc1c(Cl)ccc(F)c1Cl ZINC001137353147 1130465553 /nfs/dbraw/zinc/46/55/53/1130465553.db2.gz IQSNQSXYJUXJJN-UHFFFAOYSA-N 1 2 294.197 3.991 20 0 CHADLO Cc1cc(C)cc(C[NH2+]Cc2c(F)ccc(O)c2F)c1 ZINC000827095118 1130404823 /nfs/dbraw/zinc/40/48/23/1130404823.db2.gz ZTLLWWNNIYHHEY-UHFFFAOYSA-N 1 2 277.314 3.577 20 0 CHADLO CC(C)[C@@H](CC(=O)OCc1cc[nH+]c(N)c1)c1ccccc1 ZINC000791843142 1130412716 /nfs/dbraw/zinc/41/27/16/1130412716.db2.gz QQFKRJLJPDGPQC-MRXNPFEDSA-N 1 2 298.386 3.537 20 0 CHADLO CC/C(=C/C(=O)OCc1cc[nH+]c(N)c1)c1ccc(C)cc1 ZINC000791945691 1130421522 /nfs/dbraw/zinc/42/15/22/1130421522.db2.gz VJLSNGYIZGJTTL-PTNGSMBKSA-N 1 2 296.370 3.509 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc(N)c(Cl)c2)c1 ZINC001243374681 1130423337 /nfs/dbraw/zinc/42/33/37/1130423337.db2.gz KGEOCRORHREHQS-UHFFFAOYSA-N 1 2 283.762 3.990 20 0 CHADLO CCCCC[C@H](C(=O)OCc1cc[nH+]c(N)c1)C(C)C ZINC000792012542 1130425389 /nfs/dbraw/zinc/42/53/89/1130425389.db2.gz TYCWUAJGFGZMAL-AWEZNQCLSA-N 1 2 278.396 3.560 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cccnc1Cl)C2 ZINC000792180105 1130437614 /nfs/dbraw/zinc/43/76/14/1130437614.db2.gz LNOGCNJOBUZHEV-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cccnc1Cl)C2 ZINC000792180105 1130437619 /nfs/dbraw/zinc/43/76/19/1130437619.db2.gz LNOGCNJOBUZHEV-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CSc1cc(C)ccc1-c1ccn2cc(N)[nH+]c2c1 ZINC001243385921 1130445647 /nfs/dbraw/zinc/44/56/47/1130445647.db2.gz IDYZMBPDIMXSIX-UHFFFAOYSA-N 1 2 269.373 3.614 20 0 CHADLO CC(C)c1cc(N[C@H](C)c2ccon2)nc(C(C)C)[nH+]1 ZINC000678787025 1130455663 /nfs/dbraw/zinc/45/56/63/1130455663.db2.gz WFVSSPFWVZKMDM-LLVKDONJSA-N 1 2 274.368 3.885 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1[nH]nc3ccccc31)CC2 ZINC001137351089 1130456522 /nfs/dbraw/zinc/45/65/22/1130456522.db2.gz OYGUSEQNXVMEBC-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1[nH]nc3ccccc31)CC2 ZINC001137351089 1130456525 /nfs/dbraw/zinc/45/65/25/1130456525.db2.gz OYGUSEQNXVMEBC-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO CSc1cc[nH+]c(N2CCC(c3ccc(N)cc3)CC2)c1 ZINC001157706624 1130463000 /nfs/dbraw/zinc/46/30/00/1130463000.db2.gz LCYRPYOAKKZLIS-UHFFFAOYSA-N 1 2 299.443 3.770 20 0 CHADLO Cc1cc(-c2ccc3c(c2)CCCO3)c2[nH+]ccn2c1 ZINC001240773592 1130465272 /nfs/dbraw/zinc/46/52/72/1130465272.db2.gz QUOGBUSDFGFYPI-UHFFFAOYSA-N 1 2 264.328 3.635 20 0 CHADLO CCC[N@H+](CCOC)Cc1c(Cl)ccc(F)c1Cl ZINC001137353147 1130465545 /nfs/dbraw/zinc/46/55/45/1130465545.db2.gz IQSNQSXYJUXJJN-UHFFFAOYSA-N 1 2 294.197 3.991 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](c2ccc(F)c(C)c2)C2CC2)n1 ZINC000678904000 1130470839 /nfs/dbraw/zinc/47/08/39/1130470839.db2.gz YXDLZOWMBLNPDW-ZUZCIYMTSA-N 1 2 289.354 3.627 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](c2ccc(F)c(C)c2)C2CC2)n1 ZINC000678903998 1130471194 /nfs/dbraw/zinc/47/11/94/1130471194.db2.gz YXDLZOWMBLNPDW-MEBBXXQBSA-N 1 2 289.354 3.627 20 0 CHADLO CNc1ccc(NCc2nc(-c3ccccc3)cs2)c[nH+]1 ZINC001170247408 1130472798 /nfs/dbraw/zinc/47/27/98/1130472798.db2.gz KYUBXJMCCFUGHW-UHFFFAOYSA-N 1 2 296.399 3.859 20 0 CHADLO Cc1cc(-c2cncc(C(F)(F)F)c2)c2[nH+]ccn2c1 ZINC001240791778 1130473651 /nfs/dbraw/zinc/47/36/51/1130473651.db2.gz IRHHAHJKRGXDKA-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO c1ccc([C@@H]2CCC[N@H+]2Cc2nc3c(s2)CCCC3)nc1 ZINC000678959335 1130478578 /nfs/dbraw/zinc/47/85/78/1130478578.db2.gz UONUQGAUNODRQN-HNNXBMFYSA-N 1 2 299.443 3.754 20 0 CHADLO c1ccc([C@@H]2CCC[N@@H+]2Cc2nc3c(s2)CCCC3)nc1 ZINC000678959335 1130478582 /nfs/dbraw/zinc/47/85/82/1130478582.db2.gz UONUQGAUNODRQN-HNNXBMFYSA-N 1 2 299.443 3.754 20 0 CHADLO c1ccc([C@H]2CCC[N@H+]2Cc2nc3c(s2)CCCC3)nc1 ZINC000678959336 1130478598 /nfs/dbraw/zinc/47/85/98/1130478598.db2.gz UONUQGAUNODRQN-OAHLLOKOSA-N 1 2 299.443 3.754 20 0 CHADLO c1ccc([C@H]2CCC[N@@H+]2Cc2nc3c(s2)CCCC3)nc1 ZINC000678959336 1130478601 /nfs/dbraw/zinc/47/86/01/1130478601.db2.gz UONUQGAUNODRQN-OAHLLOKOSA-N 1 2 299.443 3.754 20 0 CHADLO c1nc(C[NH2+][C@@H]2CCCOc3cc4c(cc32)CCCC4)co1 ZINC000679049870 1130487133 /nfs/dbraw/zinc/48/71/33/1130487133.db2.gz PYZIPDVXBBHGLO-QGZVFWFLSA-N 1 2 298.386 3.557 20 0 CHADLO Cc1cc(Cl)c2c(c1)[C@@H]([NH2+]Cc1cocn1)CCCO2 ZINC000679048407 1130487871 /nfs/dbraw/zinc/48/78/71/1130487871.db2.gz OFQJZYGNQVXIME-AWEZNQCLSA-N 1 2 292.766 3.640 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccccc1-c1ccccc1 ZINC000792684770 1130491936 /nfs/dbraw/zinc/49/19/36/1130491936.db2.gz AVYXPEMPWKSXBS-UHFFFAOYSA-N 1 2 278.330 3.922 20 0 CHADLO COc1ccc(-c2cccc3[nH+]c(C)cn32)cc1C ZINC001240864408 1130495675 /nfs/dbraw/zinc/49/56/75/1130495675.db2.gz SHECSNFCBOUCQL-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO O=C(CCc1c[nH]c[nH+]1)Nc1ccc(-c2ccccc2)cc1 ZINC000792774857 1130498216 /nfs/dbraw/zinc/49/82/16/1130498216.db2.gz FOZPGYUGVWXMDB-UHFFFAOYSA-N 1 2 291.354 3.648 20 0 CHADLO O=C(CCc1c[nH+]c[nH]1)Nc1ccc(-c2ccccc2)cc1 ZINC000792774857 1130498219 /nfs/dbraw/zinc/49/82/19/1130498219.db2.gz FOZPGYUGVWXMDB-UHFFFAOYSA-N 1 2 291.354 3.648 20 0 CHADLO C[C@H]1CC[N@H+](CCOc2ccc(F)cc2Cl)C[C@H]1F ZINC000679222350 1130502049 /nfs/dbraw/zinc/50/20/49/1130502049.db2.gz MGYLJAMZUMKFII-GXFFZTMASA-N 1 2 289.753 3.538 20 0 CHADLO C[C@H]1CC[N@@H+](CCOc2ccc(F)cc2Cl)C[C@H]1F ZINC000679222350 1130502051 /nfs/dbraw/zinc/50/20/51/1130502051.db2.gz MGYLJAMZUMKFII-GXFFZTMASA-N 1 2 289.753 3.538 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cccc(Br)c2)C[C@H]1F ZINC000679218878 1130502120 /nfs/dbraw/zinc/50/21/20/1130502120.db2.gz AYMMZIBCOUPNDN-ZWNOBZJWSA-N 1 2 286.188 3.629 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cccc(Br)c2)C[C@H]1F ZINC000679218878 1130502122 /nfs/dbraw/zinc/50/21/22/1130502122.db2.gz AYMMZIBCOUPNDN-ZWNOBZJWSA-N 1 2 286.188 3.629 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1cnn(CC(C)C)c1 ZINC001240887484 1130502560 /nfs/dbraw/zinc/50/25/60/1130502560.db2.gz CLTCOUGBNBSNAW-UHFFFAOYSA-N 1 2 298.434 3.900 20 0 CHADLO C[C@H]1CC[N@H+](CCOc2ccc(F)cc2Cl)C[C@@H]1F ZINC000679222347 1130503856 /nfs/dbraw/zinc/50/38/56/1130503856.db2.gz MGYLJAMZUMKFII-GWCFXTLKSA-N 1 2 289.753 3.538 20 0 CHADLO C[C@H]1CC[N@@H+](CCOc2ccc(F)cc2Cl)C[C@@H]1F ZINC000679222347 1130503857 /nfs/dbraw/zinc/50/38/57/1130503857.db2.gz MGYLJAMZUMKFII-GWCFXTLKSA-N 1 2 289.753 3.538 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccccc2Br)C[C@H]1F ZINC000679224893 1130505540 /nfs/dbraw/zinc/50/55/40/1130505540.db2.gz UXXVGJXCXQBJBR-ZWNOBZJWSA-N 1 2 286.188 3.629 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccccc2Br)C[C@H]1F ZINC000679224893 1130505544 /nfs/dbraw/zinc/50/55/44/1130505544.db2.gz UXXVGJXCXQBJBR-ZWNOBZJWSA-N 1 2 286.188 3.629 20 0 CHADLO COC(=O)[C@H]([NH3+])c1ccc(-c2ccc3ccccc3c2)cc1 ZINC001240911706 1130512138 /nfs/dbraw/zinc/51/21/38/1130512138.db2.gz XIMLMCREFYYSNM-GOSISDBHSA-N 1 2 291.350 3.680 20 0 CHADLO CN(C)c1ccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001240914557 1130514238 /nfs/dbraw/zinc/51/42/38/1130514238.db2.gz LGRWESDGXDRWCO-UHFFFAOYSA-N 1 2 263.344 3.810 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnc(C(C)(C)C)s2)C[C@H]1F ZINC000679358678 1130520326 /nfs/dbraw/zinc/52/03/26/1130520326.db2.gz JBMRUGBUVMRRQK-ZYHUDNBSSA-N 1 2 270.417 3.621 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnc(C(C)(C)C)s2)C[C@H]1F ZINC000679358678 1130520330 /nfs/dbraw/zinc/52/03/30/1130520330.db2.gz JBMRUGBUVMRRQK-ZYHUDNBSSA-N 1 2 270.417 3.621 20 0 CHADLO Cc1nc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)cs1 ZINC000679539401 1130537842 /nfs/dbraw/zinc/53/78/42/1130537842.db2.gz AITSDVKKBSIMKM-PSASIEDQSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1ccc(Br)c(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c1 ZINC001137382070 1130547301 /nfs/dbraw/zinc/54/73/01/1130547301.db2.gz KEWZGMWPAHSWHJ-VHRBIJSZSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1ccc(Br)c(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)c1 ZINC001137382070 1130547306 /nfs/dbraw/zinc/54/73/06/1130547306.db2.gz KEWZGMWPAHSWHJ-VHRBIJSZSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1cc(-c2ccc(F)c(F)c2F)cn2cc[nH+]c12 ZINC001240991290 1130556665 /nfs/dbraw/zinc/55/66/65/1130556665.db2.gz XFTGOZXMRWNHQG-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2c(F)cccc2Cl)n(C)n1 ZINC000793537813 1130565433 /nfs/dbraw/zinc/56/54/33/1130565433.db2.gz BHNNZVAFONNSIK-WDEREUQCSA-N 1 2 295.789 3.933 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2c(F)cccc2Cl)n(C)n1 ZINC000793537811 1130565671 /nfs/dbraw/zinc/56/56/71/1130565671.db2.gz BHNNZVAFONNSIK-QWRGUYRKSA-N 1 2 295.789 3.933 20 0 CHADLO CC[N@H+](Cc1[nH]ncc1C)Cc1ccc(C(F)(F)F)cc1 ZINC000811457034 1130611017 /nfs/dbraw/zinc/61/10/17/1130611017.db2.gz XUFAABCKEWKUJN-UHFFFAOYSA-N 1 2 297.324 3.759 20 0 CHADLO CC[N@@H+](Cc1[nH]ncc1C)Cc1ccc(C(F)(F)F)cc1 ZINC000811457034 1130611023 /nfs/dbraw/zinc/61/10/23/1130611023.db2.gz XUFAABCKEWKUJN-UHFFFAOYSA-N 1 2 297.324 3.759 20 0 CHADLO CSC1(CNc2ccc3c(c2)CCC[N@H+]3C)CCC1 ZINC000811487010 1130613197 /nfs/dbraw/zinc/61/31/97/1130613197.db2.gz KRHXTUWLLKBEKS-UHFFFAOYSA-N 1 2 276.449 3.767 20 0 CHADLO CC(C)[C@H]1CC[N@@H+]1Cc1ccnc(Cl)c1Cl ZINC000811472195 1130614326 /nfs/dbraw/zinc/61/43/26/1130614326.db2.gz ZSBJSKXNUFUZQC-SNVBAGLBSA-N 1 2 259.180 3.619 20 0 CHADLO CC(C)[C@H]1CC[N@H+]1Cc1ccnc(Cl)c1Cl ZINC000811472195 1130614329 /nfs/dbraw/zinc/61/43/29/1130614329.db2.gz ZSBJSKXNUFUZQC-SNVBAGLBSA-N 1 2 259.180 3.619 20 0 CHADLO CC1=C(C)C[N@H+](Cc2ccnc(Cl)c2Cl)CC1 ZINC000811500969 1130617683 /nfs/dbraw/zinc/61/76/83/1130617683.db2.gz BQSLEJRQKKVZNO-UHFFFAOYSA-N 1 2 271.191 3.931 20 0 CHADLO CC1=C(C)C[N@@H+](Cc2ccnc(Cl)c2Cl)CC1 ZINC000811500969 1130617687 /nfs/dbraw/zinc/61/76/87/1130617687.db2.gz BQSLEJRQKKVZNO-UHFFFAOYSA-N 1 2 271.191 3.931 20 0 CHADLO FCC[NH+](Cc1cccs1)Cc1cccs1 ZINC000759331339 1130652212 /nfs/dbraw/zinc/65/22/12/1130652212.db2.gz CFSSXAXJWMRUQW-UHFFFAOYSA-N 1 2 255.383 3.781 20 0 CHADLO CCc1ccc(OC)c(OC2C[NH+](Cc3ccccc3)C2)c1 ZINC001234646055 1130666458 /nfs/dbraw/zinc/66/64/58/1130666458.db2.gz ZFYXHKMAVMDGCV-UHFFFAOYSA-N 1 2 297.398 3.521 20 0 CHADLO CC(C)CC[C@@H](C)N1CC[C@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001256375742 1130674270 /nfs/dbraw/zinc/67/42/70/1130674270.db2.gz XOGHJZRQFWPDSS-CABCVRRESA-N 1 2 288.426 3.617 20 0 CHADLO CC(C)CC[C@@H](C)[N@@H+]1CC[C@H](N2CCCC2)C(F)(F)C1 ZINC001256375742 1130674272 /nfs/dbraw/zinc/67/42/72/1130674272.db2.gz XOGHJZRQFWPDSS-CABCVRRESA-N 1 2 288.426 3.617 20 0 CHADLO CC(C)CC[C@@H](C)[N@H+]1CC[C@H](N2CCCC2)C(F)(F)C1 ZINC001256375742 1130674275 /nfs/dbraw/zinc/67/42/75/1130674275.db2.gz XOGHJZRQFWPDSS-CABCVRRESA-N 1 2 288.426 3.617 20 0 CHADLO CC[NH+](CC)Cc1c(F)cc(F)cc1Br ZINC001234734958 1130676926 /nfs/dbraw/zinc/67/69/26/1130676926.db2.gz SENKEPYRIRGAKO-UHFFFAOYSA-N 1 2 278.140 3.569 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1cnc(Cl)s1 ZINC000780387916 1130679509 /nfs/dbraw/zinc/67/95/09/1130679509.db2.gz XKKSXNNQOONKOB-YUMQZZPRSA-N 1 2 298.761 3.959 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1cnc(Cl)s1 ZINC000780387916 1130679513 /nfs/dbraw/zinc/67/95/13/1130679513.db2.gz XKKSXNNQOONKOB-YUMQZZPRSA-N 1 2 298.761 3.959 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@H]1CCCOC1 ZINC000780737675 1130688881 /nfs/dbraw/zinc/68/88/81/1130688881.db2.gz AOBZBSFEJGMSGZ-CABCVRRESA-N 1 2 274.408 3.514 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@H]1CCCOC1 ZINC000780737675 1130688884 /nfs/dbraw/zinc/68/88/84/1130688884.db2.gz AOBZBSFEJGMSGZ-CABCVRRESA-N 1 2 274.408 3.514 20 0 CHADLO CCC(C)=C(C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000780863786 1130693610 /nfs/dbraw/zinc/69/36/10/1130693610.db2.gz WLDYVRXBJQNSRS-MDZDMXLPSA-N 1 2 257.337 3.556 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cc3cccc(F)c3[nH]2)C1 ZINC001235240770 1130725527 /nfs/dbraw/zinc/72/55/27/1130725527.db2.gz UMXLUTMALBQVEE-HNNXBMFYSA-N 1 2 264.319 3.631 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cc3cccc(F)c3[nH]2)C1 ZINC001235240770 1130725533 /nfs/dbraw/zinc/72/55/33/1130725533.db2.gz UMXLUTMALBQVEE-HNNXBMFYSA-N 1 2 264.319 3.631 20 0 CHADLO Fc1cccc2cc(C[N@@H+]3CCc4sccc4C3)[nH]c21 ZINC001235237574 1130725691 /nfs/dbraw/zinc/72/56/91/1130725691.db2.gz KAEATNSUCDHGJZ-UHFFFAOYSA-N 1 2 286.375 3.927 20 0 CHADLO Fc1cccc2cc(C[N@H+]3CCc4sccc4C3)[nH]c21 ZINC001235237574 1130725693 /nfs/dbraw/zinc/72/56/93/1130725693.db2.gz KAEATNSUCDHGJZ-UHFFFAOYSA-N 1 2 286.375 3.927 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCC[C@@H]2c2ccccn2)c1Cl ZINC001235583083 1130756220 /nfs/dbraw/zinc/75/62/20/1130756220.db2.gz QVSMQZYASUKYQJ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccnc(C[N@H+]2CCC[C@@H]2c2ccccn2)c1Cl ZINC001235583083 1130756225 /nfs/dbraw/zinc/75/62/25/1130756225.db2.gz QVSMQZYASUKYQJ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO C[C@@]1(O)CC[N@H+](Cc2cc(Cl)c(Cl)c(Cl)c2)C1 ZINC001235589954 1130756755 /nfs/dbraw/zinc/75/67/55/1130756755.db2.gz GSJSYHHQVGEVNE-GFCCVEGCSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@@]1(O)CC[N@@H+](Cc2cc(Cl)c(Cl)c(Cl)c2)C1 ZINC001235589954 1130756760 /nfs/dbraw/zinc/75/67/60/1130756760.db2.gz GSJSYHHQVGEVNE-GFCCVEGCSA-N 1 2 294.609 3.604 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc3cc(F)ccc3[nH]2)Cc2ccccc21 ZINC001235681548 1130767562 /nfs/dbraw/zinc/76/75/62/1130767562.db2.gz CLLFWWYHWBNISI-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc3cc(F)ccc3[nH]2)Cc2ccccc21 ZINC001235681548 1130767564 /nfs/dbraw/zinc/76/75/64/1130767564.db2.gz CLLFWWYHWBNISI-LBPRGKRZSA-N 1 2 295.361 3.821 20 0 CHADLO CC1(C)C[N@H+](Cc2nc3cc(F)ccc3[nH]2)CCC1(F)F ZINC001235683097 1130768142 /nfs/dbraw/zinc/76/81/42/1130768142.db2.gz NFFHFEBHJQSYCN-UHFFFAOYSA-N 1 2 297.324 3.569 20 0 CHADLO CC1(C)C[N@@H+](Cc2nc3cc(F)ccc3[nH]2)CCC1(F)F ZINC001235683097 1130768148 /nfs/dbraw/zinc/76/81/48/1130768148.db2.gz NFFHFEBHJQSYCN-UHFFFAOYSA-N 1 2 297.324 3.569 20 0 CHADLO COC1CC[NH+](Cc2c(F)cc(Cl)cc2Cl)CC1 ZINC001235746663 1130774873 /nfs/dbraw/zinc/77/48/73/1130774873.db2.gz VBKPVXAXWFYUIY-UHFFFAOYSA-N 1 2 292.181 3.743 20 0 CHADLO C[C@H]([NH2+]Cc1ccccn1)c1nc(C(C)(C)C)cs1 ZINC000106477013 1130777579 /nfs/dbraw/zinc/77/75/79/1130777579.db2.gz ODIVGUZDMMDPNH-NSHDSACASA-N 1 2 275.421 3.686 20 0 CHADLO Cc1ccc(F)c(C[NH+]2CC(C)(C)C2)c1Br ZINC001235763523 1130778067 /nfs/dbraw/zinc/77/80/67/1130778067.db2.gz VRYVADUXZZDRCU-UHFFFAOYSA-N 1 2 286.188 3.738 20 0 CHADLO CCOc1cc(C[N@@H+]2CCC[C@@](C)(F)C2)ccc1F ZINC001235794591 1130780450 /nfs/dbraw/zinc/78/04/50/1130780450.db2.gz NEPHZPOMXMMALN-OAHLLOKOSA-N 1 2 269.335 3.548 20 0 CHADLO CCOc1cc(C[N@H+]2CCC[C@@](C)(F)C2)ccc1F ZINC001235794591 1130780455 /nfs/dbraw/zinc/78/04/55/1130780455.db2.gz NEPHZPOMXMMALN-OAHLLOKOSA-N 1 2 269.335 3.548 20 0 CHADLO Cc1cc(C[NH+]2CC3(C2)CCCC3)cnc1Br ZINC001235818036 1130781859 /nfs/dbraw/zinc/78/18/59/1130781859.db2.gz CJMGRPRFPWCTCL-UHFFFAOYSA-N 1 2 295.224 3.529 20 0 CHADLO C[C@H](C[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)C(C)(C)C ZINC000813857992 1130790286 /nfs/dbraw/zinc/79/02/86/1130790286.db2.gz RMRTXTVMQDBBIM-TZMCWYRMSA-N 1 2 278.444 3.698 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cc(O)cc(Cl)c1 ZINC001235904356 1130800566 /nfs/dbraw/zinc/80/05/66/1130800566.db2.gz QRXPXKNFGZAJHU-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO Oc1cc(Cl)cc(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001235903126 1130800975 /nfs/dbraw/zinc/80/09/75/1130800975.db2.gz BKCQRPPSTHXQMY-UHFFFAOYSA-N 1 2 284.746 3.957 20 0 CHADLO C[C@@H]1CC[C@H]1[NH2+]c1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1 ZINC000783278692 1130810260 /nfs/dbraw/zinc/81/02/60/1130810260.db2.gz BMUJMXNMPBUIKV-VMUDFCTBSA-N 1 2 274.408 3.511 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cc(Cl)cc(CO)c2)cc1 ZINC001245092207 1130815995 /nfs/dbraw/zinc/81/59/95/1130815995.db2.gz VRXIAUODDDKKSP-UHFFFAOYSA-N 1 2 289.762 3.861 20 0 CHADLO COc1cnccc1[C@@H](C)[NH2+][C@H](C)c1cccnc1Cl ZINC000783329287 1130816589 /nfs/dbraw/zinc/81/65/89/1130816589.db2.gz FKIHWAGSMZMTLL-GHMZBOCLSA-N 1 2 291.782 3.550 20 0 CHADLO Cc1cc(C)c(-c2c(F)cc(F)c(O)c2F)c(C)[nH+]1 ZINC001236000956 1130830431 /nfs/dbraw/zinc/83/04/31/1130830431.db2.gz QJCYFSLDAQVKCA-UHFFFAOYSA-N 1 2 267.250 3.797 20 0 CHADLO Nc1cn2ccc(-c3cccc(Cl)c3Cl)cc2[nH+]1 ZINC001245097961 1130830953 /nfs/dbraw/zinc/83/09/53/1130830953.db2.gz IRIROEQZMCJMHO-UHFFFAOYSA-N 1 2 278.142 3.890 20 0 CHADLO Cn1ccc2c[nH+]c(-c3ccc(-c4ccncc4)cc3)nc21 ZINC001236030950 1130833442 /nfs/dbraw/zinc/83/34/42/1130833442.db2.gz AEJMYTOCQLQLQV-UHFFFAOYSA-N 1 2 286.338 3.697 20 0 CHADLO CC/C(=C\C(=O)OCCCn1cc[nH+]c1)c1ccc(C)cc1 ZINC000783838124 1130857534 /nfs/dbraw/zinc/85/75/34/1130857534.db2.gz HTYMPLKSEHHCJQ-DTQAZKPQSA-N 1 2 298.386 3.618 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1c(F)ccc(F)c1Cl ZINC001137999353 1130858253 /nfs/dbraw/zinc/85/82/53/1130858253.db2.gz DASSBRKHRWEPQW-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1c(F)ccc(F)c1Cl ZINC001137999353 1130858260 /nfs/dbraw/zinc/85/82/60/1130858260.db2.gz DASSBRKHRWEPQW-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO COc1cc(C)cc(F)c1-c1cc2[nH]ccc2c(C)[nH+]1 ZINC001236220734 1130895598 /nfs/dbraw/zinc/89/55/98/1130895598.db2.gz DQCOVYUXZMDDTL-UHFFFAOYSA-N 1 2 270.307 3.994 20 0 CHADLO Cc1cc(N2CCCCC2)ccc1-c1ccc(N)[nH+]c1 ZINC001236316640 1130912955 /nfs/dbraw/zinc/91/29/55/1130912955.db2.gz ICDVQJNDPOSVRL-UHFFFAOYSA-N 1 2 267.376 3.630 20 0 CHADLO Cc1cc(-c2ccc(N)[nH+]c2)ccc1C(=O)OC(C)(C)C ZINC001236315174 1130913407 /nfs/dbraw/zinc/91/34/07/1130913407.db2.gz JBUWHXHSHSWETN-UHFFFAOYSA-N 1 2 284.359 3.595 20 0 CHADLO CC(C)Nc1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001236484476 1130953227 /nfs/dbraw/zinc/95/32/27/1130953227.db2.gz ZKNPCJUTFLIJEF-UHFFFAOYSA-N 1 2 251.333 3.822 20 0 CHADLO CC(C)Nc1ccc(-c2c[nH+]c3c(c2)CCCN3)cc1 ZINC001236486863 1130955015 /nfs/dbraw/zinc/95/50/15/1130955015.db2.gz PDIMINHGUQUUGT-UHFFFAOYSA-N 1 2 267.376 3.570 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1cnc(Cl)c(C(F)(F)F)c1 ZINC001236621208 1130984772 /nfs/dbraw/zinc/98/47/72/1130984772.db2.gz YPFKYIDLBRMVFR-QMMMGPOBSA-N 1 2 278.705 3.738 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1cnc(Cl)c(C(F)(F)F)c1 ZINC001236621208 1130984776 /nfs/dbraw/zinc/98/47/76/1130984776.db2.gz YPFKYIDLBRMVFR-QMMMGPOBSA-N 1 2 278.705 3.738 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1cc(Cl)cc(C)n1 ZINC001236639343 1130986815 /nfs/dbraw/zinc/98/68/15/1130986815.db2.gz PEBYLQBJUGPVMY-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1cc(Cl)cc(C)n1 ZINC001236639343 1130986817 /nfs/dbraw/zinc/98/68/17/1130986817.db2.gz PEBYLQBJUGPVMY-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)c(F)c(Cl)c2)CCCO1 ZINC001236652492 1130988478 /nfs/dbraw/zinc/98/84/78/1130988478.db2.gz DLLWYJFUDLJTLM-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)c(F)c(Cl)c2)CCCO1 ZINC001236652492 1130988481 /nfs/dbraw/zinc/98/84/81/1130988481.db2.gz DLLWYJFUDLJTLM-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@@H]1CCC[N@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236656633 1130989356 /nfs/dbraw/zinc/98/93/56/1130989356.db2.gz RHRNYMVTWYLCDZ-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO CO[C@@H]1CCC[N@@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236656633 1130989357 /nfs/dbraw/zinc/98/93/57/1130989357.db2.gz RHRNYMVTWYLCDZ-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO Fc1c(Br)cccc1-c1ccc2[nH+]ccn2c1 ZINC001245483229 1130991229 /nfs/dbraw/zinc/99/12/29/1130991229.db2.gz DUMVZZWQIYWTFD-UHFFFAOYSA-N 1 2 291.123 3.903 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(Cl)cn2C)cc(Cl)n1 ZINC000797593423 1130996605 /nfs/dbraw/zinc/99/66/05/1130996605.db2.gz WSMWEYWSSDXMKE-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(Cl)cn2C)cc(Cl)n1 ZINC000797593423 1130996610 /nfs/dbraw/zinc/99/66/10/1130996610.db2.gz WSMWEYWSSDXMKE-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cccc(C)c1Cl ZINC001236859175 1131024697 /nfs/dbraw/zinc/02/46/97/1131024697.db2.gz REKKAPBGWPLHGS-AWEZNQCLSA-N 1 2 265.784 3.592 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cccc(C)c1Cl ZINC001236859175 1131024700 /nfs/dbraw/zinc/02/47/00/1131024700.db2.gz REKKAPBGWPLHGS-AWEZNQCLSA-N 1 2 265.784 3.592 20 0 CHADLO F[C@@H]1C[N@H+](Cc2nc3ccccc3cc2Cl)C[C@@H]2C[C@@H]21 ZINC001236867490 1131025773 /nfs/dbraw/zinc/02/57/73/1131025773.db2.gz JUFQAGXISVFYTQ-SGMGOOAPSA-N 1 2 290.769 3.678 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2nc3ccccc3cc2Cl)C[C@@H]2C[C@@H]21 ZINC001236867490 1131025779 /nfs/dbraw/zinc/02/57/79/1131025779.db2.gz JUFQAGXISVFYTQ-SGMGOOAPSA-N 1 2 290.769 3.678 20 0 CHADLO Cc1cc(-c2c(F)cc(OC(C)C)cc2F)c[nH+]c1N ZINC001245531459 1131025832 /nfs/dbraw/zinc/02/58/32/1131025832.db2.gz QEESPPRDYMJJFZ-UHFFFAOYSA-N 1 2 278.302 3.705 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)C1CCCCCCC1 ZINC000798140738 1131026737 /nfs/dbraw/zinc/02/67/37/1131026737.db2.gz AHFUJMJVURRZOI-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC(F)(F)[C@H](F)C2)c2occc21 ZINC001236890965 1131029960 /nfs/dbraw/zinc/02/99/60/1131029960.db2.gz ORTOZZNSIQKCQL-GFCCVEGCSA-N 1 2 287.256 3.751 20 0 CHADLO Fc1ccc(C[N@H+]2CCC(F)(F)[C@H](F)C2)c2occc21 ZINC001236890965 1131029964 /nfs/dbraw/zinc/02/99/64/1131029964.db2.gz ORTOZZNSIQKCQL-GFCCVEGCSA-N 1 2 287.256 3.751 20 0 CHADLO Fc1ccc2c[nH+]c(NCOc3ccccc3)cc2c1 ZINC001170805516 1131030605 /nfs/dbraw/zinc/03/06/05/1131030605.db2.gz BCUMORCUWOROJN-UHFFFAOYSA-N 1 2 268.291 3.822 20 0 CHADLO c1ccc(OCNc2cc3c4c(c2)CCC[NH+]4CCC3)cc1 ZINC001170809380 1131049198 /nfs/dbraw/zinc/04/91/98/1131049198.db2.gz KXLLNVJYSPGFNR-UHFFFAOYSA-N 1 2 294.398 3.834 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3cccnc32)ccc1[NH+](C)C ZINC000798933352 1131061793 /nfs/dbraw/zinc/06/17/93/1131061793.db2.gz OOPALXFPKNRGDM-MRXNPFEDSA-N 1 2 281.403 3.946 20 0 CHADLO COc1cccc(C[N@@H+]2CCC[C@](C)(F)C2)c1Cl ZINC001237185000 1131064728 /nfs/dbraw/zinc/06/47/28/1131064728.db2.gz GRYGWLFPAHVXBK-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cccc(C[N@H+]2CCC[C@](C)(F)C2)c1Cl ZINC001237185000 1131064732 /nfs/dbraw/zinc/06/47/32/1131064732.db2.gz GRYGWLFPAHVXBK-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2cnc(Cl)cn2)cc1 ZINC000799106433 1131068910 /nfs/dbraw/zinc/06/89/10/1131068910.db2.gz AAWWMCNAGZSGNU-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2cnc(Cl)cn2)cc1 ZINC000799106433 1131068915 /nfs/dbraw/zinc/06/89/15/1131068915.db2.gz AAWWMCNAGZSGNU-CQSZACIVSA-N 1 2 291.757 3.606 20 0 CHADLO CSCCC[N@H+](C)Cc1c(F)c(F)c(F)c(F)c1F ZINC000799199353 1131075532 /nfs/dbraw/zinc/07/55/32/1131075532.db2.gz XYLFHNOKDMRHNO-UHFFFAOYSA-N 1 2 299.308 3.567 20 0 CHADLO CSCCC[N@@H+](C)Cc1c(F)c(F)c(F)c(F)c1F ZINC000799199353 1131075538 /nfs/dbraw/zinc/07/55/38/1131075538.db2.gz XYLFHNOKDMRHNO-UHFFFAOYSA-N 1 2 299.308 3.567 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cnc(-c3ccccc3)o2)C[C@H]1F ZINC001237362561 1131093193 /nfs/dbraw/zinc/09/31/93/1131093193.db2.gz ABVFGKLZQTXJMQ-ZBFHGGJFSA-N 1 2 292.329 3.614 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cnc(-c3ccccc3)o2)C[C@H]1F ZINC001237362561 1131093200 /nfs/dbraw/zinc/09/32/00/1131093200.db2.gz ABVFGKLZQTXJMQ-ZBFHGGJFSA-N 1 2 292.329 3.614 20 0 CHADLO COc1ccccc1[C@@H](C)[N@H+](C)Cc1cc2ccccn2n1 ZINC001237450054 1131098198 /nfs/dbraw/zinc/09/81/98/1131098198.db2.gz PMMOXYOGZWAWPP-CQSZACIVSA-N 1 2 295.386 3.536 20 0 CHADLO COc1ccccc1[C@@H](C)[N@@H+](C)Cc1cc2ccccn2n1 ZINC001237450054 1131098203 /nfs/dbraw/zinc/09/82/03/1131098203.db2.gz PMMOXYOGZWAWPP-CQSZACIVSA-N 1 2 295.386 3.536 20 0 CHADLO COc1ccccc1[C@H](C)[N@H+](C)Cc1cc2ccccn2n1 ZINC001237450048 1131098998 /nfs/dbraw/zinc/09/89/98/1131098998.db2.gz PMMOXYOGZWAWPP-AWEZNQCLSA-N 1 2 295.386 3.536 20 0 CHADLO COc1ccccc1[C@H](C)[N@@H+](C)Cc1cc2ccccn2n1 ZINC001237450048 1131099002 /nfs/dbraw/zinc/09/90/02/1131099002.db2.gz PMMOXYOGZWAWPP-AWEZNQCLSA-N 1 2 295.386 3.536 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnn(C)c2Cl)[C@H](c2ccco2)C1 ZINC000816517204 1131103362 /nfs/dbraw/zinc/10/33/62/1131103362.db2.gz IJGITTJFFYOWIU-AAEUAGOBSA-N 1 2 293.798 3.640 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnn(C)c2Cl)[C@H](c2ccco2)C1 ZINC000816517204 1131103365 /nfs/dbraw/zinc/10/33/65/1131103365.db2.gz IJGITTJFFYOWIU-AAEUAGOBSA-N 1 2 293.798 3.640 20 0 CHADLO C[NH+](C)c1ccc(NC2CCC(C(F)F)CC2)cc1 ZINC000799722496 1131104623 /nfs/dbraw/zinc/10/46/23/1131104623.db2.gz OWMCYJRHVCPUTC-UHFFFAOYSA-N 1 2 268.351 3.988 20 0 CHADLO CN(C)c1ccc([NH2+]C2CCC(C(F)F)CC2)cc1 ZINC000799722496 1131104625 /nfs/dbraw/zinc/10/46/25/1131104625.db2.gz OWMCYJRHVCPUTC-UHFFFAOYSA-N 1 2 268.351 3.988 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(N[C@H]2CCOC[C@@H]2C)c1 ZINC001170833442 1131107008 /nfs/dbraw/zinc/10/70/08/1131107008.db2.gz WBLLCHPMVZUWOX-HOCLYGCPSA-N 1 2 276.424 3.678 20 0 CHADLO CCn1c[nH+]cc1CN1CCC[C@H]1c1c(F)cccc1F ZINC001237596249 1131117145 /nfs/dbraw/zinc/11/71/45/1131117145.db2.gz VNABNTKOAFGTBY-HNNXBMFYSA-N 1 2 291.345 3.518 20 0 CHADLO Cc1coc(C[NH+]2CCC(c3ccc(F)cc3F)CC2)n1 ZINC001237639071 1131123919 /nfs/dbraw/zinc/12/39/19/1131123919.db2.gz IYHXJQFUKOGFSP-UHFFFAOYSA-N 1 2 292.329 3.641 20 0 CHADLO CCc1[nH]ncc1C[N@H+](C)Cc1cccc2ccccc21 ZINC001237655363 1131125715 /nfs/dbraw/zinc/12/57/15/1131125715.db2.gz UJNQWUJURZVXDL-UHFFFAOYSA-N 1 2 279.387 3.757 20 0 CHADLO CCc1[nH]ncc1C[N@@H+](C)Cc1cccc2ccccc21 ZINC001237655363 1131125721 /nfs/dbraw/zinc/12/57/21/1131125721.db2.gz UJNQWUJURZVXDL-UHFFFAOYSA-N 1 2 279.387 3.757 20 0 CHADLO COC[C@H]1CC[N@@H+]1Cc1cc(C)c(Cl)cc1Cl ZINC001237698812 1131128692 /nfs/dbraw/zinc/12/86/92/1131128692.db2.gz RCSIXICOYAUYFJ-LLVKDONJSA-N 1 2 274.191 3.523 20 0 CHADLO COC[C@H]1CC[N@H+]1Cc1cc(C)c(Cl)cc1Cl ZINC001237698812 1131128693 /nfs/dbraw/zinc/12/86/93/1131128693.db2.gz RCSIXICOYAUYFJ-LLVKDONJSA-N 1 2 274.191 3.523 20 0 CHADLO CON(Cc1cc(C)cc(C)[nH+]1)C[C@@H]1CCC[C@@H](C)C1 ZINC001170848112 1131132018 /nfs/dbraw/zinc/13/20/18/1131132018.db2.gz WDILYDXDFJQSTG-CZUORRHYSA-N 1 2 276.424 3.888 20 0 CHADLO Fc1cc(C(F)(F)F)cc(F)c1C[N@@H+]1CCC[C@H]2C[C@H]21 ZINC001237711765 1131132277 /nfs/dbraw/zinc/13/22/77/1131132277.db2.gz MAHSQOYJQDDRLJ-ISVAXAHUSA-N 1 2 291.263 3.968 20 0 CHADLO Fc1cc(C(F)(F)F)cc(F)c1C[N@H+]1CCC[C@H]2C[C@H]21 ZINC001237711765 1131132282 /nfs/dbraw/zinc/13/22/82/1131132282.db2.gz MAHSQOYJQDDRLJ-ISVAXAHUSA-N 1 2 291.263 3.968 20 0 CHADLO Cc1cc(C[N@@H+]2CCn3cccc3C2)ccc1OC(C)C ZINC001237713629 1131132554 /nfs/dbraw/zinc/13/25/54/1131132554.db2.gz WITNWRIAMNNEIP-UHFFFAOYSA-N 1 2 284.403 3.600 20 0 CHADLO Cc1cc(C[N@H+]2CCn3cccc3C2)ccc1OC(C)C ZINC001237713629 1131132556 /nfs/dbraw/zinc/13/25/56/1131132556.db2.gz WITNWRIAMNNEIP-UHFFFAOYSA-N 1 2 284.403 3.600 20 0 CHADLO Cc1cc(N2CC[C@H](OCC(C)C)C2)[nH+]c2ccccc12 ZINC001116261790 1131137292 /nfs/dbraw/zinc/13/72/92/1131137292.db2.gz JUSAYJKFCUILIR-HNNXBMFYSA-N 1 2 284.403 3.795 20 0 CHADLO Cc1[nH]ncc1C[N@@H+]1C[C@@H]2CCCC[C@]21c1ccccc1 ZINC001116450041 1131147051 /nfs/dbraw/zinc/14/70/51/1131147051.db2.gz XMWXMQSQEMCDLG-ROUUACIJSA-N 1 2 281.403 3.619 20 0 CHADLO Cc1ccc(N[C@@H](C)c2ccccc2N(C)C)c(C)[nH+]1 ZINC001116485082 1131150029 /nfs/dbraw/zinc/15/00/29/1131150029.db2.gz QRMREGQFQHIXAF-ZDUSSCGKSA-N 1 2 269.392 3.938 20 0 CHADLO FC(F)(F)c1ncc(C[NH+]2CC(c3ccccc3)C2)s1 ZINC001237947674 1131155502 /nfs/dbraw/zinc/15/55/02/1131155502.db2.gz RXZUOZYSLBELMU-UHFFFAOYSA-N 1 2 298.333 3.761 20 0 CHADLO Cc1sccc1C[N@H+](C)Cc1cn2ccc(C)cc2n1 ZINC001237974360 1131156605 /nfs/dbraw/zinc/15/66/05/1131156605.db2.gz HJMIKXFOTVZJHB-UHFFFAOYSA-N 1 2 285.416 3.645 20 0 CHADLO Cc1sccc1C[N@@H+](C)Cc1cn2ccc(C)cc2n1 ZINC001237974360 1131156609 /nfs/dbraw/zinc/15/66/09/1131156609.db2.gz HJMIKXFOTVZJHB-UHFFFAOYSA-N 1 2 285.416 3.645 20 0 CHADLO CC[N@H+](Cc1cn(C)nc1C(F)F)Cc1ccccc1F ZINC001116630989 1131157534 /nfs/dbraw/zinc/15/75/34/1131157534.db2.gz XYJKOQONXMKRKL-UHFFFAOYSA-N 1 2 297.324 3.519 20 0 CHADLO CC[N@@H+](Cc1cn(C)nc1C(F)F)Cc1ccccc1F ZINC001116630989 1131157537 /nfs/dbraw/zinc/15/75/37/1131157537.db2.gz XYJKOQONXMKRKL-UHFFFAOYSA-N 1 2 297.324 3.519 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nn(C)c(C)c2Cl)s1 ZINC000817407516 1131159437 /nfs/dbraw/zinc/15/94/37/1131159437.db2.gz RSQJVWPYLZLXPX-SECBINFHSA-N 1 2 283.828 3.603 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@@H+]1CCC[C@H]2CCC[C@H]21 ZINC001238000618 1131160577 /nfs/dbraw/zinc/16/05/77/1131160577.db2.gz LYQVHLVINCUJDI-BDJLRTHQSA-N 1 2 289.810 3.981 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@H+]1CCC[C@H]2CCC[C@H]21 ZINC001238000618 1131160582 /nfs/dbraw/zinc/16/05/82/1131160582.db2.gz LYQVHLVINCUJDI-BDJLRTHQSA-N 1 2 289.810 3.981 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H](CC(F)(F)F)C2)c(F)cn1 ZINC000817413499 1131160698 /nfs/dbraw/zinc/16/06/98/1131160698.db2.gz YOHWISGAOYFSCV-VIFPVBQESA-N 1 2 294.267 3.524 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H](CC(F)(F)F)C2)c(F)cn1 ZINC000817413499 1131160701 /nfs/dbraw/zinc/16/07/01/1131160701.db2.gz YOHWISGAOYFSCV-VIFPVBQESA-N 1 2 294.267 3.524 20 0 CHADLO C[C@H](C(=O)NC(C)(C)CC1CCCCCC1)n1cc[nH+]c1 ZINC001116691319 1131160750 /nfs/dbraw/zinc/16/07/50/1131160750.db2.gz GAUUVGVPXMXVGG-CQSZACIVSA-N 1 2 291.439 3.699 20 0 CHADLO Clc1cncc(C[N@@H+]2CCC23CCCC3)c1Cl ZINC001238004111 1131161066 /nfs/dbraw/zinc/16/10/66/1131161066.db2.gz BNCILTWUIDEFDB-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Clc1cncc(C[N@H+]2CCC23CCCC3)c1Cl ZINC001238004111 1131161069 /nfs/dbraw/zinc/16/10/69/1131161069.db2.gz BNCILTWUIDEFDB-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cncc(Cl)c2Cl)CC1 ZINC001238005928 1131161673 /nfs/dbraw/zinc/16/16/73/1131161673.db2.gz MVEUYCKKZHFBED-SNVBAGLBSA-N 1 2 277.170 3.712 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cncc(Cl)c2Cl)CC1 ZINC001238005928 1131161676 /nfs/dbraw/zinc/16/16/76/1131161676.db2.gz MVEUYCKKZHFBED-SNVBAGLBSA-N 1 2 277.170 3.712 20 0 CHADLO Cc1cc(CNC(=O)[C@@H](F)CC2CCCCC2)cc(C)[nH+]1 ZINC000817458918 1131162758 /nfs/dbraw/zinc/16/27/58/1131162758.db2.gz NGGHXSVRSFTPPV-INIZCTEOSA-N 1 2 292.398 3.623 20 0 CHADLO c1cn(-c2ccc(CSCCc3ccccn3)cc2)c[nH+]1 ZINC001116745295 1131162868 /nfs/dbraw/zinc/16/28/68/1131162868.db2.gz IWBQGGXYIFUYKN-UHFFFAOYSA-N 1 2 295.411 3.743 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1cnc(F)cc1Cl ZINC001238026886 1131163793 /nfs/dbraw/zinc/16/37/93/1131163793.db2.gz DDJJMYBEJKILIX-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1cnc(F)cc1Cl ZINC001238026886 1131163794 /nfs/dbraw/zinc/16/37/94/1131163794.db2.gz DDJJMYBEJKILIX-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[N@@H+]1CCC12COC2 ZINC001238057040 1131165205 /nfs/dbraw/zinc/16/52/05/1131165205.db2.gz OVLUFZZEUPLCFY-UHFFFAOYSA-N 1 2 295.382 3.762 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[N@H+]1CCC12COC2 ZINC001238057040 1131165207 /nfs/dbraw/zinc/16/52/07/1131165207.db2.gz OVLUFZZEUPLCFY-UHFFFAOYSA-N 1 2 295.382 3.762 20 0 CHADLO Oc1cc(F)c(C[NH+]2CC3CCC(CC3)C2)cc1Cl ZINC001238150055 1131174877 /nfs/dbraw/zinc/17/48/77/1131174877.db2.gz QBEYVPXRPYVSBW-UHFFFAOYSA-N 1 2 283.774 3.807 20 0 CHADLO C[N@H+](Cc1ccc2ccccc2c1)Cc1c(N)ccnc1F ZINC001238169075 1131176785 /nfs/dbraw/zinc/17/67/85/1131176785.db2.gz ZXUYWHWFSKYUEE-UHFFFAOYSA-N 1 2 295.361 3.588 20 0 CHADLO C[N@@H+](Cc1ccc2ccccc2c1)Cc1c(N)ccnc1F ZINC001238169075 1131176788 /nfs/dbraw/zinc/17/67/88/1131176788.db2.gz ZXUYWHWFSKYUEE-UHFFFAOYSA-N 1 2 295.361 3.588 20 0 CHADLO CC(C)c1cc(C[N@@H+]2C[C@H](F)C[C@@H](CO)C2)ccc1Cl ZINC001238218205 1131183465 /nfs/dbraw/zinc/18/34/65/1131183465.db2.gz MPAZZSMAJAIJAK-ZIAGYGMSSA-N 1 2 299.817 3.616 20 0 CHADLO CC(C)c1cc(C[N@H+]2C[C@H](F)C[C@@H](CO)C2)ccc1Cl ZINC001238218205 1131183468 /nfs/dbraw/zinc/18/34/68/1131183468.db2.gz MPAZZSMAJAIJAK-ZIAGYGMSSA-N 1 2 299.817 3.616 20 0 CHADLO C[N@H+](CCCc1ccccc1)Cc1c(F)ccnc1Cl ZINC001238231829 1131186493 /nfs/dbraw/zinc/18/64/93/1131186493.db2.gz NTPGLCUKLHVRHX-UHFFFAOYSA-N 1 2 292.785 3.939 20 0 CHADLO C[N@@H+](CCCc1ccccc1)Cc1c(F)ccnc1Cl ZINC001238231829 1131186495 /nfs/dbraw/zinc/18/64/95/1131186495.db2.gz NTPGLCUKLHVRHX-UHFFFAOYSA-N 1 2 292.785 3.939 20 0 CHADLO COC[C@@H]1CC[N@@H+]1Cc1cc(Cl)c(Cl)cc1C ZINC001238256514 1131187081 /nfs/dbraw/zinc/18/70/81/1131187081.db2.gz IHXBATUOZFUNNM-NSHDSACASA-N 1 2 274.191 3.523 20 0 CHADLO COC[C@@H]1CC[N@H+]1Cc1cc(Cl)c(Cl)cc1C ZINC001238256514 1131187082 /nfs/dbraw/zinc/18/70/82/1131187082.db2.gz IHXBATUOZFUNNM-NSHDSACASA-N 1 2 274.191 3.523 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[N@H+]1[C@@H]2CC[C@H]1CC(=O)C2 ZINC001238261897 1131187181 /nfs/dbraw/zinc/18/71/81/1131187181.db2.gz DRFXOJJTQOOCKO-TXEJJXNPSA-N 1 2 298.213 3.998 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[N@@H+]1[C@@H]2CC[C@H]1CC(=O)C2 ZINC001238261897 1131187182 /nfs/dbraw/zinc/18/71/82/1131187182.db2.gz DRFXOJJTQOOCKO-TXEJJXNPSA-N 1 2 298.213 3.998 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)C[C@H]2CF)c(F)cc1Cl ZINC001238299447 1131188552 /nfs/dbraw/zinc/18/85/52/1131188552.db2.gz NQWUYWQKZHVHBI-CABZTGNLSA-N 1 2 273.754 3.967 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)C[C@H]2CF)c(F)cc1Cl ZINC001238299447 1131188555 /nfs/dbraw/zinc/18/85/55/1131188555.db2.gz NQWUYWQKZHVHBI-CABZTGNLSA-N 1 2 273.754 3.967 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccc(C)cc2)c(Cl)cn1 ZINC001238355890 1131192889 /nfs/dbraw/zinc/19/28/89/1131192889.db2.gz GOFISXRZEZCXNQ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccc(C)cc2)c(Cl)cn1 ZINC001238355890 1131192893 /nfs/dbraw/zinc/19/28/93/1131192893.db2.gz GOFISXRZEZCXNQ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@](C)(F)C2)ncc1Cl ZINC001238425413 1131199098 /nfs/dbraw/zinc/19/90/98/1131199098.db2.gz DZIODRQALJNDLT-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@](C)(F)C2)ncc1Cl ZINC001238425413 1131199101 /nfs/dbraw/zinc/19/91/01/1131199101.db2.gz DZIODRQALJNDLT-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2C[C@H](F)C[C@H]2C)c(F)c1 ZINC001238445271 1131202021 /nfs/dbraw/zinc/20/20/21/1131202021.db2.gz SDVFXSFXTYPYFS-DGCLKSJQSA-N 1 2 269.335 3.545 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2C[C@H](F)C[C@H]2C)c(F)c1 ZINC001238445271 1131202023 /nfs/dbraw/zinc/20/20/23/1131202023.db2.gz SDVFXSFXTYPYFS-DGCLKSJQSA-N 1 2 269.335 3.545 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(F)c(OC(C)C)c1 ZINC001238458537 1131203398 /nfs/dbraw/zinc/20/33/98/1131203398.db2.gz PLKLFCLFMAXNQZ-INIZCTEOSA-N 1 2 293.382 3.556 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(F)c(OC(C)C)c1 ZINC001238458537 1131203400 /nfs/dbraw/zinc/20/34/00/1131203400.db2.gz PLKLFCLFMAXNQZ-INIZCTEOSA-N 1 2 293.382 3.556 20 0 CHADLO CC/C(C)=C/C(=O)Nc1ccc2c(cc[nH+]c2N(C)C)c1 ZINC001117949419 1131205629 /nfs/dbraw/zinc/20/56/29/1131205629.db2.gz VCMKBZWBHARVJQ-ZRDIBKRKSA-N 1 2 283.375 3.596 20 0 CHADLO Cc1cc(C[NH2+]Cc2csc(C(C)C)n2)cc(C)n1 ZINC001117994841 1131207331 /nfs/dbraw/zinc/20/73/31/1131207331.db2.gz RPVWUDPADUQRPJ-UHFFFAOYSA-N 1 2 275.421 3.568 20 0 CHADLO COc1cnc(C[N@H+](C)Cc2cccc(C)c2)c(Cl)c1 ZINC001238482402 1131208137 /nfs/dbraw/zinc/20/81/37/1131208137.db2.gz MDNHZBDYTBBVBU-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cnc(C[N@@H+](C)Cc2cccc(C)c2)c(Cl)c1 ZINC001238482402 1131208143 /nfs/dbraw/zinc/20/81/43/1131208143.db2.gz MDNHZBDYTBBVBU-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cnc(C[NH+]2CC3(C2)CCCCC3)c(Cl)c1 ZINC001238495560 1131209023 /nfs/dbraw/zinc/20/90/23/1131209023.db2.gz XSAOKXYWFTWCJU-UHFFFAOYSA-N 1 2 280.799 3.510 20 0 CHADLO Clc1cnc(C[NH2+]C2(c3ccccc3Cl)CC2)cn1 ZINC000829483681 1131420895 /nfs/dbraw/zinc/42/08/95/1131420895.db2.gz IQURXGYWHSYJGD-UHFFFAOYSA-N 1 2 294.185 3.562 20 0 CHADLO COc1ccccc1C1([NH2+]Cc2cccnc2Cl)CC1 ZINC000829693854 1131429996 /nfs/dbraw/zinc/42/99/96/1131429996.db2.gz RPRLHLFMVLWOJL-UHFFFAOYSA-N 1 2 288.778 3.523 20 0 CHADLO COc1cc(C)ccc1CNc1ccc([NH+](C)C)cc1 ZINC000823529504 1131430035 /nfs/dbraw/zinc/43/00/35/1131430035.db2.gz RMFPSUJMHPQDFW-UHFFFAOYSA-N 1 2 270.376 3.682 20 0 CHADLO Fc1cc(F)c(C[NH+]2CC3(C2)CCCCC3)cc1F ZINC001137892306 1131430340 /nfs/dbraw/zinc/43/03/40/1131430340.db2.gz OMGCQBYKLRFLGD-UHFFFAOYSA-N 1 2 269.310 3.870 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)C1CCC1 ZINC000823554694 1131433729 /nfs/dbraw/zinc/43/37/29/1131433729.db2.gz MTVYYVOKZKLQJP-KDOFPFPSSA-N 1 2 288.435 3.902 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2ccnc(Cl)c2F)CC1 ZINC000823911578 1131445680 /nfs/dbraw/zinc/44/56/80/1131445680.db2.gz LERHJPPYHXYDEJ-UHFFFAOYSA-N 1 2 294.732 3.792 20 0 CHADLO FCC1CC[NH+](Cc2c(F)ccc(F)c2Cl)CC1 ZINC001138003463 1131449311 /nfs/dbraw/zinc/44/93/11/1131449311.db2.gz ACXNFHUQZUADOI-UHFFFAOYSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(Br)c(F)cc2F)C1 ZINC001136849659 1131462864 /nfs/dbraw/zinc/46/28/64/1131462864.db2.gz TVLVURKZVQFVLX-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(Br)c(F)cc2F)C1 ZINC001136849659 1131462866 /nfs/dbraw/zinc/46/28/66/1131462866.db2.gz TVLVURKZVQFVLX-QMMMGPOBSA-N 1 2 290.151 3.569 20 0 CHADLO Clc1ccc([C@H]2CC[N@H+](Cc3cnc[nH]3)C2)cc1Cl ZINC001136871441 1131469806 /nfs/dbraw/zinc/46/98/06/1131469806.db2.gz JSBGJBCQNDKJRA-NSHDSACASA-N 1 2 296.201 3.706 20 0 CHADLO Clc1ccc([C@H]2CC[N@@H+](Cc3cnc[nH]3)C2)cc1Cl ZINC001136871441 1131469809 /nfs/dbraw/zinc/46/98/09/1131469809.db2.gz JSBGJBCQNDKJRA-NSHDSACASA-N 1 2 296.201 3.706 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccc(N2CCCCC2)o1 ZINC001138177068 1131475830 /nfs/dbraw/zinc/47/58/30/1131475830.db2.gz FGVXTOKKYDSJEQ-HNNXBMFYSA-N 1 2 299.418 3.648 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccc(N2CCCCC2)o1 ZINC001138177068 1131475833 /nfs/dbraw/zinc/47/58/33/1131475833.db2.gz FGVXTOKKYDSJEQ-HNNXBMFYSA-N 1 2 299.418 3.648 20 0 CHADLO Fc1cc(F)c(F)c(C[NH+]2CCC3(CC3)CC2)c1F ZINC001138231111 1131480333 /nfs/dbraw/zinc/48/03/33/1131480333.db2.gz SVHNFFJCGQIUME-UHFFFAOYSA-N 1 2 273.273 3.619 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@@H+]2CCC[C@](C)(F)C2)n1 ZINC001138277608 1131485343 /nfs/dbraw/zinc/48/53/43/1131485343.db2.gz JWJPRPUEMWXWOE-INIZCTEOSA-N 1 2 280.387 3.583 20 0 CHADLO CC(C)(C)Oc1cccc(C[N@H+]2CCC[C@](C)(F)C2)n1 ZINC001138277608 1131485345 /nfs/dbraw/zinc/48/53/45/1131485345.db2.gz JWJPRPUEMWXWOE-INIZCTEOSA-N 1 2 280.387 3.583 20 0 CHADLO C[N@H+](Cc1nc2ccccc2s1)C1CC(F)(F)C1 ZINC001136947982 1131485486 /nfs/dbraw/zinc/48/54/86/1131485486.db2.gz XPYCAMSTAWRJBB-UHFFFAOYSA-N 1 2 268.332 3.526 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2s1)C1CC(F)(F)C1 ZINC001136947982 1131485488 /nfs/dbraw/zinc/48/54/88/1131485488.db2.gz XPYCAMSTAWRJBB-UHFFFAOYSA-N 1 2 268.332 3.526 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+][C@@H]3CCC[C@@H]4C[C@@H]43)cc2)CCO1 ZINC000825033819 1131494462 /nfs/dbraw/zinc/49/44/62/1131494462.db2.gz XMAQCROAEDULES-KJWYOANISA-N 1 2 286.419 3.512 20 0 CHADLO CCc1cccc2c(C[N@@H+]3Cc4cnc(C)cc4C3)c[nH]c21 ZINC001138365932 1131494729 /nfs/dbraw/zinc/49/47/29/1131494729.db2.gz OVTXJZVHVQAARZ-UHFFFAOYSA-N 1 2 291.398 3.950 20 0 CHADLO CCc1cccc2c(C[N@H+]3Cc4cnc(C)cc4C3)c[nH]c21 ZINC001138365932 1131494730 /nfs/dbraw/zinc/49/47/30/1131494730.db2.gz OVTXJZVHVQAARZ-UHFFFAOYSA-N 1 2 291.398 3.950 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000825103985 1131495485 /nfs/dbraw/zinc/49/54/85/1131495485.db2.gz JSNUBQBKPYXCTH-MRXNPFEDSA-N 1 2 286.350 3.849 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000825103985 1131495488 /nfs/dbraw/zinc/49/54/88/1131495488.db2.gz JSNUBQBKPYXCTH-MRXNPFEDSA-N 1 2 286.350 3.849 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(C(F)(F)F)cc2)co1 ZINC000825049878 1131496195 /nfs/dbraw/zinc/49/61/95/1131496195.db2.gz FBAHATMVQZFZCE-UHFFFAOYSA-N 1 2 284.281 3.546 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1ncccc1O ZINC001138394591 1131498838 /nfs/dbraw/zinc/49/88/38/1131498838.db2.gz XPCQEGBGENZWMM-WMLDXEAASA-N 1 2 292.423 3.550 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1ncccc1O ZINC001138394591 1131498840 /nfs/dbraw/zinc/49/88/40/1131498840.db2.gz XPCQEGBGENZWMM-WMLDXEAASA-N 1 2 292.423 3.550 20 0 CHADLO CCOc1ccc2ccccc2c1C[N@@H+]1CCC(=O)[C@@H](C)C1 ZINC001138441394 1131505999 /nfs/dbraw/zinc/50/59/99/1131505999.db2.gz YGRCPUZPBMZWAP-AWEZNQCLSA-N 1 2 297.398 3.649 20 0 CHADLO CCOc1ccc2ccccc2c1C[N@H+]1CCC(=O)[C@@H](C)C1 ZINC001138441394 1131506001 /nfs/dbraw/zinc/50/60/01/1131506001.db2.gz YGRCPUZPBMZWAP-AWEZNQCLSA-N 1 2 297.398 3.649 20 0 CHADLO CC[N@H+](Cc1nc(C(F)(F)F)c[nH]1)Cc1ccc(C)cc1 ZINC001137018765 1131506369 /nfs/dbraw/zinc/50/63/69/1131506369.db2.gz DKUCNTUAVRHUAF-UHFFFAOYSA-N 1 2 297.324 3.759 20 0 CHADLO CC[N@@H+](Cc1nc(C(F)(F)F)c[nH]1)Cc1ccc(C)cc1 ZINC001137018765 1131506373 /nfs/dbraw/zinc/50/63/73/1131506373.db2.gz DKUCNTUAVRHUAF-UHFFFAOYSA-N 1 2 297.324 3.759 20 0 CHADLO Cc1ccc2cc(C[N@@H+]3CCC[C@H]3c3ncc[nH]3)[nH]c2c1 ZINC000825515182 1131514239 /nfs/dbraw/zinc/51/42/39/1131514239.db2.gz YNZSRAMZDKWZGR-INIZCTEOSA-N 1 2 280.375 3.537 20 0 CHADLO Cc1ccc2cc(C[N@H+]3CCC[C@H]3c3ncc[nH]3)[nH]c2c1 ZINC000825515182 1131514243 /nfs/dbraw/zinc/51/42/43/1131514243.db2.gz YNZSRAMZDKWZGR-INIZCTEOSA-N 1 2 280.375 3.537 20 0 CHADLO CC(C)CC[C@H]1CCC[C@@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001143641173 1131520556 /nfs/dbraw/zinc/52/05/56/1131520556.db2.gz IDJNETVJMWWSAO-HIFRSBDPSA-N 1 2 299.418 3.898 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1Cc1cc(C)ccc1C(F)(F)F ZINC001143681095 1131523353 /nfs/dbraw/zinc/52/33/53/1131523353.db2.gz RPSPLQYJSOWGGE-CYBMUJFWSA-N 1 2 287.325 3.625 20 0 CHADLO CC[C@@H]1COCC[N@H+]1Cc1cc(C)ccc1C(F)(F)F ZINC001143681095 1131523356 /nfs/dbraw/zinc/52/33/56/1131523356.db2.gz RPSPLQYJSOWGGE-CYBMUJFWSA-N 1 2 287.325 3.625 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cc(C)ccc1C(F)(F)F ZINC001143680390 1131523954 /nfs/dbraw/zinc/52/39/54/1131523954.db2.gz ACOHSDPMFWSBGC-HNNXBMFYSA-N 1 2 299.336 3.957 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cc(C)ccc1C(F)(F)F ZINC001143680390 1131523958 /nfs/dbraw/zinc/52/39/58/1131523958.db2.gz ACOHSDPMFWSBGC-HNNXBMFYSA-N 1 2 299.336 3.957 20 0 CHADLO COc1ccc2c(C[N@@H+]3Cc4ccc(F)cc4C3)c[nH]c2c1 ZINC001138587571 1131525025 /nfs/dbraw/zinc/52/50/25/1131525025.db2.gz HGPXHLUVYFVFNG-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1ccc2c(C[N@H+]3Cc4ccc(F)cc4C3)c[nH]c2c1 ZINC001138587571 1131525027 /nfs/dbraw/zinc/52/50/27/1131525027.db2.gz HGPXHLUVYFVFNG-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO CCCC[C@H]1CCC[C@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001143703719 1131525894 /nfs/dbraw/zinc/52/58/94/1131525894.db2.gz RGUJVTZDRWDKND-GXTWGEPZSA-N 1 2 285.391 3.652 20 0 CHADLO CC(C)c1ccccc1C(=O)Nc1cc(N(C)C)cc[nH+]1 ZINC000832033429 1131531633 /nfs/dbraw/zinc/53/16/33/1131531633.db2.gz UBHYKSPKIDMOIE-UHFFFAOYSA-N 1 2 283.375 3.523 20 0 CHADLO CCSc1ncc(C[N@@H+]2Cc3ccccc3C[C@@H]2C)cn1 ZINC001138656717 1131533244 /nfs/dbraw/zinc/53/32/44/1131533244.db2.gz KVDLUSKVDOHTII-ZDUSSCGKSA-N 1 2 299.443 3.535 20 0 CHADLO CCSc1ncc(C[N@H+]2Cc3ccccc3C[C@@H]2C)cn1 ZINC001138656717 1131533247 /nfs/dbraw/zinc/53/32/47/1131533247.db2.gz KVDLUSKVDOHTII-ZDUSSCGKSA-N 1 2 299.443 3.535 20 0 CHADLO Cc1ccc2cc(N[C@H](C)c3ncc(F)cn3)[nH+]cc2c1 ZINC001171111938 1131536897 /nfs/dbraw/zinc/53/68/97/1131536897.db2.gz WORKSQJUYHFDIZ-LLVKDONJSA-N 1 2 282.322 3.645 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001138718041 1131540095 /nfs/dbraw/zinc/54/00/95/1131540095.db2.gz JRMHUISKKRFIIH-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001138718041 1131540097 /nfs/dbraw/zinc/54/00/97/1131540097.db2.gz JRMHUISKKRFIIH-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001138718037 1131540671 /nfs/dbraw/zinc/54/06/71/1131540671.db2.gz JRMHUISKKRFIIH-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CC[C@](C)(F)[C@@H](F)C1 ZINC001138718037 1131540676 /nfs/dbraw/zinc/54/06/76/1131540676.db2.gz JRMHUISKKRFIIH-KBPBESRZSA-N 1 2 273.754 3.920 20 0 CHADLO Brc1cc(C[NH+]2CC3(C2)CCCCC3)ccn1 ZINC001138735578 1131543647 /nfs/dbraw/zinc/54/36/47/1131543647.db2.gz ZAMCVWZEJLVJRJ-UHFFFAOYSA-N 1 2 295.224 3.610 20 0 CHADLO CCCCc1ccc(C[N@@H+]2CCC(=O)[C@@H](C)C2)s1 ZINC001138753329 1131545924 /nfs/dbraw/zinc/54/59/24/1131545924.db2.gz OPPZYOVPDKCCBL-LBPRGKRZSA-N 1 2 265.422 3.502 20 0 CHADLO CCCCc1ccc(C[N@H+]2CCC(=O)[C@@H](C)C2)s1 ZINC001138753329 1131545931 /nfs/dbraw/zinc/54/59/31/1131545931.db2.gz OPPZYOVPDKCCBL-LBPRGKRZSA-N 1 2 265.422 3.502 20 0 CHADLO CCCCc1ccc(C[N@H+]2CCC(=O)[C@H](F)CC2)s1 ZINC001138753424 1131546388 /nfs/dbraw/zinc/54/63/88/1131546388.db2.gz RACUBNDWBKCSRG-CQSZACIVSA-N 1 2 283.412 3.594 20 0 CHADLO CCCCc1ccc(C[N@@H+]2CCC(=O)[C@H](F)CC2)s1 ZINC001138753424 1131546394 /nfs/dbraw/zinc/54/63/94/1131546394.db2.gz RACUBNDWBKCSRG-CQSZACIVSA-N 1 2 283.412 3.594 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cccc(F)c2)c(F)c1F ZINC001143903213 1131549678 /nfs/dbraw/zinc/54/96/78/1131549678.db2.gz BUFSCBYDRKWSEU-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cccc(F)c2)c(F)c1F ZINC001143903213 1131549682 /nfs/dbraw/zinc/54/96/82/1131549682.db2.gz BUFSCBYDRKWSEU-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@@H+]1Cc1cccnc1F ZINC001138788170 1131549691 /nfs/dbraw/zinc/54/96/91/1131549691.db2.gz WHJHWEFOTRMXIZ-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@H+]1Cc1cccnc1F ZINC001138788170 1131549693 /nfs/dbraw/zinc/54/96/93/1131549693.db2.gz WHJHWEFOTRMXIZ-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cccc3ccnn31)CC2 ZINC001138804497 1131551048 /nfs/dbraw/zinc/55/10/48/1131551048.db2.gz LKXDVQHEMNIRBP-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cccc3ccnn31)CC2 ZINC001138804497 1131551052 /nfs/dbraw/zinc/55/10/52/1131551052.db2.gz LKXDVQHEMNIRBP-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1c(C[NH+]2C[C@H](C)O[C@@H](C)C2)cccc1C(F)(F)F ZINC001143917725 1131554407 /nfs/dbraw/zinc/55/44/07/1131554407.db2.gz NLTKIWMQGKAVIM-QWRGUYRKSA-N 1 2 287.325 3.623 20 0 CHADLO CC(C)O[C@H]1CC[N@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143958625 1131560229 /nfs/dbraw/zinc/56/02/29/1131560229.db2.gz IRCAGAYFEHDWOI-JTQLQIEISA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@H]1CC[N@@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143958625 1131560231 /nfs/dbraw/zinc/56/02/31/1131560231.db2.gz IRCAGAYFEHDWOI-JTQLQIEISA-N 1 2 289.753 3.618 20 0 CHADLO Cc1ccc(F)c([C@H](C)OC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000844462110 1131567817 /nfs/dbraw/zinc/56/78/17/1131567817.db2.gz VYFSWBSISBMZCU-STQMWFEESA-N 1 2 290.338 3.586 20 0 CHADLO CC(C)Oc1ccc(Br)cc1C[NH+]1CC(C)C1 ZINC001138990187 1131569555 /nfs/dbraw/zinc/56/95/55/1131569555.db2.gz ALCLOEHJDXBHAR-UHFFFAOYSA-N 1 2 298.224 3.688 20 0 CHADLO CCOc1c(F)cc(C[N@@H+]2C[C@@H](C)C[C@H]2CF)cc1F ZINC001144023391 1131573246 /nfs/dbraw/zinc/57/32/46/1131573246.db2.gz SUBYYWPRPAGBHB-JQWIXIFHSA-N 1 2 287.325 3.543 20 0 CHADLO CCOc1c(F)cc(C[N@H+]2C[C@@H](C)C[C@H]2CF)cc1F ZINC001144023391 1131573250 /nfs/dbraw/zinc/57/32/50/1131573250.db2.gz SUBYYWPRPAGBHB-JQWIXIFHSA-N 1 2 287.325 3.543 20 0 CHADLO Cc1cccc(Cl)c1COC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000844548502 1131577157 /nfs/dbraw/zinc/57/71/57/1131577157.db2.gz RDPQQRQHNMTTFI-LBPRGKRZSA-N 1 2 292.766 3.539 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[NH+]1CC(C)(OC)C1 ZINC001139067703 1131579491 /nfs/dbraw/zinc/57/94/91/1131579491.db2.gz IJVXPUBSNADDSD-UHFFFAOYSA-N 1 2 297.398 3.583 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cc3c(c(Cl)c2)OCO3)C1 ZINC001139071902 1131579559 /nfs/dbraw/zinc/57/95/59/1131579559.db2.gz FNXCAFCVJMUXOA-OAHLLOKOSA-N 1 2 299.773 3.783 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cc3c(c(Cl)c2)OCO3)C1 ZINC001139071902 1131579562 /nfs/dbraw/zinc/57/95/62/1131579562.db2.gz FNXCAFCVJMUXOA-OAHLLOKOSA-N 1 2 299.773 3.783 20 0 CHADLO FC1(F)C[C@H]1[NH2+][C@H]1CCc2cc(Cl)c(Cl)cc2C1 ZINC001171139487 1131585405 /nfs/dbraw/zinc/58/54/05/1131585405.db2.gz MVOXMULOQGWCLR-JOYOIKCWSA-N 1 2 292.156 3.848 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1cc(Cl)c(F)cc1F ZINC001144125737 1131588634 /nfs/dbraw/zinc/58/86/34/1131588634.db2.gz POKZPGTWKFLCHD-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1cc(Cl)c(F)cc1F ZINC001144125737 1131588637 /nfs/dbraw/zinc/58/86/37/1131588637.db2.gz POKZPGTWKFLCHD-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@H]3C[C@H]32)cc1Cl ZINC001144135686 1131590053 /nfs/dbraw/zinc/59/00/53/1131590053.db2.gz ZDDSZIUGBVQYCQ-ISVAXAHUSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@H]3C[C@H]32)cc1Cl ZINC001144135686 1131590056 /nfs/dbraw/zinc/59/00/56/1131590056.db2.gz ZDDSZIUGBVQYCQ-ISVAXAHUSA-N 1 2 257.711 3.603 20 0 CHADLO O=C(C[C@H]1C[C@@H]2CC[C@H]1C2)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001144288464 1131613363 /nfs/dbraw/zinc/61/33/63/1131613363.db2.gz YTASJQQKCZJVSU-QLFBSQMISA-N 1 2 295.386 3.637 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CC[C@H]2c2ccccc2)c1 ZINC001139594509 1131619985 /nfs/dbraw/zinc/61/99/85/1131619985.db2.gz ABVSUEYLUVCVSL-HNNXBMFYSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CC[C@H]2c2ccccc2)c1 ZINC001139594509 1131619983 /nfs/dbraw/zinc/61/99/83/1131619983.db2.gz ABVSUEYLUVCVSL-HNNXBMFYSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2ccn3cc[nH+]c3c2)c(C)c1 ZINC001202953185 1131621536 /nfs/dbraw/zinc/62/15/36/1131621536.db2.gz XNEYQJURSFGJSN-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO Cc1cc(C)c(COC(=O)C[C@H](C)n2cc[nH+]c2)c(C)c1 ZINC000845281993 1131624304 /nfs/dbraw/zinc/62/43/04/1131624304.db2.gz PVLCGUDANACODJ-HNNXBMFYSA-N 1 2 286.375 3.503 20 0 CHADLO CCCC[C@@H](CC)COC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845284218 1131624436 /nfs/dbraw/zinc/62/44/36/1131624436.db2.gz LZKZBQZHYDHFFT-UONOGXRCSA-N 1 2 266.385 3.594 20 0 CHADLO CCCC[C@H](CCC)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845282970 1131624471 /nfs/dbraw/zinc/62/44/71/1131624471.db2.gz WLIBKBWFIGJSHB-KBPBESRZSA-N 1 2 266.385 3.736 20 0 CHADLO CCOC1C[NH+](Cc2ccc3oc4ccccc4c3c2)C1 ZINC001139751586 1131634821 /nfs/dbraw/zinc/63/48/21/1131634821.db2.gz SBJINBXFZOFAGN-UHFFFAOYSA-N 1 2 281.355 3.807 20 0 CHADLO COCc1ccc(C[N@@H+]2CCC[C@@H]2c2nccs2)s1 ZINC001139827835 1131639875 /nfs/dbraw/zinc/63/98/75/1131639875.db2.gz AHCXNXILPVERLM-CYBMUJFWSA-N 1 2 294.445 3.688 20 0 CHADLO COCc1ccc(C[N@H+]2CCC[C@@H]2c2nccs2)s1 ZINC001139827835 1131639878 /nfs/dbraw/zinc/63/98/78/1131639878.db2.gz AHCXNXILPVERLM-CYBMUJFWSA-N 1 2 294.445 3.688 20 0 CHADLO COCc1ccc(C[N@@H+]2CCC[C@H]2c2nccs2)s1 ZINC001139827836 1131640026 /nfs/dbraw/zinc/64/00/26/1131640026.db2.gz AHCXNXILPVERLM-ZDUSSCGKSA-N 1 2 294.445 3.688 20 0 CHADLO COCc1ccc(C[N@H+]2CCC[C@H]2c2nccs2)s1 ZINC001139827836 1131640029 /nfs/dbraw/zinc/64/00/29/1131640029.db2.gz AHCXNXILPVERLM-ZDUSSCGKSA-N 1 2 294.445 3.688 20 0 CHADLO C[C@@H](CC1CCCCC1)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845540320 1131640482 /nfs/dbraw/zinc/64/04/82/1131640482.db2.gz HSYJHHSNQJJWDP-KBPBESRZSA-N 1 2 278.396 3.736 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1ccc(C)cn1 ZINC001139841554 1131641026 /nfs/dbraw/zinc/64/10/26/1131641026.db2.gz YPOQEQNNLQOEBZ-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ccc(C)cn1 ZINC001139841554 1131641029 /nfs/dbraw/zinc/64/10/29/1131641029.db2.gz YPOQEQNNLQOEBZ-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1ccc(C)cn1 ZINC001139841553 1131641280 /nfs/dbraw/zinc/64/12/80/1131641280.db2.gz YPOQEQNNLQOEBZ-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ccc(C)cn1 ZINC001139841553 1131641283 /nfs/dbraw/zinc/64/12/83/1131641283.db2.gz YPOQEQNNLQOEBZ-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCCC(=O)[C@H](C)C1 ZINC001139883768 1131646375 /nfs/dbraw/zinc/64/63/75/1131646375.db2.gz HPVCZIOFQRRNER-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCCC(=O)[C@H](C)C1 ZINC001139883768 1131646379 /nfs/dbraw/zinc/64/63/79/1131646379.db2.gz HPVCZIOFQRRNER-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO CCNc1ccccc1CNc1cc2cc[nH]c2c[nH+]1 ZINC001202986724 1131651319 /nfs/dbraw/zinc/65/13/19/1131651319.db2.gz RGOCALJHQLUTPL-UHFFFAOYSA-N 1 2 266.348 3.607 20 0 CHADLO C[C@@H]1C[N@H+](Cc2sc(Cl)nc2Cl)C2(CC2)C1 ZINC001139959474 1131652819 /nfs/dbraw/zinc/65/28/19/1131652819.db2.gz VEEUJYVXYRGMRN-ZETCQYMHSA-N 1 2 277.220 3.824 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2sc(Cl)nc2Cl)C2(CC2)C1 ZINC001139959474 1131652822 /nfs/dbraw/zinc/65/28/22/1131652822.db2.gz VEEUJYVXYRGMRN-ZETCQYMHSA-N 1 2 277.220 3.824 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1c(F)cc(O)cc1F ZINC001144542172 1131653687 /nfs/dbraw/zinc/65/36/87/1131653687.db2.gz QSPJRFADAPJELW-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1c(F)cc(O)cc1F ZINC001144542172 1131653689 /nfs/dbraw/zinc/65/36/89/1131653689.db2.gz QSPJRFADAPJELW-UHFFFAOYSA-N 1 2 277.314 3.693 20 0 CHADLO CC[C@H](COC(=O)[C@H](c1ccccc1)[NH+](C)C)CC(F)F ZINC000845756707 1131656245 /nfs/dbraw/zinc/65/62/45/1131656245.db2.gz QXVNHZNHBSGZCI-WFASDCNBSA-N 1 2 299.361 3.514 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cc3ccccc3cn1)C2 ZINC001139998866 1131656378 /nfs/dbraw/zinc/65/63/78/1131656378.db2.gz IVABNGNEQHGYCB-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cc3ccccc3cn1)C2 ZINC001139998866 1131656380 /nfs/dbraw/zinc/65/63/80/1131656380.db2.gz IVABNGNEQHGYCB-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1c(Cl)cccc1C[N@@H+]1CCCC[C@@H](F)C1 ZINC001140057534 1131659798 /nfs/dbraw/zinc/65/97/98/1131659798.db2.gz YAMOMTJXFPRYNF-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO COc1c(Cl)cccc1C[N@H+]1CCCC[C@@H](F)C1 ZINC001140057534 1131659801 /nfs/dbraw/zinc/65/98/01/1131659801.db2.gz YAMOMTJXFPRYNF-GFCCVEGCSA-N 1 2 271.763 3.673 20 0 CHADLO COc1c(Cl)cccc1C[N@@H+]1CCn2cccc2[C@H]1C ZINC001140061981 1131659951 /nfs/dbraw/zinc/65/99/51/1131659951.db2.gz FVBJNSFEJYBXIA-GFCCVEGCSA-N 1 2 290.794 3.727 20 0 CHADLO COc1c(Cl)cccc1C[N@H+]1CCn2cccc2[C@H]1C ZINC001140061981 1131659953 /nfs/dbraw/zinc/65/99/53/1131659953.db2.gz FVBJNSFEJYBXIA-GFCCVEGCSA-N 1 2 290.794 3.727 20 0 CHADLO C[N@H+](Cc1cccc(F)n1)Cc1ccccc1Cl ZINC001140133572 1131666313 /nfs/dbraw/zinc/66/63/13/1131666313.db2.gz OBNLTXUGUXJRJV-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cccc(F)n1)Cc1ccccc1Cl ZINC001140133572 1131666315 /nfs/dbraw/zinc/66/63/15/1131666315.db2.gz OBNLTXUGUXJRJV-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)c(O)cc2F)CCC1(F)F ZINC001144648542 1131673340 /nfs/dbraw/zinc/67/33/40/1131673340.db2.gz WDFVYBYBMOVQKS-UHFFFAOYSA-N 1 2 291.288 3.538 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1cc(F)c(O)cc1F ZINC001144647105 1131673704 /nfs/dbraw/zinc/67/37/04/1131673704.db2.gz YSIGRQDKZIIRQH-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(Cl)cs2)C2(CCC2)CO1 ZINC000846066842 1131686295 /nfs/dbraw/zinc/68/62/95/1131686295.db2.gz HKKKFAJLKJZUBF-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(Cl)cs2)C2(CCC2)CO1 ZINC000846066842 1131686296 /nfs/dbraw/zinc/68/62/96/1131686296.db2.gz HKKKFAJLKJZUBF-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(Cl)cs2)C2(CCC2)CO1 ZINC000846066843 1131686668 /nfs/dbraw/zinc/68/66/68/1131686668.db2.gz HKKKFAJLKJZUBF-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(Cl)cs2)C2(CCC2)CO1 ZINC000846066843 1131686670 /nfs/dbraw/zinc/68/66/70/1131686670.db2.gz HKKKFAJLKJZUBF-SNVBAGLBSA-N 1 2 271.813 3.545 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000846118185 1131688242 /nfs/dbraw/zinc/68/82/42/1131688242.db2.gz VELDBJSIEBEMEX-VXGBXAGGSA-N 1 2 288.313 3.761 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccc3c(c1)CCC3)C2 ZINC001203034054 1131718659 /nfs/dbraw/zinc/71/86/59/1131718659.db2.gz LCNRYBNYTWIFBF-UHFFFAOYSA-N 1 2 267.347 3.830 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccc3c(c1)CCC3)C2 ZINC001203034054 1131718663 /nfs/dbraw/zinc/71/86/63/1131718663.db2.gz LCNRYBNYTWIFBF-UHFFFAOYSA-N 1 2 267.347 3.830 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2nc3ccccc3s2)o1 ZINC000834900635 1131723580 /nfs/dbraw/zinc/72/35/80/1131723580.db2.gz QGBMAYDXXYVXSX-SNVBAGLBSA-N 1 2 287.388 3.698 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCCOc3cc(C)ccc32)co1 ZINC000834900601 1131724230 /nfs/dbraw/zinc/72/42/30/1131724230.db2.gz VYEJFKBKTOFHRJ-OAHLLOKOSA-N 1 2 286.375 3.549 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cnc3ccc(Cl)cn23)C1 ZINC001140429682 1131734028 /nfs/dbraw/zinc/73/40/28/1131734028.db2.gz PPFVJKKONVCCOB-HNNXBMFYSA-N 1 2 295.789 3.702 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cnc3ccc(Cl)cn23)C1 ZINC001140429682 1131734032 /nfs/dbraw/zinc/73/40/32/1131734032.db2.gz PPFVJKKONVCCOB-HNNXBMFYSA-N 1 2 295.789 3.702 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cnc(Cl)c(F)c1)c1nccs1 ZINC000846824752 1131739781 /nfs/dbraw/zinc/73/97/81/1131739781.db2.gz GSJHDRPSERTGCL-CYBMUJFWSA-N 1 2 299.802 3.746 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[C@@H](C)[N@H+](C)Cc1cnsn1 ZINC000846813256 1131743882 /nfs/dbraw/zinc/74/38/82/1131743882.db2.gz ZHBLGTBSDTVMJZ-WDEREUQCSA-N 1 2 295.839 3.816 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[C@@H](C)[N@@H+](C)Cc1cnsn1 ZINC000846813256 1131743887 /nfs/dbraw/zinc/74/38/87/1131743887.db2.gz ZHBLGTBSDTVMJZ-WDEREUQCSA-N 1 2 295.839 3.816 20 0 CHADLO Clc1ccc([C@@H]([NH2+]Cc2cnsn2)C2CCC2)cc1 ZINC000846845560 1131749658 /nfs/dbraw/zinc/74/96/58/1131749658.db2.gz YNADFIMFSLJKGD-AWEZNQCLSA-N 1 2 293.823 3.823 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCC(=O)CC12CCC2 ZINC000846994101 1131769533 /nfs/dbraw/zinc/76/95/33/1131769533.db2.gz BIXVAVJOQSZSBQ-UHFFFAOYSA-N 1 2 277.795 3.736 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCC(=O)CC12CCC2 ZINC000846994101 1131769540 /nfs/dbraw/zinc/76/95/40/1131769540.db2.gz BIXVAVJOQSZSBQ-UHFFFAOYSA-N 1 2 277.795 3.736 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC23CCCC3)c(F)c1 ZINC001140529855 1131780441 /nfs/dbraw/zinc/78/04/41/1131780441.db2.gz JVQIBHKUQMQPLO-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC23CCCC3)c(F)c1 ZINC001140529855 1131780444 /nfs/dbraw/zinc/78/04/44/1131780444.db2.gz JVQIBHKUQMQPLO-UHFFFAOYSA-N 1 2 255.283 3.622 20 0 CHADLO CC[C@H](COC)Nc1cc(-c2ccnc(Cl)c2)cc[nH+]1 ZINC001160933653 1131787029 /nfs/dbraw/zinc/78/70/29/1131787029.db2.gz UCVIWXSRVCGTLZ-CYBMUJFWSA-N 1 2 291.782 3.634 20 0 CHADLO C[N@H+](Cc1cccc(F)c1Cl)C1CC(F)(F)C1 ZINC001140560006 1131793718 /nfs/dbraw/zinc/79/37/18/1131793718.db2.gz HBADKCKYUPAHIK-UHFFFAOYSA-N 1 2 263.690 3.709 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1Cl)C1CC(F)(F)C1 ZINC001140560006 1131793720 /nfs/dbraw/zinc/79/37/20/1131793720.db2.gz HBADKCKYUPAHIK-UHFFFAOYSA-N 1 2 263.690 3.709 20 0 CHADLO COc1cc2c(cc1O[C@@H](C)Cc1ccccc1)C=[NH+]CC2 ZINC001228091360 1131808398 /nfs/dbraw/zinc/80/83/98/1131808398.db2.gz UGJGFVFGZFGQKT-AWEZNQCLSA-N 1 2 295.382 3.680 20 0 CHADLO Cc1ccc([N@@H+](CC[C@@H]2CCOC2)CC(C)C)cc1 ZINC001171299411 1131814135 /nfs/dbraw/zinc/81/41/35/1131814135.db2.gz YBAAXZJMALGGSZ-MRXNPFEDSA-N 1 2 261.409 3.884 20 0 CHADLO Cc1ccc([N@H+](CC[C@@H]2CCOC2)CC(C)C)cc1 ZINC001171299411 1131814146 /nfs/dbraw/zinc/81/41/46/1131814146.db2.gz YBAAXZJMALGGSZ-MRXNPFEDSA-N 1 2 261.409 3.884 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(Cl)ncc2F)CCC1(F)F ZINC001140606080 1131825572 /nfs/dbraw/zinc/82/55/72/1131825572.db2.gz WASPLHUBHDAJFF-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(Cl)ncc2F)CCC1(F)F ZINC001140606080 1131825576 /nfs/dbraw/zinc/82/55/76/1131825576.db2.gz WASPLHUBHDAJFF-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO Cc1ccc(Br)cc1C[N@@H+]1CCC[C@@H]1CF ZINC001140656906 1131851757 /nfs/dbraw/zinc/85/17/57/1131851757.db2.gz SFNNUAAICLBRLC-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(Br)cc1C[N@H+]1CCC[C@@H]1CF ZINC001140656906 1131851764 /nfs/dbraw/zinc/85/17/64/1131851764.db2.gz SFNNUAAICLBRLC-CYBMUJFWSA-N 1 2 286.188 3.691 20 0 CHADLO CC(=O)[C@H](Oc1ccc2[nH]c(C)[nH+]c2c1)c1ccccc1 ZINC001228329600 1131858952 /nfs/dbraw/zinc/85/89/52/1131858952.db2.gz OVJGQBYVPLFFON-KRWDZBQOSA-N 1 2 280.327 3.580 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2ccc(SC(C)C)cc2)n1 ZINC000348112400 1131883702 /nfs/dbraw/zinc/88/37/02/1131883702.db2.gz QOUHYDZLIPIWKR-LLVKDONJSA-N 1 2 291.420 3.729 20 0 CHADLO CC[N@H+](Cc1ncc(-c2ccccc2)o1)Cc1ccncc1 ZINC000348122211 1131884804 /nfs/dbraw/zinc/88/48/04/1131884804.db2.gz CRWFKCOBCAOYCV-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC[N@@H+](Cc1ncc(-c2ccccc2)o1)Cc1ccncc1 ZINC000348122211 1131884809 /nfs/dbraw/zinc/88/48/09/1131884809.db2.gz CRWFKCOBCAOYCV-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCc3ncsc3C2)c1 ZINC000348165515 1131893818 /nfs/dbraw/zinc/89/38/18/1131893818.db2.gz DHFLDMNBZWMFCN-UHFFFAOYSA-N 1 2 280.343 3.639 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCc3ncsc3C2)c1 ZINC000348165515 1131893830 /nfs/dbraw/zinc/89/38/30/1131893830.db2.gz DHFLDMNBZWMFCN-UHFFFAOYSA-N 1 2 280.343 3.639 20 0 CHADLO CC(C)Oc1ccc(NC(=[NH2+])c2cccnc2Cl)cc1 ZINC001171349108 1131908564 /nfs/dbraw/zinc/90/85/64/1131908564.db2.gz UKBNAXIYVFGMIA-UHFFFAOYSA-N 1 2 289.766 3.960 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2cn[nH]c21)c1nc2ccccc2s1 ZINC000773065768 1131932623 /nfs/dbraw/zinc/93/26/23/1131932623.db2.gz QYHFGFIPDKLAAF-GXFFZTMASA-N 1 2 298.415 3.748 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCc2c[nH]nc21)c1nc2ccccc2s1 ZINC000773065768 1131932630 /nfs/dbraw/zinc/93/26/30/1131932630.db2.gz QYHFGFIPDKLAAF-GXFFZTMASA-N 1 2 298.415 3.748 20 0 CHADLO COc1cc(F)c(C[NH+]2CC3(C2)CCCCC3)c(F)c1 ZINC001140828690 1131939632 /nfs/dbraw/zinc/93/96/32/1131939632.db2.gz VPQXQFKLCDETSM-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnccc2C(F)(F)F)CCC1(F)F ZINC001140914983 1131961817 /nfs/dbraw/zinc/96/18/17/1131961817.db2.gz CECCICFTEVDWCY-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO C[N@H+](Cc1cnco1)Cc1cc(Cl)cc(Cl)c1 ZINC001140935098 1131965430 /nfs/dbraw/zinc/96/54/30/1131965430.db2.gz NGCWSVOCXSMYRL-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1cnco1)Cc1cc(Cl)cc(Cl)c1 ZINC001140935098 1131965437 /nfs/dbraw/zinc/96/54/37/1131965437.db2.gz NGCWSVOCXSMYRL-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO COC(=O)Cc1ccc(Nc2c(C)cc[nH+]c2C(C)C)cc1 ZINC001212619397 1131966375 /nfs/dbraw/zinc/96/63/75/1131966375.db2.gz WXKXYASCJVWEIA-UHFFFAOYSA-N 1 2 298.386 3.973 20 0 CHADLO CON(Cc1ccccc1)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000836632157 1131982834 /nfs/dbraw/zinc/98/28/34/1131982834.db2.gz JOEOPAWZANKPQF-UHFFFAOYSA-N 1 2 299.374 3.602 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1Cc1c[nH]nc1C(F)(F)F ZINC001141011619 1131986056 /nfs/dbraw/zinc/98/60/56/1131986056.db2.gz KFMHPNPRDPHBAY-SNVBAGLBSA-N 1 2 295.308 3.548 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1Cc1c[nH]nc1C(F)(F)F ZINC001141011619 1131986064 /nfs/dbraw/zinc/98/60/64/1131986064.db2.gz KFMHPNPRDPHBAY-SNVBAGLBSA-N 1 2 295.308 3.548 20 0 CHADLO CCC(CC)[C@H]([NH2+]Cc1ccn[nH]1)c1ccccc1 ZINC000037107836 1131996848 /nfs/dbraw/zinc/99/68/48/1131996848.db2.gz NBZUWTGXPGKMJD-INIZCTEOSA-N 1 2 257.381 3.677 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H](C)c1ccns1 ZINC000716032251 1132002303 /nfs/dbraw/zinc/00/23/03/1132002303.db2.gz BXBKUINPQCWLIX-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO c1csc(-c2ccccc2C[N@@H+]2CCn3cncc3C2)c1 ZINC001141096321 1132015821 /nfs/dbraw/zinc/01/58/21/1132015821.db2.gz CHQHFUQIJCTQLA-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO c1csc(-c2ccccc2C[N@H+]2CCn3cncc3C2)c1 ZINC001141096321 1132015825 /nfs/dbraw/zinc/01/58/25/1132015825.db2.gz CHQHFUQIJCTQLA-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO CCCCC[C@@H](C)CC(=O)NCc1c[nH+]c(C)cc1C ZINC000837103187 1132035678 /nfs/dbraw/zinc/03/56/78/1132035678.db2.gz BBMAWRJZIVABTO-CYBMUJFWSA-N 1 2 276.424 3.921 20 0 CHADLO c1ccc(C2(CNc3c[nH+]c4c(c3)CCC4)CC2)cc1 ZINC001171466245 1132035800 /nfs/dbraw/zinc/03/58/00/1132035800.db2.gz IOXYDWGMBQISLF-UHFFFAOYSA-N 1 2 264.372 3.714 20 0 CHADLO CCCCC[C@@H](CC)C(=O)NCc1c[nH+]c(C)cc1C ZINC000837105088 1132038393 /nfs/dbraw/zinc/03/83/93/1132038393.db2.gz OTXVUDVSXDPCJZ-OAHLLOKOSA-N 1 2 276.424 3.921 20 0 CHADLO Cc1cccc2c1CC[C@@H]([N@@H+]1CCCC(F)(F)C1)C2 ZINC001171564445 1132057100 /nfs/dbraw/zinc/05/71/00/1132057100.db2.gz AGGIMKGDUUUQIY-CQSZACIVSA-N 1 2 265.347 3.583 20 0 CHADLO Cc1cccc2c1CC[C@@H]([N@H+]1CCCC(F)(F)C1)C2 ZINC001171564445 1132057108 /nfs/dbraw/zinc/05/71/08/1132057108.db2.gz AGGIMKGDUUUQIY-CQSZACIVSA-N 1 2 265.347 3.583 20 0 CHADLO CC(C)CCC[C@H](C)NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC001141367122 1132102890 /nfs/dbraw/zinc/10/28/90/1132102890.db2.gz NWKSLXHZOHNDLG-JKSUJKDBSA-N 1 2 293.455 3.801 20 0 CHADLO CC[NH+]1CC(Oc2cc(O)ccc2[C@H](C)c2ccccc2)C1 ZINC001229693018 1132106725 /nfs/dbraw/zinc/10/67/25/1132106725.db2.gz XAIQKQFUHCJOLS-CQSZACIVSA-N 1 2 297.398 3.627 20 0 CHADLO C[C@@H](Cc1ccc(C(F)(F)F)cc1)[N@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001171969426 1132117689 /nfs/dbraw/zinc/11/76/89/1132117689.db2.gz JOXJNKBXPUYWGP-TVYUQYBPSA-N 1 2 293.279 3.628 20 0 CHADLO C[C@@H](Cc1ccc(C(F)(F)F)cc1)[N@@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001171969426 1132117693 /nfs/dbraw/zinc/11/76/93/1132117693.db2.gz JOXJNKBXPUYWGP-TVYUQYBPSA-N 1 2 293.279 3.628 20 0 CHADLO CCCC(=O)[C@H](CCC)Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229953545 1132135257 /nfs/dbraw/zinc/13/52/57/1132135257.db2.gz ASSQVRDQHDNMFA-KRWDZBQOSA-N 1 2 286.375 3.789 20 0 CHADLO Cc1ccc([C@H](C)Oc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001229954920 1132136603 /nfs/dbraw/zinc/13/66/03/1132136603.db2.gz WFEXCHWGMDOYMZ-AWEZNQCLSA-N 1 2 279.343 3.716 20 0 CHADLO Cc1ccc2cc(C[N@H+]3Cc4cnc(C)cc4C3)ccc2n1 ZINC001141482917 1132141672 /nfs/dbraw/zinc/14/16/72/1132141672.db2.gz WIPQRONRTLZFCY-UHFFFAOYSA-N 1 2 289.382 3.762 20 0 CHADLO Cc1ccc2cc(C[N@@H+]3Cc4cnc(C)cc4C3)ccc2n1 ZINC001141482917 1132141674 /nfs/dbraw/zinc/14/16/74/1132141674.db2.gz WIPQRONRTLZFCY-UHFFFAOYSA-N 1 2 289.382 3.762 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1ccc(Br)c(F)c1 ZINC000429283405 1132150424 /nfs/dbraw/zinc/15/04/24/1132150424.db2.gz JEVDCNRKYBFMIP-JTQLQIEISA-N 1 2 272.161 3.573 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1ccc(Br)c(F)c1 ZINC000429283405 1132150428 /nfs/dbraw/zinc/15/04/28/1132150428.db2.gz JEVDCNRKYBFMIP-JTQLQIEISA-N 1 2 272.161 3.573 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC000839164877 1132187324 /nfs/dbraw/zinc/18/73/24/1132187324.db2.gz BEDXXYGSNXIJHD-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CCc2cccc(Cl)c2C1 ZINC000839164877 1132187328 /nfs/dbraw/zinc/18/73/28/1132187328.db2.gz BEDXXYGSNXIJHD-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cccc(C(=O)C(F)(F)F)c1 ZINC000839456462 1132214747 /nfs/dbraw/zinc/21/47/47/1132214747.db2.gz LARDXGNESAPYPP-UHFFFAOYSA-N 1 2 297.276 3.657 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cccc(C(=O)C(F)(F)F)c1 ZINC000839456462 1132214754 /nfs/dbraw/zinc/21/47/54/1132214754.db2.gz LARDXGNESAPYPP-UHFFFAOYSA-N 1 2 297.276 3.657 20 0 CHADLO Clc1nc(C[N@@H+]2CCSC3(CCC3)CC2)cs1 ZINC000839626723 1132226511 /nfs/dbraw/zinc/22/65/11/1132226511.db2.gz UUHIQRSWJAGZKY-UHFFFAOYSA-N 1 2 288.869 3.658 20 0 CHADLO Clc1nc(C[N@H+]2CCSC3(CCC3)CC2)cs1 ZINC000839626723 1132226516 /nfs/dbraw/zinc/22/65/16/1132226516.db2.gz UUHIQRSWJAGZKY-UHFFFAOYSA-N 1 2 288.869 3.658 20 0 CHADLO Cc1ccccc1[C@@H](C)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230919948 1132227109 /nfs/dbraw/zinc/22/71/09/1132227109.db2.gz HEBWOUYQKRJAFF-CYBMUJFWSA-N 1 2 281.355 3.816 20 0 CHADLO C[C@@H]1CCC[C@H](Oc2cc3c(cc2O)C=[NH+]CC3)[C@@H]1C ZINC001230922069 1132228721 /nfs/dbraw/zinc/22/87/21/1132228721.db2.gz YWMJYVYZAYCURH-HSMVNMDESA-N 1 2 273.376 3.571 20 0 CHADLO CC/C=C(\C)[C@@H](CC)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230921426 1132229018 /nfs/dbraw/zinc/22/90/18/1132229018.db2.gz MVWMPBFVUUOWLE-GXDWLZFVSA-N 1 2 273.376 3.881 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(C(F)(F)F)cs2)C2(CC2)C1 ZINC001141765127 1132247651 /nfs/dbraw/zinc/24/76/51/1132247651.db2.gz LEJHQBCLSNFOIO-MRVPVSSYSA-N 1 2 276.327 3.536 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(C(F)(F)F)cs2)C2(CC2)C1 ZINC001141765127 1132247657 /nfs/dbraw/zinc/24/76/57/1132247657.db2.gz LEJHQBCLSNFOIO-MRVPVSSYSA-N 1 2 276.327 3.536 20 0 CHADLO COC1=CC[C@@H](Oc2ccc(-c3c[nH+]cn3C)cc2)CC1 ZINC001231083433 1132252724 /nfs/dbraw/zinc/25/27/24/1132252724.db2.gz KFXTZCZXQFHHGH-MRXNPFEDSA-N 1 2 284.359 3.549 20 0 CHADLO Cc1ccc([C@H](C)Oc2ccc(-c3c[nH+]cn3C)cc2)cn1 ZINC001231081780 1132253747 /nfs/dbraw/zinc/25/37/47/1132253747.db2.gz MCTHCRSQADKGJB-AWEZNQCLSA-N 1 2 293.370 3.931 20 0 CHADLO F[C@@H]1CCCC[C@H]1Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231081802 1132253964 /nfs/dbraw/zinc/25/39/64/1132253964.db2.gz NNAHZRNXEXCJIL-ZIAGYGMSSA-N 1 2 260.312 3.736 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@@H]2CCCC[C@H]2F)cc1 ZINC001231083221 1132254081 /nfs/dbraw/zinc/25/40/81/1132254081.db2.gz GGYCYBDZECXPQA-GDBMZVCRSA-N 1 2 274.339 3.747 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2N[C@@H](C1CC1)C1CCC1 ZINC000840319946 1132256596 /nfs/dbraw/zinc/25/65/96/1132256596.db2.gz QANITCKFJGNJCH-CQSZACIVSA-N 1 2 299.422 3.572 20 0 CHADLO CCCCCOC(=O)C[NH2+][C@H]1CC[C@@H](c2ccccc2)C1 ZINC001172553697 1132265770 /nfs/dbraw/zinc/26/57/70/1132265770.db2.gz AOOBINQIVGOUJP-SJORKVTESA-N 1 2 289.419 3.646 20 0 CHADLO Cc1nc2c(s1)C[N@H+](Cc1ccc(C)c(Cl)c1)CC2 ZINC001231423199 1132291324 /nfs/dbraw/zinc/29/13/24/1132291324.db2.gz VXUMGZKEEHVMHB-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](Cc1ccc(C)c(Cl)c1)CC2 ZINC001231423199 1132291329 /nfs/dbraw/zinc/29/13/29/1132291329.db2.gz VXUMGZKEEHVMHB-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)cc1Cl ZINC001231421205 1132292878 /nfs/dbraw/zinc/29/28/78/1132292878.db2.gz LNACIDYEJOWDLN-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)cc1Cl ZINC001231421205 1132292882 /nfs/dbraw/zinc/29/28/82/1132292882.db2.gz LNACIDYEJOWDLN-KGLIPLIRSA-N 1 2 273.754 3.920 20 0 CHADLO CCOC(=O)C[N@H+](CC)Cc1cccc(-c2ccccc2)c1 ZINC001231511374 1132310276 /nfs/dbraw/zinc/31/02/76/1132310276.db2.gz MYRSFPKDWSWYLN-UHFFFAOYSA-N 1 2 297.398 3.739 20 0 CHADLO CCOC(=O)C[N@@H+](CC)Cc1cccc(-c2ccccc2)c1 ZINC001231511374 1132310282 /nfs/dbraw/zinc/31/02/82/1132310282.db2.gz MYRSFPKDWSWYLN-UHFFFAOYSA-N 1 2 297.398 3.739 20 0 CHADLO CCN(C(=O)[C@@H](CC(C)C)n1cc[nH+]c1)C1CC(C)(C)C1 ZINC001142108068 1132328102 /nfs/dbraw/zinc/32/81/02/1132328102.db2.gz KNQGEPYVUOSXCQ-OAHLLOKOSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[N@@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001142163168 1132335828 /nfs/dbraw/zinc/33/58/28/1132335828.db2.gz SIVJASKJGVLUIE-ZBFHGGJFSA-N 1 2 299.409 3.527 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[N@H+]1CC[C@](C)(F)[C@H](F)C1 ZINC001142163168 1132335836 /nfs/dbraw/zinc/33/58/36/1132335836.db2.gz SIVJASKJGVLUIE-ZBFHGGJFSA-N 1 2 299.409 3.527 20 0 CHADLO Cc1sc2ccccc2c1C[N@H+](C)Cc1ccon1 ZINC001231650211 1132337150 /nfs/dbraw/zinc/33/71/50/1132337150.db2.gz ZCAPHHSAEATGRX-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1sc2ccccc2c1C[N@@H+](C)Cc1ccon1 ZINC001231650211 1132337159 /nfs/dbraw/zinc/33/71/59/1132337159.db2.gz ZCAPHHSAEATGRX-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO COC[C@@H]1CC[N@@H+]1Cc1ccc(C)c(Cl)c1Cl ZINC001231665374 1132342163 /nfs/dbraw/zinc/34/21/63/1132342163.db2.gz IWIPDADZUOPTHJ-NSHDSACASA-N 1 2 274.191 3.523 20 0 CHADLO COC[C@@H]1CC[N@H+]1Cc1ccc(C)c(Cl)c1Cl ZINC001231665374 1132342173 /nfs/dbraw/zinc/34/21/73/1132342173.db2.gz IWIPDADZUOPTHJ-NSHDSACASA-N 1 2 274.191 3.523 20 0 CHADLO Oc1cc(C[N@@H+]2CCC[C@@H]2c2cccnc2)ccc1Cl ZINC001231733291 1132351143 /nfs/dbraw/zinc/35/11/43/1132351143.db2.gz QYHQCKLEWXKJKZ-OAHLLOKOSA-N 1 2 288.778 3.778 20 0 CHADLO Oc1cc(C[N@H+]2CCC[C@@H]2c2cccnc2)ccc1Cl ZINC001231733291 1132351152 /nfs/dbraw/zinc/35/11/52/1132351152.db2.gz QYHQCKLEWXKJKZ-OAHLLOKOSA-N 1 2 288.778 3.778 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[NH+]1CC2(CCO2)C1 ZINC001231739327 1132353008 /nfs/dbraw/zinc/35/30/08/1132353008.db2.gz QCJMZVVUWFCLPW-UHFFFAOYSA-N 1 2 297.398 3.602 20 0 CHADLO CC(C)Sc1nc2sccn2c1C[NH+]1CC(C)(C)C1 ZINC001231774516 1132357097 /nfs/dbraw/zinc/35/70/97/1132357097.db2.gz SUMOWVOBOKUGCW-UHFFFAOYSA-N 1 2 295.477 3.738 20 0 CHADLO CCCCc1ccc(C[N@H+](C)Cc2ccon2)cc1 ZINC001231781006 1132360331 /nfs/dbraw/zinc/36/03/31/1132360331.db2.gz AJOQOIQHGFPZLL-UHFFFAOYSA-N 1 2 258.365 3.649 20 0 CHADLO CCCCc1ccc(C[N@@H+](C)Cc2ccon2)cc1 ZINC001231781006 1132360341 /nfs/dbraw/zinc/36/03/41/1132360341.db2.gz AJOQOIQHGFPZLL-UHFFFAOYSA-N 1 2 258.365 3.649 20 0 CHADLO CSc1ccccc1C[N@@H+]1Cc2cnc(C)cc2C1 ZINC001231786388 1132362761 /nfs/dbraw/zinc/36/27/61/1132362761.db2.gz VZULSHZDMMILMR-UHFFFAOYSA-N 1 2 270.401 3.628 20 0 CHADLO CSc1ccccc1C[N@H+]1Cc2cnc(C)cc2C1 ZINC001231786388 1132362769 /nfs/dbraw/zinc/36/27/69/1132362769.db2.gz VZULSHZDMMILMR-UHFFFAOYSA-N 1 2 270.401 3.628 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc(OC(C)(C)C)cc1 ZINC001231804471 1132366521 /nfs/dbraw/zinc/36/65/21/1132366521.db2.gz DFMOMVUHJVTYCX-UHFFFAOYSA-N 1 2 290.432 3.952 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc(OC(C)(C)C)cc1 ZINC001231804471 1132366529 /nfs/dbraw/zinc/36/65/29/1132366529.db2.gz DFMOMVUHJVTYCX-UHFFFAOYSA-N 1 2 290.432 3.952 20 0 CHADLO Clc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)nc1 ZINC001212692435 1132371460 /nfs/dbraw/zinc/37/14/60/1132371460.db2.gz SUTLJGWNJCSINN-UHFFFAOYSA-N 1 2 284.750 3.723 20 0 CHADLO CCc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC001212694173 1132373205 /nfs/dbraw/zinc/37/32/05/1132373205.db2.gz NKLUOSJBFDCSCY-UHFFFAOYSA-N 1 2 278.359 3.632 20 0 CHADLO c1cn(Cc2ccc(Nc3ncccc3C3CC3)cc2)c[nH+]1 ZINC001212694933 1132374917 /nfs/dbraw/zinc/37/49/17/1132374917.db2.gz FGIAMTVZAMNJII-UHFFFAOYSA-N 1 2 290.370 3.947 20 0 CHADLO Fc1c(C[NH+]2CC3(CCC3)C2)ccc(C(F)(F)F)c1F ZINC001231857656 1132381846 /nfs/dbraw/zinc/38/18/46/1132381846.db2.gz HSYZDGKMBQZQHF-UHFFFAOYSA-N 1 2 291.263 3.970 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(NC(C)=O)c(F)c1 ZINC001212703757 1132385633 /nfs/dbraw/zinc/38/56/33/1132385633.db2.gz NMQZABKLKIHJFF-UHFFFAOYSA-N 1 2 287.338 3.794 20 0 CHADLO FC(F)(F)c1cnc(Cl)cc1C[N@@H+]1CCC2(CC2)C1 ZINC001231930417 1132401304 /nfs/dbraw/zinc/40/13/04/1132401304.db2.gz OIRVKGVYZSZXSM-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO FC(F)(F)c1cnc(Cl)cc1C[N@H+]1CCC2(CC2)C1 ZINC001231930417 1132401307 /nfs/dbraw/zinc/40/13/07/1132401307.db2.gz OIRVKGVYZSZXSM-UHFFFAOYSA-N 1 2 290.716 3.740 20 0 CHADLO FC(F)(F)c1cnc(Cl)cc1C[NH+]1CCCCC1 ZINC001231929125 1132401798 /nfs/dbraw/zinc/40/17/98/1132401798.db2.gz WZTYYDBZIHTUDE-UHFFFAOYSA-N 1 2 278.705 3.740 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1cc(Cl)cnc1F ZINC001231993142 1132406690 /nfs/dbraw/zinc/40/66/90/1132406690.db2.gz TWXGKTUKGSJQDY-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1cc(Cl)cnc1F ZINC001231993142 1132406692 /nfs/dbraw/zinc/40/66/92/1132406692.db2.gz TWXGKTUKGSJQDY-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO Fc1ncc(Cl)cc1C[N@@H+]1CCCC2(CCC2)C1 ZINC001231996284 1132407749 /nfs/dbraw/zinc/40/77/49/1132407749.db2.gz HNMFNGPVWVSNCM-UHFFFAOYSA-N 1 2 268.763 3.640 20 0 CHADLO Fc1ncc(Cl)cc1C[N@H+]1CCCC2(CCC2)C1 ZINC001231996284 1132407754 /nfs/dbraw/zinc/40/77/54/1132407754.db2.gz HNMFNGPVWVSNCM-UHFFFAOYSA-N 1 2 268.763 3.640 20 0 CHADLO COc1cccc2[nH]cc(C[N@@H+]3CCn4cccc4[C@@H]3C)c21 ZINC001232036622 1132412797 /nfs/dbraw/zinc/41/27/97/1132412797.db2.gz LYAUXZVJDZJDMX-ZDUSSCGKSA-N 1 2 295.386 3.555 20 0 CHADLO COc1cccc2[nH]cc(C[N@H+]3CCn4cccc4[C@@H]3C)c21 ZINC001232036622 1132412799 /nfs/dbraw/zinc/41/27/99/1132412799.db2.gz LYAUXZVJDZJDMX-ZDUSSCGKSA-N 1 2 295.386 3.555 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1cc3ncccc3[nH]1)C2 ZINC001232064095 1132417137 /nfs/dbraw/zinc/41/71/37/1132417137.db2.gz BMVRKCJDGWGJBH-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1cc3ncccc3[nH]1)C2 ZINC001232064095 1132417139 /nfs/dbraw/zinc/41/71/39/1132417139.db2.gz BMVRKCJDGWGJBH-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO FC(F)(F)c1cccc(C[NH+]2CC(c3ccccc3)C2)n1 ZINC001232071884 1132419073 /nfs/dbraw/zinc/41/90/73/1132419073.db2.gz RVAXSWHNQWYRSO-UHFFFAOYSA-N 1 2 292.304 3.700 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232099576 1132422734 /nfs/dbraw/zinc/42/27/34/1132422734.db2.gz AQDOYOUPTBAAIB-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232099576 1132422738 /nfs/dbraw/zinc/42/27/38/1132422738.db2.gz AQDOYOUPTBAAIB-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cccc3[nH]cnc31)C2 ZINC001142693253 1132430461 /nfs/dbraw/zinc/43/04/61/1132430461.db2.gz XKJRUJVSGZMYDR-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cccc3[nH]cnc31)C2 ZINC001142693253 1132430464 /nfs/dbraw/zinc/43/04/64/1132430464.db2.gz XKJRUJVSGZMYDR-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO CC[N@H+](Cc1ncsc1C)Cc1cccc(F)c1 ZINC001232208705 1132436170 /nfs/dbraw/zinc/43/61/70/1132436170.db2.gz AZWWTACOPUDDGE-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1ncsc1C)Cc1cccc(F)c1 ZINC001232208705 1132436175 /nfs/dbraw/zinc/43/61/75/1132436175.db2.gz AZWWTACOPUDDGE-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CCCC[C@@H]([NH2+][C@H](C)Cc1ccc(CC)cc1)C(=O)OC ZINC001173237584 1132440342 /nfs/dbraw/zinc/44/03/42/1132440342.db2.gz CCSWPNZXTDGEQS-RHSMWYFYSA-N 1 2 291.435 3.501 20 0 CHADLO CCCCOC1C[NH+](Cc2cccc(-c3ccccn3)c2)C1 ZINC001142844239 1132459362 /nfs/dbraw/zinc/45/93/62/1132459362.db2.gz MDQFAIMFFOKJKE-UHFFFAOYSA-N 1 2 296.414 3.750 20 0 CHADLO CC(C)=CCC[C@H](C)CC(=O)OCCCc1[nH+]ccn1C ZINC000842768663 1132459462 /nfs/dbraw/zinc/45/94/62/1132459462.db2.gz CJGYBSYLZHFSFB-HNNXBMFYSA-N 1 2 292.423 3.669 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCCC[C@H](F)C2)cc1F ZINC001232419881 1132467850 /nfs/dbraw/zinc/46/78/50/1132467850.db2.gz KVRCJPRPLMVLGR-LBPRGKRZSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCCC[C@H](F)C2)cc1F ZINC001232419881 1132467853 /nfs/dbraw/zinc/46/78/53/1132467853.db2.gz KVRCJPRPLMVLGR-LBPRGKRZSA-N 1 2 257.299 3.597 20 0 CHADLO CCSC[C@@H](C)[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001173316814 1132477302 /nfs/dbraw/zinc/47/73/02/1132477302.db2.gz YTPBOHMUPOIAHZ-DOMZBBRYSA-N 1 2 299.867 3.855 20 0 CHADLO CCSC[C@@H](C)[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001173316814 1132477306 /nfs/dbraw/zinc/47/73/06/1132477306.db2.gz YTPBOHMUPOIAHZ-DOMZBBRYSA-N 1 2 299.867 3.855 20 0 CHADLO CCSC[C@H](C)[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001173316818 1132477649 /nfs/dbraw/zinc/47/76/49/1132477649.db2.gz YTPBOHMUPOIAHZ-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO CCSC[C@H](C)[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001173316818 1132477654 /nfs/dbraw/zinc/47/76/54/1132477654.db2.gz YTPBOHMUPOIAHZ-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO Cc1cccc(F)c1C[N@@H+]1CCO[C@@H](c2ccccc2)C1 ZINC001232484333 1132477647 /nfs/dbraw/zinc/47/76/47/1132477647.db2.gz DNUKWZHBFPDKPS-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cccc(F)c1C[N@H+]1CCO[C@@H](c2ccccc2)C1 ZINC001232484333 1132477650 /nfs/dbraw/zinc/47/76/50/1132477650.db2.gz DNUKWZHBFPDKPS-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Fc1ccnc(C[N@@H+]2CCC[C@@H]2c2ccccc2F)c1 ZINC001232493695 1132479824 /nfs/dbraw/zinc/47/98/24/1132479824.db2.gz ACYDTXQVYPNMEW-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccnc(C[N@H+]2CCC[C@@H]2c2ccccc2F)c1 ZINC001232493695 1132479825 /nfs/dbraw/zinc/47/98/25/1132479825.db2.gz ACYDTXQVYPNMEW-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO COc1cc(Cl)ccc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC001232496805 1132480127 /nfs/dbraw/zinc/48/01/27/1132480127.db2.gz ZIEGYKQFAIYJSF-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(Cl)ccc1C[N@H+]1CCC[C@](C)(F)C1 ZINC001232496805 1132480131 /nfs/dbraw/zinc/48/01/31/1132480131.db2.gz ZIEGYKQFAIYJSF-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO CC[NH2+]Cc1noc(C2CCC(c3ccccc3)CC2)n1 ZINC000843141867 1132495926 /nfs/dbraw/zinc/49/59/26/1132495926.db2.gz VIKZKTXWGMEDSA-UHFFFAOYSA-N 1 2 285.391 3.621 20 0 CHADLO COc1cccc(C)c1C[N@H+](C)Cc1nc2ccccc2o1 ZINC001232626218 1132496932 /nfs/dbraw/zinc/49/69/32/1132496932.db2.gz WVCDKILXMXOECE-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1cccc(C)c1C[N@@H+](C)Cc1nc2ccccc2o1 ZINC001232626218 1132496936 /nfs/dbraw/zinc/49/69/36/1132496936.db2.gz WVCDKILXMXOECE-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO Brc1ccc(C[NH+]2CC3(C2)CCCCC3)[nH]1 ZINC001232695087 1132507463 /nfs/dbraw/zinc/50/74/63/1132507463.db2.gz WBMMTRIIAUCTSS-UHFFFAOYSA-N 1 2 283.213 3.543 20 0 CHADLO FC(F)C1C[NH+](Cc2cc3ccc(Cl)cc3[nH]2)C1 ZINC001232734743 1132512026 /nfs/dbraw/zinc/51/20/26/1132512026.db2.gz XOXKCCZJEXZUTA-UHFFFAOYSA-N 1 2 270.710 3.518 20 0 CHADLO Cc1cc(CO)cc(Nc2[nH+]cccc2C2CC2)c1 ZINC001212754069 1132512920 /nfs/dbraw/zinc/51/29/20/1132512920.db2.gz CUZZNWVFFMMFCF-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Cc1cc(CO)cc(Nc2cc[nH+]c3ccc(Cl)cc23)c1 ZINC001212752576 1132512979 /nfs/dbraw/zinc/51/29/79/1132512979.db2.gz UZCBMQNYFBGADJ-UHFFFAOYSA-N 1 2 298.773 3.854 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H](F)C2)c(F)cc1F ZINC001232784143 1132518374 /nfs/dbraw/zinc/51/83/74/1132518374.db2.gz IGIZUDUFTAUXFN-GFCCVEGCSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H](F)C2)c(F)cc1F ZINC001232784143 1132518378 /nfs/dbraw/zinc/51/83/78/1132518378.db2.gz IGIZUDUFTAUXFN-GFCCVEGCSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1ccccc1Nc1[nH+]cccc1N1CCCC1 ZINC001173814730 1132519081 /nfs/dbraw/zinc/51/90/81/1132519081.db2.gz DMMIZKILTIIRMC-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO Cc1ccccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001173814814 1132519536 /nfs/dbraw/zinc/51/95/36/1132519536.db2.gz CEUFPRXUZYYISB-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO COc1cc(O)cc(C[N@H+](C)Cc2ccccc2Cl)c1 ZINC001232802563 1132523268 /nfs/dbraw/zinc/52/32/68/1132523268.db2.gz CGANUHOURCDWBW-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1cc(O)cc(C[N@@H+](C)Cc2ccccc2Cl)c1 ZINC001232802563 1132523272 /nfs/dbraw/zinc/52/32/72/1132523272.db2.gz CGANUHOURCDWBW-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1c(Cl)cccc1C(F)(F)F ZINC001143283399 1132531283 /nfs/dbraw/zinc/53/12/83/1132531283.db2.gz XHSQADCXGNYJRR-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1c(Cl)cccc1C(F)(F)F ZINC001143283399 1132531290 /nfs/dbraw/zinc/53/12/90/1132531290.db2.gz XHSQADCXGNYJRR-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO Fc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC001173799364 1132531915 /nfs/dbraw/zinc/53/19/15/1132531915.db2.gz PVMUWRBFEQAAPD-UHFFFAOYSA-N 1 2 257.312 3.565 20 0 CHADLO COC[C@H]1CC[N@@H+]1Cc1c(Cl)cccc1C(F)(F)F ZINC001143296428 1132532469 /nfs/dbraw/zinc/53/24/69/1132532469.db2.gz SGUFMSAHKFIMKB-SECBINFHSA-N 1 2 293.716 3.580 20 0 CHADLO COC[C@H]1CC[N@H+]1Cc1c(Cl)cccc1C(F)(F)F ZINC001143296428 1132532474 /nfs/dbraw/zinc/53/24/74/1132532474.db2.gz SGUFMSAHKFIMKB-SECBINFHSA-N 1 2 293.716 3.580 20 0 CHADLO CC(C)[C@H]1[N@H+](Cc2cc(C(F)(F)F)n[nH]2)CC12CC=CC2 ZINC000843432483 1132534980 /nfs/dbraw/zinc/53/49/80/1132534980.db2.gz XMZJHODYZCFHMM-CYBMUJFWSA-N 1 2 299.340 3.605 20 0 CHADLO CC(C)[C@H]1[N@@H+](Cc2cc(C(F)(F)F)n[nH]2)CC12CC=CC2 ZINC000843432483 1132534983 /nfs/dbraw/zinc/53/49/83/1132534983.db2.gz XMZJHODYZCFHMM-CYBMUJFWSA-N 1 2 299.340 3.605 20 0 CHADLO Cc1cc(O)cc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)c1 ZINC001232883748 1132536600 /nfs/dbraw/zinc/53/66/00/1132536600.db2.gz WUCULEIOOQAZAJ-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cc(O)cc(C[N@H+]2CCc3cc(F)c(F)cc3C2)c1 ZINC001232883748 1132536608 /nfs/dbraw/zinc/53/66/08/1132536608.db2.gz WUCULEIOOQAZAJ-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001173807987 1132542005 /nfs/dbraw/zinc/54/20/05/1132542005.db2.gz IFZXPUQQJZUGLX-UHFFFAOYSA-N 1 2 263.344 3.983 20 0 CHADLO C[N@H+](Cc1ccc(F)c(O)c1F)[C@@H]1CCc2ccccc21 ZINC001232938500 1132544753 /nfs/dbraw/zinc/54/47/53/1132544753.db2.gz DSFUFFIVPKIGOP-OAHLLOKOSA-N 1 2 289.325 3.790 20 0 CHADLO C[N@@H+](Cc1ccc(F)c(O)c1F)[C@@H]1CCc2ccccc21 ZINC001232938500 1132544759 /nfs/dbraw/zinc/54/47/59/1132544759.db2.gz DSFUFFIVPKIGOP-OAHLLOKOSA-N 1 2 289.325 3.790 20 0 CHADLO Oc1c(F)ccc(C[NH+]2CCC3(CCCC3)CC2)c1F ZINC001232934984 1132546262 /nfs/dbraw/zinc/54/62/62/1132546262.db2.gz ZEMBHPXCRPZIER-UHFFFAOYSA-N 1 2 281.346 3.827 20 0 CHADLO Fc1ccccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001173845867 1132549181 /nfs/dbraw/zinc/54/91/81/1132549181.db2.gz HAEAPRXCMVGOOI-UHFFFAOYSA-N 1 2 253.280 3.755 20 0 CHADLO CCOc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC001173851536 1132554355 /nfs/dbraw/zinc/55/43/55/1132554355.db2.gz JKJNEFVJWYYYRC-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Cc1cc2cc(C(=O)Nc3ccn4cc[nH+]c4c3)ccc2o1 ZINC001150739803 1132559153 /nfs/dbraw/zinc/55/91/53/1132559153.db2.gz FEJSLMUSFTXDSQ-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO COc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC001173863411 1132566565 /nfs/dbraw/zinc/56/65/65/1132566565.db2.gz JXJWMALYRNNECS-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO CC[N@H+](Cc1ccccc1F)Cc1ccc(C#N)cc1F ZINC000047725739 1132568837 /nfs/dbraw/zinc/56/88/37/1132568837.db2.gz JJBGECAVXZZPQL-UHFFFAOYSA-N 1 2 286.325 3.859 20 0 CHADLO CC[N@@H+](Cc1ccccc1F)Cc1ccc(C#N)cc1F ZINC000047725739 1132568841 /nfs/dbraw/zinc/56/88/41/1132568841.db2.gz JJBGECAVXZZPQL-UHFFFAOYSA-N 1 2 286.325 3.859 20 0 CHADLO CCN(CCO)c1ccc(Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC001203375214 1132577978 /nfs/dbraw/zinc/57/79/78/1132577978.db2.gz UULLPQGPDFQBQL-UHFFFAOYSA-N 1 2 299.418 3.569 20 0 CHADLO C[N@H+](Cc1ccc(Cl)c(F)c1F)C1CC(F)(F)C1 ZINC001233207482 1132581134 /nfs/dbraw/zinc/58/11/34/1132581134.db2.gz MACSPSSVGBSBDF-UHFFFAOYSA-N 1 2 281.680 3.848 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(F)c2F)cc1O ZINC001233235013 1132585910 /nfs/dbraw/zinc/58/59/10/1132585910.db2.gz LZJSWNMJSRDKJQ-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(F)c2F)cc1O ZINC001233235013 1132585914 /nfs/dbraw/zinc/58/59/14/1132585914.db2.gz LZJSWNMJSRDKJQ-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO COc1ccccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001173838028 1132591084 /nfs/dbraw/zinc/59/10/84/1132591084.db2.gz DVMJTBWYMIPIFP-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Clc1ccc(C[N@@H+]2CC[C@@H]2COCc2ccccc2)o1 ZINC001233316011 1132599003 /nfs/dbraw/zinc/59/90/03/1132599003.db2.gz ICAJSRJSHDOAQP-CQSZACIVSA-N 1 2 291.778 3.724 20 0 CHADLO Clc1ccc(C[N@H+]2CC[C@@H]2COCc2ccccc2)o1 ZINC001233316011 1132599010 /nfs/dbraw/zinc/59/90/10/1132599010.db2.gz ICAJSRJSHDOAQP-CQSZACIVSA-N 1 2 291.778 3.724 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ccc(Cl)o2)cn1 ZINC001233316787 1132599581 /nfs/dbraw/zinc/59/95/81/1132599581.db2.gz CEEYMLBDYNUKIT-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ccc(Cl)o2)cn1 ZINC001233316787 1132599585 /nfs/dbraw/zinc/59/95/85/1132599585.db2.gz CEEYMLBDYNUKIT-CQSZACIVSA-N 1 2 276.767 3.974 20 0 CHADLO CSc1cc(Nc2cccc(C(C)=O)c2)cc[nH+]1 ZINC001173899187 1132603561 /nfs/dbraw/zinc/60/35/61/1132603561.db2.gz AMDSCZXMLMKHOW-UHFFFAOYSA-N 1 2 258.346 3.750 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(F)cc(F)c1 ZINC001173913267 1132609044 /nfs/dbraw/zinc/60/90/44/1132609044.db2.gz GQBAYQISRPVIMG-UHFFFAOYSA-N 1 2 250.248 3.502 20 0 CHADLO Fc1cc(F)cc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001173914813 1132610755 /nfs/dbraw/zinc/61/07/55/1132610755.db2.gz VYHXERWWZIPKGI-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO COc1ccc(C[NH+]2CC3(C2)CCCCC3)c(Cl)c1O ZINC001233400853 1132616382 /nfs/dbraw/zinc/61/63/82/1132616382.db2.gz ARFLDLFFWJXGGY-UHFFFAOYSA-N 1 2 295.810 3.820 20 0 CHADLO Fc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(F)c1 ZINC001173926277 1132619540 /nfs/dbraw/zinc/61/95/40/1132619540.db2.gz MFMPTQYEKNLUCW-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO Cc1nc(NCc2nc3ccccc3o2)cc(C(C)C)[nH+]1 ZINC001154646399 1132620856 /nfs/dbraw/zinc/62/08/56/1132620856.db2.gz FKNYCDPXINDMCZ-UHFFFAOYSA-N 1 2 282.347 3.662 20 0 CHADLO CC(C)(C)OC(=O)n1ccc(C[N@@H+]2CCC[C@](C)(F)C2)c1 ZINC001233430028 1132622606 /nfs/dbraw/zinc/62/26/06/1132622606.db2.gz WITLJNPYGXHQCU-INIZCTEOSA-N 1 2 296.386 3.595 20 0 CHADLO CC(C)(C)OC(=O)n1ccc(C[N@H+]2CCC[C@](C)(F)C2)c1 ZINC001233430028 1132622611 /nfs/dbraw/zinc/62/26/11/1132622611.db2.gz WITLJNPYGXHQCU-INIZCTEOSA-N 1 2 296.386 3.595 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2C(C)C)cc1OC ZINC001173887562 1132626909 /nfs/dbraw/zinc/62/69/09/1132626909.db2.gz MRJHHXFAXFCATE-UHFFFAOYSA-N 1 2 272.348 3.966 20 0 CHADLO CC(C)c1cccc(Nc2ccc3c(c2)OCC3)[nH+]1 ZINC001206653099 1132631258 /nfs/dbraw/zinc/63/12/58/1132631258.db2.gz WDHUONUJPPVQJT-UHFFFAOYSA-N 1 2 254.333 3.884 20 0 CHADLO Cc1nc(NC[C@H]2CCC(F)(F)C2)cc(C(C)C)[nH+]1 ZINC001155165968 1132643430 /nfs/dbraw/zinc/64/34/30/1132643430.db2.gz CIWYUIRRMNFZMI-NSHDSACASA-N 1 2 269.339 3.756 20 0 CHADLO CC(C)(C)OC(=O)Nc1cc(Nc2ccoc2)cc[nH+]1 ZINC001173932587 1132646591 /nfs/dbraw/zinc/64/65/91/1132646591.db2.gz XKFWOSKUBKKTBY-UHFFFAOYSA-N 1 2 275.308 3.765 20 0 CHADLO CCCN(CCC)c1cncc(NC(=[NH2+])C(C)(C)C)n1 ZINC001155180013 1132646816 /nfs/dbraw/zinc/64/68/16/1132646816.db2.gz HOKYXXPYSRKCCX-UHFFFAOYSA-N 1 2 277.416 3.538 20 0 CHADLO CSc1cc[nH+]c(NCc2cn(C)c3ccccc23)c1 ZINC001155287426 1132651690 /nfs/dbraw/zinc/65/16/90/1132651690.db2.gz VREJGMSCALQVTE-UHFFFAOYSA-N 1 2 283.400 3.907 20 0 CHADLO Fc1ccc(F)c(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001173950041 1132656680 /nfs/dbraw/zinc/65/66/80/1132656680.db2.gz RBUHJAWDDROSAT-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO [NH2+]=C(Nc1cnc2cc[nH]c2n1)C12CC3CC(CC(C3)C1)C2 ZINC001155955683 1132672081 /nfs/dbraw/zinc/67/20/81/1132672081.db2.gz BVHFVJKZGQIQRR-UHFFFAOYSA-N 1 2 295.390 3.563 20 0 CHADLO COc1cc(Nc2cnc3ccccc3c2)cc(C)[nH+]1 ZINC001174002103 1132673041 /nfs/dbraw/zinc/67/30/41/1132673041.db2.gz NPVVEOBXSPJVIS-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)CCO3)c(N2CCCCC2)c1 ZINC001174009558 1132676181 /nfs/dbraw/zinc/67/61/81/1132676181.db2.gz IHYPQWNJIGUELB-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO CC[NH+]1CC(Oc2ccccc2[C@H](C)c2ccccc2)C1 ZINC001233787023 1132678959 /nfs/dbraw/zinc/67/89/59/1132678959.db2.gz UWAVHHHUIUTNCZ-OAHLLOKOSA-N 1 2 281.399 3.921 20 0 CHADLO COc1ccc(C)[nH+]c1N[C@@H](c1cccnc1)C(F)(F)F ZINC001156157566 1132685015 /nfs/dbraw/zinc/68/50/15/1132685015.db2.gz YSZWRXCGJHHJED-LBPRGKRZSA-N 1 2 297.280 3.509 20 0 CHADLO Cc1cc(NCc2ccc3nonc3c2)[nH+]c2ccccc12 ZINC001156261619 1132689384 /nfs/dbraw/zinc/68/93/84/1132689384.db2.gz ZYYZWGCLAUXDON-UHFFFAOYSA-N 1 2 290.326 3.692 20 0 CHADLO Clc1ccc2c(cc[nH+]c2NC[C@@H]2CCCCO2)c1 ZINC001156371114 1132690746 /nfs/dbraw/zinc/69/07/46/1132690746.db2.gz FMKLCOGJPQEFFG-ZDUSSCGKSA-N 1 2 276.767 3.869 20 0 CHADLO Cc1ccc(Nc2c(N)cc(F)cc2Cl)c(C)[nH+]1 ZINC001203376852 1132691260 /nfs/dbraw/zinc/69/12/60/1132691260.db2.gz UUWVEHJFEJHKKV-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO COc1cccc(Nc2cccc3cc[nH+]cc32)c1OC ZINC001174018139 1132691414 /nfs/dbraw/zinc/69/14/14/1132691414.db2.gz SLGQQKUSAAFDHR-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO Cc1ccc(Nc2ccccc2OC2CCOCC2)c(C)[nH+]1 ZINC001203377042 1132691901 /nfs/dbraw/zinc/69/19/01/1132691901.db2.gz ZHWGSEGGZXGSNY-UHFFFAOYSA-N 1 2 298.386 4.000 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(C)c(F)c2)c[nH+]1 ZINC001174020122 1132693966 /nfs/dbraw/zinc/69/39/66/1132693966.db2.gz XEDBRIHNLDXJBO-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)[nH+]cn3C)cc1F ZINC001174021351 1132695177 /nfs/dbraw/zinc/69/51/77/1132695177.db2.gz WFZFBKFVWIIYFS-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO COc1ccc(Nc2cccn3cc(C)[nH+]c23)c(C)c1 ZINC001174021723 1132695922 /nfs/dbraw/zinc/69/59/22/1132695922.db2.gz CMGQTXRUTAPDOE-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(C)cc1Cl ZINC001174045833 1132708721 /nfs/dbraw/zinc/70/87/21/1132708721.db2.gz NWVYWYGFRBBXAN-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(COC)c2)c1 ZINC001174094052 1132711871 /nfs/dbraw/zinc/71/18/71/1132711871.db2.gz YMSPWJSPYMUGFE-UHFFFAOYSA-N 1 2 256.349 3.924 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(C)ccc2F)[nH+]1 ZINC001174110418 1132725772 /nfs/dbraw/zinc/72/57/72/1132725772.db2.gz GJUWHJAIKUSPRU-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1cc(NC[C@@H]2CC(C)(C)O2)[nH+]c2ccccc12 ZINC001157662513 1132737249 /nfs/dbraw/zinc/73/72/49/1132737249.db2.gz IPNGRYNFCSSNHD-LBPRGKRZSA-N 1 2 256.349 3.523 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(F)cc1OC ZINC001174127991 1132737688 /nfs/dbraw/zinc/73/76/88/1132737688.db2.gz HSOYELDVQKRIKG-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO Clc1ccc2c(cc[nH+]c2NC2(c3cccnn3)CC2)c1 ZINC001157718151 1132739196 /nfs/dbraw/zinc/73/91/96/1132739196.db2.gz VCBUEGFAGOQLKP-UHFFFAOYSA-N 1 2 296.761 3.779 20 0 CHADLO COc1ccc[nH+]c1NCCc1ccc2ccccc2c1 ZINC001157908934 1132755227 /nfs/dbraw/zinc/75/52/27/1132755227.db2.gz LMOBBIUQECNTHS-UHFFFAOYSA-N 1 2 278.355 3.898 20 0 CHADLO COc1ccc(F)c(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001174209244 1132756597 /nfs/dbraw/zinc/75/65/97/1132756597.db2.gz GLCMEHSWEUPQQV-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO Cc1cc(NCCCCc2ccccn2)nc(C(C)(C)C)[nH+]1 ZINC001157975439 1132758418 /nfs/dbraw/zinc/75/84/18/1132758418.db2.gz PGUJSIRABKRWFG-UHFFFAOYSA-N 1 2 298.434 3.912 20 0 CHADLO Cc1cc(NCc2cc(C(C)C)no2)nc(C(C)(C)C)[nH+]1 ZINC001157995655 1132760065 /nfs/dbraw/zinc/76/00/65/1132760065.db2.gz RYQKVKRVJCOIJT-UHFFFAOYSA-N 1 2 288.395 3.806 20 0 CHADLO c1cc2ccnc(NCCc3cn4ccccc4[nH+]3)c2s1 ZINC001158145971 1132764762 /nfs/dbraw/zinc/76/47/62/1132764762.db2.gz UNORRQHBGXKQBZ-UHFFFAOYSA-N 1 2 294.383 3.599 20 0 CHADLO Cc1nn(C)c(C)c1Nc1cccc2[nH+]c(C)ccc12 ZINC001174228689 1132767926 /nfs/dbraw/zinc/76/79/26/1132767926.db2.gz DHPUDDWNAQUGFF-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO CSc1cc[nH+]c(N[C@@H]2CCCC(F)(F)C2)c1 ZINC001158335065 1132770057 /nfs/dbraw/zinc/77/00/57/1132770057.db2.gz GBMUWQMTMGAZDQ-SECBINFHSA-N 1 2 258.337 3.793 20 0 CHADLO O[C@@H]1CCC[C@H](Nc2[nH+]ccc3cc(Cl)ccc32)C1 ZINC001158364816 1132773374 /nfs/dbraw/zinc/77/33/74/1132773374.db2.gz RUCSQODKSCYMLI-QWHCGFSZSA-N 1 2 276.767 3.604 20 0 CHADLO CSCc1cc[nH+]c(NC[C@@H](C)c2ccc(O)cc2)c1 ZINC001158606807 1132785197 /nfs/dbraw/zinc/78/51/97/1132785197.db2.gz GMYPTYYMSOZGON-GFCCVEGCSA-N 1 2 288.416 3.866 20 0 CHADLO CCSc1cc[nH+]c(N2Cc3cnc(Cl)cc3C2)c1 ZINC001158757096 1132793041 /nfs/dbraw/zinc/79/30/41/1132793041.db2.gz LAPUYUKJYYTXRD-UHFFFAOYSA-N 1 2 291.807 3.762 20 0 CHADLO CO[C@@H]1CCN(c2cc(-c3ccccc3)cc[nH+]2)[C@H](C)C1 ZINC001158893463 1132796638 /nfs/dbraw/zinc/79/66/38/1132796638.db2.gz PKWMAMNSGLMXJE-RHSMWYFYSA-N 1 2 282.387 3.752 20 0 CHADLO COc1ccc(C)[nH+]c1N(C)[C@@H]1CCc2ccccc21 ZINC001158922234 1132798879 /nfs/dbraw/zinc/79/88/79/1132798879.db2.gz RAHHOVMDGHWJQG-OAHLLOKOSA-N 1 2 268.360 3.522 20 0 CHADLO Clc1cc(Nc2cnc3[nH]ccc3c2)cn2cc[nH+]c12 ZINC001174396343 1132812532 /nfs/dbraw/zinc/81/25/32/1132812532.db2.gz HXEWSWJQRLIQFB-UHFFFAOYSA-N 1 2 283.722 3.559 20 0 CHADLO Nc1ccc2cc(Nc3cccn4cc[nH+]c34)ccc2c1 ZINC001159177873 1132814551 /nfs/dbraw/zinc/81/45/51/1132814551.db2.gz FKWVEPZSBJLGRU-UHFFFAOYSA-N 1 2 274.327 3.813 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cnc3[nH]ccc3c2)cc1 ZINC001174399197 1132814632 /nfs/dbraw/zinc/81/46/32/1132814632.db2.gz AYEBQFVYZVJRDA-UHFFFAOYSA-N 1 2 266.348 3.714 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cnc3[nH]ccc3c2)cc1 ZINC001174399197 1132814636 /nfs/dbraw/zinc/81/46/36/1132814636.db2.gz AYEBQFVYZVJRDA-UHFFFAOYSA-N 1 2 266.348 3.714 20 0 CHADLO CCCOc1cc(Nc2ccc(NC)[nH+]c2)c(Cl)cn1 ZINC001159253661 1132820624 /nfs/dbraw/zinc/82/06/24/1132820624.db2.gz DHPGCLYKHSUDOR-UHFFFAOYSA-N 1 2 292.770 3.704 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3ncsc3c2)c[nH+]1 ZINC001174364683 1132820772 /nfs/dbraw/zinc/82/07/72/1132820772.db2.gz BYJHKNNXHABRAX-UHFFFAOYSA-N 1 2 284.388 3.891 20 0 CHADLO COc1c(Cl)cc(Nc2ccc(N)[nH+]c2)cc1Cl ZINC001159282121 1132822581 /nfs/dbraw/zinc/82/25/81/1132822581.db2.gz OABYPBPHZJEELG-UHFFFAOYSA-N 1 2 284.146 3.723 20 0 CHADLO CCCCCOc1ccc(Nc2ccc(N)[nH+]c2)cc1 ZINC001159281281 1132823080 /nfs/dbraw/zinc/82/30/80/1132823080.db2.gz WRBIPEYQZOECRE-UHFFFAOYSA-N 1 2 271.364 3.976 20 0 CHADLO CC(=O)NCc1cccc(Nc2c(C)cc[nH+]c2C(C)C)c1 ZINC001174368618 1132823892 /nfs/dbraw/zinc/82/38/92/1132823892.db2.gz VKFMKYNRBHYAOG-UHFFFAOYSA-N 1 2 297.402 3.893 20 0 CHADLO Nc1ccc(Nc2cnc(Cl)c(Cl)c2Cl)c[nH+]1 ZINC001159282375 1132825177 /nfs/dbraw/zinc/82/51/77/1132825177.db2.gz VJLGIHGZBMQYRJ-UHFFFAOYSA-N 1 2 289.553 3.763 20 0 CHADLO CCOc1nccnc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174381844 1132828456 /nfs/dbraw/zinc/82/84/56/1132828456.db2.gz QOCLNZNMORLFTC-UHFFFAOYSA-N 1 2 297.362 3.550 20 0 CHADLO Cc1ncnc(C)c1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174381697 1132828897 /nfs/dbraw/zinc/82/88/97/1132828897.db2.gz OUVXXHOCPQOLMT-UHFFFAOYSA-N 1 2 281.363 3.768 20 0 CHADLO CCn1nccc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174380666 1132829167 /nfs/dbraw/zinc/82/91/67/1132829167.db2.gz IQMXIRPKRMZLPL-UHFFFAOYSA-N 1 2 269.352 3.577 20 0 CHADLO CCn1ncc(Nc2ccc3c(c2)[nH+]cn3C(C)C)c1C ZINC001174380359 1132829285 /nfs/dbraw/zinc/82/92/85/1132829285.db2.gz BXGFVHFDBHYTJX-UHFFFAOYSA-N 1 2 283.379 3.886 20 0 CHADLO CC(C)c1nnc(Nc2ccc3c(c2)[nH+]cn3C(C)C)o1 ZINC001174380630 1132829417 /nfs/dbraw/zinc/82/94/17/1132829417.db2.gz GSXJLZJWLVGCJO-UHFFFAOYSA-N 1 2 285.351 3.867 20 0 CHADLO CCc1nnc(Nc2ccc3c(c2)[nH+]cn3C(C)C)s1 ZINC001174380352 1132829650 /nfs/dbraw/zinc/82/96/50/1132829650.db2.gz BPEFJSOVMVNNAP-UHFFFAOYSA-N 1 2 287.392 3.775 20 0 CHADLO Cc1cc(-n2c(C)[nH+]c3ccc(N)cc32)c(C)s1 ZINC001174422015 1132837733 /nfs/dbraw/zinc/83/77/33/1132837733.db2.gz MACKECFQQVKTDL-UHFFFAOYSA-N 1 2 257.362 3.594 20 0 CHADLO O=c1cccc2[nH]cc(Nc3cc[nH+]c4[nH]ccc43)cc1-2 ZINC001174410549 1132849880 /nfs/dbraw/zinc/84/98/80/1132849880.db2.gz GDENXSHZAWCFKI-UHFFFAOYSA-N 1 2 276.299 3.512 20 0 CHADLO O=c1[nH]ccc2c(Nc3cc[nH+]c4[nH]ccc43)cccc12 ZINC001174411776 1132850360 /nfs/dbraw/zinc/85/03/60/1132850360.db2.gz IIPPRIYTPKXMIR-UHFFFAOYSA-N 1 2 276.299 3.512 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cnn(CCC(C)C)c2)c1 ZINC001174411910 1132850416 /nfs/dbraw/zinc/85/04/16/1132850416.db2.gz FKNNJLUPWZDUSH-UHFFFAOYSA-N 1 2 258.369 3.685 20 0 CHADLO O=C(c1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1)C1CC1 ZINC001174413284 1132852991 /nfs/dbraw/zinc/85/29/91/1132852991.db2.gz UQFOGZDBLCJJIX-UHFFFAOYSA-N 1 2 277.327 3.851 20 0 CHADLO c1cc2c([nH]1)[nH+]ccc2Nc1ccc(-c2ccncn2)cc1 ZINC001174413936 1132853176 /nfs/dbraw/zinc/85/31/76/1132853176.db2.gz QQJRAIHNJABIHC-UHFFFAOYSA-N 1 2 287.326 3.715 20 0 CHADLO CC(C)CCn1cc(Nc2ccc[nH+]c2N2CCCC2)cn1 ZINC001174415784 1132854990 /nfs/dbraw/zinc/85/49/90/1132854990.db2.gz WMIKTZXMIOKGKX-UHFFFAOYSA-N 1 2 299.422 3.668 20 0 CHADLO CCOc1ncccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174455885 1132866098 /nfs/dbraw/zinc/86/60/98/1132866098.db2.gz ZVIUQTBROXRLJH-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO CCOc1ncccc1Nc1cccc2cc[nH+]cc21 ZINC001174456912 1132868035 /nfs/dbraw/zinc/86/80/35/1132868035.db2.gz QDHGLAYRUXMGKZ-UHFFFAOYSA-N 1 2 265.316 3.772 20 0 CHADLO Cc1cc(Nc2cc(F)ccc2N)ccc1[NH+](C)C ZINC001159591839 1132869436 /nfs/dbraw/zinc/86/94/36/1132869436.db2.gz XLLSYHMCBPYTOC-UHFFFAOYSA-N 1 2 259.328 3.526 20 0 CHADLO Nc1cc2ccccc2n1-c1ccc([NH+]2CCCC2)cc1 ZINC001174526775 1132889213 /nfs/dbraw/zinc/88/92/13/1132889213.db2.gz XMURSJHECPNKEK-UHFFFAOYSA-N 1 2 277.371 3.813 20 0 CHADLO Cc1cc(NCOc2ccccc2)[nH+]c2ccccc12 ZINC001159702111 1132893695 /nfs/dbraw/zinc/89/36/95/1132893695.db2.gz CWMKIJFZPBKTIQ-UHFFFAOYSA-N 1 2 264.328 3.992 20 0 CHADLO COc1ccc2cc[nH+]c(NCOc3ccccc3)c2c1 ZINC001159704975 1132895867 /nfs/dbraw/zinc/89/58/67/1132895867.db2.gz KVHLYTWWAVTNBE-UHFFFAOYSA-N 1 2 280.327 3.692 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3ccn(C)c3c2)c[nH+]1 ZINC001174516928 1132909860 /nfs/dbraw/zinc/90/98/60/1132909860.db2.gz FNWZRWLDVGKUOO-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO Clc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cn1 ZINC001174521795 1132911388 /nfs/dbraw/zinc/91/13/88/1132911388.db2.gz QAHUGDDBADWFIZ-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO c1nc2ccc(Nc3ccc(N4CCCCC4)[nH+]c3)cc2o1 ZINC001174522058 1132911460 /nfs/dbraw/zinc/91/14/60/1132911460.db2.gz HODDKPKZHHCRQV-UHFFFAOYSA-N 1 2 294.358 3.957 20 0 CHADLO COc1cc(OC)cc([C@H](C)Nc2[nH+]ccc(F)c2C)c1 ZINC001159811661 1132912163 /nfs/dbraw/zinc/91/21/63/1132912163.db2.gz HDXQQLHUNFSFFG-NSHDSACASA-N 1 2 290.338 3.719 20 0 CHADLO CCc1ncsc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174522448 1132912603 /nfs/dbraw/zinc/91/26/03/1132912603.db2.gz LXMSPTRFWUGBHV-UHFFFAOYSA-N 1 2 288.420 3.834 20 0 CHADLO C[C@H](O)c1cccc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174522528 1132913145 /nfs/dbraw/zinc/91/31/45/1132913145.db2.gz QKCGLVPXOXOVJS-AWEZNQCLSA-N 1 2 297.402 3.869 20 0 CHADLO Oc1cccc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174522491 1132913181 /nfs/dbraw/zinc/91/31/81/1132913181.db2.gz OFEUJJRIGHPKQL-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO C[C@H](O)c1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1 ZINC001174524037 1132913202 /nfs/dbraw/zinc/91/32/02/1132913202.db2.gz ATTLXKUMLZYUJM-AWEZNQCLSA-N 1 2 297.402 3.869 20 0 CHADLO CC(=O)c1ccc([C@H](C)Nc2cc(N)cc(Cl)[nH+]2)cc1 ZINC001159906721 1132921977 /nfs/dbraw/zinc/92/19/77/1132921977.db2.gz JVPROXFDWHYVLJ-VIFPVBQESA-N 1 2 289.766 3.693 20 0 CHADLO COc1cc(Nc2ccc(O)cc2C)[nH+]cc1C(F)(F)F ZINC001174563787 1132924391 /nfs/dbraw/zinc/92/43/91/1132924391.db2.gz FGLCOMRLFOGZOQ-UHFFFAOYSA-N 1 2 298.264 3.867 20 0 CHADLO Cc1cc(N[C@H](C)c2ncc(F)cn2)[nH+]c2ccccc12 ZINC001159960942 1132929809 /nfs/dbraw/zinc/92/98/09/1132929809.db2.gz PNZIDQPRUROAFJ-LLVKDONJSA-N 1 2 282.322 3.645 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H]2COCc3ccccc32)c1 ZINC001160125113 1132941999 /nfs/dbraw/zinc/94/19/99/1132941999.db2.gz ZAQNJSCZHYHKFT-OAHLLOKOSA-N 1 2 286.400 3.628 20 0 CHADLO COc1c(F)ccc(F)c1Nc1ccc(C)[nH+]c1C ZINC001174660173 1132952960 /nfs/dbraw/zinc/95/29/60/1132952960.db2.gz JDBCLJCJYFNIAH-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO FC1(F)C[NH2+]CC[C@H]1Oc1ccc(NC2=CCCC2)cc1 ZINC001160341281 1132965193 /nfs/dbraw/zinc/96/51/93/1132965193.db2.gz OLDSVFVHJCUGTI-OAHLLOKOSA-N 1 2 294.345 3.542 20 0 CHADLO Oc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1F ZINC001174731759 1132967000 /nfs/dbraw/zinc/96/70/00/1132967000.db2.gz ANMNQQAJEVYFOX-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO COc1c[nH+]c(N[C@@H](CC(C)C)c2ccccn2)c(C)c1 ZINC001160485859 1132972309 /nfs/dbraw/zinc/97/23/09/1132972309.db2.gz IJPWCEKFLHYABY-INIZCTEOSA-N 1 2 285.391 3.993 20 0 CHADLO CCOc1cccnc1N[C@@H](C)c1c[nH+]c2c(C)cccn12 ZINC001160688166 1132978577 /nfs/dbraw/zinc/97/85/77/1132978577.db2.gz OPXGFHUVPMIJQU-ZDUSSCGKSA-N 1 2 296.374 3.610 20 0 CHADLO COc1cc(C)c(CNc2[nH+]ccc(F)c2C)cc1C ZINC001160777218 1132981728 /nfs/dbraw/zinc/98/17/28/1132981728.db2.gz MTMMKUDUPPSGSF-UHFFFAOYSA-N 1 2 274.339 3.767 20 0 CHADLO CCOc1ccc[nH+]c1NCCc1c[nH]c2ccccc12 ZINC001160781684 1132981800 /nfs/dbraw/zinc/98/18/00/1132981800.db2.gz NQSMQNPHORFRJA-UHFFFAOYSA-N 1 2 281.359 3.616 20 0 CHADLO Fc1cccc2ncc(Nc3cccc4[nH+]c[nH]c43)cc12 ZINC001213029027 1132991590 /nfs/dbraw/zinc/99/15/90/1132991590.db2.gz FDBGKAHNNGAXNO-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO c1[nH]c2c(cccc2Nc2cnccc2C2CCC2)[nH+]1 ZINC001213029163 1132992591 /nfs/dbraw/zinc/99/25/91/1132992591.db2.gz HAHBBEKDFZMDJO-UHFFFAOYSA-N 1 2 264.332 3.969 20 0 CHADLO Fc1ccc(F)c(Nc2cccc3[nH+]c[nH]c32)c1F ZINC001213030799 1132994397 /nfs/dbraw/zinc/99/43/97/1132994397.db2.gz RLWVFMFGACDREO-UHFFFAOYSA-N 1 2 263.222 3.724 20 0 CHADLO COc1ccc(C)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213030608 1132994686 /nfs/dbraw/zinc/99/46/86/1132994686.db2.gz IOLOZJIVSQCJAL-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO Brc1ncc(Nc2cccc3[nH+]c[nH]c32)s1 ZINC001213029908 1132994810 /nfs/dbraw/zinc/99/48/10/1132994810.db2.gz XTICQZSNQVDGEQ-UHFFFAOYSA-N 1 2 295.165 3.526 20 0 CHADLO Fc1cc(Nc2cccc3[nH+]c[nH]c32)cc(F)c1F ZINC001213031491 1132996386 /nfs/dbraw/zinc/99/63/86/1132996386.db2.gz CDTMDVXNFYXTIC-UHFFFAOYSA-N 1 2 263.222 3.724 20 0 CHADLO Cc1cc(C)c(Nc2cccc3[nH+]c[nH]c32)c(C)c1N ZINC001213031632 1132997145 /nfs/dbraw/zinc/99/71/45/1132997145.db2.gz KEMLBWJEXOTUSD-UHFFFAOYSA-N 1 2 266.348 3.814 20 0 CHADLO Cc1noc(C2(Nc3cc(-c4ccccc4)cc[nH+]3)CC2)n1 ZINC001161307797 1132998002 /nfs/dbraw/zinc/99/80/02/1132998002.db2.gz RZCNJUARVVNUHY-UHFFFAOYSA-N 1 2 292.342 3.541 20 0 CHADLO Fc1cc(F)c(F)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032422 1132999893 /nfs/dbraw/zinc/99/98/93/1132999893.db2.gz FEGMYXKUCSBMMZ-UHFFFAOYSA-N 1 2 263.222 3.724 20 0 CHADLO COC(=O)c1ccc2cc[nH+]c(NC3CC4(CCC4)C3)c2c1 ZINC001161446981 1133003145 /nfs/dbraw/zinc/00/31/45/1133003145.db2.gz SSWYREHVELDFGA-UHFFFAOYSA-N 1 2 296.370 3.766 20 0 CHADLO Cc1cc2nsnc2cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213033487 1133005064 /nfs/dbraw/zinc/00/50/64/1133005064.db2.gz JJBXTPYSTFQQMF-UHFFFAOYSA-N 1 2 281.344 3.620 20 0 CHADLO CCCOC(=O)c1ccc(Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213033491 1133005358 /nfs/dbraw/zinc/00/53/58/1133005358.db2.gz JMLUSNSNOWQTBS-UHFFFAOYSA-N 1 2 295.342 3.873 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccnc(-c2ccccc2)n1 ZINC001161563321 1133006120 /nfs/dbraw/zinc/00/61/20/1133006120.db2.gz ZBUQLDURKVTZPK-UHFFFAOYSA-N 1 2 293.374 3.533 20 0 CHADLO Fc1cnc2c(cccc2Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213033667 1133007598 /nfs/dbraw/zinc/00/75/98/1133007598.db2.gz REMMEBHNSIVNHP-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO Cc1ccccc1CNc1cc[nH+]c(OC2CCOCC2)c1 ZINC001161623911 1133011038 /nfs/dbraw/zinc/01/10/38/1133011038.db2.gz NZRFPIQYRYZHTK-UHFFFAOYSA-N 1 2 298.386 3.560 20 0 CHADLO Fc1ccc(NCCCn2cc[nH+]c2)c2ccccc12 ZINC001161684889 1133016019 /nfs/dbraw/zinc/01/60/19/1133016019.db2.gz VYYNNIZSTZUSMC-UHFFFAOYSA-N 1 2 269.323 3.678 20 0 CHADLO Fc1ncc(NCCCn2cc[nH+]c2)cc1-c1ccccc1 ZINC001161688969 1133016097 /nfs/dbraw/zinc/01/60/97/1133016097.db2.gz FPNXQZUONDKJOT-UHFFFAOYSA-N 1 2 296.349 3.586 20 0 CHADLO CCOc1c(F)cccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213034598 1133016917 /nfs/dbraw/zinc/01/69/17/1133016917.db2.gz SRNQEPPLDMZSPW-UHFFFAOYSA-N 1 2 271.295 3.844 20 0 CHADLO COC[C@H](Nc1[nH+]ccc2cc(Cl)ccc21)C1CC1 ZINC001161720948 1133020406 /nfs/dbraw/zinc/02/04/06/1133020406.db2.gz RFNBOJVBOMPUQV-AWEZNQCLSA-N 1 2 276.767 3.725 20 0 CHADLO Cc1ccc(CNc2cc(C)[nH+]c(OC(F)F)c2)o1 ZINC001161768763 1133026911 /nfs/dbraw/zinc/02/69/11/1133026911.db2.gz NJDJEQRYIDNAGA-UHFFFAOYSA-N 1 2 268.263 3.505 20 0 CHADLO CCOC(=O)Cc1ccc(NC(C)(C)CC2CCC2)[nH+]c1 ZINC001161847220 1133035955 /nfs/dbraw/zinc/03/59/55/1133035955.db2.gz XIWYIWCXMPTYPB-UHFFFAOYSA-N 1 2 290.407 3.568 20 0 CHADLO Cc1nc(NC(C)(C)CC2CCC2)c2c([nH+]1)CCC2 ZINC001161847181 1133036877 /nfs/dbraw/zinc/03/68/77/1133036877.db2.gz WOIUEBUQKVSMNV-UHFFFAOYSA-N 1 2 259.397 3.654 20 0 CHADLO Clc1ccc2c(cc[nH+]c2NC2(c3cnccn3)CC2)c1 ZINC001161940528 1133040648 /nfs/dbraw/zinc/04/06/48/1133040648.db2.gz YMYYUIJVPCPDCG-UHFFFAOYSA-N 1 2 296.761 3.779 20 0 CHADLO CCCCNc1cc[nH+]c(OCc2ccccc2)c1 ZINC001162202413 1133059019 /nfs/dbraw/zinc/05/90/19/1133059019.db2.gz VRWATCMEAJDMRV-UHFFFAOYSA-N 1 2 256.349 3.873 20 0 CHADLO CCCc1cc(NCCC2CCOCC2)nc(CCC)[nH+]1 ZINC001162222276 1133061340 /nfs/dbraw/zinc/06/13/40/1133061340.db2.gz KXFJSJAJBCKXFL-UHFFFAOYSA-N 1 2 291.439 3.610 20 0 CHADLO CC(C)c1cc(NCCC2CCOCC2)nc(C(C)C)[nH+]1 ZINC001162222476 1133061456 /nfs/dbraw/zinc/06/14/56/1133061456.db2.gz QXZRBKTXNDARCZ-UHFFFAOYSA-N 1 2 291.439 3.952 20 0 CHADLO Cc1cc(NCc2cc3ccccn3n2)[nH+]c2ccccc12 ZINC001162284903 1133067356 /nfs/dbraw/zinc/06/73/56/1133067356.db2.gz ZATUAJMNCQTMHC-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO Cc1cc(N[C@@H]2C[C@]23CCCOC3)[nH+]c2ccccc12 ZINC001162371275 1133073097 /nfs/dbraw/zinc/07/30/97/1133073097.db2.gz QNTHVHXOLOTFMO-WBVHZDCISA-N 1 2 268.360 3.524 20 0 CHADLO CCc1cc(NC[C@H]2CCOc3ccccc32)nc(CC)[nH+]1 ZINC001162482529 1133082114 /nfs/dbraw/zinc/08/21/14/1133082114.db2.gz IXKFEBVOSXMOON-CYBMUJFWSA-N 1 2 297.402 3.580 20 0 CHADLO COC(=O)[C@H](C)c1ccc(NC[C@H]2CCCC[C@H]2C)[nH+]c1 ZINC001162525161 1133087444 /nfs/dbraw/zinc/08/74/44/1133087444.db2.gz KGEIXNWMVSSRGI-MGPQQGTHSA-N 1 2 290.407 3.596 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1ccnc(C(F)(F)F)c1 ZINC001162739124 1133106888 /nfs/dbraw/zinc/10/68/88/1133106888.db2.gz BJUJHYRESXFLML-UHFFFAOYSA-N 1 2 285.244 3.555 20 0 CHADLO Cn1c(CNc2cc3cc[nH]c3c[nH+]2)ccc1C(F)(F)F ZINC001162750115 1133108924 /nfs/dbraw/zinc/10/89/24/1133108924.db2.gz BVJJXVQCJQXMDP-UHFFFAOYSA-N 1 2 294.280 3.532 20 0 CHADLO COc1c[nH+]c(N[C@H]2CCc3sccc32)c(C)c1 ZINC001162767044 1133110916 /nfs/dbraw/zinc/11/09/16/1133110916.db2.gz QZQYAWMWHGGWHA-LBPRGKRZSA-N 1 2 260.362 3.560 20 0 CHADLO Cc1cc(NCc2cn(C)c3ccccc23)[nH+]c2cc[nH]c21 ZINC001162834831 1133116683 /nfs/dbraw/zinc/11/66/83/1133116683.db2.gz IJSDKULGUODNHC-UHFFFAOYSA-N 1 2 290.370 3.975 20 0 CHADLO Cc1nn(C)c(C)c1CNc1cc2ccc(C)cc2c[nH+]1 ZINC001162878421 1133119401 /nfs/dbraw/zinc/11/94/01/1133119401.db2.gz JLWSQOKDHALXMK-UHFFFAOYSA-N 1 2 280.375 3.506 20 0 CHADLO C=Cc1ccc(NCCOc2cccc3ccoc32)[nH+]c1 ZINC001163078215 1133129388 /nfs/dbraw/zinc/12/93/88/1133129388.db2.gz WFOLHXCGOZHPRI-UHFFFAOYSA-N 1 2 280.327 3.962 20 0 CHADLO COc1c[nH+]c(NCCOc2cccc3ccoc32)c(C)c1 ZINC001163075405 1133129553 /nfs/dbraw/zinc/12/95/53/1133129553.db2.gz FQHPOCGTFMSNIO-UHFFFAOYSA-N 1 2 298.342 3.636 20 0 CHADLO CC(C)(Nc1cc(N)cc(Cl)[nH+]1)c1cc(Cl)ccn1 ZINC001163144720 1133131337 /nfs/dbraw/zinc/13/13/37/1133131337.db2.gz ZTTSSPWAGVNVKW-UHFFFAOYSA-N 1 2 297.189 3.713 20 0 CHADLO COc1cccc2c1OC[C@@H]2Nc1cc2ccccc2c[nH+]1 ZINC001163222782 1133137893 /nfs/dbraw/zinc/13/78/93/1133137893.db2.gz HEWSQWRKDCHFKM-HNNXBMFYSA-N 1 2 292.338 3.789 20 0 CHADLO Cc1cc(C)c(CNc2ccnc(-c3ccccc3)n2)c[nH+]1 ZINC001163501665 1133153549 /nfs/dbraw/zinc/15/35/49/1133153549.db2.gz YHOOKYDPNMZTPQ-UHFFFAOYSA-N 1 2 290.370 3.768 20 0 CHADLO Cc1nc(N2CC[C@@H](C3CCCC3)C2)c2c([nH+]1)CCCC2 ZINC001163545842 1133157507 /nfs/dbraw/zinc/15/75/07/1133157507.db2.gz UCLGLEDIEXZKTI-OAHLLOKOSA-N 1 2 285.435 3.680 20 0 CHADLO Cc1cc(N2[C@@H](C)CCC[C@@H]2C)nc(C(C)(C)C)[nH+]1 ZINC001163551072 1133158262 /nfs/dbraw/zinc/15/82/62/1133158262.db2.gz YAEPVIQDOCHGMX-STQMWFEESA-N 1 2 261.413 3.850 20 0 CHADLO Cc1ccc([C@@H]2C[C@H]2Nc2nc(C)[nH+]c3c2CCCC3)cc1 ZINC001163570829 1133158822 /nfs/dbraw/zinc/15/88/22/1133158822.db2.gz ABJGPCNVYQEPBR-FUHWJXTLSA-N 1 2 293.414 3.940 20 0 CHADLO COc1ccc2c(c1)[nH+]c(N(C)C)cc2-c1ccccc1 ZINC001163572504 1133159781 /nfs/dbraw/zinc/15/97/81/1133159781.db2.gz LOWXHQIEBOFJCH-UHFFFAOYSA-N 1 2 278.355 3.976 20 0 CHADLO Cc1nc(N2CCC[C@@H](C3CCC3)C2)c2c([nH+]1)CCCC2 ZINC001163754413 1133172294 /nfs/dbraw/zinc/17/22/94/1133172294.db2.gz OJCNLMPIHUUKGB-OAHLLOKOSA-N 1 2 285.435 3.680 20 0 CHADLO CC(C)c1cc(N[C@@H]2C[C@@H](C)O[C@H]2C)nc(C(C)C)[nH+]1 ZINC001163906269 1133183799 /nfs/dbraw/zinc/18/37/99/1133183799.db2.gz BSAFUKIGABTTJX-MBNYWOFBSA-N 1 2 277.412 3.701 20 0 CHADLO Cc1nc(NCc2cc(Cl)cc(Cl)c2F)cc[nH+]1 ZINC001163936140 1133185419 /nfs/dbraw/zinc/18/54/19/1133185419.db2.gz WESREUIMOKHPOT-UHFFFAOYSA-N 1 2 286.137 3.843 20 0 CHADLO Cc1c[nH+]c(N2CCc3ccccc3[C@H]2C)nc1NC(C)C ZINC001163954043 1133186720 /nfs/dbraw/zinc/18/67/20/1133186720.db2.gz KMTBACLVIPLVBU-CQSZACIVSA-N 1 2 296.418 3.729 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@H](F)C(F)(F)C2)c1 ZINC001164064329 1133195621 /nfs/dbraw/zinc/19/56/21/1133195621.db2.gz WYBXTKODVZSFNM-NSHDSACASA-N 1 2 290.354 3.767 20 0 CHADLO c1ccc([C@@H](Nc2cccc[nH+]2)c2ccncc2)cc1 ZINC001164065508 1133196046 /nfs/dbraw/zinc/19/60/46/1133196046.db2.gz AMLPRVHRFLKZRP-QGZVFWFLSA-N 1 2 261.328 3.678 20 0 CHADLO CCSc1cc[nH+]c(N2CC[C@@H](CF)C(F)(F)C2)c1 ZINC001164100121 1133197034 /nfs/dbraw/zinc/19/70/34/1133197034.db2.gz VQXHXHWTUUNEDN-JTQLQIEISA-N 1 2 290.354 3.625 20 0 CHADLO CSCc1cc[nH+]c(N(C)Cc2cnc(Cl)s2)c1 ZINC001164174317 1133203618 /nfs/dbraw/zinc/20/36/18/1133203618.db2.gz YFQNTBXTCXXOSK-UHFFFAOYSA-N 1 2 299.852 3.691 20 0 CHADLO c1ccn(-c2ccc(NCCSCc3ccco3)[nH+]c2)c1 ZINC001164219925 1133207804 /nfs/dbraw/zinc/20/78/04/1133207804.db2.gz CEGJFOKQNMVHRQ-UHFFFAOYSA-N 1 2 299.399 3.811 20 0 CHADLO Cc1cc(C)cc(C2CCN(c3cc[nH+]c(C)n3)CC2)c1 ZINC001164302666 1133211985 /nfs/dbraw/zinc/21/19/85/1133211985.db2.gz DFLLIULMJWRLCM-UHFFFAOYSA-N 1 2 281.403 3.786 20 0 CHADLO CC(C)c1cc(N[C@H]2COC[C@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC001164333110 1133213606 /nfs/dbraw/zinc/21/36/06/1133213606.db2.gz SJDNOLBXXODSBV-ZFWWWQNUSA-N 1 2 289.423 3.560 20 0 CHADLO CCC1CCN(c2[nH+]c(C)cc(Cl)c2C)CC1 ZINC001164451411 1133218627 /nfs/dbraw/zinc/21/86/27/1133218627.db2.gz KFNGEBONLPLWBF-UHFFFAOYSA-N 1 2 252.789 3.978 20 0 CHADLO CC(=O)c1cc(Nc2ccc(C)[nH+]c2C)cc(C(C)=O)c1 ZINC001203381466 1133225319 /nfs/dbraw/zinc/22/53/19/1133225319.db2.gz ZDWDBBWXILEDEK-UHFFFAOYSA-N 1 2 282.343 3.847 20 0 CHADLO CCc1ccc(C[N@@H+]2CCN(Cc3ccccc3)C[C@H]2C)o1 ZINC001204393049 1133226163 /nfs/dbraw/zinc/22/61/63/1133226163.db2.gz NJWYKIRTFFQZRF-MRXNPFEDSA-N 1 2 298.430 3.548 20 0 CHADLO CCc1ccc(C[N@H+]2CCN(Cc3ccccc3)C[C@H]2C)o1 ZINC001204393049 1133226168 /nfs/dbraw/zinc/22/61/68/1133226168.db2.gz NJWYKIRTFFQZRF-MRXNPFEDSA-N 1 2 298.430 3.548 20 0 CHADLO COc1ccc2cc[nH+]c(N3CCC34CCCC4)c2c1 ZINC001165279855 1133235372 /nfs/dbraw/zinc/23/53/72/1133235372.db2.gz HBIHAVNJABKOOE-UHFFFAOYSA-N 1 2 268.360 3.766 20 0 CHADLO COc1ccc(C)[nH+]c1N1CCC[C@@H](Cc2ccccc2)C1 ZINC001166563278 1133270733 /nfs/dbraw/zinc/27/07/33/1133270733.db2.gz MRNKFNHDCFSQBS-KRWDZBQOSA-N 1 2 296.414 3.858 20 0 CHADLO Cc1nc(N(C)C23CC4CC(CC(C4)C2)C3)c(C)c(C)[nH+]1 ZINC001166592578 1133273192 /nfs/dbraw/zinc/27/31/92/1133273192.db2.gz DESGWFFAVQHLEP-UHFFFAOYSA-N 1 2 285.435 3.807 20 0 CHADLO Cc1cc(N2CCC[C@H](C)[C@H]2C)nc(C(C)(C)C)[nH+]1 ZINC001166614820 1133277231 /nfs/dbraw/zinc/27/72/31/1133277231.db2.gz MZVKUKWXAJMPLM-WCQYABFASA-N 1 2 261.413 3.707 20 0 CHADLO Cc1cc(N(C)CCc2ccncc2)[nH+]c2ccccc12 ZINC001166626679 1133279412 /nfs/dbraw/zinc/27/94/12/1133279412.db2.gz ULWFZPQWTFYYJZ-UHFFFAOYSA-N 1 2 277.371 3.617 20 0 CHADLO CC(C)c1ccc(N2CCO[C@H](c3ccccc3)C2)[nH+]c1 ZINC001166680740 1133281626 /nfs/dbraw/zinc/28/16/26/1133281626.db2.gz VKJYTCPOSPOKKS-KRWDZBQOSA-N 1 2 282.387 3.783 20 0 CHADLO Cc1nc([C@@H]2CCCCN2c2cc3ccccc3c[nH+]2)no1 ZINC001166714198 1133283923 /nfs/dbraw/zinc/28/39/23/1133283923.db2.gz NTCCAAUZSYCUQZ-HNNXBMFYSA-N 1 2 294.358 3.658 20 0 CHADLO COC(C)(C)[C@H]1CCCN1c1cc2ccccc2c[nH+]1 ZINC001166791750 1133286875 /nfs/dbraw/zinc/28/68/75/1133286875.db2.gz IIZXUSIUFMKJDF-OAHLLOKOSA-N 1 2 270.376 3.629 20 0 CHADLO CCc1cn(Cc2ccc(C)cc2Br)c[nH+]1 ZINC001166821958 1133290282 /nfs/dbraw/zinc/29/02/82/1133290282.db2.gz GLZIUMSGQCVKTN-UHFFFAOYSA-N 1 2 279.181 3.565 20 0 CHADLO CC(C)[C@@H]1COCCN1c1[nH+]ccc2cc(Cl)ccc21 ZINC001166997230 1133297593 /nfs/dbraw/zinc/29/75/93/1133297593.db2.gz JKBIFTOLAFYAMW-HNNXBMFYSA-N 1 2 290.794 3.749 20 0 CHADLO Cc1c(-c2ccccc2)[nH]sc1=[NH+]C=CC(C)(C)O ZINC001203216849 1133304882 /nfs/dbraw/zinc/30/48/82/1133304882.db2.gz QZRAAZOSWWSGEB-MDZDMXLPSA-N 1 2 274.389 3.815 20 0 CHADLO COc1ccccc1-n1nccc1Nc1ccc(C)[nH+]c1C ZINC001203368660 1133318173 /nfs/dbraw/zinc/31/81/73/1133318173.db2.gz CPVQHIQBVSHHGV-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO Cc1cc(Nc2ccc(C)[nH+]c2C)n(C(C)(C)C)n1 ZINC001203367940 1133318207 /nfs/dbraw/zinc/31/82/07/1133318207.db2.gz QXYUZXSFTGGDOO-UHFFFAOYSA-N 1 2 258.369 3.702 20 0 CHADLO Cc1ccc(Nc2ncc(C(F)(F)F)cc2F)c(C)[nH+]1 ZINC001203367508 1133318814 /nfs/dbraw/zinc/31/88/14/1133318814.db2.gz LPUNMHXBVPDJQN-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO Cc1ccc(Nc2ncccc2N2CCCCC2)c(C)[nH+]1 ZINC001203368895 1133319092 /nfs/dbraw/zinc/31/90/92/1133319092.db2.gz GORZCHNGIWDTCE-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Cc1ccc(Nc2cnnc(Cl)c2Cl)c(C)[nH+]1 ZINC001203369288 1133319662 /nfs/dbraw/zinc/31/96/62/1133319662.db2.gz NWBINVDXOILUDZ-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1ccc(Nc2ccc3nc(C4CCC4)nn3c2)c(C)[nH+]1 ZINC001203374084 1133319825 /nfs/dbraw/zinc/31/98/25/1133319825.db2.gz SQQBDMLDXWYTAS-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1ccc(Nc2cc(O)ccc2Br)c(C)[nH+]1 ZINC001203373852 1133319913 /nfs/dbraw/zinc/31/99/13/1133319913.db2.gz OYAOQUSYQWTQDH-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1ccc(Nc2cncc(Br)c2C)c(C)[nH+]1 ZINC001203369651 1133320204 /nfs/dbraw/zinc/32/02/04/1133320204.db2.gz BHWCQKNZEZCQBD-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Clc1cccc(Cl)c1C[N@@H+]1CC[C@@H]2CCO[C@H]2C1 ZINC001203416522 1133324689 /nfs/dbraw/zinc/32/46/89/1133324689.db2.gz NZQLQUHDZKRWPW-YGRLFVJLSA-N 1 2 286.202 3.604 20 0 CHADLO Clc1cccc(Cl)c1C[N@H+]1CC[C@@H]2CCO[C@H]2C1 ZINC001203416522 1133324693 /nfs/dbraw/zinc/32/46/93/1133324693.db2.gz NZQLQUHDZKRWPW-YGRLFVJLSA-N 1 2 286.202 3.604 20 0 CHADLO CNc1ccc(Nc2cc(-c3cccc(C)c3)nn2C)c[nH+]1 ZINC001203454613 1133329052 /nfs/dbraw/zinc/32/90/52/1133329052.db2.gz GMRGRSZQRJTBIR-UHFFFAOYSA-N 1 2 293.374 3.576 20 0 CHADLO CNc1ccc(Nc2cc3scnc3c(C)c2)c[nH+]1 ZINC001203462278 1133330752 /nfs/dbraw/zinc/33/07/52/1133330752.db2.gz ODNXAQDGPZUKFE-UHFFFAOYSA-N 1 2 270.361 3.785 20 0 CHADLO CNc1ccc(Nc2cccc(F)c2OC(C)C)c[nH+]1 ZINC001203461847 1133331137 /nfs/dbraw/zinc/33/11/37/1133331137.db2.gz BBNXEAWIPRYNBC-UHFFFAOYSA-N 1 2 275.327 3.793 20 0 CHADLO CNc1ccc(Nc2c(Cl)ccc(O)c2Cl)c[nH+]1 ZINC001203459183 1133331661 /nfs/dbraw/zinc/33/16/61/1133331661.db2.gz ZHXXENQJVMEQPM-UHFFFAOYSA-N 1 2 284.146 3.879 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2csc(Br)n2)c1 ZINC001203656236 1133346462 /nfs/dbraw/zinc/34/64/62/1133346462.db2.gz NKNPIGKBKZGBEG-UHFFFAOYSA-N 1 2 284.182 3.661 20 0 CHADLO Cc1cnc(C)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001203663138 1133349344 /nfs/dbraw/zinc/34/93/44/1133349344.db2.gz KWIZLGXPHLYIFY-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO COc1cc(Nc2cc(C)c[nH+]c2C)ncc1C(F)(F)F ZINC001203661146 1133350305 /nfs/dbraw/zinc/35/03/05/1133350305.db2.gz RXYAYUSWIFELHB-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO COC(=O)c1cc(F)c(C)c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203667581 1133352342 /nfs/dbraw/zinc/35/23/42/1133352342.db2.gz PFRDEYULXALIIS-UHFFFAOYSA-N 1 2 288.322 3.676 20 0 CHADLO Nc1ccc2cn(-c3ccc([NH+]4CCCCC4)cc3)nc2c1 ZINC001203718337 1133358673 /nfs/dbraw/zinc/35/86/73/1133358673.db2.gz DCMNSQSSGCZJFE-UHFFFAOYSA-N 1 2 292.386 3.598 20 0 CHADLO Nc1ccc2c(cnn2-c2ccc([NH+]3CCCCC3)cc2)c1 ZINC001203718694 1133358833 /nfs/dbraw/zinc/35/88/33/1133358833.db2.gz LALQDHBTDLSJKZ-UHFFFAOYSA-N 1 2 292.386 3.598 20 0 CHADLO COc1nc(C)cnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203718176 1133359122 /nfs/dbraw/zinc/35/91/22/1133359122.db2.gz USOLKHAYOGUTQW-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO COc1cc(C)c(C[N@@H+]2CCCCC(F)(F)C2)cc1C ZINC001203752156 1133365688 /nfs/dbraw/zinc/36/56/88/1133365688.db2.gz JTININOAVWWACM-UHFFFAOYSA-N 1 2 283.362 3.933 20 0 CHADLO COc1cc(C)c(C[N@H+]2CCCCC(F)(F)C2)cc1C ZINC001203752156 1133365695 /nfs/dbraw/zinc/36/56/95/1133365695.db2.gz JTININOAVWWACM-UHFFFAOYSA-N 1 2 283.362 3.933 20 0 CHADLO CC(C)[C@H]1COCC[N@@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001203886706 1133380373 /nfs/dbraw/zinc/38/03/73/1133380373.db2.gz JINBEVYTPXUGPW-CQSZACIVSA-N 1 2 287.325 3.562 20 0 CHADLO CC(C)[C@H]1COCC[N@H+]1Cc1cccc(C(F)(F)F)c1 ZINC001203886706 1133380378 /nfs/dbraw/zinc/38/03/78/1133380378.db2.gz JINBEVYTPXUGPW-CQSZACIVSA-N 1 2 287.325 3.562 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2ccc(Br)cc2)C1 ZINC001203909950 1133383656 /nfs/dbraw/zinc/38/36/56/1133383656.db2.gz SCRUYEWIZJFYLM-CYBMUJFWSA-N 1 2 286.188 3.773 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2ccc(Br)cc2)C1 ZINC001203909950 1133383659 /nfs/dbraw/zinc/38/36/59/1133383659.db2.gz SCRUYEWIZJFYLM-CYBMUJFWSA-N 1 2 286.188 3.773 20 0 CHADLO Cc1ccsc1C[NH+]1CC(Oc2ccc(F)c(F)c2)C1 ZINC001204021987 1133399283 /nfs/dbraw/zinc/39/92/83/1133399283.db2.gz OOCAAFIJLJRULI-UHFFFAOYSA-N 1 2 295.354 3.598 20 0 CHADLO CSc1ccc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)cc1 ZINC001204138350 1133408121 /nfs/dbraw/zinc/40/81/21/1133408121.db2.gz HKHDTTUTQBEOBM-UONOGXRCSA-N 1 2 271.376 3.681 20 0 CHADLO CSc1ccc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)cc1 ZINC001204138350 1133408124 /nfs/dbraw/zinc/40/81/24/1133408124.db2.gz HKHDTTUTQBEOBM-UONOGXRCSA-N 1 2 271.376 3.681 20 0 CHADLO C[C@@H]1C(=O)CC[N@H+](Cc2ccc(F)c(F)c2)C12CCCC2 ZINC001204227979 1133421439 /nfs/dbraw/zinc/42/14/39/1133421439.db2.gz PGLMEASZXJARLN-GFCCVEGCSA-N 1 2 293.357 3.689 20 0 CHADLO C[C@@H]1C(=O)CC[N@@H+](Cc2ccc(F)c(F)c2)C12CCCC2 ZINC001204227979 1133421442 /nfs/dbraw/zinc/42/14/42/1133421442.db2.gz PGLMEASZXJARLN-GFCCVEGCSA-N 1 2 293.357 3.689 20 0 CHADLO c1cc(C[N@H+](Cc2ccccc2)Cc2cccnc2)co1 ZINC001204242970 1133424185 /nfs/dbraw/zinc/42/41/85/1133424185.db2.gz HBRTVJVCDQJESA-UHFFFAOYSA-N 1 2 278.355 3.877 20 0 CHADLO c1cc(C[N@@H+](Cc2ccccc2)Cc2cccnc2)co1 ZINC001204242970 1133424188 /nfs/dbraw/zinc/42/41/88/1133424188.db2.gz HBRTVJVCDQJESA-UHFFFAOYSA-N 1 2 278.355 3.877 20 0 CHADLO Fc1ccc([C@@H]2CSCC[N@@H+]2Cc2ccoc2)cc1 ZINC001204245362 1133424449 /nfs/dbraw/zinc/42/44/49/1133424449.db2.gz KOAWYBUGYKHRCD-HNNXBMFYSA-N 1 2 277.364 3.709 20 0 CHADLO Fc1ccc([C@@H]2CSCC[N@H+]2Cc2ccoc2)cc1 ZINC001204245362 1133424454 /nfs/dbraw/zinc/42/44/54/1133424454.db2.gz KOAWYBUGYKHRCD-HNNXBMFYSA-N 1 2 277.364 3.709 20 0 CHADLO CCCCCCOc1ccccc1C[N@@H+]1CCO[C@@H](C)C1 ZINC001204298710 1133432191 /nfs/dbraw/zinc/43/21/91/1133432191.db2.gz URCAFWAKQODGCC-INIZCTEOSA-N 1 2 291.435 3.866 20 0 CHADLO CCCCCCOc1ccccc1C[N@H+]1CCO[C@@H](C)C1 ZINC001204298710 1133432194 /nfs/dbraw/zinc/43/21/94/1133432194.db2.gz URCAFWAKQODGCC-INIZCTEOSA-N 1 2 291.435 3.866 20 0 CHADLO CCn1ccc(C[N@@H+]2CCc3cc(C(C)(C)C)ccc3C2)n1 ZINC001204412280 1133444527 /nfs/dbraw/zinc/44/45/27/1133444527.db2.gz YFDWWNXBSLUDCM-UHFFFAOYSA-N 1 2 297.446 3.759 20 0 CHADLO CCn1ccc(C[N@H+]2CCc3cc(C(C)(C)C)ccc3C2)n1 ZINC001204412280 1133444533 /nfs/dbraw/zinc/44/45/33/1133444533.db2.gz YFDWWNXBSLUDCM-UHFFFAOYSA-N 1 2 297.446 3.759 20 0 CHADLO Fc1ccc(C[NH+]2CC3(C2)C[C@@H](F)CS3)c(Cl)c1 ZINC001204439091 1133447464 /nfs/dbraw/zinc/44/74/64/1133447464.db2.gz PBXHPDROSIWMOA-LLVKDONJSA-N 1 2 289.778 3.509 20 0 CHADLO Fc1cc(C(F)(F)F)ccc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001204466713 1133450834 /nfs/dbraw/zinc/45/08/34/1133450834.db2.gz YHWYUYWKCUHTOS-GAFUQQFSSA-N 1 2 291.263 3.634 20 0 CHADLO Fc1cc(C(F)(F)F)ccc1C[N@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001204466713 1133450836 /nfs/dbraw/zinc/45/08/36/1133450836.db2.gz YHWYUYWKCUHTOS-GAFUQQFSSA-N 1 2 291.263 3.634 20 0 CHADLO Clc1ccc(Cl)c(C[NH+]2CC3(CCC3)C2)c1 ZINC001204562257 1133463340 /nfs/dbraw/zinc/46/33/40/1133463340.db2.gz CVJYEVZREZPWHH-UHFFFAOYSA-N 1 2 256.176 3.979 20 0 CHADLO COCOc1cc(C)c(-c2cccc3[nH+]ccn32)c(C)c1 ZINC001204599760 1133465584 /nfs/dbraw/zinc/46/55/84/1133465584.db2.gz YDAFASZOXBAEJM-UHFFFAOYSA-N 1 2 282.343 3.601 20 0 CHADLO C[C@H]1COCC[N@@H+]1Cc1ccc(-c2cccc(Cl)c2)o1 ZINC001204694853 1133476846 /nfs/dbraw/zinc/47/68/46/1133476846.db2.gz MICJJSHSXCTRDM-LBPRGKRZSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@H]1COCC[N@H+]1Cc1ccc(-c2cccc(Cl)c2)o1 ZINC001204694853 1133476850 /nfs/dbraw/zinc/47/68/50/1133476850.db2.gz MICJJSHSXCTRDM-LBPRGKRZSA-N 1 2 291.778 3.821 20 0 CHADLO COc1c(Cl)cc(-c2cc[nH+]c(N)c2)cc1Cl ZINC001204830027 1133490768 /nfs/dbraw/zinc/49/07/68/1133490768.db2.gz FFMFLZSFNCTNFO-UHFFFAOYSA-N 1 2 269.131 3.646 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3c(F)cccc3C2)c(F)c1 ZINC001204934208 1133502786 /nfs/dbraw/zinc/50/27/86/1133502786.db2.gz WVSZPBISGJBXQA-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3c(F)cccc3C2)c(F)c1 ZINC001204934208 1133502790 /nfs/dbraw/zinc/50/27/90/1133502790.db2.gz WVSZPBISGJBXQA-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO c1cn2ccc(Nc3nc4ccccc4s3)cc2[nH+]1 ZINC001204951238 1133506610 /nfs/dbraw/zinc/50/66/10/1133506610.db2.gz PIVZXWQVXUHDQE-UHFFFAOYSA-N 1 2 266.329 3.688 20 0 CHADLO Fc1cc(C(F)(F)F)cnc1Nc1ccn2cc[nH+]c2c1 ZINC001204951570 1133506715 /nfs/dbraw/zinc/50/67/15/1133506715.db2.gz VTHFJNCQQXXFQX-UHFFFAOYSA-N 1 2 296.227 3.631 20 0 CHADLO Cc1cccc2ccc(Nc3ccn4cc[nH+]c4c3)nc12 ZINC001204951712 1133507334 /nfs/dbraw/zinc/50/73/34/1133507334.db2.gz XOAHKQMFDVDIKT-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO c1cn2ccc(Nc3cccc(C4CCC4)n3)cc2[nH+]1 ZINC001204971722 1133509976 /nfs/dbraw/zinc/50/99/76/1133509976.db2.gz NNLXLYJQIVVIBO-UHFFFAOYSA-N 1 2 264.332 3.740 20 0 CHADLO Cc1nc2cc(Nc3ccn4cc[nH+]c4c3)ccc2s1 ZINC001204972091 1133510241 /nfs/dbraw/zinc/51/02/41/1133510241.db2.gz WYCKIPGYERXFDY-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO CCC(=O)c1ccccc1Nc1ccn2cc[nH+]c2c1 ZINC001204997236 1133515122 /nfs/dbraw/zinc/51/51/22/1133515122.db2.gz HCLFYIBVPGJWRW-UHFFFAOYSA-N 1 2 265.316 3.671 20 0 CHADLO COc1ccc(C[N@H+](Cc2ccccc2F)C2CC2)o1 ZINC001205215006 1133546554 /nfs/dbraw/zinc/54/65/54/1133546554.db2.gz BOAWBCVXARYTSB-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO COc1ccc(C[N@@H+](Cc2ccccc2F)C2CC2)o1 ZINC001205215006 1133546559 /nfs/dbraw/zinc/54/65/59/1133546559.db2.gz BOAWBCVXARYTSB-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO COCOc1cc(C)ccc1Nc1cccn2cc(C)[nH+]c12 ZINC001205441220 1133577242 /nfs/dbraw/zinc/57/72/42/1133577242.db2.gz KHIMXSKOTMKFGQ-UHFFFAOYSA-N 1 2 297.358 3.677 20 0 CHADLO Cc1cc(F)c(C[NH+]2CC3(C2)CCCC3)c(F)c1 ZINC001205706316 1133605351 /nfs/dbraw/zinc/60/53/51/1133605351.db2.gz FCHQPZFKBPCMBG-UHFFFAOYSA-N 1 2 251.320 3.649 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1cnc2c(ccn2C)c1 ZINC001205723332 1133605695 /nfs/dbraw/zinc/60/56/95/1133605695.db2.gz BTUZHDYCJDQLBT-UHFFFAOYSA-N 1 2 266.348 3.835 20 0 CHADLO Cc1c(NC(=O)Nc2c(C)cc(C)[nH+]c2C)n[nH]c1C1CC1 ZINC001205899733 1133628511 /nfs/dbraw/zinc/62/85/11/1133628511.db2.gz NZDRTJFTIMTNAQ-UHFFFAOYSA-N 1 2 299.378 3.560 20 0 CHADLO Cc1cc(C)c(NC(=O)NC(C)(C)/C=C\Cl)c(C)[nH+]1 ZINC001205906945 1133628698 /nfs/dbraw/zinc/62/86/98/1133628698.db2.gz BAAIDDLWNISLPF-SREVYHEPSA-N 1 2 281.787 3.659 20 0 CHADLO CC(C)O[C@H]1C[C@H]([NH2+]CC(F)(F)c2ccccc2)C1(C)C ZINC001206128735 1133661219 /nfs/dbraw/zinc/66/12/19/1133661219.db2.gz ZIGJYDNGYOVMMK-GJZGRUSLSA-N 1 2 297.389 3.960 20 0 CHADLO Cc1[nH+]cn(-c2ccc(/C=C/c3ccc(C)cc3)nn2)c1C ZINC001206152840 1133664436 /nfs/dbraw/zinc/66/44/36/1133664436.db2.gz GDGOUCQQZVYSHM-CMDGGOBGSA-N 1 2 290.370 3.758 20 0 CHADLO CC(C)Oc1cc(-c2nc3[nH]ccc3c[nH+]2)ccc1F ZINC001206155309 1133665546 /nfs/dbraw/zinc/66/55/46/1133665546.db2.gz UZGIFUUQMCMNRQ-UHFFFAOYSA-N 1 2 271.295 3.503 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cc(OC(C)C)ncc1F ZINC001206634415 1133730389 /nfs/dbraw/zinc/73/03/89/1133730389.db2.gz DQDJNUKDUGAIJW-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO C=Cc1ccccc1-c1ccc(C[NH+]2CCOCC2)cc1F ZINC001206702607 1133734319 /nfs/dbraw/zinc/73/43/19/1133734319.db2.gz BVZBLMMNZUXWIX-UHFFFAOYSA-N 1 2 297.373 3.968 20 0 CHADLO Fc1ccc(-c2cc(C[N@H+]3CCCC[C@@H](F)C3)no2)cc1 ZINC001206831130 1133753457 /nfs/dbraw/zinc/75/34/57/1133753457.db2.gz LDAOWKQKAVZFDT-CQSZACIVSA-N 1 2 292.329 3.805 20 0 CHADLO Fc1ccc(-c2cc(C[N@@H+]3CCCC[C@@H](F)C3)no2)cc1 ZINC001206831130 1133753462 /nfs/dbraw/zinc/75/34/62/1133753462.db2.gz LDAOWKQKAVZFDT-CQSZACIVSA-N 1 2 292.329 3.805 20 0 CHADLO F[C@@H]1CCCC[N@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC001206842919 1133753681 /nfs/dbraw/zinc/75/36/81/1133753681.db2.gz OSXVLPLKJZGIOV-CQSZACIVSA-N 1 2 274.339 3.666 20 0 CHADLO F[C@@H]1CCCC[N@@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC001206842919 1133753685 /nfs/dbraw/zinc/75/36/85/1133753685.db2.gz OSXVLPLKJZGIOV-CQSZACIVSA-N 1 2 274.339 3.666 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+](C)Cc1c(F)cncc1F ZINC001206862591 1133756597 /nfs/dbraw/zinc/75/65/97/1133756597.db2.gz MYSCXIJFOVQSCK-INIZCTEOSA-N 1 2 276.330 3.943 20 0 CHADLO CC[C@@H](c1ccccc1)[N@@H+](C)Cc1c(F)cncc1F ZINC001206862591 1133756605 /nfs/dbraw/zinc/75/66/05/1133756605.db2.gz MYSCXIJFOVQSCK-INIZCTEOSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@H+](Cc1scnc1C)Cc1cccc(F)c1F ZINC001206992491 1133758558 /nfs/dbraw/zinc/75/85/58/1133758558.db2.gz XDDQAHDSPXZRDQ-UHFFFAOYSA-N 1 2 282.359 3.752 20 0 CHADLO CC[N@@H+](Cc1scnc1C)Cc1cccc(F)c1F ZINC001206992491 1133758564 /nfs/dbraw/zinc/75/85/64/1133758564.db2.gz XDDQAHDSPXZRDQ-UHFFFAOYSA-N 1 2 282.359 3.752 20 0 CHADLO Fc1cncc(C[N@@H+]2CCC[C@@H]2c2cc(F)cc(F)c2)c1 ZINC001207024849 1133759650 /nfs/dbraw/zinc/75/96/50/1133759650.db2.gz HIPPNBQQNWUZEY-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cncc(C[N@H+]2CCC[C@@H]2c2cc(F)cc(F)c2)c1 ZINC001207024849 1133759656 /nfs/dbraw/zinc/75/96/56/1133759656.db2.gz HIPPNBQQNWUZEY-MRXNPFEDSA-N 1 2 292.304 3.836 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1)Cc1ncccc1F ZINC001207033499 1133762072 /nfs/dbraw/zinc/76/20/72/1133762072.db2.gz VZOQASCVMKNLGY-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1)Cc1ncccc1F ZINC001207033499 1133762066 /nfs/dbraw/zinc/76/20/66/1133762066.db2.gz VZOQASCVMKNLGY-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO c1[nH+]c(CN2CCC3(CCCCC3)CC2)n2ccccc12 ZINC001207048052 1133763841 /nfs/dbraw/zinc/76/38/41/1133763841.db2.gz GEVKLFVTYJDYOF-UHFFFAOYSA-N 1 2 283.419 3.881 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)s2)cn1 ZINC001207078307 1133766251 /nfs/dbraw/zinc/76/62/51/1133766251.db2.gz FJXYQBOYJPBNLG-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)s2)cn1 ZINC001207078307 1133766256 /nfs/dbraw/zinc/76/62/56/1133766256.db2.gz FJXYQBOYJPBNLG-GFCCVEGCSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncc(F)cc2F)cc1C ZINC001207102982 1133767954 /nfs/dbraw/zinc/76/79/54/1133767954.db2.gz OWBOIGGNWCRCGX-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncc(F)cc2F)cc1C ZINC001207102982 1133767960 /nfs/dbraw/zinc/76/79/60/1133767960.db2.gz OWBOIGGNWCRCGX-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@H+]1Cc1ncc(F)cc1F ZINC001207099253 1133767993 /nfs/dbraw/zinc/76/79/93/1133767993.db2.gz OQIKZRQAVJBNDK-QGZVFWFLSA-N 1 2 288.341 3.869 20 0 CHADLO CC[C@@H]1c2ccccc2CC[N@@H+]1Cc1ncc(F)cc1F ZINC001207099253 1133767999 /nfs/dbraw/zinc/76/79/99/1133767999.db2.gz OQIKZRQAVJBNDK-QGZVFWFLSA-N 1 2 288.341 3.869 20 0 CHADLO C[N@H+](Cc1cc[nH]c(=O)c1)Cc1c(Cl)cccc1Cl ZINC001207124349 1133768465 /nfs/dbraw/zinc/76/84/65/1133768465.db2.gz ZUXHTKAOHCDVOD-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO C[N@@H+](Cc1cc[nH]c(=O)c1)Cc1c(Cl)cccc1Cl ZINC001207124349 1133768470 /nfs/dbraw/zinc/76/84/70/1133768470.db2.gz ZUXHTKAOHCDVOD-UHFFFAOYSA-N 1 2 297.185 3.726 20 0 CHADLO Fc1cccnc1C[N@@H+]1CCC[C@@](F)(c2ccccc2)C1 ZINC001207209297 1133779904 /nfs/dbraw/zinc/77/99/04/1133779904.db2.gz MCWHZLADDLLATA-KRWDZBQOSA-N 1 2 288.341 3.682 20 0 CHADLO Fc1cccnc1C[N@H+]1CCC[C@@](F)(c2ccccc2)C1 ZINC001207209297 1133779909 /nfs/dbraw/zinc/77/99/09/1133779909.db2.gz MCWHZLADDLLATA-KRWDZBQOSA-N 1 2 288.341 3.682 20 0 CHADLO Clc1cccc2c1C[N@H+](CCOCc1ccccc1)C2 ZINC001207236636 1133781269 /nfs/dbraw/zinc/78/12/69/1133781269.db2.gz PLPUGDDAHYYTCJ-UHFFFAOYSA-N 1 2 287.790 3.872 20 0 CHADLO Clc1cccc2c1C[N@@H+](CCOCc1ccccc1)C2 ZINC001207236636 1133781274 /nfs/dbraw/zinc/78/12/74/1133781274.db2.gz PLPUGDDAHYYTCJ-UHFFFAOYSA-N 1 2 287.790 3.872 20 0 CHADLO Nc1[nH+]cccc1CNc1ccc([C@@H](F)C(F)(F)F)cc1 ZINC001207294170 1133788415 /nfs/dbraw/zinc/78/84/15/1133788415.db2.gz NYUGFVREFFCNQG-GFCCVEGCSA-N 1 2 299.271 3.849 20 0 CHADLO FC[C@H]1CC[N@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342935 1133793494 /nfs/dbraw/zinc/79/34/94/1133793494.db2.gz RIXNFPKSSNEVJD-LLVKDONJSA-N 1 2 285.300 3.739 20 0 CHADLO FC[C@H]1CC[N@@H+](CC2CCC(F)(F)CC2)CC1(F)F ZINC001207342935 1133793497 /nfs/dbraw/zinc/79/34/97/1133793497.db2.gz RIXNFPKSSNEVJD-LLVKDONJSA-N 1 2 285.300 3.739 20 0 CHADLO Oc1ccc(CNc2ccc3c([nH+]2)CCCC3)c(F)c1F ZINC001207447600 1133803353 /nfs/dbraw/zinc/80/33/53/1133803353.db2.gz DBNKZXGZYUEVQI-UHFFFAOYSA-N 1 2 290.313 3.556 20 0 CHADLO C[C@H](CCCC(C)(C)O)CC[N@@H+]1CCCC(F)(F)C1 ZINC001207635846 1133835453 /nfs/dbraw/zinc/83/54/53/1133835453.db2.gz QSRVFRLLHXIGDW-CYBMUJFWSA-N 1 2 277.399 3.685 20 0 CHADLO C[C@H](CCCC(C)(C)O)CC[N@H+]1CCCC(F)(F)C1 ZINC001207635846 1133835457 /nfs/dbraw/zinc/83/54/57/1133835457.db2.gz QSRVFRLLHXIGDW-CYBMUJFWSA-N 1 2 277.399 3.685 20 0 CHADLO Clc1n[nH]c(C2CC2)c1C[N@@H+]1CCc2ccsc2C1 ZINC001207720119 1133841110 /nfs/dbraw/zinc/84/11/10/1133841110.db2.gz UVAHPPNMMNPFFU-UHFFFAOYSA-N 1 2 293.823 3.560 20 0 CHADLO Clc1n[nH]c(C2CC2)c1C[N@H+]1CCc2ccsc2C1 ZINC001207720119 1133841117 /nfs/dbraw/zinc/84/11/17/1133841117.db2.gz UVAHPPNMMNPFFU-UHFFFAOYSA-N 1 2 293.823 3.560 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+][C@@H](c2ccccc2)C(F)F)C[C@H](C)O1 ZINC001207873285 1133857175 /nfs/dbraw/zinc/85/71/75/1133857175.db2.gz PWUFUAGIMDCKCJ-XCCSTKFXSA-N 1 2 269.335 3.538 20 0 CHADLO C[C@@H](C[N@@H+]1CCCCC(F)(F)C1)OCc1ccccc1 ZINC001208699539 1133931810 /nfs/dbraw/zinc/93/18/10/1133931810.db2.gz XYERYFSLKUYXEF-AWEZNQCLSA-N 1 2 283.362 3.713 20 0 CHADLO C[C@@H](C[N@H+]1CCCCC(F)(F)C1)OCc1ccccc1 ZINC001208699539 1133931813 /nfs/dbraw/zinc/93/18/13/1133931813.db2.gz XYERYFSLKUYXEF-AWEZNQCLSA-N 1 2 283.362 3.713 20 0 CHADLO C[C@@H](C[N@@H+]1CCC[C@H](F)C(=O)C1)c1cccc2ccccc21 ZINC001208707872 1133932390 /nfs/dbraw/zinc/93/23/90/1133932390.db2.gz UUHYJLIVCPJASJ-KSSFIOAISA-N 1 2 299.389 3.946 20 0 CHADLO C[C@@H](C[N@H+]1CCC[C@H](F)C(=O)C1)c1cccc2ccccc21 ZINC001208707872 1133932393 /nfs/dbraw/zinc/93/23/93/1133932393.db2.gz UUHYJLIVCPJASJ-KSSFIOAISA-N 1 2 299.389 3.946 20 0 CHADLO CC/C=C\CCN1CC[C@@H]([NH+]2CCCCC2)C(F)(F)C1 ZINC001208734217 1133938377 /nfs/dbraw/zinc/93/83/77/1133938377.db2.gz SKOAVPSVDQYIJP-ABCZVMIZSA-N 1 2 286.410 3.538 20 0 CHADLO CC1(C)C[N@H+](Cc2cnc(Cl)s2)CCC1(F)F ZINC001209460876 1134023996 /nfs/dbraw/zinc/02/39/96/1134023996.db2.gz BQEJUVOBUDLIDG-UHFFFAOYSA-N 1 2 280.771 3.664 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnc(Cl)s2)CCC1(F)F ZINC001209460876 1134024004 /nfs/dbraw/zinc/02/40/04/1134024004.db2.gz BQEJUVOBUDLIDG-UHFFFAOYSA-N 1 2 280.771 3.664 20 0 CHADLO Fc1ccc(F)c([C@@H]2CCC[N@@H+]2Cc2cncs2)c1 ZINC001209550207 1134037319 /nfs/dbraw/zinc/03/73/19/1134037319.db2.gz SCPXWXAALKQLBU-AWEZNQCLSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc(F)c([C@@H]2CCC[N@H+]2Cc2cncs2)c1 ZINC001209550207 1134037324 /nfs/dbraw/zinc/03/73/24/1134037324.db2.gz SCPXWXAALKQLBU-AWEZNQCLSA-N 1 2 280.343 3.758 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cccc(Cl)c3C2)[nH]1 ZINC001209578846 1134039162 /nfs/dbraw/zinc/03/91/62/1134039162.db2.gz PDABSAFOIJAWSN-UHFFFAOYSA-N 1 2 260.768 3.535 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cccc(Cl)c3C2)[nH]1 ZINC001209578846 1134039169 /nfs/dbraw/zinc/03/91/69/1134039169.db2.gz PDABSAFOIJAWSN-UHFFFAOYSA-N 1 2 260.768 3.535 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc3cc(Cl)ccc3[nH]2)CCC1=O ZINC001209719639 1134053529 /nfs/dbraw/zinc/05/35/29/1134053529.db2.gz ZBDDGLSEJPQKFC-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc3cc(Cl)ccc3[nH]2)CCC1=O ZINC001209719639 1134053534 /nfs/dbraw/zinc/05/35/34/1134053534.db2.gz ZBDDGLSEJPQKFC-LLVKDONJSA-N 1 2 290.794 3.622 20 0 CHADLO Clc1ccc2[nH]c(C[N@@H+]3CCCCC34COC4)cc2c1 ZINC001209723657 1134055730 /nfs/dbraw/zinc/05/57/30/1134055730.db2.gz OZWLHHRQDWALQX-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1ccc2[nH]c(C[N@H+]3CCCCC34COC4)cc2c1 ZINC001209723657 1134055735 /nfs/dbraw/zinc/05/57/35/1134055735.db2.gz OZWLHHRQDWALQX-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO COc1c(F)ccc(Nc2ccc(N(C)C)[nH+]c2)c1Cl ZINC001209800940 1134068244 /nfs/dbraw/zinc/06/82/44/1134068244.db2.gz ZVQJLIAXWHGURD-UHFFFAOYSA-N 1 2 295.745 3.692 20 0 CHADLO Cc1cc(Cl)nc(Nc2ccc3[nH]c[nH+]c3c2)c1 ZINC001209844279 1134079880 /nfs/dbraw/zinc/07/98/80/1134079880.db2.gz KWHFCXVEUORLMH-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO CCOc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(Cl)c1 ZINC001209894048 1134097051 /nfs/dbraw/zinc/09/70/51/1134097051.db2.gz QNEPPDNRHRHGDX-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO COc1cc(Cl)ccc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001209906282 1134098989 /nfs/dbraw/zinc/09/89/89/1134098989.db2.gz MEZMNCORIZXBEQ-UHFFFAOYSA-N 1 2 287.750 3.578 20 0 CHADLO Cc1cc(Nc2cccc(Cn3cc[nH+]c3)c2)ccc1O ZINC001209975537 1134114219 /nfs/dbraw/zinc/11/42/19/1134114219.db2.gz SLOHRTQGJIVHJY-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C(F)(F)F)ccc1O ZINC001209980691 1134115981 /nfs/dbraw/zinc/11/59/81/1134115981.db2.gz SDYHPFWBEOYSQN-UHFFFAOYSA-N 1 2 298.264 3.948 20 0 CHADLO Oc1ccc(Nc2cccc3[nH+]ccn32)cc1C(F)(F)F ZINC001209985300 1134117063 /nfs/dbraw/zinc/11/70/63/1134117063.db2.gz VBSLNBPOCYLQHY-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO Cn1c2ccccc2[nH+]c1NC1=CCC(F)(F)CC1 ZINC001210028549 1134127556 /nfs/dbraw/zinc/12/75/56/1134127556.db2.gz UQHVECQWWGKGLS-UHFFFAOYSA-N 1 2 263.291 3.688 20 0 CHADLO Cc1cc(Nc2cnc3occc3c2)ccc1[NH+](C)C ZINC001210041132 1134131216 /nfs/dbraw/zinc/13/12/16/1134131216.db2.gz IZELYEJWTPYUBJ-UHFFFAOYSA-N 1 2 267.332 3.946 20 0 CHADLO Cc1cc(Nc2cnc3c(ccn3C)c2)ccc1[NH+](C)C ZINC001210040075 1134131366 /nfs/dbraw/zinc/13/13/66/1134131366.db2.gz PBUGCLVWJGNNKW-UHFFFAOYSA-N 1 2 280.375 3.691 20 0 CHADLO Cc1cc(Nc2ccc3cnoc3c2)ccc1[NH+](C)C ZINC001210044357 1134132980 /nfs/dbraw/zinc/13/29/80/1134132980.db2.gz YFPYXGLJRUHBRT-UHFFFAOYSA-N 1 2 267.332 3.946 20 0 CHADLO COc1cc2c(ccn2-c2ccc([NH+](C)C)c(C)c2)c(N)c1 ZINC001210044958 1134133073 /nfs/dbraw/zinc/13/30/73/1134133073.db2.gz QANWTIDEAUNCIO-UHFFFAOYSA-N 1 2 295.386 3.596 20 0 CHADLO Cc1nc(Cl)cc(Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210043539 1134133270 /nfs/dbraw/zinc/13/32/70/1134133270.db2.gz GNOZGONUUJTZRX-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO Cc1cc(Nc2cccc3c2COC3)ccc1[NH+](C)C ZINC001210045699 1134134902 /nfs/dbraw/zinc/13/49/02/1134134902.db2.gz WPHMDVNKNLTITK-UHFFFAOYSA-N 1 2 268.360 3.835 20 0 CHADLO Cc1cc2n[nH]cc2cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001210103140 1134147226 /nfs/dbraw/zinc/14/72/26/1134147226.db2.gz VDGLPTCNGGHGRK-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO COc1cc[nH+]c(Nc2c(OC)ccc3ccccc32)c1 ZINC001210168160 1134159114 /nfs/dbraw/zinc/15/91/14/1134159114.db2.gz NANDZVPEHRSPGE-UHFFFAOYSA-N 1 2 280.327 3.996 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(Cl)c3O)ccc21 ZINC001210221966 1134170188 /nfs/dbraw/zinc/17/01/88/1134170188.db2.gz GUGPJIQPUFOXTA-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO NC(=O)c1ccc(Cl)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001210230455 1134172134 /nfs/dbraw/zinc/17/21/34/1134172134.db2.gz HQHBPSROJYONPW-UHFFFAOYSA-N 1 2 297.745 3.731 20 0 CHADLO c1nc(Nc2cccc3cc[nH+]cc32)cn1C1CCC1 ZINC001210225393 1134172708 /nfs/dbraw/zinc/17/27/08/1134172708.db2.gz GCDBEFSEIXRBGL-UHFFFAOYSA-N 1 2 264.332 3.900 20 0 CHADLO c1nc(Nc2cccc3cc[nH+]cc32)cn1C1CCOCC1 ZINC001210226205 1134172813 /nfs/dbraw/zinc/17/28/13/1134172813.db2.gz UGRMLOGWZBGGHS-UHFFFAOYSA-N 1 2 294.358 3.526 20 0 CHADLO Nc1cc(F)cc(F)c1Nc1cccc2cc[nH+]cc21 ZINC001210231891 1134173706 /nfs/dbraw/zinc/17/37/06/1134173706.db2.gz TVGANMNCCGLXPU-UHFFFAOYSA-N 1 2 271.270 3.839 20 0 CHADLO Cc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)c(C)o1 ZINC001210281331 1134184893 /nfs/dbraw/zinc/18/48/93/1134184893.db2.gz YZIIYGPYVQLDAG-UHFFFAOYSA-N 1 2 253.305 3.826 20 0 CHADLO Cc1[nH+]cc(Nc2ncccc2Oc2ccccc2)cc1N ZINC001210286154 1134185296 /nfs/dbraw/zinc/18/52/96/1134185296.db2.gz WEZQHVZXRXMRCK-UHFFFAOYSA-N 1 2 292.342 3.903 20 0 CHADLO CCC[C@H](C)c1cc(Nc2c[nH+]c(C)c(N)c2)on1 ZINC001210285201 1134185727 /nfs/dbraw/zinc/18/57/27/1134185727.db2.gz LZUBKIIUKMRESU-VIFPVBQESA-N 1 2 260.341 3.607 20 0 CHADLO Cc1cccc2ccc(Nc3c[nH+]c(C)c(N)c3)nc12 ZINC001210285713 1134185842 /nfs/dbraw/zinc/18/58/42/1134185842.db2.gz PSAOASZBKYOWAW-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)c(N)c2)ccc1OC(F)(F)F ZINC001210289605 1134186226 /nfs/dbraw/zinc/18/62/26/1134186226.db2.gz UHOXDBWXZSSRTP-UHFFFAOYSA-N 1 2 297.280 3.923 20 0 CHADLO CCCCOc1ccc(Nc2c[nH+]c(C)c(N)c2)cc1 ZINC001210288848 1134186483 /nfs/dbraw/zinc/18/64/83/1134186483.db2.gz VGZFNRUPMKVKCO-UHFFFAOYSA-N 1 2 271.364 3.895 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(F)c(Cl)c2F)cc1N ZINC001210290375 1134186497 /nfs/dbraw/zinc/18/64/97/1134186497.db2.gz KWVGXMPFSYRKTK-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO Cc1cccc(Nc2ccc(-c3nccn3C)cc2)[nH+]1 ZINC001210430472 1134213387 /nfs/dbraw/zinc/21/33/87/1134213387.db2.gz MBENOACYJZXXRJ-UHFFFAOYSA-N 1 2 264.332 3.534 20 0 CHADLO c1c[nH+]c(N2CCOCC2)c(NC2=CCCCCCC2)c1 ZINC001210449528 1134217199 /nfs/dbraw/zinc/21/71/99/1134217199.db2.gz JLUAQOWZZPJDPO-UHFFFAOYSA-N 1 2 287.407 3.568 20 0 CHADLO CCc1ncccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001210464654 1134221888 /nfs/dbraw/zinc/22/18/88/1134221888.db2.gz IJSVJBQRKSOUEL-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO CC(=O)c1cc(Nc2cc[nH+]c3cc(Cl)ccc23)ccn1 ZINC001210589690 1134257189 /nfs/dbraw/zinc/25/71/89/1134257189.db2.gz UXOQBLLSMQABEI-UHFFFAOYSA-N 1 2 297.745 3.651 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc([C@@H](C)O)cc2)c1 ZINC001210647148 1134266707 /nfs/dbraw/zinc/26/67/07/1134266707.db2.gz ZODBSVOIJVYUMY-GFCCVEGCSA-N 1 2 256.349 3.831 20 0 CHADLO C[C@@H](O)c1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001210647106 1134267439 /nfs/dbraw/zinc/26/74/39/1134267439.db2.gz YDXYANQRHONBDS-LLVKDONJSA-N 1 2 254.333 3.756 20 0 CHADLO C[C@@H](O)c1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001210648948 1134268398 /nfs/dbraw/zinc/26/83/98/1134268398.db2.gz ORGDIIOSPMLPFT-CYBMUJFWSA-N 1 2 279.343 3.669 20 0 CHADLO Cc1ccc(CO)cc1Nc1cccc(C2CC2)[nH+]1 ZINC001210677312 1134272144 /nfs/dbraw/zinc/27/21/44/1134272144.db2.gz KVVBXDVKDWPCAS-UHFFFAOYSA-N 1 2 254.333 3.503 20 0 CHADLO Fc1ccc2oc(Nc3ccn4cc[nH+]c4c3)cc2c1 ZINC001210727799 1134283520 /nfs/dbraw/zinc/28/35/20/1134283520.db2.gz ZCLNBGAPKAJSNE-UHFFFAOYSA-N 1 2 267.263 3.963 20 0 CHADLO CCNc1ccnc(Cl)c1Nc1cc(C)[nH+]c(OC)c1 ZINC001210773781 1134293287 /nfs/dbraw/zinc/29/32/87/1134293287.db2.gz FKKPFALRWXYTSY-UHFFFAOYSA-N 1 2 292.770 3.622 20 0 CHADLO COc1cc(Nc2c(C)nc(Cl)nc2Cl)cc(C)[nH+]1 ZINC001210773643 1134293607 /nfs/dbraw/zinc/29/36/07/1134293607.db2.gz CJWZGLOJMZBFBM-UHFFFAOYSA-N 1 2 299.161 3.547 20 0 CHADLO COc1cc(Nc2ccc(C)cc2C(C)=O)cc(C)[nH+]1 ZINC001210783188 1134295139 /nfs/dbraw/zinc/29/51/39/1134295139.db2.gz CTMSEMAZNCXQNL-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO COc1cc(Nc2cccc3cc(F)cnc32)cc(C)[nH+]1 ZINC001210780698 1134295394 /nfs/dbraw/zinc/29/53/94/1134295394.db2.gz MJCSTSAUWAGRCY-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO CCn1ncc2cc(Nc3cc(C)[nH+]c(OC)c3)ccc21 ZINC001210780689 1134295534 /nfs/dbraw/zinc/29/55/34/1134295534.db2.gz LYKZXVVAQFOKHE-UHFFFAOYSA-N 1 2 282.347 3.512 20 0 CHADLO COc1cc(Nc2ccc(C)c3ncccc23)cc(C)[nH+]1 ZINC001210780501 1134295594 /nfs/dbraw/zinc/29/55/94/1134295594.db2.gz BAVZAHZNHVENRT-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cc(Nc2ccc3c(c2)OC(C)(C)O3)cc(C)[nH+]1 ZINC001210780603 1134295855 /nfs/dbraw/zinc/29/58/55/1134295855.db2.gz FXVBHPLLVYVUFC-UHFFFAOYSA-N 1 2 286.331 3.650 20 0 CHADLO COc1cc(Nc2cc(-c3ccncn3)cs2)cc(C)[nH+]1 ZINC001210779778 1134295922 /nfs/dbraw/zinc/29/59/22/1134295922.db2.gz YKOKBAGFKMMTMD-UHFFFAOYSA-N 1 2 298.371 3.661 20 0 CHADLO Oc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1Cl ZINC001210854449 1134313802 /nfs/dbraw/zinc/31/38/02/1134313802.db2.gz XELYQVSHWDDKJS-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO COc1c(F)cc(F)cc1Nc1c[nH+]c(C)cc1C ZINC001210866138 1134319021 /nfs/dbraw/zinc/31/90/21/1134319021.db2.gz FNRFMFAEMLHOQI-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO C=C(Nc1ccc[nH+]c1N1CCCC1)c1ccccc1 ZINC001210983499 1134343326 /nfs/dbraw/zinc/34/33/26/1134343326.db2.gz WPENQRHHRSCDPY-UHFFFAOYSA-N 1 2 265.360 3.765 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)[C@H](O)CCC3)[nH+]c1 ZINC001211012583 1134349165 /nfs/dbraw/zinc/34/91/65/1134349165.db2.gz KFUQBXLABSCFPH-OAHLLOKOSA-N 1 2 254.333 3.503 20 0 CHADLO Cc1cc(C)n(-c2ccc(Nc3ccc(C)c[nH+]3)cc2)n1 ZINC001211012023 1134349316 /nfs/dbraw/zinc/34/93/16/1134349316.db2.gz PWPUXMLWRXCKDY-UHFFFAOYSA-N 1 2 278.359 3.936 20 0 CHADLO CCOc1ccc(F)c(Nc2cccc3[nH+]ccn32)c1 ZINC001211051296 1134357003 /nfs/dbraw/zinc/35/70/03/1134357003.db2.gz XAWKIZMNOPUEDZ-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO CCOc1ccc(F)c(Nc2cc(C)[nH+]c(OC)c2)c1 ZINC001211052882 1134358132 /nfs/dbraw/zinc/35/81/32/1134358132.db2.gz HTJIKMQQYCSGEX-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO COCc1cncc(Nc2cc[nH+]c3ccc(Cl)cc23)c1 ZINC001211075331 1134363992 /nfs/dbraw/zinc/36/39/92/1134363992.db2.gz LMZXKXYNLZLBBN-UHFFFAOYSA-N 1 2 299.761 3.595 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1cccc(-c2cccnc2)c1 ZINC001211143120 1134380563 /nfs/dbraw/zinc/38/05/63/1134380563.db2.gz APJHTIPHJPIGAH-UHFFFAOYSA-N 1 2 277.327 3.901 20 0 CHADLO COC(=O)c1ccc(Nc2cc[nH+]c(SC)c2)cc1C ZINC001211173172 1134384858 /nfs/dbraw/zinc/38/48/58/1134384858.db2.gz ZPHQIINDUVGELY-UHFFFAOYSA-N 1 2 288.372 3.642 20 0 CHADLO Oc1cc(Nc2cc[nH+]c3ccc(Cl)cc23)ccc1F ZINC001211215836 1134394150 /nfs/dbraw/zinc/39/41/50/1134394150.db2.gz NQUUPMKUHOIRAP-UHFFFAOYSA-N 1 2 288.709 3.898 20 0 CHADLO COc1c(F)cccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088159 1134424849 /nfs/dbraw/zinc/42/48/49/1134424849.db2.gz SSFZMDXBOAYPBW-UHFFFAOYSA-N 1 2 283.306 3.968 20 0 CHADLO COc1c(O)cccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213091894 1134426150 /nfs/dbraw/zinc/42/61/50/1134426150.db2.gz QBDQIHXGQJTCKR-UHFFFAOYSA-N 1 2 281.315 3.535 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(Br)s2)c1C ZINC001213527643 1134460255 /nfs/dbraw/zinc/46/02/55/1134460255.db2.gz XBTXPHIWYOSOED-UHFFFAOYSA-N 1 2 284.182 3.661 20 0 CHADLO Cc1cc(Br)nc(Nc2c[nH+]cc(C)c2C)c1 ZINC001213524643 1134460415 /nfs/dbraw/zinc/46/04/15/1134460415.db2.gz AZOXCXZQAJLRLB-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CN(C)c1ccc(Nc2ccc(C3CC3)cc2F)c[nH+]1 ZINC001213454991 1134450053 /nfs/dbraw/zinc/45/00/53/1134450053.db2.gz YTBPHQDWPGZHEB-UHFFFAOYSA-N 1 2 271.339 3.908 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(C3CC3)cc2F)c(N)c1 ZINC001213456851 1134450105 /nfs/dbraw/zinc/45/01/05/1134450105.db2.gz DFQDHTVEHDWEDZ-UHFFFAOYSA-N 1 2 257.312 3.732 20 0 CHADLO Cc1cc2ncc(C)c(Nc3cc(C4CC4)c[nH+]c3C)n2n1 ZINC001213489544 1134453544 /nfs/dbraw/zinc/45/35/44/1134453544.db2.gz REOQNRPARXPHDA-UHFFFAOYSA-N 1 2 293.374 3.671 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnn2ccc(Cl)nc12 ZINC001213494881 1134453561 /nfs/dbraw/zinc/45/35/61/1134453561.db2.gz KQLNVLJGNSVUJI-UHFFFAOYSA-N 1 2 299.765 3.707 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)nc(F)c1 ZINC001213495081 1134454345 /nfs/dbraw/zinc/45/43/45/1134454345.db2.gz PMPUWVFEPRRMID-UHFFFAOYSA-N 1 2 261.275 3.684 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnn2cc(F)ccc12 ZINC001213497464 1134455240 /nfs/dbraw/zinc/45/52/40/1134455240.db2.gz QJJUCZSQCNMSIT-UHFFFAOYSA-N 1 2 282.322 3.798 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(C(F)(F)F)cnn1 ZINC001213497269 1134455349 /nfs/dbraw/zinc/45/53/49/1134455349.db2.gz LKXJXBKMDWLOBE-UHFFFAOYSA-N 1 2 294.280 3.820 20 0 CHADLO CC(=O)c1cc(Nc2cc(C3CC3)c[nH+]c2C)cnc1C ZINC001213497644 1134455551 /nfs/dbraw/zinc/45/55/51/1134455551.db2.gz ZUKSPEHABMFMLC-UHFFFAOYSA-N 1 2 281.359 3.917 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2oc(=O)[nH]c2c1 ZINC001213501086 1134456134 /nfs/dbraw/zinc/45/61/34/1134456134.db2.gz HHDYUXXUBILFDN-UHFFFAOYSA-N 1 2 281.315 3.858 20 0 CHADLO COC(=O)c1cccc(O)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213501219 1134456510 /nfs/dbraw/zinc/45/65/10/1134456510.db2.gz NAQQOOMQQMZPSQ-UHFFFAOYSA-N 1 2 298.342 3.503 20 0 CHADLO Cc1cc(C)c(Nc2c(Cl)cnnc2Cl)c[nH+]1 ZINC001213508326 1134456667 /nfs/dbraw/zinc/45/66/67/1134456667.db2.gz QEQZBADBBMEYMM-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1ccc(CO)c(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213503543 1134456841 /nfs/dbraw/zinc/45/68/41/1134456841.db2.gz LWMCMNMSOYVNBW-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO COCCCOc1ccc(Nc2c[nH+]c(C)cc2C)cc1 ZINC001213513366 1134457514 /nfs/dbraw/zinc/45/75/14/1134457514.db2.gz FWFSNRSGJNDGAS-UHFFFAOYSA-N 1 2 286.375 3.857 20 0 CHADLO Cc1cc(C)c(Nc2cc(O)ccc2Br)c[nH+]1 ZINC001213513497 1134457983 /nfs/dbraw/zinc/45/79/83/1134457983.db2.gz PFZULEUHBOXTDE-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)CN(C2CC2)C3=O)c[nH+]1 ZINC001213520981 1134458571 /nfs/dbraw/zinc/45/85/71/1134458571.db2.gz NMNWMENCNOYJHG-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO CO[C@H]1CCOc2c(Nc3c[nH+]c(C)cc3C)cccc21 ZINC001213520373 1134458586 /nfs/dbraw/zinc/45/85/86/1134458586.db2.gz AOXIFJMSRXBCMA-INIZCTEOSA-N 1 2 284.359 3.912 20 0 CHADLO Cc1noc2ccc(Nc3c[nH+]c(C)cc3C)cc12 ZINC001213515798 1134458962 /nfs/dbraw/zinc/45/89/62/1134458962.db2.gz LVBNTVMBWWWIHQ-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO CC(=O)c1cccc(Nc2c[nH+]c(C)cc2C)c1C ZINC001213520545 1134459154 /nfs/dbraw/zinc/45/91/54/1134459154.db2.gz DKZFELLDNKZFAN-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO Cc1c[nH+]cc(Nc2nc3ccc(F)cc3o2)c1C ZINC001213523510 1134460042 /nfs/dbraw/zinc/46/00/42/1134460042.db2.gz USAPGJJIOSFZOC-UHFFFAOYSA-N 1 2 257.268 3.722 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Cl)nnc2Cl)c1C ZINC001213523407 1134460072 /nfs/dbraw/zinc/46/00/72/1134460072.db2.gz RCGBLBNKODEYPH-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1c[nH+]cc(Nc2cnccc2C(F)(F)F)c1C ZINC001213523335 1134460453 /nfs/dbraw/zinc/46/04/53/1134460453.db2.gz QDPFKZYCVPGGLL-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO COC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)c(F)c1F ZINC001213521180 1134460670 /nfs/dbraw/zinc/46/06/70/1134460670.db2.gz XZMXGSBEYBVRPE-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Cl)c(F)cc2N)c1C ZINC001213530846 1134461191 /nfs/dbraw/zinc/46/11/91/1134461191.db2.gz ODHGPFQNRIDHRA-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO Cc1nc2cc(Nc3c[nH+]cc(C)c3C)ccc2o1 ZINC001213530830 1134461705 /nfs/dbraw/zinc/46/17/05/1134461705.db2.gz NMPLKZCYYLRJLJ-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO Cc1c[nH+]cc(Nc2c(Cl)cccc2CO)c1C ZINC001213530847 1134461998 /nfs/dbraw/zinc/46/19/98/1134461998.db2.gz ODOOZNKUQCUTOP-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3c2CCCO3)c1C ZINC001213537217 1134462482 /nfs/dbraw/zinc/46/24/82/1134462482.db2.gz XHPIJORIEMFOQO-UHFFFAOYSA-N 1 2 254.333 3.767 20 0 CHADLO CCOC(=O)Cc1cccc(Nc2c[nH+]cc(C)c2C)c1 ZINC001213537213 1134462594 /nfs/dbraw/zinc/46/25/94/1134462594.db2.gz XEANKRPMMVJXOS-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO CO[C@@H]1CCOc2c(Nc3c[nH+]cc(C)c3C)cccc21 ZINC001213534258 1134462617 /nfs/dbraw/zinc/46/26/17/1134462617.db2.gz UGZZVKGOXDKOBD-MRXNPFEDSA-N 1 2 284.359 3.912 20 0 CHADLO COC(=O)CCc1ccc(Nc2c[nH+]cc(C)c2C)cc1 ZINC001213534300 1134462726 /nfs/dbraw/zinc/46/27/26/1134462726.db2.gz WAUOXJMNDIHBNS-UHFFFAOYSA-N 1 2 284.359 3.548 20 0 CHADLO COC(=O)[C@H]1CCc2cc(Nc3c[nH+]cc(C)c3C)ccc21 ZINC001213537253 1134462836 /nfs/dbraw/zinc/46/28/36/1134462836.db2.gz YFKSPOSXVDIPPV-INIZCTEOSA-N 1 2 296.370 3.645 20 0 CHADLO COc1cc(C(C)=O)c(Nc2c[nH+]cc(C)c2C)cc1F ZINC001213536735 1134463119 /nfs/dbraw/zinc/46/31/19/1134463119.db2.gz NQHYBGKVFCCUBO-UHFFFAOYSA-N 1 2 288.322 3.792 20 0 CHADLO Cc1c[nH+]cc(Nc2cc3nsnc3cc2C)c1C ZINC001213534047 1134463168 /nfs/dbraw/zinc/46/31/68/1134463168.db2.gz JWUWRRLGUPIBQM-UHFFFAOYSA-N 1 2 270.361 3.755 20 0 CHADLO FC(F)(F)Cc1ccc(Nc2cccn3cc[nH+]c23)cn1 ZINC001213908317 1134495924 /nfs/dbraw/zinc/49/59/24/1134495924.db2.gz FDDDQTVFNHGHRG-UHFFFAOYSA-N 1 2 292.264 3.578 20 0 CHADLO CCN(C)c1ccc(Nc2cccc3[nH]c(=O)ccc23)c[nH+]1 ZINC001213972080 1134503441 /nfs/dbraw/zinc/50/34/41/1134503441.db2.gz CFGHVTGWVNPETL-UHFFFAOYSA-N 1 2 294.358 3.535 20 0 CHADLO Cc1ccc(Nc2ccccc2-n2cc[nH+]c2)c(N)c1 ZINC001214184713 1134518434 /nfs/dbraw/zinc/51/84/34/1134518434.db2.gz XJHXFZCAAJVNRA-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO Cc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(N)c1 ZINC001214186967 1134518609 /nfs/dbraw/zinc/51/86/09/1134518609.db2.gz OCSZFSUDNRWCKA-UHFFFAOYSA-N 1 2 278.359 3.566 20 0 CHADLO Cc1ccc(O)cc1Nc1[nH+]cccc1N1CCCCC1 ZINC001214343464 1134531162 /nfs/dbraw/zinc/53/11/62/1134531162.db2.gz RYUXIVQQJLQUEE-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(OCOC)ccc1C ZINC001214359284 1134532862 /nfs/dbraw/zinc/53/28/62/1134532862.db2.gz AVAWQRZRAUDTOE-UHFFFAOYSA-N 1 2 288.347 3.515 20 0 CHADLO Cc1cc[nH+]c(Nc2cc(F)c(O)c(Cl)c2)c1 ZINC001214477478 1134542661 /nfs/dbraw/zinc/54/26/61/1134542661.db2.gz PPUANWIAHVUIKX-UHFFFAOYSA-N 1 2 252.676 3.632 20 0 CHADLO COC(=O)c1c(F)cc(Nc2[nH+]cc(C)cc2C)cc1F ZINC001214718066 1134566373 /nfs/dbraw/zinc/56/63/73/1134566373.db2.gz PHRUFAOENWGWKG-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1cn2cc(Nc3ccc(Cl)c(O)c3F)ccc2[nH+]1 ZINC001214796369 1134574232 /nfs/dbraw/zinc/57/42/32/1134574232.db2.gz RDYYGHWNFUKSOM-UHFFFAOYSA-N 1 2 291.713 3.884 20 0 CHADLO COCOc1c(C)cccc1Nc1[nH+]c2ccccc2n1C ZINC001214844740 1134576734 /nfs/dbraw/zinc/57/67/34/1134576734.db2.gz MTOKJIHPDYFARB-UHFFFAOYSA-N 1 2 297.358 3.608 20 0 CHADLO COCOc1c(C)cccc1Nc1ccc(C)[nH+]c1C ZINC001214842259 1134576900 /nfs/dbraw/zinc/57/69/00/1134576900.db2.gz HAVNKUWGIXEDSK-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO Cc1cn2c(cccc2Nc2cnc(OC(C)C)c(C)c2)[nH+]1 ZINC001214937453 1134583923 /nfs/dbraw/zinc/58/39/23/1134583923.db2.gz SXKDHJWQWMMQLZ-UHFFFAOYSA-N 1 2 296.374 3.877 20 0 CHADLO Cc1ccc(Nc2c(C)cc(CO)cc2C)c(C)[nH+]1 ZINC001214944448 1134584467 /nfs/dbraw/zinc/58/44/67/1134584467.db2.gz UJABYNAZXTVSQO-UHFFFAOYSA-N 1 2 256.349 3.551 20 0 CHADLO Cc1c(CO)ccc(Nc2[nH+]cccc2C2CC2)c1C ZINC001215240976 1134610180 /nfs/dbraw/zinc/61/01/80/1134610180.db2.gz YZJSWKQMBRYLRH-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO CCCn1c(C)nnc1C[NH2+][C@@H](C)c1ccc(Cl)s1 ZINC000182979804 1134629725 /nfs/dbraw/zinc/62/97/25/1134629725.db2.gz CISYKAOVHVJOFS-VIFPVBQESA-N 1 2 298.843 3.562 20 0 CHADLO CCCOc1ccc(Nc2c[nH+]ccc2OC)c(F)c1 ZINC001215486360 1134632507 /nfs/dbraw/zinc/63/25/07/1134632507.db2.gz INTCEPAQUQJOTE-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO CCOc1ccc(Nc2ccccc2-n2cc[nH+]c2)c(C)n1 ZINC001215578538 1134646759 /nfs/dbraw/zinc/64/67/59/1134646759.db2.gz ZLEUMHLVAMXIFA-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO COCc1ccc(Cl)c(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001215594007 1134649288 /nfs/dbraw/zinc/64/92/88/1134649288.db2.gz JTMGALPXSDOJEB-UHFFFAOYSA-N 1 2 291.782 3.691 20 0 CHADLO COc1cc(C)cc(F)c1Nc1ccc2c(c1)[nH+]cn2C ZINC001215709444 1134664655 /nfs/dbraw/zinc/66/46/55/1134664655.db2.gz UKLCBEUBFNAHTQ-UHFFFAOYSA-N 1 2 285.322 3.773 20 0 CHADLO COc1cc(F)c(Nc2ccc(C)[nH+]c2C)cc1OC ZINC001215716757 1134666151 /nfs/dbraw/zinc/66/61/51/1134666151.db2.gz LLSBSGXBBBWPCK-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO Cc1ccc(F)c(Nc2cccc(-n3cc[nH+]c3)c2)c1O ZINC001215739084 1134670706 /nfs/dbraw/zinc/67/07/06/1134670706.db2.gz HBGDHTACKNQGSO-UHFFFAOYSA-N 1 2 283.306 3.769 20 0 CHADLO Cc1ccc(Nc2ccnc(OC(C)C)c2)c(C)[nH+]1 ZINC001215765398 1134679703 /nfs/dbraw/zinc/67/97/03/1134679703.db2.gz XLQKMQGJNUMAEE-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO Cc1cc(OC(C)C)ncc1Nc1cccc2[nH+]ccn21 ZINC001215769432 1134682336 /nfs/dbraw/zinc/68/23/36/1134682336.db2.gz BPXUYTUOCNWMGL-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO CSc1cccc(F)c1Nc1cccn2cc[nH+]c12 ZINC001215823160 1134695217 /nfs/dbraw/zinc/69/52/17/1134695217.db2.gz MEPOJJWPXAPYMH-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO CSc1cccc(F)c1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001215825119 1134695476 /nfs/dbraw/zinc/69/54/76/1134695476.db2.gz UJRYDRSLTRPYNU-UHFFFAOYSA-N 1 2 287.363 3.777 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(SC)c1F ZINC001215866444 1134707173 /nfs/dbraw/zinc/70/71/73/1134707173.db2.gz FGPWXQDDQCRJGI-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(C)cc1OCC ZINC001215875202 1134710817 /nfs/dbraw/zinc/71/08/17/1134710817.db2.gz RDGVOBBPSBFVJN-UHFFFAOYSA-N 1 2 272.348 3.931 20 0 CHADLO Fc1ncc(C2CC2)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001215955107 1134730074 /nfs/dbraw/zinc/73/00/74/1134730074.db2.gz LIRCPWIEKJITDM-UHFFFAOYSA-N 1 2 298.365 3.837 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C3CC3)cnc2F)c[nH+]1 ZINC001215955496 1134730477 /nfs/dbraw/zinc/73/04/77/1134730477.db2.gz BVDODKSKGFUWPW-UHFFFAOYSA-N 1 2 286.354 3.693 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(N)c(C(F)(F)F)c1 ZINC001216049277 1134761008 /nfs/dbraw/zinc/76/10/08/1134761008.db2.gz UJBUENIEBXXUCU-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO Clc1ccc(Nc2cccc3[nH+]ccn32)c2n[nH]cc21 ZINC001216054848 1134762052 /nfs/dbraw/zinc/76/20/52/1134762052.db2.gz XFYCOZWIXMTTLF-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO Clc1ccc(Nc2ccn3cc[nH+]c3c2)c2n[nH]cc21 ZINC001216056728 1134763284 /nfs/dbraw/zinc/76/32/84/1134763284.db2.gz UFPQWTPFHQQUEK-UHFFFAOYSA-N 1 2 283.722 3.608 20 0 CHADLO Cc1cc(C)c(N)c(Nc2[nH+]cccc2N2CCCC2)c1 ZINC001216098738 1134776250 /nfs/dbraw/zinc/77/62/50/1134776250.db2.gz SLNTXBYKELJOSX-UHFFFAOYSA-N 1 2 282.391 3.624 20 0 CHADLO Cc1cc(C)c(N)c(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001216100118 1134777412 /nfs/dbraw/zinc/77/74/12/1134777412.db2.gz UNUVTZGAZRFJHH-UHFFFAOYSA-N 1 2 292.386 3.874 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)cc(NC)c1 ZINC001216187022 1134799810 /nfs/dbraw/zinc/79/98/10/1134799810.db2.gz PXPGSRGYYYZJRD-UHFFFAOYSA-N 1 2 259.328 3.877 20 0 CHADLO Cc1c(F)cncc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001216194400 1134804042 /nfs/dbraw/zinc/80/40/42/1134804042.db2.gz NYCXNRXBGABZMK-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO Fc1cccc2oc(Nc3cccc4[nH+]ccn43)cc21 ZINC001216289541 1134836413 /nfs/dbraw/zinc/83/64/13/1134836413.db2.gz VBQBILHPHDUAMG-UHFFFAOYSA-N 1 2 267.263 3.963 20 0 CHADLO Clc1ccnc(Cl)c1Nc1cccc2[nH+]ccn21 ZINC001216300704 1134843232 /nfs/dbraw/zinc/84/32/32/1134843232.db2.gz STVQWLSFGWXTQC-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Cc1c(O)cccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001216320016 1134852511 /nfs/dbraw/zinc/85/25/11/1134852511.db2.gz POJOMYPOZVCEGJ-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N)ccc1C(=O)OC(C)(C)C ZINC001216381816 1134877199 /nfs/dbraw/zinc/87/71/99/1134877199.db2.gz RXFAJBMAEBYNQX-UHFFFAOYSA-N 1 2 299.374 3.671 20 0 CHADLO COc1cnc(F)c(Nc2cccc3cc[nH+]cc32)c1 ZINC001216408953 1134886998 /nfs/dbraw/zinc/88/69/98/1134886998.db2.gz VBABRVKOEHBDFM-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO CCOc1ccc(Cl)cc1Nc1cc(OC)cc[nH+]1 ZINC001216446616 1134899388 /nfs/dbraw/zinc/89/93/88/1134899388.db2.gz BTEVYKSPCFUWRE-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(C(C)=O)c1F ZINC001216497640 1134908913 /nfs/dbraw/zinc/90/89/13/1134908913.db2.gz TXLMKRDHTVMTTC-UHFFFAOYSA-N 1 2 274.295 3.566 20 0 CHADLO COc1c(F)cc(SC)cc1Nc1[nH+]cc(C)cc1N ZINC001216580077 1134922223 /nfs/dbraw/zinc/92/22/23/1134922223.db2.gz QHHKNFCYBWPAJA-UHFFFAOYSA-N 1 2 293.367 3.585 20 0 CHADLO CCCOc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC001216585935 1134924342 /nfs/dbraw/zinc/92/43/42/1134924342.db2.gz VRSPAQKZPQNGDH-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO CCn1ncc2cc(Nc3ccc4c(c3)[nH+]cn4C)ccc21 ZINC001216590768 1134926520 /nfs/dbraw/zinc/92/65/20/1134926520.db2.gz PXJPQXSETFTDLQ-UHFFFAOYSA-N 1 2 291.358 3.687 20 0 CHADLO CCOc1cc(Nc2cncc(OCC3CC3)c2)cc(C)[nH+]1 ZINC001216598804 1134929896 /nfs/dbraw/zinc/92/98/96/1134929896.db2.gz WRLRUCXGIHKPAN-UHFFFAOYSA-N 1 2 299.374 3.716 20 0 CHADLO Cc1noc2ccc(Nc3cccc4[nH+]ccn43)cc12 ZINC001216637836 1134936760 /nfs/dbraw/zinc/93/67/60/1134936760.db2.gz RDCGUDGACHLGOC-UHFFFAOYSA-N 1 2 264.288 3.528 20 0 CHADLO Cc1noc2ccc(Nc3ccc4c(c3)[nH+]cn4C)cc12 ZINC001216641226 1134937865 /nfs/dbraw/zinc/93/78/65/1134937865.db2.gz QLNSMDXXBNNLTH-UHFFFAOYSA-N 1 2 278.315 3.767 20 0 CHADLO Cc1cc(C)cc(-c2noc(-c3cccc4[nH+]ccn43)n2)c1 ZINC001217500570 1134981933 /nfs/dbraw/zinc/98/19/33/1134981933.db2.gz IEADYJLGCAMBLJ-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO C[C@@H](Oc1ccc[nH+]c1N)c1ccc(Br)cc1 ZINC001218215322 1135070113 /nfs/dbraw/zinc/07/01/13/1135070113.db2.gz HINHQWHLOVEJGV-SECBINFHSA-N 1 2 293.164 3.566 20 0 CHADLO C[C@H](Oc1ccc[nH+]c1N)c1ccc(Br)cc1 ZINC001218215323 1135070370 /nfs/dbraw/zinc/07/03/70/1135070370.db2.gz HINHQWHLOVEJGV-VIFPVBQESA-N 1 2 293.164 3.566 20 0 CHADLO C[C@H](Oc1ccc[nH+]c1N)c1ccccc1Br ZINC001218292712 1135079861 /nfs/dbraw/zinc/07/98/61/1135079861.db2.gz SBXKBYHCZSSUJD-VIFPVBQESA-N 1 2 293.164 3.566 20 0 CHADLO CCCC[C@@H](Oc1ccc[nH+]c1N)c1ccccc1 ZINC001218294755 1135081412 /nfs/dbraw/zinc/08/14/12/1135081412.db2.gz NVQMGQCWFQECAC-CQSZACIVSA-N 1 2 256.349 3.974 20 0 CHADLO Cc1ccc([C@@H](Oc2cc(N)cc[nH+]2)C(F)(F)F)cc1 ZINC001218303494 1135082746 /nfs/dbraw/zinc/08/27/46/1135082746.db2.gz QYKAKBGUPKQPPZ-CYBMUJFWSA-N 1 2 282.265 3.655 20 0 CHADLO Nc1cc[nH+]c(O[C@H]2CCc3cc(C(F)(F)F)ccc32)c1 ZINC001218460415 1135108768 /nfs/dbraw/zinc/10/87/68/1135108768.db2.gz VEFOGJPOHKFZGW-ZDUSSCGKSA-N 1 2 294.276 3.749 20 0 CHADLO CC(C)c1ccc(-c2nnc([C@H]([NH3+])c3ccccc3)o2)cc1 ZINC000315158322 1135152321 /nfs/dbraw/zinc/15/23/21/1135152321.db2.gz UPPITEPOYYJNTK-MRXNPFEDSA-N 1 2 293.370 3.908 20 0 CHADLO Cc1cc(OC(C)C)cc(C)c1COCCn1cc[nH+]c1 ZINC001222139829 1135198520 /nfs/dbraw/zinc/19/85/20/1135198520.db2.gz XSOXIRAJZKFVNA-UHFFFAOYSA-N 1 2 288.391 3.504 20 0 CHADLO CCC[C@H](OCCn1cc[nH+]c1)C1CCCCC1 ZINC001222135542 1135198842 /nfs/dbraw/zinc/19/88/42/1135198842.db2.gz ZQBQCMOEZKZILS-HNNXBMFYSA-N 1 2 250.386 3.649 20 0 CHADLO C[C@H](OCc1c[nH+]cn1C)c1cc2ccccc2s1 ZINC001222595199 1135254279 /nfs/dbraw/zinc/25/42/79/1135254279.db2.gz SJARBMJDHROSNP-NSHDSACASA-N 1 2 272.373 3.913 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@H](C)c1cnc2ccccn21 ZINC001224963548 1135497548 /nfs/dbraw/zinc/49/75/48/1135497548.db2.gz OPUKETMTMXREJX-CYBMUJFWSA-N 1 2 289.379 3.596 20 0 CHADLO Cc1cc[nH+]c(C)c1OC[C@H]1CCC(c2ccccc2)=NO1 ZINC001227261851 1135811392 /nfs/dbraw/zinc/81/13/92/1135811392.db2.gz GOPQZGFNOWYPHZ-MRXNPFEDSA-N 1 2 296.370 3.661 20 0 CHADLO Cc1cc[nH+]c(C)c1OC[C@H](C)OCc1ccccc1 ZINC001227264935 1135812165 /nfs/dbraw/zinc/81/21/65/1135812165.db2.gz QCLCGDIXXPQHOD-AWEZNQCLSA-N 1 2 271.360 3.683 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@@H](C)COCc1ccccc1 ZINC001227263721 1135813253 /nfs/dbraw/zinc/81/32/53/1135813253.db2.gz HGEIVBGQIYYWBM-AWEZNQCLSA-N 1 2 271.360 3.683 20 0 CHADLO Cc1[nH+]cccc1NCc1sccc1Br ZINC000096033617 185068012 /nfs/dbraw/zinc/06/80/12/185068012.db2.gz KILYZKXVCJEJCZ-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(Cl)cc1F ZINC000083383909 178386907 /nfs/dbraw/zinc/38/69/07/178386907.db2.gz NMKRRQPVHJXBEA-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO Cc1cc[nH+]cc1NCc1cc(Cl)ccc1F ZINC000083383913 178387284 /nfs/dbraw/zinc/38/72/84/178387284.db2.gz ZHTMJTITZKSYGV-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO Cc1ccc(NCc2cc(F)cc(Cl)c2)c[nH+]1 ZINC000083383930 178387497 /nfs/dbraw/zinc/38/74/97/178387497.db2.gz RRJYOKLXBURCKA-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO Fc1ccc(CNc2cc(C(F)(F)F)cc[nH+]2)cc1 ZINC000078591908 260168447 /nfs/dbraw/zinc/16/84/47/260168447.db2.gz UCDXVEUWZARIBJ-UHFFFAOYSA-N 1 2 270.229 3.852 20 0 CHADLO CC[C@@H](C)c1ccc(NC(=O)COc2cc[nH+]cc2)cc1 ZINC000356089142 529429858 /nfs/dbraw/zinc/42/98/58/529429858.db2.gz FLHYLVNMOZWWMQ-CYBMUJFWSA-N 1 2 284.359 3.613 20 0 CHADLO CCc1cc(N[C@H](c2[nH]cc[nH+]2)c2cccnc2)ccc1F ZINC000353882924 529561775 /nfs/dbraw/zinc/56/17/75/529561775.db2.gz SDHGOFXRTFOTQS-INIZCTEOSA-N 1 2 296.349 3.708 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ncoc2-c2ccccc2)s1 ZINC000344356182 529653430 /nfs/dbraw/zinc/65/34/30/529653430.db2.gz MEVNMPDMUSHXKO-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO CC[C@@H](NC(=O)c1cc2cc(C)c(C)cc2o1)c1[nH]cc[nH+]1 ZINC000347978607 529665596 /nfs/dbraw/zinc/66/55/96/529665596.db2.gz ZFMHHRSWOAJSJS-CYBMUJFWSA-N 1 2 297.358 3.654 20 0 CHADLO CCc1nc(C[NH2+]Cc2ncoc2-c2ccccc2)cs1 ZINC000344942354 529740321 /nfs/dbraw/zinc/74/03/21/529740321.db2.gz AVCUWIJSHFIPNT-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccc(C(C)(C)O)cc2)cc1 ZINC000295309593 529785325 /nfs/dbraw/zinc/78/53/25/529785325.db2.gz FWQNWYZLPDELNP-UHFFFAOYSA-N 1 2 284.403 3.592 20 0 CHADLO C[N@H+](Cc1nc2ccccc2o1)Cc1cccc(F)c1F ZINC000353586532 530023531 /nfs/dbraw/zinc/02/35/31/530023531.db2.gz DCQAUWPQWOCPCF-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2o1)Cc1cccc(F)c1F ZINC000353586532 530023532 /nfs/dbraw/zinc/02/35/32/530023532.db2.gz DCQAUWPQWOCPCF-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO CCCCc1nc(C[NH+]2CCC(C)(C(C)C)CC2)no1 ZINC000426768191 260223414 /nfs/dbraw/zinc/22/34/14/260223414.db2.gz WBWRIHOANLSDCG-UHFFFAOYSA-N 1 2 279.428 3.670 20 0 CHADLO Cc1cc(C)c(NC(=O)CCc2ccc(F)cc2)c(C)[nH+]1 ZINC000097040293 185511161 /nfs/dbraw/zinc/51/11/61/185511161.db2.gz WMIFYXXRVQLYEM-UHFFFAOYSA-N 1 2 286.350 3.717 20 0 CHADLO CCc1cc(N2C[C@H](C)C[C@@H]2c2ccccc2)nc(C)[nH+]1 ZINC000574063084 334989367 /nfs/dbraw/zinc/98/93/67/334989367.db2.gz IHVKZHYSUXWEFJ-CXAGYDPISA-N 1 2 281.403 3.935 20 0 CHADLO Clc1ccc([C@H]2CCC[C@@H]2Nc2c[nH]c[nH+]2)cc1 ZINC000574246635 335003812 /nfs/dbraw/zinc/00/38/12/335003812.db2.gz MAEWDYIDYOTYOV-OLZOCXBDSA-N 1 2 261.756 3.811 20 0 CHADLO C[C@@H]1SCC[N@@H+](Cc2ncc(C(C)(C)C)s2)[C@H]1C ZINC000448337744 261118028 /nfs/dbraw/zinc/11/80/28/261118028.db2.gz TWYRXUIOZMLMPI-QWRGUYRKSA-N 1 2 284.494 3.766 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1cscn1 ZINC000162307391 261276490 /nfs/dbraw/zinc/27/64/90/261276490.db2.gz WBNQZQDQKOOVAA-IUCAKERBSA-N 1 2 268.332 3.833 20 0 CHADLO CO[C@@H](CSc1[nH+]cc2ccccn21)c1ccccc1 ZINC000574587298 335032320 /nfs/dbraw/zinc/03/23/20/335032320.db2.gz YXXVCZVQUKWQMJ-HNNXBMFYSA-N 1 2 284.384 3.814 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367617011 261927240 /nfs/dbraw/zinc/92/72/40/261927240.db2.gz PFDQNZBDNNZBPX-SMDDNHRTSA-N 1 2 287.432 3.860 20 0 CHADLO Fc1ccccc1-c1ccc(C[NH2+]CC(F)F)s1 ZINC000574794427 335047853 /nfs/dbraw/zinc/04/78/53/335047853.db2.gz WPUXZCRAROWKJT-UHFFFAOYSA-N 1 2 271.307 3.909 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1oc2c(cccc2C)c1C ZINC000346701762 533090679 /nfs/dbraw/zinc/09/06/79/533090679.db2.gz CCRMRLLKBANFLY-UHFFFAOYSA-N 1 2 296.326 3.706 20 0 CHADLO CC[C@@H](C)[C@@H](C)N(C(=O)c1ccn2c(C)c[nH+]c2c1)C1CC1 ZINC000574820676 335050522 /nfs/dbraw/zinc/05/05/22/335050522.db2.gz RCAZTRMRJMESQN-TZMCWYRMSA-N 1 2 299.418 3.682 20 0 CHADLO COc1cc2c(c(C[NH+]3Cc4ccccc4C3)c1)O[C@@H](C)C2 ZINC000353396491 533149283 /nfs/dbraw/zinc/14/92/83/533149283.db2.gz TWQJINKOVPDMHG-ZDUSSCGKSA-N 1 2 295.382 3.534 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCCC3(CC3)C2)n1 ZINC000186977928 262119009 /nfs/dbraw/zinc/11/90/09/262119009.db2.gz KZEWSDDBFICHJJ-UHFFFAOYSA-N 1 2 276.327 3.538 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCCC3(CC3)C2)n1 ZINC000186977928 262119015 /nfs/dbraw/zinc/11/90/15/262119015.db2.gz KZEWSDDBFICHJJ-UHFFFAOYSA-N 1 2 276.327 3.538 20 0 CHADLO Cc1cc(NCCCCOC(C)C)nc(C(C)C)[nH+]1 ZINC000228562806 262343081 /nfs/dbraw/zinc/34/30/81/262343081.db2.gz OIYLGIPUZZCPLS-UHFFFAOYSA-N 1 2 265.401 3.526 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC[C@H]2CCC[C@H]2C1)c1ccsc1 ZINC000352810765 533353221 /nfs/dbraw/zinc/35/32/21/533353221.db2.gz PFCANZQLKRTAMH-AYRXBEOTSA-N 1 2 293.432 3.521 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC[C@@H]2CCC[C@H]2C1)c1ccsc1 ZINC000352810767 533353231 /nfs/dbraw/zinc/35/32/31/533353231.db2.gz PFCANZQLKRTAMH-DDHJSBNISA-N 1 2 293.432 3.521 20 0 CHADLO CSC1(C[N@@H+]2CCO[C@H](c3ccccc3Cl)C2)CC1 ZINC000347819009 533482866 /nfs/dbraw/zinc/48/28/66/533482866.db2.gz PYQAUESOHQNYMC-AWEZNQCLSA-N 1 2 297.851 3.609 20 0 CHADLO CSC1(C[N@H+]2CCO[C@H](c3ccccc3Cl)C2)CC1 ZINC000347819009 533482870 /nfs/dbraw/zinc/48/28/70/533482870.db2.gz PYQAUESOHQNYMC-AWEZNQCLSA-N 1 2 297.851 3.609 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nccn1C)C1CC1)c1ccc(F)cc1F ZINC000353840190 533538519 /nfs/dbraw/zinc/53/85/19/533538519.db2.gz BJVNAYISQNUKRJ-BMIGLBTASA-N 1 2 291.345 3.500 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCCc2occc2C1 ZINC000344232938 533557858 /nfs/dbraw/zinc/55/78/58/533557858.db2.gz GXGIRQVWSPHTDV-UHFFFAOYSA-N 1 2 291.778 3.890 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCCc2occc2C1 ZINC000344232938 533557861 /nfs/dbraw/zinc/55/78/61/533557861.db2.gz GXGIRQVWSPHTDV-UHFFFAOYSA-N 1 2 291.778 3.890 20 0 CHADLO C[C@@H](Nc1[nH+]ccc2ccc(F)cc21)c1ccccn1 ZINC000354576066 533566315 /nfs/dbraw/zinc/56/63/15/533566315.db2.gz YJRYOYQTHVPYMZ-LLVKDONJSA-N 1 2 267.307 3.942 20 0 CHADLO CCC(CC)[C@H](C)C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000341647055 129959879 /nfs/dbraw/zinc/95/98/79/129959879.db2.gz YNMBGIULVIPKEV-ZDUSSCGKSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1ccc([C@@H](C)N(C)c2cc(N3CCCC3)[nH+]cn2)cc1 ZINC000341734855 130005232 /nfs/dbraw/zinc/00/52/32/130005232.db2.gz UPNVBEOYSJISRO-OAHLLOKOSA-N 1 2 296.418 3.583 20 0 CHADLO Cc1ccc([C@@H](C)N(C)c2cc(N3CCCC3)nc[nH+]2)cc1 ZINC000341734855 130005235 /nfs/dbraw/zinc/00/52/35/130005235.db2.gz UPNVBEOYSJISRO-OAHLLOKOSA-N 1 2 296.418 3.583 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)Cc3cc(C)ccc3C)cc2[nH+]1 ZINC000341755850 130023057 /nfs/dbraw/zinc/02/30/57/130023057.db2.gz IXITVJQMVTZTJN-UHFFFAOYSA-N 1 2 293.370 3.669 20 0 CHADLO CCn1ccnc1C[N@H+](C)Cc1ccc(C(C)C)cc1 ZINC000341830446 130063418 /nfs/dbraw/zinc/06/34/18/130063418.db2.gz QHWQNMYDWARJSS-UHFFFAOYSA-N 1 2 271.408 3.658 20 0 CHADLO CCn1ccnc1C[N@@H+](C)Cc1ccc(C(C)C)cc1 ZINC000341830446 130063420 /nfs/dbraw/zinc/06/34/20/130063420.db2.gz QHWQNMYDWARJSS-UHFFFAOYSA-N 1 2 271.408 3.658 20 0 CHADLO COC(=O)C[NH2+][C@@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC000341855614 130075111 /nfs/dbraw/zinc/07/51/11/130075111.db2.gz PMSYYTCTGDNYOH-ZDUSSCGKSA-N 1 2 283.799 3.580 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(Cl)cc3)CC2)oc1C ZINC000341878141 130104116 /nfs/dbraw/zinc/10/41/16/130104116.db2.gz QPOFTCCIRPVSPL-UHFFFAOYSA-N 1 2 276.767 3.724 20 0 CHADLO Cc1nc(C)c(CCNc2[nH+]ccc(C)c2Cl)s1 ZINC000342218883 130390958 /nfs/dbraw/zinc/39/09/58/130390958.db2.gz NLDQVKOLYBUIHL-UHFFFAOYSA-N 1 2 281.812 3.771 20 0 CHADLO Cc1cc(NC(=O)c2ccc(Cl)cc2Cl)cc[nH+]1 ZINC000010163058 170399641 /nfs/dbraw/zinc/39/96/41/170399641.db2.gz OWBBGBVEIZEXEV-UHFFFAOYSA-N 1 2 281.142 3.949 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccn1)c1c(F)cccc1F ZINC000020123347 171004064 /nfs/dbraw/zinc/00/40/64/171004064.db2.gz OPMBGKKLTUZHIS-WDEREUQCSA-N 1 2 262.303 3.772 20 0 CHADLO c1ccc2c(c1)C[NH2+][C@@H](c1nc(C3CCCCC3)no1)C2 ZINC000020545669 171243695 /nfs/dbraw/zinc/24/36/95/171243695.db2.gz NSOUNSOMKIXHQY-OAHLLOKOSA-N 1 2 283.375 3.504 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cccc(Cl)c2)oc1C ZINC000038092413 174106158 /nfs/dbraw/zinc/10/61/58/174106158.db2.gz GUCBGKZHNKAQSD-JTQLQIEISA-N 1 2 264.756 3.796 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2ccc(Cl)o2)C2CC2)n1 ZINC000344614666 225118062 /nfs/dbraw/zinc/11/80/62/225118062.db2.gz FYOPBYJDIHBJOO-LBPRGKRZSA-N 1 2 282.796 3.939 20 0 CHADLO Cc1cnc(C[NH+]2CCC(=Cc3ccccc3F)CC2)o1 ZINC000334692984 225274603 /nfs/dbraw/zinc/27/46/03/225274603.db2.gz AZSLSGASDYGZQQ-UHFFFAOYSA-N 1 2 286.350 3.802 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CCC12CCCC2 ZINC000334891359 225350183 /nfs/dbraw/zinc/35/01/83/225350183.db2.gz ZVPAVJIJKCGKGJ-CYBMUJFWSA-N 1 2 273.380 3.543 20 0 CHADLO Clc1cccc2c1CN(Cc1c[nH+]c3ccccn13)C2 ZINC000347442526 226158248 /nfs/dbraw/zinc/15/82/48/226158248.db2.gz GZJKZCITNGYVPM-UHFFFAOYSA-N 1 2 283.762 3.504 20 0 CHADLO FC(F)C[N@H+](CC[C@H]1CCCCO1)Cc1ccccc1 ZINC000347451282 226159007 /nfs/dbraw/zinc/15/90/07/226159007.db2.gz YOYLQISFCLATKV-OAHLLOKOSA-N 1 2 283.362 3.713 20 0 CHADLO FC(F)C[N@@H+](CC[C@H]1CCCCO1)Cc1ccccc1 ZINC000347451282 226159013 /nfs/dbraw/zinc/15/90/13/226159013.db2.gz YOYLQISFCLATKV-OAHLLOKOSA-N 1 2 283.362 3.713 20 0 CHADLO Cc1cccc(C[N@@H+]2CCCn3c(cc4ccccc43)C2)n1 ZINC000347511255 226171683 /nfs/dbraw/zinc/17/16/83/226171683.db2.gz FAQUSGYTMZKCEU-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO Cc1cccc(C[N@H+]2CCCn3c(cc4ccccc43)C2)n1 ZINC000347511255 226171688 /nfs/dbraw/zinc/17/16/88/226171688.db2.gz FAQUSGYTMZKCEU-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+](C)Cc1ccn(C(F)F)n1 ZINC000347670928 226217792 /nfs/dbraw/zinc/21/77/92/226217792.db2.gz BEVBFLBTUAHCRJ-SNVBAGLBSA-N 1 2 283.297 3.610 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1ccn(C(F)F)n1 ZINC000347670928 226217797 /nfs/dbraw/zinc/21/77/97/226217797.db2.gz BEVBFLBTUAHCRJ-SNVBAGLBSA-N 1 2 283.297 3.610 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1ccn(C(F)F)n1 ZINC000347674390 226218959 /nfs/dbraw/zinc/21/89/59/226218959.db2.gz DVZXGXQDDXJUDW-VIFPVBQESA-N 1 2 271.336 3.533 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1ccn(C(F)F)n1 ZINC000347674390 226218963 /nfs/dbraw/zinc/21/89/63/226218963.db2.gz DVZXGXQDDXJUDW-VIFPVBQESA-N 1 2 271.336 3.533 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)N(c2[nH+]c3ccccc3n2C)C1 ZINC000347883837 226382787 /nfs/dbraw/zinc/38/27/87/226382787.db2.gz WOPTWCCLNRXHPG-SUMWQHHRSA-N 1 2 292.386 3.556 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]Cc1nc2ccccc2o1 ZINC000171673048 335073742 /nfs/dbraw/zinc/07/37/42/335073742.db2.gz UVVQMHZMMKUSPE-UHFFFAOYSA-N 1 2 296.370 3.861 20 0 CHADLO c1ccc(COc2ccc[nH+]c2NC[C@H]2CCCCO2)cc1 ZINC000354508305 227019008 /nfs/dbraw/zinc/01/90/08/227019008.db2.gz MZDWIJMIHNOWHV-MRXNPFEDSA-N 1 2 298.386 3.642 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+][C@H](C)c1nc(C2CC2)no1 ZINC000354544469 227029435 /nfs/dbraw/zinc/02/94/35/227029435.db2.gz NGYGZADIRMZSIQ-CHWSQXEVSA-N 1 2 285.391 3.976 20 0 CHADLO Cc1ccc(CNc2[nH+]ccc3ccc(F)cc32)cn1 ZINC000354556645 227031506 /nfs/dbraw/zinc/03/15/06/227031506.db2.gz AUPRRPSDEFEOSJ-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO Cc1ccc2c(c1C)OC[C@@H]2[NH2+]Cc1ncc(Cl)s1 ZINC000336490104 227060398 /nfs/dbraw/zinc/06/03/98/227060398.db2.gz JJNVWGJWZKYFGS-NSHDSACASA-N 1 2 294.807 3.637 20 0 CHADLO Clc1cnc(C[N@@H+]2CC3(CCC3)[C@@H]2C2CC2)s1 ZINC000336494918 227062786 /nfs/dbraw/zinc/06/27/86/227062786.db2.gz ZCNNKYHNRBKVLK-LBPRGKRZSA-N 1 2 268.813 3.561 20 0 CHADLO Clc1cnc(C[N@H+]2CC3(CCC3)[C@@H]2C2CC2)s1 ZINC000336494918 227062789 /nfs/dbraw/zinc/06/27/89/227062789.db2.gz ZCNNKYHNRBKVLK-LBPRGKRZSA-N 1 2 268.813 3.561 20 0 CHADLO Cc1ccc(C[S@@](=O)c2ccc(F)c(Cl)c2)c(C)[nH+]1 ZINC000336608781 227178551 /nfs/dbraw/zinc/17/85/51/227178551.db2.gz GJCRFHFVQDDJKC-LJQANCHMSA-N 1 2 297.782 3.799 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)[NH2+]Cc1nnc(C(C)C)o1 ZINC000355056455 227352800 /nfs/dbraw/zinc/35/28/00/227352800.db2.gz KKIFASQUBVUUEU-CYBMUJFWSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2ccccc2Cl)nc[nH+]1 ZINC000301244198 227486314 /nfs/dbraw/zinc/48/63/14/227486314.db2.gz PJKNTMCZGURWDD-CQSZACIVSA-N 1 2 273.767 3.780 20 0 CHADLO Cc1csc([C@@H](C)Nc2cc[nH+]c(C(C)(C)C)n2)n1 ZINC000301499232 227561988 /nfs/dbraw/zinc/56/19/88/227561988.db2.gz CYXIOZOQBREQSY-SNVBAGLBSA-N 1 2 276.409 3.712 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(C2CCC2)c1 ZINC000418085597 228019928 /nfs/dbraw/zinc/01/99/28/228019928.db2.gz XYWYAUHGCZXFSD-UHFFFAOYSA-N 1 2 255.365 3.783 20 0 CHADLO C[C@@H]1[C@@H](Cc2ccccc2)CCN1c1cc[nH+]c(C2CC2)n1 ZINC000353491865 228110428 /nfs/dbraw/zinc/11/04/28/228110428.db2.gz VVUQAEDLXZVFMD-RHSMWYFYSA-N 1 2 293.414 3.812 20 0 CHADLO Clc1cccc2c1CC[N@H+](Cc1cn3ccccc3n1)C2 ZINC000353522885 228114504 /nfs/dbraw/zinc/11/45/04/228114504.db2.gz SLTYKWCNTDPQPS-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1cccc2c1CC[N@@H+](Cc1cn3ccccc3n1)C2 ZINC000353522885 228114506 /nfs/dbraw/zinc/11/45/06/228114506.db2.gz SLTYKWCNTDPQPS-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Fc1ccc(Br)cc1COc1cc[nH+]cc1 ZINC000356090175 228117083 /nfs/dbraw/zinc/11/70/83/228117083.db2.gz HIPNOABQTAHWIV-UHFFFAOYSA-N 1 2 282.112 3.562 20 0 CHADLO O=C(CC/C=C/c1ccccc1)Nc1cccc2[nH+]ccn21 ZINC000460340840 228035378 /nfs/dbraw/zinc/03/53/78/228035378.db2.gz VWRQCDXFDJZTHF-RUDMXATFSA-N 1 2 291.354 3.766 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000112964228 228037803 /nfs/dbraw/zinc/03/78/03/228037803.db2.gz LUXKDHPYKQXWKO-SNVBAGLBSA-N 1 2 289.329 3.830 20 0 CHADLO Fc1cccc(F)c1[C@@H]1C[C@@H]1Nc1cc[nH+]c(C2CC2)n1 ZINC000116264278 228057720 /nfs/dbraw/zinc/05/77/20/228057720.db2.gz PAAVIQQALDNUHZ-MFKMUULPSA-N 1 2 287.313 3.600 20 0 CHADLO Cc1nn(C(C)C)cc1C[NH2+]CC(F)(F)c1ccccc1 ZINC000353206814 228069150 /nfs/dbraw/zinc/06/91/50/228069150.db2.gz GZGWUYJBRQEPNN-UHFFFAOYSA-N 1 2 293.361 3.654 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)c(C(F)(F)F)c2)CCS1 ZINC000353283817 228079379 /nfs/dbraw/zinc/07/93/79/228079379.db2.gz SGRZRQXNPRWYRN-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)c(C(F)(F)F)c2)CCS1 ZINC000353283817 228079380 /nfs/dbraw/zinc/07/93/80/228079380.db2.gz SGRZRQXNPRWYRN-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2CCCCC(F)(F)F)n1 ZINC000353557618 228120951 /nfs/dbraw/zinc/12/09/51/228120951.db2.gz VMCNNIABDTUPPT-NSHDSACASA-N 1 2 291.317 3.638 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2CCCCC(F)(F)F)n1 ZINC000353557618 228120952 /nfs/dbraw/zinc/12/09/52/228120952.db2.gz VMCNNIABDTUPPT-NSHDSACASA-N 1 2 291.317 3.638 20 0 CHADLO C[C@H](c1ccccc1)N(C)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000353333460 228087166 /nfs/dbraw/zinc/08/71/66/228087166.db2.gz WPFCSVJXMROUTJ-CYBMUJFWSA-N 1 2 294.358 3.559 20 0 CHADLO Cc1ccc(Cc2noc(CCCC(C)(C)C)n2)c[nH+]1 ZINC000356106615 228122804 /nfs/dbraw/zinc/12/28/04/228122804.db2.gz FGRRIBBCBNBUAY-UHFFFAOYSA-N 1 2 273.380 3.733 20 0 CHADLO Cc1ccc(Cc2noc(C[C@@H]3CCC[C@H](C)C3)n2)c[nH+]1 ZINC000356119621 228129228 /nfs/dbraw/zinc/12/92/28/228129228.db2.gz CAAFNHJJAGYQHL-GXTWGEPZSA-N 1 2 285.391 3.733 20 0 CHADLO COc1ccncc1C[NH2+]Cc1c(Cl)cccc1Cl ZINC000353610095 228130308 /nfs/dbraw/zinc/13/03/08/228130308.db2.gz RROFESLYLBSCEI-UHFFFAOYSA-N 1 2 297.185 3.687 20 0 CHADLO Cc1ccc(Cc2noc(-c3cc4ccccc4[nH]3)n2)c[nH+]1 ZINC000356125493 228131504 /nfs/dbraw/zinc/13/15/04/228131504.db2.gz LCLXNSBARLRVGR-UHFFFAOYSA-N 1 2 290.326 3.512 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccccc2Cl)n1 ZINC000353750657 228150677 /nfs/dbraw/zinc/15/06/77/228150677.db2.gz WMZFYTSDKLSBDI-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccccc2Cl)n1 ZINC000353750657 228150679 /nfs/dbraw/zinc/15/06/79/228150679.db2.gz WMZFYTSDKLSBDI-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO C[C@H]1C[C@@H]1CC(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000353804678 228154529 /nfs/dbraw/zinc/15/45/29/228154529.db2.gz FBMBIHKKKLSUMI-CMPLNLGQSA-N 1 2 283.375 3.815 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2ccc(Cl)c(C(F)(F)F)c2)C1 ZINC000353864760 228160699 /nfs/dbraw/zinc/16/06/99/228160699.db2.gz JJXQCFIIIQIPSY-JTQLQIEISA-N 1 2 293.716 3.580 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2ccc(Cl)c(C(F)(F)F)c2)C1 ZINC000353864760 228160700 /nfs/dbraw/zinc/16/07/00/228160700.db2.gz JJXQCFIIIQIPSY-JTQLQIEISA-N 1 2 293.716 3.580 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H]1[C@H](C)CCC[C@@H]1C ZINC000351932361 228167337 /nfs/dbraw/zinc/16/73/37/228167337.db2.gz ZTJVKOIXMATNDU-YABSGUDNSA-N 1 2 275.396 3.645 20 0 CHADLO CCn1nc(C[N@H+](C)[C@H](C)c2cccnc2)c2ccccc21 ZINC000351980596 228170953 /nfs/dbraw/zinc/17/09/53/228170953.db2.gz FXXOHEIQRJIESG-CQSZACIVSA-N 1 2 294.402 3.644 20 0 CHADLO CCn1nc(C[N@@H+](C)[C@H](C)c2cccnc2)c2ccccc21 ZINC000351980596 228170954 /nfs/dbraw/zinc/17/09/54/228170954.db2.gz FXXOHEIQRJIESG-CQSZACIVSA-N 1 2 294.402 3.644 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1ncc(Cl)s1 ZINC000351998390 228173455 /nfs/dbraw/zinc/17/34/55/228173455.db2.gz ZMSWJZDQLRTKMB-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1ncc(Cl)s1 ZINC000351998390 228173456 /nfs/dbraw/zinc/17/34/56/228173456.db2.gz ZMSWJZDQLRTKMB-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1[C@H](C(N)=O)c1ccccc1 ZINC000335989610 228175353 /nfs/dbraw/zinc/17/53/53/228175353.db2.gz IATQIIGDTCLDAJ-LRDDRELGSA-N 1 2 266.344 3.840 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1[C@H](C(N)=O)c1ccccc1 ZINC000335989610 228175354 /nfs/dbraw/zinc/17/53/54/228175354.db2.gz IATQIIGDTCLDAJ-LRDDRELGSA-N 1 2 266.344 3.840 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CC[C@@H]3CCC[C@@H]3C2)c(C)[nH+]1 ZINC000333285052 228195737 /nfs/dbraw/zinc/19/57/37/228195737.db2.gz KHVRJAHNONXFDD-RRFJBIMHSA-N 1 2 287.407 3.789 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CC[C@H]3CCC[C@@H]3C2)c(C)[nH+]1 ZINC000333285051 228195739 /nfs/dbraw/zinc/19/57/39/228195739.db2.gz KHVRJAHNONXFDD-RBSFLKMASA-N 1 2 287.407 3.789 20 0 CHADLO Cc1cc(NC2C[C@H](C)C[C@@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000352241213 228205205 /nfs/dbraw/zinc/20/52/05/228205205.db2.gz IVSMUFGPKUSUHE-CHWSQXEVSA-N 1 2 296.418 3.506 20 0 CHADLO Cc1ccccc1CNc1cccc(-n2cc[nH+]c2)c1 ZINC000036939841 260054039 /nfs/dbraw/zinc/05/40/39/260054039.db2.gz WIKVIVPOMVZDRK-UHFFFAOYSA-N 1 2 263.344 3.793 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000180051561 260090941 /nfs/dbraw/zinc/09/09/41/260090941.db2.gz NSJAIXWKYIXWEZ-CYBMUJFWSA-N 1 2 281.359 3.863 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cnc(-c2ccccc2)[nH]1 ZINC000180051561 260090942 /nfs/dbraw/zinc/09/09/42/260090942.db2.gz NSJAIXWKYIXWEZ-CYBMUJFWSA-N 1 2 281.359 3.863 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000180051561 260090943 /nfs/dbraw/zinc/09/09/43/260090943.db2.gz NSJAIXWKYIXWEZ-CYBMUJFWSA-N 1 2 281.359 3.863 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1c[nH]c(-c2ccccc2)n1 ZINC000180051561 260090944 /nfs/dbraw/zinc/09/09/44/260090944.db2.gz NSJAIXWKYIXWEZ-CYBMUJFWSA-N 1 2 281.359 3.863 20 0 CHADLO CCN(CC)c1ccc(NCc2ccc(Cl)o2)c[nH+]1 ZINC000037508533 260114130 /nfs/dbraw/zinc/11/41/30/260114130.db2.gz PPCLOHVBRCQVGJ-UHFFFAOYSA-N 1 2 279.771 3.786 20 0 CHADLO CO[C@H](C[N@@H+]1CCC[C@@H]1C(F)F)c1ccc(Cl)cc1 ZINC000513216706 260126638 /nfs/dbraw/zinc/12/66/38/260126638.db2.gz AARIJEFJCGXZNS-CHWSQXEVSA-N 1 2 289.753 3.757 20 0 CHADLO CO[C@H](C[N@H+]1CCC[C@@H]1C(F)F)c1ccc(Cl)cc1 ZINC000513216706 260126639 /nfs/dbraw/zinc/12/66/39/260126639.db2.gz AARIJEFJCGXZNS-CHWSQXEVSA-N 1 2 289.753 3.757 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@@H](CC)c2nccs2)n1 ZINC000069994355 260151229 /nfs/dbraw/zinc/15/12/29/260151229.db2.gz YMJRWOFICBHEPM-AWEZNQCLSA-N 1 2 292.452 3.942 20 0 CHADLO CC(C)c1cc(Nc2ccc3c(c2)CCC[NH2+]3)ncn1 ZINC000071214901 260161026 /nfs/dbraw/zinc/16/10/26/260161026.db2.gz IWTRWAMWAGMSIF-UHFFFAOYSA-N 1 2 268.364 3.702 20 0 CHADLO CCCOc1cccc(CNc2c[nH+]ccc2C)c1 ZINC000071413888 260162688 /nfs/dbraw/zinc/16/26/88/260162688.db2.gz UCPONMKCUALSLR-UHFFFAOYSA-N 1 2 256.349 3.791 20 0 CHADLO Cc1nc(C[N@H+](C)CCSc2ccccc2)cs1 ZINC000079618651 260176350 /nfs/dbraw/zinc/17/63/50/260176350.db2.gz XFXPGZVSXJSZBQ-UHFFFAOYSA-N 1 2 278.446 3.676 20 0 CHADLO Cc1nc(C[N@@H+](C)CCSc2ccccc2)cs1 ZINC000079618651 260176351 /nfs/dbraw/zinc/17/63/51/260176351.db2.gz XFXPGZVSXJSZBQ-UHFFFAOYSA-N 1 2 278.446 3.676 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccn(C(C)C)n2)c(C)o1 ZINC000085161388 260201722 /nfs/dbraw/zinc/20/17/22/260201722.db2.gz WKGMGVCPYYGVQL-GFCCVEGCSA-N 1 2 261.369 3.525 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cnc2ccccc2c1 ZINC000090207981 260239452 /nfs/dbraw/zinc/23/94/52/260239452.db2.gz BFRWJLFZKFXTDD-UHFFFAOYSA-N 1 2 266.348 3.624 20 0 CHADLO Cc1ncc(C[NH2+][C@@H](c2ccccc2)c2ccccn2)s1 ZINC000040437443 260252402 /nfs/dbraw/zinc/25/24/02/260252402.db2.gz KIRLISBVEFBTQU-KRWDZBQOSA-N 1 2 295.411 3.726 20 0 CHADLO CCn1cc[nH+]c1CNc1cc(C(F)(F)F)ccc1C ZINC000091478105 260266005 /nfs/dbraw/zinc/26/60/05/260266005.db2.gz MVPPFOJCIQUOLE-UHFFFAOYSA-N 1 2 283.297 3.842 20 0 CHADLO Cc1cccn2cc(C[S@](=O)c3ccc(C)c(C)c3)[nH+]c12 ZINC000083977386 260360222 /nfs/dbraw/zinc/36/02/22/260360222.db2.gz KPICECOZQVRZTR-OAQYLSRUSA-N 1 2 298.411 3.567 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCc3ccccc3C2)s1 ZINC000448216434 260967879 /nfs/dbraw/zinc/96/78/79/260967879.db2.gz CESDXACBTZQGPW-UHFFFAOYSA-N 1 2 286.444 3.999 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCc3ccccc3C2)s1 ZINC000448216434 260967881 /nfs/dbraw/zinc/96/78/81/260967881.db2.gz CESDXACBTZQGPW-UHFFFAOYSA-N 1 2 286.444 3.999 20 0 CHADLO CCc1ccc(C[NH2+]C2(c3ccccc3F)CC2)o1 ZINC000150799304 260974072 /nfs/dbraw/zinc/97/40/72/260974072.db2.gz XLYQWXWJXMCFKX-UHFFFAOYSA-N 1 2 259.324 3.760 20 0 CHADLO Cc1ccc(NC(=O)N2CCc3ccccc3[C@H]2C)c(C)[nH+]1 ZINC000152353580 261063254 /nfs/dbraw/zinc/06/32/54/261063254.db2.gz SDMSFZIUUNBDKJ-CQSZACIVSA-N 1 2 295.386 3.850 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CCc3ccccc32)c(C)[nH+]1 ZINC000152371922 261063298 /nfs/dbraw/zinc/06/32/98/261063298.db2.gz KZVVMCFNYJBROO-MRXNPFEDSA-N 1 2 281.359 3.507 20 0 CHADLO Cc1ccc(NC(=O)NC2(c3ccccc3F)CC2)c(C)[nH+]1 ZINC000152359790 261063578 /nfs/dbraw/zinc/06/35/78/261063578.db2.gz NLDASSLYKZZYSU-UHFFFAOYSA-N 1 2 299.349 3.648 20 0 CHADLO Cc1ccc(NC(=O)N2[C@@H](C)C[C@H]3CCCC[C@@H]32)c(C)[nH+]1 ZINC000152399669 261064002 /nfs/dbraw/zinc/06/40/02/261064002.db2.gz BANPOBXUAIYKFF-BJJXKVORSA-N 1 2 287.407 3.883 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)CCCc1ccc(F)c(F)c1 ZINC000152875135 261070506 /nfs/dbraw/zinc/07/05/06/261070506.db2.gz GZJOSEMDVHMSJH-UHFFFAOYSA-N 1 2 290.313 3.630 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](OC(C)(C)C)c1ccccc1 ZINC000153522501 261079649 /nfs/dbraw/zinc/07/96/49/261079649.db2.gz KSPJHQLZRTZPOG-MRXNPFEDSA-N 1 2 298.386 3.885 20 0 CHADLO CCc1noc([C@@H](C)[N@H+](CC)Cc2cccc(Cl)c2)n1 ZINC000153999374 261085933 /nfs/dbraw/zinc/08/59/33/261085933.db2.gz QJTDDLKVBCUOIR-LLVKDONJSA-N 1 2 293.798 3.869 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+](CC)Cc2cccc(Cl)c2)n1 ZINC000153999374 261085935 /nfs/dbraw/zinc/08/59/35/261085935.db2.gz QJTDDLKVBCUOIR-LLVKDONJSA-N 1 2 293.798 3.869 20 0 CHADLO CCCc1nc(C[NH2+][C@H](C)c2ccc3c(c2)CCCC3)no1 ZINC000154328913 261090177 /nfs/dbraw/zinc/09/01/77/261090177.db2.gz RMCINYDQXWNJCL-CYBMUJFWSA-N 1 2 299.418 3.752 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000154345029 261090769 /nfs/dbraw/zinc/09/07/69/261090769.db2.gz KPAQGOVMPZCWSP-CYBMUJFWSA-N 1 2 299.418 3.923 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1ccc2c(c1)CCCC2 ZINC000154336831 261090788 /nfs/dbraw/zinc/09/07/88/261090788.db2.gz XUFGKPUKARZTEJ-GFCCVEGCSA-N 1 2 297.402 3.677 20 0 CHADLO CC(C)(C)c1cnc(C[NH+]2CCC(C(F)F)CC2)s1 ZINC000448334100 261117689 /nfs/dbraw/zinc/11/76/89/261117689.db2.gz GHFJYOSQXLTEIC-UHFFFAOYSA-N 1 2 288.407 3.918 20 0 CHADLO C[C@@H]1SCC[N@H+](Cc2ncc(C(C)(C)C)s2)[C@H]1C ZINC000448337744 261118027 /nfs/dbraw/zinc/11/80/27/261118027.db2.gz TWYRXUIOZMLMPI-QWRGUYRKSA-N 1 2 284.494 3.766 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1cccnc1Cl)c1ccc(C)o1 ZINC000156418802 261123269 /nfs/dbraw/zinc/12/32/69/261123269.db2.gz WDMPBKSEBCCQPG-DGCLKSJQSA-N 1 2 294.782 3.675 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@H](COC)c2ccc(C)o2)o1 ZINC000156535008 261125239 /nfs/dbraw/zinc/12/52/39/261125239.db2.gz UKAJJIXEXXLKEH-TZMCWYRMSA-N 1 2 277.364 3.782 20 0 CHADLO CC(C)(C)[C@H]1C[N@H+](Cc2cc3c(cccc3F)[nH]2)CCO1 ZINC000448360252 261126296 /nfs/dbraw/zinc/12/62/96/261126296.db2.gz SBQLRJKQTFMFAA-MRXNPFEDSA-N 1 2 290.382 3.554 20 0 CHADLO CC(C)(C)[C@H]1C[N@@H+](Cc2cc3c(cccc3F)[nH]2)CCO1 ZINC000448360252 261126297 /nfs/dbraw/zinc/12/62/97/261126297.db2.gz SBQLRJKQTFMFAA-MRXNPFEDSA-N 1 2 290.382 3.554 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1ccon1 ZINC000157363384 261144921 /nfs/dbraw/zinc/14/49/21/261144921.db2.gz IZMWRNQGMXJIBI-UHFFFAOYSA-N 1 2 270.332 3.615 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1ccon1 ZINC000157363384 261144922 /nfs/dbraw/zinc/14/49/22/261144922.db2.gz IZMWRNQGMXJIBI-UHFFFAOYSA-N 1 2 270.332 3.615 20 0 CHADLO CC(C)([NH2+]Cc1ccccc1OC(F)F)c1nccs1 ZINC000173415844 261162736 /nfs/dbraw/zinc/16/27/36/261162736.db2.gz PWJNCFZSOFADQS-UHFFFAOYSA-N 1 2 298.358 3.769 20 0 CHADLO Cc1ncc(CNc2c[nH+]ccc2OC(C)(C)C)s1 ZINC000158427807 261164539 /nfs/dbraw/zinc/16/45/39/261164539.db2.gz GZPIPPCYXZAKJG-UHFFFAOYSA-N 1 2 277.393 3.636 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc2ccccc2o1)c1nccs1 ZINC000173496488 261164563 /nfs/dbraw/zinc/16/45/63/261164563.db2.gz KHGBGFZTZWGKJE-HNNXBMFYSA-N 1 2 287.388 3.699 20 0 CHADLO CC(C)Oc1ccc([NH2+][C@H](C)CCn2cccn2)cc1 ZINC000159245114 261181820 /nfs/dbraw/zinc/18/18/20/261181820.db2.gz GBURCKKOXUAVOY-CQSZACIVSA-N 1 2 273.380 3.561 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(CCC(C)C)no2)s1 ZINC000102772932 261269694 /nfs/dbraw/zinc/26/96/94/261269694.db2.gz CKXODARBMHXQBE-UHFFFAOYSA-N 1 2 293.436 3.660 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(CCC(C)C)no2)s1 ZINC000102772932 261269696 /nfs/dbraw/zinc/26/96/96/261269696.db2.gz CKXODARBMHXQBE-UHFFFAOYSA-N 1 2 293.436 3.660 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2cccs2)n1)c1ccco1 ZINC000102696223 261271153 /nfs/dbraw/zinc/27/11/53/261271153.db2.gz KXKBXKBPLOPDRB-SNVBAGLBSA-N 1 2 274.345 3.847 20 0 CHADLO CCc1noc(C)c1C[N@H+](C)Cc1ccccc1Cl ZINC000162251808 261274736 /nfs/dbraw/zinc/27/47/36/261274736.db2.gz OECCGZWKZVHXOR-UHFFFAOYSA-N 1 2 278.783 3.831 20 0 CHADLO CCc1noc(C)c1C[N@@H+](C)Cc1ccccc1Cl ZINC000162251808 261274738 /nfs/dbraw/zinc/27/47/38/261274738.db2.gz OECCGZWKZVHXOR-UHFFFAOYSA-N 1 2 278.783 3.831 20 0 CHADLO CC(C)n1cc[nH+]c1CN1C[C@H](C)Cc2ccccc21 ZINC000104723280 261300802 /nfs/dbraw/zinc/30/08/02/261300802.db2.gz MBCKWQSNCKZSEO-CQSZACIVSA-N 1 2 269.392 3.663 20 0 CHADLO Cc1cc(N2CCS[C@H]3CCCC[C@@H]32)nc(C2CC2)[nH+]1 ZINC000176855800 261313589 /nfs/dbraw/zinc/31/35/89/261313589.db2.gz KDXDFNCVANKGAU-KBPBESRZSA-N 1 2 289.448 3.527 20 0 CHADLO CCc1nc(CNc2cc(C)[nH+]c(C(C)C)n2)cs1 ZINC000301653015 261338966 /nfs/dbraw/zinc/33/89/66/261338966.db2.gz KKBJGZYSHYUURD-UHFFFAOYSA-N 1 2 276.409 3.539 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+][C@@H](C)c2ccc(C)o2)o1 ZINC000165311016 261368367 /nfs/dbraw/zinc/36/83/67/261368367.db2.gz VMYUZAJDFTUOTD-JSGCOSHPSA-N 1 2 277.364 3.782 20 0 CHADLO Fc1ccc(C[NH2+]Cc2cscn2)c(C(F)(F)F)c1 ZINC000108647885 261388113 /nfs/dbraw/zinc/38/81/13/261388113.db2.gz ABYXWTGLZHEXJW-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO Cc1cc(NC(=O)N(C)[C@@H](C)c2ccccc2)cc[nH+]1 ZINC000179815525 261818135 /nfs/dbraw/zinc/81/81/35/261818135.db2.gz KBMOYNJZJXBUSL-ZDUSSCGKSA-N 1 2 269.348 3.615 20 0 CHADLO Cc1ncsc1C[N@@H+](C)[C@H](C)c1nc2ccccc2o1 ZINC000181105662 261885439 /nfs/dbraw/zinc/88/54/39/261885439.db2.gz KEXHFXJQCAVBJH-LLVKDONJSA-N 1 2 287.388 3.786 20 0 CHADLO Cc1ncsc1C[N@H+](C)[C@H](C)c1nc2ccccc2o1 ZINC000181105662 261885441 /nfs/dbraw/zinc/88/54/41/261885441.db2.gz KEXHFXJQCAVBJH-LLVKDONJSA-N 1 2 287.388 3.786 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc(C(F)F)cc1 ZINC000183953817 262002009 /nfs/dbraw/zinc/00/20/09/262002009.db2.gz OULWSRWAEKBXKU-UHFFFAOYSA-N 1 2 268.332 3.713 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc(C(F)F)cc1 ZINC000183953817 262002011 /nfs/dbraw/zinc/00/20/11/262002011.db2.gz OULWSRWAEKBXKU-UHFFFAOYSA-N 1 2 268.332 3.713 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2cnc(Cl)s2)s1 ZINC000183966061 262002836 /nfs/dbraw/zinc/00/28/36/262002836.db2.gz QWYHXZFIEZZJRQ-UHFFFAOYSA-N 1 2 287.841 3.586 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1c2ccccc2CC[C@H]1C(C)C ZINC000185083756 262040188 /nfs/dbraw/zinc/04/01/88/262040188.db2.gz KUJILUDUAXLKIZ-WMZOPIPTSA-N 1 2 283.419 3.767 20 0 CHADLO COc1ccc([NH2+][C@H]2CCC[C@H](c3ccnn3C)C2)cc1 ZINC000363953230 262052444 /nfs/dbraw/zinc/05/24/44/262052444.db2.gz KVVDROJSVAWWTQ-ZFWWWQNUSA-N 1 2 285.391 3.567 20 0 CHADLO C[C@@H](CCC1CC1)[NH2+]c1ccc(N2CCC(O)CC2)cc1 ZINC000186451839 262094106 /nfs/dbraw/zinc/09/41/06/262094106.db2.gz RDXNAUXAFLPSLX-AWEZNQCLSA-N 1 2 288.435 3.638 20 0 CHADLO C[C@@H](CCC1CC1)Nc1ccc([NH+]2CCC(O)CC2)cc1 ZINC000186451839 262094109 /nfs/dbraw/zinc/09/41/09/262094109.db2.gz RDXNAUXAFLPSLX-AWEZNQCLSA-N 1 2 288.435 3.638 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+]([C@@H](C)c1nc(C3CC3)no1)CC2 ZINC000186734728 262107378 /nfs/dbraw/zinc/10/73/78/262107378.db2.gz BGIPOJAPBQQCDQ-ZDUSSCGKSA-N 1 2 297.402 3.683 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+]([C@@H](C)c1nc(C3CC3)no1)CC2 ZINC000186734728 262107380 /nfs/dbraw/zinc/10/73/80/262107380.db2.gz BGIPOJAPBQQCDQ-ZDUSSCGKSA-N 1 2 297.402 3.683 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)C[C@@H]2C=CCCC2)c1 ZINC000186929297 262116521 /nfs/dbraw/zinc/11/65/21/262116521.db2.gz YYTWAPUZPJSGTN-CQSZACIVSA-N 1 2 295.386 3.772 20 0 CHADLO Clc1cccc(OCCNc2cccc[nH+]2)c1Cl ZINC000019776980 262154504 /nfs/dbraw/zinc/15/45/04/262154504.db2.gz FROWFNSDDXCFKY-UHFFFAOYSA-N 1 2 283.158 3.879 20 0 CHADLO c1ccc(CNc2ccc([N@@H+]3C[C@H]4CC[C@@H]3C4)cc2)nc1 ZINC000192217146 262200012 /nfs/dbraw/zinc/20/00/12/262200012.db2.gz OKBBWDWZGGVFKL-KBXCAEBGSA-N 1 2 279.387 3.682 20 0 CHADLO c1ccc(CNc2ccc([N@H+]3C[C@H]4CC[C@@H]3C4)cc2)nc1 ZINC000192217146 262200014 /nfs/dbraw/zinc/20/00/14/262200014.db2.gz OKBBWDWZGGVFKL-KBXCAEBGSA-N 1 2 279.387 3.682 20 0 CHADLO COc1cccc([C@@H](C)[N@@H+]2CC[C@@H](C)C(F)(F)C2)c1 ZINC000494279723 262272583 /nfs/dbraw/zinc/27/25/83/262272583.db2.gz NMRFBVAHUJTREY-VXGBXAGGSA-N 1 2 269.335 3.733 20 0 CHADLO COc1cccc([C@@H](C)[N@H+]2CC[C@@H](C)C(F)(F)C2)c1 ZINC000494279723 262272585 /nfs/dbraw/zinc/27/25/85/262272585.db2.gz NMRFBVAHUJTREY-VXGBXAGGSA-N 1 2 269.335 3.733 20 0 CHADLO COC1(CNc2cccc(-n3cc[nH+]c3)c2)CCCCC1 ZINC000319694999 262349449 /nfs/dbraw/zinc/34/94/49/262349449.db2.gz RIEFEUVAMKXSDG-UHFFFAOYSA-N 1 2 285.391 3.634 20 0 CHADLO Clc1cccc2c1CN(c1cc[nH+]c(C3CC3)n1)CC2 ZINC000413420899 262764026 /nfs/dbraw/zinc/76/40/26/262764026.db2.gz VRJHFQPDGRBSGI-UHFFFAOYSA-N 1 2 285.778 3.570 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(OC)cc1C)C(F)F ZINC000414149867 262810517 /nfs/dbraw/zinc/81/05/17/262810517.db2.gz VEQDYRUFTXHQIU-MFKMUULPSA-N 1 2 257.324 3.698 20 0 CHADLO CCOc1ccc(Cl)cc1[C@H](C)[NH2+]Cc1cnc(C)o1 ZINC000414178479 262814683 /nfs/dbraw/zinc/81/46/83/262814683.db2.gz JYDNPNPSOPUWIY-JTQLQIEISA-N 1 2 294.782 3.886 20 0 CHADLO CCn1ccc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)n1 ZINC000414216065 262826952 /nfs/dbraw/zinc/82/69/52/262826952.db2.gz ZHPYIEAPOYXWLY-JTQLQIEISA-N 1 2 281.762 3.546 20 0 CHADLO CC[C@@H](CC(=O)N[C@H](c1[nH]cc[nH+]1)C(C)C)c1ccccc1 ZINC000276489466 263036915 /nfs/dbraw/zinc/03/69/15/263036915.db2.gz GFRLGGFKRJVYHX-YOEHRIQHSA-N 1 2 299.418 3.807 20 0 CHADLO COc1cccc(CNc2ccc3c(c2)CCC[NH2+]3)c1 ZINC000414844762 263058927 /nfs/dbraw/zinc/05/89/27/263058927.db2.gz FCBXENXZDBKPHI-UHFFFAOYSA-N 1 2 268.360 3.665 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2ccc(C(C)(C)C)cc2)n1 ZINC000283836932 263084565 /nfs/dbraw/zinc/08/45/65/263084565.db2.gz FKKOASBPRUCJTJ-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@H](C)c1c(F)cncc1F ZINC000285499285 263093606 /nfs/dbraw/zinc/09/36/06/263093606.db2.gz AFQIOZNWMKLOCM-WDEREUQCSA-N 1 2 292.329 3.780 20 0 CHADLO c1cc([C@@H]2CCCC[N@H+]2Cc2nc3ccccc3s2)n[nH]1 ZINC000285504485 263093694 /nfs/dbraw/zinc/09/36/94/263093694.db2.gz XWDXDEIYPYMUTR-AWEZNQCLSA-N 1 2 298.415 3.747 20 0 CHADLO c1cc([C@@H]2CCCC[N@@H+]2Cc2nc3ccccc3s2)n[nH]1 ZINC000285504485 263093695 /nfs/dbraw/zinc/09/36/95/263093695.db2.gz XWDXDEIYPYMUTR-AWEZNQCLSA-N 1 2 298.415 3.747 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccnc(Cl)c2Cl)CCC1(F)F ZINC000291684838 263124735 /nfs/dbraw/zinc/12/47/35/263124735.db2.gz XMDRPVKBJQOQLL-QMMMGPOBSA-N 1 2 295.160 3.866 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccnc(Cl)c2Cl)CCC1(F)F ZINC000291684838 263124736 /nfs/dbraw/zinc/12/47/36/263124736.db2.gz XMDRPVKBJQOQLL-QMMMGPOBSA-N 1 2 295.160 3.866 20 0 CHADLO Cc1cccc(C[NH2+][C@H](c2ncc[nH]2)c2ccc(F)cc2)c1 ZINC000187721054 263266858 /nfs/dbraw/zinc/26/68/58/263266858.db2.gz HSAYPXBHTFXNCN-KRWDZBQOSA-N 1 2 295.361 3.736 20 0 CHADLO CCOc1cc(N[C@@H](c2[nH+]ccn2C)C2CC2)ccc1C ZINC000342814408 263524663 /nfs/dbraw/zinc/52/46/63/263524663.db2.gz WVQAJMLLVURBOO-MRXNPFEDSA-N 1 2 285.391 3.690 20 0 CHADLO c1ccc(N2Cc3cccc(N[C@@H]4CCSC4)c3C2)[nH+]c1 ZINC000334285453 263553893 /nfs/dbraw/zinc/55/38/93/263553893.db2.gz KHGWRSICTTWAGS-CQSZACIVSA-N 1 2 297.427 3.519 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+][C@@H](C)c1ccccc1F ZINC000164742687 263695776 /nfs/dbraw/zinc/69/57/76/263695776.db2.gz JVXGATIHGGUWLL-ZDUSSCGKSA-N 1 2 275.371 3.529 20 0 CHADLO CC[C@H](NC(=O)C[C@@H]1CCCCC1(C)C)c1[nH]cc[nH+]1 ZINC000331264744 264185311 /nfs/dbraw/zinc/18/53/11/264185311.db2.gz GPXFUBWGKRSRKQ-STQMWFEESA-N 1 2 277.412 3.584 20 0 CHADLO Fc1cc(C[NH+]2CC(CC(F)F)C2)cc(C(F)(F)F)c1 ZINC000425368944 264213326 /nfs/dbraw/zinc/21/33/26/264213326.db2.gz YOBFSBDLAQNESN-UHFFFAOYSA-N 1 2 297.242 3.932 20 0 CHADLO CCc1[nH+]c2ccccc2n1C[C@H](O)CC1CCCC1 ZINC000188645909 264238009 /nfs/dbraw/zinc/23/80/09/264238009.db2.gz XZQYJNGLYUGTBX-CQSZACIVSA-N 1 2 272.392 3.540 20 0 CHADLO C[C@@H](NCc1[nH]cc[nH+]1)c1c(Cl)ccc(F)c1Cl ZINC000070939319 264243501 /nfs/dbraw/zinc/24/35/01/264243501.db2.gz GHISLDRONLVXFE-SSDOTTSWSA-N 1 2 288.153 3.706 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1nc(C(F)F)no1 ZINC000425407771 264284034 /nfs/dbraw/zinc/28/40/34/264284034.db2.gz QBXSADDNSFVMSV-SNVBAGLBSA-N 1 2 281.306 3.509 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1nc(C(F)F)no1 ZINC000425407771 264284036 /nfs/dbraw/zinc/28/40/36/264284036.db2.gz QBXSADDNSFVMSV-SNVBAGLBSA-N 1 2 281.306 3.509 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCCC[C@@H]2C)c(C)[nH+]1 ZINC000425419324 264296258 /nfs/dbraw/zinc/29/62/58/264296258.db2.gz PVZBOLWFRWDBJK-HZMBPMFUSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(C(F)F)no2)c(C)s1 ZINC000425459371 264330710 /nfs/dbraw/zinc/33/07/10/264330710.db2.gz OUERUVKABKVJFS-ZETCQYMHSA-N 1 2 287.335 3.536 20 0 CHADLO Cn1cc[nH+]c1[C@H](Nc1nc2ccccc2s1)C1CC1 ZINC000343286808 264359689 /nfs/dbraw/zinc/35/96/89/264359689.db2.gz NTSPAAWUTBNEKE-CYBMUJFWSA-N 1 2 284.388 3.593 20 0 CHADLO C[C@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1nccs1 ZINC000093404196 265114009 /nfs/dbraw/zinc/11/40/09/265114009.db2.gz JTVWXOLWIVLUFL-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1nccc(C)n1 ZINC000347990950 265141218 /nfs/dbraw/zinc/14/12/18/265141218.db2.gz BAEMOGPMZFNOEG-CYBMUJFWSA-N 1 2 281.359 3.690 20 0 CHADLO CC1(C)CCC[C@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000094305261 265232423 /nfs/dbraw/zinc/23/24/23/265232423.db2.gz AZJFLWNJGOITNT-CQSZACIVSA-N 1 2 259.397 3.672 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000518973137 265266330 /nfs/dbraw/zinc/26/63/30/265266330.db2.gz CXVKAPNZJPQMNX-RYUDHWBXSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000518973137 265266331 /nfs/dbraw/zinc/26/63/31/265266331.db2.gz CXVKAPNZJPQMNX-RYUDHWBXSA-N 1 2 281.346 3.669 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1ccc(CC)cc1)C(=O)OCC ZINC000096867252 265391932 /nfs/dbraw/zinc/39/19/32/265391932.db2.gz GHMGKCPPVFDTJM-CJNGLKHVSA-N 1 2 277.408 3.631 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1ccc(CC)cc1)C(=O)OCC ZINC000096867251 265392220 /nfs/dbraw/zinc/39/22/20/265392220.db2.gz GHMGKCPPVFDTJM-XJKSGUPXSA-N 1 2 277.408 3.631 20 0 CHADLO Cc1ccncc1C[NH+](Cc1ccco1)Cc1ccco1 ZINC000353383977 266038512 /nfs/dbraw/zinc/03/85/12/266038512.db2.gz RIJPSSQUGDZKPU-UHFFFAOYSA-N 1 2 282.343 3.778 20 0 CHADLO Cc1ccc(Cc2noc(-c3cc(C)c(F)c(C)c3)n2)c[nH+]1 ZINC000356151336 266057124 /nfs/dbraw/zinc/05/71/24/266057124.db2.gz OVAICIUSAFINFU-UHFFFAOYSA-N 1 2 297.333 3.787 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N3C[C@H](C)CCC[C@@H]3C)cc2n1C ZINC000356193539 266068582 /nfs/dbraw/zinc/06/85/82/266068582.db2.gz POQVHMZTSOILNF-OLZOCXBDSA-N 1 2 299.418 3.532 20 0 CHADLO CCC(CC)(CC)NC(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000356194266 266068785 /nfs/dbraw/zinc/06/87/85/266068785.db2.gz BTWBVUDRGVEIHN-UHFFFAOYSA-N 1 2 287.407 3.580 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+][C@@H](C)c2ncc(C)o2)c1 ZINC000356293883 266089934 /nfs/dbraw/zinc/08/99/34/266089934.db2.gz XLACMIXTAXOPLL-STQMWFEESA-N 1 2 274.364 3.794 20 0 CHADLO Cc1sc(C[NH2+][C@H](C)c2ccc(F)cn2)nc1C(C)C ZINC000356673961 266157896 /nfs/dbraw/zinc/15/78/96/266157896.db2.gz JBCKINGWKGTZKU-SNVBAGLBSA-N 1 2 293.411 3.960 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](C)[C@@H]2CCCOC2)c1 ZINC000356865920 266197811 /nfs/dbraw/zinc/19/78/11/266197811.db2.gz DRLSLXLKAWKHGV-TZMCWYRMSA-N 1 2 280.437 3.562 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)[C@H](C)c1ncc(C)o1 ZINC000356954812 266216453 /nfs/dbraw/zinc/21/64/53/266216453.db2.gz CPOXTJJZRDSWFR-GFCCVEGCSA-N 1 2 262.328 3.705 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)[C@H](C)c1ncc(C)o1 ZINC000356954812 266216458 /nfs/dbraw/zinc/21/64/58/266216458.db2.gz CPOXTJJZRDSWFR-GFCCVEGCSA-N 1 2 262.328 3.705 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N3CCCCC(C)(C)C3)cc2n1C ZINC000356962297 266219957 /nfs/dbraw/zinc/21/99/57/266219957.db2.gz VRMSCIOAALJCEW-UHFFFAOYSA-N 1 2 299.418 3.534 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccc(OC(F)F)cc2)o1 ZINC000356977099 266223270 /nfs/dbraw/zinc/22/32/70/266223270.db2.gz LNKBMIQPSYSRRS-LLVKDONJSA-N 1 2 296.317 3.777 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccc(OC(F)F)cc2)o1 ZINC000356977099 266223275 /nfs/dbraw/zinc/22/32/75/266223275.db2.gz LNKBMIQPSYSRRS-LLVKDONJSA-N 1 2 296.317 3.777 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000357117725 266250539 /nfs/dbraw/zinc/25/05/39/266250539.db2.gz KAPCERZZLDJWFY-ZBFHGGJFSA-N 1 2 297.402 3.575 20 0 CHADLO CC[C@@H]1CC[C@@H](C)N1c1cc(C)[nH+]c(-c2ccncc2)n1 ZINC000357281220 266271937 /nfs/dbraw/zinc/27/19/37/266271937.db2.gz BEBOSYMTUYQSDT-UKRRQHHQSA-N 1 2 282.391 3.614 20 0 CHADLO Cc1c2ccccc2oc1C(=O)Nc1cccc2[nH+]ccn21 ZINC000357441727 266296813 /nfs/dbraw/zinc/29/68/13/266296813.db2.gz RSNVSASUACSIRD-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO CC[C@@H]1CCN(c2cc(COC)[nH+]c3ccc(F)cc32)C1 ZINC000357533347 266317106 /nfs/dbraw/zinc/31/71/06/266317106.db2.gz LKRUIWBXNUXWTH-GFCCVEGCSA-N 1 2 288.366 3.757 20 0 CHADLO c1cc2cc(CNc3cc[nH+]c(C4CC4)n3)ccc2s1 ZINC000357613928 266337551 /nfs/dbraw/zinc/33/75/51/266337551.db2.gz YVCLHGUKMJGNRP-UHFFFAOYSA-N 1 2 281.384 3.603 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2ccc(F)cc2)nc(C)[nH+]1 ZINC000357685558 266346321 /nfs/dbraw/zinc/34/63/21/266346321.db2.gz BQSKSFUKDZOOQL-MRXNPFEDSA-N 1 2 285.366 3.828 20 0 CHADLO CC1(CNC(=O)Nc2cccc(Oc3cc[nH+]cc3)c2)CC1 ZINC000357748429 266354126 /nfs/dbraw/zinc/35/41/26/266354126.db2.gz KVBKBRHVKGXZMV-UHFFFAOYSA-N 1 2 297.358 3.796 20 0 CHADLO CC(C)CCc1noc(-c2[nH+]c(C3CC3)n3ccccc23)n1 ZINC000358513206 266472226 /nfs/dbraw/zinc/47/22/26/266472226.db2.gz JTUCZPZJQVBRFJ-UHFFFAOYSA-N 1 2 296.374 3.850 20 0 CHADLO CCc1cnc(CCNc2[nH+]ccc(C)c2Cl)s1 ZINC000358844857 266499786 /nfs/dbraw/zinc/49/97/86/266499786.db2.gz MCJFCAZUWWCVSO-UHFFFAOYSA-N 1 2 281.812 3.717 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H](C)c3cccc(F)c3)cc2[nH+]1 ZINC000359040300 266526780 /nfs/dbraw/zinc/52/67/80/266526780.db2.gz IYZRZKAOQWIEKK-SNVBAGLBSA-N 1 2 297.333 3.753 20 0 CHADLO CC(C)c1nc(N2CCn3c(cc4ccccc43)C2)cc[nH+]1 ZINC000360224484 266695683 /nfs/dbraw/zinc/69/56/83/266695683.db2.gz IXLBAKZXYXAXPH-UHFFFAOYSA-N 1 2 292.386 3.575 20 0 CHADLO CC(C)(C)c1ncc(C[NH+]2CC(c3ccccc3F)C2)cn1 ZINC000361008220 266767714 /nfs/dbraw/zinc/76/77/14/266767714.db2.gz DBTRESUWEOQYSQ-UHFFFAOYSA-N 1 2 299.393 3.513 20 0 CHADLO CC[N@H+](Cc1ccccc1Cl)Cc1cccnc1OC ZINC000362950560 267065629 /nfs/dbraw/zinc/06/56/29/267065629.db2.gz DWUVOEBXVKYTLL-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1ccccc1Cl)Cc1cccnc1OC ZINC000362950560 267065631 /nfs/dbraw/zinc/06/56/31/267065631.db2.gz DWUVOEBXVKYTLL-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO COc1cc[nH+]cc1NC(=O)C[C@H](C)c1ccccc1C ZINC000116009459 267119909 /nfs/dbraw/zinc/11/99/09/267119909.db2.gz NNOGVLGQPGSAPV-ZDUSSCGKSA-N 1 2 284.359 3.531 20 0 CHADLO COc1cc[nH+]cc1NC(=O)C[C@@H](C)c1ccccc1C ZINC000116009462 267120200 /nfs/dbraw/zinc/12/02/00/267120200.db2.gz NNOGVLGQPGSAPV-CYBMUJFWSA-N 1 2 284.359 3.531 20 0 CHADLO CC[C@H]([NH2+]Cc1noc2c1CCCC2)c1ccccc1F ZINC000368141453 267148523 /nfs/dbraw/zinc/14/85/23/267148523.db2.gz DUODAXWWIQUHLE-HNNXBMFYSA-N 1 2 288.366 3.933 20 0 CHADLO O=C(Nc1ccc(Cl)cc1)c1cccc(-n2cc[nH+]c2)c1 ZINC000117561323 267216515 /nfs/dbraw/zinc/21/65/15/267216515.db2.gz YVOOSJXCVDRZDY-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2nc3ccccc3o2)n1 ZINC000118849565 267274044 /nfs/dbraw/zinc/27/40/44/267274044.db2.gz RSVHUVYDKSCWEW-UHFFFAOYSA-N 1 2 287.388 3.618 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1cccnc1 ZINC000119090752 267295879 /nfs/dbraw/zinc/29/58/79/267295879.db2.gz LHBDMPZWAXUMEZ-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1cc(NC(=O)N[C@H](C)c2ccc(Cl)cc2)cc[nH+]1 ZINC000119440322 267335264 /nfs/dbraw/zinc/33/52/64/267335264.db2.gz ZDHLPXHIIVKYBC-LLVKDONJSA-N 1 2 289.766 3.926 20 0 CHADLO C[C@H](N[C@@H](c1[nH+]ccn1C)c1ccccc1F)c1ccco1 ZINC000370670628 267336255 /nfs/dbraw/zinc/33/62/55/267336255.db2.gz FOPQJJMBAKHUIB-BLLLJJGKSA-N 1 2 299.349 3.592 20 0 CHADLO CCC(CC)c1cc(C(=O)Nc2cc[nH+]cc2C)on1 ZINC000119479100 267338529 /nfs/dbraw/zinc/33/85/29/267338529.db2.gz YPYLOMJMZUAJDS-UHFFFAOYSA-N 1 2 273.336 3.534 20 0 CHADLO CC[C@@]1(C)C[C@@H]1C(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000371247389 267377497 /nfs/dbraw/zinc/37/74/97/267377497.db2.gz MRCJZXDZXLKQGW-DYVFJYSZSA-N 1 2 283.375 3.760 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCC[C@@H]2c2ncon2)s1 ZINC000371372773 267396279 /nfs/dbraw/zinc/39/62/79/267396279.db2.gz HYKIACZKOLGEPF-GFCCVEGCSA-N 1 2 291.420 3.766 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCC[C@@H]2c2ncon2)s1 ZINC000371372773 267396285 /nfs/dbraw/zinc/39/62/85/267396285.db2.gz HYKIACZKOLGEPF-GFCCVEGCSA-N 1 2 291.420 3.766 20 0 CHADLO CCCn1cc(C[N@H+](C)Cc2ccc(F)cc2Cl)cn1 ZINC000123187217 268005600 /nfs/dbraw/zinc/00/56/00/268005600.db2.gz NVXSOOFMGJLPCE-UHFFFAOYSA-N 1 2 295.789 3.718 20 0 CHADLO CCCn1cc(C[N@@H+](C)Cc2ccc(F)cc2Cl)cn1 ZINC000123187217 268005601 /nfs/dbraw/zinc/00/56/01/268005601.db2.gz NVXSOOFMGJLPCE-UHFFFAOYSA-N 1 2 295.789 3.718 20 0 CHADLO C[C@H]([NH2+]Cc1cncc(F)c1)c1ncc(-c2ccccc2)o1 ZINC000377086994 268046423 /nfs/dbraw/zinc/04/64/23/268046423.db2.gz RNFSOWWCNDJZEM-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc(-c3[nH+]ccn3C)c2)cc1 ZINC000377169300 268052663 /nfs/dbraw/zinc/05/26/63/268052663.db2.gz WGYARAWMDHRDTN-UHFFFAOYSA-N 1 2 291.354 3.648 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@@H]2c2nccs2)c1 ZINC000377197162 268054596 /nfs/dbraw/zinc/05/45/96/268054596.db2.gz PLSCPKUYMACHOW-CYBMUJFWSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@@H]2c2nccs2)c1 ZINC000377197162 268054598 /nfs/dbraw/zinc/05/45/98/268054598.db2.gz PLSCPKUYMACHOW-CYBMUJFWSA-N 1 2 262.353 3.619 20 0 CHADLO Clc1cccc2c1CN(c1cc[nH+]c3ccncc31)C2 ZINC000377199293 268055607 /nfs/dbraw/zinc/05/56/07/268055607.db2.gz YTLMJDDPEOYOLD-UHFFFAOYSA-N 1 2 281.746 3.803 20 0 CHADLO C=Cc1ccc(C(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000192160270 335090996 /nfs/dbraw/zinc/09/09/96/335090996.db2.gz LYELKVVBBASXNA-UHFFFAOYSA-N 1 2 252.317 3.594 20 0 CHADLO CC1(C)CCCC[C@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000573046020 327630481 /nfs/dbraw/zinc/63/04/81/327630481.db2.gz MGAVPZOXSXMIMY-OAHLLOKOSA-N 1 2 284.407 3.707 20 0 CHADLO C[C@@H]1C[C@@H](Nc2cccc(Br)c2)c2[nH+]ccn21 ZINC000334363569 327673282 /nfs/dbraw/zinc/67/32/82/327673282.db2.gz FGKVLGZETPRGLL-BXKDBHETSA-N 1 2 292.180 3.764 20 0 CHADLO CC(C)Cc1csc(Cc2cn3ccccc3[nH+]2)n1 ZINC000177287571 327719018 /nfs/dbraw/zinc/71/90/18/327719018.db2.gz WBYVIPQRNABYPO-UHFFFAOYSA-N 1 2 271.389 3.580 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@@H](C)c1ccco1 ZINC000122483192 327928558 /nfs/dbraw/zinc/92/85/58/327928558.db2.gz DYRQLGRCZWXRGO-WDEREUQCSA-N 1 2 263.312 3.839 20 0 CHADLO CC(C)c1nc(C2([NH2+]Cc3ccsc3)CCCC2)no1 ZINC000236890590 328003316 /nfs/dbraw/zinc/00/33/16/328003316.db2.gz NHAYPWBCWHWZSZ-UHFFFAOYSA-N 1 2 291.420 3.814 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(Cl)c2F)CCC1(F)F ZINC000582449084 329007288 /nfs/dbraw/zinc/00/72/88/329007288.db2.gz IYBRHIHBLIBFRI-VIFPVBQESA-N 1 2 277.717 3.956 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(Cl)c2F)CCC1(F)F ZINC000582449084 329007289 /nfs/dbraw/zinc/00/72/89/329007289.db2.gz IYBRHIHBLIBFRI-VIFPVBQESA-N 1 2 277.717 3.956 20 0 CHADLO c1cc(C[NH2+][C@@H]2CCCc3c4ccccc4sc32)[nH]n1 ZINC000531720519 328028445 /nfs/dbraw/zinc/02/84/45/328028445.db2.gz UPFCQPAXXIVWAC-CQSZACIVSA-N 1 2 283.400 3.792 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CC[C@@H]3CCCC[C@@H]3C2)c(C)c[nH+]1 ZINC000534299304 328044107 /nfs/dbraw/zinc/04/41/07/328044107.db2.gz UXESTZNDKOBWSE-ARFHVFGLSA-N 1 2 286.419 3.665 20 0 CHADLO CC(C)CC[N@@H+]1CCC[C@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000534315541 328045107 /nfs/dbraw/zinc/04/51/07/328045107.db2.gz VOZKYKIVWKCOAV-HNNXBMFYSA-N 1 2 284.407 3.655 20 0 CHADLO CCCCN(CCCC)C(=O)Nc1cc(C)[nH+]cc1C ZINC000534324227 328045681 /nfs/dbraw/zinc/04/56/81/328045681.db2.gz XMMREPNGXLIGPZ-UHFFFAOYSA-N 1 2 277.412 3.554 20 0 CHADLO CCCOc1cccc(C[N@@H+]2CCC(=O)CC23CCC3)c1 ZINC000563366933 328059604 /nfs/dbraw/zinc/05/96/04/328059604.db2.gz LDFKTXSMWBFMDJ-UHFFFAOYSA-N 1 2 287.403 3.563 20 0 CHADLO CCCOc1cccc(C[N@H+]2CCC(=O)CC23CCC3)c1 ZINC000563366933 328059605 /nfs/dbraw/zinc/05/96/05/328059605.db2.gz LDFKTXSMWBFMDJ-UHFFFAOYSA-N 1 2 287.403 3.563 20 0 CHADLO Cc1ccc(C[C@@H]2CCCN(c3cc(C)[nH+]cn3)C2)cc1 ZINC000563468107 328069010 /nfs/dbraw/zinc/06/90/10/328069010.db2.gz KCMUHIMPTUQZFB-KRWDZBQOSA-N 1 2 281.403 3.553 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C)C3CCC(C)(C)CC3)ccn12 ZINC000563546807 328072793 /nfs/dbraw/zinc/07/27/93/328072793.db2.gz JRJJCAPBRSPSBI-UHFFFAOYSA-N 1 2 299.418 3.684 20 0 CHADLO CO[C@]1(C)CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000563558038 328073954 /nfs/dbraw/zinc/07/39/54/328073954.db2.gz QLOZLZSWHMUOSP-MRXNPFEDSA-N 1 2 290.794 3.894 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2nc(C(F)(F)F)cs2)[C@H]1C ZINC000246802270 328075098 /nfs/dbraw/zinc/07/50/98/328075098.db2.gz SUKLDAQXRNTFTQ-BDAKNGLRSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)[C@H]1C ZINC000246802270 328075100 /nfs/dbraw/zinc/07/51/00/328075100.db2.gz SUKLDAQXRNTFTQ-BDAKNGLRSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(Cl)c1F)c1csnn1 ZINC000412044262 328102595 /nfs/dbraw/zinc/10/25/95/328102595.db2.gz QLDODFJCBDLSKY-YUMQZZPRSA-N 1 2 285.775 3.742 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C)CCC3CCCCC3)ccn12 ZINC000571342209 328112228 /nfs/dbraw/zinc/11/22/28/328112228.db2.gz XTGBKOMZMMTPFS-UHFFFAOYSA-N 1 2 299.418 3.685 20 0 CHADLO CC(C)CCOC1CCN(c2cc[nH+]c3ccncc32)CC1 ZINC000267933545 328181468 /nfs/dbraw/zinc/18/14/68/328181468.db2.gz FVZZOYNMKVJCNG-UHFFFAOYSA-N 1 2 299.418 3.661 20 0 CHADLO CCc1cccc(F)c1C[NH2+][C@@H](C)c1nccs1 ZINC000414497619 328189565 /nfs/dbraw/zinc/18/95/65/328189565.db2.gz UJUNLGCVXQDESG-JTQLQIEISA-N 1 2 264.369 3.695 20 0 CHADLO COc1cccc2c(C[NH2+][C@@H](C)c3csnn3)cccc12 ZINC000414552552 328193833 /nfs/dbraw/zinc/19/38/33/328193833.db2.gz RLBMXJAQIAEOCO-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+][C@@H](C)c1cscn1)C(C)C ZINC000271138510 328195487 /nfs/dbraw/zinc/19/54/87/328195487.db2.gz NSHIMVVISRBDHK-JSGCOSHPSA-N 1 2 275.421 3.894 20 0 CHADLO Cc1scc(-c2nc(Cc3ccc(C)[nH+]c3)no2)c1C ZINC000274043699 328207790 /nfs/dbraw/zinc/20/77/90/328207790.db2.gz ZRWYXDLFKRFFMU-UHFFFAOYSA-N 1 2 285.372 3.709 20 0 CHADLO C[C@@H]([NH2+]Cc1ncnn1C(C)(C)C)c1cccc(Cl)c1 ZINC000283537669 328244300 /nfs/dbraw/zinc/24/43/00/328244300.db2.gz ODHDVLCHQDOCIM-LLVKDONJSA-N 1 2 292.814 3.537 20 0 CHADLO CC[C@@H]([NH2+]Cc1csc(COC)n1)c1ccccc1F ZINC000289721249 328255924 /nfs/dbraw/zinc/25/59/24/328255924.db2.gz JQQWEJCIZMHBJM-CQSZACIVSA-N 1 2 294.395 3.670 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1csc(-c2ccco2)n1 ZINC000292310021 328269585 /nfs/dbraw/zinc/26/95/85/328269585.db2.gz NFRGGNNHKAKCQK-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1csc(-c2ccco2)n1 ZINC000292310021 328269587 /nfs/dbraw/zinc/26/95/87/328269587.db2.gz NFRGGNNHKAKCQK-UHFFFAOYSA-N 1 2 288.372 3.937 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1cc(F)ncc1F ZINC000294587212 328281948 /nfs/dbraw/zinc/28/19/48/328281948.db2.gz RKPLISATFDYDGM-UHFFFAOYSA-N 1 2 288.750 3.707 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1cc(F)ncc1F ZINC000294587212 328281950 /nfs/dbraw/zinc/28/19/50/328281950.db2.gz RKPLISATFDYDGM-UHFFFAOYSA-N 1 2 288.750 3.707 20 0 CHADLO Clc1cccnc1C[N@@H+]1Cc2ccccc2C2(CC2)C1 ZINC000528457294 328286108 /nfs/dbraw/zinc/28/61/08/328286108.db2.gz JDIGTBAQPQKGJO-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Clc1cccnc1C[N@H+]1Cc2ccccc2C2(CC2)C1 ZINC000528457294 328286109 /nfs/dbraw/zinc/28/61/09/328286109.db2.gz JDIGTBAQPQKGJO-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Cc1nc(-c2cccc(N[C@@H]3CCn4cc[nH+]c43)c2)oc1C ZINC000297053536 328293322 /nfs/dbraw/zinc/29/33/22/328293322.db2.gz VQYIBKRYAKUHDP-OAHLLOKOSA-N 1 2 294.358 3.712 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H]1CC[C@H]2CCCC[C@@H]2C1 ZINC000534475391 328303304 /nfs/dbraw/zinc/30/33/04/328303304.db2.gz UVZAWNOSUFWBQD-BZUAXINKSA-N 1 2 286.419 3.611 20 0 CHADLO CCCOc1cc(C)ccc1[NH2+]C[C@@H]1CCCOC1 ZINC000111320100 328347516 /nfs/dbraw/zinc/34/75/16/328347516.db2.gz AVXGXAVGBIXUFQ-AWEZNQCLSA-N 1 2 263.381 3.622 20 0 CHADLO CCCC[C@H]([NH2+]Cc1csc2ccccc12)C(=O)OC ZINC000534951081 328370673 /nfs/dbraw/zinc/37/06/73/328370673.db2.gz KJNDQSSEUSXDHP-AWEZNQCLSA-N 1 2 291.416 3.723 20 0 CHADLO Cc1cc(NC(=O)c2cc3cc(C)c(C)cc3o2)c(C)c[nH+]1 ZINC000535036394 328379268 /nfs/dbraw/zinc/37/92/68/328379268.db2.gz ORTQOCWXTNIXQR-UHFFFAOYSA-N 1 2 294.354 3.736 20 0 CHADLO Cc1ccc(NC(=O)N(Cc2ccccn2)C(C)C)c(C)[nH+]1 ZINC000532033758 328396569 /nfs/dbraw/zinc/39/65/69/328396569.db2.gz XDBLTBWPGAIXON-UHFFFAOYSA-N 1 2 298.390 3.536 20 0 CHADLO C[C@@H](C(=O)Nc1ccc2c(c1)[nH+]c(C(C)(C)C)n2C)C1CC1 ZINC000535529253 328456597 /nfs/dbraw/zinc/45/65/97/328456597.db2.gz NSFOVKALSCJYEL-LLVKDONJSA-N 1 2 299.418 3.855 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2CCc3c2cccc3C)c(C)[nH+]1 ZINC000152323469 328497882 /nfs/dbraw/zinc/49/78/82/328497882.db2.gz DOFCPJJHHRWOAL-KRWDZBQOSA-N 1 2 295.386 3.816 20 0 CHADLO C[C@H](CC(=O)N1CC[C@@H](C(C)(C)C)C[C@H]1C)n1cc[nH+]c1 ZINC000583503040 328585910 /nfs/dbraw/zinc/58/59/10/328585910.db2.gz OGKJQILHYNQSGZ-RBSFLKMASA-N 1 2 291.439 3.507 20 0 CHADLO CCc1ccsc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000335668528 328586276 /nfs/dbraw/zinc/58/62/76/328586276.db2.gz GRJXTWJSVQCZAV-UHFFFAOYSA-N 1 2 274.389 3.883 20 0 CHADLO CCCC[NH+](CCCC)Cc1nnsc1Cl ZINC000035164956 326807658 /nfs/dbraw/zinc/80/76/58/326807658.db2.gz PESXMENWICUWBU-UHFFFAOYSA-N 1 2 261.822 3.594 20 0 CHADLO CC(C)c1cccc(C(C)C)c1NC(=O)Cn1cc[nH+]c1 ZINC000010809460 326829511 /nfs/dbraw/zinc/82/95/11/326829511.db2.gz OIKBZSMPGMIJJA-UHFFFAOYSA-N 1 2 285.391 3.769 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1C[C@@H](C)O[C@@H](C2CC2)C1 ZINC000530532636 326853565 /nfs/dbraw/zinc/85/35/65/326853565.db2.gz FLIWUQMICSBMMB-CXAGYDPISA-N 1 2 282.387 3.547 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(Cl)c1)c1csnn1 ZINC000398245313 326876241 /nfs/dbraw/zinc/87/62/41/326876241.db2.gz RVRGKEYFUGNOBG-IUCAKERBSA-N 1 2 267.785 3.603 20 0 CHADLO Fc1cccc(COc2ccccc2-n2cc[nH+]c2)c1 ZINC000530873406 326894398 /nfs/dbraw/zinc/89/43/98/326894398.db2.gz XFAUXLNDYADQGU-UHFFFAOYSA-N 1 2 268.291 3.590 20 0 CHADLO Cc1cccnc1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000071339261 326919569 /nfs/dbraw/zinc/91/95/69/326919569.db2.gz CJXMKSOVZPFALS-LLVKDONJSA-N 1 2 262.303 3.519 20 0 CHADLO CC(C)(C)c1nc(C[NH2+]Cc2cccc(F)c2)cs1 ZINC000077169816 326922665 /nfs/dbraw/zinc/92/26/65/326922665.db2.gz STDWOQKQLOPLEL-UHFFFAOYSA-N 1 2 278.396 3.870 20 0 CHADLO CC1=CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC1 ZINC000556416516 326940293 /nfs/dbraw/zinc/94/02/93/326940293.db2.gz SEZFONGIOHMAKD-UHFFFAOYSA-N 1 2 259.397 3.880 20 0 CHADLO CC1(C)[C@H]([NH2+]C2(C(F)F)CC2)C[C@H]1Oc1ccccc1 ZINC000556575022 326952254 /nfs/dbraw/zinc/95/22/54/326952254.db2.gz XQXCNBCWQLSNNK-CHWSQXEVSA-N 1 2 281.346 3.620 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1OCC(C)C ZINC000090172409 327031925 /nfs/dbraw/zinc/03/19/25/327031925.db2.gz OFSFGKPJWJMNFB-UHFFFAOYSA-N 1 2 273.380 3.550 20 0 CHADLO C[C@H]1C[C@@H](C[N@@H+]2CCCCc3ccccc32)CCO1 ZINC000558407057 327091208 /nfs/dbraw/zinc/09/12/08/327091208.db2.gz BUIXMFCNFKJHDO-GJZGRUSLSA-N 1 2 259.393 3.644 20 0 CHADLO C[C@H]1C[C@@H](C[N@H+]2CCCCc3ccccc32)CCO1 ZINC000558407057 327091209 /nfs/dbraw/zinc/09/12/09/327091209.db2.gz BUIXMFCNFKJHDO-GJZGRUSLSA-N 1 2 259.393 3.644 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)cccc2Cl)[C@H]1[C@H]1CCCO1 ZINC000558471156 327096517 /nfs/dbraw/zinc/09/65/17/327096517.db2.gz WPJLVVOEPPTOGY-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)cccc2Cl)[C@H]1[C@H]1CCCO1 ZINC000558471156 327096518 /nfs/dbraw/zinc/09/65/18/327096518.db2.gz WPJLVVOEPPTOGY-CABCVRRESA-N 1 2 297.801 3.869 20 0 CHADLO CCCOc1cccc(CNc2ccc[nH+]c2C)c1 ZINC000091495380 327102547 /nfs/dbraw/zinc/10/25/47/327102547.db2.gz DHUYRCMMPZTZQC-UHFFFAOYSA-N 1 2 256.349 3.791 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1c(F)ccc(Br)c1F ZINC000558618693 327108810 /nfs/dbraw/zinc/10/88/10/327108810.db2.gz HZQUOKZXUBRWNL-OCAPTIKFSA-N 1 2 290.151 3.710 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1c(F)ccc(Br)c1F ZINC000558618693 327108812 /nfs/dbraw/zinc/10/88/12/327108812.db2.gz HZQUOKZXUBRWNL-OCAPTIKFSA-N 1 2 290.151 3.710 20 0 CHADLO FC(F)C1([NH2+][C@H]2CCc3c2cccc3Cl)CC1 ZINC000558635170 327111412 /nfs/dbraw/zinc/11/14/12/327111412.db2.gz MHWPUGIMPAYUMD-NSHDSACASA-N 1 2 257.711 3.715 20 0 CHADLO C[C@H]1CC(CCNc2ccc3ccccc3[nH+]2)C[C@H](C)O1 ZINC000558786746 327120338 /nfs/dbraw/zinc/12/03/38/327120338.db2.gz YLYNDZSJWFSGLA-KBPBESRZSA-N 1 2 284.403 3.662 20 0 CHADLO Cc1cc(SCCCn2cc[nH+]c2)n(-c2ccccc2)n1 ZINC000559193808 327145592 /nfs/dbraw/zinc/14/55/92/327145592.db2.gz WQHADDAYHDCBRN-UHFFFAOYSA-N 1 2 298.415 3.560 20 0 CHADLO Cc1cncc(C[N@@H+]2CCc3sc(Cl)cc3C2)c1 ZINC000569358144 327162557 /nfs/dbraw/zinc/16/25/57/327162557.db2.gz KHLRIBWNZWMGHJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cncc(C[N@H+]2CCc3sc(Cl)cc3C2)c1 ZINC000569358144 327162558 /nfs/dbraw/zinc/16/25/58/327162558.db2.gz KHLRIBWNZWMGHJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO c1ccc(C[C@@H]2CCCN(c3cccc[nH+]3)C2)cc1 ZINC000518507520 327170475 /nfs/dbraw/zinc/17/04/75/327170475.db2.gz YZVFRFHFKNHOQY-INIZCTEOSA-N 1 2 252.361 3.541 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2cscn2)c(Cl)c1 ZINC000518564668 327175797 /nfs/dbraw/zinc/17/57/97/327175797.db2.gz UZDGAXJITNAVQA-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1ccc(Cl)nc1Cl ZINC000278630276 327178454 /nfs/dbraw/zinc/17/84/54/327178454.db2.gz OFABDVGBSCZKEO-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1ccc(Cl)nc1Cl ZINC000278630276 327178456 /nfs/dbraw/zinc/17/84/56/327178456.db2.gz OFABDVGBSCZKEO-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H]([NH2+]Cc1ccco1)c1nc2ccc(Cl)cc2n1C ZINC000091262561 327185208 /nfs/dbraw/zinc/18/52/08/327185208.db2.gz HUUXBYTUODBOJC-JTQLQIEISA-N 1 2 289.766 3.671 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)C/C(Cl)=C/Cl ZINC000092225140 327190345 /nfs/dbraw/zinc/19/03/45/327190345.db2.gz APWXYJCWQXZGAN-NXIHDVOMSA-N 1 2 259.180 3.784 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)C/C(Cl)=C/Cl ZINC000092225140 327190348 /nfs/dbraw/zinc/19/03/48/327190348.db2.gz APWXYJCWQXZGAN-NXIHDVOMSA-N 1 2 259.180 3.784 20 0 CHADLO CCc1cc(OCc2cc(C)on2)c2ccccc2[nH+]1 ZINC000092333974 327192309 /nfs/dbraw/zinc/19/23/09/327192309.db2.gz FPIPERRQXVHOJV-UHFFFAOYSA-N 1 2 268.316 3.673 20 0 CHADLO CCc1cnc(C[N@H+](C)C/C=C/c2ccc(F)cc2)s1 ZINC000093807649 327200143 /nfs/dbraw/zinc/20/01/43/327200143.db2.gz GTEYUFNTMIGDAZ-SNAWJCMRSA-N 1 2 290.407 3.990 20 0 CHADLO CCc1cnc(C[N@@H+](C)C/C=C/c2ccc(F)cc2)s1 ZINC000093807649 327200144 /nfs/dbraw/zinc/20/01/44/327200144.db2.gz GTEYUFNTMIGDAZ-SNAWJCMRSA-N 1 2 290.407 3.990 20 0 CHADLO Cc1ccccc1-c1cnc(COc2cc[nH+]cc2)o1 ZINC000584268878 327205137 /nfs/dbraw/zinc/20/51/37/327205137.db2.gz KZGLJAMWZPXVBC-UHFFFAOYSA-N 1 2 266.300 3.624 20 0 CHADLO CCS[C@H]1CCCCN(c2[nH+]c3ccccc3n2C)C1 ZINC000536503925 327241858 /nfs/dbraw/zinc/24/18/58/327241858.db2.gz YWTVNTUSWHVKFE-ZDUSSCGKSA-N 1 2 289.448 3.685 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1c(Cl)cccc1Cl ZINC000559400081 327243843 /nfs/dbraw/zinc/24/38/43/327243843.db2.gz CFHSJHSIRVYNON-VIFPVBQESA-N 1 2 262.155 3.927 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1c(Cl)cccc1Cl ZINC000559400081 327243844 /nfs/dbraw/zinc/24/38/44/327243844.db2.gz CFHSJHSIRVYNON-VIFPVBQESA-N 1 2 262.155 3.927 20 0 CHADLO C[C@@H]1CCC[C@@H]([N@H+](C)Cc2noc(Cc3ccccc3)n2)C1 ZINC000109377917 327259784 /nfs/dbraw/zinc/25/97/84/327259784.db2.gz WGEVVNHGCVKUKV-GDBMZVCRSA-N 1 2 299.418 3.671 20 0 CHADLO C[C@@H]1CCC[C@@H]([N@@H+](C)Cc2noc(Cc3ccccc3)n2)C1 ZINC000109377917 327259786 /nfs/dbraw/zinc/25/97/86/327259786.db2.gz WGEVVNHGCVKUKV-GDBMZVCRSA-N 1 2 299.418 3.671 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(C)c(Cl)c1 ZINC000559823431 327263661 /nfs/dbraw/zinc/26/36/61/327263661.db2.gz PZCTYNYJIZALAD-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)c(Cl)c2)o1 ZINC000112535084 327286401 /nfs/dbraw/zinc/28/64/01/327286401.db2.gz FUBNHQDNIGQYAW-HTQZYQBOSA-N 1 2 283.734 3.582 20 0 CHADLO Cc1cc(NC(=O)N2CCCC[C@@H]2CC(C)C)cc[nH+]1 ZINC000119936055 327295876 /nfs/dbraw/zinc/29/58/76/327295876.db2.gz HDDYWJOIUVZWQF-OAHLLOKOSA-N 1 2 275.396 3.823 20 0 CHADLO Cc1ccnc([C@H](C)[NH2+]Cc2cc(-c3ccccc3)on2)c1 ZINC000560528253 327319137 /nfs/dbraw/zinc/31/91/37/327319137.db2.gz OJUBDMIPYSYAEP-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@H+]1Cc1cn2ccccc2n1 ZINC000560559667 327325026 /nfs/dbraw/zinc/32/50/26/327325026.db2.gz RBYJBCDTXFCCMJ-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@H]1c2c(F)cccc2CC[N@@H+]1Cc1cn2ccccc2n1 ZINC000560559667 327325027 /nfs/dbraw/zinc/32/50/27/327325027.db2.gz RBYJBCDTXFCCMJ-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO CSc1ccc(C[N@@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)s1 ZINC000560628764 327331904 /nfs/dbraw/zinc/33/19/04/327331904.db2.gz SQVSCWZMUXQEOV-CABCVRRESA-N 1 2 295.473 3.613 20 0 CHADLO CSc1ccc(C[N@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)s1 ZINC000560628764 327331905 /nfs/dbraw/zinc/33/19/05/327331905.db2.gz SQVSCWZMUXQEOV-CABCVRRESA-N 1 2 295.473 3.613 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)c(Cl)c1)c1csnn1 ZINC000398346973 327443168 /nfs/dbraw/zinc/44/31/68/327443168.db2.gz VCCVIAQMMKDBAH-HTQZYQBOSA-N 1 2 285.775 3.742 20 0 CHADLO Cc1cc[nH+]c(N[C@@H](C)c2cncs2)c1Br ZINC000381403311 327528099 /nfs/dbraw/zinc/52/80/99/327528099.db2.gz RREPQJCUNSACDB-QMMMGPOBSA-N 1 2 298.209 3.782 20 0 CHADLO CC[C@@H]([NH2+]C[C@H](C)c1ccc(F)cc1)C(=O)OC(C)(C)C ZINC000569891456 327554304 /nfs/dbraw/zinc/55/43/04/327554304.db2.gz OAUUSUFDGGTIAU-SWLSCSKDSA-N 1 2 295.398 3.639 20 0 CHADLO Cc1[nH]c(CNc2ccccc2CC(F)(F)F)[nH+]c1C ZINC000570059783 327566696 /nfs/dbraw/zinc/56/66/96/327566696.db2.gz DYRMXMFRHBCSHI-UHFFFAOYSA-N 1 2 283.297 3.743 20 0 CHADLO CC(C)COc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000570619519 327604799 /nfs/dbraw/zinc/60/47/99/327604799.db2.gz NSEOWYYCIIAAAW-UHFFFAOYSA-N 1 2 270.376 3.921 20 0 CHADLO CCCC[C@@H](CCC)NC(=O)Nc1cc(C)[nH+]cc1C ZINC000535774969 328630107 /nfs/dbraw/zinc/63/01/07/328630107.db2.gz KDPZVPFNZGUASW-CQSZACIVSA-N 1 2 277.412 3.601 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2ccccc2)c1 ZINC000536011725 328652624 /nfs/dbraw/zinc/65/26/24/328652624.db2.gz ZPKVCPJCHSPSHU-UHFFFAOYSA-N 1 2 259.374 3.832 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1ncccc1Cl ZINC000530164883 328693684 /nfs/dbraw/zinc/69/36/84/328693684.db2.gz FUUMLOWEXRAGLW-UHFFFAOYSA-N 1 2 262.740 3.580 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@]23C[C@@H]2CCC3)[nH+]1 ZINC000583624787 328716802 /nfs/dbraw/zinc/71/68/02/328716802.db2.gz QWQJYNFMFPJFPD-SJCJKPOMSA-N 1 2 281.359 3.514 20 0 CHADLO O=C(C[NH+]1C2CCC1CC2)Nc1cc(Cl)ccc1Cl ZINC000532466928 328780811 /nfs/dbraw/zinc/78/08/11/328780811.db2.gz VZPIVHNFJJRSEG-UHFFFAOYSA-N 1 2 299.201 3.559 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000532674003 328804592 /nfs/dbraw/zinc/80/45/92/328804592.db2.gz KNPOMDUJOOVLCU-SJORKVTESA-N 1 2 296.414 3.796 20 0 CHADLO CC[C@H](Nc1ccc(N2C[C@@H](C)O[C@H](C)C2)[nH+]c1)C(C)C ZINC000526991054 328818890 /nfs/dbraw/zinc/81/88/90/328818890.db2.gz BQVKCEOEYZOHKZ-FMKPAKJESA-N 1 2 291.439 3.542 20 0 CHADLO Cc1c2cc(F)ccc2oc1CNc1cccc[nH+]1 ZINC000301119744 328826548 /nfs/dbraw/zinc/82/65/48/328826548.db2.gz NDDIXRNSFRXKQJ-UHFFFAOYSA-N 1 2 256.280 3.887 20 0 CHADLO CCOc1ccc(Nc2nc(C)[nH+]c(C)c2C)cc1 ZINC000301433149 328828015 /nfs/dbraw/zinc/82/80/15/328828015.db2.gz KYEVJHPPBAHHAX-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1C(F)(F)F)C(C)(F)F ZINC000389444973 328845497 /nfs/dbraw/zinc/84/54/97/328845497.db2.gz MHWUMARSQDKAFY-MRVPVSSYSA-N 1 2 267.241 3.839 20 0 CHADLO Cc1ccc(CN2CCCc3ccccc32)c(C)[nH+]1 ZINC000359852001 328900000 /nfs/dbraw/zinc/90/00/00/328900000.db2.gz XHJZTYVUDQZREN-UHFFFAOYSA-N 1 2 252.361 3.651 20 0 CHADLO Cc1cc(N(C)Cc2ccco2)c2cccc(F)c2[nH+]1 ZINC000360662130 328909958 /nfs/dbraw/zinc/90/99/58/328909958.db2.gz DZHUDYDVGFMTTG-UHFFFAOYSA-N 1 2 270.307 3.912 20 0 CHADLO Cc1cc(SCc2c[nH+]cn2C)nc2ccccc12 ZINC000360706348 328910202 /nfs/dbraw/zinc/91/02/02/328910202.db2.gz ACXLJPCAHIFVRD-UHFFFAOYSA-N 1 2 269.373 3.569 20 0 CHADLO Cc1nc(N(Cc2ccccc2)CC2CCC2)cc[nH+]1 ZINC000360973145 328913875 /nfs/dbraw/zinc/91/38/75/328913875.db2.gz HLYKOPSVSZVEGM-UHFFFAOYSA-N 1 2 267.376 3.592 20 0 CHADLO Fc1cc(Cl)ccc1CN1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000372906350 328970595 /nfs/dbraw/zinc/97/05/95/328970595.db2.gz SHPJGBZNYMLMPN-CYBMUJFWSA-N 1 2 279.746 3.539 20 0 CHADLO CCCCC[C@@H](C)CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000378513551 329053903 /nfs/dbraw/zinc/05/39/03/329053903.db2.gz BPENEXXBXVGJGA-ZIAGYGMSSA-N 1 2 277.412 3.680 20 0 CHADLO C[C@H]([NH2+][C@H](c1nccs1)c1ccccc1)c1ccncc1 ZINC000171922082 329082334 /nfs/dbraw/zinc/08/23/34/329082334.db2.gz IHYMPGZIIVYOSW-BBRMVZONSA-N 1 2 295.411 3.978 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCc2c1cccc2Br ZINC000393425580 329092320 /nfs/dbraw/zinc/09/23/20/329092320.db2.gz GADQPHKOZYJQBC-NSHDSACASA-N 1 2 290.151 3.681 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cccc(C)c1)C(=O)OC(C)(C)C ZINC000582521988 329102111 /nfs/dbraw/zinc/10/21/11/329102111.db2.gz DBCPWGWIUGORIK-UKRRQHHQSA-N 1 2 277.408 3.766 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccn[nH]1)c1ccc(OC(F)(F)F)cc1 ZINC000582562678 329111895 /nfs/dbraw/zinc/11/18/95/329111895.db2.gz HPDFIRFPGKYBRQ-CYBMUJFWSA-N 1 2 299.296 3.549 20 0 CHADLO CCCC[C@H](CC)CC(=O)N[C@H](c1[nH+]ccn1C)C1CC1 ZINC000582683455 329127938 /nfs/dbraw/zinc/12/79/38/329127938.db2.gz ULTLGRUECBNIRG-BBRMVZONSA-N 1 2 291.439 3.594 20 0 CHADLO CC[C@H](C)c1ccccc1OCC(=O)Nc1cc[nH+]c(C)c1 ZINC000219337499 329158521 /nfs/dbraw/zinc/15/85/21/329158521.db2.gz NCCQNCLBGRXZTJ-ZDUSSCGKSA-N 1 2 298.386 3.921 20 0 CHADLO c1cn(-c2ccc(CSCc3ccccc3)cn2)c[nH+]1 ZINC000175930466 329171126 /nfs/dbraw/zinc/17/11/26/329171126.db2.gz QZAIVCGFBAAFJZ-UHFFFAOYSA-N 1 2 281.384 3.701 20 0 CHADLO Fc1cccc(Cl)c1CN1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000177040700 329178543 /nfs/dbraw/zinc/17/85/43/329178543.db2.gz DMEGKZDRRXVHES-CQSZACIVSA-N 1 2 293.773 3.929 20 0 CHADLO CC1(C)CCC[C@@H](C(=O)N2CCCC[C@@H]2c2[nH]cc[nH+]2)C1 ZINC000179395280 329204996 /nfs/dbraw/zinc/20/49/96/329204996.db2.gz GXJCEBHFLIRIPI-ZIAGYGMSSA-N 1 2 289.423 3.680 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1cc[nH+]c(C)n1)CCC2 ZINC000179237214 329206364 /nfs/dbraw/zinc/20/63/64/329206364.db2.gz PJLGBYYXTMSMGC-OAHLLOKOSA-N 1 2 253.349 3.583 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+](C)Cc1nnc(C2CC2)[nH]1 ZINC000180410722 329216110 /nfs/dbraw/zinc/21/61/10/329216110.db2.gz YXVQKNPXLRRUMA-JTQLQIEISA-N 1 2 290.798 3.529 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+](C)Cc1nnc(C2CC2)[nH]1 ZINC000180410722 329216112 /nfs/dbraw/zinc/21/61/12/329216112.db2.gz YXVQKNPXLRRUMA-JTQLQIEISA-N 1 2 290.798 3.529 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[NH+]1Cc2ccccc2C1 ZINC000181102618 329226204 /nfs/dbraw/zinc/22/62/04/329226204.db2.gz WYKCGGRHMDYODC-GFCCVEGCSA-N 1 2 264.328 3.905 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000181143515 329232761 /nfs/dbraw/zinc/23/27/61/329232761.db2.gz UCUUYKDZUWOTAA-LRDDRELGSA-N 1 2 298.342 3.555 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000181143515 329232762 /nfs/dbraw/zinc/23/27/62/329232762.db2.gz UCUUYKDZUWOTAA-LRDDRELGSA-N 1 2 298.342 3.555 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000181143538 329232794 /nfs/dbraw/zinc/23/27/94/329232794.db2.gz UCUUYKDZUWOTAA-WBMJQRKESA-N 1 2 298.342 3.555 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000181143538 329232795 /nfs/dbraw/zinc/23/27/95/329232795.db2.gz UCUUYKDZUWOTAA-WBMJQRKESA-N 1 2 298.342 3.555 20 0 CHADLO Cc1cccc2[nH+]c(CSCc3ccc(CO)cc3)cn21 ZINC000181495390 329233833 /nfs/dbraw/zinc/23/38/33/329233833.db2.gz VEKFYADOMPTKIQ-UHFFFAOYSA-N 1 2 298.411 3.568 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)cc1Cl)c1cccnc1 ZINC000181656409 329235490 /nfs/dbraw/zinc/23/54/90/329235490.db2.gz AZSYMYHOGNDLJV-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO Cc1ccc(C[C@@H](C)C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000181820601 329237711 /nfs/dbraw/zinc/23/77/11/329237711.db2.gz MYHLIENNGYPMNU-CYBMUJFWSA-N 1 2 268.360 3.516 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccc(F)c(F)c1 ZINC000225293862 329248129 /nfs/dbraw/zinc/24/81/29/329248129.db2.gz CBRIYICFTGFIHG-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1ccc(F)cc1F ZINC000225296754 329248382 /nfs/dbraw/zinc/24/83/82/329248382.db2.gz GCEUGIUHMKJTKF-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO CC[C@@H](C)[C@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000183255080 329248947 /nfs/dbraw/zinc/24/89/47/329248947.db2.gz SLPAQQGLBUDHAR-NEPJUHHUSA-N 1 2 289.354 3.632 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2nccn2C(C)C)c1 ZINC000429290023 329256621 /nfs/dbraw/zinc/25/66/21/329256621.db2.gz QQTSRPRCFRHCIE-UHFFFAOYSA-N 1 2 271.408 3.713 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2nccn2C(C)C)c1 ZINC000429290023 329256624 /nfs/dbraw/zinc/25/66/24/329256624.db2.gz QQTSRPRCFRHCIE-UHFFFAOYSA-N 1 2 271.408 3.713 20 0 CHADLO Cc1ccc(CSCc2ccn(C(C)C)n2)c(C)[nH+]1 ZINC000184824157 329263174 /nfs/dbraw/zinc/26/31/74/329263174.db2.gz BHRQKMDYSAEUHQ-UHFFFAOYSA-N 1 2 275.421 3.909 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOC3(CCCCC3)C2)s1 ZINC000583091122 329314149 /nfs/dbraw/zinc/31/41/49/329314149.db2.gz SSRKUQDRLBTFEK-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Clc1ccc(C[N@H+]2CCOC3(CCCCC3)C2)s1 ZINC000583091122 329314150 /nfs/dbraw/zinc/31/41/50/329314150.db2.gz SSRKUQDRLBTFEK-UHFFFAOYSA-N 1 2 285.840 3.937 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(Br)cc2F)c1 ZINC000227603070 329328665 /nfs/dbraw/zinc/32/86/65/329328665.db2.gz VAUBVMPBPOGTTK-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO CC(C)[C@@H]1C[C@@H]1C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000185767577 329331143 /nfs/dbraw/zinc/33/11/43/329331143.db2.gz ZUQFRQULQHGFNR-KBPBESRZSA-N 1 2 297.402 3.681 20 0 CHADLO CC(C)[C@]1(C)C[C@@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000185800525 329332103 /nfs/dbraw/zinc/33/21/03/329332103.db2.gz OAHDLXJMLNPAOO-PBHICJAKSA-N 1 2 283.375 3.697 20 0 CHADLO CCc1cnc(NCc2cn3cc(Cl)ccc3[nH+]2)s1 ZINC000185964138 329337440 /nfs/dbraw/zinc/33/74/40/329337440.db2.gz STLWNCCINWPBDZ-UHFFFAOYSA-N 1 2 292.795 3.619 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2Cc3ccccc3C3(CC3)C2)n1 ZINC000186178631 329339053 /nfs/dbraw/zinc/33/90/53/329339053.db2.gz HEROCFBLDZLYIT-UHFFFAOYSA-N 1 2 281.403 3.511 20 0 CHADLO CC(C)n1ccc(C[N@H+]2Cc3ccccc3C3(CC3)C2)n1 ZINC000186178631 329339054 /nfs/dbraw/zinc/33/90/54/329339054.db2.gz HEROCFBLDZLYIT-UHFFFAOYSA-N 1 2 281.403 3.511 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2Cc3ccccc3C3(CC3)C2)n1 ZINC000186237693 329340255 /nfs/dbraw/zinc/34/02/55/329340255.db2.gz CJPDRQANANJZHY-ZDUSSCGKSA-N 1 2 297.402 3.801 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2Cc3ccccc3C3(CC3)C2)n1 ZINC000186237693 329340257 /nfs/dbraw/zinc/34/02/57/329340257.db2.gz CJPDRQANANJZHY-ZDUSSCGKSA-N 1 2 297.402 3.801 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2cc(Cl)ccc2Cl)[nH]1 ZINC000392454934 329341369 /nfs/dbraw/zinc/34/13/69/329341369.db2.gz JTYOENUCPIVEFY-SFYZADRCSA-N 1 2 299.205 3.832 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c4ccccc4[nH]c3C2)c(C)n1 ZINC000187352494 329355533 /nfs/dbraw/zinc/35/55/33/329355533.db2.gz JGKNQRBGTFTFRB-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c4ccccc4[nH]c3C2)c(C)n1 ZINC000187352494 329355534 /nfs/dbraw/zinc/35/55/34/329355534.db2.gz JGKNQRBGTFTFRB-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO CCCC(CCC)S(=O)(=O)Cc1ccc(C)[nH+]c1C ZINC000187416835 329357775 /nfs/dbraw/zinc/35/77/75/329357775.db2.gz AEWBBDVHROMLQT-UHFFFAOYSA-N 1 2 283.437 3.582 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(C(F)(F)F)c(F)c1 ZINC000187652679 329360310 /nfs/dbraw/zinc/36/03/10/329360310.db2.gz ZWUVTSHSXYBAJC-UHFFFAOYSA-N 1 2 298.239 3.800 20 0 CHADLO C[C@H]1CCCC[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000187938249 329361583 /nfs/dbraw/zinc/36/15/83/329361583.db2.gz HHHWNSIIYGABKW-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO C[C@H]1CCCC[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000187938249 329361584 /nfs/dbraw/zinc/36/15/84/329361584.db2.gz HHHWNSIIYGABKW-VIFPVBQESA-N 1 2 278.343 3.784 20 0 CHADLO CC(C)(C)C(=O)CSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000188054307 329364060 /nfs/dbraw/zinc/36/40/60/329364060.db2.gz GZHUPRPMKBTCGN-UHFFFAOYSA-N 1 2 288.416 3.721 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1CSC1(C)C ZINC000393762881 329364714 /nfs/dbraw/zinc/36/47/14/329364714.db2.gz NPZQYQGGVABGGV-CYBMUJFWSA-N 1 2 258.390 3.849 20 0 CHADLO C[C@H]([NH2+]Cc1nc(-c2ccccc2F)no1)c1ccccc1 ZINC000189150932 329376249 /nfs/dbraw/zinc/37/62/49/329376249.db2.gz YEHZEDPWAGUKHN-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(-c2ccccc2F)no1)c1ccccc1 ZINC000189150950 329376322 /nfs/dbraw/zinc/37/63/22/329376322.db2.gz YEHZEDPWAGUKHN-GFCCVEGCSA-N 1 2 297.333 3.727 20 0 CHADLO CCN(C)c1ccc(CNc2cccc3cnccc32)c[nH+]1 ZINC000190812816 329402657 /nfs/dbraw/zinc/40/26/57/329402657.db2.gz TUCLUOMECUIAMK-UHFFFAOYSA-N 1 2 292.386 3.698 20 0 CHADLO Cc1ccccc1NCc1ccc(N(C)C(C)C)[nH+]c1 ZINC000190806035 329402758 /nfs/dbraw/zinc/40/27/58/329402758.db2.gz ZTFPSCGZNGBJRM-UHFFFAOYSA-N 1 2 269.392 3.847 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1CNc1cc[nH+]cc1F ZINC000192149913 329429723 /nfs/dbraw/zinc/42/97/23/329429723.db2.gz HAGCDZBTSMSKIS-UHFFFAOYSA-N 1 2 288.219 3.991 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1ncccn1)c1ccc(F)cc1F ZINC000192161817 329429975 /nfs/dbraw/zinc/42/99/75/329429975.db2.gz QSWQOYOXDRULEE-HNNXBMFYSA-N 1 2 291.345 3.632 20 0 CHADLO CC[C@@H]1CC[C@@H]1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000583830673 329484551 /nfs/dbraw/zinc/48/45/51/329484551.db2.gz NAYQHNDCDKQXEF-HIFRSBDPSA-N 1 2 259.397 3.672 20 0 CHADLO CC[C@@H]1CC[C@H]1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000583830674 329484601 /nfs/dbraw/zinc/48/46/01/329484601.db2.gz NAYQHNDCDKQXEF-UKRRQHHQSA-N 1 2 259.397 3.672 20 0 CHADLO Clc1ccc2c(c1)[C@H]([NH2+]Cc1cscn1)CCCO2 ZINC000127286879 329598440 /nfs/dbraw/zinc/59/84/40/329598440.db2.gz ZPIYTNUUVPCKGP-CYBMUJFWSA-N 1 2 294.807 3.800 20 0 CHADLO CCCC(CCC)C(=O)N1CC[C@@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000127499367 329600011 /nfs/dbraw/zinc/60/00/11/329600011.db2.gz FZKJOAHDJXLQCG-GDBMZVCRSA-N 1 2 291.439 3.509 20 0 CHADLO Cl/C=C/C[NH2+][C@H](c1nccs1)c1ccccc1 ZINC000131689344 329638583 /nfs/dbraw/zinc/63/85/83/329638583.db2.gz XDVKXMYJHZWXPM-NTYUQYKDSA-N 1 2 264.781 3.575 20 0 CHADLO O=C(CC(F)(F)F)Nc1ccc(Oc2cc[nH+]cc2)cc1 ZINC000050488771 329647721 /nfs/dbraw/zinc/64/77/21/329647721.db2.gz GVTQJTZCPKZSSU-UHFFFAOYSA-N 1 2 296.248 3.765 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nccs1)c1ccccc1)c1cccnc1 ZINC000195070106 329690275 /nfs/dbraw/zinc/69/02/75/329690275.db2.gz JGQSFWZLGCIGNA-CJNGLKHVSA-N 1 2 295.411 3.978 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1cc(-n2cccc2)cn1 ZINC000418908899 329700453 /nfs/dbraw/zinc/70/04/53/329700453.db2.gz SSWATALRPQYIIM-UHFFFAOYSA-N 1 2 280.375 3.549 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1cccc(C(C)=O)c1 ZINC000418994185 329705070 /nfs/dbraw/zinc/70/50/70/329705070.db2.gz OOZYULAFLSTIBE-UHFFFAOYSA-N 1 2 269.344 3.734 20 0 CHADLO COC1(CCSCc2ccc(C)[nH+]c2C)CCC1 ZINC000419457918 329731210 /nfs/dbraw/zinc/73/12/10/329731210.db2.gz DAPZWPUGYXIDPN-UHFFFAOYSA-N 1 2 265.422 3.891 20 0 CHADLO CCCC(C)(C)Cc1nc(Cc2ccc[nH+]c2C)no1 ZINC000420509614 329772662 /nfs/dbraw/zinc/77/26/62/329772662.db2.gz FMJDEEJYLMBOOP-UHFFFAOYSA-N 1 2 273.380 3.733 20 0 CHADLO Cc1cc(CNC(=O)Cc2cccc(C(C)C)c2)cc(C)[nH+]1 ZINC000421579924 329843642 /nfs/dbraw/zinc/84/36/42/329843642.db2.gz MAESFPSJYVBBGD-UHFFFAOYSA-N 1 2 296.414 3.681 20 0 CHADLO Cc1cc(CNC(=O)CC/C=C\c2ccccc2)cc(C)[nH+]1 ZINC000421573358 329848158 /nfs/dbraw/zinc/84/81/58/329848158.db2.gz AHYKRIQQNBEBES-POHAHGRESA-N 1 2 294.398 3.808 20 0 CHADLO Cc1cc(CNC(=O)c2ccc(C(F)F)cc2)cc(C)[nH+]1 ZINC000421573952 329848329 /nfs/dbraw/zinc/84/83/29/329848329.db2.gz COMVCEGGHTVDSZ-UHFFFAOYSA-N 1 2 290.313 3.566 20 0 CHADLO Cc1cc(N[C@@H](C)c2[nH+]ccn2C)ccc1Br ZINC000070443324 329855657 /nfs/dbraw/zinc/85/56/57/329855657.db2.gz FXABDVFRRKYDQU-JTQLQIEISA-N 1 2 294.196 3.664 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](C)c2ccc(Cl)cc2)n1 ZINC000074037630 329863316 /nfs/dbraw/zinc/86/33/16/329863316.db2.gz SEKSUGLHNDWBSK-VHSXEESVSA-N 1 2 279.771 3.697 20 0 CHADLO Cc1cc(NC(=O)Cc2c[nH]c3ccc(Cl)cc23)cc[nH+]1 ZINC000074623920 329864803 /nfs/dbraw/zinc/86/48/03/329864803.db2.gz PTNFFFLLZKETOX-UHFFFAOYSA-N 1 2 299.761 3.706 20 0 CHADLO C[C@@H](NC(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CCCCC1 ZINC000076505209 329907240 /nfs/dbraw/zinc/90/72/40/329907240.db2.gz QCGKTARAYSNXPD-CQSZACIVSA-N 1 2 297.402 3.571 20 0 CHADLO C[C@@H](NC(=O)c1cccc(-n2cc[nH+]c2)c1)C1CCCCC1 ZINC000076504903 329907306 /nfs/dbraw/zinc/90/73/06/329907306.db2.gz IWROIACNCWZYKB-CQSZACIVSA-N 1 2 297.402 3.571 20 0 CHADLO Cn1cc[nH+]c1[C@@H](Nc1ccc(Cl)cn1)c1ccccc1 ZINC000572214700 329955697 /nfs/dbraw/zinc/95/56/97/329955697.db2.gz LDJWIZJMGUFUKC-HNNXBMFYSA-N 1 2 298.777 3.670 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccccc1F)c1cscn1 ZINC000162306589 329993038 /nfs/dbraw/zinc/99/30/38/329993038.db2.gz NAFOGFDQFGSHJK-VHSXEESVSA-N 1 2 250.342 3.694 20 0 CHADLO CCn1cc(CNc2[nH+]cccc2-c2ccccc2)cn1 ZINC000162382995 330012488 /nfs/dbraw/zinc/01/24/88/330012488.db2.gz CSXKXFZSZKIGKG-UHFFFAOYSA-N 1 2 278.359 3.577 20 0 CHADLO FC(F)C1([NH2+]Cc2ccncc2Cl)CCCCC1 ZINC000510090612 332891656 /nfs/dbraw/zinc/89/16/56/332891656.db2.gz ZUPSSTRJUMJSRI-UHFFFAOYSA-N 1 2 274.742 3.793 20 0 CHADLO Cc1ccc(N[C@H]2CCc3ccc[nH+]c3CC2)cc1 ZINC000421836375 330092041 /nfs/dbraw/zinc/09/20/41/330092041.db2.gz OIMGNSYICSPECK-INIZCTEOSA-N 1 2 252.361 3.750 20 0 CHADLO COCc1cccc(N[C@@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421837794 330092129 /nfs/dbraw/zinc/09/21/29/330092129.db2.gz SJEZXOZILYJENH-MRXNPFEDSA-N 1 2 282.387 3.588 20 0 CHADLO C[C@H](C1CC1)n1cc(N[C@H]2CCc3ccc[nH+]c3CC2)cn1 ZINC000421846098 330094923 /nfs/dbraw/zinc/09/49/23/330094923.db2.gz YROVUFRIVNYDHA-CJNGLKHVSA-N 1 2 296.418 3.609 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H](C)c2ccncc2Cl)o1 ZINC000421863360 330098578 /nfs/dbraw/zinc/09/85/78/330098578.db2.gz XIJAAWAWXYCNNB-JTQLQIEISA-N 1 2 279.771 3.526 20 0 CHADLO Cc1ccc(C[S@@](=O)c2ccc3c(c2)CCCC3)c(C)[nH+]1 ZINC000422097972 330124547 /nfs/dbraw/zinc/12/45/47/330124547.db2.gz LXPZOHJBDONZBH-OAQYLSRUSA-N 1 2 299.439 3.885 20 0 CHADLO CC(C)Cn1cc[nH+]c1CNC(=O)CCCC1CCCC1 ZINC000080463325 330131766 /nfs/dbraw/zinc/13/17/66/330131766.db2.gz MTUULAJQAXIBOL-UHFFFAOYSA-N 1 2 291.439 3.516 20 0 CHADLO COc1cccc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)c1 ZINC000423216569 330178558 /nfs/dbraw/zinc/17/85/58/330178558.db2.gz OTQHKOPLNTXOIM-GFCCVEGCSA-N 1 2 268.360 3.836 20 0 CHADLO CCO[C@@H]1C[C@@H]([NH2+]c2ccc3c(c2)CCN3)C12CCCC2 ZINC000423219523 330179171 /nfs/dbraw/zinc/17/91/71/330179171.db2.gz UBJOOTRTSVLYTH-IAGOWNOFSA-N 1 2 286.419 3.804 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc3c(c2)CC[NH2+]3)C12CCCC2 ZINC000423219523 330179173 /nfs/dbraw/zinc/17/91/73/330179173.db2.gz UBJOOTRTSVLYTH-IAGOWNOFSA-N 1 2 286.419 3.804 20 0 CHADLO COc1ccccc1C[C@H](C)[NH2+]c1ccc2c(c1)CCN2 ZINC000423219527 330179200 /nfs/dbraw/zinc/17/92/00/330179200.db2.gz UBYPATGEAGBDFW-ZDUSSCGKSA-N 1 2 282.387 3.706 20 0 CHADLO COc1ccccc1C[C@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423219527 330179201 /nfs/dbraw/zinc/17/92/01/330179201.db2.gz UBYPATGEAGBDFW-ZDUSSCGKSA-N 1 2 282.387 3.706 20 0 CHADLO C[C@H](Cc1ccccc1F)[NH2+]c1ccc2c(c1)CCN2 ZINC000423222133 330179817 /nfs/dbraw/zinc/17/98/17/330179817.db2.gz ZUUYHXDGTBMTEX-GFCCVEGCSA-N 1 2 270.351 3.837 20 0 CHADLO C[C@H](Cc1ccccc1F)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423222133 330179819 /nfs/dbraw/zinc/17/98/19/330179819.db2.gz ZUUYHXDGTBMTEX-GFCCVEGCSA-N 1 2 270.351 3.837 20 0 CHADLO C[C@@H]([NH2+]C1(C(F)F)CC1)c1ccc(Br)s1 ZINC000309024435 332902766 /nfs/dbraw/zinc/90/27/66/332902766.db2.gz ZAZCISPSZAAINM-ZCFIWIBFSA-N 1 2 296.180 3.959 20 0 CHADLO CCOc1c(Cl)cccc1C[NH2+]Cc1ncccc1F ZINC000527530917 330258465 /nfs/dbraw/zinc/25/84/65/330258465.db2.gz BVNRTAFXJUWQRK-UHFFFAOYSA-N 1 2 294.757 3.563 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@H]2C)ccn1 ZINC000527532268 330262441 /nfs/dbraw/zinc/26/24/41/330262441.db2.gz COXVHUHBJZBIGD-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cc(C[N@H+]2CCc3c(F)cc(F)cc3[C@H]2C)ccn1 ZINC000527532268 330262443 /nfs/dbraw/zinc/26/24/43/330262443.db2.gz COXVHUHBJZBIGD-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO C[C@@H](Nc1cccc(-c2[nH+]ccn2C)c1)[C@@H]1C[C@H]1C1CC1 ZINC000527675214 330270150 /nfs/dbraw/zinc/27/01/50/330270150.db2.gz CJGFWUWEZJEXTB-DQYPLSBCSA-N 1 2 281.403 3.934 20 0 CHADLO C[C@@H]1C[C@@H](NCc2c(F)ccc(F)c2Cl)c2[nH+]ccn21 ZINC000527720215 330277061 /nfs/dbraw/zinc/27/70/61/330277061.db2.gz WOOHSOUHZKWMAB-PRHODGIISA-N 1 2 297.736 3.610 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc(C2CCOCC2)c1 ZINC000527795090 330283855 /nfs/dbraw/zinc/28/38/55/330283855.db2.gz APDVWJAPGZXPBW-UHFFFAOYSA-N 1 2 299.418 3.970 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](c1cccc(C)c1)C(C)C ZINC000527806753 330284551 /nfs/dbraw/zinc/28/45/51/330284551.db2.gz AHGPJNIMSSNKAB-MRXNPFEDSA-N 1 2 257.381 3.513 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(C(C)(C)C)s1)c1ncccc1F ZINC000527827540 330286766 /nfs/dbraw/zinc/28/67/66/330286766.db2.gz FDZRTQGJZCUVJK-JTQLQIEISA-N 1 2 293.411 3.826 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@H](C)[C@@H]1CC12CC2 ZINC000527875274 330291116 /nfs/dbraw/zinc/29/11/16/330291116.db2.gz CLMIPBMGYMXWRT-KGLIPLIRSA-N 1 2 275.392 3.621 20 0 CHADLO COc1ccc[nH+]c1NCc1ccccc1OC1CCC1 ZINC000527893960 330292700 /nfs/dbraw/zinc/29/27/00/330292700.db2.gz ADVTUTVMIMXARZ-UHFFFAOYSA-N 1 2 284.359 3.634 20 0 CHADLO COc1c(Cl)cccc1NCc1c[nH+]cn1C(C)C ZINC000424151812 330297123 /nfs/dbraw/zinc/29/71/23/330297123.db2.gz IGEGXTPSRQMPML-UHFFFAOYSA-N 1 2 279.771 3.738 20 0 CHADLO COc1c(C)cc(Cl)cc1N[C@H]1C[C@H](C)n2cc[nH+]c21 ZINC000527974146 330301848 /nfs/dbraw/zinc/30/18/48/330301848.db2.gz NMVGDAWWJZVWNO-GWCFXTLKSA-N 1 2 291.782 3.971 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ncccc1F)c1ccc(Cl)cn1 ZINC000528036050 330302642 /nfs/dbraw/zinc/30/26/42/330302642.db2.gz ODSXMJXGQDSEEN-UWVGGRQHSA-N 1 2 279.746 3.681 20 0 CHADLO C[C@H]([NH2+]Cc1cc2ccccc2o1)c1cscn1 ZINC000536873831 330306098 /nfs/dbraw/zinc/30/60/98/330306098.db2.gz AVJOXMIDELCIJX-JTQLQIEISA-N 1 2 258.346 3.740 20 0 CHADLO c1cc(C2CCN(c3[nH+]ccc4ccccc43)CC2)no1 ZINC000528057395 330306415 /nfs/dbraw/zinc/30/64/15/330306415.db2.gz HPAFXJLZFFHGQQ-UHFFFAOYSA-N 1 2 279.343 3.607 20 0 CHADLO COc1cccc([C@@H]2CN(c3cccc[nH+]3)CC2(C)C)c1 ZINC000528118768 330307143 /nfs/dbraw/zinc/30/71/43/330307143.db2.gz MWCGOZXTBZTHGO-INIZCTEOSA-N 1 2 282.387 3.720 20 0 CHADLO CCC(F)(F)C(C)(C)CNc1cc(NC2CC2)nc[nH+]1 ZINC000528182833 330314107 /nfs/dbraw/zinc/31/41/07/330314107.db2.gz QWWKSTSGCUYOGZ-UHFFFAOYSA-N 1 2 284.354 3.534 20 0 CHADLO CCC(F)(F)C(C)(C)CNc1cc(NC2CC2)[nH+]cn1 ZINC000528182833 330314108 /nfs/dbraw/zinc/31/41/08/330314108.db2.gz QWWKSTSGCUYOGZ-UHFFFAOYSA-N 1 2 284.354 3.534 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2ncccc2Cl)cc1 ZINC000528343498 330319880 /nfs/dbraw/zinc/31/98/80/330319880.db2.gz CMPXHAYCFNEYAT-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2ncccc2Cl)cc1 ZINC000528343498 330319881 /nfs/dbraw/zinc/31/98/81/330319881.db2.gz CMPXHAYCFNEYAT-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@H]1CCCC12CC2 ZINC000528322292 330320800 /nfs/dbraw/zinc/32/08/00/330320800.db2.gz FIMFQSCUAISLOJ-AWEZNQCLSA-N 1 2 281.359 3.596 20 0 CHADLO C[C@H](CCc1ccccc1)C[NH2+][C@@H](C)C(F)(F)F ZINC000424187235 330320996 /nfs/dbraw/zinc/32/09/96/330320996.db2.gz VDDRVDYBILMZAZ-NEPJUHHUSA-N 1 2 259.315 3.796 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1ncccc1Cl ZINC000528344393 330321144 /nfs/dbraw/zinc/32/11/44/330321144.db2.gz IKNIEFNUCDAYJK-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1ncccc1Cl ZINC000528344393 330321145 /nfs/dbraw/zinc/32/11/45/330321145.db2.gz IKNIEFNUCDAYJK-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1c(NCc2c[nH+]cn2C(C)C)cnn1C1CCCC1 ZINC000424197685 330325756 /nfs/dbraw/zinc/32/57/56/330325756.db2.gz WSNXJEGDEWZJFQ-UHFFFAOYSA-N 1 2 287.411 3.696 20 0 CHADLO CCCc1csc(C[NH2+][C@@H]2C[C@]2(F)c2ccccc2)n1 ZINC000424201393 330326877 /nfs/dbraw/zinc/32/68/77/330326877.db2.gz RWKROFWKYHWWJP-ZBFHGGJFSA-N 1 2 290.407 3.823 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000533072682 330326963 /nfs/dbraw/zinc/32/69/63/330326963.db2.gz ZKEPBJGDLILDCG-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CCc2ccc(O)cc2C1 ZINC000533072682 330326964 /nfs/dbraw/zinc/32/69/64/330326964.db2.gz ZKEPBJGDLILDCG-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+](C)Cc1c(C)cccc1C ZINC000533074864 330329150 /nfs/dbraw/zinc/32/91/50/330329150.db2.gz YFQVPAXOYSHBRO-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+](C)Cc1c(C)cccc1C ZINC000533074864 330329151 /nfs/dbraw/zinc/32/91/51/330329151.db2.gz YFQVPAXOYSHBRO-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO CC[C@@H]([NH2+][C@@H](c1ccc(Cl)cc1)C(C)C)C(=O)OC ZINC000106032190 330331136 /nfs/dbraw/zinc/33/11/36/330331136.db2.gz HCCMSTCKFCMDCI-ZIAGYGMSSA-N 1 2 283.799 3.578 20 0 CHADLO Fc1ccc(-c2cnc(C[NH+]3Cc4ccccc4C3)o2)cc1 ZINC000106304506 330333754 /nfs/dbraw/zinc/33/37/54/330333754.db2.gz DPISBKOXZLEIPS-UHFFFAOYSA-N 1 2 294.329 3.997 20 0 CHADLO CO[C@H]1C[C@H]2C[C@H](Nc3c[nH+]c4c(c3)CCCC4)C[C@H]2C1 ZINC000424282144 330333924 /nfs/dbraw/zinc/33/39/24/330333924.db2.gz OYXHOQGGHMZEAY-CYGXGOLOSA-N 1 2 286.419 3.576 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@]1(F)c1ccccc1)c1ccc(F)cn1 ZINC000424329873 330341971 /nfs/dbraw/zinc/34/19/71/330341971.db2.gz SUOXHCQFUISKOF-IUIKQTSFSA-N 1 2 274.314 3.509 20 0 CHADLO CCN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)c1ccccc1 ZINC000045766628 330348209 /nfs/dbraw/zinc/34/82/09/330348209.db2.gz WBTYCZPEUQTUOE-UHFFFAOYSA-N 1 2 291.354 3.539 20 0 CHADLO CCc1ccccc1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000533218157 330353537 /nfs/dbraw/zinc/35/35/37/330353537.db2.gz YPFYYRPLSPAVJT-UHFFFAOYSA-N 1 2 291.354 3.891 20 0 CHADLO CCCc1nc(C[N@H+](Cc2ccccc2)[C@H](C)CC)no1 ZINC000055058660 330361338 /nfs/dbraw/zinc/36/13/38/330361338.db2.gz XTRWQLMGUUEQMK-CQSZACIVSA-N 1 2 287.407 3.823 20 0 CHADLO CCCc1nc(C[N@@H+](Cc2ccccc2)[C@H](C)CC)no1 ZINC000055058660 330361339 /nfs/dbraw/zinc/36/13/39/330361339.db2.gz XTRWQLMGUUEQMK-CQSZACIVSA-N 1 2 287.407 3.823 20 0 CHADLO CCCC[C@H](CC)CC(=O)NCc1cn2ccccc2[nH+]1 ZINC000117232424 330364148 /nfs/dbraw/zinc/36/41/48/330364148.db2.gz HGGVSGYVYICQOD-AWEZNQCLSA-N 1 2 287.407 3.557 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(OCC3CC3)cc2)c(C)[nH+]1 ZINC000119146832 330371366 /nfs/dbraw/zinc/37/13/66/330371366.db2.gz NLUIAIXMHDIGQB-UHFFFAOYSA-N 1 2 296.370 3.740 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1cccc(Cl)c1 ZINC000537045366 330394117 /nfs/dbraw/zinc/39/41/17/330394117.db2.gz LOZZOYYDKZZPRX-ZDUSSCGKSA-N 1 2 291.782 3.841 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000424594702 330396332 /nfs/dbraw/zinc/39/63/32/330396332.db2.gz DQMXNLLJEYSRCE-CJNGLKHVSA-N 1 2 283.375 3.637 20 0 CHADLO CC[C@@H](CSC)[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000091097833 330398799 /nfs/dbraw/zinc/39/87/99/330398799.db2.gz QBMJWCFZUWTHCP-ZDUSSCGKSA-N 1 2 297.468 3.691 20 0 CHADLO CC[C@@H](CSC)[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000091097833 330398800 /nfs/dbraw/zinc/39/88/00/330398800.db2.gz QBMJWCFZUWTHCP-ZDUSSCGKSA-N 1 2 297.468 3.691 20 0 CHADLO CC[C@H](CSC)[N@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000091097834 330398833 /nfs/dbraw/zinc/39/88/33/330398833.db2.gz QBMJWCFZUWTHCP-CYBMUJFWSA-N 1 2 297.468 3.691 20 0 CHADLO CC[C@H](CSC)[N@@H+](C)Cc1noc(C2CCCCC2)n1 ZINC000091097834 330398834 /nfs/dbraw/zinc/39/88/34/330398834.db2.gz QBMJWCFZUWTHCP-CYBMUJFWSA-N 1 2 297.468 3.691 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H]1CCC[C@H]1c1ccccc1 ZINC000122023721 330409216 /nfs/dbraw/zinc/40/92/16/330409216.db2.gz IJDBDGJHYLRQLQ-DOTOQJQBSA-N 1 2 295.386 3.848 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H](C)c1ccc(Cl)s1 ZINC000093401891 330420188 /nfs/dbraw/zinc/42/01/88/330420188.db2.gz VMJLFXMHYBKYAG-SECBINFHSA-N 1 2 295.795 3.988 20 0 CHADLO Cc1cc(NC(=O)Nc2cccc(C)c2C)cc[nH+]1 ZINC000096713163 330439833 /nfs/dbraw/zinc/43/98/33/330439833.db2.gz JXAXUHZVMWLNQT-UHFFFAOYSA-N 1 2 255.321 3.651 20 0 CHADLO CC1(C)SC[C@H]1[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000516094419 330486964 /nfs/dbraw/zinc/48/69/64/330486964.db2.gz PDFWXYUVJLYPFB-CHWSQXEVSA-N 1 2 285.403 3.737 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2ncc(C)s2)o1 ZINC000428431368 330523104 /nfs/dbraw/zinc/52/31/04/330523104.db2.gz SMSNTCOXYDHGBD-NSHDSACASA-N 1 2 279.409 3.551 20 0 CHADLO CCOC(=O)C(CC)(CC)[NH2+]Cc1ccc(C(F)F)cc1 ZINC000429274794 330541725 /nfs/dbraw/zinc/54/17/25/330541725.db2.gz QAHBBUIWFYGHAI-UHFFFAOYSA-N 1 2 299.361 3.836 20 0 CHADLO c1sc(Cc2ccccc2)nc1COc1cc[nH+]cc1 ZINC000431478042 330585124 /nfs/dbraw/zinc/58/51/24/330585124.db2.gz PRUYBMGXVDZSRD-UHFFFAOYSA-N 1 2 282.368 3.708 20 0 CHADLO Cc1nc(N[C@@H]2c3cccc(F)c3CC[C@@H]2C)cc[nH+]1 ZINC000431837512 330589403 /nfs/dbraw/zinc/58/94/03/330589403.db2.gz LZMFRSFYOZLYAD-QFYYESIMSA-N 1 2 271.339 3.660 20 0 CHADLO CC1CCC(O)(C[NH2+][C@H](c2ccccc2)C(F)F)CC1 ZINC000433052382 330614706 /nfs/dbraw/zinc/61/47/06/330614706.db2.gz LBXPTLRYKKDMHL-CXOJTPOUSA-N 1 2 283.362 3.524 20 0 CHADLO Cc1nc2[nH]ccc2c(N(C)C[C@H](C)c2ccccc2)[nH+]1 ZINC000433775080 330631012 /nfs/dbraw/zinc/63/10/12/330631012.db2.gz RRJBTIQBBBGPOR-LBPRGKRZSA-N 1 2 280.375 3.506 20 0 CHADLO Cc1cccc2[nH+]cc(CNc3ccsc3Cl)n21 ZINC000438372018 330723169 /nfs/dbraw/zinc/72/31/69/330723169.db2.gz LKHLUIOHGMPUFX-UHFFFAOYSA-N 1 2 277.780 3.970 20 0 CHADLO CCOCCCSCc1cn2cc(Cl)ccc2[nH+]1 ZINC000439533671 330740012 /nfs/dbraw/zinc/74/00/12/330740012.db2.gz CRIHNHFFEIXEGL-UHFFFAOYSA-N 1 2 284.812 3.648 20 0 CHADLO Cc1nc(N2CCC[C@]3(CCCc4ccccc43)C2)cc[nH+]1 ZINC000440194363 330746447 /nfs/dbraw/zinc/74/64/47/330746447.db2.gz YHEDZRQKVVQZSK-LJQANCHMSA-N 1 2 293.414 3.660 20 0 CHADLO CC[C@@H](F)C[NH2+][C@@H](C)c1nc(-c2ccc(C)c(F)c2)no1 ZINC000440523175 330749852 /nfs/dbraw/zinc/74/98/52/330749852.db2.gz HBTBTAYVGCGSSK-CMPLNLGQSA-N 1 2 295.333 3.583 20 0 CHADLO CC[C@@H](F)C[NH2+][C@H](C)c1ncc(-c2ccccc2)o1 ZINC000440531135 330750068 /nfs/dbraw/zinc/75/00/68/330750068.db2.gz ISHFUDGNKPCQET-DGCLKSJQSA-N 1 2 262.328 3.740 20 0 CHADLO CC[C@H]1CCC[C@H]1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000448673982 330781148 /nfs/dbraw/zinc/78/11/48/330781148.db2.gz VPMDOPZLDIKRFT-UONOGXRCSA-N 1 2 283.375 3.637 20 0 CHADLO CC(C)(C)c1csc(C[NH+]2CC(C)(C)OC(C)(C)C2)n1 ZINC000442874452 330789500 /nfs/dbraw/zinc/78/95/00/330789500.db2.gz NEBOWIGQQZYYSI-UHFFFAOYSA-N 1 2 296.480 3.830 20 0 CHADLO CC[C@@H](NC(=O)C[C@@H](c1ccccc1)C(C)C)c1[nH]cc[nH+]1 ZINC000443111307 330795362 /nfs/dbraw/zinc/79/53/62/330795362.db2.gz CWDGFVYYIWGSCV-HZPDHXFCSA-N 1 2 299.418 3.807 20 0 CHADLO Cc1ccccc1[C@H](CC(F)(F)F)[NH2+]Cc1cc[nH]n1 ZINC000444034453 330809621 /nfs/dbraw/zinc/80/96/21/330809621.db2.gz PTNLYPXLUGRUFF-ZDUSSCGKSA-N 1 2 283.297 3.501 20 0 CHADLO C[C@H](CNc1cc[nH+]c2ccncc12)c1ccc(F)cc1F ZINC000444075405 330809973 /nfs/dbraw/zinc/80/99/73/330809973.db2.gz ORYPIRPXKNSLES-LLVKDONJSA-N 1 2 299.324 3.546 20 0 CHADLO Cc1ccc(F)c(CN(C)Cc2[nH+]ccn2C(C)C)c1 ZINC000444732265 330822505 /nfs/dbraw/zinc/82/25/05/330822505.db2.gz IHUVISXAKVHKCL-UHFFFAOYSA-N 1 2 275.371 3.544 20 0 CHADLO CCC1(c2noc(C[NH+]3CCC(C)CC3)n2)CCCC1 ZINC000445787380 330836624 /nfs/dbraw/zinc/83/66/24/330836624.db2.gz OMGXZJNTSFMQPX-UHFFFAOYSA-N 1 2 277.412 3.523 20 0 CHADLO COc1cc(SC)ccc1[NH2+]C[C@@H]1CCO[C@H](C)C1 ZINC000447082458 330860854 /nfs/dbraw/zinc/86/08/54/330860854.db2.gz OVQITBMLTMDIOS-VXGBXAGGSA-N 1 2 281.421 3.644 20 0 CHADLO CCc1cc(OC[C@H]2CCO[C@H](C)C2)c2ccccc2[nH+]1 ZINC000447323402 330864797 /nfs/dbraw/zinc/86/47/97/330864797.db2.gz GBAJRGCYFWPJLG-KGLIPLIRSA-N 1 2 285.387 3.991 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccc(Cl)cc2Cl)nn1C ZINC000447325659 330864847 /nfs/dbraw/zinc/86/48/47/330864847.db2.gz QLGOGXUJANPMIF-JTQLQIEISA-N 1 2 298.217 3.886 20 0 CHADLO Cc1cn2cc(NC(=O)[C@H]3CCCCC3(C)C)ccc2[nH+]1 ZINC000447448632 330866806 /nfs/dbraw/zinc/86/68/06/330866806.db2.gz GOFFXPUJNMZPFR-CQSZACIVSA-N 1 2 285.391 3.798 20 0 CHADLO CCc1cc(N)nc(S[C@@H]2CCCc3ccccc32)[nH+]1 ZINC000447790423 330876668 /nfs/dbraw/zinc/87/66/68/330876668.db2.gz ZWVQHQYACNJFQB-CQSZACIVSA-N 1 2 285.416 3.621 20 0 CHADLO C[C@@H]([NH2+]Cc1cc2cc(F)ccc2o1)C(C)(F)F ZINC000449417046 330924270 /nfs/dbraw/zinc/92/42/70/330924270.db2.gz WPALWTUAIVGVEG-MRVPVSSYSA-N 1 2 257.255 3.705 20 0 CHADLO Cc1ccncc1C[NH2+][C@@H](CCc1ccccc1)C(F)F ZINC000449520001 330933348 /nfs/dbraw/zinc/93/33/48/330933348.db2.gz CRSCQVAXOITIGJ-INIZCTEOSA-N 1 2 290.357 3.746 20 0 CHADLO CC(C)c1cc(N2CC[C@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC000450645557 330991057 /nfs/dbraw/zinc/99/10/57/330991057.db2.gz PADVNFIECBAZTD-AWEZNQCLSA-N 1 2 259.397 3.712 20 0 CHADLO Cc1cc([C@H]([NH2+][C@H](C)c2csnn2)C2CC2)ccc1F ZINC000450698232 330994287 /nfs/dbraw/zinc/99/42/87/330994287.db2.gz VSPGHHWXLTXDHE-MEBBXXQBSA-N 1 2 291.395 3.788 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC(c3cccc(Cl)c3)C2)[nH+]1 ZINC000450817116 331000948 /nfs/dbraw/zinc/00/09/48/331000948.db2.gz DFJZSYVLOCJUDV-UHFFFAOYSA-N 1 2 298.777 3.524 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC(c3ccc(Cl)cc3)C2)[nH+]1 ZINC000450828019 331001450 /nfs/dbraw/zinc/00/14/50/331001450.db2.gz LPVXQRRXDSEFMB-UHFFFAOYSA-N 1 2 298.777 3.524 20 0 CHADLO Clc1ccc(C2CN(c3cc[nH+]c(C4CC4)n3)C2)cc1 ZINC000450828577 331001538 /nfs/dbraw/zinc/00/15/38/331001538.db2.gz NKRFIKWCUUBTGT-UHFFFAOYSA-N 1 2 285.778 3.611 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nnc(C2CC2)s1 ZINC000450841971 331002237 /nfs/dbraw/zinc/00/22/37/331002237.db2.gz AGOHJVYDWVNLQP-RAXLEYEMSA-N 1 2 299.443 3.951 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nnc(C2CC2)s1 ZINC000450841971 331002238 /nfs/dbraw/zinc/00/22/38/331002238.db2.gz AGOHJVYDWVNLQP-RAXLEYEMSA-N 1 2 299.443 3.951 20 0 CHADLO C[C@H](c1ccc(COCc2[nH]cc[nH+]2)cc1)C(F)(F)F ZINC000450895274 331005575 /nfs/dbraw/zinc/00/55/75/331005575.db2.gz KVSAYUNLSGBTPY-SNVBAGLBSA-N 1 2 284.281 3.792 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCC[C@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451020453 331012065 /nfs/dbraw/zinc/01/20/65/331012065.db2.gz ZXAZSNWKMYOZIE-WFASDCNBSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@H](F)C[N@H+]1CCC[C@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451020453 331012066 /nfs/dbraw/zinc/01/20/66/331012066.db2.gz ZXAZSNWKMYOZIE-WFASDCNBSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451020453 331012067 /nfs/dbraw/zinc/01/20/67/331012067.db2.gz ZXAZSNWKMYOZIE-WFASDCNBSA-N 1 2 275.371 3.756 20 0 CHADLO CC[C@H](F)C[N@H+]1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451020453 331012068 /nfs/dbraw/zinc/01/20/68/331012068.db2.gz ZXAZSNWKMYOZIE-WFASDCNBSA-N 1 2 275.371 3.756 20 0 CHADLO CCOc1ccc(C(C)=O)cc1C[N@@H+]1CCC[C@@](C)(F)C1 ZINC000451120754 331016356 /nfs/dbraw/zinc/01/63/56/331016356.db2.gz LODFSRXUKWYNMM-QGZVFWFLSA-N 1 2 293.382 3.612 20 0 CHADLO CCOc1ccc(C(C)=O)cc1C[N@H+]1CCC[C@@](C)(F)C1 ZINC000451120754 331016357 /nfs/dbraw/zinc/01/63/57/331016357.db2.gz LODFSRXUKWYNMM-QGZVFWFLSA-N 1 2 293.382 3.612 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc(-n3cc[nH+]c3)c(Cl)c2)[C@H](C)O1 ZINC000451138497 331017096 /nfs/dbraw/zinc/01/70/96/331017096.db2.gz ZEVJBTUTFBTITK-SUNKGSAMSA-N 1 2 291.782 3.504 20 0 CHADLO C[C@@H]1C[C@H](Nc2ccc(-n3cc[nH+]c3)c(Cl)c2)[C@@H](C)O1 ZINC000451138495 331017127 /nfs/dbraw/zinc/01/71/27/331017127.db2.gz ZEVJBTUTFBTITK-GYSYKLTISA-N 1 2 291.782 3.504 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000451158129 331018029 /nfs/dbraw/zinc/01/80/29/331018029.db2.gz JNYYHRLCIQHIIL-LLVKDONJSA-N 1 2 290.769 3.993 20 0 CHADLO C[C@H](c1cccnc1)[N@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000451158129 331018030 /nfs/dbraw/zinc/01/80/30/331018030.db2.gz JNYYHRLCIQHIIL-LLVKDONJSA-N 1 2 290.769 3.993 20 0 CHADLO CCCC[C@@H](C(=O)OC)[N@@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451827703 331043947 /nfs/dbraw/zinc/04/39/47/331043947.db2.gz AINOLZJECAJDOU-DZGCQCFKSA-N 1 2 291.391 3.538 20 0 CHADLO CCCC[C@@H](C(=O)OC)[N@H+]1CC2(CCC2)[C@H]1c1ccco1 ZINC000451827703 331043948 /nfs/dbraw/zinc/04/39/48/331043948.db2.gz AINOLZJECAJDOU-DZGCQCFKSA-N 1 2 291.391 3.538 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+]Cc2nnc(C3CC3)s2)c1 ZINC000452080039 331053280 /nfs/dbraw/zinc/05/32/80/331053280.db2.gz GWRRYZNQEABKLQ-LLVKDONJSA-N 1 2 273.405 3.575 20 0 CHADLO Cn1cc[nH+]c1[C@@H]1N(C(=O)CCCC(C)(C)C)CC1(C)C ZINC000452455275 331065146 /nfs/dbraw/zinc/06/51/46/331065146.db2.gz XMGVHXZIHNPKMQ-AWEZNQCLSA-N 1 2 291.439 3.546 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1cccc(O)c1 ZINC000194945885 331081335 /nfs/dbraw/zinc/08/13/35/331081335.db2.gz OABZRCYWVVSIET-CQSZACIVSA-N 1 2 297.402 3.951 20 0 CHADLO Cc1cc2[nH+]cn(C[C@@H](O)[C@@H](C)c3ccccc3)c2cc1C ZINC000453017112 331083721 /nfs/dbraw/zinc/08/37/21/331083721.db2.gz NLINBIHBMNRXRX-HNAYVOBHSA-N 1 2 294.398 3.818 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H](C)c1cc(F)ccc1F ZINC000453074895 331086839 /nfs/dbraw/zinc/08/68/39/331086839.db2.gz OUGRKGXWKCMTTJ-WDEREUQCSA-N 1 2 279.334 3.593 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3CC[C@@H](C)[C@H](C)C3)n2)c[nH+]1 ZINC000453082894 331087458 /nfs/dbraw/zinc/08/74/58/331087458.db2.gz HOWZIAFVZHSOAW-LALPHHSUSA-N 1 2 285.391 3.904 20 0 CHADLO Cc1ccc(CNc2ccc([C@H]3CCOC3)cc2)c(C)[nH+]1 ZINC000453124951 331091729 /nfs/dbraw/zinc/09/17/29/331091729.db2.gz SHNJYMLIDSBGKR-KRWDZBQOSA-N 1 2 282.387 3.814 20 0 CHADLO FC(F)(F)[C@@H]([NH2+]C1CCc2ccccc2CC1)C1CC1 ZINC000453218489 331102804 /nfs/dbraw/zinc/10/28/04/331102804.db2.gz IOGMHEBNCHETRA-HNNXBMFYSA-N 1 2 283.337 3.865 20 0 CHADLO Cc1ccoc1C[NH2+][C@H](C)c1ncc(-c2ccccc2)n1C ZINC000453239123 331105684 /nfs/dbraw/zinc/10/56/84/331105684.db2.gz MQNGWEAXRHPRET-CQSZACIVSA-N 1 2 295.386 3.839 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cnn(Cc2ccccc2)c1)C(F)F ZINC000453246974 331106958 /nfs/dbraw/zinc/10/69/58/331106958.db2.gz NEPSCKHDDTZQCV-DOMZBBRYSA-N 1 2 293.361 3.626 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cnn(C2CCCC2)c1)C(F)F ZINC000453250093 331107503 /nfs/dbraw/zinc/10/75/03/331107503.db2.gz RLMFTFUHQWLQHJ-GXFFZTMASA-N 1 2 271.355 3.693 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc2c(s1)CCC2)C(F)F ZINC000453254913 331108087 /nfs/dbraw/zinc/10/80/87/331108087.db2.gz YRPWRHPYCQNAKJ-WCBMZHEXSA-N 1 2 259.365 3.931 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc2c(s1)CCC2)C(F)F ZINC000453254911 331108119 /nfs/dbraw/zinc/10/81/19/331108119.db2.gz YRPWRHPYCQNAKJ-PSASIEDQSA-N 1 2 259.365 3.931 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(-c2ccccc2)nn1C)C(F)F ZINC000453255342 331108146 /nfs/dbraw/zinc/10/81/46/331108146.db2.gz ZTXQIWDHIFYASK-WCQYABFASA-N 1 2 293.361 3.781 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(-c2ccccc2)nn1C)C(F)F ZINC000453255334 331108188 /nfs/dbraw/zinc/10/81/88/331108188.db2.gz ZTXQIWDHIFYASK-AAEUAGOBSA-N 1 2 293.361 3.781 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCSc2ccc(F)cc21)C(C)(F)F ZINC000453277537 331110202 /nfs/dbraw/zinc/11/02/02/331110202.db2.gz DJTJQUYYKHQBEJ-GZMMTYOYSA-N 1 2 275.339 3.996 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2c1c(F)ccc2F)C(C)(F)F ZINC000453279687 331110470 /nfs/dbraw/zinc/11/04/70/331110470.db2.gz GCCSFYXPILKDNL-HQJQHLMTSA-N 1 2 261.262 3.585 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(F)cc1N(C)C ZINC000453281599 331110679 /nfs/dbraw/zinc/11/06/79/331110679.db2.gz HJSLVPKEEYKMTH-NXEZZACHSA-N 1 2 274.330 3.586 20 0 CHADLO CC[C@@H](Nc1cccc(OC(C)C)c1)c1[nH+]ccn1C ZINC000453304054 331113745 /nfs/dbraw/zinc/11/37/45/331113745.db2.gz HDUMHKPPXWUUSS-OAHLLOKOSA-N 1 2 273.380 3.771 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@@H]2[NH2+]Cc1nn(C)cc1Cl ZINC000453317062 331115735 /nfs/dbraw/zinc/11/57/35/331115735.db2.gz IKDKUWFADNXGTB-RISCZKNCSA-N 1 2 289.810 3.720 20 0 CHADLO Cc1cccc2c1[C@@H](C)C[C@@H]2[NH2+]Cc1nn(C)cc1Cl ZINC000453317061 331115754 /nfs/dbraw/zinc/11/57/54/331115754.db2.gz IKDKUWFADNXGTB-FZMZJTMJSA-N 1 2 289.810 3.720 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](CC)c2ccc(F)cc2F)o1 ZINC000453344443 331119675 /nfs/dbraw/zinc/11/96/75/331119675.db2.gz DGOCLXPUJXOUNQ-AWEZNQCLSA-N 1 2 280.318 3.756 20 0 CHADLO CCCc1ncc(C[NH2+][C@H](C)c2ccc(Cl)cn2)o1 ZINC000453380197 331125476 /nfs/dbraw/zinc/12/54/76/331125476.db2.gz KYRVJQWXHQOSTR-SNVBAGLBSA-N 1 2 279.771 3.526 20 0 CHADLO C[C@H]1CC=C(C(=O)Nc2ccccc2-n2cc[nH+]c2)CC1 ZINC000454619662 331149967 /nfs/dbraw/zinc/14/99/67/331149967.db2.gz MILNRQFHKDLDBX-ZDUSSCGKSA-N 1 2 281.359 3.557 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)Nc1c(C)cc[nH+]c1C ZINC000455436240 331169881 /nfs/dbraw/zinc/16/98/81/331169881.db2.gz JFXYVGXDCOCJLQ-CYBMUJFWSA-N 1 2 275.396 3.955 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H](C)CC(C)(C)C ZINC000455439226 331169933 /nfs/dbraw/zinc/16/99/33/331169933.db2.gz MIGJVIZUGLGWFV-LLVKDONJSA-N 1 2 263.385 3.645 20 0 CHADLO Cc1cc(C)c(NC(=O)N([C@H](C)C2CC2)C2CC2)c(C)[nH+]1 ZINC000455485721 331170787 /nfs/dbraw/zinc/17/07/87/331170787.db2.gz KFOCLDDIAJMGHQ-CYBMUJFWSA-N 1 2 287.407 3.802 20 0 CHADLO Cc1ccc(CCNC(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000455491200 331170877 /nfs/dbraw/zinc/17/08/77/331170877.db2.gz YBQZUWHJBJTYNW-UHFFFAOYSA-N 1 2 297.402 3.679 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C[C@@H](C)C1CCCCC1 ZINC000456366531 331191117 /nfs/dbraw/zinc/19/11/17/331191117.db2.gz BTVYHJKCYQGMNI-ZIAGYGMSSA-N 1 2 291.439 3.687 20 0 CHADLO CCC[C@H](C(=O)N[C@@H](C)c1[nH+]ccn1CC)c1ccccc1 ZINC000456377985 331191734 /nfs/dbraw/zinc/19/17/34/331191734.db2.gz IKJKQYMXZHOEDP-HOCLYGCPSA-N 1 2 299.418 3.664 20 0 CHADLO C[C@H](CC(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21)C1CCCCC1 ZINC000456391415 331192084 /nfs/dbraw/zinc/19/20/84/331192084.db2.gz QVIADYYXBOVYCX-UMVBOHGHSA-N 1 2 289.423 3.612 20 0 CHADLO C[C@H](CCC(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456428245 331192468 /nfs/dbraw/zinc/19/24/68/331192468.db2.gz RGNFJCUHHNKOFB-YCPHGPKFSA-N 1 2 297.402 3.589 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc(Cl)c(C)c2)c[nH+]1 ZINC000456841608 331207241 /nfs/dbraw/zinc/20/72/41/331207241.db2.gz PBTHNXRYQSDCHM-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO Cc1cc(C)c(CNC(=O)CCCCC(C)(C)C)c[nH+]1 ZINC000456845644 331207306 /nfs/dbraw/zinc/20/73/06/331207306.db2.gz SJYCGSYSQMTRAV-UHFFFAOYSA-N 1 2 276.424 3.921 20 0 CHADLO Cc1ccc(C(C)(C)C(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000456848777 331207457 /nfs/dbraw/zinc/20/74/57/331207457.db2.gz UVAZZVNZHLRSKI-UHFFFAOYSA-N 1 2 296.414 3.601 20 0 CHADLO CC[C@H](CC1CCCC1)C(=O)NCc1c[nH+]c(C)cc1C ZINC000456853843 331207555 /nfs/dbraw/zinc/20/75/55/331207555.db2.gz YDTLQGNYAQNIJO-MRXNPFEDSA-N 1 2 288.435 3.921 20 0 CHADLO CC(C)CCCCC(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000457650850 331230156 /nfs/dbraw/zinc/23/01/56/331230156.db2.gz MXMNZRHEHDHHLZ-HOTGVXAUSA-N 1 2 291.439 3.509 20 0 CHADLO CC(C)CCCCC(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000457650854 331230192 /nfs/dbraw/zinc/23/01/92/331230192.db2.gz MXMNZRHEHDHHLZ-JKSUJKDBSA-N 1 2 291.439 3.509 20 0 CHADLO CCC1(NC(=O)NCc2c[nH+]c(C)cc2C)CCCCC1 ZINC000459077180 331269355 /nfs/dbraw/zinc/26/93/55/331269355.db2.gz NATICBNROAJNPO-UHFFFAOYSA-N 1 2 289.423 3.611 20 0 CHADLO Cc1cc(C)c(CNC(=O)N2C[C@H](C)c3ccccc32)c[nH+]1 ZINC000459128252 331270949 /nfs/dbraw/zinc/27/09/49/331270949.db2.gz SYNMBENDUSWONK-ZDUSSCGKSA-N 1 2 295.386 3.532 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cnc(C3CC3)nc1)CC2 ZINC000459332981 331276500 /nfs/dbraw/zinc/27/65/00/331276500.db2.gz AGWIWZFFNDIBKF-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cnc(C3CC3)nc1)CC2 ZINC000459332981 331276501 /nfs/dbraw/zinc/27/65/01/331276501.db2.gz AGWIWZFFNDIBKF-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO Fc1cc(NC2CC3(CCC3)C2)ccc1-n1cc[nH+]c1 ZINC000459341388 331276986 /nfs/dbraw/zinc/27/69/86/331276986.db2.gz CAYADQZFPQKOPU-UHFFFAOYSA-N 1 2 271.339 3.756 20 0 CHADLO Fc1ccccc1COc1cccc(C[NH2+]CC(F)F)c1 ZINC000459541704 331286787 /nfs/dbraw/zinc/28/67/87/331286787.db2.gz HAYCZQKJYFOLIX-UHFFFAOYSA-N 1 2 295.304 3.759 20 0 CHADLO C/C(=C\c1ccccc1C(F)(F)F)C[NH2+]CC(F)F ZINC000459552387 331287408 /nfs/dbraw/zinc/28/74/08/331287408.db2.gz ZDWHKYWMYWRRKT-RMKNXTFCSA-N 1 2 279.252 3.963 20 0 CHADLO CCCCCOc1cc(C[NH2+]CC(F)F)ccc1OC ZINC000459552365 331287497 /nfs/dbraw/zinc/28/74/97/331287497.db2.gz YWKQULMGBILBNT-UHFFFAOYSA-N 1 2 287.350 3.619 20 0 CHADLO CCOc1cc(C[NH2+][C@@H](CC)C(F)F)cc(Cl)c1O ZINC000459563973 331288160 /nfs/dbraw/zinc/28/81/60/331288160.db2.gz HWNFOVTWPNFJQK-JTQLQIEISA-N 1 2 293.741 3.578 20 0 CHADLO Clc1ccc(Cl)c(C[NH+]2CC3(C2)CCCOC3)c1 ZINC000459604799 331290341 /nfs/dbraw/zinc/29/03/41/331290341.db2.gz KQWSODOSZGIAAQ-UHFFFAOYSA-N 1 2 286.202 3.606 20 0 CHADLO Clc1cccc(C[N@@H+]2C[C@@H]3C[C@H]2CS3)c1Cl ZINC000459746930 331297894 /nfs/dbraw/zinc/29/78/94/331297894.db2.gz GGMBVTUWSZROSW-UWVGGRQHSA-N 1 2 274.216 3.683 20 0 CHADLO Clc1cccc(C[N@H+]2C[C@@H]3C[C@H]2CS3)c1Cl ZINC000459746930 331297895 /nfs/dbraw/zinc/29/78/95/331297895.db2.gz GGMBVTUWSZROSW-UWVGGRQHSA-N 1 2 274.216 3.683 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2cscn2)c[nH+]1 ZINC000165324124 331306105 /nfs/dbraw/zinc/30/61/05/331306105.db2.gz DGMKBKXRTPGMRS-LLVKDONJSA-N 1 2 276.409 3.557 20 0 CHADLO C[C@H](CC(=O)Nc1cccc2[nH+]ccn21)c1cccc(F)c1 ZINC000460342544 331309381 /nfs/dbraw/zinc/30/93/81/331309381.db2.gz HYXUNTHJPMAIOW-GFCCVEGCSA-N 1 2 297.333 3.606 20 0 CHADLO Cc1cccn2c(CNc3ncc(Cl)cc3C)c[nH+]c12 ZINC000461210009 331311930 /nfs/dbraw/zinc/31/19/30/331311930.db2.gz YZMISGAJEKUWCY-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO CC(C)C[C@H](Nc1cc[nH+]c(C2CC2)n1)c1ccccc1 ZINC000461454642 331313442 /nfs/dbraw/zinc/31/34/42/331313442.db2.gz VGLROOHQZUBRCS-INIZCTEOSA-N 1 2 281.403 3.975 20 0 CHADLO Cc1cccc(-c2cccc(CNc3cc[nH+]c(C)n3)c2)c1 ZINC000462800404 331329605 /nfs/dbraw/zinc/32/96/05/331329605.db2.gz CADJVAUXLVERLQ-UHFFFAOYSA-N 1 2 289.382 3.794 20 0 CHADLO Fc1ccc(F)c(C[NH+]2CC(c3ccccc3F)C2)c1 ZINC000462827111 331331478 /nfs/dbraw/zinc/33/14/78/331331478.db2.gz OHXPGXJNKUJHJL-UHFFFAOYSA-N 1 2 277.289 3.703 20 0 CHADLO Cc1ccc([C@@H]2CCC[C@@H]2N(C)c2cc(C)[nH+]cn2)cc1 ZINC000463071165 331339295 /nfs/dbraw/zinc/33/92/95/331339295.db2.gz QTZRCQYCLTXLQI-IRXDYDNUSA-N 1 2 281.403 3.866 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2F)[N@H+](CC(=O)OC(C)(C)C)C1 ZINC000464741604 331365818 /nfs/dbraw/zinc/36/58/18/331365818.db2.gz UMHKSTRSONCPAC-DOMZBBRYSA-N 1 2 293.382 3.550 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2F)[N@@H+](CC(=O)OC(C)(C)C)C1 ZINC000464741604 331365819 /nfs/dbraw/zinc/36/58/19/331365819.db2.gz UMHKSTRSONCPAC-DOMZBBRYSA-N 1 2 293.382 3.550 20 0 CHADLO CC/C=C/CC[N@@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000466222044 331391157 /nfs/dbraw/zinc/39/11/57/331391157.db2.gz GRIKKXWNLMINOG-QDLOVBKTSA-N 1 2 296.418 3.965 20 0 CHADLO CC/C=C/CC[N@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000466222044 331391158 /nfs/dbraw/zinc/39/11/58/331391158.db2.gz GRIKKXWNLMINOG-QDLOVBKTSA-N 1 2 296.418 3.965 20 0 CHADLO c1cc2cccc(CNc3ccc4ccccc4[nH+]3)c2[nH]1 ZINC000466384024 331394283 /nfs/dbraw/zinc/39/42/83/331394283.db2.gz UQHCZHQQIGZPFD-UHFFFAOYSA-N 1 2 273.339 3.750 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)CO)c1cccc(-c2ccccc2)c1 ZINC000468040908 331427460 /nfs/dbraw/zinc/42/74/60/331427460.db2.gz XJXIUFAWYKDHNK-ZDUSSCGKSA-N 1 2 291.341 3.632 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CCCC1CCCCC1 ZINC000510973104 332922498 /nfs/dbraw/zinc/92/24/98/332922498.db2.gz BBKJFTBWIXFFKX-UHFFFAOYSA-N 1 2 274.408 3.755 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1ccc(C2CCC2)cc1 ZINC000479076033 331719823 /nfs/dbraw/zinc/71/98/23/331719823.db2.gz GHUSDYJIUUUGRH-UHFFFAOYSA-N 1 2 280.371 3.586 20 0 CHADLO Cc1c(F)cccc1NCc1ccc(N(C)C(C)C)[nH+]c1 ZINC000480292636 331766612 /nfs/dbraw/zinc/76/66/12/331766612.db2.gz OATAGCXQSSOZFT-UHFFFAOYSA-N 1 2 287.382 3.986 20 0 CHADLO CC(C)(C)c1ccc(CNc2cc[nH+]cc2F)s1 ZINC000486346247 331935435 /nfs/dbraw/zinc/93/54/35/331935435.db2.gz RXHLKCSZFDVZSW-UHFFFAOYSA-N 1 2 264.369 3.614 20 0 CHADLO CC(C)(C)[C@H]1C[C@H](Nc2ccc3ccccc3[nH+]2)CCO1 ZINC000487077549 331955929 /nfs/dbraw/zinc/95/59/29/331955929.db2.gz WJLXUZHQNGINDU-GDBMZVCRSA-N 1 2 284.403 3.662 20 0 CHADLO CC(C)c1cc(N2CC(C)(C)OC[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000487111629 331956477 /nfs/dbraw/zinc/95/64/77/331956477.db2.gz KGIWFLLVGICNMQ-ZDUSSCGKSA-N 1 2 291.439 3.727 20 0 CHADLO Cc1cc(N2CC[C@@H](C3CC3)C2)c2cccc(F)c2[nH+]1 ZINC000487173024 331958109 /nfs/dbraw/zinc/95/81/09/331958109.db2.gz XCYTWJTXABGRBB-CYBMUJFWSA-N 1 2 270.351 3.919 20 0 CHADLO C[C@H]1C[C@H]1C[NH2+]c1ccc(-n2cccn2)c(Cl)c1 ZINC000488326934 331999950 /nfs/dbraw/zinc/99/99/50/331999950.db2.gz LSBUELYVXCPGRC-QWRGUYRKSA-N 1 2 261.756 3.594 20 0 CHADLO C[C@H]1C[C@H]1CNc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000488329599 332000043 /nfs/dbraw/zinc/00/00/43/332000043.db2.gz HMFUCQDJSAMYHA-ZFWWWQNUSA-N 1 2 281.403 3.954 20 0 CHADLO CC(C)C1CC(Nc2ccccc2OCCn2cc[nH+]c2)C1 ZINC000488341201 332001017 /nfs/dbraw/zinc/00/10/17/332001017.db2.gz UUOCURXCBVDHKU-UHFFFAOYSA-N 1 2 299.418 3.809 20 0 CHADLO C[C@H]1C[C@@H]1CNc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000488352396 332001525 /nfs/dbraw/zinc/00/15/25/332001525.db2.gz NQRSEFPFQQLYRJ-WDEREUQCSA-N 1 2 261.756 3.594 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1NC1CCC2(CC2)CC1 ZINC000488432055 332007698 /nfs/dbraw/zinc/00/76/98/332007698.db2.gz GLRWZHYJJWAXBN-UHFFFAOYSA-N 1 2 271.408 3.817 20 0 CHADLO FC1(F)CCCC[C@@H](CNc2cc[nH+]c3ccncc23)C1 ZINC000488467307 332012120 /nfs/dbraw/zinc/01/21/20/332012120.db2.gz QYFZCZVSZDDYKY-GFCCVEGCSA-N 1 2 291.345 3.679 20 0 CHADLO COc1ncccc1C[N@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000488981623 332036472 /nfs/dbraw/zinc/03/64/72/332036472.db2.gz KVRDPBZQIQTDEU-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ncccc1C[N@@H+](C)Cc1ccc(F)c(Cl)c1 ZINC000488981623 332036474 /nfs/dbraw/zinc/03/64/74/332036474.db2.gz KVRDPBZQIQTDEU-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC[C@@H]1CCN1c1cc(C(C)(C)C)[nH+]c(C(C)C)n1 ZINC000489049434 332039429 /nfs/dbraw/zinc/03/94/29/332039429.db2.gz VQHWXZKMWDVZTJ-GFCCVEGCSA-N 1 2 261.413 3.886 20 0 CHADLO FC(F)(F)[C@H]([NH2+][C@@H]1CCC[C@@H]([C@@H]2CCOC2)C1)C1CC1 ZINC000489829214 332069283 /nfs/dbraw/zinc/06/92/83/332069283.db2.gz RHCCVJGCBJWFAP-AAVRWANBSA-N 1 2 291.357 3.512 20 0 CHADLO Cc1cc(NC(=O)C[C@@H](c2ccccc2)C(C)C)cc[nH+]1 ZINC000490208211 332084641 /nfs/dbraw/zinc/08/46/41/332084641.db2.gz ALXPMEZGGZAYNX-QGZVFWFLSA-N 1 2 282.387 3.580 20 0 CHADLO O=C(/C=C\c1[nH]cc[nH+]1)N1CCCCC[C@@H]1c1ccccc1 ZINC000493110352 332426563 /nfs/dbraw/zinc/42/65/63/332426563.db2.gz ZXQVOOKLIWXZLD-BLIJAFNYSA-N 1 2 295.386 3.567 20 0 CHADLO Cc1cc(NC(=O)CCCC2CCCCC2)cc[nH+]1 ZINC000499653507 332591181 /nfs/dbraw/zinc/59/11/81/332591181.db2.gz BDZRIFAPAJRBTL-UHFFFAOYSA-N 1 2 260.381 3.501 20 0 CHADLO CCCC[C@H]([NH2+][C@H]1C[C@H](c2ccccc2C)C1)C(=O)OC ZINC000500840134 332619988 /nfs/dbraw/zinc/61/99/88/332619988.db2.gz DUUBCUIYODPKSY-ZOBUZTSGSA-N 1 2 289.419 3.562 20 0 CHADLO CC[C@H](C)C(=O)Nc1c[nH+]c(N2CCCC[C@H]2C)c(C)c1 ZINC000504847890 332722404 /nfs/dbraw/zinc/72/24/04/332722404.db2.gz XYOCUQWEVFMEQY-GXTWGEPZSA-N 1 2 289.423 3.753 20 0 CHADLO CCN(Cc1[nH+]ccn1C(C)C)c1ccccc1C ZINC000505887319 332756737 /nfs/dbraw/zinc/75/67/37/332756737.db2.gz WXOIBODATFSIET-UHFFFAOYSA-N 1 2 257.381 3.799 20 0 CHADLO CC[C@]([NH2+]CCC1CC1)(C(=O)OC)c1ccc(Cl)cc1 ZINC000512247337 332960304 /nfs/dbraw/zinc/96/03/04/332960304.db2.gz XSTFLABEKFYKQU-MRXNPFEDSA-N 1 2 295.810 3.508 20 0 CHADLO CC(C)c1ccc(CNc2ccc(N3CCCC3)c[nH+]2)cc1 ZINC000513054428 333001682 /nfs/dbraw/zinc/00/16/82/333001682.db2.gz MXSGDCDHNFBBML-UHFFFAOYSA-N 1 2 295.430 3.839 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1CCSC1CCCCC1 ZINC000513220543 333009373 /nfs/dbraw/zinc/00/93/73/333009373.db2.gz ZSODAGPWLUMBQH-GFCCVEGCSA-N 1 2 263.397 3.782 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1CCSC1CCCCC1 ZINC000513220543 333009376 /nfs/dbraw/zinc/00/93/76/333009376.db2.gz ZSODAGPWLUMBQH-GFCCVEGCSA-N 1 2 263.397 3.782 20 0 CHADLO C[N@H+](CCSc1ccccc1F)Cc1nccs1 ZINC000175097679 333014688 /nfs/dbraw/zinc/01/46/88/333014688.db2.gz OVNGDTUHMHCLLE-UHFFFAOYSA-N 1 2 282.409 3.506 20 0 CHADLO C[N@@H+](CCSc1ccccc1F)Cc1nccs1 ZINC000175097679 333014690 /nfs/dbraw/zinc/01/46/90/333014690.db2.gz OVNGDTUHMHCLLE-UHFFFAOYSA-N 1 2 282.409 3.506 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(Cl)c2Cl)CCS1 ZINC000513429733 333018686 /nfs/dbraw/zinc/01/86/86/333018686.db2.gz JLJHEBBBZSHKMU-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(Cl)c2Cl)CCS1 ZINC000513429733 333018687 /nfs/dbraw/zinc/01/86/87/333018687.db2.gz JLJHEBBBZSHKMU-SECBINFHSA-N 1 2 276.232 3.931 20 0 CHADLO C[C@H](c1ccccc1Br)[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000513726023 333035787 /nfs/dbraw/zinc/03/57/87/333035787.db2.gz WDWZWHMTYWBSON-GRYCIOLGSA-N 1 2 298.224 3.619 20 0 CHADLO C[C@H](c1ccccc1Br)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000513726023 333035788 /nfs/dbraw/zinc/03/57/88/333035788.db2.gz WDWZWHMTYWBSON-GRYCIOLGSA-N 1 2 298.224 3.619 20 0 CHADLO Cc1ccc(NC(=O)N2CCC(C(C)(C)C)CC2)c(C)[nH+]1 ZINC000176786443 333047126 /nfs/dbraw/zinc/04/71/26/333047126.db2.gz CCSZMDDRSTWJDD-UHFFFAOYSA-N 1 2 289.423 3.988 20 0 CHADLO CCC[N@H+](CCOc1cccc(Cl)c1)CC(F)F ZINC000514004733 333058967 /nfs/dbraw/zinc/05/89/67/333058967.db2.gz RAOLYLPJPMYKGF-UHFFFAOYSA-N 1 2 277.742 3.696 20 0 CHADLO CCC[N@@H+](CCOc1cccc(Cl)c1)CC(F)F ZINC000514004733 333058968 /nfs/dbraw/zinc/05/89/68/333058968.db2.gz RAOLYLPJPMYKGF-UHFFFAOYSA-N 1 2 277.742 3.696 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC000177649439 333061387 /nfs/dbraw/zinc/06/13/87/333061387.db2.gz WZSONISBGSMNTG-SNVBAGLBSA-N 1 2 279.252 3.739 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)c(F)c1 ZINC000177649439 333061388 /nfs/dbraw/zinc/06/13/88/333061388.db2.gz WZSONISBGSMNTG-SNVBAGLBSA-N 1 2 279.252 3.739 20 0 CHADLO CC1(C)CCCC[C@@H]1CC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178987337 333078842 /nfs/dbraw/zinc/07/88/42/333078842.db2.gz DCYAWNFWWQCWHT-OAHLLOKOSA-N 1 2 299.418 3.557 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)cn1)c1cscn1 ZINC000517396066 333098825 /nfs/dbraw/zinc/09/88/25/333098825.db2.gz ZXEPPAZMQJASGR-IUCAKERBSA-N 1 2 267.785 3.603 20 0 CHADLO c1ccc2[nH+]c(NC[C@@H]3CCOc4ccccc43)ccc2c1 ZINC000517490552 333100625 /nfs/dbraw/zinc/10/06/25/333100625.db2.gz XLIJLXCXJOOUKG-HNNXBMFYSA-N 1 2 290.366 3.635 20 0 CHADLO CC(C)c1nc(NCC(C)(C)c2ccccc2F)cc[nH+]1 ZINC000517638027 333104564 /nfs/dbraw/zinc/10/45/64/333104564.db2.gz ZOMKZGPCDGEDQM-UHFFFAOYSA-N 1 2 287.382 3.551 20 0 CHADLO Fc1cccc([C@H]2CCN(c3[nH]c4ccccc4[nH+]3)C2)c1 ZINC000520852310 333106960 /nfs/dbraw/zinc/10/69/60/333106960.db2.gz IOEHMNBTPXCKMX-ZDUSSCGKSA-N 1 2 281.334 3.696 20 0 CHADLO Cc1cncc([C@H](C)[NH2+]Cc2coc(-c3ccccc3)n2)c1 ZINC000184369493 333127559 /nfs/dbraw/zinc/12/75/59/333127559.db2.gz UMZIGGWUMHPRQO-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1oc2ccccc2c1C(=O)Nc1cc[nH+]cc1C ZINC000185501880 333142141 /nfs/dbraw/zinc/14/21/41/333142141.db2.gz LAAJUTKUNHABIO-UHFFFAOYSA-N 1 2 266.300 3.697 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cc(O)cc(F)c2)c1 ZINC000185612294 333145026 /nfs/dbraw/zinc/14/50/26/333145026.db2.gz JTUKTQUHRJLJEO-UHFFFAOYSA-N 1 2 292.379 3.792 20 0 CHADLO Cc1cc(Br)cc(N[C@@H](C)c2[nH+]ccn2C)c1 ZINC000186788871 333165308 /nfs/dbraw/zinc/16/53/08/333165308.db2.gz HWRXXRJFKJEEBK-JTQLQIEISA-N 1 2 294.196 3.664 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@H](C)c1ncc(C)o1 ZINC000220897419 333170233 /nfs/dbraw/zinc/17/02/33/333170233.db2.gz QTUHKYFDYSPHAX-QWHCGFSZSA-N 1 2 274.364 3.712 20 0 CHADLO C[C@H](Nc1cccc(-n2cc[nH+]c2)c1)C1CCSCC1 ZINC000187505214 333171759 /nfs/dbraw/zinc/17/17/59/333171759.db2.gz ZPVPYRHOQOCESF-ZDUSSCGKSA-N 1 2 287.432 3.816 20 0 CHADLO C[C@H]1CCC[C@H]1CC(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000187625321 333173092 /nfs/dbraw/zinc/17/30/92/333173092.db2.gz HSLMRPIMTJWELN-STQMWFEESA-N 1 2 283.375 3.842 20 0 CHADLO CCOC(=O)[C@H](C)[N@H+](Cc1ccc(C(F)F)cc1)C1CC1 ZINC000190817951 333211027 /nfs/dbraw/zinc/21/10/27/333211027.db2.gz DXCXUVPABKYWKE-NSHDSACASA-N 1 2 297.345 3.540 20 0 CHADLO CCOC(=O)[C@H](C)[N@@H+](Cc1ccc(C(F)F)cc1)C1CC1 ZINC000190817951 333211028 /nfs/dbraw/zinc/21/10/28/333211028.db2.gz DXCXUVPABKYWKE-NSHDSACASA-N 1 2 297.345 3.540 20 0 CHADLO CCN(C)c1ccc(CNc2ccccc2OC(C)C)c[nH+]1 ZINC000191062433 333214600 /nfs/dbraw/zinc/21/46/00/333214600.db2.gz ANRQRPDNNRMDOI-UHFFFAOYSA-N 1 2 299.418 3.937 20 0 CHADLO Cc1ccccc1[C@@H]1CCN(c2cc[nH+]c(C(C)C)n2)C1 ZINC000521932415 333223986 /nfs/dbraw/zinc/22/39/86/333223986.db2.gz NRHVDSUTDFSXIC-OAHLLOKOSA-N 1 2 281.403 3.902 20 0 CHADLO CC(C)c1nc(N[C@H]2CCc3cc(Cl)ccc32)cc[nH+]1 ZINC000521935536 333224009 /nfs/dbraw/zinc/22/40/09/333224009.db2.gz WCSRVIGIMPEPSR-AWEZNQCLSA-N 1 2 287.794 3.775 20 0 CHADLO CCOc1cccc([C@H](C)Nc2cc[nH+]c(C(C)C)n2)c1 ZINC000521955464 333227043 /nfs/dbraw/zinc/22/70/43/333227043.db2.gz HIVCGMRZRZSLBZ-ZDUSSCGKSA-N 1 2 285.391 3.594 20 0 CHADLO Cc1cc(NC(=O)C2(C)CC2)ccc1Oc1cc[nH+]cc1 ZINC000193697040 333255865 /nfs/dbraw/zinc/25/58/65/333255865.db2.gz KUUMEGOEIRWORE-UHFFFAOYSA-N 1 2 282.343 3.921 20 0 CHADLO CCS[C@@H]1CCC[C@H]1Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000230186077 333315753 /nfs/dbraw/zinc/31/57/53/333315753.db2.gz KIIRLOOMRARCLG-CHWSQXEVSA-N 1 2 279.453 3.995 20 0 CHADLO CC[C@@H](CC(=O)NCc1cccc(-n2cc[nH+]c2)c1)C(C)C ZINC000523733337 333342774 /nfs/dbraw/zinc/34/27/74/333342774.db2.gz WQFGFTNJEKKBRT-INIZCTEOSA-N 1 2 299.418 3.561 20 0 CHADLO COC(=O)[C@H](C)[NH2+][C@H](CC1CCCCC1)c1ccccc1 ZINC000523913520 333349221 /nfs/dbraw/zinc/34/92/21/333349221.db2.gz RCDGKJVEODGAIR-WMLDXEAASA-N 1 2 289.419 3.849 20 0 CHADLO COC(=O)[C@H]([NH2+]CC[C@@H]1CCC[C@@H](C)C1)c1ccccc1 ZINC000524015726 333351353 /nfs/dbraw/zinc/35/13/53/333351353.db2.gz OJRXVYDZVQVITJ-HLLBOEOZSA-N 1 2 289.419 3.707 20 0 CHADLO CCC[C@@H](CC)[NH2+][C@@H](C(=O)OC)c1cccc(Cl)c1 ZINC000524015862 333351489 /nfs/dbraw/zinc/35/14/89/333351489.db2.gz UQVGIOLKCBZXLT-ZIAGYGMSSA-N 1 2 283.799 3.722 20 0 CHADLO CC(C)c1ccc([C@H](C)CC(=O)N(C)Cc2[nH]cc[nH+]2)cc1 ZINC000524113036 333353718 /nfs/dbraw/zinc/35/37/18/333353718.db2.gz JFYROFYLGBBUNZ-CQSZACIVSA-N 1 2 299.418 3.685 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCCCC(F)(F)F ZINC000234909669 333363112 /nfs/dbraw/zinc/36/31/12/333363112.db2.gz TWDQPLXCVHGGJZ-UHFFFAOYSA-N 1 2 284.281 3.998 20 0 CHADLO Cc1cccc(CNc2[nH]c3cc(F)ccc3[nH+]2)c1 ZINC000236006292 333372942 /nfs/dbraw/zinc/37/29/42/333372942.db2.gz UUUPXKOSFFLUJQ-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO CCO[C@H]1C[C@@H]([NH2+]c2ccc(-n3cccn3)cc2)C12CCC2 ZINC000315932470 333384675 /nfs/dbraw/zinc/38/46/75/333384675.db2.gz RJRCKCBTLCMAFM-SJORKVTESA-N 1 2 297.402 3.632 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@@H](C)C(C)(C)C)ccc2n1C ZINC000525211478 333404147 /nfs/dbraw/zinc/40/41/47/333404147.db2.gz MUCLKMPMCVXXLI-SNVBAGLBSA-N 1 2 273.380 3.502 20 0 CHADLO CC(C)c1ccccc1NC(=O)c1ccc2[nH+]ccn2c1 ZINC000057775819 333421060 /nfs/dbraw/zinc/42/10/60/333421060.db2.gz UJBFOPJWGQXQKH-UHFFFAOYSA-N 1 2 279.343 3.710 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1ncccc1Cl ZINC000528434407 333437332 /nfs/dbraw/zinc/43/73/32/333437332.db2.gz ZZUDHBCPVHBKOP-LLVKDONJSA-N 1 2 276.767 3.594 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)[C@H]1CCCC12CC2 ZINC000528890396 333463432 /nfs/dbraw/zinc/46/34/32/333463432.db2.gz KYLYQLKJECDLDU-GFCCVEGCSA-N 1 2 288.391 3.778 20 0 CHADLO CC[C@@H](C)C[C@H](CC)[NH2+]c1ccc(NS(C)(=O)=O)cc1 ZINC000037077026 333474547 /nfs/dbraw/zinc/47/45/47/333474547.db2.gz PERVKJUELZVSOD-OLZOCXBDSA-N 1 2 298.452 3.685 20 0 CHADLO Cc1nocc1C[NH2+]Cc1cc(Cl)sc1Cl ZINC000339335809 335143773 /nfs/dbraw/zinc/14/37/73/335143773.db2.gz VKJJKDFNTLBTFB-UHFFFAOYSA-N 1 2 277.176 3.641 20 0 CHADLO CCCCc1noc(CSCCc2cccc(C)[nH+]2)n1 ZINC000576040719 335144502 /nfs/dbraw/zinc/14/45/02/335144502.db2.gz QDVUCGVMHICNEP-UHFFFAOYSA-N 1 2 291.420 3.592 20 0 CHADLO CCc1nc(C[NH2+][C@@H](c2cccs2)C(CC)CC)no1 ZINC000538180621 333578026 /nfs/dbraw/zinc/57/80/26/333578026.db2.gz VYVPCZHYWVZZAI-OAHLLOKOSA-N 1 2 293.436 3.961 20 0 CHADLO Fc1ccc(F)c(CN[C@@H](c2[nH]cc[nH+]2)c2ccccc2)c1 ZINC000126737253 333603855 /nfs/dbraw/zinc/60/38/55/333603855.db2.gz JHCTYHOIPQTZLL-MRXNPFEDSA-N 1 2 299.324 3.567 20 0 CHADLO C[C@@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)C(C)(C)C ZINC000538632733 333609706 /nfs/dbraw/zinc/60/97/06/333609706.db2.gz FFCSIFONWZJQNH-LLVKDONJSA-N 1 2 261.344 3.858 20 0 CHADLO COc1cc(C)[nH+]c(COc2cc(Cl)ccc2F)c1 ZINC000538755488 333625497 /nfs/dbraw/zinc/62/54/97/333625497.db2.gz YOQYOHDVOYAPAD-UHFFFAOYSA-N 1 2 281.714 3.770 20 0 CHADLO CCc1cnc(C[NH2+][C@H](CC)c2cc(F)ccc2F)o1 ZINC000539343586 333666905 /nfs/dbraw/zinc/66/69/05/333666905.db2.gz RHWHCNSRSRCRKI-CQSZACIVSA-N 1 2 280.318 3.756 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1csc(C)n1 ZINC000128904568 333726029 /nfs/dbraw/zinc/72/60/29/333726029.db2.gz BJOZLLFBLYLGSO-UHFFFAOYSA-N 1 2 290.367 3.572 20 0 CHADLO CC1(C)CC[C@H](Nc2ccc(N3CCCC3)[nH+]c2)C1 ZINC000129360851 333756780 /nfs/dbraw/zinc/75/67/80/333756780.db2.gz WRQMUHPQHZQYIG-ZDUSSCGKSA-N 1 2 259.397 3.672 20 0 CHADLO Fc1cc(CNc2c[nH+]c3c(c2)CCCC3)cc(F)c1F ZINC000541997151 333805774 /nfs/dbraw/zinc/80/57/74/333805774.db2.gz VIJAJIXOKGWIDS-UHFFFAOYSA-N 1 2 292.304 3.990 20 0 CHADLO Cc1cc(NC(=O)c2ccc(SC3CC3)cc2)c(C)c[nH+]1 ZINC000542149690 333811842 /nfs/dbraw/zinc/81/18/42/333811842.db2.gz MWVAXIFQBZDNNP-UHFFFAOYSA-N 1 2 298.411 3.627 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](C)c2ccc(-c3ccccc3)o2)s1 ZINC000542811431 333842310 /nfs/dbraw/zinc/84/23/10/333842310.db2.gz WKEMRPBLTBHTPE-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc(OC)cc2F)s1 ZINC000130430445 333842449 /nfs/dbraw/zinc/84/24/49/333842449.db2.gz VSSPEJRKWWXVDZ-JTQLQIEISA-N 1 2 294.395 3.704 20 0 CHADLO CC[C@H]1C[C@@H](CNc2c[nH+]c3c(c2)CCCC3)CCO1 ZINC000542924738 333850030 /nfs/dbraw/zinc/85/00/30/333850030.db2.gz NHWOJIJCAJFGHP-BBRMVZONSA-N 1 2 274.408 3.578 20 0 CHADLO Cc1[nH+]cccc1Cc1nc([C@H]2C[C@H](C)C[C@H](C)C2)no1 ZINC000564263273 333852489 /nfs/dbraw/zinc/85/24/89/333852489.db2.gz CWGXNKYOZOVAKY-JYAVWHMHSA-N 1 2 285.391 3.904 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(Cl)c1Cl)c1ccn(C)n1 ZINC000543591242 333882446 /nfs/dbraw/zinc/88/24/46/333882446.db2.gz DPCSMNLMSKZXAO-LBPRGKRZSA-N 1 2 298.217 3.968 20 0 CHADLO CC(C)[C@H]1C[C@H](Nc2c[nH+]c3c(c2)CCCC3)CCO1 ZINC000544041616 333912088 /nfs/dbraw/zinc/91/20/88/333912088.db2.gz SJZXMLMYZLTKNA-RHSMWYFYSA-N 1 2 274.408 3.576 20 0 CHADLO Cc1cccc([C@H]2CCN(c3cc(C)[nH+]c(C4CC4)n3)C2)c1 ZINC000544142988 333918590 /nfs/dbraw/zinc/91/85/90/333918590.db2.gz RRBRJAMGQCSSSG-KRWDZBQOSA-N 1 2 293.414 3.965 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC2(CCOCC2)[C@@H]1C ZINC000544415655 333930619 /nfs/dbraw/zinc/93/06/19/333930619.db2.gz PZVVYOYDECLJIH-HNNXBMFYSA-N 1 2 296.414 3.939 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+]Cc1nc(CC(C)C)no1 ZINC000544895867 333951084 /nfs/dbraw/zinc/95/10/84/333951084.db2.gz TYOQIGHQFOTQRC-AWEZNQCLSA-N 1 2 287.407 3.736 20 0 CHADLO CCC(CC)c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000546398125 334030673 /nfs/dbraw/zinc/03/06/73/334030673.db2.gz REQBGXNUMWCJJK-UHFFFAOYSA-N 1 2 282.347 3.826 20 0 CHADLO Cc1cc(OCC2CCOCC2)c2cccc(C)c2[nH+]1 ZINC000546887738 334053913 /nfs/dbraw/zinc/05/39/13/334053913.db2.gz HCWNKNGYWLJNSS-UHFFFAOYSA-N 1 2 271.360 3.657 20 0 CHADLO C[C@@]1(F)CCN(c2[nH+]cccc2OCc2ccccc2)C1 ZINC000546888914 334054254 /nfs/dbraw/zinc/05/42/54/334054254.db2.gz ZMYFJZBNHKHUEC-QGZVFWFLSA-N 1 2 286.350 3.599 20 0 CHADLO Cc1ccc(C(C)(C)C[N@H+](C)Cc2noc(C3CC3)n2)cc1 ZINC000075861640 334055295 /nfs/dbraw/zinc/05/52/95/334055295.db2.gz BWSRNRCBRFCQAA-UHFFFAOYSA-N 1 2 299.418 3.665 20 0 CHADLO Cc1ccc(C(C)(C)C[N@@H+](C)Cc2noc(C3CC3)n2)cc1 ZINC000075861640 334055296 /nfs/dbraw/zinc/05/52/96/334055296.db2.gz BWSRNRCBRFCQAA-UHFFFAOYSA-N 1 2 299.418 3.665 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)c2cccc(SC)c2)c1 ZINC000546963018 334058307 /nfs/dbraw/zinc/05/83/07/334058307.db2.gz AZFQGDQBJQPMLA-UHFFFAOYSA-N 1 2 288.416 3.757 20 0 CHADLO CC(C)C[C@H](C)Cc1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000547018122 334061966 /nfs/dbraw/zinc/06/19/66/334061966.db2.gz DCCUFSRUIOSXHA-ZDUSSCGKSA-N 1 2 298.390 3.533 20 0 CHADLO C[C@@H]1CC[C@@H](c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)C1 ZINC000547104758 334066799 /nfs/dbraw/zinc/06/67/99/334066799.db2.gz VTRPWGQESSYRGI-TZMCWYRMSA-N 1 2 294.358 3.826 20 0 CHADLO CC(C)(C)Cc1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000547104191 334066869 /nfs/dbraw/zinc/06/68/69/334066869.db2.gz OLPXKZZUXOILOT-UHFFFAOYSA-N 1 2 282.347 3.511 20 0 CHADLO CC[C@@]1(C)C[C@@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000547109217 334067034 /nfs/dbraw/zinc/06/70/34/334067034.db2.gz QPZNUVAIRORYCN-PBHICJAKSA-N 1 2 294.358 3.826 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)[C@@H](C)c1ccccc1)c1ccccc1 ZINC000237123429 334081955 /nfs/dbraw/zinc/08/19/55/334081955.db2.gz GSDBJZCCCKPVMU-RVKKMQEKSA-N 1 2 297.398 3.683 20 0 CHADLO CC(C)c1cc(NCCc2nccs2)nc(C(C)C)[nH+]1 ZINC000134746168 334102889 /nfs/dbraw/zinc/10/28/89/334102889.db2.gz DQFOEKVLQUZETI-UHFFFAOYSA-N 1 2 290.436 3.835 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@@H](C)c1ncc(C)s1 ZINC000134906936 334107920 /nfs/dbraw/zinc/10/79/20/334107920.db2.gz OWIOJQVTJFDFIZ-LBPRGKRZSA-N 1 2 276.405 3.619 20 0 CHADLO Cc1ccc(CN2CCCN(C)c3cc(F)ccc32)c(C)[nH+]1 ZINC000547831746 334124943 /nfs/dbraw/zinc/12/49/43/334124943.db2.gz HJENCHUCHJWPGK-UHFFFAOYSA-N 1 2 299.393 3.684 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)C1=CCCCCC1 ZINC000547834605 334125300 /nfs/dbraw/zinc/12/53/00/334125300.db2.gz CHKGOTLRTYTNAV-UHFFFAOYSA-N 1 2 281.359 3.906 20 0 CHADLO CC(C)[N@@H+](Cc1ccc(OC(F)F)cc1)CC(F)F ZINC000135413675 334129216 /nfs/dbraw/zinc/12/92/16/334129216.db2.gz ORGVOODQMQNHFD-UHFFFAOYSA-N 1 2 279.277 3.764 20 0 CHADLO CC(C)[N@H+](Cc1ccc(OC(F)F)cc1)CC(F)F ZINC000135413675 334129217 /nfs/dbraw/zinc/12/92/17/334129217.db2.gz ORGVOODQMQNHFD-UHFFFAOYSA-N 1 2 279.277 3.764 20 0 CHADLO CC[C@]1(C)C[N@@H+]([C@@H](C)c2ccc(F)c(F)c2)CCO1 ZINC000135644189 334135133 /nfs/dbraw/zinc/13/51/33/334135133.db2.gz GZGNXQWUDXUSCA-XHDPSFHLSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@]1(C)C[N@H+]([C@@H](C)c2ccc(F)c(F)c2)CCO1 ZINC000135644189 334135135 /nfs/dbraw/zinc/13/51/35/334135135.db2.gz GZGNXQWUDXUSCA-XHDPSFHLSA-N 1 2 269.335 3.527 20 0 CHADLO C[C@@H](c1nc2ccccc2n1C(F)F)[NH+]1[C@H](C)C[C@H]1C ZINC000548098564 334140012 /nfs/dbraw/zinc/14/00/12/334140012.db2.gz AKGCPHSQLMZIHD-MXWKQRLJSA-N 1 2 279.334 3.975 20 0 CHADLO FC(F)(F)Cn1cc[nH+]c1CNc1cccc2cc[nH]c21 ZINC000548140277 334142230 /nfs/dbraw/zinc/14/22/30/334142230.db2.gz NJOQEGYQUJMFCP-UHFFFAOYSA-N 1 2 294.280 3.539 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)cc2c1OCC2)c1nccs1 ZINC000136398803 334153914 /nfs/dbraw/zinc/15/39/14/334153914.db2.gz ISFUEULXEOWWJQ-SECBINFHSA-N 1 2 294.807 3.582 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1cc(F)cc(Br)c1 ZINC000548754522 334170118 /nfs/dbraw/zinc/17/01/18/334170118.db2.gz SLHIADQFPAHUQW-IUCAKERBSA-N 1 2 272.161 3.571 20 0 CHADLO CC(C)CCc1ccc(NC(=O)CCc2[nH]cc[nH+]2)cc1 ZINC000548776999 334173808 /nfs/dbraw/zinc/17/38/08/334173808.db2.gz UDRVEPVBPQOGBM-UHFFFAOYSA-N 1 2 285.391 3.570 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2cccc(Cl)c2Cl)nn1C ZINC000548793952 334174482 /nfs/dbraw/zinc/17/44/82/334174482.db2.gz KPUIFUCDUBALLQ-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO COc1cccc2c(N[C@H]3CCC[C@H]3F)cc[nH+]c12 ZINC000549297404 334189719 /nfs/dbraw/zinc/18/97/19/334189719.db2.gz KXSGVKUNQVQQGL-YPMHNXCESA-N 1 2 260.312 3.546 20 0 CHADLO F[C@]1(c2cccc(Cl)c2)CC[N@H+](Cc2cncs2)C1 ZINC000549426009 334193660 /nfs/dbraw/zinc/19/36/60/334193660.db2.gz VNTOXCYLWCKQNX-CQSZACIVSA-N 1 2 296.798 3.867 20 0 CHADLO F[C@]1(c2cccc(Cl)c2)CC[N@@H+](Cc2cncs2)C1 ZINC000549426009 334193662 /nfs/dbraw/zinc/19/36/62/334193662.db2.gz VNTOXCYLWCKQNX-CQSZACIVSA-N 1 2 296.798 3.867 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1CCc1cc(Cl)ccc1Cl ZINC000550028178 334211653 /nfs/dbraw/zinc/21/16/53/334211653.db2.gz DACUOIUGZVBNDJ-LBPRGKRZSA-N 1 2 276.182 3.970 20 0 CHADLO FC[C@@H]1CCC[N@H+]1CCc1cc(Cl)ccc1Cl ZINC000550028178 334211655 /nfs/dbraw/zinc/21/16/55/334211655.db2.gz DACUOIUGZVBNDJ-LBPRGKRZSA-N 1 2 276.182 3.970 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1CCc1cccc(Cl)c1Cl ZINC000550028123 334211799 /nfs/dbraw/zinc/21/17/99/334211799.db2.gz BVZNKYNPVWUNFN-NSHDSACASA-N 1 2 276.182 3.970 20 0 CHADLO FC[C@@H]1CCC[N@H+]1CCc1cccc(Cl)c1Cl ZINC000550028123 334211801 /nfs/dbraw/zinc/21/18/01/334211801.db2.gz BVZNKYNPVWUNFN-NSHDSACASA-N 1 2 276.182 3.970 20 0 CHADLO CCC[C@H](C(=O)NCc1ccccc1-n1cc[nH+]c1)C(C)C ZINC000138492098 334217009 /nfs/dbraw/zinc/21/70/09/334217009.db2.gz DHIFQWFUMAVSJP-INIZCTEOSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1ccc(NC(=O)N2[C@H](C)C[C@H]3CCCC[C@H]32)c(C)[nH+]1 ZINC000245849913 334221617 /nfs/dbraw/zinc/22/16/17/334221617.db2.gz BANPOBXUAIYKFF-XNRPHZJLSA-N 1 2 287.407 3.883 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](CCc1ccccc1)C(C)C ZINC000550441771 334222519 /nfs/dbraw/zinc/22/25/19/334222519.db2.gz OWVOVDZRYMUKKT-KRWDZBQOSA-N 1 2 296.414 3.655 20 0 CHADLO CCc1ccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)s1 ZINC000551283348 334245120 /nfs/dbraw/zinc/24/51/20/334245120.db2.gz KGVUKRXFJAJVEA-SNVBAGLBSA-N 1 2 293.436 3.842 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cccc(OCCF)c3)cn2c1 ZINC000551597631 334255663 /nfs/dbraw/zinc/25/56/63/334255663.db2.gz WFEAVHSCUOLKJU-UHFFFAOYSA-N 1 2 299.349 3.603 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@H](c3ccccc3)[C@@H](C)C2)no1 ZINC000246628011 334265771 /nfs/dbraw/zinc/26/57/71/334265771.db2.gz HQXGZLCRCVQMJI-HOCLYGCPSA-N 1 2 299.418 3.648 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@H](c3ccccc3)[C@@H](C)C2)no1 ZINC000246628011 334265773 /nfs/dbraw/zinc/26/57/73/334265773.db2.gz HQXGZLCRCVQMJI-HOCLYGCPSA-N 1 2 299.418 3.648 20 0 CHADLO Cc1cccn2cc(Cc3nc(CCC(C)(C)C)no3)[nH+]c12 ZINC000551958707 334267841 /nfs/dbraw/zinc/26/78/41/334267841.db2.gz NWZJURCNAOZOJJ-UHFFFAOYSA-N 1 2 298.390 3.595 20 0 CHADLO CC[C@@H](NC(=O)c1cc2sccc2s1)c1[nH]cc[nH+]1 ZINC000140585558 334281107 /nfs/dbraw/zinc/28/11/07/334281107.db2.gz LQOVYYCDCRZOOJ-MRVPVSSYSA-N 1 2 291.401 3.567 20 0 CHADLO CC1(C)C[N@H+](Cc2cnc(C3CC3)s2)[C@@H]1c1ccncc1 ZINC000552064686 334284105 /nfs/dbraw/zinc/28/41/05/334284105.db2.gz HZZBFSIMSSEVIZ-OAHLLOKOSA-N 1 2 299.443 3.999 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnc(C3CC3)s2)[C@@H]1c1ccncc1 ZINC000552064686 334284106 /nfs/dbraw/zinc/28/41/06/334284106.db2.gz HZZBFSIMSSEVIZ-OAHLLOKOSA-N 1 2 299.443 3.999 20 0 CHADLO CC[C@@H](C)n1ncc(N[C@@H](C)c2[nH]cc[nH+]2)c1C1CC1 ZINC000552066393 334284821 /nfs/dbraw/zinc/28/48/21/334284821.db2.gz ILBQLWZIEOMWDS-MNOVXSKESA-N 1 2 273.384 3.628 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(-c3ccc(Cl)cc3)o2)CCO1 ZINC000552374051 334321379 /nfs/dbraw/zinc/32/13/79/334321379.db2.gz AOYKKRRIHRWTSP-LBPRGKRZSA-N 1 2 291.778 3.821 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(-c3ccc(Cl)cc3)o2)CCO1 ZINC000552374051 334321381 /nfs/dbraw/zinc/32/13/81/334321381.db2.gz AOYKKRRIHRWTSP-LBPRGKRZSA-N 1 2 291.778 3.821 20 0 CHADLO CC(=O)c1ccc(OCc2cn3cccc(C)c3[nH+]2)cc1F ZINC000552535461 334334269 /nfs/dbraw/zinc/33/42/69/334334269.db2.gz MDZQEXITNWQOQO-UHFFFAOYSA-N 1 2 298.317 3.563 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCc3ccccc3C2(C)C)no1 ZINC000552678564 334342550 /nfs/dbraw/zinc/34/25/50/334342550.db2.gz SECFUQRSGHIJCF-UHFFFAOYSA-N 1 2 299.418 3.706 20 0 CHADLO CCCCc1nc(C[N@H+]2CCc3ccccc3C2(C)C)no1 ZINC000552678564 334342551 /nfs/dbraw/zinc/34/25/51/334342551.db2.gz SECFUQRSGHIJCF-UHFFFAOYSA-N 1 2 299.418 3.706 20 0 CHADLO CCCCc1noc(C[N@@H+]2CCc3ccccc3C2(C)C)n1 ZINC000552678541 334342566 /nfs/dbraw/zinc/34/25/66/334342566.db2.gz QOMXAGUJDORLDX-UHFFFAOYSA-N 1 2 299.418 3.706 20 0 CHADLO CCCCc1noc(C[N@H+]2CCc3ccccc3C2(C)C)n1 ZINC000552678541 334342568 /nfs/dbraw/zinc/34/25/68/334342568.db2.gz QOMXAGUJDORLDX-UHFFFAOYSA-N 1 2 299.418 3.706 20 0 CHADLO Cc1cc(NC(=O)c2cccc(-c3ccoc3)c2)cc[nH+]1 ZINC000089641895 334347937 /nfs/dbraw/zinc/34/79/37/334347937.db2.gz KZGZHIURWWGDRI-UHFFFAOYSA-N 1 2 278.311 3.902 20 0 CHADLO CCc1nc(N2CCC[C@@H]2c2ccc(C)cc2)cc(C)[nH+]1 ZINC000552976075 334354290 /nfs/dbraw/zinc/35/42/90/334354290.db2.gz FRNGEBQUFAEJGY-MRXNPFEDSA-N 1 2 281.403 3.997 20 0 CHADLO Cc1cc[nH+]c(NC[C@@H]2CCc3ccccc3N2)c1Cl ZINC000553040796 334358153 /nfs/dbraw/zinc/35/81/53/334358153.db2.gz NSXUSSUOBWIBIN-ZDUSSCGKSA-N 1 2 287.794 3.882 20 0 CHADLO C/C=C/C[C@@H](CO)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000553192003 334367894 /nfs/dbraw/zinc/36/78/94/334367894.db2.gz XCDFDNNOIFLENR-VUDBWIFFSA-N 1 2 276.767 3.627 20 0 CHADLO Cc1cc(N2C[C@H](c3ccccc3)[C@H]3CCC[C@@H]32)nc[nH+]1 ZINC000553264426 334372421 /nfs/dbraw/zinc/37/24/21/334372421.db2.gz MACUUWBSQDYEFY-ZACQAIPSSA-N 1 2 279.387 3.558 20 0 CHADLO CCOC[C@H](C)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000553539695 334390833 /nfs/dbraw/zinc/39/08/33/334390833.db2.gz CYGXBODBHDJNPD-JTQLQIEISA-N 1 2 264.756 3.725 20 0 CHADLO O=C(c1cccc(C2CC2)c1)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000565183521 334543484 /nfs/dbraw/zinc/54/34/84/334543484.db2.gz VVSXUVCUDNZJJE-MRXNPFEDSA-N 1 2 295.386 3.655 20 0 CHADLO Cc1cccc2cc(C(=O)NC(C)(C)c3[nH]cc[nH+]3)sc21 ZINC000565485887 334568110 /nfs/dbraw/zinc/56/81/10/334568110.db2.gz HEKBWVLANCCYTB-UHFFFAOYSA-N 1 2 299.399 3.598 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H]2CC23CCCCC3)n1 ZINC000567127064 334683327 /nfs/dbraw/zinc/68/33/27/334683327.db2.gz WQOSFTMBEKGCAB-AWEZNQCLSA-N 1 2 297.402 3.996 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)C1CC(c2cccc(F)c2)C1 ZINC000567738969 334757240 /nfs/dbraw/zinc/75/72/40/334757240.db2.gz QBLGWUKYKDHLGQ-UHFFFAOYSA-N 1 2 298.361 3.970 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1cncc(Br)c1 ZINC000568276438 334810345 /nfs/dbraw/zinc/81/03/45/334810345.db2.gz LKCPLBPSIYYTOM-YUMQZZPRSA-N 1 2 293.155 3.538 20 0 CHADLO Cc1cc(NC(=O)[C@H]2CCC[C@H]2c2ccccc2)c(C)c[nH+]1 ZINC000577147857 335308983 /nfs/dbraw/zinc/30/89/83/335308983.db2.gz DWLKVQOYWKGRIE-IRXDYDNUSA-N 1 2 294.398 3.643 20 0 CHADLO Cc1c[nH+]c(C)nc1N[C@H]1CCc2sc(Cl)cc21 ZINC000577295675 335336481 /nfs/dbraw/zinc/33/64/81/335336481.db2.gz YKANWDQXYBIWBA-JTQLQIEISA-N 1 2 279.796 3.908 20 0 CHADLO Cc1cccc(CC[N@@H+](Cc2ccccc2)CC(F)F)n1 ZINC000577369194 335343438 /nfs/dbraw/zinc/34/34/38/335343438.db2.gz VMBYRLBJQAFELR-UHFFFAOYSA-N 1 2 290.357 3.700 20 0 CHADLO Cc1cccc(CC[N@H+](Cc2ccccc2)CC(F)F)n1 ZINC000577369194 335343440 /nfs/dbraw/zinc/34/34/40/335343440.db2.gz VMBYRLBJQAFELR-UHFFFAOYSA-N 1 2 290.357 3.700 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2cccs2)n1)c1ccoc1 ZINC000178661465 335443901 /nfs/dbraw/zinc/44/39/01/335443901.db2.gz XSVKNVMZAUJZPS-JTQLQIEISA-N 1 2 274.345 3.847 20 0 CHADLO Clc1ccc(SCc2c[nH+]c3ccccn23)nc1 ZINC000179778151 335516906 /nfs/dbraw/zinc/51/69/06/335516906.db2.gz POBMTYUGMWBLJX-UHFFFAOYSA-N 1 2 275.764 3.675 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C2C(C)(C)C2(C)C)n1 ZINC000351240162 335586200 /nfs/dbraw/zinc/58/62/00/335586200.db2.gz WXEURJZTEHYPAL-UHFFFAOYSA-N 1 2 271.364 3.513 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(C3CC3)cc2)o1 ZINC000579213044 335722035 /nfs/dbraw/zinc/72/20/35/335722035.db2.gz QWIFBPLMIFZJDF-WDEREUQCSA-N 1 2 271.364 3.667 20 0 CHADLO C[C@@H](Nc1cccc(OCC(F)(F)F)c1)c1[nH+]ccn1C ZINC000182845963 335846445 /nfs/dbraw/zinc/84/64/45/335846445.db2.gz YNLOKXDCHRKJSP-SNVBAGLBSA-N 1 2 299.296 3.534 20 0 CHADLO C[C@@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)C1CCC1 ZINC000182909269 335849688 /nfs/dbraw/zinc/84/96/88/335849688.db2.gz YNJLKMOMSPUABF-LLVKDONJSA-N 1 2 259.328 3.612 20 0 CHADLO CC(C)c1cc(N[C@@H]2CCCOCC2)nc(C(C)C)[nH+]1 ZINC000182993369 335855236 /nfs/dbraw/zinc/85/52/36/335855236.db2.gz WGVMIBNCGGCOLH-CYBMUJFWSA-N 1 2 277.412 3.704 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nccn1C)c1ccc(F)cc1F ZINC000182996997 335855479 /nfs/dbraw/zinc/85/54/79/335855479.db2.gz VMIYREDPDSSRDW-YGRLFVJLSA-N 1 2 279.334 3.500 20 0 CHADLO COc1cccc2c1cc[nH+]c2N1C[C@@H](C)S[C@H](C)C1 ZINC000581052780 336001369 /nfs/dbraw/zinc/00/13/69/336001369.db2.gz NJUZWCBJLTXHIM-VXGBXAGGSA-N 1 2 288.416 3.574 20 0 CHADLO C[C@@H]1CC[C@H](CC(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000581078014 336005446 /nfs/dbraw/zinc/00/54/46/336005446.db2.gz QJTNEAMHFVFMOH-KGLIPLIRSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2cc[nH]n2)c2ccccc2)c(C)c1 ZINC000194294680 336014370 /nfs/dbraw/zinc/01/43/70/336014370.db2.gz AGEKXXQFHJTKAY-LJQANCHMSA-N 1 2 291.398 3.906 20 0 CHADLO Cc1cc(Cl)c(NC(=O)C[C@@H](C)n2cc[nH+]c2)cc1C ZINC000581313860 336046750 /nfs/dbraw/zinc/04/67/50/336046750.db2.gz UOOWIPGCQTWAAC-GFCCVEGCSA-N 1 2 291.782 3.743 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)CCC(F)(F)F)C(F)(F)F ZINC000381197331 336052398 /nfs/dbraw/zinc/05/23/98/336052398.db2.gz BJPGATNXIGXRPB-BQBZGAKWSA-N 1 2 251.214 3.648 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cnc(-c2ccccc2F)s1 ZINC000581350867 336057352 /nfs/dbraw/zinc/05/73/52/336057352.db2.gz HMCHXPUPNKTWKD-UHFFFAOYSA-N 1 2 286.322 3.694 20 0 CHADLO Cc1cc(C[NH2+]Cc2csc(Cl)c2Cl)no1 ZINC000381423528 336062502 /nfs/dbraw/zinc/06/25/02/336062502.db2.gz YAQLHGMXBCJTNS-UHFFFAOYSA-N 1 2 277.176 3.641 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccc(F)c(Cl)c1 ZINC000382304685 336085819 /nfs/dbraw/zinc/08/58/19/336085819.db2.gz OMJCUXCORBMFDK-ZETCQYMHSA-N 1 2 251.679 3.785 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C)c(C)[nH]1)c1ccc(F)cc1F ZINC000581560162 336103369 /nfs/dbraw/zinc/10/33/69/336103369.db2.gz YHMCYLZPCABFCK-AWEZNQCLSA-N 1 2 279.334 3.546 20 0 CHADLO CC[C@H](NCc1[nH]c(C)c(C)[nH+]1)c1ccc(F)cc1F ZINC000581560162 336103371 /nfs/dbraw/zinc/10/33/71/336103371.db2.gz YHMCYLZPCABFCK-AWEZNQCLSA-N 1 2 279.334 3.546 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N(C)Cc1ccccn1 ZINC000344945464 533909673 /nfs/dbraw/zinc/90/96/73/533909673.db2.gz ULGRIPIRKXYORN-UHFFFAOYSA-N 1 2 293.370 3.583 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)c2cccc(C3CCC3)c2)c2[nH+]ccn21 ZINC000334767339 533940651 /nfs/dbraw/zinc/94/06/51/533940651.db2.gz GJBCDMVZHOLUOM-WBMJQRKESA-N 1 2 295.386 3.586 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)Cc1ccc2ccccc2c1 ZINC000356059674 533990246 /nfs/dbraw/zinc/99/02/46/533990246.db2.gz QGQGCLSDVBYZGN-UHFFFAOYSA-N 1 2 265.360 3.503 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)Cc1ccc2ccccc2c1 ZINC000356059674 533990252 /nfs/dbraw/zinc/99/02/52/533990252.db2.gz QGQGCLSDVBYZGN-UHFFFAOYSA-N 1 2 265.360 3.503 20 0 CHADLO C[C@@H]1C[C@H](c2cccnc2)N(c2[nH+]c3ccccc3n2C)C1 ZINC000347883833 534004451 /nfs/dbraw/zinc/00/44/51/534004451.db2.gz WOPTWCCLNRXHPG-CXAGYDPISA-N 1 2 292.386 3.556 20 0 CHADLO COc1ccccc1CN(c1cc[nH+]c(C2CC2)n1)C1CC1 ZINC000112964968 534072784 /nfs/dbraw/zinc/07/27/84/534072784.db2.gz VJAPNTLRAHSROG-UHFFFAOYSA-N 1 2 295.386 3.532 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC3(C2)CCCCC3)c(C)[nH+]1 ZINC000334706856 534073677 /nfs/dbraw/zinc/07/36/77/534073677.db2.gz XQCVJWOWNJSHHY-UHFFFAOYSA-N 1 2 287.407 3.805 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[N@@H+]1CCC[C@@H]1c1ccco1 ZINC000116290640 534136129 /nfs/dbraw/zinc/13/61/29/534136129.db2.gz JXPQXZAWRSRXFI-NWDGAFQWSA-N 1 2 289.379 3.858 20 0 CHADLO C[C@@H](c1nc(C(C)(C)C)no1)[N@H+]1CCC[C@@H]1c1ccco1 ZINC000116290640 534136140 /nfs/dbraw/zinc/13/61/40/534136140.db2.gz JXPQXZAWRSRXFI-NWDGAFQWSA-N 1 2 289.379 3.858 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2cscn2)s1 ZINC000356095101 534201392 /nfs/dbraw/zinc/20/13/92/534201392.db2.gz XCGLILMBOITBLD-UHFFFAOYSA-N 1 2 270.448 3.559 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2cscn2)s1 ZINC000356095101 534201398 /nfs/dbraw/zinc/20/13/98/534201398.db2.gz XCGLILMBOITBLD-UHFFFAOYSA-N 1 2 270.448 3.559 20 0 CHADLO CSc1ccc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)cc1 ZINC000352223590 534203889 /nfs/dbraw/zinc/20/38/89/534203889.db2.gz WUIZLHCHCTYUKG-MRXNPFEDSA-N 1 2 287.432 3.859 20 0 CHADLO CSc1ccc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)cc1 ZINC000352223590 534203894 /nfs/dbraw/zinc/20/38/94/534203894.db2.gz WUIZLHCHCTYUKG-MRXNPFEDSA-N 1 2 287.432 3.859 20 0 CHADLO COc1ccccc1CC1CCN(c2cccc[nH+]2)CC1 ZINC000301181512 518169079 /nfs/dbraw/zinc/16/90/79/518169079.db2.gz NINXKPDGNYVQDL-UHFFFAOYSA-N 1 2 282.387 3.549 20 0 CHADLO Cc1cc(C)c(NC(=O)c2c(F)ccc(C)c2F)c(C)[nH+]1 ZINC000335940367 518186824 /nfs/dbraw/zinc/18/68/24/518186824.db2.gz YGXSPZORICBACK-UHFFFAOYSA-N 1 2 290.313 3.846 20 0 CHADLO C/C(=C\C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1)c1ccccc1 ZINC000194702795 518420163 /nfs/dbraw/zinc/42/01/63/518420163.db2.gz VRFCEMRDNLPFNR-VUSFMPOISA-N 1 2 295.386 3.567 20 0 CHADLO Cc1ccc2[nH]c3c(c2c1)C[C@H](Nc1cc[nH+]c(C)n1)CC3 ZINC000351896154 534369262 /nfs/dbraw/zinc/36/92/62/534369262.db2.gz OGAUWUDSXBQKCW-CYBMUJFWSA-N 1 2 292.386 3.544 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC[C@@H]2c2cccnc2)o1 ZINC000336840605 519068771 /nfs/dbraw/zinc/06/87/71/519068771.db2.gz XCRGFMNPLGIIBZ-CQSZACIVSA-N 1 2 285.391 3.704 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC[C@@H]2c2cccnc2)o1 ZINC000336840605 519068774 /nfs/dbraw/zinc/06/87/74/519068774.db2.gz XCRGFMNPLGIIBZ-CQSZACIVSA-N 1 2 285.391 3.704 20 0 CHADLO Cc1noc(C[NH2+][C@H](Cc2ccccc2)c2cccs2)n1 ZINC000347924652 534415921 /nfs/dbraw/zinc/41/59/21/534415921.db2.gz QQOPKXCDTRAFPO-CQSZACIVSA-N 1 2 299.399 3.513 20 0 CHADLO CC(C)C[C@H](C)CC(=O)N1CCCC[C@@H]1c1[nH]cc[nH+]1 ZINC000263915707 519300758 /nfs/dbraw/zinc/30/07/58/519300758.db2.gz KAEJPWZZOYCWNI-UONOGXRCSA-N 1 2 277.412 3.536 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@@H](c2ccccc2)C2CCC2)n1 ZINC000104698204 519477904 /nfs/dbraw/zinc/47/79/04/519477904.db2.gz ZTEKQEDUBCIDQN-SFHVURJKSA-N 1 2 299.418 3.899 20 0 CHADLO CC(C)[N@H+](Cc1nccn1C(F)F)Cc1cccc(F)c1 ZINC000299201065 519663287 /nfs/dbraw/zinc/66/32/87/519663287.db2.gz NXEXRBDYMOTTNQ-UHFFFAOYSA-N 1 2 297.324 3.828 20 0 CHADLO CC(C)[N@@H+](Cc1nccn1C(F)F)Cc1cccc(F)c1 ZINC000299201065 519663289 /nfs/dbraw/zinc/66/32/89/519663289.db2.gz NXEXRBDYMOTTNQ-UHFFFAOYSA-N 1 2 297.324 3.828 20 0 CHADLO CC(C)CCOCCNc1ccc2ccccc2[nH+]1 ZINC000045108193 519668791 /nfs/dbraw/zinc/66/87/91/519668791.db2.gz PEQIGACJEAOCBS-UHFFFAOYSA-N 1 2 258.365 3.709 20 0 CHADLO CC(C)CN(C)C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000264877495 519708118 /nfs/dbraw/zinc/70/81/18/519708118.db2.gz XERUFMPLFWYPBP-UHFFFAOYSA-N 1 2 299.374 3.994 20 0 CHADLO CC(C)Sc1ccc(C[N@@H+]2CCC(=O)[C@H](C)C2)cc1 ZINC000338572767 519787913 /nfs/dbraw/zinc/78/79/13/519787913.db2.gz QWUSTGAYEJXCER-CYBMUJFWSA-N 1 2 277.433 3.598 20 0 CHADLO CC(C)Sc1ccc(C[N@H+]2CCC(=O)[C@H](C)C2)cc1 ZINC000338572767 519787914 /nfs/dbraw/zinc/78/79/14/519787914.db2.gz QWUSTGAYEJXCER-CYBMUJFWSA-N 1 2 277.433 3.598 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1csnn1)c1ccc(Cl)cc1 ZINC000036975551 519799391 /nfs/dbraw/zinc/79/93/91/519799391.db2.gz QXKAGJWQSDMZSK-CYBMUJFWSA-N 1 2 281.812 3.678 20 0 CHADLO Fc1ccc(-c2cc(C[N@H+]3CCC=C(F)C3)on2)cc1 ZINC000352777047 534451073 /nfs/dbraw/zinc/45/10/73/534451073.db2.gz FXFPBCSKCNOOAB-UHFFFAOYSA-N 1 2 276.286 3.540 20 0 CHADLO Fc1ccc(-c2cc(C[N@@H+]3CCC=C(F)C3)on2)cc1 ZINC000352777047 534451082 /nfs/dbraw/zinc/45/10/82/534451082.db2.gz FXFPBCSKCNOOAB-UHFFFAOYSA-N 1 2 276.286 3.540 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H](C)c2cncc(F)c2)n1 ZINC000128660622 519855150 /nfs/dbraw/zinc/85/51/50/519855150.db2.gz CDENDVRETDDQBQ-JTQLQIEISA-N 1 2 279.384 3.651 20 0 CHADLO CC(C)c1nc(C[NH+]2CCC3(CCCCC3)CC2)no1 ZINC000338722410 519862579 /nfs/dbraw/zinc/86/25/79/519862579.db2.gz BSBVKPZQCFWISJ-UHFFFAOYSA-N 1 2 277.412 3.739 20 0 CHADLO CC(C)c1nc(N(Cc2ccco2)Cc2ccco2)cc[nH+]1 ZINC000112964043 519877880 /nfs/dbraw/zinc/87/78/80/519877880.db2.gz UBWISKALLMEOGZ-UHFFFAOYSA-N 1 2 297.358 3.993 20 0 CHADLO CCCn1ncnc1C[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000279611514 519892255 /nfs/dbraw/zinc/89/22/55/519892255.db2.gz XQMNHKNBHZMOKE-GFCCVEGCSA-N 1 2 292.814 3.535 20 0 CHADLO CCCn1ncnc1C[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000279611514 519892257 /nfs/dbraw/zinc/89/22/57/519892257.db2.gz XQMNHKNBHZMOKE-GFCCVEGCSA-N 1 2 292.814 3.535 20 0 CHADLO CCCCCC(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000280271813 519934642 /nfs/dbraw/zinc/93/46/42/519934642.db2.gz QVPLANYVUMCBDG-UHFFFAOYSA-N 1 2 271.364 3.904 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2)n1 ZINC000265225256 520000429 /nfs/dbraw/zinc/00/04/29/520000429.db2.gz AACKDQKRKYDKCU-KRWDZBQOSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2)n1 ZINC000265225256 520000434 /nfs/dbraw/zinc/00/04/34/520000434.db2.gz AACKDQKRKYDKCU-KRWDZBQOSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1cc[nH+]c1CN(Cc1ccccc1F)C1CC1 ZINC000264525146 520026377 /nfs/dbraw/zinc/02/63/77/520026377.db2.gz NAQHJTROZQLLEX-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CC[C@@](F)(c2ccccc2)C1 ZINC000374535620 520030044 /nfs/dbraw/zinc/03/00/44/520030044.db2.gz HSUKJACZGZJINF-KRWDZBQOSA-N 1 2 287.382 3.535 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CC[C@@](F)(c2ccccc2)C1 ZINC000374535620 520030053 /nfs/dbraw/zinc/03/00/53/520030053.db2.gz HSUKJACZGZJINF-KRWDZBQOSA-N 1 2 287.382 3.535 20 0 CHADLO Fc1ccc(C[NH2+]Cc2nc(-c3ccccc3)cs2)nc1 ZINC000344911769 534467717 /nfs/dbraw/zinc/46/77/17/534467717.db2.gz YVRSQDGHRKWJCA-UHFFFAOYSA-N 1 2 299.374 3.634 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000279571115 520098515 /nfs/dbraw/zinc/09/85/15/520098515.db2.gz FZQCSWFLXYFGAU-LBPRGKRZSA-N 1 2 293.361 3.935 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)[C@@H](C)c1cc(F)ccc1F ZINC000279571115 520098519 /nfs/dbraw/zinc/09/85/19/520098519.db2.gz FZQCSWFLXYFGAU-LBPRGKRZSA-N 1 2 293.361 3.935 20 0 CHADLO CCCNc1cc(C)[nH+]c(-c2ccc(Cl)cc2)n1 ZINC000050849061 520133770 /nfs/dbraw/zinc/13/37/70/520133770.db2.gz IWUVVKLIQZVUGW-UHFFFAOYSA-N 1 2 261.756 3.927 20 0 CHADLO Fc1ccc(F)c(C[C@@H]2CCC[N@@H+]2Cc2cscn2)c1 ZINC000418104124 534481181 /nfs/dbraw/zinc/48/11/81/534481181.db2.gz WWYYIAJBONBGIQ-AWEZNQCLSA-N 1 2 294.370 3.628 20 0 CHADLO Fc1ccc(F)c(C[C@@H]2CCC[N@H+]2Cc2cscn2)c1 ZINC000418104124 534481188 /nfs/dbraw/zinc/48/11/88/534481188.db2.gz WWYYIAJBONBGIQ-AWEZNQCLSA-N 1 2 294.370 3.628 20 0 CHADLO CCCOc1cccc(C(=O)Nc2cc[nH+]cc2CC)c1 ZINC000265011498 520445746 /nfs/dbraw/zinc/44/57/46/520445746.db2.gz GVQLUVDSHRMKGT-UHFFFAOYSA-N 1 2 284.359 3.685 20 0 CHADLO Cc1ccc2[nH+]c(CN3Cc4cccc(Cl)c4C3)cn2c1 ZINC000347405695 534496716 /nfs/dbraw/zinc/49/67/16/534496716.db2.gz AWKAXORQTRVBRA-UHFFFAOYSA-N 1 2 297.789 3.812 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3CCC[C@@H]4OCC[C@@H]43)c2c1 ZINC000354841799 534509234 /nfs/dbraw/zinc/50/92/34/534509234.db2.gz HCARHLMCQRQEQJ-BMFZPTHFSA-N 1 2 286.350 3.743 20 0 CHADLO Fc1ccc2cc[nH+]c(NC[C@H]3Cc4ccccc4O3)c2c1 ZINC000354578510 534509525 /nfs/dbraw/zinc/50/95/25/534509525.db2.gz LBPHKZOKVQMZPW-OAHLLOKOSA-N 1 2 294.329 3.790 20 0 CHADLO Fc1ccc2cc[nH+]c(N3C[C@H]4CCC[C@@H]4C3)c2c1 ZINC000354550193 534510110 /nfs/dbraw/zinc/51/01/10/534510110.db2.gz ZTCLZNFSQKKIJD-CHWSQXEVSA-N 1 2 256.324 3.610 20 0 CHADLO Fc1ccccc1[C@]1(F)CC[N@H+](CCC(F)(F)F)C1 ZINC000367182327 534535061 /nfs/dbraw/zinc/53/50/61/534535061.db2.gz ZBJWLOOZQQSVBC-LBPRGKRZSA-N 1 2 279.252 3.649 20 0 CHADLO Fc1ccccc1[C@]1(F)CC[N@@H+](CCC(F)(F)F)C1 ZINC000367182327 534535068 /nfs/dbraw/zinc/53/50/68/534535068.db2.gz ZBJWLOOZQQSVBC-LBPRGKRZSA-N 1 2 279.252 3.649 20 0 CHADLO CCN(c1cc(C)[nH+]c(C2CC2)n1)[C@H](C)c1cccnc1 ZINC000265324611 521478863 /nfs/dbraw/zinc/47/88/63/521478863.db2.gz FOJUNUGNYFGIEN-CYBMUJFWSA-N 1 2 282.391 3.645 20 0 CHADLO CCCc1nc(C)c(C(=O)Nc2cc[nH+]cc2CC)s1 ZINC000265001366 521493598 /nfs/dbraw/zinc/49/35/98/521493598.db2.gz MGGSNKLGWQKSKD-UHFFFAOYSA-N 1 2 289.404 3.614 20 0 CHADLO CCCc1occc1C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000337041926 521610721 /nfs/dbraw/zinc/61/07/21/521610721.db2.gz JIJDKLFCKVUJFX-UHFFFAOYSA-N 1 2 283.331 3.669 20 0 CHADLO CCCc1occc1C(=O)Nc1ccc2[nH+]c(C)[nH]c2c1 ZINC000337041926 521610725 /nfs/dbraw/zinc/61/07/25/521610725.db2.gz JIJDKLFCKVUJFX-UHFFFAOYSA-N 1 2 283.331 3.669 20 0 CHADLO CCC1(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CCCC1 ZINC000155452085 521671876 /nfs/dbraw/zinc/67/18/76/521671876.db2.gz IDHPWOQZWPIJJK-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccnn2C(C)C)c1 ZINC000280196048 521719704 /nfs/dbraw/zinc/71/97/04/521719704.db2.gz HMSKZXAKGIHTEO-UHFFFAOYSA-N 1 2 290.436 3.724 20 0 CHADLO CCSc1cccc(C[NH2+]C2(C(F)F)CC2)c1 ZINC000337322149 521757618 /nfs/dbraw/zinc/75/76/18/521757618.db2.gz ZTLCVDMRSVKCSQ-UHFFFAOYSA-N 1 2 257.349 3.686 20 0 CHADLO CCc1cccc2c1OCC[C@H]2[NH2+][C@H](C)c1cc(C)on1 ZINC000290751095 521803022 /nfs/dbraw/zinc/80/30/22/521803022.db2.gz QSLDUBOAKJYWGU-IUODEOHRSA-N 1 2 286.375 3.720 20 0 CHADLO COc1c(C)c[nH+]c(CSc2nccn2C(C)C)c1C ZINC000265440905 521815024 /nfs/dbraw/zinc/81/50/24/521815024.db2.gz ACBMYMVCLYOBID-UHFFFAOYSA-N 1 2 291.420 3.777 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2sccc2Cl)[nH]1 ZINC000278858421 522056774 /nfs/dbraw/zinc/05/67/74/522056774.db2.gz XQVFFUAFFZDKEJ-IUCAKERBSA-N 1 2 298.843 3.884 20 0 CHADLO CC[C@H](CC(=O)Nc1cc[nH+]cc1C)c1ccc(OC)cc1 ZINC000127709151 522108487 /nfs/dbraw/zinc/10/84/87/522108487.db2.gz KOKUPKQDRRVJEJ-CQSZACIVSA-N 1 2 298.386 3.921 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@H+](C)Cc1nccs1 ZINC000292925761 522179556 /nfs/dbraw/zinc/17/95/56/522179556.db2.gz YKXZVOPOSWHALI-UHFFFAOYSA-N 1 2 289.448 3.856 20 0 CHADLO CCc1nc(C(C)C)ccc1C[N@@H+](C)Cc1nccs1 ZINC000292925761 522179564 /nfs/dbraw/zinc/17/95/64/522179564.db2.gz YKXZVOPOSWHALI-UHFFFAOYSA-N 1 2 289.448 3.856 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](C)c2ccc(F)cc2F)o1 ZINC000291843277 522206680 /nfs/dbraw/zinc/20/66/80/522206680.db2.gz GEQXRYYIXVHCIQ-VIFPVBQESA-N 1 2 280.318 3.674 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(F)cc2F)cs1 ZINC000124053205 522343897 /nfs/dbraw/zinc/34/38/97/522343897.db2.gz VVJJWROLKSQFFS-VIFPVBQESA-N 1 2 282.359 3.835 20 0 CHADLO COC[C@@H]([NH2+]Cc1cc(F)cc(Cl)c1)c1ccco1 ZINC000275021165 522359688 /nfs/dbraw/zinc/35/96/88/522359688.db2.gz SWHOEKTUMQTFRG-CYBMUJFWSA-N 1 2 283.730 3.549 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2ccccc2Cl)[nH]1 ZINC000277055857 522439926 /nfs/dbraw/zinc/43/99/26/522439926.db2.gz BCGMHSNGFRWRNJ-GHMZBOCLSA-N 1 2 292.814 3.822 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000334700084 534642387 /nfs/dbraw/zinc/64/23/87/534642387.db2.gz UKZBJYHOJJHSHU-XJKSGUPXSA-N 1 2 283.375 3.666 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000334700084 534642391 /nfs/dbraw/zinc/64/23/91/534642391.db2.gz UKZBJYHOJJHSHU-XJKSGUPXSA-N 1 2 283.375 3.666 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C3=CCCCCC3)cc2[nH+]1 ZINC000352150982 534656504 /nfs/dbraw/zinc/65/65/04/534656504.db2.gz ZHCAKERJZGXYED-UHFFFAOYSA-N 1 2 269.348 3.700 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](CCC(C)C)c2ccoc2)s1 ZINC000290253240 522744163 /nfs/dbraw/zinc/74/41/63/522744163.db2.gz ULFCBTCALDHNSI-ZDUSSCGKSA-N 1 2 293.436 3.961 20 0 CHADLO COC[C@H]([NH2+]Cc1ncc(C(C)(C)C)s1)c1ccco1 ZINC000279076970 522757750 /nfs/dbraw/zinc/75/77/50/522757750.db2.gz GQUKOBMCTFISMO-NSHDSACASA-N 1 2 294.420 3.511 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cc(C)ccn1)c1nccs1 ZINC000353523280 522911798 /nfs/dbraw/zinc/91/17/98/522911798.db2.gz AAKLNYKCOOAEJP-NWDGAFQWSA-N 1 2 261.394 3.648 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CC[C@H](C)[S@@](=O)CC1 ZINC000277063637 522929077 /nfs/dbraw/zinc/92/90/77/522929077.db2.gz DHTGVWMIDHBYDF-HGQUKEQDSA-N 1 2 299.867 3.634 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CC[C@H](C)[S@@](=O)CC1 ZINC000277063637 522929083 /nfs/dbraw/zinc/92/90/83/522929083.db2.gz DHTGVWMIDHBYDF-HGQUKEQDSA-N 1 2 299.867 3.634 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccccc1OC(C)C ZINC000292861551 522930627 /nfs/dbraw/zinc/93/06/27/522930627.db2.gz AIVIPGRBEMVMKZ-UHFFFAOYSA-N 1 2 288.391 3.656 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccccc1OC(C)C ZINC000292861551 522930635 /nfs/dbraw/zinc/93/06/35/522930635.db2.gz AIVIPGRBEMVMKZ-UHFFFAOYSA-N 1 2 288.391 3.656 20 0 CHADLO COCc1cc(NC2CC(F)(F)C2)c2cc(F)ccc2[nH+]1 ZINC000290915189 522932327 /nfs/dbraw/zinc/93/23/27/522932327.db2.gz HJVHKJFAPIWEFZ-UHFFFAOYSA-N 1 2 296.292 3.730 20 0 CHADLO CC[C@H](c1cccc(OC)c1)[N@H+](C)Cc1cscn1 ZINC000275730221 522937372 /nfs/dbraw/zinc/93/73/72/522937372.db2.gz UHLAGEDNINOGFS-OAHLLOKOSA-N 1 2 276.405 3.735 20 0 CHADLO CC[C@H](c1cccc(OC)c1)[N@@H+](C)Cc1cscn1 ZINC000275730221 522937381 /nfs/dbraw/zinc/93/73/81/522937381.db2.gz UHLAGEDNINOGFS-OAHLLOKOSA-N 1 2 276.405 3.735 20 0 CHADLO COCC1CCN(c2cc(C)[nH+]c3c(F)cccc23)CC1 ZINC000125729953 522938576 /nfs/dbraw/zinc/93/85/76/522938576.db2.gz JGROSMJECVXKHD-UHFFFAOYSA-N 1 2 288.366 3.545 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nccn1CC)c1ccc(F)cc1F ZINC000297757339 522941735 /nfs/dbraw/zinc/94/17/35/522941735.db2.gz ORHDQTVREVKKFT-IAQYHMDHSA-N 1 2 293.361 3.983 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1ncc(C)cn1 ZINC000340662256 523009743 /nfs/dbraw/zinc/00/97/43/523009743.db2.gz VGCGWCGBARGFAM-UHFFFAOYSA-N 1 2 295.386 3.726 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1ncc(C)cn1 ZINC000340662256 523009751 /nfs/dbraw/zinc/00/97/51/523009751.db2.gz VGCGWCGBARGFAM-UHFFFAOYSA-N 1 2 295.386 3.726 20 0 CHADLO CCn1c(C)nnc1SCc1ccc(C(C)C)[nH+]c1C ZINC000338550842 523163305 /nfs/dbraw/zinc/16/33/05/523163305.db2.gz NSIWDSMGFHMVGI-UHFFFAOYSA-N 1 2 290.436 3.726 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)n1 ZINC000347366179 534702577 /nfs/dbraw/zinc/70/25/77/534702577.db2.gz OPRNYNYAPWKKHR-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)n1 ZINC000347366179 534702583 /nfs/dbraw/zinc/70/25/83/534702583.db2.gz OPRNYNYAPWKKHR-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO CCn1nccc1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000130849839 523724644 /nfs/dbraw/zinc/72/46/44/523724644.db2.gz IODXKGZZAAQJCV-GFCCVEGCSA-N 1 2 277.799 3.749 20 0 CHADLO CCn1nccc1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000130849839 523724649 /nfs/dbraw/zinc/72/46/49/523724649.db2.gz IODXKGZZAAQJCV-GFCCVEGCSA-N 1 2 277.799 3.749 20 0 CHADLO CC[C@@]1(C)C[C@@H]1C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000340666063 523725343 /nfs/dbraw/zinc/72/53/43/523725343.db2.gz DUOATTLEGYTUJO-ACJLOTCBSA-N 1 2 297.402 3.825 20 0 CHADLO CCc1c2ccccc2oc1[C@H](C)[NH2+][C@@H](C)c1ncnn1C ZINC000276726047 523732238 /nfs/dbraw/zinc/73/22/38/523732238.db2.gz YBOBWVIYUYBQOU-RYUDHWBXSA-N 1 2 298.390 3.536 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(C(C)(C)C)on2)cc1 ZINC000278724982 524004250 /nfs/dbraw/zinc/00/42/50/524004250.db2.gz VIJJWXBZCNZBJP-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(C(C)(C)C)on2)cc1 ZINC000278724982 524004253 /nfs/dbraw/zinc/00/42/53/524004253.db2.gz VIJJWXBZCNZBJP-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2nnc(C(C)C)o2)cc1 ZINC000102767756 524046771 /nfs/dbraw/zinc/04/67/71/524046771.db2.gz XMNOPLLQIHEXKV-LBPRGKRZSA-N 1 2 273.380 3.606 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2ncc(CC)s2)o1 ZINC000130680692 524047043 /nfs/dbraw/zinc/04/70/43/524047043.db2.gz LVCMIZUTNKAXJK-JTQLQIEISA-N 1 2 264.394 3.712 20 0 CHADLO COc1ccc(C[C@@H](C)C(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000125716376 524134286 /nfs/dbraw/zinc/13/42/86/524134286.db2.gz MQEYQOBNZDSEJR-GFCCVEGCSA-N 1 2 298.386 3.524 20 0 CHADLO Cc1cccc([C@H](C)c2nc(Cc3ccc(C)[nH+]c3)no2)c1 ZINC000356141082 534761721 /nfs/dbraw/zinc/76/17/21/534761721.db2.gz VDCRSDBOEYAUCD-AWEZNQCLSA-N 1 2 293.370 3.824 20 0 CHADLO COc1cccc2c(N[C@H]3CCO[C@H](C4CC4)C3)cc[nH+]c12 ZINC000275840861 524200836 /nfs/dbraw/zinc/20/08/36/524200836.db2.gz PSVXGMVCWZZPQM-GUYCJALGSA-N 1 2 298.386 3.613 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@H](C)c1nccs1 ZINC000070942421 524211594 /nfs/dbraw/zinc/21/15/94/524211594.db2.gz VTQDCENLDBZZPQ-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO Cc1ccc(C2CCN(c3ncccc3Cl)CC2)c[nH+]1 ZINC000340769283 524243217 /nfs/dbraw/zinc/24/32/17/524243217.db2.gz BJRFARCLZVYIPS-UHFFFAOYSA-N 1 2 287.794 3.822 20 0 CHADLO C[C@@H]1CCC[C@@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000151350337 524269244 /nfs/dbraw/zinc/26/92/44/524269244.db2.gz LVPZZZQWYOOORB-ZIAGYGMSSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nc(C3CC3)no2)[C@H](c2ccco2)C1 ZINC000076184236 524302060 /nfs/dbraw/zinc/30/20/60/524302060.db2.gz IVSISVRAQSUVBV-AAEUAGOBSA-N 1 2 287.363 3.513 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nc(C3CC3)no2)[C@H](c2ccco2)C1 ZINC000076184236 524302068 /nfs/dbraw/zinc/30/20/68/524302068.db2.gz IVSISVRAQSUVBV-AAEUAGOBSA-N 1 2 287.363 3.513 20 0 CHADLO Cc1cc(C)cc(C2(C(=O)Nc3cc[nH+]cc3C)CC2)c1 ZINC000264551658 524372101 /nfs/dbraw/zinc/37/21/01/524372101.db2.gz RUPISDGTZNYVTA-UHFFFAOYSA-N 1 2 280.371 3.677 20 0 CHADLO Cc1cccc2c1OCC[C@H]2[NH2+]Cc1ncc(Cl)s1 ZINC000336063982 534781274 /nfs/dbraw/zinc/78/12/74/534781274.db2.gz XGUPEHGGQSJGDW-LLVKDONJSA-N 1 2 294.807 3.718 20 0 CHADLO Cc1cc(C)cc(NCc2cn3c(cccc3C)[nH+]2)c1 ZINC000157271583 524438177 /nfs/dbraw/zinc/43/81/77/524438177.db2.gz MGANSHNHQXQEMP-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(Cl)c(Cl)c2)no1 ZINC000061779195 524662496 /nfs/dbraw/zinc/66/24/96/524662496.db2.gz NRPQWKVHNYCULP-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(Cl)c(Cl)c2)no1 ZINC000061779195 524662500 /nfs/dbraw/zinc/66/25/00/524662500.db2.gz NRPQWKVHNYCULP-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(C3CCC3)cc2)no1 ZINC000278558596 524663369 /nfs/dbraw/zinc/66/33/69/524663369.db2.gz VFCYFKGZXZMQSV-UHFFFAOYSA-N 1 2 270.376 3.883 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(C3CCC3)cc2)no1 ZINC000278558596 524663379 /nfs/dbraw/zinc/66/33/79/524663379.db2.gz VFCYFKGZXZMQSV-UHFFFAOYSA-N 1 2 270.376 3.883 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H]2CCCc3ccc(F)cc32)no1 ZINC000130756915 524666315 /nfs/dbraw/zinc/66/63/15/524666315.db2.gz IMDOARRRTZPLNH-MRXNPFEDSA-N 1 2 274.339 3.632 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H]2CCCc3ccc(F)cc32)no1 ZINC000130756915 524666321 /nfs/dbraw/zinc/66/63/21/524666321.db2.gz IMDOARRRTZPLNH-MRXNPFEDSA-N 1 2 274.339 3.632 20 0 CHADLO Cc1ccc(Cc2nc([C@@H]3C[C@@H]3c3ccccc3)no2)c[nH+]1 ZINC000338076427 524694631 /nfs/dbraw/zinc/69/46/31/524694631.db2.gz FNBSQZNOCGCXIY-HZPDHXFCSA-N 1 2 291.354 3.635 20 0 CHADLO COc1cc[nH+]cc1CNC(=O)Nc1ccccc1C(C)C ZINC000292807933 524856065 /nfs/dbraw/zinc/85/60/65/524856065.db2.gz WBWJAPGRUFHYHE-UHFFFAOYSA-N 1 2 299.374 3.535 20 0 CHADLO Cc1cc(F)ccc1NC1CCN(c2cccc[nH+]2)CC1 ZINC000037213953 524995607 /nfs/dbraw/zinc/99/56/07/524995607.db2.gz ZQQLFQHZWPGYMO-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(-c3cccs3)n2)[C@@H]1C ZINC000293367271 525027258 /nfs/dbraw/zinc/02/72/58/525027258.db2.gz IXRLKQRZYDGWAO-NXEZZACHSA-N 1 2 264.419 3.712 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(-c3cccs3)n2)[C@@H]1C ZINC000293367271 525027269 /nfs/dbraw/zinc/02/72/69/525027269.db2.gz IXRLKQRZYDGWAO-NXEZZACHSA-N 1 2 264.419 3.712 20 0 CHADLO Cc1ccccc1C(C)(C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC000293052633 525073018 /nfs/dbraw/zinc/07/30/18/525073018.db2.gz RPPMZHBFUTYSBX-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO C[C@H]1c2ccccc2CN1c1cc(N2CCCCC2)[nH+]cn1 ZINC000340790930 525122100 /nfs/dbraw/zinc/12/21/00/525122100.db2.gz FXCJBXSXFMEHCZ-AWEZNQCLSA-N 1 2 294.402 3.548 20 0 CHADLO C[C@H]1c2ccccc2CN1c1cc(N2CCCCC2)nc[nH+]1 ZINC000340790930 525122105 /nfs/dbraw/zinc/12/21/05/525122105.db2.gz FXCJBXSXFMEHCZ-AWEZNQCLSA-N 1 2 294.402 3.548 20 0 CHADLO Cc1cc(NC(=O)[C@@H](c2ccccc2)C2CC2)cc[nH+]1 ZINC000074624493 525212814 /nfs/dbraw/zinc/21/28/14/525212814.db2.gz BDVQLMANBSTXOI-INIZCTEOSA-N 1 2 266.344 3.522 20 0 CHADLO Cc1ccc(NCc2cccc3c2OC(C)(C)C3)c[nH+]1 ZINC000149820077 525282624 /nfs/dbraw/zinc/28/26/24/525282624.db2.gz CUVGMYWFPLZVFL-UHFFFAOYSA-N 1 2 268.360 3.716 20 0 CHADLO Cc1cc(N[C@H]2C[C@@H]2c2c(F)cccc2Cl)nc[nH+]1 ZINC000156688271 525304628 /nfs/dbraw/zinc/30/46/28/525304628.db2.gz WRBPHZIXROFCFB-CABZTGNLSA-N 1 2 277.730 3.546 20 0 CHADLO COc1ccc([C@H]2CCC[N@@H+]2Cc2ncc(C3CC3)o2)cc1 ZINC000270576840 525415363 /nfs/dbraw/zinc/41/53/63/525415363.db2.gz HVUQYHCOABMSID-MRXNPFEDSA-N 1 2 298.386 3.898 20 0 CHADLO COc1ccc([C@H]2CCC[N@H+]2Cc2ncc(C3CC3)o2)cc1 ZINC000270576840 525415375 /nfs/dbraw/zinc/41/53/75/525415375.db2.gz HVUQYHCOABMSID-MRXNPFEDSA-N 1 2 298.386 3.898 20 0 CHADLO Cc1cc([C@@H](C)Nc2cc[nH+]c(C(C)C)n2)c(C)s1 ZINC000354730711 525443951 /nfs/dbraw/zinc/44/39/51/525443951.db2.gz KOFKQPAKXXGFFQ-LLVKDONJSA-N 1 2 275.421 3.873 20 0 CHADLO C[C@H]([NH2+]Cc1ccno1)c1cc(F)c(Cl)cc1Cl ZINC000219364660 525471633 /nfs/dbraw/zinc/47/16/33/525471633.db2.gz FMTCRCFFINNWCH-ZETCQYMHSA-N 1 2 289.137 3.971 20 0 CHADLO C[C@H]([NH2+]Cc1csc(Cl)n1)c1cccc(F)c1F ZINC000289506515 525483407 /nfs/dbraw/zinc/48/34/07/525483407.db2.gz DZXIEKNRNFKXCZ-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@@H]1C[C@H]1CNC(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000264772611 525485921 /nfs/dbraw/zinc/48/59/21/525485921.db2.gz KPZJGLNTQTWPQM-OLZOCXBDSA-N 1 2 297.358 3.651 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(C)c(C)o2)c(C)s1 ZINC000049506752 525523882 /nfs/dbraw/zinc/52/38/82/525523882.db2.gz RKWLYVJFMMDAQB-JTQLQIEISA-N 1 2 264.394 3.821 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2CCCOc3c(F)cccc32)no1 ZINC000290584972 525527759 /nfs/dbraw/zinc/52/77/59/525527759.db2.gz GCUFTYIASIDCPZ-SMDDNHRTSA-N 1 2 290.338 3.687 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2CCCOc3cc(F)ccc32)no1 ZINC000290650252 525527875 /nfs/dbraw/zinc/52/78/75/525527875.db2.gz KBVHKPXOFCRWIW-SMDDNHRTSA-N 1 2 290.338 3.687 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](c2ncccc2C)C(C)C)no1 ZINC000289841327 525529553 /nfs/dbraw/zinc/52/95/53/525529553.db2.gz QSHQGVQRAXHJOI-ZFWWWQNUSA-N 1 2 273.380 3.734 20 0 CHADLO C[C@@H]([NH2+]Cc1csnn1)c1ccc(Cl)cc1Cl ZINC000036901914 525556303 /nfs/dbraw/zinc/55/63/03/525556303.db2.gz ZCOLIBQKSIFRJL-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1cscn1 ZINC000271071899 525558520 /nfs/dbraw/zinc/55/85/20/525558520.db2.gz FNIGUQVYTSMQEH-SECBINFHSA-N 1 2 281.450 3.748 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccco1 ZINC000094965458 525559165 /nfs/dbraw/zinc/55/91/65/525559165.db2.gz KZOBSEIDYACKJM-SNVBAGLBSA-N 1 2 264.394 3.884 20 0 CHADLO C[C@@H]([NH2+]Cc1nccs1)c1ccccc1Br ZINC000041011752 525563842 /nfs/dbraw/zinc/56/38/42/525563842.db2.gz IJEWCPSGRXKZQF-SECBINFHSA-N 1 2 297.221 3.756 20 0 CHADLO C[C@@H]1Oc2ccccc2[C@@H]1[NH2+]Cc1cccc(O)c1Cl ZINC000293119832 525621318 /nfs/dbraw/zinc/62/13/18/525621318.db2.gz ZCGXJPYFPFGAQJ-MGPLVRAMSA-N 1 2 289.762 3.657 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N(C)[C@@H](C)c1cccs1 ZINC000287555333 525638415 /nfs/dbraw/zinc/63/84/15/525638415.db2.gz PCNQGUOHJMOUDI-LBPRGKRZSA-N 1 2 289.404 3.985 20 0 CHADLO Cc1cc2cc([C@@H](C)[NH2+][C@H](C)c3ncn(C)n3)oc2cc1C ZINC000275624383 525648439 /nfs/dbraw/zinc/64/84/39/525648439.db2.gz AHTSWIPKFWXARM-CHWSQXEVSA-N 1 2 298.390 3.590 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1ccco1 ZINC000036988664 525656427 /nfs/dbraw/zinc/65/64/27/525656427.db2.gz PECRUCAENXATPH-ZDUSSCGKSA-N 1 2 271.364 3.838 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H](O)c1ccsc1 ZINC000225357476 525657101 /nfs/dbraw/zinc/65/71/01/525657101.db2.gz JOGCITXNWGPAOY-OAHLLOKOSA-N 1 2 284.384 3.750 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2occc21)c1c(F)cncc1F ZINC000285740401 525681820 /nfs/dbraw/zinc/68/18/20/525681820.db2.gz RHSMNYCLAURPAC-RNCFNFMXSA-N 1 2 278.302 3.681 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)c1cccc(O)c1 ZINC000036982244 525706678 /nfs/dbraw/zinc/70/66/78/525706678.db2.gz GKEHSPLYGXAVGL-CYBMUJFWSA-N 1 2 283.375 3.561 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C(C1CC1)C1CC1 ZINC000280064557 525832999 /nfs/dbraw/zinc/83/29/99/525832999.db2.gz QYOPCAITCITUOR-UHFFFAOYSA-N 1 2 295.386 3.760 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CC1CCC1 ZINC000280223523 525833620 /nfs/dbraw/zinc/83/36/20/525833620.db2.gz NWVWFKWCISGHEA-UHFFFAOYSA-N 1 2 269.348 3.514 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](C)c2nc(C3CC3)no2)cc1C ZINC000299404076 525944347 /nfs/dbraw/zinc/94/43/47/525944347.db2.gz MXSPEJKZEBQIBP-QWHCGFSZSA-N 1 2 285.391 3.976 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](c2cnn(C)c2)c2ccccc2)o1 ZINC000366685601 525945121 /nfs/dbraw/zinc/94/51/21/525945121.db2.gz YAQNGHAODPCWSZ-KSSFIOAISA-N 1 2 295.386 3.762 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)c2cccc(F)c2)[nH+]1 ZINC000366354251 525963337 /nfs/dbraw/zinc/96/33/37/525963337.db2.gz HGMCXHZIKKPKDN-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2ccc(F)cc2F)s1 ZINC000080346032 525982415 /nfs/dbraw/zinc/98/24/15/525982415.db2.gz IDEKYHBIYYOUBY-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO Cc1cnc(C2CCN(c3[nH]c4ccccc4[nH+]3)CC2)s1 ZINC000277677032 525988280 /nfs/dbraw/zinc/98/82/80/525988280.db2.gz QAIUDXLKLFSPOF-UHFFFAOYSA-N 1 2 298.415 3.712 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)nc1 ZINC000340673342 526007119 /nfs/dbraw/zinc/00/71/19/526007119.db2.gz GRUZMNSISVIBOG-CYBMUJFWSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)nc1 ZINC000340673342 526007126 /nfs/dbraw/zinc/00/71/26/526007126.db2.gz GRUZMNSISVIBOG-CYBMUJFWSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000075621228 526008289 /nfs/dbraw/zinc/00/82/89/526008289.db2.gz FMKVXIDPOLBFKN-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000075621228 526008297 /nfs/dbraw/zinc/00/82/97/526008297.db2.gz FMKVXIDPOLBFKN-UHFFFAOYSA-N 1 2 258.365 3.738 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000290460056 526026743 /nfs/dbraw/zinc/02/67/43/526026743.db2.gz PIUVABDVCYVYJA-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000290460056 526026753 /nfs/dbraw/zinc/02/67/53/526026753.db2.gz PIUVABDVCYVYJA-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000290460056 526026758 /nfs/dbraw/zinc/02/67/58/526026758.db2.gz PIUVABDVCYVYJA-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000290460056 526026764 /nfs/dbraw/zinc/02/67/64/526026764.db2.gz PIUVABDVCYVYJA-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCC[C@H]1c1ccccc1Cl ZINC000290460052 526026977 /nfs/dbraw/zinc/02/69/77/526026977.db2.gz PIUVABDVCYVYJA-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCC[C@H]1c1ccccc1Cl ZINC000290460052 526026987 /nfs/dbraw/zinc/02/69/87/526026987.db2.gz PIUVABDVCYVYJA-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CCC[C@H]1c1ccccc1Cl ZINC000290460052 526026992 /nfs/dbraw/zinc/02/69/92/526026992.db2.gz PIUVABDVCYVYJA-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCC[C@H]1c1ccccc1Cl ZINC000290460052 526027003 /nfs/dbraw/zinc/02/70/03/526027003.db2.gz PIUVABDVCYVYJA-HNNXBMFYSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cn[nH]c1C[N@@H+]1CCCC[C@@H]1c1ccc(F)cc1 ZINC000290346783 526027998 /nfs/dbraw/zinc/02/79/98/526027998.db2.gz FDYYHNBDDJPMOA-MRXNPFEDSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cn[nH]c1C[N@H+]1CCCC[C@@H]1c1ccc(F)cc1 ZINC000290346783 526028001 /nfs/dbraw/zinc/02/80/01/526028001.db2.gz FDYYHNBDDJPMOA-MRXNPFEDSA-N 1 2 273.355 3.584 20 0 CHADLO CSc1ccc(N[C@@H](c2[nH+]ccn2C)C2CC2)cc1 ZINC000128155999 526045518 /nfs/dbraw/zinc/04/55/18/526045518.db2.gz ODKDSXDEDWNVSD-CQSZACIVSA-N 1 2 273.405 3.705 20 0 CHADLO CSc1ccc(N[C@H](c2[nH+]ccn2C)C2CC2)cc1 ZINC000128156212 526045542 /nfs/dbraw/zinc/04/55/42/526045542.db2.gz ODKDSXDEDWNVSD-AWEZNQCLSA-N 1 2 273.405 3.705 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1ccon1 ZINC000265846586 526072693 /nfs/dbraw/zinc/07/26/93/526072693.db2.gz OZXPZNKNADCIJU-SNVBAGLBSA-N 1 2 284.281 3.886 20 0 CHADLO C[C@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1ccon1 ZINC000265846586 526072701 /nfs/dbraw/zinc/07/27/01/526072701.db2.gz OZXPZNKNADCIJU-SNVBAGLBSA-N 1 2 284.281 3.886 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1ccnc(Cl)c1Cl ZINC000278484035 526113392 /nfs/dbraw/zinc/11/33/92/526113392.db2.gz AUOVJMICOAWVKY-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1ccnc(Cl)c1Cl ZINC000278484035 526113396 /nfs/dbraw/zinc/11/33/96/526113396.db2.gz AUOVJMICOAWVKY-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1ccnc(Cl)c1Cl ZINC000279096469 526161620 /nfs/dbraw/zinc/16/16/20/526161620.db2.gz UTVKJVONRSHHJC-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1ccnc(Cl)c1Cl ZINC000279096469 526161623 /nfs/dbraw/zinc/16/16/23/526161623.db2.gz UTVKJVONRSHHJC-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C[C@H]1CCc2ccccc2C1 ZINC000264798587 526238629 /nfs/dbraw/zinc/23/86/29/526238629.db2.gz BWDASCKKUBXJEY-AWEZNQCLSA-N 1 2 280.371 3.524 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H]1CCCc2sccc21 ZINC000127531358 526239919 /nfs/dbraw/zinc/23/99/19/526239919.db2.gz KRUGWGCMVJXEFZ-CYBMUJFWSA-N 1 2 287.388 3.651 20 0 CHADLO C[C@H]1CCCN(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000264847967 526267450 /nfs/dbraw/zinc/26/74/50/526267450.db2.gz GQOQDPLMLJOOQF-AWEZNQCLSA-N 1 2 296.370 3.746 20 0 CHADLO F[C@H]1CC[C@H](Nc2[nH+]cccc2OCc2ccccc2)C1 ZINC000340984726 526292123 /nfs/dbraw/zinc/29/21/23/526292123.db2.gz XGPCSYJPLNLAAN-GJZGRUSLSA-N 1 2 286.350 3.963 20 0 CHADLO Fc1ccc(C[NH2+]Cc2noc3c2CCCC3)c(Cl)c1 ZINC000288445635 526339604 /nfs/dbraw/zinc/33/96/04/526339604.db2.gz MIGGLUDJCODPSW-UHFFFAOYSA-N 1 2 294.757 3.636 20 0 CHADLO O=C(CC1CC1)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000277835368 526345921 /nfs/dbraw/zinc/34/59/21/526345921.db2.gz YXTWQBFMZVDOQB-UHFFFAOYSA-N 1 2 295.386 3.625 20 0 CHADLO Fc1ccc(Cn2nc(C(F)(F)F)c3c[nH+]ccc32)cc1 ZINC000156694854 526347692 /nfs/dbraw/zinc/34/76/92/526347692.db2.gz YGXXGQGCVWIGOU-UHFFFAOYSA-N 1 2 295.239 3.638 20 0 CHADLO Oc1cccc(C[N@@H+]2CCc3ccccc3C2)c1Cl ZINC000336906160 526358745 /nfs/dbraw/zinc/35/87/45/526358745.db2.gz XMKMUUWLPQOETR-UHFFFAOYSA-N 1 2 273.763 3.604 20 0 CHADLO Oc1cccc(C[N@H+]2CCc3ccccc3C2)c1Cl ZINC000336906160 526358752 /nfs/dbraw/zinc/35/87/52/526358752.db2.gz XMKMUUWLPQOETR-UHFFFAOYSA-N 1 2 273.763 3.604 20 0 CHADLO O=C(CC1CCCC1)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000339949650 526358840 /nfs/dbraw/zinc/35/88/40/526358840.db2.gz DQVXZJNDBIYJMA-UHFFFAOYSA-N 1 2 287.338 3.530 20 0 CHADLO Fc1cccc2c1SCC[C@@H]2[NH2+]Cc1cscn1 ZINC000070166707 526402501 /nfs/dbraw/zinc/40/25/01/526402501.db2.gz OGTDBDKVGVQNIC-LBPRGKRZSA-N 1 2 280.393 3.609 20 0 CHADLO Cc1csc([C@@H]2COCC[N@H+]2C[C@@H](C)CC(C)C)n1 ZINC000337205271 526425899 /nfs/dbraw/zinc/42/58/99/526425899.db2.gz KEQCJFFSBIQSCD-JSGCOSHPSA-N 1 2 282.453 3.507 20 0 CHADLO Cc1csc([C@@H]2COCC[N@@H+]2C[C@@H](C)CC(C)C)n1 ZINC000337205271 526425902 /nfs/dbraw/zinc/42/59/02/526425902.db2.gz KEQCJFFSBIQSCD-JSGCOSHPSA-N 1 2 282.453 3.507 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C(C)C)[C@@H](C)c1ccccc1 ZINC000292747773 526546347 /nfs/dbraw/zinc/54/63/47/526546347.db2.gz IEIDJKCEVRQSBH-AWEZNQCLSA-N 1 2 257.381 3.690 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C(C)C)[C@@H](C)c1ccccc1 ZINC000292747773 526546355 /nfs/dbraw/zinc/54/63/55/526546355.db2.gz IEIDJKCEVRQSBH-AWEZNQCLSA-N 1 2 257.381 3.690 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000130840949 526546817 /nfs/dbraw/zinc/54/68/17/526546817.db2.gz SZPSQPOUCZGPQL-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@@H](C)c1ccc(Cl)cc1 ZINC000130840949 526546820 /nfs/dbraw/zinc/54/68/20/526546820.db2.gz SZPSQPOUCZGPQL-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO c1coc(C[N@@H+]2CCCC[C@@H]2c2nnc(C3CCC3)o2)c1 ZINC000289824117 526566443 /nfs/dbraw/zinc/56/64/43/526566443.db2.gz OIRGBAOXMLFTPH-CQSZACIVSA-N 1 2 287.363 3.657 20 0 CHADLO c1coc(C[N@H+]2CCCC[C@@H]2c2nnc(C3CCC3)o2)c1 ZINC000289824117 526566446 /nfs/dbraw/zinc/56/64/46/526566446.db2.gz OIRGBAOXMLFTPH-CQSZACIVSA-N 1 2 287.363 3.657 20 0 CHADLO c1nc(-c2ccccn2)sc1C[NH+]1Cc2ccccc2C1 ZINC000119977679 526591777 /nfs/dbraw/zinc/59/17/77/526591777.db2.gz QNJZNOZJPMPLJH-UHFFFAOYSA-N 1 2 293.395 3.721 20 0 CHADLO Brc1cccc2c1C[N@H+](Cc1ccco1)CC2 ZINC000353846745 526646942 /nfs/dbraw/zinc/64/69/42/526646942.db2.gz RCEONJFYWYDIKU-UHFFFAOYSA-N 1 2 292.176 3.600 20 0 CHADLO Brc1cccc2c1C[N@@H+](Cc1ccco1)CC2 ZINC000353846745 526646946 /nfs/dbraw/zinc/64/69/46/526646946.db2.gz RCEONJFYWYDIKU-UHFFFAOYSA-N 1 2 292.176 3.600 20 0 CHADLO Cc1nc(C(C)(C)C)sc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000299441639 526661671 /nfs/dbraw/zinc/66/16/71/526661671.db2.gz XSEZOZLKVDHSPR-UHFFFAOYSA-N 1 2 289.404 3.705 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1cnc2ccccc21 ZINC000292815945 526669279 /nfs/dbraw/zinc/66/92/79/526669279.db2.gz XMYRSYBBIAQXCZ-UHFFFAOYSA-N 1 2 265.360 3.911 20 0 CHADLO Cc1nocc1C[NH2+][C@@H](c1cccc(C)c1)c1ccccn1 ZINC000339333393 526695291 /nfs/dbraw/zinc/69/52/91/526695291.db2.gz NIGRSMMIKXNDMT-SFHVURJKSA-N 1 2 293.370 3.566 20 0 CHADLO Cc1nonc1C[N@@H+](C)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000265097772 526701597 /nfs/dbraw/zinc/70/15/97/526701597.db2.gz CRHUYUKKZWSFOJ-SNVBAGLBSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nonc1C[N@H+](C)[C@H](C)c1cccc(C(F)(F)F)c1 ZINC000265097772 526701603 /nfs/dbraw/zinc/70/16/03/526701603.db2.gz CRHUYUKKZWSFOJ-SNVBAGLBSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nc(C2([NH2+]Cc3c(C)cc(C)cc3C)CCCC2)no1 ZINC000101550491 526768842 /nfs/dbraw/zinc/76/88/42/526768842.db2.gz RKLGDWZPFMXMAZ-UHFFFAOYSA-N 1 2 299.418 3.862 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@H](C)c2ccc(F)cc2)cs1 ZINC000073022529 526846136 /nfs/dbraw/zinc/84/61/36/526846136.db2.gz MRUBDSJRHODWCB-SNVBAGLBSA-N 1 2 264.369 3.784 20 0 CHADLO Cc1nc(C[N@H+](C)[C@H](C)c2ccc(F)cc2)cs1 ZINC000073022529 526846141 /nfs/dbraw/zinc/84/61/41/526846141.db2.gz MRUBDSJRHODWCB-SNVBAGLBSA-N 1 2 264.369 3.784 20 0 CHADLO Cc1nc(F)ccc1CNc1c[nH+]ccc1OC(C)(C)C ZINC000278558747 526948674 /nfs/dbraw/zinc/94/86/74/526948674.db2.gz VWJVROJPEGUUQP-UHFFFAOYSA-N 1 2 289.354 3.714 20 0 CHADLO Cc1nc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)cs1 ZINC000036982265 527033950 /nfs/dbraw/zinc/03/39/50/527033950.db2.gz SOGJIISPGHMZKA-LLVKDONJSA-N 1 2 288.420 3.620 20 0 CHADLO Cc1nc2ccc(NCc3cn4cc(C)ccc4[nH+]3)cc2o1 ZINC000341293185 527148735 /nfs/dbraw/zinc/14/87/35/527148735.db2.gz UYHXEAUDCHQUMB-UHFFFAOYSA-N 1 2 292.342 3.704 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@H](C)c2nc3ccccc3s2)cn1 ZINC000338414569 527252289 /nfs/dbraw/zinc/25/22/89/527252289.db2.gz HAMNWORXDCCDGZ-LLVKDONJSA-N 1 2 298.415 3.588 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@H](C)c2nc3ccccc3s2)cn1 ZINC000338414569 527252294 /nfs/dbraw/zinc/25/22/94/527252294.db2.gz HAMNWORXDCCDGZ-LLVKDONJSA-N 1 2 298.415 3.588 20 0 CHADLO Cc1nc(N2CC3(CCC3)[C@@H]2c2ccccc2)cc[nH+]1 ZINC000293323362 527343436 /nfs/dbraw/zinc/34/34/36/527343436.db2.gz ZVHADURDEOOLHE-INIZCTEOSA-N 1 2 265.360 3.517 20 0 CHADLO O=C(Nc1cccnc1)c1ccccc1Oc1cc[nH+]cc1 ZINC000337895106 527443780 /nfs/dbraw/zinc/44/37/80/527443780.db2.gz KYOVYGWDXGVPCA-UHFFFAOYSA-N 1 2 291.310 3.521 20 0 CHADLO FC(F)(F)COc1ccc([NH2+]C2CCSCC2)cc1 ZINC000036972715 527671510 /nfs/dbraw/zinc/67/15/10/527671510.db2.gz UIJZOPXSPYPPCE-UHFFFAOYSA-N 1 2 291.338 3.935 20 0 CHADLO FC(F)(F)CSc1ccccc1NCc1c[nH+]c[nH]1 ZINC000054764472 527672623 /nfs/dbraw/zinc/67/26/23/527672623.db2.gz PCXQQQKKZJXLHL-UHFFFAOYSA-N 1 2 287.310 3.676 20 0 CHADLO FC(F)(F)CSc1ccccc1NCc1c[nH]c[nH+]1 ZINC000054764472 527672630 /nfs/dbraw/zinc/67/26/30/527672630.db2.gz PCXQQQKKZJXLHL-UHFFFAOYSA-N 1 2 287.310 3.676 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+](Cc1cc[nH]n1)C1CC1 ZINC000130140135 527687093 /nfs/dbraw/zinc/68/70/93/527687093.db2.gz UETRZTDCSVLSKR-UHFFFAOYSA-N 1 2 295.308 3.593 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+](Cc1cc[nH]n1)C1CC1 ZINC000130140135 527687094 /nfs/dbraw/zinc/68/70/94/527687094.db2.gz UETRZTDCSVLSKR-UHFFFAOYSA-N 1 2 295.308 3.593 20 0 CHADLO CC1(C2CC2)CC[NH+](Cc2ncc(Cl)s2)CC1 ZINC000352202491 527906069 /nfs/dbraw/zinc/90/60/69/527906069.db2.gz ZFAXYZQUFMDSNR-UHFFFAOYSA-N 1 2 270.829 3.809 20 0 CHADLO CC(C)c1cc(N2CCc3ncccc3C2)nc(C(C)C)[nH+]1 ZINC000367137227 528046095 /nfs/dbraw/zinc/04/60/95/528046095.db2.gz BXUQUTSZOLBFNH-UHFFFAOYSA-N 1 2 296.418 3.681 20 0 CHADLO CCCC1(CNC(=O)c2cccc(-n3cc[nH+]c3)c2)CCC1 ZINC000344333918 528059020 /nfs/dbraw/zinc/05/90/20/528059020.db2.gz WBFJBIKJFPRGFV-UHFFFAOYSA-N 1 2 297.402 3.573 20 0 CHADLO CCC[N@@H+](Cc1ncc(Cl)s1)C[C@H]1CCCCO1 ZINC000351995433 528155028 /nfs/dbraw/zinc/15/50/28/528155028.db2.gz KEUPMIMCYCMXCQ-LLVKDONJSA-N 1 2 288.844 3.578 20 0 CHADLO CCC[N@H+](Cc1ncc(Cl)s1)C[C@H]1CCCCO1 ZINC000351995433 528155032 /nfs/dbraw/zinc/15/50/32/528155032.db2.gz KEUPMIMCYCMXCQ-LLVKDONJSA-N 1 2 288.844 3.578 20 0 CHADLO CC(C)Oc1ccc(CNc2cc[nH+]c(C3CC3)n2)cc1 ZINC000110128474 528163595 /nfs/dbraw/zinc/16/35/95/528163595.db2.gz BLLWXNIXMZYPAE-UHFFFAOYSA-N 1 2 283.375 3.753 20 0 CHADLO CCC[C@H]1CCc2ccccc2N1Cc1c[nH+]cn1C ZINC000347285913 528384715 /nfs/dbraw/zinc/38/47/15/528384715.db2.gz HFPLCVYLIZUKKH-HNNXBMFYSA-N 1 2 269.392 3.542 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2cccc(Cl)c2)s1 ZINC000344629557 528443411 /nfs/dbraw/zinc/44/34/11/528443411.db2.gz KSNHHIJYQAJKEF-UHFFFAOYSA-N 1 2 281.812 3.605 20 0 CHADLO CC(C)Cc1ccc(C[N@H+](C)Cc2ccon2)cc1 ZINC000353815291 528650388 /nfs/dbraw/zinc/65/03/88/528650388.db2.gz DFGYKBUBNDZEKZ-UHFFFAOYSA-N 1 2 258.365 3.505 20 0 CHADLO CC(C)Cc1ccc(C[N@@H+](C)Cc2ccon2)cc1 ZINC000353815291 528650393 /nfs/dbraw/zinc/65/03/93/528650393.db2.gz DFGYKBUBNDZEKZ-UHFFFAOYSA-N 1 2 258.365 3.505 20 0 CHADLO CCOc1cccc(NCCCc2ccc(C)[nH+]c2)c1 ZINC000353832854 528673872 /nfs/dbraw/zinc/67/38/72/528673872.db2.gz QZTXNHCFNQXYJE-UHFFFAOYSA-N 1 2 270.376 3.833 20 0 CHADLO CC(C)Cc1noc(C[N@H+]([C@H](C)c2ccccc2)C2CC2)n1 ZINC000352822484 528680235 /nfs/dbraw/zinc/68/02/35/528680235.db2.gz FUUAQGKXXUBHCF-CQSZACIVSA-N 1 2 299.418 3.994 20 0 CHADLO CC(C)Cc1noc(C[N@@H+]([C@H](C)c2ccccc2)C2CC2)n1 ZINC000352822484 528680243 /nfs/dbraw/zinc/68/02/43/528680243.db2.gz FUUAQGKXXUBHCF-CQSZACIVSA-N 1 2 299.418 3.994 20 0 CHADLO CCN(Cc1cccc2[nH+]ccn21)c1ccccc1C ZINC000355033911 528816386 /nfs/dbraw/zinc/81/63/86/528816386.db2.gz DCYXLMIXZHZPGG-UHFFFAOYSA-N 1 2 265.360 3.669 20 0 CHADLO CC[N@H+](Cc1cnccc1C)Cc1c(F)cccc1F ZINC000353645388 528873380 /nfs/dbraw/zinc/87/33/80/528873380.db2.gz ADKYYOHDMKSVTO-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1cnccc1C)Cc1c(F)cccc1F ZINC000353645388 528873385 /nfs/dbraw/zinc/87/33/85/528873385.db2.gz ADKYYOHDMKSVTO-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC1(C)CC[C@H](C[N@@H+]2Cc3cccc(Cl)c3C2)O1 ZINC000347469056 529040576 /nfs/dbraw/zinc/04/05/76/529040576.db2.gz UDGICPQFYCRPII-GFCCVEGCSA-N 1 2 265.784 3.613 20 0 CHADLO CC1(C)CC[C@H](C[N@H+]2Cc3cccc(Cl)c3C2)O1 ZINC000347469056 529040581 /nfs/dbraw/zinc/04/05/81/529040581.db2.gz UDGICPQFYCRPII-GFCCVEGCSA-N 1 2 265.784 3.613 20 0 CHADLO CC[C@H](C)n1ncc(NCCCc2ccc(C)[nH+]c2)c1C ZINC000355144972 529293936 /nfs/dbraw/zinc/29/39/36/529293936.db2.gz AKDOWOBZNLNCBP-AWEZNQCLSA-N 1 2 286.423 3.911 20 0 CHADLO CC(C)c1nnc(C[NH2+]C2(c3ccc(Cl)cc3)CC2)o1 ZINC000341875775 534984750 /nfs/dbraw/zinc/98/47/50/534984750.db2.gz KCJQXBZCVGBYPB-UHFFFAOYSA-N 1 2 291.782 3.625 20 0 CHADLO COc1cc[nH+]cc1CSCCOc1cccc(C)c1 ZINC000341951575 537119564 /nfs/dbraw/zinc/11/95/64/537119564.db2.gz IZVPUFGIKGPBMD-UHFFFAOYSA-N 1 2 289.400 3.711 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2[C@@H](C)c2nc(C(C)C)no2)o1 ZINC000331401501 1125460140 /nfs/dbraw/zinc/46/01/40/1125460140.db2.gz MZXSJLARBLXRJL-QWHCGFSZSA-N 1 2 289.379 3.993 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2[C@@H](C)c2nc(C(C)C)no2)o1 ZINC000331401501 1125460143 /nfs/dbraw/zinc/46/01/43/1125460143.db2.gz MZXSJLARBLXRJL-QWHCGFSZSA-N 1 2 289.379 3.993 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1cccnc1Cl ZINC000109784912 1125469343 /nfs/dbraw/zinc/46/93/43/1125469343.db2.gz IFPJQCZYAIGTNX-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1cccnc1Cl ZINC000109784912 1125469349 /nfs/dbraw/zinc/46/93/49/1125469349.db2.gz IFPJQCZYAIGTNX-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](C1CC1)[N@H+]([C@@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000637927219 1129236492 /nfs/dbraw/zinc/23/64/92/1129236492.db2.gz JVGLAYLAGOQMNE-MLGOLLRUSA-N 1 2 288.439 3.689 20 0 CHADLO C[C@H](C1CC1)[N@@H+]([C@@H](c1nc[nH]n1)C1CCCCC1)C1CC1 ZINC000637927219 1129236494 /nfs/dbraw/zinc/23/64/94/1129236494.db2.gz JVGLAYLAGOQMNE-MLGOLLRUSA-N 1 2 288.439 3.689 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000080266744 1118278845 /nfs/dbraw/zinc/27/88/45/1118278845.db2.gz IQDWPQRUBPVVNX-RYUDHWBXSA-N 1 2 276.405 3.872 20 0 CHADLO CC(C)([NH2+]Cc1ccc(OCC2CC2)cc1)C(F)F ZINC000684413250 1118687270 /nfs/dbraw/zinc/68/72/70/1118687270.db2.gz BXXJLMBTXGNXAJ-UHFFFAOYSA-N 1 2 269.335 3.609 20 0 CHADLO COc1cc(Nc2cc(C)cc(Cl)c2N)cc(C)[nH+]1 ZINC001216093081 1118757503 /nfs/dbraw/zinc/75/75/03/1118757503.db2.gz RZVCJVXKJXDOPD-UHFFFAOYSA-N 1 2 277.755 3.686 20 0 CHADLO CC(C)[C@@H](c1ccccc1)[N@H+](C)Cc1noc(C2CC2)n1 ZINC000112699011 1125489087 /nfs/dbraw/zinc/48/90/87/1125489087.db2.gz QNFBVCUHUGVKTJ-INIZCTEOSA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)[C@@H](c1ccccc1)[N@@H+](C)Cc1noc(C2CC2)n1 ZINC000112699011 1125489090 /nfs/dbraw/zinc/48/90/90/1125489090.db2.gz QNFBVCUHUGVKTJ-INIZCTEOSA-N 1 2 285.391 3.776 20 0 CHADLO Clc1ccc(OCCOc2cc[nH+]cc2)c(Cl)c1 ZINC000431494260 1119230710 /nfs/dbraw/zinc/23/07/10/1119230710.db2.gz FXAJBEKRNKZKHS-UHFFFAOYSA-N 1 2 284.142 3.846 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1C[C@H](C)OC(C)(C)C1 ZINC000140534805 1119579177 /nfs/dbraw/zinc/57/91/77/1119579177.db2.gz GQJVGAPWNPJDTM-QWRGUYRKSA-N 1 2 269.335 3.525 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1C[C@H](C)OC(C)(C)C1 ZINC000140534805 1119579179 /nfs/dbraw/zinc/57/91/79/1119579179.db2.gz GQJVGAPWNPJDTM-QWRGUYRKSA-N 1 2 269.335 3.525 20 0 CHADLO Cc1cnc(Cl)c(C[NH2+]Cc2cc(C)cc(Cl)n2)c1 ZINC000922106028 1120369852 /nfs/dbraw/zinc/36/98/52/1120369852.db2.gz KULNNOKWQIQVDF-UHFFFAOYSA-N 1 2 296.201 3.690 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(C(C)(F)F)c1 ZINC000418014221 1120505486 /nfs/dbraw/zinc/50/54/86/1120505486.db2.gz CICZQLONBHHFCH-UHFFFAOYSA-N 1 2 265.307 3.627 20 0 CHADLO Fc1ccc(/C=C\C[NH2+][C@@H]2CCCC2(F)F)cc1F ZINC000673617780 1120600647 /nfs/dbraw/zinc/60/06/47/1120600647.db2.gz IQHCMSCWBFRBAJ-XQJDBVBESA-N 1 2 273.273 3.755 20 0 CHADLO CC(C)OCCCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000228562285 1120971513 /nfs/dbraw/zinc/97/15/13/1120971513.db2.gz JJXZOHPDRKZRMV-UHFFFAOYSA-N 1 2 276.302 3.718 20 0 CHADLO CCOc1ccc(Nc2c[nH+]c(C)cc2C)cc1F ZINC001175067998 1121147369 /nfs/dbraw/zinc/14/73/69/1121147369.db2.gz YUIQCEWBOIBOPN-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1cc(N2CC3(CCC3)C[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000432846483 1121404259 /nfs/dbraw/zinc/40/42/59/1121404259.db2.gz OEWHZRFWBBWSLC-ZDUSSCGKSA-N 1 2 259.397 3.677 20 0 CHADLO C[C@H]1COCCC[N@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000676710713 1121458452 /nfs/dbraw/zinc/45/84/52/1121458452.db2.gz HWLFAQKDSCIYLB-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)ccc(OC)c1Cl ZINC001176123474 1121558692 /nfs/dbraw/zinc/55/86/92/1121558692.db2.gz VOTMZSIEVZOPHL-UHFFFAOYSA-N 1 2 282.702 3.635 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnn(C(C)(C)C)c1 ZINC001212570440 1121604362 /nfs/dbraw/zinc/60/43/62/1121604362.db2.gz HAHZCENWVRYDDY-UHFFFAOYSA-N 1 2 258.369 3.648 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccc(C)c(Cl)n2)s1 ZINC000878424204 1121782970 /nfs/dbraw/zinc/78/29/70/1121782970.db2.gz NZWZQBKACTVXQP-SNVBAGLBSA-N 1 2 281.812 3.659 20 0 CHADLO Cc1ncsc1CNc1[nH]c2ccc(Cl)cc2[nH+]1 ZINC001179516228 1121883830 /nfs/dbraw/zinc/88/38/30/1121883830.db2.gz UUJKLXKAZYNMSB-UHFFFAOYSA-N 1 2 278.768 3.593 20 0 CHADLO Cc1ncsc1CNc1[nH]c2cc(Cl)ccc2[nH+]1 ZINC001179516228 1121883839 /nfs/dbraw/zinc/88/38/39/1121883839.db2.gz UUJKLXKAZYNMSB-UHFFFAOYSA-N 1 2 278.768 3.593 20 0 CHADLO CCC(C)(C)OC1C[NH+](CCc2cccc(Cl)c2)C1 ZINC001182918290 1122196350 /nfs/dbraw/zinc/19/63/50/1122196350.db2.gz MFUFFXRXYIPZIS-UHFFFAOYSA-N 1 2 281.827 3.772 20 0 CHADLO CC[C@](C)(CNc1ccc([NH+]2CCCC2)cc1)OC ZINC000319997554 1122918521 /nfs/dbraw/zinc/91/85/21/1122918521.db2.gz YZUVVPDPNCBJGY-MRXNPFEDSA-N 1 2 262.397 3.514 20 0 CHADLO Nc1ccc(Nc2cccc(F)c2C(F)(F)F)c[nH+]1 ZINC001201285816 1123081012 /nfs/dbraw/zinc/08/10/12/1123081012.db2.gz PWAAVFCJVBKDJC-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CC23CCCC3)c(C)[nH+]1 ZINC000335910425 1123652219 /nfs/dbraw/zinc/65/22/19/1123652219.db2.gz LPNDWAGIZBXKEO-ZDUSSCGKSA-N 1 2 258.365 3.526 20 0 CHADLO Cc1cnc(C[NH2+][C@@H]2CCCc3sc(Cl)cc32)o1 ZINC000231638213 1123657429 /nfs/dbraw/zinc/65/74/29/1123657429.db2.gz HAWWRROKDCBMOM-SNVBAGLBSA-N 1 2 282.796 3.865 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@H+](C[C@H]1CCCO1)C2 ZINC000339016358 1123677268 /nfs/dbraw/zinc/67/72/68/1123677268.db2.gz VROUXEDFYJRYNU-GFCCVEGCSA-N 1 2 286.202 3.531 20 0 CHADLO CC[C@H]([NH2+][C@H]1CCCc2cc(OC)ccc21)C(F)F ZINC000449360445 1124709714 /nfs/dbraw/zinc/70/97/14/1124709714.db2.gz JGLJOFZRAXIDHG-KBPBESRZSA-N 1 2 269.335 3.706 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2[C@@H](C)c2csnn2)s1 ZINC000450409456 1125009555 /nfs/dbraw/zinc/00/95/55/1125009555.db2.gz LMMOWEYZKYKJTO-CMPLNLGQSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1csc([C@H]2CC[N@H+](Cc3nc(Cl)cs3)C2)n1 ZINC000877812787 1125084870 /nfs/dbraw/zinc/08/48/70/1125084870.db2.gz BEYRIIHIGUPNNR-VIFPVBQESA-N 1 2 299.852 3.551 20 0 CHADLO Cc1csc([C@H]2CC[N@@H+](Cc3nc(Cl)cs3)C2)n1 ZINC000877812787 1125084878 /nfs/dbraw/zinc/08/48/78/1125084878.db2.gz BEYRIIHIGUPNNR-VIFPVBQESA-N 1 2 299.852 3.551 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)nc1Cl ZINC000877901861 1125098935 /nfs/dbraw/zinc/09/89/35/1125098935.db2.gz YBSFISJDVHVEGY-SNVBAGLBSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccc(C)c(Cl)n2)n1 ZINC000878420699 1125151225 /nfs/dbraw/zinc/15/12/25/1125151225.db2.gz PJVQYAYPWKUREC-JTQLQIEISA-N 1 2 281.812 3.659 20 0 CHADLO CCO[C@@H]1CC[N@@H+](Cc2c(F)ccc(Cl)c2Cl)C1 ZINC001143866823 1131543184 /nfs/dbraw/zinc/54/31/84/1131543184.db2.gz LXBSXLYVOBXVIE-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139047545 1131575430 /nfs/dbraw/zinc/57/54/30/1131575430.db2.gz LXPNOGHEPDJMHB-SECBINFHSA-N 1 2 259.727 3.850 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2c(F)cc(Cl)cc2F)C1 ZINC001139047545 1131575434 /nfs/dbraw/zinc/57/54/34/1131575434.db2.gz LXPNOGHEPDJMHB-SECBINFHSA-N 1 2 259.727 3.850 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)CSC(C)(C)C)c1 ZINC000846117313 1131688282 /nfs/dbraw/zinc/68/82/82/1131688282.db2.gz MMNQNAGHEQEGCG-LLVKDONJSA-N 1 2 268.426 3.560 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1cc(Cl)ncc1F ZINC001140607660 1131825800 /nfs/dbraw/zinc/82/58/00/1131825800.db2.gz RSLJTQWYMPMNDJ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)c(Cl)c1 ZINC001140796392 1131921338 /nfs/dbraw/zinc/92/13/38/1131921338.db2.gz GPHSQAVPBCKJOG-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)c(Cl)c1 ZINC001140796392 1131921343 /nfs/dbraw/zinc/92/13/43/1131921343.db2.gz GPHSQAVPBCKJOG-UONOGXRCSA-N 1 2 273.754 3.920 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cnccc2C(F)(F)F)C1 ZINC001140915880 1131962486 /nfs/dbraw/zinc/96/24/86/1131962486.db2.gz UIUKVTMEGHOUHY-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cnccc2C(F)(F)F)C1 ZINC001140915880 1131962491 /nfs/dbraw/zinc/96/24/91/1131962491.db2.gz UIUKVTMEGHOUHY-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(F)c(O)c(F)c2)CCC1(F)F ZINC001140988377 1131978895 /nfs/dbraw/zinc/97/88/95/1131978895.db2.gz YKXSORCMLGMBMW-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(F)c(O)c(F)c2)CCC1(F)F ZINC001140988377 1131978904 /nfs/dbraw/zinc/97/89/04/1131978904.db2.gz YKXSORCMLGMBMW-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO CCC[C@H]1CCCC[N@@H+]1Cc1ncc(Cl)nc1Cl ZINC001141135561 1132027189 /nfs/dbraw/zinc/02/71/89/1132027189.db2.gz COFMFUWQJJTCMQ-JTQLQIEISA-N 1 2 288.222 3.938 20 0 CHADLO CCC[C@H]1CCCC[N@H+]1Cc1ncc(Cl)nc1Cl ZINC001141135561 1132027197 /nfs/dbraw/zinc/02/71/97/1132027197.db2.gz COFMFUWQJJTCMQ-JTQLQIEISA-N 1 2 288.222 3.938 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1cc(Cl)cnc1F ZINC001231997648 1132407180 /nfs/dbraw/zinc/40/71/80/1132407180.db2.gz DARJSZKEDVYHEA-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1cc(Cl)cnc1F ZINC001231997648 1132407184 /nfs/dbraw/zinc/40/71/84/1132407184.db2.gz DARJSZKEDVYHEA-UHFFFAOYSA-N 1 2 284.787 3.958 20 0 CHADLO CCCC[C@@H](COC)Nc1c[nH+]cc2c1CCCC2 ZINC001206635660 1132560023 /nfs/dbraw/zinc/56/00/23/1132560023.db2.gz DXOKOOKYTBFWSU-AWEZNQCLSA-N 1 2 262.397 3.578 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+](Cc2csc(C(F)(F)F)c2)C1 ZINC001233177380 1132578077 /nfs/dbraw/zinc/57/80/77/1132578077.db2.gz JTTBPSKFKPGAAS-LLVKDONJSA-N 1 2 291.338 3.568 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+](Cc2csc(C(F)(F)F)c2)C1 ZINC001233177380 1132578081 /nfs/dbraw/zinc/57/80/81/1132578081.db2.gz JTTBPSKFKPGAAS-LLVKDONJSA-N 1 2 291.338 3.568 20 0 CHADLO Cc1cc(NC[C@@H]2CCC(F)(F)C2)nc(C(C)(C)C)[nH+]1 ZINC001155173201 1132645495 /nfs/dbraw/zinc/64/54/95/1132645495.db2.gz HSYCPQGYWFRQGZ-LLVKDONJSA-N 1 2 283.366 3.930 20 0 CHADLO CC(C)c1ccc(N2CC[C@](CF)(C(F)(F)F)C2)[nH+]c1 ZINC001164266875 1133210278 /nfs/dbraw/zinc/21/02/78/1133210278.db2.gz POSHECPAEVBPNZ-CYBMUJFWSA-N 1 2 290.304 3.933 20 0 CHADLO CNc1ccc(Nc2cc(Cl)c(Cl)cc2N)c[nH+]1 ZINC001203458624 1133330374 /nfs/dbraw/zinc/33/03/74/1133330374.db2.gz PVANKOATHOAYOQ-UHFFFAOYSA-N 1 2 283.162 3.756 20 0 CHADLO Cc1nc(NC[C@H]2CCC[C@@H]2C)c(Br)c(C)[nH+]1 ZINC000323132544 1135852627 /nfs/dbraw/zinc/85/26/27/1135852627.db2.gz YQIYNGTVIPBAAD-GZMMTYOYSA-N 1 2 298.228 3.704 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2cc(F)ccc2F)n1 ZINC000116381978 1125509858 /nfs/dbraw/zinc/50/98/58/1125509858.db2.gz JODFWFKIIYYVON-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO Cc1csc(C[N@@H+](C)[C@H](C)c2ccc(F)c(F)c2)n1 ZINC000118403362 1125523054 /nfs/dbraw/zinc/52/30/54/1125523054.db2.gz IXIKKTPNFIOGGO-SNVBAGLBSA-N 1 2 282.359 3.923 20 0 CHADLO Cc1csc(C[N@H+](C)[C@H](C)c2ccc(F)c(F)c2)n1 ZINC000118403362 1125523059 /nfs/dbraw/zinc/52/30/59/1125523059.db2.gz IXIKKTPNFIOGGO-SNVBAGLBSA-N 1 2 282.359 3.923 20 0 CHADLO CC[NH+](CC)[C@@H](CN[C@H](C)c1ccco1)c1ccco1 ZINC000119101256 1125529732 /nfs/dbraw/zinc/52/97/32/1125529732.db2.gz FYXPIYSJBZMJQH-KGLIPLIRSA-N 1 2 276.380 3.606 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC000058876520 1125543351 /nfs/dbraw/zinc/54/33/51/1125543351.db2.gz YEYMXWUVYPGVPM-UHFFFAOYSA-N 1 2 298.411 3.937 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000058876520 1125543358 /nfs/dbraw/zinc/54/33/58/1125543358.db2.gz YEYMXWUVYPGVPM-UHFFFAOYSA-N 1 2 298.411 3.937 20 0 CHADLO CCO[C@H](COc1cc(C)[nH+]c2ccccc21)C1CC1 ZINC000629361841 1125565549 /nfs/dbraw/zinc/56/55/49/1125565549.db2.gz HGNGYPRVQPVYPT-QGZVFWFLSA-N 1 2 271.360 3.737 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H]2CCOc3c(Cl)cccc32)no1 ZINC000282937111 1125610544 /nfs/dbraw/zinc/61/05/44/1125610544.db2.gz YQUGDCUOKYPKSC-MFKMUULPSA-N 1 2 292.766 3.811 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@H]2CCCc3nc(C)sc32)o1 ZINC000533522712 1125613196 /nfs/dbraw/zinc/61/31/96/1125613196.db2.gz FQTRDNHZHAIZBR-ZDUSSCGKSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@H]2CCCc3nc(C)sc32)o1 ZINC000533522712 1125613203 /nfs/dbraw/zinc/61/32/03/1125613203.db2.gz FQTRDNHZHAIZBR-ZDUSSCGKSA-N 1 2 291.420 3.511 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc3cccc(Cl)c3n2)C[C@@H]1F ZINC001137220027 1125623377 /nfs/dbraw/zinc/62/33/77/1125623377.db2.gz SKTDHEIBUKODHN-YGRLFVJLSA-N 1 2 278.758 3.678 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc3cccc(Cl)c3n2)C[C@@H]1F ZINC001137220027 1125623379 /nfs/dbraw/zinc/62/33/79/1125623379.db2.gz SKTDHEIBUKODHN-YGRLFVJLSA-N 1 2 278.758 3.678 20 0 CHADLO Cc1coc(C[NH2+]C2(c3nc(C)cs3)CCC2)c1 ZINC000885961182 1125630605 /nfs/dbraw/zinc/63/06/05/1125630605.db2.gz USONORSVQLJEPL-UHFFFAOYSA-N 1 2 262.378 3.522 20 0 CHADLO CCCCC(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000072052265 1125633804 /nfs/dbraw/zinc/63/38/04/1125633804.db2.gz HKAPMVYOAHRSKN-UHFFFAOYSA-N 1 2 277.755 3.654 20 0 CHADLO Oc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c(F)c1F ZINC001212007394 1125646986 /nfs/dbraw/zinc/64/69/86/1125646986.db2.gz AHBIZSHTDISMPR-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO Cc1coc(C[NH2+][C@H](CN(C)C)c2ccc(Cl)cc2)c1 ZINC000886109922 1125651761 /nfs/dbraw/zinc/65/17/61/1125651761.db2.gz UWGXWRAHUFATFN-MRXNPFEDSA-N 1 2 292.810 3.634 20 0 CHADLO CCn1ccnc1C[N@@H+]1C[C@H](C)C[C@@H]1c1ccccc1F ZINC000075900603 1125660415 /nfs/dbraw/zinc/66/04/15/1125660415.db2.gz PWHQCMTWJAPLPJ-CZUORRHYSA-N 1 2 287.382 3.625 20 0 CHADLO CCn1ccnc1C[N@H+]1C[C@H](C)C[C@@H]1c1ccccc1F ZINC000075900603 1125660417 /nfs/dbraw/zinc/66/04/17/1125660417.db2.gz PWHQCMTWJAPLPJ-CZUORRHYSA-N 1 2 287.382 3.625 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@H]1CC[C@H](C3CC3)O1)C2 ZINC000886206318 1125661654 /nfs/dbraw/zinc/66/16/54/1125661654.db2.gz QCMMSPQMZGLDHX-CZUORRHYSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@H]1CC[C@H](C3CC3)O1)C2 ZINC000886206318 1125661657 /nfs/dbraw/zinc/66/16/57/1125661657.db2.gz QCMMSPQMZGLDHX-CZUORRHYSA-N 1 2 277.795 3.613 20 0 CHADLO CC(C)COc1cccc(C[NH2+][C@@H](C)c2ncco2)c1 ZINC000886274192 1125666014 /nfs/dbraw/zinc/66/60/14/1125666014.db2.gz NZFGTSSJALYHEH-ZDUSSCGKSA-N 1 2 274.364 3.560 20 0 CHADLO Cc1csc(C[NH2+]C(C)(C)c2ccc(F)cc2F)n1 ZINC000886350372 1125672253 /nfs/dbraw/zinc/67/22/53/1125672253.db2.gz SFEGYCIQNZMLLE-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO CC(C)n1ccnc1C[NH2+]C(C)(C)c1ccc(F)cc1F ZINC000886350559 1125672519 /nfs/dbraw/zinc/67/25/19/1125672519.db2.gz YWSZOGBBZYUMQP-UHFFFAOYSA-N 1 2 293.361 3.767 20 0 CHADLO FC(F)Oc1cccc(Nc2cccn3cc[nH+]c23)c1 ZINC001212056006 1125673128 /nfs/dbraw/zinc/67/31/28/1125673128.db2.gz IGCQAXBJIIHVSR-UHFFFAOYSA-N 1 2 275.258 3.679 20 0 CHADLO Cc1cc(C)c2cc(NCc3cn(C(C)C)nn3)ccc2[nH+]1 ZINC000886369983 1125674248 /nfs/dbraw/zinc/67/42/48/1125674248.db2.gz SXGYOCXANXYKTC-UHFFFAOYSA-N 1 2 295.390 3.636 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)Cc1nccn1CC ZINC000076292177 1125675541 /nfs/dbraw/zinc/67/55/41/1125675541.db2.gz QDPCSQIDUBGPLB-UHFFFAOYSA-N 1 2 297.402 3.844 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)Cc1nccn1CC ZINC000076292177 1125675544 /nfs/dbraw/zinc/67/55/44/1125675544.db2.gz QDPCSQIDUBGPLB-UHFFFAOYSA-N 1 2 297.402 3.844 20 0 CHADLO CCn1ccnc1C[N@H+](C)Cc1csc2ccccc12 ZINC000076292495 1125675718 /nfs/dbraw/zinc/67/57/18/1125675718.db2.gz QERIQPLBGRTKAL-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO CCn1ccnc1C[N@@H+](C)Cc1csc2ccccc12 ZINC000076292495 1125675722 /nfs/dbraw/zinc/67/57/22/1125675722.db2.gz QERIQPLBGRTKAL-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2c1cccc2Cl)c1ncco1 ZINC000886536859 1125685867 /nfs/dbraw/zinc/68/58/67/1125685867.db2.gz PQNOGARSEWDJOP-RNCFNFMXSA-N 1 2 262.740 3.666 20 0 CHADLO CC[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1cccc(Cl)c1 ZINC000393319266 1125686319 /nfs/dbraw/zinc/68/63/19/1125686319.db2.gz DNOLSYCJFUCGIS-CYBMUJFWSA-N 1 2 298.217 3.968 20 0 CHADLO C[C@H]1CC[N@H+](Cc2noc(C3CC3)n2)[C@H]1c1ccccc1 ZINC000886544946 1125688650 /nfs/dbraw/zinc/68/86/50/1125688650.db2.gz DHLGAOURHGNILJ-BLLLJJGKSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2noc(C3CC3)n2)[C@H]1c1ccccc1 ZINC000886544946 1125688655 /nfs/dbraw/zinc/68/86/55/1125688655.db2.gz DHLGAOURHGNILJ-BLLLJJGKSA-N 1 2 283.375 3.530 20 0 CHADLO Cc1csc(C2([NH2+][C@@H]3CCc4cccnc43)CCC2)n1 ZINC000886570525 1125691762 /nfs/dbraw/zinc/69/17/62/1125691762.db2.gz NSVRCCMAPZEOIH-CYBMUJFWSA-N 1 2 285.416 3.503 20 0 CHADLO CC(=O)Oc1ccc(Nc2[nH+]cccc2C2CC2)cc1 ZINC001212073403 1125699502 /nfs/dbraw/zinc/69/95/02/1125699502.db2.gz YJEDSHQFVYVRGR-UHFFFAOYSA-N 1 2 268.316 3.628 20 0 CHADLO FC[C@H]([NH2+][C@H]1CCc2cccnc21)c1ccc(F)cc1 ZINC000886648610 1125702778 /nfs/dbraw/zinc/70/27/78/1125702778.db2.gz YXRFPCDNDUMBBX-GJZGRUSLSA-N 1 2 274.314 3.508 20 0 CHADLO CC(=O)Oc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001212075522 1125713948 /nfs/dbraw/zinc/71/39/48/1125713948.db2.gz IBVYLSAWMGAUPV-UHFFFAOYSA-N 1 2 293.326 3.541 20 0 CHADLO Cc1cc(C)cc(C2=CC[N@H+](Cc3ccon3)CC2)c1 ZINC000092426837 1125757026 /nfs/dbraw/zinc/75/70/26/1125757026.db2.gz HFOFLXVFERCROY-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO Cc1cc(C)cc(C2=CC[N@@H+](Cc3ccon3)CC2)c1 ZINC000092426837 1125757033 /nfs/dbraw/zinc/75/70/33/1125757033.db2.gz HFOFLXVFERCROY-UHFFFAOYSA-N 1 2 268.360 3.581 20 0 CHADLO COc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1Cl ZINC000672239187 1125766139 /nfs/dbraw/zinc/76/61/39/1125766139.db2.gz ICAUJPASRZQSKV-UHFFFAOYSA-N 1 2 287.750 3.837 20 0 CHADLO CCCn1c(C)nn(C[N@H+](C)C2CCC(C)CC2)c1=S ZINC000089650210 1129245051 /nfs/dbraw/zinc/24/50/51/1129245051.db2.gz DSFQWFCYLXEFGB-UHFFFAOYSA-N 1 2 296.484 3.601 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)[C@@H](C)c1ncccn1 ZINC000929097202 1125780475 /nfs/dbraw/zinc/78/04/75/1125780475.db2.gz LYWPNDBKXJLYKM-ZDUSSCGKSA-N 1 2 295.386 3.978 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)[C@@H](C)c1ncccn1 ZINC000929097202 1125780482 /nfs/dbraw/zinc/78/04/82/1125780482.db2.gz LYWPNDBKXJLYKM-ZDUSSCGKSA-N 1 2 295.386 3.978 20 0 CHADLO CCCn1c(C)nn(C[N@@H+](C)C2CCC(C)CC2)c1=S ZINC000089650210 1129245053 /nfs/dbraw/zinc/24/50/53/1129245053.db2.gz DSFQWFCYLXEFGB-UHFFFAOYSA-N 1 2 296.484 3.601 20 0 CHADLO Cc1ccsc1[C@@H]1C[C@@H]1C(=O)Nc1cc[nH+]c(C)c1 ZINC000122554318 1125788279 /nfs/dbraw/zinc/78/82/79/1125788279.db2.gz MSQKZKSDOLBUEV-OLZOCXBDSA-N 1 2 272.373 3.502 20 0 CHADLO Fc1cc(F)c2c(c1)C[N@@H+](C[C@@H]1CC1(Cl)Cl)CC2 ZINC000766193929 1129281777 /nfs/dbraw/zinc/28/17/77/1129281777.db2.gz VWCBNWPMGIBAEU-VIFPVBQESA-N 1 2 292.156 3.517 20 0 CHADLO Fc1cc(F)c2c(c1)C[N@H+](C[C@@H]1CC1(Cl)Cl)CC2 ZINC000766193929 1129281781 /nfs/dbraw/zinc/28/17/81/1129281781.db2.gz VWCBNWPMGIBAEU-VIFPVBQESA-N 1 2 292.156 3.517 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1nc(Cl)ccc1Cl ZINC000766895846 1129769205 /nfs/dbraw/zinc/76/92/05/1129769205.db2.gz XUIPHXXBLGMQRU-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO CCCCCC[C@@H](C)NC(=O)Nc1cc(C)[nH+]cc1C ZINC000767947598 1129823217 /nfs/dbraw/zinc/82/32/17/1129823217.db2.gz NMMZUNGJRYZMES-CYBMUJFWSA-N 1 2 277.412 3.601 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2cnccc2Cl)cc1F ZINC001243052347 1130196170 /nfs/dbraw/zinc/19/61/70/1130196170.db2.gz WXYONUBNZONLQU-UHFFFAOYSA-N 1 2 282.721 3.742 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncc1F)c1ccc(Cl)cn1 ZINC000338023339 1126712853 /nfs/dbraw/zinc/71/28/53/1126712853.db2.gz RYEQPOMRLPNUEH-VHSXEESVSA-N 1 2 279.746 3.681 20 0 CHADLO Cc1ccc(CNc2ccc(N3CCCC3)c[nH+]2)s1 ZINC000338158668 1126721707 /nfs/dbraw/zinc/72/17/07/1126721707.db2.gz XTPARYVEDMZTKQ-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO Cc1cnc(F)cc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001212846785 1126727792 /nfs/dbraw/zinc/72/77/92/1126727792.db2.gz KDBNRHGWCNXBEY-UHFFFAOYSA-N 1 2 286.354 3.658 20 0 CHADLO CCc1ccc(C[NH2+][C@H](c2nc(C)cs2)C2CC2)o1 ZINC000150842755 1126746009 /nfs/dbraw/zinc/74/60/09/1126746009.db2.gz INAMAGHIOSPCND-AWEZNQCLSA-N 1 2 276.405 3.848 20 0 CHADLO CC(C)(C)[C@H]([NH2+]Cc1cc[nH]n1)c1ccc(F)cc1F ZINC000150864379 1126746872 /nfs/dbraw/zinc/74/68/72/1126746872.db2.gz FDHRQWIBPQOZAI-CQSZACIVSA-N 1 2 279.334 3.565 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@H](CC(F)(F)F)C2)c(F)c1F ZINC000668067575 1126764668 /nfs/dbraw/zinc/76/46/68/1126764668.db2.gz QNAJAKRGPFVEAW-MRVPVSSYSA-N 1 2 297.242 3.878 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@H](CC(F)(F)F)C2)c(F)c1F ZINC000668067575 1126764671 /nfs/dbraw/zinc/76/46/71/1126764671.db2.gz QNAJAKRGPFVEAW-MRVPVSSYSA-N 1 2 297.242 3.878 20 0 CHADLO COc1ccc(OC)c([C@H](C)[NH2+][C@H](C)c2cscn2)c1 ZINC000162324764 1126770643 /nfs/dbraw/zinc/77/06/43/1126770643.db2.gz WZSMDXJJHRDUOQ-WDEREUQCSA-N 1 2 292.404 3.572 20 0 CHADLO C[N@H+](Cc1noc(Cc2ccccc2)n1)[C@@H]1CCC(C)(C)C1 ZINC000483119436 1126768170 /nfs/dbraw/zinc/76/81/70/1126768170.db2.gz SESYVOFLXNVZKA-OAHLLOKOSA-N 1 2 299.418 3.671 20 0 CHADLO C[N@@H+](Cc1noc(Cc2ccccc2)n1)[C@@H]1CCC(C)(C)C1 ZINC000483119436 1126768171 /nfs/dbraw/zinc/76/81/71/1126768171.db2.gz SESYVOFLXNVZKA-OAHLLOKOSA-N 1 2 299.418 3.671 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+]Cc2ccc(F)c(F)c2)o1 ZINC000174480568 1126770968 /nfs/dbraw/zinc/77/09/68/1126770968.db2.gz RNRTXSSGGGJJKH-OAHLLOKOSA-N 1 2 295.329 3.598 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+]Cc2cccc(F)c2F)o1 ZINC000174482435 1126771100 /nfs/dbraw/zinc/77/11/00/1126771100.db2.gz YUWCGVLVWWXYQD-CQSZACIVSA-N 1 2 295.329 3.598 20 0 CHADLO Cc1ccc(C)c(NC(=O)NCc2c[nH+]cn2C2CCC2)c1 ZINC001202772701 1126781205 /nfs/dbraw/zinc/78/12/05/1126781205.db2.gz LSNMZSSGDZPZGN-UHFFFAOYSA-N 1 2 298.390 3.547 20 0 CHADLO CSc1cc(NC2CC(C)(C)OC(C)(C)C2)nc(C)[nH+]1 ZINC001170358558 1130484667 /nfs/dbraw/zinc/48/46/67/1130484667.db2.gz AHSYPRJIAZEXHR-UHFFFAOYSA-N 1 2 295.452 3.655 20 0 CHADLO C[C@@H]1CC[N@H+](CCOc2ccc(F)cc2Cl)C[C@H]1F ZINC000679222354 1130503707 /nfs/dbraw/zinc/50/37/07/1130503707.db2.gz MGYLJAMZUMKFII-ZWNOBZJWSA-N 1 2 289.753 3.538 20 0 CHADLO C[C@@H]1CC[N@@H+](CCOc2ccc(F)cc2Cl)C[C@H]1F ZINC000679222354 1130503712 /nfs/dbraw/zinc/50/37/12/1130503712.db2.gz MGYLJAMZUMKFII-ZWNOBZJWSA-N 1 2 289.753 3.538 20 0 CHADLO Cc1cc(C(F)(F)F)cc(-c2ccn3cc[nH+]c3c2)n1 ZINC001240496913 1126803875 /nfs/dbraw/zinc/80/38/75/1126803875.db2.gz QZZMVCIGKOKYGF-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Cc1cc(=O)[nH]c([C@@H](C)[NH2+][C@H](C)c2ccccc2Cl)n1 ZINC000177913778 1126807551 /nfs/dbraw/zinc/80/75/51/1126807551.db2.gz BPBKTEPRDORTJX-GHMZBOCLSA-N 1 2 291.782 3.556 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2cn3c(cccc3C)n2)o1 ZINC000178062794 1126808398 /nfs/dbraw/zinc/80/83/98/1126808398.db2.gz DMYDUEVJVFBNLE-ZDUSSCGKSA-N 1 2 283.375 3.649 20 0 CHADLO C[C@H](SCCn1cc[nH+]c1)c1cccc(F)c1 ZINC000179080392 1126823535 /nfs/dbraw/zinc/82/35/35/1126823535.db2.gz XFRAPVGLHSWHQQ-NSHDSACASA-N 1 2 250.342 3.517 20 0 CHADLO Cc1ccc2nc(C[NH2+]C3(c4ccccc4F)CC3)cn2c1 ZINC000179660699 1126831907 /nfs/dbraw/zinc/83/19/07/1126831907.db2.gz NRKJSTIXPDFEKW-UHFFFAOYSA-N 1 2 295.361 3.561 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+]Cc1ncc(C)o1 ZINC000179744736 1126833049 /nfs/dbraw/zinc/83/30/49/1126833049.db2.gz HXYSBMAYPXVBTB-CQSZACIVSA-N 1 2 274.364 3.623 20 0 CHADLO CCc1nnc(C[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)o1 ZINC000179773558 1126834032 /nfs/dbraw/zinc/83/40/32/1126834032.db2.gz NTIPCOKDPSCKQB-UHFFFAOYSA-N 1 2 297.402 3.538 20 0 CHADLO CCc1nnc(C[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)o1 ZINC000179773558 1126834034 /nfs/dbraw/zinc/83/40/34/1126834034.db2.gz NTIPCOKDPSCKQB-UHFFFAOYSA-N 1 2 297.402 3.538 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc([C@H]2[C@@H]3CCCCCC[C@@H]32)n1 ZINC000904262243 1126840439 /nfs/dbraw/zinc/84/04/39/1126840439.db2.gz BGFUKEPJUZACHU-NHAGDIPZSA-N 1 2 298.390 3.706 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@@H](C)c2nc3ccccc3o2)o1 ZINC000180937019 1126850101 /nfs/dbraw/zinc/85/01/01/1126850101.db2.gz UTRIINQODHXGNV-LBPRGKRZSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@@H](C)c2nc3ccccc3o2)o1 ZINC000180937019 1126850105 /nfs/dbraw/zinc/85/01/05/1126850105.db2.gz UTRIINQODHXGNV-LBPRGKRZSA-N 1 2 270.332 3.922 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+](C)Cc1ccco1 ZINC000180901218 1126850554 /nfs/dbraw/zinc/85/05/54/1126850554.db2.gz UWOQPCAIUSBTEK-NSHDSACASA-N 1 2 256.305 3.614 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+](C)Cc1ccco1 ZINC000180901218 1126850555 /nfs/dbraw/zinc/85/05/55/1126850555.db2.gz UWOQPCAIUSBTEK-NSHDSACASA-N 1 2 256.305 3.614 20 0 CHADLO CC(C)CCc1nc(C[NH2+][C@H](C)c2cccnc2)cs1 ZINC000181651767 1126859088 /nfs/dbraw/zinc/85/90/88/1126859088.db2.gz VXQZDJUKAUXDLU-CYBMUJFWSA-N 1 2 289.448 3.978 20 0 CHADLO CCC[C@H]([NH2+]Cc1ccon1)c1ccc(F)cc1F ZINC000181659109 1126859651 /nfs/dbraw/zinc/85/96/51/1126859651.db2.gz KAJBEUGOXKYWML-AWEZNQCLSA-N 1 2 266.291 3.584 20 0 CHADLO FCC[NH2+]C1(c2cc(C(F)(F)F)ccc2Cl)CC1 ZINC000484983134 1126868085 /nfs/dbraw/zinc/86/80/85/1126868085.db2.gz AKLVHZSZCBUYFE-UHFFFAOYSA-N 1 2 281.680 3.907 20 0 CHADLO C[C@@H](Nc1c[nH+]cc2c1CCCC2)c1ccccn1 ZINC000668439978 1126890729 /nfs/dbraw/zinc/89/07/29/1126890729.db2.gz HOYCFDBJPSONJD-GFCCVEGCSA-N 1 2 253.349 3.529 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1cc(C(F)(F)F)ccc1F ZINC000183747439 1126891883 /nfs/dbraw/zinc/89/18/83/1126891883.db2.gz DENGINFEZDOWRB-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1cc(C(F)(F)F)ccc1F ZINC000183747439 1126891885 /nfs/dbraw/zinc/89/18/85/1126891885.db2.gz DENGINFEZDOWRB-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Cc1cc(F)c(C[NH+]2CC3(CCC3)C2)c(Br)c1 ZINC001235461073 1130748029 /nfs/dbraw/zinc/74/80/29/1130748029.db2.gz UTANYCLZUOUNDN-UHFFFAOYSA-N 1 2 298.199 3.883 20 0 CHADLO Cc1cc(C)c(C(=O)NCc2ccc(Cl)s2)c(C)[nH+]1 ZINC000906023372 1126925982 /nfs/dbraw/zinc/92/59/82/1126925982.db2.gz ANXXWMXWACJJOW-UHFFFAOYSA-N 1 2 294.807 3.652 20 0 CHADLO Cc1ccc([C@H](C)NC(=O)c2c(C)cc(C)[nH+]c2C)s1 ZINC000906381130 1126949869 /nfs/dbraw/zinc/94/98/69/1126949869.db2.gz HWHRDZJIRXCSFL-LBPRGKRZSA-N 1 2 288.416 3.868 20 0 CHADLO Cc1ccc(CN(C)C(=O)c2c(C)cc(C)[nH+]c2C)s1 ZINC000906545056 1126958650 /nfs/dbraw/zinc/95/86/50/1126958650.db2.gz TXJUQRXFXBOUPW-UHFFFAOYSA-N 1 2 288.416 3.649 20 0 CHADLO Cc1cc(C)c(C(=O)N2CC[C@@H](C(C)(C)C)C2)c(C)[nH+]1 ZINC000907342298 1126976509 /nfs/dbraw/zinc/97/65/09/1126976509.db2.gz LJYBNVJQYXWBQW-CQSZACIVSA-N 1 2 274.408 3.515 20 0 CHADLO CCC[N@H+](Cc1ncc(C)o1)Cc1ccc(F)cc1 ZINC000266508576 1126983309 /nfs/dbraw/zinc/98/33/09/1126983309.db2.gz CVISHJAVLFRZNR-UHFFFAOYSA-N 1 2 262.328 3.534 20 0 CHADLO CCC[N@@H+](Cc1ncc(C)o1)Cc1ccc(F)cc1 ZINC000266508576 1126983313 /nfs/dbraw/zinc/98/33/13/1126983313.db2.gz CVISHJAVLFRZNR-UHFFFAOYSA-N 1 2 262.328 3.534 20 0 CHADLO Cc1cc(C)c(C(=O)NCC2CCC(F)(F)CC2)c(C)[nH+]1 ZINC000908021242 1126990021 /nfs/dbraw/zinc/99/00/21/1126990021.db2.gz LRJMGXIWKMLWSN-UHFFFAOYSA-N 1 2 296.361 3.562 20 0 CHADLO Cc1cc(NCc2ncc(C(C)(C)C)o2)nc(C2CC2)[nH+]1 ZINC000267026978 1126991414 /nfs/dbraw/zinc/99/14/14/1126991414.db2.gz KRMSULNCKGLALZ-UHFFFAOYSA-N 1 2 286.379 3.560 20 0 CHADLO COc1c(C)c[nH+]c(CS[C@@H](C)c2ncc(C)o2)c1C ZINC001319857898 1126992663 /nfs/dbraw/zinc/99/26/63/1126992663.db2.gz BKBWBTKSIGLCEL-LBPRGKRZSA-N 1 2 292.404 3.998 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+]Cc2cccc(Cl)c2)cs1 ZINC000267673096 1127003714 /nfs/dbraw/zinc/00/37/14/1127003714.db2.gz ZXRFPEIJXXHNQL-JTQLQIEISA-N 1 2 296.823 3.794 20 0 CHADLO CC(C)c1cnc(C[NH+]2CCC(c3ccon3)CC2)s1 ZINC000668714513 1127010577 /nfs/dbraw/zinc/01/05/77/1127010577.db2.gz YCLCMECBHCVECD-UHFFFAOYSA-N 1 2 291.420 3.634 20 0 CHADLO Cc1cc(C)cc(C[NH2+]Cc2nnc(C(C)(C)C)s2)c1 ZINC000268214275 1127013891 /nfs/dbraw/zinc/01/38/91/1127013891.db2.gz PSQUKHXVECQBEA-UHFFFAOYSA-N 1 2 289.448 3.742 20 0 CHADLO Cc1cc(N[C@H](C)C[C@@H](O)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000268200254 1127014527 /nfs/dbraw/zinc/01/45/27/1127014527.db2.gz OGEUEGLPXWZMLW-MLGOLLRUSA-N 1 2 297.402 3.587 20 0 CHADLO Clc1cc(NC[C@@H]2CCSC2)ccc1-n1cc[nH+]c1 ZINC000487266102 1127027202 /nfs/dbraw/zinc/02/72/02/1127027202.db2.gz ICRUUKYSAQFZCK-NSHDSACASA-N 1 2 293.823 3.691 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2scnc2C)cs1 ZINC000268914918 1127028483 /nfs/dbraw/zinc/02/84/83/1127028483.db2.gz NQTQYCIEULGBSA-SCZZXKLOSA-N 1 2 281.450 3.882 20 0 CHADLO Cc1nnc(C[N@H+](C)CCCC2CCCCC2)s1 ZINC000668774063 1127028721 /nfs/dbraw/zinc/02/87/21/1127028721.db2.gz MQTBBYXUJHXYAY-UHFFFAOYSA-N 1 2 267.442 3.639 20 0 CHADLO Cc1nnc(C[N@@H+](C)CCCC2CCCCC2)s1 ZINC000668774063 1127028724 /nfs/dbraw/zinc/02/87/24/1127028724.db2.gz MQTBBYXUJHXYAY-UHFFFAOYSA-N 1 2 267.442 3.639 20 0 CHADLO Cc1cc(F)c(C[N@H+](C)CC2=CCSC2)c(Cl)c1 ZINC000668775532 1127029403 /nfs/dbraw/zinc/02/94/03/1127029403.db2.gz WRVUTUWTKNZCRJ-UHFFFAOYSA-N 1 2 285.815 3.893 20 0 CHADLO Cc1cc(F)c(C[N@@H+](C)CC2=CCSC2)c(Cl)c1 ZINC000668775532 1127029408 /nfs/dbraw/zinc/02/94/08/1127029408.db2.gz WRVUTUWTKNZCRJ-UHFFFAOYSA-N 1 2 285.815 3.893 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@H](C)c2ccsc2)cs1 ZINC000269103981 1127035699 /nfs/dbraw/zinc/03/56/99/1127035699.db2.gz UTEZPZZQROXNKB-NXEZZACHSA-N 1 2 282.434 3.763 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@H](C)c2ccsc2)cs1 ZINC000269103992 1127035773 /nfs/dbraw/zinc/03/57/73/1127035773.db2.gz UTEZPZZQROXNKB-ZJUUUORDSA-N 1 2 282.434 3.763 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2nccs2)[C@@H]1c1ccccc1 ZINC000269725539 1127045215 /nfs/dbraw/zinc/04/52/15/1127045215.db2.gz VXSXCBNJTWTWML-DOMZBBRYSA-N 1 2 290.457 3.822 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2nccs2)[C@@H]1c1ccccc1 ZINC000269725539 1127045216 /nfs/dbraw/zinc/04/52/16/1127045216.db2.gz VXSXCBNJTWTWML-DOMZBBRYSA-N 1 2 290.457 3.822 20 0 CHADLO c1cc([C@@H]2CCC[N@H+]2Cc2ncc(C3CC3)o2)cs1 ZINC000270658792 1127059738 /nfs/dbraw/zinc/05/97/38/1127059738.db2.gz VGMZTYUDEMVNCW-ZDUSSCGKSA-N 1 2 274.389 3.951 20 0 CHADLO c1cc([C@@H]2CCC[N@@H+]2Cc2ncc(C3CC3)o2)cs1 ZINC000270658792 1127059745 /nfs/dbraw/zinc/05/97/45/1127059745.db2.gz VGMZTYUDEMVNCW-ZDUSSCGKSA-N 1 2 274.389 3.951 20 0 CHADLO CC[N@H+](Cc1csc(-c2ccoc2)n1)Cc1ccncc1 ZINC000270990330 1127064584 /nfs/dbraw/zinc/06/45/84/1127064584.db2.gz IBRBXQLKUPBGDM-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO CC[N@@H+](Cc1csc(-c2ccoc2)n1)Cc1ccncc1 ZINC000270990330 1127064587 /nfs/dbraw/zinc/06/45/87/1127064587.db2.gz IBRBXQLKUPBGDM-UHFFFAOYSA-N 1 2 299.399 3.820 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@@H+]1CCC[C@H]1c1ccncc1 ZINC000271022658 1127065117 /nfs/dbraw/zinc/06/51/17/1127065117.db2.gz OLEHSTKDRVXKGV-SFHVURJKSA-N 1 2 296.418 3.730 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@H+]1CCC[C@H]1c1ccncc1 ZINC000271022658 1127065119 /nfs/dbraw/zinc/06/51/19/1127065119.db2.gz OLEHSTKDRVXKGV-SFHVURJKSA-N 1 2 296.418 3.730 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+](CC)Cc1csnn1 ZINC000271096980 1127068887 /nfs/dbraw/zinc/06/88/87/1127068887.db2.gz NGFGNQTVBZNUBL-CQSZACIVSA-N 1 2 261.394 3.511 20 0 CHADLO CC[C@H](c1ccccc1)[N@@H+](CC)Cc1csnn1 ZINC000271096980 1127068888 /nfs/dbraw/zinc/06/88/88/1127068888.db2.gz NGFGNQTVBZNUBL-CQSZACIVSA-N 1 2 261.394 3.511 20 0 CHADLO Cc1csc(C[NH2+]C2(c3ccccc3Cl)CC2)n1 ZINC000645977125 1127076428 /nfs/dbraw/zinc/07/64/28/1127076428.db2.gz ZDILKCSVLQKAOI-UHFFFAOYSA-N 1 2 278.808 3.884 20 0 CHADLO Cc1nnc(C[N@@H+]2C[C@H](C)C[C@H]2c2cccc(F)c2)s1 ZINC000271424138 1127079997 /nfs/dbraw/zinc/07/99/97/1127079997.db2.gz MTBWTKGSICPWRE-YGRLFVJLSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1nnc(C[N@H+]2C[C@H](C)C[C@H]2c2cccc(F)c2)s1 ZINC000271424138 1127080001 /nfs/dbraw/zinc/08/00/01/1127080001.db2.gz MTBWTKGSICPWRE-YGRLFVJLSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3sccc3[C@H]2C)s1 ZINC000271466157 1127083501 /nfs/dbraw/zinc/08/35/01/1127083501.db2.gz GSDNQPLXZXSISL-SNVBAGLBSA-N 1 2 264.419 3.632 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3sccc3[C@H]2C)s1 ZINC000271466157 1127083504 /nfs/dbraw/zinc/08/35/04/1127083504.db2.gz GSDNQPLXZXSISL-SNVBAGLBSA-N 1 2 264.419 3.632 20 0 CHADLO Cc1nnc(C[N@@H+](C)Cc2cccc3ccccc32)s1 ZINC000271483984 1127085645 /nfs/dbraw/zinc/08/56/45/1127085645.db2.gz YCBJXANXDIXSBY-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1nnc(C[N@H+](C)Cc2cccc3ccccc32)s1 ZINC000271483984 1127085647 /nfs/dbraw/zinc/08/56/47/1127085647.db2.gz YCBJXANXDIXSBY-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO CC(C)c1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)c(F)c2)[nH]1 ZINC000271583645 1127094018 /nfs/dbraw/zinc/09/40/18/1127094018.db2.gz XFPQIJAQMOWYAP-NXEZZACHSA-N 1 2 294.349 3.618 20 0 CHADLO Fc1ccc([C@H](CC(F)(F)F)[NH2+]Cc2ccno2)cc1 ZINC000271643675 1127095568 /nfs/dbraw/zinc/09/55/68/1127095568.db2.gz GOFJCQPTKPFCPW-LBPRGKRZSA-N 1 2 288.244 3.597 20 0 CHADLO C1C[N@@H+](C2CCCC2)[C@H]1c1nc([C@H]2CCCCS2)no1 ZINC000923964857 1127111389 /nfs/dbraw/zinc/11/13/89/1127111389.db2.gz JWSONTSEWIBZNN-CHWSQXEVSA-N 1 2 293.436 3.717 20 0 CHADLO C1C[N@H+](C2CCCC2)[C@H]1c1nc([C@H]2CCCCS2)no1 ZINC000923964857 1127111390 /nfs/dbraw/zinc/11/13/90/1127111390.db2.gz JWSONTSEWIBZNN-CHWSQXEVSA-N 1 2 293.436 3.717 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+](C)Cc1cncc(F)c1 ZINC000274410606 1127118550 /nfs/dbraw/zinc/11/85/50/1127118550.db2.gz ZYIBUYGOXUTNMS-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+](C)Cc1cncc(F)c1 ZINC000274410606 1127118552 /nfs/dbraw/zinc/11/85/52/1127118552.db2.gz ZYIBUYGOXUTNMS-JTQLQIEISA-N 1 2 280.293 3.692 20 0 CHADLO CC[C@H]([NH2+]Cc1nccc(C(F)F)n1)c1ccc(F)cc1 ZINC000348687250 1127124630 /nfs/dbraw/zinc/12/46/30/1127124630.db2.gz TWCVUUPAEWVMKZ-LBPRGKRZSA-N 1 2 295.308 3.794 20 0 CHADLO CC(C)CC[C@@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348834478 1127130655 /nfs/dbraw/zinc/13/06/55/1127130655.db2.gz PXEVLFOCEVGVHX-CQSZACIVSA-N 1 2 272.396 3.563 20 0 CHADLO C[C@H]1CC(Nc2ccc(Cn3cc[nH+]c3)cn2)C[C@H](C)C1 ZINC000348835291 1127130668 /nfs/dbraw/zinc/13/06/68/1127130668.db2.gz SWDUWQNGDOUOSU-ZIAGYGMSSA-N 1 2 284.407 3.563 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC=C(c3ccncc3)C2)s1 ZINC000348836537 1127130692 /nfs/dbraw/zinc/13/06/92/1127130692.db2.gz CXWZSNCCWIQLJQ-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC=C(c3ccncc3)C2)s1 ZINC000348836537 1127130694 /nfs/dbraw/zinc/13/06/94/1127130694.db2.gz CXWZSNCCWIQLJQ-UHFFFAOYSA-N 1 2 299.443 3.951 20 0 CHADLO c1cn(Cc2ccc(N[C@@H]3CCC[C@@H](C4CC4)C3)nc2)c[nH+]1 ZINC000348849491 1127131631 /nfs/dbraw/zinc/13/16/31/1127131631.db2.gz RCYCEYIVQGZNAR-IAGOWNOFSA-N 1 2 296.418 3.707 20 0 CHADLO CCSc1ccccc1[C@@H](C)[NH2+]Cc1nnc(C)s1 ZINC000543739705 1127131746 /nfs/dbraw/zinc/13/17/46/1127131746.db2.gz ZESYBRMGIDEJLB-SNVBAGLBSA-N 1 2 293.461 3.809 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC)c1cc(F)ccc1F)c1ccn(C)n1 ZINC000349511982 1127152333 /nfs/dbraw/zinc/15/23/33/1127152333.db2.gz GWBXRTVZMRUDGG-GJZGRUSLSA-N 1 2 293.361 3.890 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2ccncc2C(F)(F)F)C1 ZINC001237285649 1131080070 /nfs/dbraw/zinc/08/00/70/1131080070.db2.gz PVTGYFMONNBLPF-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2ccncc2C(F)(F)F)C1 ZINC001237285649 1131080076 /nfs/dbraw/zinc/08/00/76/1131080076.db2.gz PVTGYFMONNBLPF-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO CC1(C)C[N@H+](Cc2cc3ccccn3n2)Cc2ccccc21 ZINC001237449292 1131099999 /nfs/dbraw/zinc/09/99/99/1131099999.db2.gz KJBRTDGSGPCTFN-UHFFFAOYSA-N 1 2 291.398 3.628 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc3ccccn3n2)Cc2ccccc21 ZINC001237449292 1131100008 /nfs/dbraw/zinc/10/00/08/1131100008.db2.gz KJBRTDGSGPCTFN-UHFFFAOYSA-N 1 2 291.398 3.628 20 0 CHADLO C[N@H+](Cc1cnc(C2CCCC2)s1)Cc1ccccn1 ZINC000350350309 1127177086 /nfs/dbraw/zinc/17/70/86/1127177086.db2.gz FKHPYIAGBNKCIV-UHFFFAOYSA-N 1 2 287.432 3.828 20 0 CHADLO C[N@@H+](Cc1cnc(C2CCCC2)s1)Cc1ccccn1 ZINC000350350309 1127177090 /nfs/dbraw/zinc/17/70/90/1127177090.db2.gz FKHPYIAGBNKCIV-UHFFFAOYSA-N 1 2 287.432 3.828 20 0 CHADLO CSCCOc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000284456583 1127184962 /nfs/dbraw/zinc/18/49/62/1127184962.db2.gz QKZGYKUVVOOSHM-UHFFFAOYSA-N 1 2 288.416 3.628 20 0 CHADLO Cc1sccc1C[N@@H+]1CCO[C@@H](c2ccccc2F)C1 ZINC000351310047 1127188104 /nfs/dbraw/zinc/18/81/04/1127188104.db2.gz ZDYHAYMROJHNKW-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1sccc1C[N@H+]1CCO[C@@H](c2ccccc2F)C1 ZINC000351310047 1127188105 /nfs/dbraw/zinc/18/81/05/1127188105.db2.gz ZDYHAYMROJHNKW-MRXNPFEDSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1nc(N[C@@H]2C[C@@H](OCc3ccccc3)C2(C)C)cc[nH+]1 ZINC000351566270 1127194100 /nfs/dbraw/zinc/19/41/00/1127194100.db2.gz YYJRFRSECMXWBZ-HZPDHXFCSA-N 1 2 297.402 3.581 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(F)c(C(F)(F)F)c1)c1ccon1 ZINC000351597118 1127197384 /nfs/dbraw/zinc/19/73/84/1127197384.db2.gz UDCFSNWHARIAON-QMMMGPOBSA-N 1 2 288.244 3.683 20 0 CHADLO Oc1ccc(F)c(C[N@@H+]2CCS[C@H]3CCCC[C@@H]32)c1F ZINC000351664445 1127202120 /nfs/dbraw/zinc/20/21/20/1127202120.db2.gz KBKJHXJJORTUDV-JSGCOSHPSA-N 1 2 299.386 3.530 20 0 CHADLO Oc1ccc(F)c(C[N@H+]2CCS[C@H]3CCCC[C@@H]32)c1F ZINC000351664445 1127202124 /nfs/dbraw/zinc/20/21/24/1127202124.db2.gz KBKJHXJJORTUDV-JSGCOSHPSA-N 1 2 299.386 3.530 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)c1cscn1 ZINC000164984048 1127206826 /nfs/dbraw/zinc/20/68/26/1127206826.db2.gz IJHSWVMPPPADNW-LBPRGKRZSA-N 1 2 273.405 3.916 20 0 CHADLO Cc1cc(NC(=O)c2ccc(C3=CCCC3)cc2)cc[nH+]1 ZINC000351740360 1127207731 /nfs/dbraw/zinc/20/77/31/1127207731.db2.gz VPPYVFYRTNFUJU-UHFFFAOYSA-N 1 2 278.355 3.632 20 0 CHADLO CCc1cnc(NCc2cc(-n3cc[nH+]c3)cs2)s1 ZINC000351775635 1127207970 /nfs/dbraw/zinc/20/79/70/1127207970.db2.gz QIDLIKHEBRGQCG-UHFFFAOYSA-N 1 2 290.417 3.565 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccccc2N2CCCCC2)no1 ZINC000285374791 1127224254 /nfs/dbraw/zinc/22/42/54/1127224254.db2.gz WDGDIUDQHASGNI-OAHLLOKOSA-N 1 2 299.418 3.824 20 0 CHADLO Cc1noc([C@H]2CCC[N@@H+]2Cc2ccc(C(F)F)cc2)n1 ZINC000285717216 1127241634 /nfs/dbraw/zinc/24/16/34/1127241634.db2.gz PWWRIPAEHMLVBX-CYBMUJFWSA-N 1 2 293.317 3.653 20 0 CHADLO Cc1noc([C@H]2CCC[N@H+]2Cc2ccc(C(F)F)cc2)n1 ZINC000285717216 1127241637 /nfs/dbraw/zinc/24/16/37/1127241637.db2.gz PWWRIPAEHMLVBX-CYBMUJFWSA-N 1 2 293.317 3.653 20 0 CHADLO C[C@@H](Cc1c[nH]c[nH+]1)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC001135886468 1131155821 /nfs/dbraw/zinc/15/58/21/1131155821.db2.gz ATTYFHFMEIJLAC-QMMMGPOBSA-N 1 2 298.173 3.534 20 0 CHADLO C[C@@H](Cc1c[nH+]c[nH]1)C(=O)Nc1cc(Cl)cc(Cl)c1 ZINC001135886468 1131155825 /nfs/dbraw/zinc/15/58/25/1131155825.db2.gz ATTYFHFMEIJLAC-QMMMGPOBSA-N 1 2 298.173 3.534 20 0 CHADLO C[C@H]1C[C@@H](Nc2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000286476422 1127267603 /nfs/dbraw/zinc/26/76/03/1127267603.db2.gz LCTRCDBJOHQINK-QWRGUYRKSA-N 1 2 276.767 3.868 20 0 CHADLO C[C@@H]1C[C@H](Nc2cc[nH+]c3c(Cl)cccc23)CCO1 ZINC000286476418 1127267815 /nfs/dbraw/zinc/26/78/15/1127267815.db2.gz LCTRCDBJOHQINK-GHMZBOCLSA-N 1 2 276.767 3.868 20 0 CHADLO COc1ccncc1C[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000286676460 1127271308 /nfs/dbraw/zinc/27/13/08/1127271308.db2.gz AAEYPHKCYDOWSN-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cc(Cl)c(O)cc2F)CC1 ZINC001238142890 1131174627 /nfs/dbraw/zinc/17/46/27/1131174627.db2.gz IZPPFOORRGDKRW-CQSZACIVSA-N 1 2 289.753 3.899 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cc(Cl)c(O)cc2F)CC1 ZINC001238142890 1131174629 /nfs/dbraw/zinc/17/46/29/1131174629.db2.gz IZPPFOORRGDKRW-CQSZACIVSA-N 1 2 289.753 3.899 20 0 CHADLO Oc1cc(F)c(C[NH+]2C[C@@H]3CCCC[C@H]3C2)cc1Cl ZINC001238142589 1131174786 /nfs/dbraw/zinc/17/47/86/1131174786.db2.gz HGGQPOVRHQTNHJ-QWRGUYRKSA-N 1 2 283.774 3.807 20 0 CHADLO CC[C@@H](CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC000591122554 1127287179 /nfs/dbraw/zinc/28/71/79/1127287179.db2.gz HONYYNMQZPUVSO-AWEZNQCLSA-N 1 2 285.391 3.883 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3c(F)ccc(F)c3C2)cc1 ZINC000354036327 1127287247 /nfs/dbraw/zinc/28/72/47/1127287247.db2.gz HDAOIJVUGVSCFI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3c(F)ccc(F)c3C2)cc1 ZINC000354036327 1127287249 /nfs/dbraw/zinc/28/72/49/1127287249.db2.gz HDAOIJVUGVSCFI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3c(F)cc(F)cc3C2)cc1 ZINC000354059071 1127289210 /nfs/dbraw/zinc/28/92/10/1127289210.db2.gz OFIUDDNVDVCIMI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3c(F)cc(F)cc3C2)cc1 ZINC000354059071 1127289213 /nfs/dbraw/zinc/28/92/13/1127289213.db2.gz OFIUDDNVDVCIMI-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CC(C)(C)CC(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000354123504 1127294779 /nfs/dbraw/zinc/29/47/79/1127294779.db2.gz YFROKRCZNLLDTI-UHFFFAOYSA-N 1 2 291.782 3.900 20 0 CHADLO Cc1cccc([C@H](C)[N@@H+]2Cc3ccccc3NC(=O)C2)c1 ZINC000573134958 1127292223 /nfs/dbraw/zinc/29/22/23/1127292223.db2.gz YUMOSCXNKSYEOS-AWEZNQCLSA-N 1 2 280.371 3.510 20 0 CHADLO Cc1cccc([C@H](C)[N@H+]2Cc3ccccc3NC(=O)C2)c1 ZINC000573134958 1127292225 /nfs/dbraw/zinc/29/22/25/1127292225.db2.gz YUMOSCXNKSYEOS-AWEZNQCLSA-N 1 2 280.371 3.510 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2nc(C(C)C)ns2)cc1 ZINC000930191184 1127311290 /nfs/dbraw/zinc/31/12/90/1127311290.db2.gz VJUHSKDSELGCEX-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO CC(C)([NH2+]Cc1ncc(C2CC2)o1)c1ccc(F)cc1 ZINC000760855656 1127312404 /nfs/dbraw/zinc/31/24/04/1127312404.db2.gz GZYRUKRONMHTMG-UHFFFAOYSA-N 1 2 274.339 3.716 20 0 CHADLO Cc1ccc2c(c1C)OC[C@@H]2[NH2+]Cc1ccc(Cl)o1 ZINC000591722087 1127312990 /nfs/dbraw/zinc/31/29/90/1127312990.db2.gz QWQIJVQUFUXNDH-ZDUSSCGKSA-N 1 2 277.751 3.773 20 0 CHADLO COc1ccc(C[N@H+](CC(F)F)CC2CCC2)cc1 ZINC000355142133 1127320804 /nfs/dbraw/zinc/32/08/04/1127320804.db2.gz WDEMPFHIKXFOLW-UHFFFAOYSA-N 1 2 269.335 3.562 20 0 CHADLO COc1ccc(C[N@@H+](CC(F)F)CC2CCC2)cc1 ZINC000355142133 1127320807 /nfs/dbraw/zinc/32/08/07/1127320807.db2.gz WDEMPFHIKXFOLW-UHFFFAOYSA-N 1 2 269.335 3.562 20 0 CHADLO Cc1ncccc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000355271903 1127329846 /nfs/dbraw/zinc/32/98/46/1127329846.db2.gz LTRXREHRCQRYFA-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ncccc1C[N@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000355271903 1127329848 /nfs/dbraw/zinc/32/98/48/1127329848.db2.gz LTRXREHRCQRYFA-GFCCVEGCSA-N 1 2 288.341 3.788 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCCC[C@@H]1c1ccccc1OC ZINC000592391339 1127347133 /nfs/dbraw/zinc/34/71/33/1127347133.db2.gz XETUXJYTIRAWGD-MRXNPFEDSA-N 1 2 299.418 3.639 20 0 CHADLO CCn1ccnc1C[N@H+]1CCCC[C@@H]1c1ccccc1OC ZINC000592391339 1127347137 /nfs/dbraw/zinc/34/71/37/1127347137.db2.gz XETUXJYTIRAWGD-MRXNPFEDSA-N 1 2 299.418 3.639 20 0 CHADLO Cc1ccc(CSCCC[C@@H]2CCOC2)c(C)[nH+]1 ZINC000592454962 1127350215 /nfs/dbraw/zinc/35/02/15/1127350215.db2.gz SIRWJXSAHDVCCM-CQSZACIVSA-N 1 2 265.422 3.748 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+]Cc1n[nH]c3ccccc31)CC2 ZINC000592463155 1127351692 /nfs/dbraw/zinc/35/16/92/1127351692.db2.gz SIVDKKJLLCYDML-MRXNPFEDSA-N 1 2 277.371 3.648 20 0 CHADLO Cc1ccc(C[S@](=O)c2nc(C(C)C)cs2)c(C)[nH+]1 ZINC000593005494 1127364299 /nfs/dbraw/zinc/36/42/99/1127364299.db2.gz RDOFZPBWANXHEQ-IBGZPJMESA-N 1 2 294.445 3.586 20 0 CHADLO CCc1cnc(C[N@H+](C)CCO[C@@H]2CCCC[C@H]2C)s1 ZINC000355733459 1127361446 /nfs/dbraw/zinc/36/14/46/1127361446.db2.gz AMCGMYXSXYQGGG-UKRRQHHQSA-N 1 2 296.480 3.733 20 0 CHADLO CCc1cnc(C[N@@H+](C)CCO[C@@H]2CCCC[C@H]2C)s1 ZINC000355733459 1127361451 /nfs/dbraw/zinc/36/14/51/1127361451.db2.gz AMCGMYXSXYQGGG-UKRRQHHQSA-N 1 2 296.480 3.733 20 0 CHADLO CCOCCC[N@@H+]1C[C@H](c2ccccc2Cl)OC[C@@H]1C ZINC000357694763 1127393505 /nfs/dbraw/zinc/39/35/05/1127393505.db2.gz DDNGIPMLJLYYLM-XJKSGUPXSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCC[N@H+]1C[C@H](c2ccccc2Cl)OC[C@@H]1C ZINC000357694763 1127393510 /nfs/dbraw/zinc/39/35/10/1127393510.db2.gz DDNGIPMLJLYYLM-XJKSGUPXSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCC[N@@H+]1C[C@@H](c2ccccc2Cl)OC[C@H]1C ZINC000357694761 1127393422 /nfs/dbraw/zinc/39/34/22/1127393422.db2.gz DDNGIPMLJLYYLM-CJNGLKHVSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCCC[N@H+]1C[C@@H](c2ccccc2Cl)OC[C@H]1C ZINC000357694761 1127393427 /nfs/dbraw/zinc/39/34/27/1127393427.db2.gz DDNGIPMLJLYYLM-CJNGLKHVSA-N 1 2 297.826 3.528 20 0 CHADLO Cc1ccc(C[S@@](=O)Cc2ccccc2Cl)c(C)[nH+]1 ZINC000358128103 1127403700 /nfs/dbraw/zinc/40/37/00/1127403700.db2.gz TVKVKLJNIMETBO-LJQANCHMSA-N 1 2 293.819 3.801 20 0 CHADLO CN(c1ccccc1)c1ccc(C[NH2+]Cc2cocn2)cc1 ZINC000594063205 1127408711 /nfs/dbraw/zinc/40/87/11/1127408711.db2.gz XKZHEWGJULFFKO-UHFFFAOYSA-N 1 2 293.370 3.732 20 0 CHADLO CCCCCn1cc(C[NH2+][C@H](C)c2nccs2)c(C)n1 ZINC000358260212 1127411582 /nfs/dbraw/zinc/41/15/82/1127411582.db2.gz BMIGAWKYTLGBGL-CYBMUJFWSA-N 1 2 292.452 3.689 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CC[C@H](C(F)(F)F)C2)n1 ZINC000761054685 1127412655 /nfs/dbraw/zinc/41/26/55/1127412655.db2.gz TVWFBMXYCHUOAW-NSHDSACASA-N 1 2 289.345 3.628 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CC[C@H](C(F)(F)F)C2)n1 ZINC000761054685 1127412657 /nfs/dbraw/zinc/41/26/57/1127412657.db2.gz TVWFBMXYCHUOAW-NSHDSACASA-N 1 2 289.345 3.628 20 0 CHADLO CCc1nnc(C[NH2+]C(C)(C)c2ccc(C(C)C)cc2)o1 ZINC000594381631 1127415021 /nfs/dbraw/zinc/41/50/21/1127415021.db2.gz QOVFWOIAQKVMFC-UHFFFAOYSA-N 1 2 287.407 3.780 20 0 CHADLO C[C@@H](CSCCF)[NH2+]CC(F)(F)c1ccc(F)cc1 ZINC000595094862 1127455546 /nfs/dbraw/zinc/45/55/46/1127455546.db2.gz NCMXLCQTYDGBCJ-JTQLQIEISA-N 1 2 295.345 3.598 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C(C)(C)CC(C)C)cc2[nH+]1 ZINC000359299812 1127457554 /nfs/dbraw/zinc/45/75/54/1127457554.db2.gz HDRFCBPHABNLQL-UHFFFAOYSA-N 1 2 273.380 3.882 20 0 CHADLO COc1ccc(C)cc1CC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000359409809 1127462489 /nfs/dbraw/zinc/46/24/89/1127462489.db2.gz GMIDKPAXNDXTCH-UHFFFAOYSA-N 1 2 298.386 3.505 20 0 CHADLO CCC[C@@H]1CCCC[C@H]1CNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000575127556 1127466923 /nfs/dbraw/zinc/46/69/23/1127466923.db2.gz LGZXRVBESXCKFG-XHSDSOJGSA-N 1 2 291.439 3.557 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@@H](C)c2ccc(Cl)cn2)n1 ZINC000359660987 1127471645 /nfs/dbraw/zinc/47/16/45/1127471645.db2.gz DVSCUNVVSNWRCD-VHSXEESVSA-N 1 2 281.812 3.912 20 0 CHADLO Cc1cccn2cc(C[NH2+]Cc3ccsc3Cl)nc12 ZINC000359709786 1127474245 /nfs/dbraw/zinc/47/42/45/1127474245.db2.gz BFVOYLDHHAWHMX-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO CC(C)[C@@H]1[N@H+](Cc2cnc(Cl)c(F)c2)CC1(C)C ZINC001308792695 1127479469 /nfs/dbraw/zinc/47/94/69/1127479469.db2.gz ZGHILHCGGFJCEU-LBPRGKRZSA-N 1 2 270.779 3.741 20 0 CHADLO CC(C)[C@@H]1[N@@H+](Cc2cnc(Cl)c(F)c2)CC1(C)C ZINC001308792695 1127479471 /nfs/dbraw/zinc/47/94/71/1127479471.db2.gz ZGHILHCGGFJCEU-LBPRGKRZSA-N 1 2 270.779 3.741 20 0 CHADLO CC(C)C[C@@H](C)CC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000360010654 1127486144 /nfs/dbraw/zinc/48/61/44/1127486144.db2.gz OPCCULXIXUMADB-OAHLLOKOSA-N 1 2 299.418 3.561 20 0 CHADLO FC(F)Oc1ccc([C@H]2C[C@H]2Nc2cccc[nH+]2)cc1 ZINC000360052592 1127487841 /nfs/dbraw/zinc/48/78/41/1127487841.db2.gz IHUDIXVRMNNQDM-CHWSQXEVSA-N 1 2 276.286 3.651 20 0 CHADLO COc1c(C)c[nH+]c(CSCC[C@@H]2CCCCO2)c1C ZINC000596352992 1127497213 /nfs/dbraw/zinc/49/72/13/1127497213.db2.gz SNSLJUFYQGBRFT-AWEZNQCLSA-N 1 2 295.448 3.899 20 0 CHADLO Cc1nc(N(C)C2CCC(C(C)(C)C)CC2)cc[nH+]1 ZINC000360530300 1127501730 /nfs/dbraw/zinc/50/17/30/1127501730.db2.gz PNRLICVZZJFDDJ-UHFFFAOYSA-N 1 2 261.413 3.826 20 0 CHADLO c1cn(CCSCC[C@@H]2CCc3ccccc32)c[nH+]1 ZINC000596417029 1127503340 /nfs/dbraw/zinc/50/33/40/1127503340.db2.gz WPUZXNPFXCJZTK-HNNXBMFYSA-N 1 2 272.417 3.736 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc(Cl)s1 ZINC000293554593 1127505526 /nfs/dbraw/zinc/50/55/26/1127505526.db2.gz ZLAKXWUZIYUTGQ-UHFFFAOYSA-N 1 2 270.785 3.584 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc(Cl)s1 ZINC000293554593 1127505528 /nfs/dbraw/zinc/50/55/28/1127505528.db2.gz ZLAKXWUZIYUTGQ-UHFFFAOYSA-N 1 2 270.785 3.584 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCC[C@H](C(F)(F)F)[C@H]1C ZINC000360702914 1127506699 /nfs/dbraw/zinc/50/66/99/1127506699.db2.gz LCBLGOOAJZESSW-NEPJUHHUSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCC[C@H](C(F)(F)F)[C@H]1C ZINC000360702914 1127506700 /nfs/dbraw/zinc/50/67/00/1127506700.db2.gz LCBLGOOAJZESSW-NEPJUHHUSA-N 1 2 289.345 3.627 20 0 CHADLO Cc1nc(N[C@H]2c3ccccc3CCC[C@@H]2C)cc[nH+]1 ZINC000360863320 1127516725 /nfs/dbraw/zinc/51/67/25/1127516725.db2.gz BGRCIQGLDRIDEF-YVEFUNNKSA-N 1 2 267.376 3.911 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1cccc(O)c1Cl ZINC000293765258 1127518790 /nfs/dbraw/zinc/51/87/90/1127518790.db2.gz ZKLYMHDGWMLATD-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1cccc(O)c1Cl ZINC000293765258 1127518792 /nfs/dbraw/zinc/51/87/92/1127518792.db2.gz ZKLYMHDGWMLATD-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2ccc(Cl)c(O)c2)C1 ZINC001231733468 1127519088 /nfs/dbraw/zinc/51/90/88/1127519088.db2.gz VKPCPRUOKRIARA-CQSZACIVSA-N 1 2 271.763 3.760 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2ccc(Cl)c(O)c2)C1 ZINC001231733468 1127519089 /nfs/dbraw/zinc/51/90/89/1127519089.db2.gz VKPCPRUOKRIARA-CQSZACIVSA-N 1 2 271.763 3.760 20 0 CHADLO CCc1ncc(C[N@@H+]2CC(C)(C)[C@@H]2c2ccncc2)s1 ZINC000293884527 1127530003 /nfs/dbraw/zinc/53/00/03/1127530003.db2.gz JZKYUFKLBSIXFO-HNNXBMFYSA-N 1 2 287.432 3.684 20 0 CHADLO CCc1ncc(C[N@H+]2CC(C)(C)[C@@H]2c2ccncc2)s1 ZINC000293884527 1127530007 /nfs/dbraw/zinc/53/00/07/1127530007.db2.gz JZKYUFKLBSIXFO-HNNXBMFYSA-N 1 2 287.432 3.684 20 0 CHADLO Cc1nc(N[C@H](C)Cc2ccc(Cl)cc2Cl)cc[nH+]1 ZINC000360988118 1127527189 /nfs/dbraw/zinc/52/71/89/1127527189.db2.gz WOKABAMMWODVIZ-SECBINFHSA-N 1 2 296.201 3.557 20 0 CHADLO Cc1nc(N[C@H]2CCC[C@H]2Cc2ccccc2)cc[nH+]1 ZINC000361060672 1127534716 /nfs/dbraw/zinc/53/47/16/1127534716.db2.gz NGDCDORACNKINQ-HOTGVXAUSA-N 1 2 267.376 3.608 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1C)c1ccc(-c2ccccc2)o1 ZINC000361114374 1127539698 /nfs/dbraw/zinc/53/96/98/1127539698.db2.gz SDCAOXFVXBXEMW-CYBMUJFWSA-N 1 2 281.359 3.531 20 0 CHADLO CC[C@@H]1[C@@H](C)CC[N@@H+]1Cc1ncc(Br)s1 ZINC000361139324 1127541625 /nfs/dbraw/zinc/54/16/25/1127541625.db2.gz GBUKQSVFQHNARY-DTWKUNHWSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1[C@@H](C)CC[N@H+]1Cc1ncc(Br)s1 ZINC000361139324 1127541627 /nfs/dbraw/zinc/54/16/27/1127541627.db2.gz GBUKQSVFQHNARY-DTWKUNHWSA-N 1 2 289.242 3.526 20 0 CHADLO Cc1cc(N2C[C@@H](C)CCC[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000361106746 1127538767 /nfs/dbraw/zinc/53/87/67/1127538767.db2.gz JGHKXSFXDXHTKG-AAEUAGOBSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1ccc(O)c(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001214220370 1127551809 /nfs/dbraw/zinc/55/18/09/1127551809.db2.gz BXFCKOCBJQJMMS-UHFFFAOYSA-N 1 2 273.723 3.745 20 0 CHADLO CC[C@H](Cc1ccc(C)cc1)N(C)c1cc[nH+]c(C)n1 ZINC000361288266 1127553563 /nfs/dbraw/zinc/55/35/63/1127553563.db2.gz LYVGXISUPRVWCO-MRXNPFEDSA-N 1 2 269.392 3.551 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2cc(F)ncc2F)cc1 ZINC000294506941 1127578770 /nfs/dbraw/zinc/57/87/70/1127578770.db2.gz CCNUJZDLFDZULP-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2cc(F)ncc2F)cc1 ZINC000294506941 1127578773 /nfs/dbraw/zinc/57/87/73/1127578773.db2.gz CCNUJZDLFDZULP-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1cc(F)ncc1F ZINC000294504618 1127579471 /nfs/dbraw/zinc/57/94/71/1127579471.db2.gz BRSFISVUTXESOO-UHFFFAOYSA-N 1 2 282.359 3.832 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1cc(F)ncc1F ZINC000294504618 1127579475 /nfs/dbraw/zinc/57/94/75/1127579475.db2.gz BRSFISVUTXESOO-UHFFFAOYSA-N 1 2 282.359 3.832 20 0 CHADLO CCC1(C)CC[NH+](Cc2noc(Cc3ccccc3)n2)CC1 ZINC000598140734 1127587294 /nfs/dbraw/zinc/58/72/94/1127587294.db2.gz JJHFHUKNXGRPKI-UHFFFAOYSA-N 1 2 299.418 3.673 20 0 CHADLO CN1CCCc2cc([NH2+][C@@H]3CCOC4(CCC4)C3)ccc21 ZINC000294669453 1127590452 /nfs/dbraw/zinc/59/04/52/1127590452.db2.gz AJNREUKFYOQGMD-MRXNPFEDSA-N 1 2 286.419 3.583 20 0 CHADLO C[N@@H+]1CCCc2cc(N[C@@H]3CCOC4(CCC4)C3)ccc21 ZINC000294669453 1127590456 /nfs/dbraw/zinc/59/04/56/1127590456.db2.gz AJNREUKFYOQGMD-MRXNPFEDSA-N 1 2 286.419 3.583 20 0 CHADLO C[N@H+]1CCCc2cc(N[C@@H]3CCOC4(CCC4)C3)ccc21 ZINC000294669453 1127590458 /nfs/dbraw/zinc/59/04/58/1127590458.db2.gz AJNREUKFYOQGMD-MRXNPFEDSA-N 1 2 286.419 3.583 20 0 CHADLO CC1(C[NH2+][C@H](c2cccs2)c2nnc[nH]2)CCCCC1 ZINC000294687100 1127591130 /nfs/dbraw/zinc/59/11/30/1127591130.db2.gz IKRNSMJWPDLWOH-CYBMUJFWSA-N 1 2 290.436 3.516 20 0 CHADLO CC[N@H+](Cc1cc(F)ncc1F)[C@@H](C)c1cccc(O)c1 ZINC000294920320 1127608512 /nfs/dbraw/zinc/60/85/12/1127608512.db2.gz TXSILICCTOGQNX-NSHDSACASA-N 1 2 292.329 3.649 20 0 CHADLO CC[N@@H+](Cc1cc(F)ncc1F)[C@@H](C)c1cccc(O)c1 ZINC000294920320 1127608514 /nfs/dbraw/zinc/60/85/14/1127608514.db2.gz TXSILICCTOGQNX-NSHDSACASA-N 1 2 292.329 3.649 20 0 CHADLO c1nc(C2CCCC2)sc1C[N@@H+]1CCO[C@H]2CCC[C@@H]21 ZINC000362086779 1127610656 /nfs/dbraw/zinc/61/06/56/1127610656.db2.gz NGLMIAVIQMGVHM-GJZGRUSLSA-N 1 2 292.448 3.554 20 0 CHADLO c1nc(C2CCCC2)sc1C[N@H+]1CCO[C@H]2CCC[C@@H]21 ZINC000362086779 1127610659 /nfs/dbraw/zinc/61/06/59/1127610659.db2.gz NGLMIAVIQMGVHM-GJZGRUSLSA-N 1 2 292.448 3.554 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C(F)(F)F)C[C@H]2C)cs1 ZINC000294981792 1127611751 /nfs/dbraw/zinc/61/17/51/1127611751.db2.gz JXHRVDARGIQVJK-SCZZXKLOSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C(F)(F)F)C[C@H]2C)cs1 ZINC000294981792 1127611752 /nfs/dbraw/zinc/61/17/52/1127611752.db2.gz JXHRVDARGIQVJK-SCZZXKLOSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cccc(C)c1C[NH2+]Cc1noc(-c2cccs2)n1 ZINC000295036720 1127615857 /nfs/dbraw/zinc/61/58/57/1127615857.db2.gz OJPXTMBUXOOYBS-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO COC(=O)c1ccoc1C[NH2+][C@H](C)c1cccc(C2CC2)c1 ZINC000295052421 1127616513 /nfs/dbraw/zinc/61/65/13/1127616513.db2.gz ARSXHNIEFOOKCS-GFCCVEGCSA-N 1 2 299.370 3.794 20 0 CHADLO CC(C)c1nnc(C[NH2+]C(C)(C)c2cccc(F)c2)s1 ZINC000295023924 1127615067 /nfs/dbraw/zinc/61/50/67/1127615067.db2.gz QYABINRYICVUEQ-UHFFFAOYSA-N 1 2 293.411 3.826 20 0 CHADLO Cn1c2cc(Cl)ccc2nc1C[NH+]1CC2(C1)CCCC2 ZINC000362309779 1127627134 /nfs/dbraw/zinc/62/71/34/1127627134.db2.gz SPVBAOMNOQGVGA-UHFFFAOYSA-N 1 2 289.810 3.603 20 0 CHADLO CC1(C)C[N@H+](Cc2nc(Cl)ccc2F)CCC1(F)F ZINC001232156215 1127628230 /nfs/dbraw/zinc/62/82/30/1127628230.db2.gz YYHDRTCMSCUCDI-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CC1(C)C[N@@H+](Cc2nc(Cl)ccc2F)CCC1(F)F ZINC001232156215 1127628231 /nfs/dbraw/zinc/62/82/31/1127628231.db2.gz YYHDRTCMSCUCDI-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO Cc1ccc(C[C@@H]2CCC[N@H+](CC(F)F)C2)cc1 ZINC000603412417 1127646861 /nfs/dbraw/zinc/64/68/61/1127646861.db2.gz CLABSNQKZTXZNM-AWEZNQCLSA-N 1 2 253.336 3.515 20 0 CHADLO Cc1ccc(C[C@@H]2CCC[N@@H+](CC(F)F)C2)cc1 ZINC000603412417 1127646864 /nfs/dbraw/zinc/64/68/64/1127646864.db2.gz CLABSNQKZTXZNM-AWEZNQCLSA-N 1 2 253.336 3.515 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cccc3n[nH]cc32)c1 ZINC000598978824 1127648913 /nfs/dbraw/zinc/64/89/13/1127648913.db2.gz WANFLTIOWBPZBT-UHFFFAOYSA-N 1 2 298.415 3.823 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(CSC)cc1 ZINC000599083543 1127656075 /nfs/dbraw/zinc/65/60/75/1127656075.db2.gz ZFTJTEIMYCRCRT-UHFFFAOYSA-N 1 2 274.389 3.565 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1CC)c1cccc(Cl)c1F ZINC000296512631 1127674888 /nfs/dbraw/zinc/67/48/88/1127674888.db2.gz POKWCFUFJLCWBG-CYBMUJFWSA-N 1 2 295.789 3.936 20 0 CHADLO C[C@H]([NH2+][C@H]1CCn2ccnc21)c1cc(Cl)ccc1Cl ZINC000296621530 1127678785 /nfs/dbraw/zinc/67/87/85/1127678785.db2.gz ZFKHEWQBWRDYNL-ZANVPECISA-N 1 2 296.201 3.986 20 0 CHADLO CC(C)CC[N@H+](Cn1nc(C(C)C)n(C)c1=S)C1CC1 ZINC000171090442 1127684085 /nfs/dbraw/zinc/68/40/85/1127684085.db2.gz UOWXCPPOGVYHFV-UHFFFAOYSA-N 1 2 296.484 3.542 20 0 CHADLO CC(C)CC[N@@H+](Cn1nc(C(C)C)n(C)c1=S)C1CC1 ZINC000171090442 1127684087 /nfs/dbraw/zinc/68/40/87/1127684087.db2.gz UOWXCPPOGVYHFV-UHFFFAOYSA-N 1 2 296.484 3.542 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)CC(F)(F)F)c2)[nH+]c1C ZINC000363638459 1127681634 /nfs/dbraw/zinc/68/16/34/1127681634.db2.gz RPWNYRGAGUQSNH-UHFFFAOYSA-N 1 2 297.280 3.584 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H](C)c1cccc(F)c1F ZINC000296726596 1127682315 /nfs/dbraw/zinc/68/23/15/1127682315.db2.gz IVWMNYXGOYPOLQ-QWRGUYRKSA-N 1 2 279.334 3.593 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](c2ccc(F)cc2)C(C)C)n1 ZINC000669704013 1127682911 /nfs/dbraw/zinc/68/29/11/1127682911.db2.gz SOOSWOAPPSZNJR-IINYFYTJSA-N 1 2 277.343 3.565 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc3[nH+]ccn3c2)cc1Cl ZINC000603882356 1127680620 /nfs/dbraw/zinc/68/06/20/1127680620.db2.gz RSMWEOGPZIVRGE-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO CC[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1cc(F)ccc1F ZINC000297129427 1127689356 /nfs/dbraw/zinc/68/93/56/1127689356.db2.gz YYBLQMNTJJCJCS-NZVBXONLSA-N 1 2 291.345 3.908 20 0 CHADLO CC(C)CC[C@H](NC(=O)CCCn1cc[nH+]c1)C(C)(C)C ZINC000604292352 1127699297 /nfs/dbraw/zinc/69/92/97/1127699297.db2.gz AMBBQCJAKVAJPH-HNNXBMFYSA-N 1 2 293.455 3.630 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2cc(F)cnc2Cl)o1 ZINC000297465852 1127697118 /nfs/dbraw/zinc/69/71/18/1127697118.db2.gz YFNXLJJLEOXZKW-VIFPVBQESA-N 1 2 282.746 3.880 20 0 CHADLO Cc1cc(C[NH2+]Cc2cnc(-c3ccc(C)cc3)s2)no1 ZINC000604415338 1127704793 /nfs/dbraw/zinc/70/47/93/1127704793.db2.gz VYYKHRGKDQIUDC-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO FC(F)C[N@H+](CCc1ccc(Cl)cc1)CC1CC1 ZINC000604886714 1127720470 /nfs/dbraw/zinc/72/04/70/1127720470.db2.gz PECQSGDZOBYQPJ-UHFFFAOYSA-N 1 2 273.754 3.860 20 0 CHADLO FC(F)C[N@@H+](CCc1ccc(Cl)cc1)CC1CC1 ZINC000604886714 1127720472 /nfs/dbraw/zinc/72/04/72/1127720472.db2.gz PECQSGDZOBYQPJ-UHFFFAOYSA-N 1 2 273.754 3.860 20 0 CHADLO Cc1nc2scc(C3CC3)n2c1CNc1cccc[nH+]1 ZINC000298376489 1127721248 /nfs/dbraw/zinc/72/12/48/1127721248.db2.gz KZHLIYNMUXSLPS-UHFFFAOYSA-N 1 2 284.388 3.589 20 0 CHADLO CC(C)n1ncnc1C[N@@H+]1CCC[C@H]1/C=C/c1ccccc1 ZINC000365310036 1127726276 /nfs/dbraw/zinc/72/62/76/1127726276.db2.gz UEMGMCMXTXCYGO-DVQDXYAYSA-N 1 2 296.418 3.537 20 0 CHADLO CC(C)n1ncnc1C[N@H+]1CCC[C@H]1/C=C/c1ccccc1 ZINC000365310036 1127726279 /nfs/dbraw/zinc/72/62/79/1127726279.db2.gz UEMGMCMXTXCYGO-DVQDXYAYSA-N 1 2 296.418 3.537 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@@H](C)c2nc(C(C)C)no2)c1 ZINC000298877709 1127735826 /nfs/dbraw/zinc/73/58/26/1127735826.db2.gz YJMBQHPMOYUTCL-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@@H](C)c2nc(C(C)C)no2)c1 ZINC000298877709 1127735830 /nfs/dbraw/zinc/73/58/30/1127735830.db2.gz YJMBQHPMOYUTCL-ZDUSSCGKSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(-c3ccsc3)no2)c1 ZINC000298739625 1127730871 /nfs/dbraw/zinc/73/08/71/1127730871.db2.gz WJCSKVYXTFXKKM-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(-c3ccsc3)no2)c1 ZINC000298739625 1127730875 /nfs/dbraw/zinc/73/08/75/1127730875.db2.gz WJCSKVYXTFXKKM-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO O=C(CC1CC1)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000298751867 1127731735 /nfs/dbraw/zinc/73/17/35/1127731735.db2.gz OONOMMDNUFFBMP-UHFFFAOYSA-N 1 2 295.386 3.625 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)c1cccc(F)c1 ZINC000366517619 1127747307 /nfs/dbraw/zinc/74/73/07/1127747307.db2.gz MIYWBUISCMSTCI-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CCN(CC)C(=S)SCc1[nH+]cc(C)c(OC)c1C ZINC000172402254 1127760120 /nfs/dbraw/zinc/76/01/20/1127760120.db2.gz WCGNRQPGUCZWFO-UHFFFAOYSA-N 1 2 298.477 3.567 20 0 CHADLO C[C@H]1c2ccc(F)cc2CC[N@H+]1Cc1cn2ccccc2n1 ZINC000606448884 1127763344 /nfs/dbraw/zinc/76/33/44/1127763344.db2.gz IXNWTJVLVBUZDP-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@H]1c2ccc(F)cc2CC[N@@H+]1Cc1cn2ccccc2n1 ZINC000606448884 1127763348 /nfs/dbraw/zinc/76/33/48/1127763348.db2.gz IXNWTJVLVBUZDP-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)N1CCC[C@@H]1C1CCCC1 ZINC000606580933 1127767971 /nfs/dbraw/zinc/76/79/71/1127767971.db2.gz KFOUXJUDUKTCGG-OAHLLOKOSA-N 1 2 298.390 3.521 20 0 CHADLO Clc1cncc(Nc2ccc([NH+]3CCCCC3)cc2)n1 ZINC000062997117 1127786161 /nfs/dbraw/zinc/78/61/61/1127786161.db2.gz NPIDZIXQGXDTBL-UHFFFAOYSA-N 1 2 288.782 3.864 20 0 CHADLO CCCCCC[C@H](C)[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000372905998 1127817955 /nfs/dbraw/zinc/81/79/55/1127817955.db2.gz MWPLYKWIDXNPMV-GOEBONIOSA-N 1 2 286.423 3.843 20 0 CHADLO C[C@H](C(=O)Nc1ccc2[nH+]ccn2c1)c1cccc(Cl)c1 ZINC000607830106 1127830812 /nfs/dbraw/zinc/83/08/12/1127830812.db2.gz KFMHMMVCPPLYAP-NSHDSACASA-N 1 2 299.761 3.730 20 0 CHADLO O=C(CCc1cccc(Cl)c1)Nc1cccc2[nH+]ccn21 ZINC000607852167 1127834383 /nfs/dbraw/zinc/83/43/83/1127834383.db2.gz CKNCBZHEQMXRHI-UHFFFAOYSA-N 1 2 299.761 3.559 20 0 CHADLO CCc1cnc(C[N@H+](Cc2ccccc2C)C2CC2)o1 ZINC000608493589 1127869846 /nfs/dbraw/zinc/86/98/46/1127869846.db2.gz FOJUEJBVUMRVTR-UHFFFAOYSA-N 1 2 270.376 3.710 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2ccccc2C)C2CC2)o1 ZINC000608493589 1127869851 /nfs/dbraw/zinc/86/98/51/1127869851.db2.gz FOJUEJBVUMRVTR-UHFFFAOYSA-N 1 2 270.376 3.710 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(F)cc2)[C@H](c2ccccc2)CO1 ZINC000608511038 1127874537 /nfs/dbraw/zinc/87/45/37/1127874537.db2.gz RVWDLZJDKKMXPS-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(F)cc2)[C@H](c2ccccc2)CO1 ZINC000608511038 1127874540 /nfs/dbraw/zinc/87/45/40/1127874540.db2.gz RVWDLZJDKKMXPS-KSSFIOAISA-N 1 2 285.362 3.788 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2F)o1 ZINC000608503009 1127872705 /nfs/dbraw/zinc/87/27/05/1127872705.db2.gz JPQLNRGRKJUDKF-WBMJQRKESA-N 1 2 288.366 3.959 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2F)o1 ZINC000608503009 1127872709 /nfs/dbraw/zinc/87/27/09/1127872709.db2.gz JPQLNRGRKJUDKF-WBMJQRKESA-N 1 2 288.366 3.959 20 0 CHADLO CCCCc1nc(C[N@H+]2C[C@@H](C)S[C@@H](C)C2)cs1 ZINC000608502033 1127873189 /nfs/dbraw/zinc/87/31/89/1127873189.db2.gz HYPHZVDCUXPXEZ-TXEJJXNPSA-N 1 2 284.494 3.812 20 0 CHADLO CCCCc1nc(C[N@@H+]2C[C@@H](C)S[C@@H](C)C2)cs1 ZINC000608502033 1127873195 /nfs/dbraw/zinc/87/31/95/1127873195.db2.gz HYPHZVDCUXPXEZ-TXEJJXNPSA-N 1 2 284.494 3.812 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1cnc2ccc(C)cc2c1 ZINC000411772128 1127873803 /nfs/dbraw/zinc/87/38/03/1127873803.db2.gz RTBMPZJDWJNBPI-UHFFFAOYSA-N 1 2 295.386 3.726 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1cnc2ccc(C)cc2c1 ZINC000411772128 1127873805 /nfs/dbraw/zinc/87/38/05/1127873805.db2.gz RTBMPZJDWJNBPI-UHFFFAOYSA-N 1 2 295.386 3.726 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+]([C@H](C)c1ccccc1)C2 ZINC000411923479 1127880492 /nfs/dbraw/zinc/88/04/92/1127880492.db2.gz KRYGTSDZFAHSRT-CYBMUJFWSA-N 1 2 265.356 3.966 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+]([C@H](C)c1ccccc1)C2 ZINC000411923479 1127880495 /nfs/dbraw/zinc/88/04/95/1127880495.db2.gz KRYGTSDZFAHSRT-CYBMUJFWSA-N 1 2 265.356 3.966 20 0 CHADLO CC[N@H+](Cc1cn2cc(C)ccc2n1)Cc1occc1C ZINC000412037329 1127890220 /nfs/dbraw/zinc/89/02/20/1127890220.db2.gz IDPDNCYLGAEKER-UHFFFAOYSA-N 1 2 283.375 3.566 20 0 CHADLO CC[N@@H+](Cc1cn2cc(C)ccc2n1)Cc1occc1C ZINC000412037329 1127890223 /nfs/dbraw/zinc/89/02/23/1127890223.db2.gz IDPDNCYLGAEKER-UHFFFAOYSA-N 1 2 283.375 3.566 20 0 CHADLO CC(C)Sc1ccc(C[NH2+][C@@H](C)c2csnn2)cc1 ZINC000412031989 1127891201 /nfs/dbraw/zinc/89/12/01/1127891201.db2.gz CWDJQKXWLVVDSQ-NSHDSACASA-N 1 2 293.461 3.889 20 0 CHADLO CCCOc1ccc(F)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001212195357 1127893462 /nfs/dbraw/zinc/89/34/62/1127893462.db2.gz LXEUFHDLINOCOL-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO C[C@H](C[N@H+](C)Cc1noc(C2CC2)n1)C1CCCCC1 ZINC000412079533 1127894716 /nfs/dbraw/zinc/89/47/16/1127894716.db2.gz UHKXLOMCLIBMQV-GFCCVEGCSA-N 1 2 277.412 3.595 20 0 CHADLO C[C@H](C[N@@H+](C)Cc1noc(C2CC2)n1)C1CCCCC1 ZINC000412079533 1127894720 /nfs/dbraw/zinc/89/47/20/1127894720.db2.gz UHKXLOMCLIBMQV-GFCCVEGCSA-N 1 2 277.412 3.595 20 0 CHADLO Oc1cnc(Cl)cc1C[N@@H+]1CCC[C@@H]2CCCC[C@H]21 ZINC001233034638 1127912160 /nfs/dbraw/zinc/91/21/60/1127912160.db2.gz OSFHPWZFXYVRMM-WCQYABFASA-N 1 2 280.799 3.595 20 0 CHADLO Oc1cnc(Cl)cc1C[N@H+]1CCC[C@@H]2CCCC[C@H]21 ZINC001233034638 1127912161 /nfs/dbraw/zinc/91/21/61/1127912161.db2.gz OSFHPWZFXYVRMM-WCQYABFASA-N 1 2 280.799 3.595 20 0 CHADLO CC[C@H]1CN(c2cc[nH+]c(C)n2)CC[C@H]1c1ccccc1 ZINC000470870768 1127907635 /nfs/dbraw/zinc/90/76/35/1127907635.db2.gz CQMMKAFQECYDIE-DOTOQJQBSA-N 1 2 281.403 3.805 20 0 CHADLO Fc1ccc([C@H]2CCCN2c2cc(NC3CC3)[nH+]cn2)cc1 ZINC000413036477 1127939954 /nfs/dbraw/zinc/93/99/54/1127939954.db2.gz DPVWEPXCCWVWMC-OAHLLOKOSA-N 1 2 298.365 3.532 20 0 CHADLO Fc1ccc([C@H]2CCCN2c2cc(NC3CC3)nc[nH+]2)cc1 ZINC000413036477 1127939957 /nfs/dbraw/zinc/93/99/57/1127939957.db2.gz DPVWEPXCCWVWMC-OAHLLOKOSA-N 1 2 298.365 3.532 20 0 CHADLO CCCCCOc1ccc(C[N@H+](C)Cc2ccon2)cc1 ZINC000539819957 1127943088 /nfs/dbraw/zinc/94/30/88/1127943088.db2.gz UEGWSIGKVLKEFL-UHFFFAOYSA-N 1 2 288.391 3.876 20 0 CHADLO CCCCCOc1ccc(C[N@@H+](C)Cc2ccon2)cc1 ZINC000539819957 1127943092 /nfs/dbraw/zinc/94/30/92/1127943092.db2.gz UEGWSIGKVLKEFL-UHFFFAOYSA-N 1 2 288.391 3.876 20 0 CHADLO CC(C)(CCc1ccccc1)[NH2+]CC(F)(F)C(F)F ZINC000311667483 1127945196 /nfs/dbraw/zinc/94/51/96/1127945196.db2.gz KXSKMDXMWYWXFN-UHFFFAOYSA-N 1 2 277.305 3.888 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2ccc(F)cc21)c1cc(F)ccc1F ZINC000467013668 1127953696 /nfs/dbraw/zinc/95/36/96/1127953696.db2.gz OHPJBFYZIAVPJX-VFZGTOFNSA-N 1 2 293.288 3.888 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCOC[C@H]1C1CC1 ZINC001233353166 1127955018 /nfs/dbraw/zinc/95/50/18/1127955018.db2.gz JCOMLONDRIUYOS-AWEZNQCLSA-N 1 2 297.851 3.673 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCOC[C@H]1C1CC1 ZINC001233353166 1127955020 /nfs/dbraw/zinc/95/50/20/1127955020.db2.gz JCOMLONDRIUYOS-AWEZNQCLSA-N 1 2 297.851 3.673 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@](C)(F)C2)cc1Br ZINC000539923775 1127955181 /nfs/dbraw/zinc/95/51/81/1127955181.db2.gz CVYYUBHKNGVRNQ-ZDUSSCGKSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@](C)(F)C2)cc1Br ZINC000539923775 1127955183 /nfs/dbraw/zinc/95/51/83/1127955183.db2.gz CVYYUBHKNGVRNQ-ZDUSSCGKSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(N[C@@H]2CCC[C@@H]([C@@H]3CCOC3)C2)c(C)[nH+]1 ZINC000467340355 1127964889 /nfs/dbraw/zinc/96/48/89/1127964889.db2.gz GIGQWVRARYJJNS-BZUAXINKSA-N 1 2 274.408 3.706 20 0 CHADLO C[C@@H](c1nc(CSc2ccc(Cl)cc2)no1)[NH+](C)C ZINC000350605185 1127969606 /nfs/dbraw/zinc/96/96/06/1127969606.db2.gz JTIKWEFZWQYCMY-VIFPVBQESA-N 1 2 297.811 3.638 20 0 CHADLO CC(C)CCc1noc(C[NH2+]C(C)(C)c2ccccc2)n1 ZINC000506764551 1127983846 /nfs/dbraw/zinc/98/38/46/1127983846.db2.gz UXQBGWMRONNVBN-UHFFFAOYSA-N 1 2 287.407 3.683 20 0 CHADLO C(=C/c1ccccc1)\COc1cccc(-n2cc[nH+]c2)c1 ZINC000467802665 1127980733 /nfs/dbraw/zinc/98/07/33/1127980733.db2.gz IDYWWDYRQHQFGP-VMPITWQZSA-N 1 2 276.339 3.965 20 0 CHADLO CC[C@@H](C)[N@H+](CC(=O)OC(C)(C)C)Cc1ccsc1 ZINC000540811252 1127996010 /nfs/dbraw/zinc/99/60/10/1127996010.db2.gz GNGAWQZVRUEBDJ-GFCCVEGCSA-N 1 2 283.437 3.690 20 0 CHADLO CC[C@@H](C)[N@@H+](CC(=O)OC(C)(C)C)Cc1ccsc1 ZINC000540811252 1127996013 /nfs/dbraw/zinc/99/60/13/1127996013.db2.gz GNGAWQZVRUEBDJ-GFCCVEGCSA-N 1 2 283.437 3.690 20 0 CHADLO Cc1cccn2cc(Cc3noc(C=C4CCCC4)n3)[nH+]c12 ZINC000545679443 1128028495 /nfs/dbraw/zinc/02/84/95/1128028495.db2.gz QXUZEZUFSYAMJB-UHFFFAOYSA-N 1 2 294.358 3.574 20 0 CHADLO FC1=CCC[N@H+](C/C=C\c2ccc(Cl)cc2)C1 ZINC000469768223 1128052666 /nfs/dbraw/zinc/05/26/66/1128052666.db2.gz IGESGWLLVQAUDD-IWQZZHSRSA-N 1 2 251.732 3.912 20 0 CHADLO FC1=CCC[N@@H+](C/C=C\c2ccc(Cl)cc2)C1 ZINC000469768223 1128052671 /nfs/dbraw/zinc/05/26/71/1128052671.db2.gz IGESGWLLVQAUDD-IWQZZHSRSA-N 1 2 251.732 3.912 20 0 CHADLO CC[C@@H](C[NH2+][C@@H](C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000470331642 1128069775 /nfs/dbraw/zinc/06/97/75/1128069775.db2.gz CISJLAHZZJXDGD-KBPBESRZSA-N 1 2 277.408 3.500 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2ccncc2Cl)C1 ZINC000669942724 1128081184 /nfs/dbraw/zinc/08/11/84/1128081184.db2.gz NQFRLTOMQJSTGF-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2ccncc2Cl)C1 ZINC000669942724 1128081189 /nfs/dbraw/zinc/08/11/89/1128081189.db2.gz NQFRLTOMQJSTGF-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO CC(C)C[C@H](c1nc(C2(C)CCCC2)no1)n1cc[nH+]c1 ZINC000924145678 1128091573 /nfs/dbraw/zinc/09/15/73/1128091573.db2.gz IRVSBJXSGTTZTD-CYBMUJFWSA-N 1 2 288.395 3.733 20 0 CHADLO Cc1ccc(-c2ocnc2C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000173688564 1128091742 /nfs/dbraw/zinc/09/17/42/1128091742.db2.gz RQMIFWDIQNTBNP-UHFFFAOYSA-N 1 2 293.326 3.606 20 0 CHADLO Cc1nn(C)c(C[NH2+][C@@H](C)c2ccccc2Cl)c1Cl ZINC000089232332 1128112827 /nfs/dbraw/zinc/11/28/27/1128112827.db2.gz GQCVJFBKYXENKA-VIFPVBQESA-N 1 2 298.217 3.886 20 0 CHADLO FC1=CCC[N@H+](CCSc2ccc(Cl)cc2)C1 ZINC000492850293 1128115263 /nfs/dbraw/zinc/11/52/63/1128115263.db2.gz FXQDQHFTVNCWHM-UHFFFAOYSA-N 1 2 271.788 3.991 20 0 CHADLO FC1=CCC[N@@H+](CCSc2ccc(Cl)cc2)C1 ZINC000492850293 1128115267 /nfs/dbraw/zinc/11/52/67/1128115267.db2.gz FXQDQHFTVNCWHM-UHFFFAOYSA-N 1 2 271.788 3.991 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cc(OC)no1)c1ccc(F)cc1F ZINC000925100277 1128121483 /nfs/dbraw/zinc/12/14/83/1128121483.db2.gz VGXIAJDXENXLET-ZANVPECISA-N 1 2 296.317 3.763 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(OC2CCCC2)cc1)c1ncco1 ZINC000925364929 1128128856 /nfs/dbraw/zinc/12/88/56/1128128856.db2.gz WMHKBQBPSUACCS-CYBMUJFWSA-N 1 2 286.375 3.847 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+]1Cc1nc(Br)cs1 ZINC001137782297 1128131164 /nfs/dbraw/zinc/13/11/64/1128131164.db2.gz KUXXEZUNHYAGRG-VIFPVBQESA-N 1 2 289.242 3.526 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+]1Cc1nc(Br)cs1 ZINC001137782297 1128131166 /nfs/dbraw/zinc/13/11/66/1128131166.db2.gz KUXXEZUNHYAGRG-VIFPVBQESA-N 1 2 289.242 3.526 20 0 CHADLO CCSCC[NH2+]c1ccc(N2CCSCC2)c(C)c1 ZINC000761514696 1128132436 /nfs/dbraw/zinc/13/24/36/1128132436.db2.gz GMSPSKIGWQZMRY-UHFFFAOYSA-N 1 2 296.505 3.713 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(-c2ccccc2)[nH]1)c1cccs1 ZINC000777573144 1128137794 /nfs/dbraw/zinc/13/77/94/1128137794.db2.gz TUGTXRAGMBJOAY-CYBMUJFWSA-N 1 2 298.415 3.774 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(-c2ccccc2)n[nH]1)c1cccs1 ZINC000777573144 1128137798 /nfs/dbraw/zinc/13/77/98/1128137798.db2.gz TUGTXRAGMBJOAY-CYBMUJFWSA-N 1 2 298.415 3.774 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C\C2CCCC2)c(C)[nH+]1 ZINC000493480473 1128141835 /nfs/dbraw/zinc/14/18/35/1128141835.db2.gz KPKMTFWQSSWMDE-HJWRWDBZSA-N 1 2 258.365 3.692 20 0 CHADLO CCSCc1cc[nH+]c(NC2CC3(C2)CO[C@@H](C)C3)c1 ZINC000925945185 1128147094 /nfs/dbraw/zinc/14/70/94/1128147094.db2.gz ZUYUYEYNQAZQCK-YGONEPDPSA-N 1 2 292.448 3.704 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2ccns2)c[nH+]1 ZINC000925932108 1128147402 /nfs/dbraw/zinc/14/74/02/1128147402.db2.gz JCEAISGHWFQMHO-NSHDSACASA-N 1 2 276.409 3.557 20 0 CHADLO CC(C)c1nsc(C[N@@H+]2CCS[C@@H]3CCCC[C@H]32)n1 ZINC000929816876 1128157361 /nfs/dbraw/zinc/15/73/61/1128157361.db2.gz LOXNWMBSEQKYGK-VXGBXAGGSA-N 1 2 297.493 3.522 20 0 CHADLO CC(C)c1nsc(C[N@H+]2CCS[C@@H]3CCCC[C@H]32)n1 ZINC000929816876 1128157363 /nfs/dbraw/zinc/15/73/63/1128157363.db2.gz LOXNWMBSEQKYGK-VXGBXAGGSA-N 1 2 297.493 3.522 20 0 CHADLO CCCc1csc(C[NH2+]Cc2ccc(C)cc2OC)n1 ZINC000494182241 1128158824 /nfs/dbraw/zinc/15/88/24/1128158824.db2.gz IMWGDNCBZXLTMU-UHFFFAOYSA-N 1 2 290.432 3.702 20 0 CHADLO C[C@@H]1CC[N@H+](CCCc2ccccc2)CC1(F)F ZINC000494222273 1128160699 /nfs/dbraw/zinc/16/06/99/1128160699.db2.gz DWZCXCKHPVWIOM-CYBMUJFWSA-N 1 2 253.336 3.596 20 0 CHADLO C[C@@H]1CC[N@@H+](CCCc2ccccc2)CC1(F)F ZINC000494222273 1128160701 /nfs/dbraw/zinc/16/07/01/1128160701.db2.gz DWZCXCKHPVWIOM-CYBMUJFWSA-N 1 2 253.336 3.596 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+]Cc1ccc(C(F)(F)F)cc1C ZINC000926259724 1128164155 /nfs/dbraw/zinc/16/41/55/1128164155.db2.gz WBIGRXBXDUZQQO-SECBINFHSA-N 1 2 299.296 3.556 20 0 CHADLO C[C@H]([NH2+][C@H]1CCCc2ccc(F)cc21)c1ncco1 ZINC000926336439 1128166983 /nfs/dbraw/zinc/16/69/83/1128166983.db2.gz YSLBOLGKNOMOBM-HZMBPMFUSA-N 1 2 260.312 3.542 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nc(CC(C)C)no1 ZINC000494241753 1128167490 /nfs/dbraw/zinc/16/74/90/1128167490.db2.gz NVELCOIYCLCJTM-RVDMUPIBSA-N 1 2 299.418 3.803 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nc(CC(C)C)no1 ZINC000494241753 1128167491 /nfs/dbraw/zinc/16/74/91/1128167491.db2.gz NVELCOIYCLCJTM-RVDMUPIBSA-N 1 2 299.418 3.803 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1ncc(C)o1 ZINC000494263337 1128168311 /nfs/dbraw/zinc/16/83/11/1128168311.db2.gz XGXSAMZAWCUWPS-UKTHLTGXSA-N 1 2 256.349 3.518 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1ncc(C)o1 ZINC000494263337 1128168313 /nfs/dbraw/zinc/16/83/13/1128168313.db2.gz XGXSAMZAWCUWPS-UKTHLTGXSA-N 1 2 256.349 3.518 20 0 CHADLO CCc1nnc([C@H](C)[N@H+](C)C/C(C)=C/c2ccccc2)o1 ZINC000494292780 1128168747 /nfs/dbraw/zinc/16/87/47/1128168747.db2.gz JDZSCQAQUVUXQT-CMPYXILNSA-N 1 2 285.391 3.728 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+](C)C/C(C)=C/c2ccccc2)o1 ZINC000494292780 1128168750 /nfs/dbraw/zinc/16/87/50/1128168750.db2.gz JDZSCQAQUVUXQT-CMPYXILNSA-N 1 2 285.391 3.728 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@@H](C)c1cccc(C2CC2)c1 ZINC000926492781 1128175731 /nfs/dbraw/zinc/17/57/31/1128175731.db2.gz FRASSKVEQLWAHT-WDEREUQCSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1cc(N[C@H](C)c2ccnn2CC2CCC2)c[nH+]c1C ZINC000926855601 1128198560 /nfs/dbraw/zinc/19/85/60/1128198560.db2.gz MHVJZNIZCSIGCB-CQSZACIVSA-N 1 2 284.407 3.868 20 0 CHADLO C[C@@H](c1ncccn1)[N@H+](C)Cc1cccc(C(F)(F)F)c1 ZINC000929286893 1128268527 /nfs/dbraw/zinc/26/85/27/1128268527.db2.gz JOGZXNXNGPNMKL-NSHDSACASA-N 1 2 295.308 3.688 20 0 CHADLO C[C@@H](c1ncccn1)[N@@H+](C)Cc1cccc(C(F)(F)F)c1 ZINC000929286893 1128268531 /nfs/dbraw/zinc/26/85/31/1128268531.db2.gz JOGZXNXNGPNMKL-NSHDSACASA-N 1 2 295.308 3.688 20 0 CHADLO Cc1nc(N2Cc3ccccc3[C@@H](C)C2)c(C)c(C)[nH+]1 ZINC000761875047 1128249935 /nfs/dbraw/zinc/24/99/35/1128249935.db2.gz SDMVETAUDCAUJY-NSHDSACASA-N 1 2 267.376 3.526 20 0 CHADLO CCc1ccsc1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000053460313 1128253218 /nfs/dbraw/zinc/25/32/18/1128253218.db2.gz MUTVOHRIEZDNRO-UHFFFAOYSA-N 1 2 297.383 3.749 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)[C@@H](C)c2ncccn2)cc1 ZINC000929236285 1128265465 /nfs/dbraw/zinc/26/54/65/1128265465.db2.gz CTABNTUINIFQOR-AWEZNQCLSA-N 1 2 269.392 3.793 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)[C@@H](C)c2ncccn2)cc1 ZINC000929236285 1128265467 /nfs/dbraw/zinc/26/54/67/1128265467.db2.gz CTABNTUINIFQOR-AWEZNQCLSA-N 1 2 269.392 3.793 20 0 CHADLO O=C1SCCN1C[N@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000914566846 1128210670 /nfs/dbraw/zinc/21/06/70/1128210670.db2.gz KGIOLQTZNZAMEP-ZDUSSCGKSA-N 1 2 296.823 3.603 20 0 CHADLO O=C1SCCN1C[N@@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000914566846 1128210673 /nfs/dbraw/zinc/21/06/73/1128210673.db2.gz KGIOLQTZNZAMEP-ZDUSSCGKSA-N 1 2 296.823 3.603 20 0 CHADLO CCCC[N@H+](CC)Cn1nc(-c2ccccc2)oc1=S ZINC000914567301 1128211922 /nfs/dbraw/zinc/21/19/22/1128211922.db2.gz OJOSOZPAKXQVEI-UHFFFAOYSA-N 1 2 291.420 3.952 20 0 CHADLO CCCC[N@@H+](CC)Cn1nc(-c2ccccc2)oc1=S ZINC000914567301 1128211924 /nfs/dbraw/zinc/21/19/24/1128211924.db2.gz OJOSOZPAKXQVEI-UHFFFAOYSA-N 1 2 291.420 3.952 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2CCCc3cccnc32)c(C)s1 ZINC000927060812 1128212490 /nfs/dbraw/zinc/21/24/90/1128212490.db2.gz BMXSVFCEASTPAN-YGRLFVJLSA-N 1 2 287.432 3.883 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)C[N@@H+]1C/C=C/c1ccncc1 ZINC000494936883 1128214633 /nfs/dbraw/zinc/21/46/33/1128214633.db2.gz LOSKEXDRDGGTAV-FOSBAXRVSA-N 1 2 294.398 3.557 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)C[N@H+]1C/C=C/c1ccncc1 ZINC000494936883 1128214635 /nfs/dbraw/zinc/21/46/35/1128214635.db2.gz LOSKEXDRDGGTAV-FOSBAXRVSA-N 1 2 294.398 3.557 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](C)c2cnc(C)nc2C)c(F)c1 ZINC000927095249 1128216780 /nfs/dbraw/zinc/21/67/80/1128216780.db2.gz YEUPTLZNIUCSNI-SNVBAGLBSA-N 1 2 291.345 3.531 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+]1CC[C@](C)(F)C1 ZINC000494977951 1128221149 /nfs/dbraw/zinc/22/11/49/1128221149.db2.gz IAIZQDFPRALMGE-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+]1CC[C@](C)(F)C1 ZINC000494977951 1128221151 /nfs/dbraw/zinc/22/11/51/1128221151.db2.gz IAIZQDFPRALMGE-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc(N3CCCCC3)c[nH+]2)[C@@H]1C ZINC000495079406 1128229339 /nfs/dbraw/zinc/22/93/39/1128229339.db2.gz OETFXIFWXZFAMK-UMVBOHGHSA-N 1 2 259.397 3.528 20 0 CHADLO FC(F)(F)[C@H](Nc1cc2cc[nH]c2c[nH+]1)c1ccccn1 ZINC001168477706 1128234016 /nfs/dbraw/zinc/23/40/16/1128234016.db2.gz JSGHFLQWRFXDPR-CYBMUJFWSA-N 1 2 292.264 3.673 20 0 CHADLO C[C@H]([NH2+]c1ccc2c(c1)N(C)CCO2)C1CCCC1 ZINC000777689517 1128242660 /nfs/dbraw/zinc/24/26/60/1128242660.db2.gz NZPFQULHATXHOY-LBPRGKRZSA-N 1 2 260.381 3.506 20 0 CHADLO CCC[C@@H](C)c1noc(Cc2cn3cccc(C)c3[nH+]2)n1 ZINC000761842844 1128243619 /nfs/dbraw/zinc/24/36/19/1128243619.db2.gz KYWNCZMYOLDGKX-LLVKDONJSA-N 1 2 284.363 3.520 20 0 CHADLO CCn1cc([C@@H](C)Nc2cc3ccccc3c[nH+]2)cn1 ZINC000631295506 1128275929 /nfs/dbraw/zinc/27/59/29/1128275929.db2.gz UDKMMKKDTMIYJO-GFCCVEGCSA-N 1 2 266.348 3.624 20 0 CHADLO FC(F)(F)[C@@H]1CCC[C@H](C[NH+]2Cc3ccccc3C2)O1 ZINC000929585089 1128278595 /nfs/dbraw/zinc/27/85/95/1128278595.db2.gz BRCACFMPPNVMCG-KGLIPLIRSA-N 1 2 285.309 3.502 20 0 CHADLO CC(C)CCCCCC(=O)NCc1ccn2cc[nH+]c2c1 ZINC000762257347 1128287490 /nfs/dbraw/zinc/28/74/90/1128287490.db2.gz CZODFULRIHUVBB-UHFFFAOYSA-N 1 2 287.407 3.557 20 0 CHADLO CCSCC[C@@H](C)[N@H+](C)Cc1nc(C(C)C)ns1 ZINC000930009316 1128298247 /nfs/dbraw/zinc/29/82/47/1128298247.db2.gz CNQIAEJXOSSOII-LLVKDONJSA-N 1 2 287.498 3.625 20 0 CHADLO CCSCC[C@@H](C)[N@@H+](C)Cc1nc(C(C)C)ns1 ZINC000930009316 1128298249 /nfs/dbraw/zinc/29/82/49/1128298249.db2.gz CNQIAEJXOSSOII-LLVKDONJSA-N 1 2 287.498 3.625 20 0 CHADLO CC(C)c1nsc(C[NH2+][C@H](C)c2ccccc2)n1 ZINC000930182586 1128304194 /nfs/dbraw/zinc/30/41/94/1128304194.db2.gz OUAPAMAVGNBGMN-LLVKDONJSA-N 1 2 261.394 3.512 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nn(C)cc1C)c1ccc(F)cc1F ZINC000930233585 1128308964 /nfs/dbraw/zinc/30/89/64/1128308964.db2.gz RJUQVMIMLDXUBI-OAHLLOKOSA-N 1 2 293.361 3.638 20 0 CHADLO C[C@@H](c1ccco1)N(C(=O)c1cc2c[nH+]ccc2[nH]1)C1CC1 ZINC000930515628 1128323962 /nfs/dbraw/zinc/32/39/62/1128323962.db2.gz PGRZIYMWRSIZCD-NSHDSACASA-N 1 2 295.342 3.522 20 0 CHADLO C[C@@H]1C[C@H](C[NH2+][C@@H](c2ccccc2)C(F)F)C[C@H](C)O1 ZINC000930565941 1128325256 /nfs/dbraw/zinc/32/52/56/1128325256.db2.gz GETPRJLNCGBENW-JLNYLFASSA-N 1 2 283.362 3.786 20 0 CHADLO CCc1ncc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2F)o1 ZINC000930664366 1128329819 /nfs/dbraw/zinc/32/98/19/1128329819.db2.gz SHZSGDPSGKCZFP-HNNXBMFYSA-N 1 2 292.329 3.852 20 0 CHADLO CCc1ncc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2F)o1 ZINC000930664366 1128329823 /nfs/dbraw/zinc/32/98/23/1128329823.db2.gz SHZSGDPSGKCZFP-HNNXBMFYSA-N 1 2 292.329 3.852 20 0 CHADLO c1cc(N[C@@H]2CCC[C@H]2C2CC2)[nH+]cc1N1CCCC1 ZINC000561800991 1128354101 /nfs/dbraw/zinc/35/41/01/1128354101.db2.gz MIGCEBSVSYJYAU-JKSUJKDBSA-N 1 2 271.408 3.672 20 0 CHADLO O=C(C[C@@H]1CC=CCC1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000931270787 1128355025 /nfs/dbraw/zinc/35/50/25/1128355025.db2.gz RGXJEDADMOSSMP-CYBMUJFWSA-N 1 2 281.359 3.762 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc(F)cc2C)o1 ZINC000932564377 1128415344 /nfs/dbraw/zinc/41/53/44/1128415344.db2.gz ZBDKRPQFZXMJAR-NSHDSACASA-N 1 2 262.328 3.535 20 0 CHADLO CCc1cc(N[C@@H](C)CCc2ccco2)nc(CC)[nH+]1 ZINC001649308781 1128378179 /nfs/dbraw/zinc/37/81/79/1128378179.db2.gz BONRFMYKFKVXLJ-LBPRGKRZSA-N 1 2 273.380 3.628 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H]2CCc3cccc4cccc2c43)no1 ZINC000931810170 1128382523 /nfs/dbraw/zinc/38/25/23/1128382523.db2.gz XHQBDCNCHFNAJG-BZNIZROVSA-N 1 2 293.370 3.869 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2CCc3cccc4cccc2c43)no1 ZINC000931810172 1128383125 /nfs/dbraw/zinc/38/31/25/1128383125.db2.gz XHQBDCNCHFNAJG-ZBEGNZNMSA-N 1 2 293.370 3.869 20 0 CHADLO Cn1ccc2c1cc[nH+]c2-c1cc(O)cc(Cl)c1 ZINC001235899073 1128384607 /nfs/dbraw/zinc/38/46/07/1128384607.db2.gz CHHSNICBCDECBV-UHFFFAOYSA-N 1 2 258.708 3.599 20 0 CHADLO Cc1cc(-c2cc(O)cc(Cl)c2)c2[nH+]ccn2c1 ZINC001235904527 1128387115 /nfs/dbraw/zinc/38/71/15/1128387115.db2.gz VIYFSFWFDXPCKT-UHFFFAOYSA-N 1 2 258.708 3.669 20 0 CHADLO CN(C)c1cc(-c2ccc(OC(C)(C)C)cc2)cc[nH+]1 ZINC001235926835 1128394731 /nfs/dbraw/zinc/39/47/31/1128394731.db2.gz CTMHNUOKGJSPLX-UHFFFAOYSA-N 1 2 270.376 3.992 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CC3(CCC3)Oc3ccccc32)oc1C ZINC000932216580 1128400275 /nfs/dbraw/zinc/40/02/75/1128400275.db2.gz YLEKMNIEDITJMU-OAHLLOKOSA-N 1 2 298.386 3.828 20 0 CHADLO CCCCN(C(=O)c1cc2c[nH+]ccc2[nH]1)[C@@H](C)CC ZINC000932326542 1128404337 /nfs/dbraw/zinc/40/43/37/1128404337.db2.gz QRBVOXVQPKMRRW-LBPRGKRZSA-N 1 2 273.380 3.604 20 0 CHADLO Fc1cccc(C[N@H+](Cc2cscn2)C2CCC2)c1 ZINC000932407535 1128408411 /nfs/dbraw/zinc/40/84/11/1128408411.db2.gz QEZJSPNTQGTLCD-UHFFFAOYSA-N 1 2 276.380 3.837 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2cscn2)C2CCC2)c1 ZINC000932407535 1128408414 /nfs/dbraw/zinc/40/84/14/1128408414.db2.gz QEZJSPNTQGTLCD-UHFFFAOYSA-N 1 2 276.380 3.837 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccn2)CC(C)C)cs1 ZINC000932443017 1128410413 /nfs/dbraw/zinc/41/04/13/1128410413.db2.gz DSOFBJAOWZCMJC-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccn2)CC(C)C)cs1 ZINC000932443017 1128410414 /nfs/dbraw/zinc/41/04/14/1128410414.db2.gz DSOFBJAOWZCMJC-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](Cc2ccccn2)CC(C)C)o1 ZINC000932449913 1128411264 /nfs/dbraw/zinc/41/12/64/1128411264.db2.gz VUDGHVVDCCOEQR-CQSZACIVSA-N 1 2 273.380 3.597 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](Cc2ccccn2)CC(C)C)o1 ZINC000932449913 1128411265 /nfs/dbraw/zinc/41/12/65/1128411265.db2.gz VUDGHVVDCCOEQR-CQSZACIVSA-N 1 2 273.380 3.597 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2coc(C3CC3)n2)c1 ZINC000763381585 1128429864 /nfs/dbraw/zinc/42/98/64/1128429864.db2.gz SUBBOWBXEZYQAL-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2coc(C3CC3)n2)c1 ZINC000763381585 1128429866 /nfs/dbraw/zinc/42/98/66/1128429866.db2.gz SUBBOWBXEZYQAL-UHFFFAOYSA-N 1 2 270.376 3.801 20 0 CHADLO CC[C@H](Cc1ccccc1)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000562944460 1128442005 /nfs/dbraw/zinc/44/20/05/1128442005.db2.gz WHWLNLMWFOCGOR-GOSISDBHSA-N 1 2 296.414 3.584 20 0 CHADLO CC[C@H](C)[C@@H]([NH2+][C@H](c1ccccc1C)C1CC1)C(=O)OC ZINC001168518377 1128447343 /nfs/dbraw/zinc/44/73/43/1128447343.db2.gz CLDHTZYUHNGJKQ-VUCTXSBTSA-N 1 2 289.419 3.623 20 0 CHADLO Cc1cc(C[NH2+][C@H](c2ncc[nH]2)c2ccccc2)ccc1F ZINC000125633894 1128451656 /nfs/dbraw/zinc/45/16/56/1128451656.db2.gz ORYIGXNLAPTAIJ-KRWDZBQOSA-N 1 2 295.361 3.736 20 0 CHADLO CO[C@H]1CC[C@H]1[N@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000934126503 1128451883 /nfs/dbraw/zinc/45/18/83/1128451883.db2.gz UWWPYTQIQCZDBM-CABCVRRESA-N 1 2 294.464 3.800 20 0 CHADLO CO[C@H]1CC[C@H]1[N@@H+](C)Cc1csc(C2CCCCC2)n1 ZINC000934126503 1128451886 /nfs/dbraw/zinc/45/18/86/1128451886.db2.gz UWWPYTQIQCZDBM-CABCVRRESA-N 1 2 294.464 3.800 20 0 CHADLO CC(C)(C)OC(=O)[C@@H]1CCC[N@@H+]1C/C=C/c1ccccc1 ZINC000125905499 1128456444 /nfs/dbraw/zinc/45/64/44/1128456444.db2.gz UJCURWUCHNDAON-BPLPYTOXSA-N 1 2 287.403 3.506 20 0 CHADLO CC(C)(C)OC(=O)[C@@H]1CCC[N@H+]1C/C=C/c1ccccc1 ZINC000125905499 1128456446 /nfs/dbraw/zinc/45/64/46/1128456446.db2.gz UJCURWUCHNDAON-BPLPYTOXSA-N 1 2 287.403 3.506 20 0 CHADLO Cc1ccccc1[C@@H]1CCCN1C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000563238006 1128456748 /nfs/dbraw/zinc/45/67/48/1128456748.db2.gz RXOHRHGBCCISCZ-WBVHZDCISA-N 1 2 297.402 3.506 20 0 CHADLO Cc1nc(C[N@H+](C)C/C=C/c2ccccc2)cs1 ZINC000065301683 1128461515 /nfs/dbraw/zinc/46/15/15/1128461515.db2.gz GYIHGJDPXLEKKM-RMKNXTFCSA-N 1 2 258.390 3.597 20 0 CHADLO Cc1nc(C[N@@H+](C)C/C=C/c2ccccc2)cs1 ZINC000065301683 1128461517 /nfs/dbraw/zinc/46/15/17/1128461517.db2.gz GYIHGJDPXLEKKM-RMKNXTFCSA-N 1 2 258.390 3.597 20 0 CHADLO C[C@@H]([NH2+]C/C(Cl)=C/Cl)c1cnn(CC2CCC2)c1 ZINC000934561829 1128463238 /nfs/dbraw/zinc/46/32/38/1128463238.db2.gz ISCHQSDQRYHSQC-YNHMVAKQSA-N 1 2 288.222 3.653 20 0 CHADLO COc1cc([C@@H](C)[NH2+]Cc2csnn2)cc2ccccc21 ZINC000934635818 1128465044 /nfs/dbraw/zinc/46/50/44/1128465044.db2.gz NYKYYOMAMNJVRS-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO COc1ccc2oc(CNc3ccc(N(C)C)c[nH+]3)cc2c1 ZINC000126879975 1128469824 /nfs/dbraw/zinc/46/98/24/1128469824.db2.gz HHZGIXWDKYWFKC-UHFFFAOYSA-N 1 2 297.358 3.515 20 0 CHADLO CCC[C@H](C)[C@@H]1CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934917988 1128474066 /nfs/dbraw/zinc/47/40/66/1128474066.db2.gz VGKXSZHOXNBHNX-LRDDRELGSA-N 1 2 285.391 3.604 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ncc(C(C)(C)C)o2)s1 ZINC000127270061 1128475213 /nfs/dbraw/zinc/47/52/13/1128475213.db2.gz CLRUYKYTUJNQOO-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ncc(C(C)(C)C)o2)s1 ZINC000127270061 1128475216 /nfs/dbraw/zinc/47/52/16/1128475216.db2.gz CLRUYKYTUJNQOO-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO CCC[C@](C)(O)CNc1[nH+]c2ccccc2cc1C ZINC000225355998 1128476298 /nfs/dbraw/zinc/47/62/98/1128476298.db2.gz LPAQTGKXKYNMTB-INIZCTEOSA-N 1 2 258.365 3.506 20 0 CHADLO CC[C@H]1CCC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000127422087 1128477554 /nfs/dbraw/zinc/47/75/54/1128477554.db2.gz DPSZKDUERBRHOQ-ZDUSSCGKSA-N 1 2 277.412 3.739 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000127422087 1128477557 /nfs/dbraw/zinc/47/75/57/1128477557.db2.gz DPSZKDUERBRHOQ-ZDUSSCGKSA-N 1 2 277.412 3.739 20 0 CHADLO CC[C@H]1CCCC[N@@H+]1Cc1noc(C2CCCCC2)n1 ZINC000127680030 1128481871 /nfs/dbraw/zinc/48/18/71/1128481871.db2.gz AJQBRAXMBNKOAR-AWEZNQCLSA-N 1 2 277.412 3.882 20 0 CHADLO CC[C@H]1CCCC[N@H+]1Cc1noc(C2CCCCC2)n1 ZINC000127680030 1128481874 /nfs/dbraw/zinc/48/18/74/1128481874.db2.gz AJQBRAXMBNKOAR-AWEZNQCLSA-N 1 2 277.412 3.882 20 0 CHADLO CC(C)c1nc(C[N@H+](C)C2CCC(C)(C)CC2)no1 ZINC000127702377 1128481911 /nfs/dbraw/zinc/48/19/11/1128481911.db2.gz PGUKWPURJJTNIB-UHFFFAOYSA-N 1 2 265.401 3.594 20 0 CHADLO CC(C)c1nc(C[N@@H+](C)C2CCC(C)(C)CC2)no1 ZINC000127702377 1128481913 /nfs/dbraw/zinc/48/19/13/1128481913.db2.gz PGUKWPURJJTNIB-UHFFFAOYSA-N 1 2 265.401 3.594 20 0 CHADLO C[N@H+](Cc1noc(C2CCCCC2)n1)C1CCCCC1 ZINC000127684728 1128482057 /nfs/dbraw/zinc/48/20/57/1128482057.db2.gz NVLHQBHSGDSNSF-UHFFFAOYSA-N 1 2 277.412 3.882 20 0 CHADLO C[N@@H+](Cc1noc(C2CCCCC2)n1)C1CCCCC1 ZINC000127684728 1128482059 /nfs/dbraw/zinc/48/20/59/1128482059.db2.gz NVLHQBHSGDSNSF-UHFFFAOYSA-N 1 2 277.412 3.882 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)C/C=C/c2ccc(Cl)cc2)n1 ZINC000563968863 1128487585 /nfs/dbraw/zinc/48/75/85/1128487585.db2.gz GVHQZHWHXKJSSH-SGUJLRQBSA-N 1 2 291.782 3.738 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)C/C=C/c2ccc(Cl)cc2)n1 ZINC000563968863 1128487586 /nfs/dbraw/zinc/48/75/86/1128487586.db2.gz GVHQZHWHXKJSSH-SGUJLRQBSA-N 1 2 291.782 3.738 20 0 CHADLO CC(C)C[N@H+](Cn1nc(C(C)(C)C)oc1=S)C1CC1 ZINC000917428331 1128499953 /nfs/dbraw/zinc/49/99/53/1128499953.db2.gz HOGQXMOCOMMLIF-UHFFFAOYSA-N 1 2 283.441 3.581 20 0 CHADLO CC(C)C[N@@H+](Cn1nc(C(C)(C)C)oc1=S)C1CC1 ZINC000917428331 1128499955 /nfs/dbraw/zinc/49/99/55/1128499955.db2.gz HOGQXMOCOMMLIF-UHFFFAOYSA-N 1 2 283.441 3.581 20 0 CHADLO CCCCCC(=O)N1CC[NH+]([C@H](C)c2ccsc2)CC1 ZINC000128582208 1128505242 /nfs/dbraw/zinc/50/52/42/1128505242.db2.gz BIWLOVXOERBCTQ-CQSZACIVSA-N 1 2 294.464 3.534 20 0 CHADLO COc1cccc2c1CCC[C@H]2[NH2+][C@@H](C)C(C)(F)F ZINC000564414223 1128507705 /nfs/dbraw/zinc/50/77/05/1128507705.db2.gz QUBKOIWKGRHTKJ-GXFFZTMASA-N 1 2 269.335 3.706 20 0 CHADLO C[C@@H]([NH2+]Cc1ccn(C2CCCC2)n1)c1ccccc1F ZINC000069993340 1128557996 /nfs/dbraw/zinc/55/79/96/1128557996.db2.gz KXRUFZVYPOIRPF-CYBMUJFWSA-N 1 2 287.382 3.988 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000068474537 1128512912 /nfs/dbraw/zinc/51/29/12/1128512912.db2.gz HQJLORDBCRORMF-MNOVXSKESA-N 1 2 269.335 3.525 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000068474537 1128512915 /nfs/dbraw/zinc/51/29/15/1128512915.db2.gz HQJLORDBCRORMF-MNOVXSKESA-N 1 2 269.335 3.525 20 0 CHADLO c1cn(-c2cccc(-c3nc(CC4CCCC4)no3)c2)c[nH+]1 ZINC000564663725 1128513071 /nfs/dbraw/zinc/51/30/71/1128513071.db2.gz NIQFIEAZOZWHOJ-UHFFFAOYSA-N 1 2 294.358 3.655 20 0 CHADLO CC(C)c1ccc(NC(=O)Cn2cc[nH+]c2)c(C(C)C)c1 ZINC000564705992 1128513939 /nfs/dbraw/zinc/51/39/39/1128513939.db2.gz CUOLLBMSKZCPPO-UHFFFAOYSA-N 1 2 285.391 3.769 20 0 CHADLO Cc1[nH]c(CNc2cccc(C3CCC3)c2)[nH+]c1C ZINC000565242844 1128522238 /nfs/dbraw/zinc/52/22/38/1128522238.db2.gz SYDPSYYRNSZQIA-UHFFFAOYSA-N 1 2 255.365 3.906 20 0 CHADLO Cc1cc(C)cc(NC(=O)C[N@@H+](C)[C@@H](C)c2ccco2)c1 ZINC000069069362 1128533391 /nfs/dbraw/zinc/53/33/91/1128533391.db2.gz NIUVAVCTPBUHDG-AWEZNQCLSA-N 1 2 286.375 3.528 20 0 CHADLO Cc1cc(C)cc(NC(=O)C[N@H+](C)[C@@H](C)c2ccco2)c1 ZINC000069069362 1128533393 /nfs/dbraw/zinc/53/33/93/1128533393.db2.gz NIUVAVCTPBUHDG-AWEZNQCLSA-N 1 2 286.375 3.528 20 0 CHADLO CC1(C)CC[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000129317388 1128536369 /nfs/dbraw/zinc/53/63/69/1128536369.db2.gz KDCBPIBBWIOFGY-CQSZACIVSA-N 1 2 255.365 3.863 20 0 CHADLO Cc1cc(CNC(=O)C[C@@H]2CCCC[C@H]2C)cc(C)[nH+]1 ZINC000566080345 1128538436 /nfs/dbraw/zinc/53/84/36/1128538436.db2.gz DKIUQFNKYDSVFH-WBMJQRKESA-N 1 2 274.408 3.531 20 0 CHADLO Fc1cccc2[nH]c(-c3ccc(-n4cc[nH+]c4)cc3)nc21 ZINC000154751731 1128555986 /nfs/dbraw/zinc/55/59/86/1128555986.db2.gz UGDQPEXDWGMBAL-UHFFFAOYSA-N 1 2 278.290 3.555 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@H](c3ccccc3)[C@H](C)C2)no1 ZINC000129812544 1128556891 /nfs/dbraw/zinc/55/68/91/1128556891.db2.gz HQXGZLCRCVQMJI-GDBMZVCRSA-N 1 2 299.418 3.648 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@H](c3ccccc3)[C@H](C)C2)no1 ZINC000129812544 1128556897 /nfs/dbraw/zinc/55/68/97/1128556897.db2.gz HQXGZLCRCVQMJI-GDBMZVCRSA-N 1 2 299.418 3.648 20 0 CHADLO CCCCc1ccc(NC(=O)[C@H](C)Cc2c[nH]c[nH+]2)cc1 ZINC000567364492 1128563409 /nfs/dbraw/zinc/56/34/09/1128563409.db2.gz LHQVANYJURCOSR-CYBMUJFWSA-N 1 2 285.391 3.570 20 0 CHADLO CCCCc1ccc(NC(=O)[C@H](C)Cc2c[nH+]c[nH]2)cc1 ZINC000567364492 1128563410 /nfs/dbraw/zinc/56/34/10/1128563410.db2.gz LHQVANYJURCOSR-CYBMUJFWSA-N 1 2 285.391 3.570 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2ccc(C(C)(C)C)cc2)n1 ZINC000630344024 1128567026 /nfs/dbraw/zinc/56/70/26/1128567026.db2.gz LLWVCBBEKXWVEW-LBPRGKRZSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)n1 ZINC000630344024 1128567029 /nfs/dbraw/zinc/56/70/29/1128567029.db2.gz LLWVCBBEKXWVEW-LBPRGKRZSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccccc1[C@@H](C)c1noc(C[C@H](C)n2cc[nH+]c2)n1 ZINC000568009446 1128576243 /nfs/dbraw/zinc/57/62/43/1128576243.db2.gz DSFXHJLXBHFNCG-UONOGXRCSA-N 1 2 296.374 3.530 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@@H](C)c2ccnc(OC)c2)cs1 ZINC000568237658 1128580366 /nfs/dbraw/zinc/58/03/66/1128580366.db2.gz DAKGPUOSONPDBB-WDEREUQCSA-N 1 2 291.420 3.521 20 0 CHADLO CC1(C)CCC[C@@H](n2c[nH+]c(Cc3ccccc3)c2)C1=O ZINC000919149726 1128592211 /nfs/dbraw/zinc/59/22/11/1128592211.db2.gz DEBKQLFIXPPYCX-MRXNPFEDSA-N 1 2 282.387 3.794 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@@H]1CCCc3[nH]ncc31)CCC2 ZINC000352609428 1128603572 /nfs/dbraw/zinc/60/35/72/1128603572.db2.gz UAXAGVUCWMSRHS-IAGOWNOFSA-N 1 2 281.403 3.763 20 0 CHADLO COc1ccc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000131119949 1128605890 /nfs/dbraw/zinc/60/58/90/1128605890.db2.gz FGCJZZWBJSDAHP-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)cn1 ZINC000131119949 1128605892 /nfs/dbraw/zinc/60/58/92/1128605892.db2.gz FGCJZZWBJSDAHP-NSHDSACASA-N 1 2 292.329 3.561 20 0 CHADLO C[C@H]([NH2+]Cc1conc1Cc1ccccc1)c1nccs1 ZINC000570379642 1128622510 /nfs/dbraw/zinc/62/25/10/1128622510.db2.gz RLTJNSIZDNSWIC-LBPRGKRZSA-N 1 2 299.399 3.573 20 0 CHADLO CC(C)CCc1noc(C[N@@H+]2CCCc3ccccc3C2)n1 ZINC000498942225 1128623232 /nfs/dbraw/zinc/62/32/32/1128623232.db2.gz UANRPXYPMDKKDS-UHFFFAOYSA-N 1 2 299.418 3.607 20 0 CHADLO CC(C)CCc1noc(C[N@H+]2CCCc3ccccc3C2)n1 ZINC000498942225 1128623234 /nfs/dbraw/zinc/62/32/34/1128623234.db2.gz UANRPXYPMDKKDS-UHFFFAOYSA-N 1 2 299.418 3.607 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@](F)(c3ccccc3)C2)s1 ZINC000570415051 1128623492 /nfs/dbraw/zinc/62/34/92/1128623492.db2.gz OISQSTQVGRDJAU-OAHLLOKOSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@](F)(c3ccccc3)C2)s1 ZINC000570415051 1128623497 /nfs/dbraw/zinc/62/34/97/1128623497.db2.gz OISQSTQVGRDJAU-OAHLLOKOSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1ccc(C)c([C@H](C)N(C)C(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000570727024 1128630600 /nfs/dbraw/zinc/63/06/00/1128630600.db2.gz JIOMCQTXEKUSJV-HOTGVXAUSA-N 1 2 299.418 3.671 20 0 CHADLO Clc1ccccc1[C@@H]1COCC[N@@H+]1[C@H]1CCCSC1 ZINC001257681114 1128637402 /nfs/dbraw/zinc/63/74/02/1128637402.db2.gz HLNWMKRPNSSHCC-WFASDCNBSA-N 1 2 297.851 3.609 20 0 CHADLO Clc1ccccc1[C@@H]1COCC[N@H+]1[C@H]1CCCSC1 ZINC001257681114 1128637403 /nfs/dbraw/zinc/63/74/03/1128637403.db2.gz HLNWMKRPNSSHCC-WFASDCNBSA-N 1 2 297.851 3.609 20 0 CHADLO CCOc1cccc(C[N@H+](C)Cc2c(F)cccc2F)c1 ZINC000571889186 1128639588 /nfs/dbraw/zinc/63/95/88/1128639588.db2.gz FYEMFZATUDLHAN-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1cccc(C[N@@H+](C)Cc2c(F)cccc2F)c1 ZINC000571889186 1128639594 /nfs/dbraw/zinc/63/95/94/1128639594.db2.gz FYEMFZATUDLHAN-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC(C)(C)CCC[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000571856258 1128639807 /nfs/dbraw/zinc/63/98/07/1128639807.db2.gz QZLLFQXQLXKTTD-CQSZACIVSA-N 1 2 278.444 3.842 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1ccn[nH]1)C1CCCC1 ZINC000572339484 1128644689 /nfs/dbraw/zinc/64/46/89/1128644689.db2.gz DXZLTBUUXKEGHG-QGZVFWFLSA-N 1 2 269.392 3.739 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[N@H+](C)Cc1ccccc1 ZINC000073858292 1128648998 /nfs/dbraw/zinc/64/89/98/1128648998.db2.gz TWCAZVYRQNUYRC-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](c1nc(C(C)(C)C)no1)[N@@H+](C)Cc1ccccc1 ZINC000073858292 1128648999 /nfs/dbraw/zinc/64/89/99/1128648999.db2.gz TWCAZVYRQNUYRC-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2cc(C)ccc2OC)cs1 ZINC000073893227 1128649493 /nfs/dbraw/zinc/64/94/93/1128649493.db2.gz JIVYIWMCTGLSGJ-LBPRGKRZSA-N 1 2 290.432 3.873 20 0 CHADLO CC[C@@H]([NH2+]C1CC(c2ccccc2)C1)C(=O)OC(C)(C)C ZINC000573230482 1128652293 /nfs/dbraw/zinc/65/22/93/1128652293.db2.gz MSTUKFIZCGWNEX-UYSNPLJNSA-N 1 2 289.419 3.643 20 0 CHADLO CC(C)CC(=O)Nc1ccccc1-c1[nH+]cc2n1CCCC2 ZINC000557036168 1128661245 /nfs/dbraw/zinc/66/12/45/1128661245.db2.gz HZGYHLSLKDUOJI-UHFFFAOYSA-N 1 2 297.402 3.871 20 0 CHADLO C[NH+](C)c1ccc(NCc2cc(F)c(F)cc2F)cc1 ZINC000157685327 1128660425 /nfs/dbraw/zinc/66/04/25/1128660425.db2.gz PCZCDTBSAFCZFR-UHFFFAOYSA-N 1 2 280.293 3.782 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3ccsc3C2)cc1F ZINC000132674742 1128660533 /nfs/dbraw/zinc/66/05/33/1128660533.db2.gz YWWMNLTWCCDPJW-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3ccsc3C2)cc1F ZINC000132674742 1128660536 /nfs/dbraw/zinc/66/05/36/1128660536.db2.gz YWWMNLTWCCDPJW-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO O=C(/C=C\c1ccccc1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000047514898 1128671387 /nfs/dbraw/zinc/67/13/87/1128671387.db2.gz LVKJDTQOCMDXOX-KTKRTIGZSA-N 1 2 289.338 3.524 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2nnc(C(C)(C)C)o2)cc1 ZINC000047959730 1128675454 /nfs/dbraw/zinc/67/54/54/1128675454.db2.gz BQFQMSILHQQZCS-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2nnc(C(C)(C)C)o2)cc1 ZINC000047959730 1128675458 /nfs/dbraw/zinc/67/54/58/1128675458.db2.gz BQFQMSILHQQZCS-ZDUSSCGKSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2ncc(Cl)n2C)cc1 ZINC000047960295 1128676376 /nfs/dbraw/zinc/67/63/76/1128676376.db2.gz CDOBRUDQGLIPSC-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2ncc(Cl)n2C)cc1 ZINC000047960295 1128676379 /nfs/dbraw/zinc/67/63/79/1128676379.db2.gz CDOBRUDQGLIPSC-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCc2cc(Br)ccc21 ZINC000378754165 1128718961 /nfs/dbraw/zinc/71/89/61/1128718961.db2.gz QVBZNZOMCNYGNR-NSHDSACASA-N 1 2 290.151 3.681 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cc3ccccc3o2)[nH]c1C ZINC000578550497 1128720960 /nfs/dbraw/zinc/72/09/60/1128720960.db2.gz HHYSHHRRJFNXTH-GFCCVEGCSA-N 1 2 269.348 3.624 20 0 CHADLO Cc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000035038754 1117762050 /nfs/dbraw/zinc/76/20/50/1117762050.db2.gz XIYIERVXMDVHKD-UHFFFAOYSA-N 1 2 263.344 3.793 20 0 CHADLO Cc1cc(CNC(=O)c2ccc(C3CC3)cc2)cc(C)[nH+]1 ZINC000616363210 1117776314 /nfs/dbraw/zinc/77/63/14/1117776314.db2.gz LDDMACOKLCHKSP-UHFFFAOYSA-N 1 2 280.371 3.506 20 0 CHADLO CC(C)C[C@@H]1OCCC[C@@H]1[NH2+]Cc1cccc(Cl)c1O ZINC000187679281 1117780941 /nfs/dbraw/zinc/78/09/41/1117780941.db2.gz FTYMCRWGKUHXMX-GJZGRUSLSA-N 1 2 297.826 3.729 20 0 CHADLO Cc1cc(C)c(Nc2cc(-c3cccnc3)ccn2)c[nH+]1 ZINC001213503749 1117807154 /nfs/dbraw/zinc/80/71/54/1117807154.db2.gz WJAYIIOQESDQKG-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO Clc1cc2cccnc2c(CNc2cccc[nH+]2)c1 ZINC000131161947 1117831944 /nfs/dbraw/zinc/83/19/44/1117831944.db2.gz RWGOPHJAJKTCJX-UHFFFAOYSA-N 1 2 269.735 3.895 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2ccccc2OC)cs1 ZINC000111406292 1117841276 /nfs/dbraw/zinc/84/12/76/1117841276.db2.gz PJEQUTHTFRXDOE-NSHDSACASA-N 1 2 276.405 3.565 20 0 CHADLO CC(C)c1cccc(Nc2ccc3c(c2)NCC3)[nH+]1 ZINC001213148387 1117842806 /nfs/dbraw/zinc/84/28/06/1117842806.db2.gz YWONSCTZAYTODH-UHFFFAOYSA-N 1 2 253.349 3.917 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CC[N@H+](C)C(C)(C)C1)c1ccc(F)cc1F ZINC000623426459 1117854318 /nfs/dbraw/zinc/85/43/18/1117854318.db2.gz MNRNYZOXSRKUGD-CJNGLKHVSA-N 1 2 296.405 3.878 20 0 CHADLO Cc1cc(C)c(Nc2ccccc2C(=O)NC(C)(C)C)c[nH+]1 ZINC001213513346 1117872161 /nfs/dbraw/zinc/87/21/61/1117872161.db2.gz DRYBZEZAZYLUOM-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO CC(C)CCCC(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC001125233926 1117881601 /nfs/dbraw/zinc/88/16/01/1117881601.db2.gz LEQQZAJMBDXPHU-UHFFFAOYSA-N 1 2 289.354 3.776 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1cccc(OC(C)C)c1 ZINC000623679615 1117887418 /nfs/dbraw/zinc/88/74/18/1117887418.db2.gz BJJFFXSMMJWSLA-UHFFFAOYSA-N 1 2 257.324 3.609 20 0 CHADLO CC[C@H]([NH2+]Cc1ccon1)c1ccccc1OC(F)F ZINC000178263306 1117890688 /nfs/dbraw/zinc/89/06/88/1117890688.db2.gz JGJIBQCQLICFMK-LBPRGKRZSA-N 1 2 282.290 3.517 20 0 CHADLO CC(C)(CC[N@@H+]1CCC(F)(F)C1)c1ccccc1 ZINC001208663935 1117901210 /nfs/dbraw/zinc/90/12/10/1117901210.db2.gz QOSZRMPZVMMJOW-UHFFFAOYSA-N 1 2 253.336 3.695 20 0 CHADLO CC(C)(CC[N@H+]1CCC(F)(F)C1)c1ccccc1 ZINC001208663935 1117901213 /nfs/dbraw/zinc/90/12/13/1117901213.db2.gz QOSZRMPZVMMJOW-UHFFFAOYSA-N 1 2 253.336 3.695 20 0 CHADLO CC[C@](C)(NCc1[nH+]cc(C)c(OC)c1C)C(F)(F)F ZINC000533389353 1117909933 /nfs/dbraw/zinc/90/99/33/1117909933.db2.gz OIXBLQZZEHXQAN-ZDUSSCGKSA-N 1 2 290.329 3.528 20 0 CHADLO Fc1cccc(C2([NH2+]Cc3nc4ccccc4o3)CC2)c1 ZINC000179696427 1117910878 /nfs/dbraw/zinc/91/08/78/1117910878.db2.gz ITOKYNMQMOQALH-UHFFFAOYSA-N 1 2 282.318 3.746 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2nc(C3CCCC3)no2)C2CC2)cc1 ZINC000179687870 1117911017 /nfs/dbraw/zinc/91/10/17/1117911017.db2.gz AYWWPNQPLSULCU-QGZVFWFLSA-N 1 2 297.402 3.968 20 0 CHADLO Cc1nc([C@@H](C)Nc2ccc([NH+](C)C)cc2)c(C)s1 ZINC000036335126 1117911306 /nfs/dbraw/zinc/91/13/06/1117911306.db2.gz JPKHVMBSJGOILQ-SNVBAGLBSA-N 1 2 275.421 3.999 20 0 CHADLO C[C@@H](CNc1ccc([NH+](C)C)cc1)c1ccccc1 ZINC000036335134 1117913031 /nfs/dbraw/zinc/91/30/31/1117913031.db2.gz ICDVDVDBNFBNKW-AWEZNQCLSA-N 1 2 254.377 3.968 20 0 CHADLO C[C@@H](C[NH2+]c1ccc(N(C)C)cc1)c1ccccc1 ZINC000036335134 1117913035 /nfs/dbraw/zinc/91/30/35/1117913035.db2.gz ICDVDVDBNFBNKW-AWEZNQCLSA-N 1 2 254.377 3.968 20 0 CHADLO FC1(F)C[N@H+](CCC2CC2)CC[C@H]1c1ccccc1 ZINC001208685570 1117913538 /nfs/dbraw/zinc/91/35/38/1117913538.db2.gz BNIMTEZRLFOIIN-HNNXBMFYSA-N 1 2 265.347 3.911 20 0 CHADLO FC1(F)C[N@@H+](CCC2CC2)CC[C@H]1c1ccccc1 ZINC001208685570 1117913542 /nfs/dbraw/zinc/91/35/42/1117913542.db2.gz BNIMTEZRLFOIIN-HNNXBMFYSA-N 1 2 265.347 3.911 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]C/C(Cl)=C\Cl ZINC000254968012 1117921665 /nfs/dbraw/zinc/92/16/65/1117921665.db2.gz IIZYTHVQKPVOIO-CSKARUKUSA-N 1 2 274.191 3.839 20 0 CHADLO CC(C)[C@@H]1CC[C@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000579336228 1128745497 /nfs/dbraw/zinc/74/54/97/1128745497.db2.gz HMPAORWWDQOGEO-LSDHHAIUSA-N 1 2 259.397 3.528 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2nccc(C)n2)o1 ZINC000339662510 1117948932 /nfs/dbraw/zinc/94/89/32/1117948932.db2.gz KASQHAKFWKVZBD-SWLSCSKDSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2nccc(C)n2)o1 ZINC000339662510 1117948934 /nfs/dbraw/zinc/94/89/34/1117948934.db2.gz KASQHAKFWKVZBD-SWLSCSKDSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2Cc2nccc(C)n2)o1 ZINC000339662507 1117949041 /nfs/dbraw/zinc/94/90/41/1117949041.db2.gz KASQHAKFWKVZBD-DOMZBBRYSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2Cc2nccc(C)n2)o1 ZINC000339662507 1117949044 /nfs/dbraw/zinc/94/90/44/1117949044.db2.gz KASQHAKFWKVZBD-DOMZBBRYSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1csc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)n1 ZINC000623942431 1117959669 /nfs/dbraw/zinc/95/96/69/1117959669.db2.gz JKDLGJIGOGRPAO-LBPRGKRZSA-N 1 2 288.420 3.620 20 0 CHADLO C[N@H+](CCc1ccc(F)cc1)C/C(Cl)=C\Cl ZINC000255014144 1117978683 /nfs/dbraw/zinc/97/86/83/1117978683.db2.gz PZQKZBCBXQPUAQ-DHZHZOJOSA-N 1 2 262.155 3.619 20 0 CHADLO C[N@@H+](CCc1ccc(F)cc1)C/C(Cl)=C\Cl ZINC000255014144 1117978686 /nfs/dbraw/zinc/97/86/86/1117978686.db2.gz PZQKZBCBXQPUAQ-DHZHZOJOSA-N 1 2 262.155 3.619 20 0 CHADLO Clc1cccc(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)c1 ZINC000510144036 1117985657 /nfs/dbraw/zinc/98/56/57/1117985657.db2.gz OYDHDTVXRBJPBN-HNNXBMFYSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1cccc(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)c1 ZINC000510144036 1117985659 /nfs/dbraw/zinc/98/56/59/1117985659.db2.gz OYDHDTVXRBJPBN-HNNXBMFYSA-N 1 2 275.783 3.790 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](C)c2oc3ccccc3c2C)s1 ZINC000356787979 1118008978 /nfs/dbraw/zinc/00/89/78/1118008978.db2.gz VUWMAJWTEFKQNM-JTQLQIEISA-N 1 2 287.388 3.752 20 0 CHADLO C[C@@H]([NH2+]Cc1cn2ccsc2n1)c1cccc(F)c1F ZINC000181999549 1118011739 /nfs/dbraw/zinc/01/17/39/1118011739.db2.gz QTELFAFJQOCADT-SECBINFHSA-N 1 2 293.342 3.525 20 0 CHADLO CC[C@@H](C)[C@H](C)[NH2+]c1ccc(N2CCOCC2)cc1C ZINC000182612954 1118025408 /nfs/dbraw/zinc/02/54/08/1118025408.db2.gz JWZRLRUZYXFYPG-HIFRSBDPSA-N 1 2 276.424 3.678 20 0 CHADLO Cc1cc(N2CCOCC2)ccc1[NH2+][C@H](C)C1CCCC1 ZINC000182610387 1118025611 /nfs/dbraw/zinc/02/56/11/1118025611.db2.gz VRKWDHRCTGRQRI-OAHLLOKOSA-N 1 2 288.435 3.822 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001209425513 1118049265 /nfs/dbraw/zinc/04/92/65/1118049265.db2.gz FEDLIYNFSNXSSQ-YUELXQCFSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]1C[C@@H]1C[N@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001209425513 1118049269 /nfs/dbraw/zinc/04/92/69/1118049269.db2.gz FEDLIYNFSNXSSQ-YUELXQCFSA-N 1 2 265.347 3.767 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(CSC(F)F)o2)C[C@H]1F ZINC000779150930 1128752731 /nfs/dbraw/zinc/75/27/31/1128752731.db2.gz TYJHFIQBZJXONN-BXKDBHETSA-N 1 2 293.354 3.915 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(CSC(F)F)o2)C[C@H]1F ZINC000779150930 1128752735 /nfs/dbraw/zinc/75/27/35/1128752735.db2.gz TYJHFIQBZJXONN-BXKDBHETSA-N 1 2 293.354 3.915 20 0 CHADLO Cc1ccc(C2=CC[N@H+](Cc3nnc(C4CC4)o3)CC2)cc1 ZINC000139650716 1118065598 /nfs/dbraw/zinc/06/55/98/1118065598.db2.gz QZGNZNKUANXQDN-UHFFFAOYSA-N 1 2 295.386 3.545 20 0 CHADLO Cc1ccc(C2=CC[N@@H+](Cc3nnc(C4CC4)o3)CC2)cc1 ZINC000139650716 1118065602 /nfs/dbraw/zinc/06/56/02/1118065602.db2.gz QZGNZNKUANXQDN-UHFFFAOYSA-N 1 2 295.386 3.545 20 0 CHADLO Cc1csc(C[NH2+][C@@H](c2ccccc2)c2ccncc2)n1 ZINC000038090961 1118076367 /nfs/dbraw/zinc/07/63/67/1118076367.db2.gz MYRVDOMLYXIQOQ-KRWDZBQOSA-N 1 2 295.411 3.726 20 0 CHADLO Cc1ccccc1C[N@H+](CC(=O)c1ccccc1F)C1CC1 ZINC001167226910 1118107569 /nfs/dbraw/zinc/10/75/69/1118107569.db2.gz DOAUPJXASGKYJH-UHFFFAOYSA-N 1 2 297.373 3.981 20 0 CHADLO Cc1ccccc1C[N@@H+](CC(=O)c1ccccc1F)C1CC1 ZINC001167226910 1118107571 /nfs/dbraw/zinc/10/75/71/1118107571.db2.gz DOAUPJXASGKYJH-UHFFFAOYSA-N 1 2 297.373 3.981 20 0 CHADLO C[C@H]([NH2+]Cc1nc(-c2ccccc2)no1)c1ccccc1 ZINC000119959440 1118110135 /nfs/dbraw/zinc/11/01/35/1118110135.db2.gz NGWTXXQOEOPCED-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO C[N@@H+](Cc1ccc(C(C)(C)C)cc1)CN1CCSC1=O ZINC000068128300 1128757125 /nfs/dbraw/zinc/75/71/25/1128757125.db2.gz PJSITPDHVHSSKK-UHFFFAOYSA-N 1 2 292.448 3.542 20 0 CHADLO C[N@H+](Cc1ccc(C(C)(C)C)cc1)CN1CCSC1=O ZINC000068128300 1128757126 /nfs/dbraw/zinc/75/71/26/1128757126.db2.gz PJSITPDHVHSSKK-UHFFFAOYSA-N 1 2 292.448 3.542 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2ncc(C(C)C)s2)c1 ZINC000650242582 1118189542 /nfs/dbraw/zinc/18/95/42/1118189542.db2.gz PKPZZYDBOXWHNB-UHFFFAOYSA-N 1 2 261.394 3.890 20 0 CHADLO CCSc1cccc(CNc2cc(COC)cc[nH+]2)c1 ZINC000650243920 1118193300 /nfs/dbraw/zinc/19/33/00/1118193300.db2.gz VDDPNUNDZMVVAP-UHFFFAOYSA-N 1 2 288.416 3.952 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+][C@@H]1CCCn2ccnc21 ZINC000655769766 1118204642 /nfs/dbraw/zinc/20/46/42/1118204642.db2.gz OLOMUKLQTDDPSI-MRXNPFEDSA-N 1 2 285.366 3.524 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+]Cc1cc2n(n1)CCCC2 ZINC000655776275 1118207114 /nfs/dbraw/zinc/20/71/14/1118207114.db2.gz FHHVJGIXZKZKJV-UHFFFAOYSA-N 1 2 299.393 3.526 20 0 CHADLO CNc1ccc(Nc2ccc(-c3nccs3)cc2)c[nH+]1 ZINC001203457916 1118215127 /nfs/dbraw/zinc/21/51/27/1118215127.db2.gz DFPHGBVRAXFZNL-UHFFFAOYSA-N 1 2 282.372 3.990 20 0 CHADLO CNc1ccc(Nc2cc(F)ccc2OCC(F)F)c[nH+]1 ZINC001203458294 1118220607 /nfs/dbraw/zinc/22/06/07/1118220607.db2.gz JASPGMDZNDMRQI-UHFFFAOYSA-N 1 2 297.280 3.650 20 0 CHADLO Cc1[nH+]cc(Nc2cc(-c3ccc(F)cc3)no2)cc1N ZINC001210286714 1118232694 /nfs/dbraw/zinc/23/26/94/1118232694.db2.gz FEISEXFQGDQEAW-UHFFFAOYSA-N 1 2 284.294 3.510 20 0 CHADLO Cc1cc([NH2+][C@H](C2CCC2)[C@H]2CCOC2)ccc1N(C)C ZINC000926052403 1118235087 /nfs/dbraw/zinc/23/50/87/1118235087.db2.gz FTIIOXOGDVMUQQ-MAUKXSAKSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@H](C2CCC2)[C@H]2CCOC2)ccc1[NH+](C)C ZINC000926052403 1118235090 /nfs/dbraw/zinc/23/50/90/1118235090.db2.gz FTIIOXOGDVMUQQ-MAUKXSAKSA-N 1 2 288.435 3.678 20 0 CHADLO CC(C)Cc1noc(C[N@H+](Cc2ccccc2)C(C)C)n1 ZINC000042684072 1118237919 /nfs/dbraw/zinc/23/79/19/1118237919.db2.gz BDXGACXNDOYLAV-UHFFFAOYSA-N 1 2 287.407 3.679 20 0 CHADLO CC(C)Cc1noc(C[N@@H+](Cc2ccccc2)C(C)C)n1 ZINC000042684072 1118237924 /nfs/dbraw/zinc/23/79/24/1118237924.db2.gz BDXGACXNDOYLAV-UHFFFAOYSA-N 1 2 287.407 3.679 20 0 CHADLO CC(C)n1ccc(Nc2ccc[nH+]c2N2CCCCC2)n1 ZINC001210336303 1118250498 /nfs/dbraw/zinc/25/04/98/1118250498.db2.gz REZFUIJLDMGMHJ-UHFFFAOYSA-N 1 2 285.395 3.593 20 0 CHADLO CC[C@H](C)[C@@H](C)[NH2+]c1ccc(N2CCOC[C@@H]2C)cc1 ZINC000179945177 1128768549 /nfs/dbraw/zinc/76/85/49/1128768549.db2.gz NVIPJGZHJKFNFF-SOUVJXGZSA-N 1 2 276.424 3.758 20 0 CHADLO Cc1ccc([C@H]([NH2+][C@H](C)c2cn[nH]c2)c2ccccn2)cc1 ZINC000340504002 1118309966 /nfs/dbraw/zinc/30/99/66/1118309966.db2.gz YWTTXWZXENDSAJ-KDOFPFPSSA-N 1 2 292.386 3.553 20 0 CHADLO CCCCC1CCC(Nc2[nH+]cnc3c2cnn3C)CC1 ZINC000063255034 1118313975 /nfs/dbraw/zinc/31/39/75/1118313975.db2.gz ZQMWIQXNEZBRDG-UHFFFAOYSA-N 1 2 287.411 3.524 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncn(-c2ccccc2)n1)c1ccccc1 ZINC000340528384 1118319336 /nfs/dbraw/zinc/31/93/36/1118319336.db2.gz MNZCMACEFQNYHH-QGZVFWFLSA-N 1 2 292.386 3.508 20 0 CHADLO Fc1ccccc1[C@@H](F)C1CC[NH+](Cc2cocn2)CC1 ZINC000348639858 1118323682 /nfs/dbraw/zinc/32/36/82/1118323682.db2.gz ZSRIPDMTBOWMLD-INIZCTEOSA-N 1 2 292.329 3.737 20 0 CHADLO CCOC(=O)c1c[nH+]c2ccccc2c1N1CCC[C@H](C)C1 ZINC000065226361 1118332639 /nfs/dbraw/zinc/33/26/39/1118332639.db2.gz ZDQCCOAUPZHSRH-ZDUSSCGKSA-N 1 2 298.386 3.648 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H]2CCCc3nc(C)sc32)s1 ZINC000180585414 1118334920 /nfs/dbraw/zinc/33/49/20/1118334920.db2.gz YTYLDOVCVUJAJT-LBPRGKRZSA-N 1 2 293.461 3.726 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H]2CCCc3nc(C)sc32)s1 ZINC000180585414 1118334924 /nfs/dbraw/zinc/33/49/24/1118334924.db2.gz YTYLDOVCVUJAJT-LBPRGKRZSA-N 1 2 293.461 3.726 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1ccc(F)nc1 ZINC000189287907 1128770752 /nfs/dbraw/zinc/77/07/52/1128770752.db2.gz KCEVCVUOXFDOEC-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1ccc(F)nc1 ZINC000189287907 1128770755 /nfs/dbraw/zinc/77/07/55/1128770755.db2.gz KCEVCVUOXFDOEC-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(C)cc2C)cs1 ZINC000042627502 1118336326 /nfs/dbraw/zinc/33/63/26/1118336326.db2.gz MJTYQIRKZZGZKG-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(C)cc2C)cs1 ZINC000042627502 1118336321 /nfs/dbraw/zinc/33/63/21/1118336321.db2.gz MJTYQIRKZZGZKG-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO Fc1ccc([C@H]2C[N@H+](Cc3ccccc3)CCO2)cc1F ZINC000066850901 1118348636 /nfs/dbraw/zinc/34/86/36/1118348636.db2.gz CTURRUXNBFICBW-QGZVFWFLSA-N 1 2 289.325 3.538 20 0 CHADLO Fc1ccc([C@H]2C[N@@H+](Cc3ccccc3)CCO2)cc1F ZINC000066850901 1118348642 /nfs/dbraw/zinc/34/86/42/1118348642.db2.gz CTURRUXNBFICBW-QGZVFWFLSA-N 1 2 289.325 3.538 20 0 CHADLO CCc1ccc(C[NH2+]C2(c3noc(C)n3)CCCCC2)cc1 ZINC000067694690 1118354497 /nfs/dbraw/zinc/35/44/97/1118354497.db2.gz QCWOSGYKICSSJL-UHFFFAOYSA-N 1 2 299.418 3.890 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](C)c2c(F)cncc2F)c(C)n1 ZINC000671770776 1118365412 /nfs/dbraw/zinc/36/54/12/1118365412.db2.gz RHKCIAAQULMKPF-VXGBXAGGSA-N 1 2 291.345 3.783 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1cc(F)c(F)c(F)c1)C2 ZINC001204527801 1118391468 /nfs/dbraw/zinc/39/14/68/1118391468.db2.gz YVUMIXWAORZIEI-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1cc(F)c(F)c(F)c1)C2 ZINC001204527801 1118391473 /nfs/dbraw/zinc/39/14/73/1118391473.db2.gz YVUMIXWAORZIEI-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO CCC[C@H]([NH2+]Cc1cn2c(n1)CCCC2)c1cc(C)ccn1 ZINC000631574503 1118392738 /nfs/dbraw/zinc/39/27/38/1118392738.db2.gz IDPCCZSMFYCANW-INIZCTEOSA-N 1 2 298.434 3.554 20 0 CHADLO COc1cccc(C[NH2+][C@H](C)c2nc3ccccc3s2)n1 ZINC000765778814 1118400073 /nfs/dbraw/zinc/40/00/73/1118400073.db2.gz CIBWLOSVBRPFGX-LLVKDONJSA-N 1 2 299.399 3.551 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@H](C)c2ncc(C)o2)cc1 ZINC000220900152 1118419220 /nfs/dbraw/zinc/41/92/20/1118419220.db2.gz LQQPYOZUXMJKQK-CHWSQXEVSA-N 1 2 274.364 3.794 20 0 CHADLO CCCn1nccc1Nc1cccc2cc[nH+]cc21 ZINC001210917158 1118421358 /nfs/dbraw/zinc/42/13/58/1118421358.db2.gz QDMNMTIGMLRWPT-UHFFFAOYSA-N 1 2 252.321 3.585 20 0 CHADLO Cc1c[nH+]c(CCNc2ncnc3c(C)cccc23)c(C)c1 ZINC000656210801 1118445714 /nfs/dbraw/zinc/44/57/14/1118445714.db2.gz GNZLUVAVOLLXCP-UHFFFAOYSA-N 1 2 292.386 3.605 20 0 CHADLO COc1nccc(Nc2ccc3c(C)cc[nH+]c3c2)c1OC ZINC001211087896 1118461002 /nfs/dbraw/zinc/46/10/02/1118461002.db2.gz QIJNLYCRNWIYDC-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO FC(F)(F)Oc1ccc(CNc2cccc[nH+]2)cc1 ZINC000083496731 1118471911 /nfs/dbraw/zinc/47/19/11/1118471911.db2.gz IBHAMEZAMUNIIU-UHFFFAOYSA-N 1 2 268.238 3.592 20 0 CHADLO Oc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)ccc1F ZINC001211216979 1118480620 /nfs/dbraw/zinc/48/06/20/1118480620.db2.gz BNRUUFXCOVMRBC-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(C)cc2Cl)C[C@H](C)O1 ZINC001167420378 1118481571 /nfs/dbraw/zinc/48/15/71/1118481571.db2.gz CIUKPAOQHRTXAN-GXTWGEPZSA-N 1 2 267.800 3.648 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(C)cc2Cl)C[C@H](C)O1 ZINC001167420378 1118481572 /nfs/dbraw/zinc/48/15/72/1118481572.db2.gz CIUKPAOQHRTXAN-GXTWGEPZSA-N 1 2 267.800 3.648 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1scnc1C1CC1)C2 ZINC000656274933 1118493441 /nfs/dbraw/zinc/49/34/41/1118493441.db2.gz HGTBXNMVZBAXOH-UHFFFAOYSA-N 1 2 286.400 3.545 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1scnc1C1CC1)C2 ZINC000656274933 1118493443 /nfs/dbraw/zinc/49/34/43/1118493443.db2.gz HGTBXNMVZBAXOH-UHFFFAOYSA-N 1 2 286.400 3.545 20 0 CHADLO Clc1ccc(Nc2cccc3[nH+]ccn32)c(Cl)n1 ZINC001211271859 1118494870 /nfs/dbraw/zinc/49/48/70/1118494870.db2.gz SIJSPNLDJQASBU-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO COC(Cc1ccccc1Nc1c[nH+]c(C)cc1C)OC ZINC001213515915 1118512741 /nfs/dbraw/zinc/51/27/41/1118512741.db2.gz SBLMMFXSYWCTFA-UHFFFAOYSA-N 1 2 286.375 3.603 20 0 CHADLO c1cc2c(o1)CCC[N@@H+](Cc1cnc(-c3ccccc3)[nH]1)C2 ZINC000580918889 1118522087 /nfs/dbraw/zinc/52/20/87/1118522087.db2.gz JNITVTPEVAAGTI-UHFFFAOYSA-N 1 2 293.370 3.618 20 0 CHADLO c1cc2c(o1)CCC[N@H+](Cc1cnc(-c3ccccc3)[nH]1)C2 ZINC000580918889 1118522089 /nfs/dbraw/zinc/52/20/89/1118522089.db2.gz JNITVTPEVAAGTI-UHFFFAOYSA-N 1 2 293.370 3.618 20 0 CHADLO c1cc2c(o1)CCC[N@@H+](Cc1c[nH]c(-c3ccccc3)n1)C2 ZINC000580918889 1118522090 /nfs/dbraw/zinc/52/20/90/1118522090.db2.gz JNITVTPEVAAGTI-UHFFFAOYSA-N 1 2 293.370 3.618 20 0 CHADLO c1cc2c(o1)CCC[N@H+](Cc1c[nH]c(-c3ccccc3)n1)C2 ZINC000580918889 1118522093 /nfs/dbraw/zinc/52/20/93/1118522093.db2.gz JNITVTPEVAAGTI-UHFFFAOYSA-N 1 2 293.370 3.618 20 0 CHADLO CC(=O)c1cc(C)ccc1Nc1c[nH+]c(C)cc1C ZINC001213521054 1118523066 /nfs/dbraw/zinc/52/30/66/1118523066.db2.gz QRGSRJXNGAMKBH-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)c(O)cc2F)cc1 ZINC001215576943 1118530410 /nfs/dbraw/zinc/53/04/10/1118530410.db2.gz OFVMNKAJMNEDQR-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)c(O)cc2F)cc1 ZINC001215576943 1118530412 /nfs/dbraw/zinc/53/04/12/1118530412.db2.gz OFVMNKAJMNEDQR-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO COc1ccc(C[N@H+](C)[C@H](C)c2cccnc2)c(Cl)c1 ZINC000127745235 1118531201 /nfs/dbraw/zinc/53/12/01/1118531201.db2.gz YFULMGRCRMUZGQ-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@H](C)c2cccnc2)c(Cl)c1 ZINC000127745235 1118531204 /nfs/dbraw/zinc/53/12/04/1118531204.db2.gz YFULMGRCRMUZGQ-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1c[nH+]cc(Nc2cn3c(cccc3Cl)n2)c1C ZINC001213528659 1118542050 /nfs/dbraw/zinc/54/20/50/1118542050.db2.gz KKTQGIWIYMSROU-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO c1ccc([C@H]2CCC[N@@H+](Cc3noc(C4CCC4)n3)C2)cc1 ZINC000625447388 1118542077 /nfs/dbraw/zinc/54/20/77/1118542077.db2.gz OACAZWPGBHDRJO-INIZCTEOSA-N 1 2 297.402 3.717 20 0 CHADLO c1ccc([C@H]2CCC[N@H+](Cc3noc(C4CCC4)n3)C2)cc1 ZINC000625447388 1118542081 /nfs/dbraw/zinc/54/20/81/1118542081.db2.gz OACAZWPGBHDRJO-INIZCTEOSA-N 1 2 297.402 3.717 20 0 CHADLO Cc1c[nH+]cc(Nc2c(F)cc(F)cc2F)c1C ZINC001213531078 1118547156 /nfs/dbraw/zinc/54/71/56/1118547156.db2.gz UXCBCRDIDTXAHL-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(Cl)cc(CO)c2)c1C ZINC001213532354 1118548725 /nfs/dbraw/zinc/54/87/25/1118548725.db2.gz DKPXSWRULUUUNQ-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1c[nH+]cc(Nc2ccccc2P(C)(C)=O)c1C ZINC001213532408 1118549721 /nfs/dbraw/zinc/54/97/21/1118549721.db2.gz GQPFLJSMALBXLW-UHFFFAOYSA-N 1 2 274.304 3.690 20 0 CHADLO Cc1noc2ccc(Nc3c[nH+]cc(C)c3C)cc12 ZINC001213532557 1118550680 /nfs/dbraw/zinc/55/06/80/1118550680.db2.gz OABRMNYGOOATFI-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO Cc1cc(C)c(CNC(=O)CCCCc2ccccc2)c[nH+]1 ZINC000891369503 1118560505 /nfs/dbraw/zinc/56/05/05/1118560505.db2.gz CTKLECRYBDIUEL-UHFFFAOYSA-N 1 2 296.414 3.728 20 0 CHADLO C[C@@H](c1cn(-c2ccccc2)nn1)[N@H+](C)Cc1cccs1 ZINC000471647663 1118573699 /nfs/dbraw/zinc/57/36/99/1118573699.db2.gz HUAUETCCKBIWFK-ZDUSSCGKSA-N 1 2 298.415 3.522 20 0 CHADLO C[C@@H](c1cn(-c2ccccc2)nn1)[N@@H+](C)Cc1cccs1 ZINC000471647663 1118573700 /nfs/dbraw/zinc/57/37/00/1118573700.db2.gz HUAUETCCKBIWFK-ZDUSSCGKSA-N 1 2 298.415 3.522 20 0 CHADLO CCn1cnc2c1CC[N@H+](Cc1ccc3ccccc3c1)C2 ZINC000933259962 1118579673 /nfs/dbraw/zinc/57/96/73/1118579673.db2.gz VRFXNELCDYYPQR-UHFFFAOYSA-N 1 2 291.398 3.615 20 0 CHADLO CCn1cnc2c1CC[N@@H+](Cc1ccc3ccccc3c1)C2 ZINC000933259962 1118579677 /nfs/dbraw/zinc/57/96/77/1118579677.db2.gz VRFXNELCDYYPQR-UHFFFAOYSA-N 1 2 291.398 3.615 20 0 CHADLO CC(=O)[C@H]1CCC[N@H+](Cc2c(Cl)cccc2Cl)C1 ZINC000111690201 1118580425 /nfs/dbraw/zinc/58/04/25/1118580425.db2.gz NJVHDFPSVMKZPI-NSHDSACASA-N 1 2 286.202 3.794 20 0 CHADLO CC(=O)[C@H]1CCC[N@@H+](Cc2c(Cl)cccc2Cl)C1 ZINC000111690201 1118580427 /nfs/dbraw/zinc/58/04/27/1118580427.db2.gz NJVHDFPSVMKZPI-NSHDSACASA-N 1 2 286.202 3.794 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1ccc2c(n1)CCC2 ZINC000656531263 1118582459 /nfs/dbraw/zinc/58/24/59/1118582459.db2.gz MPABYDXGSHRMHV-UHFFFAOYSA-N 1 2 297.402 3.755 20 0 CHADLO Cc1nc(N[C@@H]2c3ccccc3O[C@H]2C)c2c([nH+]1)CCCC2 ZINC000341053262 1118599017 /nfs/dbraw/zinc/59/90/17/1118599017.db2.gz QBZXJCSAPVXBOA-GTNSWQLSSA-N 1 2 295.386 3.598 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)nc1 ZINC000341185862 1118612972 /nfs/dbraw/zinc/61/29/72/1118612972.db2.gz BKQJUDSGJYCNSV-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCc2c1cc(F)cc2F)c1cscn1 ZINC000656681282 1118634410 /nfs/dbraw/zinc/63/44/10/1118634410.db2.gz CNZLUIXLCJEOOO-ISVAXAHUSA-N 1 2 280.343 3.759 20 0 CHADLO Cc1cc(F)c(C[NH+]2CC3(CC(F)C3)C2)c(Cl)c1 ZINC000656693864 1118638203 /nfs/dbraw/zinc/63/82/03/1118638203.db2.gz VZZXYTCUXVMOKX-UHFFFAOYSA-N 1 2 271.738 3.721 20 0 CHADLO Cc1c[nH+]c(CNc2ccc3nc(C4CC4)sc3c2)n1C ZINC000341264954 1118644208 /nfs/dbraw/zinc/64/42/08/1118644208.db2.gz UMVUBHQBIKHJMO-UHFFFAOYSA-N 1 2 298.415 3.828 20 0 CHADLO c1c2cnccc2oc1CNc1c[nH+]cc2c1CCCC2 ZINC000656776826 1118656044 /nfs/dbraw/zinc/65/60/44/1118656044.db2.gz UEFIMDKBDDSQQV-UHFFFAOYSA-N 1 2 279.343 3.714 20 0 CHADLO CC(C)Oc1cccc(C[NH2+]C(C)(C)C(F)F)c1 ZINC000684413923 1118688018 /nfs/dbraw/zinc/68/80/18/1118688018.db2.gz LENLOMROEUYWKY-UHFFFAOYSA-N 1 2 257.324 3.607 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccccn2)nc(C2CCC2)[nH+]1 ZINC000891491917 1118693887 /nfs/dbraw/zinc/69/38/87/1118693887.db2.gz CZTBYNNKKHVPLS-LBPRGKRZSA-N 1 2 268.364 3.621 20 0 CHADLO Cn1ncc(C[N@H+](Cc2ccccc2F)C2CC2)c1C1CC1 ZINC000891516791 1118700494 /nfs/dbraw/zinc/70/04/94/1118700494.db2.gz PMKQWRXGVJMNFA-UHFFFAOYSA-N 1 2 299.393 3.601 20 0 CHADLO Cn1ncc(C[N@@H+](Cc2ccccc2F)C2CC2)c1C1CC1 ZINC000891516791 1118700497 /nfs/dbraw/zinc/70/04/97/1118700497.db2.gz PMKQWRXGVJMNFA-UHFFFAOYSA-N 1 2 299.393 3.601 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000341466635 1118714083 /nfs/dbraw/zinc/71/40/83/1118714083.db2.gz TUUJXKLLWIDCTP-ZDUSSCGKSA-N 1 2 267.376 3.566 20 0 CHADLO CCOc1cccc([C@@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000341493449 1118716550 /nfs/dbraw/zinc/71/65/50/1118716550.db2.gz XAPSHSMGWDHLGS-CYBMUJFWSA-N 1 2 297.402 3.656 20 0 CHADLO c1cn(C2CC2)c(C[N@@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000891618651 1118722736 /nfs/dbraw/zinc/72/27/36/1118722736.db2.gz SKWLYSAVTYIUOW-CQSZACIVSA-N 1 2 273.405 3.617 20 0 CHADLO c1cn(C2CC2)c(C[N@H+]2CCC[C@@H]2c2ccsc2)n1 ZINC000891618651 1118722740 /nfs/dbraw/zinc/72/27/40/1118722740.db2.gz SKWLYSAVTYIUOW-CQSZACIVSA-N 1 2 273.405 3.617 20 0 CHADLO Cc1cc([C@@H]2CCCN2c2cc(C)[nH+]c(C3CCC3)n2)no1 ZINC000891701903 1118746162 /nfs/dbraw/zinc/74/61/62/1118746162.db2.gz FXRLSKVWISZFNF-HNNXBMFYSA-N 1 2 298.390 3.690 20 0 CHADLO CN(Cc1ccccn1)c1cc[nH+]c2c(Cl)cccc12 ZINC000341589635 1118748795 /nfs/dbraw/zinc/74/87/95/1118748795.db2.gz CXSNJLGPKHBNDX-UHFFFAOYSA-N 1 2 283.762 3.920 20 0 CHADLO CCCc1ccc(CCCC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC001266883071 1118767933 /nfs/dbraw/zinc/76/79/33/1118767933.db2.gz NBCLFGSLDPIFFS-UHFFFAOYSA-N 1 2 296.414 3.726 20 0 CHADLO Cc1cccn2cc(Cc3nc([C@@H](C)C(C)(C)C)no3)[nH+]c12 ZINC000544957861 1118769216 /nfs/dbraw/zinc/76/92/16/1118769216.db2.gz KZKJKKGOSRAOFP-GFCCVEGCSA-N 1 2 298.390 3.766 20 0 CHADLO Cc1cc(N2CCC[C@H]2c2ccncc2)nc(C2CCC2)[nH+]1 ZINC000891791778 1118776045 /nfs/dbraw/zinc/77/60/45/1118776045.db2.gz ALWDDHZARSVUFH-INIZCTEOSA-N 1 2 294.402 3.789 20 0 CHADLO CC[N@H+](Cc1nc(C)cs1)Cc1cccc(F)c1 ZINC000088576922 1118778966 /nfs/dbraw/zinc/77/89/66/1118778966.db2.gz CJYGEJWLPNZBPB-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1nc(C)cs1)Cc1cccc(F)c1 ZINC000088576922 1118778968 /nfs/dbraw/zinc/77/89/68/1118778968.db2.gz CJYGEJWLPNZBPB-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO Fc1cccc(OCCSc2[nH+]cc3ccccn32)c1 ZINC000510651215 1118783424 /nfs/dbraw/zinc/78/34/24/1118783424.db2.gz URXHRYJHLKDSQE-UHFFFAOYSA-N 1 2 288.347 3.645 20 0 CHADLO CC(C)CC[N@H+](Cn1ncn(C(C)(C)C)c1=S)C1CC1 ZINC000068870104 1128801809 /nfs/dbraw/zinc/80/18/09/1128801809.db2.gz SALFIQFMRUAYEH-UHFFFAOYSA-N 1 2 296.484 3.637 20 0 CHADLO CC(C)CC[N@@H+](Cn1ncn(C(C)(C)C)c1=S)C1CC1 ZINC000068870104 1128801815 /nfs/dbraw/zinc/80/18/15/1128801815.db2.gz SALFIQFMRUAYEH-UHFFFAOYSA-N 1 2 296.484 3.637 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2C(F)(F)F)C[C@H](C2CC2)O1 ZINC000510781322 1118816074 /nfs/dbraw/zinc/81/60/74/1118816074.db2.gz UIYGJQZFYCMRMW-XHDPSFHLSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)C[C@H](C2CC2)O1 ZINC000510781322 1118816080 /nfs/dbraw/zinc/81/60/80/1118816080.db2.gz UIYGJQZFYCMRMW-XHDPSFHLSA-N 1 2 299.336 3.705 20 0 CHADLO CCOc1cc(C)ccc1C[NH2+]Cc1ncc(Cl)s1 ZINC000349602046 1118822079 /nfs/dbraw/zinc/82/20/79/1118822079.db2.gz UUCNDVNBRVYKMV-UHFFFAOYSA-N 1 2 296.823 3.793 20 0 CHADLO C[C@H](F)CC[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000510814038 1118823869 /nfs/dbraw/zinc/82/38/69/1118823869.db2.gz WNSKOGNCDBZPOF-SLEUVZQESA-N 1 2 285.790 3.850 20 0 CHADLO C[C@H](F)CC[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000510814038 1118823872 /nfs/dbraw/zinc/82/38/72/1118823872.db2.gz WNSKOGNCDBZPOF-SLEUVZQESA-N 1 2 285.790 3.850 20 0 CHADLO COc1ccc(F)c(F)c1C[NH+]1CC(c2ccccc2)C1 ZINC001143413651 1118833340 /nfs/dbraw/zinc/83/33/40/1118833340.db2.gz UQWWODYVRQHZFH-UHFFFAOYSA-N 1 2 289.325 3.573 20 0 CHADLO COC(=O)[C@@H]([NH2+]CCc1ccc2ccccc2c1)C(C)(C)C ZINC000610736151 1118846739 /nfs/dbraw/zinc/84/67/39/1118846739.db2.gz MLDNOKCQTLDKND-QGZVFWFLSA-N 1 2 299.414 3.560 20 0 CHADLO CCC(CC)(CC)[S@@](=O)Cc1ccc(C)[nH+]c1C ZINC000431191072 1118861011 /nfs/dbraw/zinc/86/10/11/1118861011.db2.gz CPNWIFUQFRZXKC-SFHVURJKSA-N 1 2 267.438 3.916 20 0 CHADLO CCC(CC)N(CC(C)C)C(=O)Nc1cc(C)[nH+]cc1C ZINC000535772677 1118882783 /nfs/dbraw/zinc/88/27/83/1118882783.db2.gz PPRVZPGUXGSQHD-UHFFFAOYSA-N 1 2 291.439 3.799 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000535852465 1118906355 /nfs/dbraw/zinc/90/63/55/1118906355.db2.gz ZKCPUXXGUTYIIA-NSHDSACASA-N 1 2 277.314 3.986 20 0 CHADLO Cc1ccoc1C[N@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000535852465 1118906358 /nfs/dbraw/zinc/90/63/58/1118906358.db2.gz ZKCPUXXGUTYIIA-NSHDSACASA-N 1 2 277.314 3.986 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2F)[N@H+](Cc2nccn2C2CC2)C1 ZINC000892074909 1118957464 /nfs/dbraw/zinc/95/74/64/1118957464.db2.gz COCBOBQWYCUVIC-CXAGYDPISA-N 1 2 299.393 3.940 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2F)[N@@H+](Cc2nccn2C2CC2)C1 ZINC000892074909 1118957466 /nfs/dbraw/zinc/95/74/66/1118957466.db2.gz COCBOBQWYCUVIC-CXAGYDPISA-N 1 2 299.393 3.940 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2ccccc2F)C2CCCC2)nc1 ZINC000342266862 1118961332 /nfs/dbraw/zinc/96/13/32/1118961332.db2.gz NGJYXJMRAWANIV-SFHVURJKSA-N 1 2 299.393 3.945 20 0 CHADLO Cc1ccc(F)c(C[NH2+][C@@H](C)c2cncs2)c1F ZINC000934196691 1118963105 /nfs/dbraw/zinc/96/31/05/1118963105.db2.gz WRXZKDBKVNVWHY-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)co1)c1ccsc1 ZINC000724389463 1118986448 /nfs/dbraw/zinc/98/64/48/1118986448.db2.gz XWQNHAZZTHOGCB-SNVBAGLBSA-N 1 2 264.394 3.884 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H](C)c2ccccc2Cl)[nH+]1 ZINC000348727342 1118991690 /nfs/dbraw/zinc/99/16/90/1118991690.db2.gz CTHYEOVHGKXXBW-VIFPVBQESA-N 1 2 286.766 3.515 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628123339 1128814315 /nfs/dbraw/zinc/81/43/15/1128814315.db2.gz MDHDPCRRNQNQCN-JTQLQIEISA-N 1 2 274.389 3.733 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628123339 1128814317 /nfs/dbraw/zinc/81/43/17/1128814317.db2.gz MDHDPCRRNQNQCN-JTQLQIEISA-N 1 2 274.389 3.733 20 0 CHADLO Cc1[nH]c(CN(Cc2ccc([C@@H]3C[C@@H]3C)o2)C2CC2)[nH+]c1C ZINC000628131406 1128815427 /nfs/dbraw/zinc/81/54/27/1128815427.db2.gz XTJWCCYZPDBCED-MEDUHNTESA-N 1 2 299.418 3.908 20 0 CHADLO Cc1ccc(C[NH2+]Cc2noc3c2CCCC3)c(Cl)c1 ZINC000563256968 1119017004 /nfs/dbraw/zinc/01/70/04/1119017004.db2.gz GAQBPLYBROWQAP-UHFFFAOYSA-N 1 2 290.794 3.805 20 0 CHADLO CN(C)c1ccc(NCc2ccc(Cl)c(F)c2)c[nH+]1 ZINC000043389310 1119020028 /nfs/dbraw/zinc/02/00/28/1119020028.db2.gz HWNPWRWKDCPZHJ-UHFFFAOYSA-N 1 2 279.746 3.552 20 0 CHADLO CCc1ccc(C[N@@H+]2CCO[C@@H](c3ccccc3C)C2)cn1 ZINC000582173940 1119030478 /nfs/dbraw/zinc/03/04/78/1119030478.db2.gz MYAJBNONVMROFD-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO CCc1ccc(C[N@H+]2CCO[C@@H](c3ccccc3C)C2)cn1 ZINC000582173940 1119030482 /nfs/dbraw/zinc/03/04/82/1119030482.db2.gz MYAJBNONVMROFD-LJQANCHMSA-N 1 2 296.414 3.526 20 0 CHADLO CCn1cnnc1C[NH2+][C@H](C)c1cc2cc(C)c(C)cc2o1 ZINC000158372311 1119051448 /nfs/dbraw/zinc/05/14/48/1119051448.db2.gz YRFNJUNKJDUYCV-CYBMUJFWSA-N 1 2 298.390 3.512 20 0 CHADLO Cc1cc(N2CCSC3(CCC3)CC2)nc(C2CC2)[nH+]1 ZINC000413483077 1119073336 /nfs/dbraw/zinc/07/33/36/1119073336.db2.gz PIUBIVQZETVCRJ-UHFFFAOYSA-N 1 2 289.448 3.528 20 0 CHADLO CSCC[N@H+](C)Cc1csc(CCC(C)C)n1 ZINC000152556847 1119089998 /nfs/dbraw/zinc/08/99/98/1119089998.db2.gz IDUBXFBIEUVASY-UHFFFAOYSA-N 1 2 272.483 3.527 20 0 CHADLO CSCC[N@@H+](C)Cc1csc(CCC(C)C)n1 ZINC000152556847 1119090000 /nfs/dbraw/zinc/09/00/00/1119090000.db2.gz IDUBXFBIEUVASY-UHFFFAOYSA-N 1 2 272.483 3.527 20 0 CHADLO CCOc1ccccc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000097039950 1119097885 /nfs/dbraw/zinc/09/78/85/1119097885.db2.gz SOUJSBWGDCCKFU-UHFFFAOYSA-N 1 2 284.359 3.658 20 0 CHADLO Cc1cc(NC(=O)CCc2ccc([C@@H]3C[C@@H]3C)o2)cc[nH+]1 ZINC000073069504 1119099457 /nfs/dbraw/zinc/09/94/57/1119099457.db2.gz OUSXAGDFSQRBHJ-XHDPSFHLSA-N 1 2 284.359 3.678 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1nc(C2CC2)cs1 ZINC000342694004 1119128762 /nfs/dbraw/zinc/12/87/62/1119128762.db2.gz FYSKNDKRBVTWCG-UHFFFAOYSA-N 1 2 264.419 3.714 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1nc(C2CC2)cs1 ZINC000342694004 1119128763 /nfs/dbraw/zinc/12/87/63/1119128763.db2.gz FYSKNDKRBVTWCG-UHFFFAOYSA-N 1 2 264.419 3.714 20 0 CHADLO C[C@@H](CO)CCCNc1cc[nH+]c2c(Cl)cccc12 ZINC000342696292 1119129908 /nfs/dbraw/zinc/12/99/08/1119129908.db2.gz AUXMSZYDAOKUMK-LLVKDONJSA-N 1 2 278.783 3.709 20 0 CHADLO C[C@H](CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C(C)(C)C ZINC000342699384 1119130104 /nfs/dbraw/zinc/13/01/04/1119130104.db2.gz IGTFSZJUQFABKU-CYBMUJFWSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC[C@@H](C)C[C@@H]2c2ccc(C)o2)n1 ZINC000628189130 1128824124 /nfs/dbraw/zinc/82/41/24/1128824124.db2.gz RQSLKIJGKONLCY-BXUZGUMPSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC[C@@H](C)C[C@@H]2c2ccc(C)o2)n1 ZINC000628189130 1128824127 /nfs/dbraw/zinc/82/41/27/1128824127.db2.gz RQSLKIJGKONLCY-BXUZGUMPSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC[C@H](C)C[C@H]2c2ccc(C)o2)n1 ZINC000628189131 1128824142 /nfs/dbraw/zinc/82/41/42/1128824142.db2.gz RQSLKIJGKONLCY-FZMZJTMJSA-N 1 2 273.380 3.593 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccc(C)o2)n1 ZINC000628189131 1128824146 /nfs/dbraw/zinc/82/41/46/1128824146.db2.gz RQSLKIJGKONLCY-FZMZJTMJSA-N 1 2 273.380 3.593 20 0 CHADLO Clc1nc(C[NH+]2CCC3(CCCC3)CC2)cs1 ZINC000383341355 1119142965 /nfs/dbraw/zinc/14/29/65/1119142965.db2.gz KQBKCUAYYLFODX-UHFFFAOYSA-N 1 2 270.829 3.953 20 0 CHADLO Cc1ccc(OC(F)F)c(COc2cc[nH+]cc2)c1 ZINC000431475531 1119160983 /nfs/dbraw/zinc/16/09/83/1119160983.db2.gz XRBGGHIFHSGYBQ-UHFFFAOYSA-N 1 2 265.259 3.570 20 0 CHADLO Cc1ccc2nc(C[N@@H+]3CC[C@H]3c3ccccc3)cn2c1 ZINC000342792910 1119170811 /nfs/dbraw/zinc/17/08/11/1119170811.db2.gz QJYFBGXXCXZETO-KRWDZBQOSA-N 1 2 277.371 3.590 20 0 CHADLO Cc1ccc2nc(C[N@H+]3CC[C@H]3c3ccccc3)cn2c1 ZINC000342792910 1119170812 /nfs/dbraw/zinc/17/08/12/1119170812.db2.gz QJYFBGXXCXZETO-KRWDZBQOSA-N 1 2 277.371 3.590 20 0 CHADLO CCCCN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)[C@H](C)CC ZINC000076713569 1119189513 /nfs/dbraw/zinc/18/95/13/1119189513.db2.gz YZFILHQMAGTANH-OAHLLOKOSA-N 1 2 299.418 3.913 20 0 CHADLO Clc1cccc2c(NCC[C@H]3CCOC3)cc[nH+]c12 ZINC000342827579 1119198356 /nfs/dbraw/zinc/19/83/56/1119198356.db2.gz ARXLGNCIPBGYCV-NSHDSACASA-N 1 2 276.767 3.727 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)s1 ZINC000237484791 1119205709 /nfs/dbraw/zinc/20/57/09/1119205709.db2.gz QSIZFYNXOKCBCQ-SNVBAGLBSA-N 1 2 279.409 3.588 20 0 CHADLO Cc1cc(NCc2cc[nH+]c(N)c2)cc(C(C)(C)C)c1 ZINC001167618420 1119217879 /nfs/dbraw/zinc/21/78/79/1119217879.db2.gz JEMIQMYBYAGRKX-UHFFFAOYSA-N 1 2 269.392 3.882 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3nc4c(s3)CCC4)cc2)[nH]1 ZINC001213085753 1119225404 /nfs/dbraw/zinc/22/54/04/1119225404.db2.gz VSYYBNQOKAYCJG-UHFFFAOYSA-N 1 2 282.372 3.766 20 0 CHADLO COc1ccc(C[NH+]2Cc3ccccc3C2)cc1OC1CC1 ZINC000628164699 1119226675 /nfs/dbraw/zinc/22/66/75/1119226675.db2.gz ZKFKRMMMFQEWBG-UHFFFAOYSA-N 1 2 295.382 3.752 20 0 CHADLO Cc1nc(C[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)[nH]c1C ZINC000628236186 1128832530 /nfs/dbraw/zinc/83/25/30/1128832530.db2.gz SMHSVMFTYOAENZ-UHFFFAOYSA-N 1 2 295.430 3.933 20 0 CHADLO Cc1nc(C[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)[nH]c1C ZINC000628236186 1128832537 /nfs/dbraw/zinc/83/25/37/1128832537.db2.gz SMHSVMFTYOAENZ-UHFFFAOYSA-N 1 2 295.430 3.933 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@@H]2C(C)C)nc(C2CC2)[nH+]1 ZINC000431507691 1119258478 /nfs/dbraw/zinc/25/84/78/1119258478.db2.gz UOLKDTPJGLZBAO-AWEZNQCLSA-N 1 2 259.397 3.533 20 0 CHADLO COc1c2ccccc2oc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000628249826 1128836101 /nfs/dbraw/zinc/83/61/01/1128836101.db2.gz SYHOFFWWHVQQTI-UHFFFAOYSA-N 1 2 298.342 3.597 20 0 CHADLO Cc1cc(NC(=O)CCCCC(=O)c2ccccc2)cc[nH+]1 ZINC000079718584 1119306581 /nfs/dbraw/zinc/30/65/81/1119306581.db2.gz WDARDJGBRDVXIT-UHFFFAOYSA-N 1 2 296.370 3.772 20 0 CHADLO Cc1ccc([C@@H]([NH2+][C@@H]2CCCc3[nH]ncc32)C2CC2)cc1 ZINC000353446785 1128837786 /nfs/dbraw/zinc/83/77/86/1128837786.db2.gz KVZRKMHOSLCZJY-SJLPKXTDSA-N 1 2 281.403 3.836 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@@H](C)c2c(C)noc2C)n1 ZINC000092331863 1119327542 /nfs/dbraw/zinc/32/75/42/1119327542.db2.gz YSCNZDQWEFVNFY-NSHDSACASA-N 1 2 290.411 3.700 20 0 CHADLO FC(F)C1([NH2+]CCc2cccc(C(F)(F)F)c2)CC1 ZINC000628263986 1128838760 /nfs/dbraw/zinc/83/87/60/1128838760.db2.gz WHAFCERBTWHPHO-UHFFFAOYSA-N 1 2 279.252 3.635 20 0 CHADLO Cc1nc(CNc2ccc([NH+](C)C)c(C)c2)sc1C ZINC000892338160 1119365164 /nfs/dbraw/zinc/36/51/64/1119365164.db2.gz PTJCDLHOAIRMQI-UHFFFAOYSA-N 1 2 275.421 3.746 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccnc2)c(Cl)c1 ZINC000583877023 1119429221 /nfs/dbraw/zinc/42/92/21/1119429221.db2.gz RLAURQBFMJFMMP-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccnc2)c(Cl)c1 ZINC000583877023 1119429223 /nfs/dbraw/zinc/42/92/23/1119429223.db2.gz RLAURQBFMJFMMP-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1[nH]c(CNc2cccc(Br)c2C)[nH+]c1C ZINC000583946282 1119438158 /nfs/dbraw/zinc/43/81/58/1119438158.db2.gz VXRVOIVJVHKTGP-UHFFFAOYSA-N 1 2 294.196 3.710 20 0 CHADLO COc1ccc(C[N@@H+]2CCc3ccc(F)cc3C2)cc1F ZINC000353490851 1119475909 /nfs/dbraw/zinc/47/59/09/1119475909.db2.gz HSSILOCLRWIQHF-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc(C[N@H+]2CCc3ccc(F)cc3C2)cc1F ZINC000353490851 1119475911 /nfs/dbraw/zinc/47/59/11/1119475911.db2.gz HSSILOCLRWIQHF-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO c1ccc2nc(CNc3c[nH+]cc4c3CCCC4)cnc2c1 ZINC000628336335 1128848570 /nfs/dbraw/zinc/84/85/70/1128848570.db2.gz FRSROFLHOMNBRG-UHFFFAOYSA-N 1 2 290.370 3.516 20 0 CHADLO Cc1[nH]c(CN(Cc2ccccc2F)CC2CC2)[nH+]c1C ZINC000628341215 1128848866 /nfs/dbraw/zinc/84/88/66/1128848866.db2.gz GDSVVSODHJRIMY-UHFFFAOYSA-N 1 2 287.382 3.578 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC000343671998 1119484233 /nfs/dbraw/zinc/48/42/33/1119484233.db2.gz GDNDUFCCAXKOBW-SNVBAGLBSA-N 1 2 292.370 3.870 20 0 CHADLO CCCc1csc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)n1 ZINC000343671998 1119484237 /nfs/dbraw/zinc/48/42/37/1119484237.db2.gz GDNDUFCCAXKOBW-SNVBAGLBSA-N 1 2 292.370 3.870 20 0 CHADLO Cc1nc2ccc(Nc3[nH+]cc(C)cc3C)cc2o1 ZINC001213064690 1119509572 /nfs/dbraw/zinc/50/95/72/1119509572.db2.gz AZBRFSLUTANRJI-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3sccc3[C@H]2C)sc1C ZINC000081646471 1119517851 /nfs/dbraw/zinc/51/78/51/1119517851.db2.gz YRSNAUJIYFMPLM-SNVBAGLBSA-N 1 2 278.446 3.941 20 0 CHADLO Cc1nc(C[N@H+]2CCc3sccc3[C@H]2C)sc1C ZINC000081646471 1119517852 /nfs/dbraw/zinc/51/78/52/1119517852.db2.gz YRSNAUJIYFMPLM-SNVBAGLBSA-N 1 2 278.446 3.941 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+](CC(C)C)C2CC2)no1 ZINC000083146228 1119524310 /nfs/dbraw/zinc/52/43/10/1119524310.db2.gz USAGIIUBAWOBTA-UHFFFAOYSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+](CC(C)C)C2CC2)no1 ZINC000083146228 1119524315 /nfs/dbraw/zinc/52/43/15/1119524315.db2.gz USAGIIUBAWOBTA-UHFFFAOYSA-N 1 2 299.418 3.589 20 0 CHADLO CC(C)c1cc(NC[C@H](C)C[C@@H](C)O)nc(C(C)C)[nH+]1 ZINC000090094616 1119545695 /nfs/dbraw/zinc/54/56/95/1119545695.db2.gz BAHWTPHZMDOICQ-CHWSQXEVSA-N 1 2 279.428 3.542 20 0 CHADLO CCc1cc(OCc2nnc(C3CC3)o2)c2ccccc2[nH+]1 ZINC000092334073 1119563679 /nfs/dbraw/zinc/56/36/79/1119563679.db2.gz CCVUNGPVLPKUSR-UHFFFAOYSA-N 1 2 295.342 3.637 20 0 CHADLO Cc1cccnc1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000093178760 1119570525 /nfs/dbraw/zinc/57/05/25/1119570525.db2.gz CMKIFWIUCLVWTP-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1cccnc1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000093178760 1119570528 /nfs/dbraw/zinc/57/05/28/1119570528.db2.gz CMKIFWIUCLVWTP-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cn1cccc1C[N@@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000093686318 1119572102 /nfs/dbraw/zinc/57/21/02/1119572102.db2.gz BMXOAICQNSSIEP-OAHLLOKOSA-N 1 2 260.768 3.626 20 0 CHADLO Cn1cccc1C[N@H+]1CC[C@@H]1c1ccc(Cl)cc1 ZINC000093686318 1119572105 /nfs/dbraw/zinc/57/21/05/1119572105.db2.gz BMXOAICQNSSIEP-OAHLLOKOSA-N 1 2 260.768 3.626 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCn2ccnc21)c1ccc(Cl)cc1Cl ZINC000294200292 1119590193 /nfs/dbraw/zinc/59/01/93/1119590193.db2.gz SXJRUWQLPJWEFA-NOZJJQNGSA-N 1 2 296.201 3.986 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1nc2c(s1)CCC2 ZINC000685469971 1119612673 /nfs/dbraw/zinc/61/26/73/1119612673.db2.gz ZIWBHYKXVBDSHW-CQSZACIVSA-N 1 2 287.432 3.610 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1nc2c(s1)CCC2 ZINC000685469971 1119612675 /nfs/dbraw/zinc/61/26/75/1119612675.db2.gz ZIWBHYKXVBDSHW-CQSZACIVSA-N 1 2 287.432 3.610 20 0 CHADLO Cc1cc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)oc1C ZINC000136551817 1119615879 /nfs/dbraw/zinc/61/58/79/1119615879.db2.gz MYJMBNUFZKYSTQ-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CC[C@H](CC(F)(F)F)C2)no1 ZINC000685576093 1119634492 /nfs/dbraw/zinc/63/44/92/1119634492.db2.gz YNLHNCXLTLYALJ-SNVBAGLBSA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CC[C@H](CC(F)(F)F)C2)no1 ZINC000685576093 1119634493 /nfs/dbraw/zinc/63/44/93/1119634493.db2.gz YNLHNCXLTLYALJ-SNVBAGLBSA-N 1 2 290.329 3.746 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000685614052 1119637750 /nfs/dbraw/zinc/63/77/50/1119637750.db2.gz MBHWWKFZNYDXRC-SFHVURJKSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@@H](c1ccccc1)c1ccc(F)cc1 ZINC000685614052 1119637752 /nfs/dbraw/zinc/63/77/52/1119637752.db2.gz MBHWWKFZNYDXRC-SFHVURJKSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@@H](c1ccccc1)c1cccc(F)c1 ZINC000685618032 1119639044 /nfs/dbraw/zinc/63/90/44/1119639044.db2.gz OZTKVBOGUPVFOA-SFHVURJKSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@@H](c1ccccc1)c1cccc(F)c1 ZINC000685618032 1119639046 /nfs/dbraw/zinc/63/90/46/1119639046.db2.gz OZTKVBOGUPVFOA-SFHVURJKSA-N 1 2 295.361 3.736 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)N(C1CC1)C1CCCCC1 ZINC000685651810 1119645113 /nfs/dbraw/zinc/64/51/13/1119645113.db2.gz LTIOEDHRPTUGNV-UHFFFAOYSA-N 1 2 298.390 3.663 20 0 CHADLO Oc1cccc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000093686240 1119661418 /nfs/dbraw/zinc/66/14/18/1119661418.db2.gz KFUIBAWECFGFRT-INIZCTEOSA-N 1 2 273.763 3.993 20 0 CHADLO Oc1cccc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)c1 ZINC000093686240 1119661419 /nfs/dbraw/zinc/66/14/19/1119661419.db2.gz KFUIBAWECFGFRT-INIZCTEOSA-N 1 2 273.763 3.993 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@H](C)c2ccccc2Cl)on1 ZINC000924525090 1119661490 /nfs/dbraw/zinc/66/14/90/1119661490.db2.gz MEMUZWZHFUKORF-ZJUUUORDSA-N 1 2 280.755 3.748 20 0 CHADLO CCC[C@@H](C(=O)NCc1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC000179395998 1119734587 /nfs/dbraw/zinc/73/45/87/1119734587.db2.gz HFJPSYBCQNYOIJ-QGZVFWFLSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1cccc(NC2CC[NH+](Cc3cscn3)CC2)c1 ZINC001167686838 1119737617 /nfs/dbraw/zinc/73/76/17/1119737617.db2.gz ZSDGWUDAYVRBRE-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccnn1C)c1nc(C(C)(C)C)cs1 ZINC000192841147 1119744334 /nfs/dbraw/zinc/74/43/34/1119744334.db2.gz PGJZAOVYWSBWLB-GHMZBOCLSA-N 1 2 292.452 3.586 20 0 CHADLO Cc1nc(C[NH2+]Cc2cc(C(C)(C)C)oc2C)cs1 ZINC000892511857 1119823416 /nfs/dbraw/zinc/82/34/16/1119823416.db2.gz KUNPKAWFZZXEQI-UHFFFAOYSA-N 1 2 278.421 3.940 20 0 CHADLO Cc1cc(NC(=O)c2cnc(Cl)c3ccccc23)cc[nH+]1 ZINC000235989915 1119842353 /nfs/dbraw/zinc/84/23/53/1119842353.db2.gz VNVBPNYXAQJWFJ-UHFFFAOYSA-N 1 2 297.745 3.844 20 0 CHADLO CC[C@@H](C)c1ccc(O[C@@H]2CC[NH2+]CC2(F)F)cc1 ZINC001218008765 1119852448 /nfs/dbraw/zinc/85/24/48/1119852448.db2.gz WGDQGNFLPCPMHL-BXUZGUMPSA-N 1 2 269.335 3.576 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000685833102 1119852529 /nfs/dbraw/zinc/85/25/29/1119852529.db2.gz WALSQCMIMPHNQP-UHFFFAOYSA-N 1 2 271.408 3.648 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)Cc1ccc(C(C)(C)C)cc1 ZINC000685833102 1119852530 /nfs/dbraw/zinc/85/25/30/1119852530.db2.gz WALSQCMIMPHNQP-UHFFFAOYSA-N 1 2 271.408 3.648 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)C1c2ccccc2-c2ccccc21 ZINC000685838097 1119857190 /nfs/dbraw/zinc/85/71/90/1119857190.db2.gz HATRAVLBKPZEEA-UHFFFAOYSA-N 1 2 289.382 3.920 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H](C)c2ccccc2C(F)(F)F)n1 ZINC000066391016 1119879750 /nfs/dbraw/zinc/87/97/50/1119879750.db2.gz MMZYCJQIXWOHAR-IUCAKERBSA-N 1 2 299.296 3.809 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cc1Cl)c1ccn(C)n1 ZINC000414108729 1119901399 /nfs/dbraw/zinc/90/13/99/1119901399.db2.gz GKVKPEINNNTWMT-UWVGGRQHSA-N 1 2 281.762 3.624 20 0 CHADLO C[C@H]([NH2+]Cc1ccsc1Br)c1ccccn1 ZINC000282334127 1119911418 /nfs/dbraw/zinc/91/14/18/1119911418.db2.gz GASFLGUWVGJPTI-VIFPVBQESA-N 1 2 297.221 3.756 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@H]2[NH2+][C@@H](C)c1csnn1 ZINC000414290665 1119969241 /nfs/dbraw/zinc/96/92/41/1119969241.db2.gz AHKWVCBLVIJSHS-NTZNESFSSA-N 1 2 273.405 3.746 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2nc(CC(C)C)no2)c(C)s1 ZINC000193130542 1119978236 /nfs/dbraw/zinc/97/82/36/1119978236.db2.gz NLPWCHVCGYDYLT-LLVKDONJSA-N 1 2 293.436 3.797 20 0 CHADLO C[C@H]([NH2+]Cc1ccsc1Br)c1ccco1 ZINC000282520071 1119980408 /nfs/dbraw/zinc/98/04/08/1119980408.db2.gz ULRKBXBEAAHMLQ-QMMMGPOBSA-N 1 2 286.194 3.954 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2ccc([C@@H]3C[C@@H]3C)o2)o1 ZINC000892622688 1119989786 /nfs/dbraw/zinc/98/97/86/1119989786.db2.gz QXFWNMFAPBITRR-SMDDNHRTSA-N 1 2 275.348 3.685 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1nc2ccccc2s1 ZINC000102696409 1119990658 /nfs/dbraw/zinc/99/06/58/1119990658.db2.gz PMBRRXWOKLFUPB-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1nc2ccccc2s1 ZINC000102696409 1119990661 /nfs/dbraw/zinc/99/06/61/1119990661.db2.gz PMBRRXWOKLFUPB-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO Cc1ccc(C[S@](=O)c2ccc(Cl)cc2)c(C)[nH+]1 ZINC000187057858 1120009905 /nfs/dbraw/zinc/00/99/05/1120009905.db2.gz GFGGFBSKHYQFEX-SFHVURJKSA-N 1 2 279.792 3.660 20 0 CHADLO CCCOc1cccc2c(N[C@H](C)[C@@H](C)OC)cc[nH+]c12 ZINC000685927380 1120014814 /nfs/dbraw/zinc/01/48/14/1120014814.db2.gz QHLRCNFVIZAFKH-CHWSQXEVSA-N 1 2 288.391 3.859 20 0 CHADLO Cc1occc1C[N@H+]1C[C@@H](C)OC[C@@H]1c1ccccc1 ZINC000414394051 1120017008 /nfs/dbraw/zinc/01/70/08/1120017008.db2.gz HPRXMAHVMDLTMP-CXAGYDPISA-N 1 2 271.360 3.550 20 0 CHADLO Cc1occc1C[N@@H+]1C[C@@H](C)OC[C@@H]1c1ccccc1 ZINC000414394051 1120017011 /nfs/dbraw/zinc/01/70/11/1120017011.db2.gz HPRXMAHVMDLTMP-CXAGYDPISA-N 1 2 271.360 3.550 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@H+](Cc2ncc(C3CC3)o2)C1 ZINC000376551156 1120044703 /nfs/dbraw/zinc/04/47/03/1120044703.db2.gz HUBRBOHBIIOVTE-DOMZBBRYSA-N 1 2 283.375 3.530 20 0 CHADLO C[C@@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2ncc(C3CC3)o2)C1 ZINC000376551156 1120044708 /nfs/dbraw/zinc/04/47/08/1120044708.db2.gz HUBRBOHBIIOVTE-DOMZBBRYSA-N 1 2 283.375 3.530 20 0 CHADLO CC(C)O[C@@H]1C[C@H](Nc2cccc(-n3cc[nH+]c3)c2)C1(C)C ZINC000765810043 1120047504 /nfs/dbraw/zinc/04/75/04/1120047504.db2.gz MQIXRZFORZTHQQ-DLBZAZTESA-N 1 2 299.418 3.876 20 0 CHADLO CC(=O)Nc1cccc([C@@H](C)Nc2ccc(C)[nH+]c2C)c1 ZINC000094664598 1120053623 /nfs/dbraw/zinc/05/36/23/1120053623.db2.gz UGHPGZOQLRKKQN-GFCCVEGCSA-N 1 2 283.375 3.830 20 0 CHADLO Fc1cc(F)cc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001239655378 1120065191 /nfs/dbraw/zinc/06/51/91/1120065191.db2.gz NIPDRFKURNRCGB-UHFFFAOYSA-N 1 2 256.255 3.818 20 0 CHADLO COC(C)(C)[C@H](C)Nc1ccc([NH+]2CCCC2)cc1 ZINC000311453770 1120083225 /nfs/dbraw/zinc/08/32/25/1120083225.db2.gz ZSYYJBBAYHABIH-ZDUSSCGKSA-N 1 2 262.397 3.512 20 0 CHADLO COc1nscc1C[NH2+]C1(c2cccc(Cl)c2)CC1 ZINC001168622755 1120087331 /nfs/dbraw/zinc/08/73/31/1120087331.db2.gz OHBMOQIXOOWOFJ-UHFFFAOYSA-N 1 2 294.807 3.584 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000181931377 1120110815 /nfs/dbraw/zinc/11/08/15/1120110815.db2.gz GQPNZJBKXNJJRS-ZDUSSCGKSA-N 1 2 270.376 3.713 20 0 CHADLO CCCc1ccc(CNc2[nH+]c(C)nc3c2ccn3C)cc1 ZINC001168635756 1120112522 /nfs/dbraw/zinc/11/25/22/1120112522.db2.gz QTVOXBLCGITUEF-UHFFFAOYSA-N 1 2 294.402 3.841 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(F)cc2)C2CC2)c(C)o1 ZINC000659811631 1120116250 /nfs/dbraw/zinc/11/62/50/1120116250.db2.gz UBCPJPFQYJAPOC-UHFFFAOYSA-N 1 2 274.339 3.595 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(F)cc2)C2CC2)c(C)o1 ZINC000659811631 1120116251 /nfs/dbraw/zinc/11/62/51/1120116251.db2.gz UBCPJPFQYJAPOC-UHFFFAOYSA-N 1 2 274.339 3.595 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cc(C)cc(C)c2)oc1C ZINC000182932538 1120128000 /nfs/dbraw/zinc/12/80/00/1120128000.db2.gz GPPUVAFJVXZUJU-ZDUSSCGKSA-N 1 2 258.365 3.759 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCCOCC1 ZINC000182986850 1120128998 /nfs/dbraw/zinc/12/89/98/1120128998.db2.gz QBFOQTHYFFDRAI-AWEZNQCLSA-N 1 2 256.349 3.524 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(-c2ccccc2)o1)c1ncc[nH]1 ZINC000353456388 1120129458 /nfs/dbraw/zinc/12/94/58/1120129458.db2.gz VTKPXGFDJIEWSN-GFCCVEGCSA-N 1 2 267.332 3.521 20 0 CHADLO CCc1nnc(C[NH+]2CCC(C3CCCCC3)CC2)s1 ZINC000784134085 1120143916 /nfs/dbraw/zinc/14/39/16/1120143916.db2.gz GNXYBYCMKUGCKY-UHFFFAOYSA-N 1 2 293.480 3.893 20 0 CHADLO Cc1nc(C)c([C@H](C)Nc2ccc([NH+](C)C)cc2)s1 ZINC000111360360 1120144516 /nfs/dbraw/zinc/14/45/16/1120144516.db2.gz NRMBYCAEBQFQGX-NSHDSACASA-N 1 2 275.421 3.999 20 0 CHADLO Cc1cc(N[C@@H]2CCNc3ccccc32)[nH+]c2cc[nH]c21 ZINC001168647053 1120154697 /nfs/dbraw/zinc/15/46/97/1120154697.db2.gz AMZVAWLXLVDVJI-CQSZACIVSA-N 1 2 278.359 3.840 20 0 CHADLO c1ccc(C[N@@H+](Cc2noc3c2CCCC3)C2CC2)cc1 ZINC000108851844 1120172996 /nfs/dbraw/zinc/17/29/96/1120172996.db2.gz XGUYLHOTONWFIL-UHFFFAOYSA-N 1 2 282.387 3.718 20 0 CHADLO c1ccc(C[N@H+](Cc2noc3c2CCCC3)C2CC2)cc1 ZINC000108851844 1120172998 /nfs/dbraw/zinc/17/29/98/1120172998.db2.gz XGUYLHOTONWFIL-UHFFFAOYSA-N 1 2 282.387 3.718 20 0 CHADLO C[C@H]1C[C@H](CO[NH+]=C(N)c2cccc3ccccc32)CCO1 ZINC000783029734 1120176373 /nfs/dbraw/zinc/17/63/73/1120176373.db2.gz PEKKWHONRRYUBJ-UONOGXRCSA-N 1 2 298.386 3.501 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H]2CSc3ccccc32)n1 ZINC000156226547 1120186943 /nfs/dbraw/zinc/18/69/43/1120186943.db2.gz LIDQXXQRSAEKQY-PWSUYJOCSA-N 1 2 276.430 3.949 20 0 CHADLO C[Si](C)(C)c1ccc[nH+]c1N[C@H]1CCCc2cccnc21 ZINC001168656681 1120190367 /nfs/dbraw/zinc/19/03/67/1120190367.db2.gz RTPCYUZGTHSVJY-AWEZNQCLSA-N 1 2 297.478 3.511 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1C1CC1)c1ccc(F)cc1F ZINC000893000336 1120195223 /nfs/dbraw/zinc/19/52/23/1120195223.db2.gz HJBDTENDLIJWLZ-OAHLLOKOSA-N 1 2 291.345 3.737 20 0 CHADLO C[C@H]1C[N@H+](Cc2c[nH]nc2C(F)(F)F)Cc2ccccc21 ZINC001141011544 1120201394 /nfs/dbraw/zinc/20/13/94/1120201394.db2.gz HZZSBWAKPZXNNT-JTQLQIEISA-N 1 2 295.308 3.548 20 0 CHADLO C[C@H]1C[N@@H+](Cc2c[nH]nc2C(F)(F)F)Cc2ccccc21 ZINC001141011544 1120201398 /nfs/dbraw/zinc/20/13/98/1120201398.db2.gz HZZSBWAKPZXNNT-JTQLQIEISA-N 1 2 295.308 3.548 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2ccnc3ccccc23)n1 ZINC000921818954 1120227164 /nfs/dbraw/zinc/22/71/64/1120227164.db2.gz LAXVVMVPMSFBLK-UHFFFAOYSA-N 1 2 295.386 3.810 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)C[N@H+](C)Cc1ccsc1 ZINC000061800690 1120230334 /nfs/dbraw/zinc/23/03/34/1120230334.db2.gz YQHWKPDVPYYVBM-CQSZACIVSA-N 1 2 296.480 3.511 20 0 CHADLO CC(C)CCC[C@@H](C)NC(=O)C[N@@H+](C)Cc1ccsc1 ZINC000061800690 1120230338 /nfs/dbraw/zinc/23/03/38/1120230338.db2.gz YQHWKPDVPYYVBM-CQSZACIVSA-N 1 2 296.480 3.511 20 0 CHADLO CC(C)c1cccc(C[NH2+][C@H]2CCCc3[nH]ncc32)c1 ZINC000353668833 1128902097 /nfs/dbraw/zinc/90/20/97/1128902097.db2.gz BAOGYBMYWLXPAO-INIZCTEOSA-N 1 2 269.392 3.700 20 0 CHADLO CC[C@H](C)C[C@H](C)Nc1ccc([C@@H](C)C(=O)OC)c[nH+]1 ZINC001168689474 1120256623 /nfs/dbraw/zinc/25/66/23/1120256623.db2.gz HYZJJGORGCUQRH-RWMBFGLXSA-N 1 2 278.396 3.595 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1cc(C(=O)OC)cc2[nH+]c[nH]c21 ZINC001168691374 1120263156 /nfs/dbraw/zinc/26/31/56/1120263156.db2.gz UQSCXHOQFYALJY-MNOVXSKESA-N 1 2 289.379 3.586 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3cnn(C4CCC4)c3)ccc21 ZINC000921883832 1120265295 /nfs/dbraw/zinc/26/52/95/1120265295.db2.gz PNJNUNWIYRZMCM-UHFFFAOYSA-N 1 2 296.418 3.603 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3cnn(C4CCC4)c3)ccc21 ZINC000921883832 1120265300 /nfs/dbraw/zinc/26/53/00/1120265300.db2.gz PNJNUNWIYRZMCM-UHFFFAOYSA-N 1 2 296.418 3.603 20 0 CHADLO COc1c(C)c[nH+]c(CSc2nc(C(C)C)c[nH]2)c1C ZINC000629227177 1128903904 /nfs/dbraw/zinc/90/39/04/1128903904.db2.gz VMCFZPUTGXQLMC-UHFFFAOYSA-N 1 2 291.420 3.846 20 0 CHADLO C[C@@H](CCCC(C)(C)O)Nc1cc(N(C)C)cc(Cl)[nH+]1 ZINC001168694519 1120276288 /nfs/dbraw/zinc/27/62/88/1120276288.db2.gz AQKWUIQBGNOQKG-NSHDSACASA-N 1 2 299.846 3.543 20 0 CHADLO C[C@@H](CCCC(C)(C)O)Nc1[nH+]cccc1-n1cccc1 ZINC001168694648 1120276477 /nfs/dbraw/zinc/27/64/77/1120276477.db2.gz BWBMRCLEXKKGDD-AWEZNQCLSA-N 1 2 287.407 3.614 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@@H+]2Cc2nnc(C3CC3)o2)cc1 ZINC000130914057 1120292006 /nfs/dbraw/zinc/29/20/06/1120292006.db2.gz OZPIXFZJBYFLMM-MRXNPFEDSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@H+]2Cc2nnc(C3CC3)o2)cc1 ZINC000130914057 1120292008 /nfs/dbraw/zinc/29/20/08/1120292008.db2.gz OZPIXFZJBYFLMM-MRXNPFEDSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccc(NCc2csc(C(F)(F)F)n2)c(C)[nH+]1 ZINC000893197716 1120296986 /nfs/dbraw/zinc/29/69/86/1120296986.db2.gz JZSRVHGLUVQJTL-UHFFFAOYSA-N 1 2 287.310 3.786 20 0 CHADLO c1ncn2c1C[N@H+](Cc1cccc(-c3ccccc3)c1)CC2 ZINC000356301000 1120305072 /nfs/dbraw/zinc/30/50/72/1120305072.db2.gz SGSDARILMPMWSC-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO c1ncn2c1C[N@@H+](Cc1cccc(-c3ccccc3)c1)CC2 ZINC000356301000 1120305075 /nfs/dbraw/zinc/30/50/75/1120305075.db2.gz SGSDARILMPMWSC-UHFFFAOYSA-N 1 2 289.382 3.566 20 0 CHADLO Cc1nc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)cs1 ZINC000679539403 1120307778 /nfs/dbraw/zinc/30/77/78/1120307778.db2.gz AITSDVKKBSIMKM-WCBMZHEXSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nn(C)c(C[NH2+][C@@H](C)c2cccc(F)c2F)c1Cl ZINC000893211389 1120307015 /nfs/dbraw/zinc/30/70/15/1120307015.db2.gz VJMUZFSZOUSENP-QMMMGPOBSA-N 1 2 299.752 3.511 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@@H]2C)cs1 ZINC000679539403 1120307779 /nfs/dbraw/zinc/30/77/79/1120307779.db2.gz AITSDVKKBSIMKM-WCBMZHEXSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1cn2c(cccc2Nc2cn(C)c3ccccc23)[nH+]1 ZINC001203415064 1120319665 /nfs/dbraw/zinc/31/96/65/1120319665.db2.gz SLHYHNAKLWNNNA-UHFFFAOYSA-N 1 2 276.343 3.878 20 0 CHADLO COC[C@H]1CCc2[nH+]c3cccc(C)c3c(C)c2C1 ZINC000416390544 1120325563 /nfs/dbraw/zinc/32/55/63/1120325563.db2.gz ONGZUBMQTFSNDB-ZDUSSCGKSA-N 1 2 255.361 3.603 20 0 CHADLO CCc1nc2c(s1)[C@@H]([NH2+][C@@H]1CCCC1(F)F)CCC2 ZINC000672620316 1120334452 /nfs/dbraw/zinc/33/44/52/1120334452.db2.gz LEHLRBCHLRBSFW-GXSJLCMTSA-N 1 2 286.391 3.860 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+][C@@H]1CCCC1(F)F)CCC2 ZINC000672622224 1120336366 /nfs/dbraw/zinc/33/63/66/1120336366.db2.gz CTWZJNVZUIDPQB-ZIAGYGMSSA-N 1 2 269.310 3.981 20 0 CHADLO CC(C)[C@H]([NH2+][C@H]1CCCC1(F)F)c1cccnc1 ZINC000672622190 1120336446 /nfs/dbraw/zinc/33/64/46/1120336446.db2.gz BNYCTRHXJBGRDD-STQMWFEESA-N 1 2 254.324 3.556 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+][C@H](c1cccnc1)C1CCC1 ZINC000672621678 1120336675 /nfs/dbraw/zinc/33/66/75/1120336675.db2.gz DLSSHYLGTQEPQV-KGLIPLIRSA-N 1 2 266.335 3.700 20 0 CHADLO COc1cccc2c1CCC[C@H]2[NH2+][C@H]1CCCC1(F)F ZINC000672622883 1120337621 /nfs/dbraw/zinc/33/76/21/1120337621.db2.gz WXMZCGFWNPYSKO-HIFRSBDPSA-N 1 2 281.346 3.850 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2ccccc2Cl)c(C)o1 ZINC000660020947 1120342586 /nfs/dbraw/zinc/34/25/86/1120342586.db2.gz HNZPDILGIIJGSK-SECBINFHSA-N 1 2 264.756 3.796 20 0 CHADLO CC(C)(CCO)Nc1ccc2c(c1)[nH+]cn2-c1ccccc1 ZINC001167739813 1120382082 /nfs/dbraw/zinc/38/20/82/1120382082.db2.gz QDLWXALCPCHBTP-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO CC(C)c1cc(C[NH2+]Cc2ccc([C@H]3C[C@H]3C)o2)on1 ZINC000114510631 1120384954 /nfs/dbraw/zinc/38/49/54/1120384954.db2.gz CQDAKEQBOGAQFX-RISCZKNCSA-N 1 2 274.364 3.804 20 0 CHADLO CC(C)c1cc(C[NH2+]Cc2ccc([C@H]3C[C@@H]3C)o2)on1 ZINC000114510633 1120385088 /nfs/dbraw/zinc/38/50/88/1120385088.db2.gz CQDAKEQBOGAQFX-FZMZJTMJSA-N 1 2 274.364 3.804 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OCc1ccc(Cl)cc1 ZINC000783160271 1120386012 /nfs/dbraw/zinc/38/60/12/1120386012.db2.gz REIONTGDDAAKON-UHFFFAOYSA-N 1 2 292.741 3.720 20 0 CHADLO CC1=CC[N@H+](Cc2cccc(F)c2OC(F)F)CC1 ZINC000660127075 1120389662 /nfs/dbraw/zinc/38/96/62/1120389662.db2.gz GITPECBERFNXNJ-UHFFFAOYSA-N 1 2 271.282 3.579 20 0 CHADLO CC1=CC[N@@H+](Cc2cccc(F)c2OC(F)F)CC1 ZINC000660127075 1120389667 /nfs/dbraw/zinc/38/96/67/1120389667.db2.gz GITPECBERFNXNJ-UHFFFAOYSA-N 1 2 271.282 3.579 20 0 CHADLO CCC(C)(C)c1ccc(C[N@H+](C)Cc2ncc[nH]2)cc1 ZINC000660142843 1120397500 /nfs/dbraw/zinc/39/75/00/1120397500.db2.gz MSGBLKDPFHUKJX-UHFFFAOYSA-N 1 2 271.408 3.729 20 0 CHADLO CCC(C)(C)c1ccc(C[N@@H+](C)Cc2ncc[nH]2)cc1 ZINC000660142843 1120397506 /nfs/dbraw/zinc/39/75/06/1120397506.db2.gz MSGBLKDPFHUKJX-UHFFFAOYSA-N 1 2 271.408 3.729 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)CCN1c1cccc[nH+]1 ZINC000543068612 1120398962 /nfs/dbraw/zinc/39/89/62/1120398962.db2.gz XSBHDFBOHBDPDN-HOCLYGCPSA-N 1 2 252.361 3.854 20 0 CHADLO Cc1nc(C[N@@H+]2[C@H](C)Cc3cc(F)ccc3[C@@H]2C)c(C)o1 ZINC000660163383 1120407599 /nfs/dbraw/zinc/40/75/99/1120407599.db2.gz GPIDZWGDRRVMQI-MNOVXSKESA-N 1 2 288.366 3.938 20 0 CHADLO Cc1nc(C[N@H+]2[C@H](C)Cc3cc(F)ccc3[C@@H]2C)c(C)o1 ZINC000660163383 1120407601 /nfs/dbraw/zinc/40/76/01/1120407601.db2.gz GPIDZWGDRRVMQI-MNOVXSKESA-N 1 2 288.366 3.938 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@H](c3ccccc3)CC2)no1 ZINC000066897657 1120417524 /nfs/dbraw/zinc/41/75/24/1120417524.db2.gz HSMDKOUQOLKNMH-INIZCTEOSA-N 1 2 299.418 3.792 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@H](c3ccccc3)CC2)no1 ZINC000066897657 1120417526 /nfs/dbraw/zinc/41/75/26/1120417526.db2.gz HSMDKOUQOLKNMH-INIZCTEOSA-N 1 2 299.418 3.792 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2ccc(F)c(F)c2)c(C)o1 ZINC000660204160 1120441046 /nfs/dbraw/zinc/44/10/46/1120441046.db2.gz YYOBIXVUFZBPAN-UHFFFAOYSA-N 1 2 280.318 3.595 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@H+](Cc2csc(C3CC3)n2)C1 ZINC000364103089 1120441130 /nfs/dbraw/zinc/44/11/30/1120441130.db2.gz QGPXKIZGMCKBIM-BLLLJJGKSA-N 1 2 299.443 3.999 20 0 CHADLO C[C@H]1C[C@H](c2cccnc2)[N@@H+](Cc2csc(C3CC3)n2)C1 ZINC000364103089 1120441134 /nfs/dbraw/zinc/44/11/34/1120441134.db2.gz QGPXKIZGMCKBIM-BLLLJJGKSA-N 1 2 299.443 3.999 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccccc1OC(C)C ZINC000053350746 1120478796 /nfs/dbraw/zinc/47/87/96/1120478796.db2.gz TWQJMTNFFXJJGQ-UHFFFAOYSA-N 1 2 288.391 3.711 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccccc1OC(C)C ZINC000053350746 1120478798 /nfs/dbraw/zinc/47/87/98/1120478798.db2.gz TWQJMTNFFXJJGQ-UHFFFAOYSA-N 1 2 288.391 3.711 20 0 CHADLO C[C@@H](OC(=O)[C@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000182001434 1128919313 /nfs/dbraw/zinc/91/93/13/1128919313.db2.gz BSICPMFNPOANGM-PBHICJAKSA-N 1 2 283.371 3.594 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(CC(F)(F)F)cc1 ZINC000417816768 1120484244 /nfs/dbraw/zinc/48/42/44/1120484244.db2.gz VAVNXQJJELBAMG-UHFFFAOYSA-N 1 2 283.297 3.620 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(-c2nc(C)c(C)o2)c1 ZINC000417835450 1120485161 /nfs/dbraw/zinc/48/51/61/1120485161.db2.gz WFXNZLWMSZBLFI-UHFFFAOYSA-N 1 2 296.374 3.787 20 0 CHADLO CCn1c[nH+]cc1CNc1ccccc1OC(C)(C)C ZINC000417847896 1120486416 /nfs/dbraw/zinc/48/64/16/1120486416.db2.gz ZXLSKXRIAUPKDK-UHFFFAOYSA-N 1 2 273.380 3.692 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000053425405 1120495157 /nfs/dbraw/zinc/49/51/57/1120495157.db2.gz IVHBLCKKYMMKMR-HZMBPMFUSA-N 1 2 274.364 3.640 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccc([C@H]2C[C@@H]2C)o1 ZINC000053425405 1120495160 /nfs/dbraw/zinc/49/51/60/1120495160.db2.gz IVHBLCKKYMMKMR-HZMBPMFUSA-N 1 2 274.364 3.640 20 0 CHADLO CC(C)C[C@@H](C)CC(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000069394334 1120502430 /nfs/dbraw/zinc/50/24/30/1120502430.db2.gz GENMWEVCYKCTSA-OAHLLOKOSA-N 1 2 299.418 3.561 20 0 CHADLO CC1(c2noc([C@H]3CC[N@@H+]3C3CCCC3)n2)CCCC1 ZINC000924146066 1120503059 /nfs/dbraw/zinc/50/30/59/1120503059.db2.gz UMQNCCFCZJANBU-CYBMUJFWSA-N 1 2 275.396 3.591 20 0 CHADLO CC1(c2noc([C@H]3CC[N@H+]3C3CCCC3)n2)CCCC1 ZINC000924146066 1120503062 /nfs/dbraw/zinc/50/30/62/1120503062.db2.gz UMQNCCFCZJANBU-CYBMUJFWSA-N 1 2 275.396 3.591 20 0 CHADLO Cc1cnc(Cl)c(C[NH2+]Cc2ccc(C)nc2Cl)c1 ZINC000922332884 1120515542 /nfs/dbraw/zinc/51/55/42/1120515542.db2.gz LFQPMSSNHIOHES-UHFFFAOYSA-N 1 2 296.201 3.690 20 0 CHADLO Cc1cc(F)ccc1C[NH2+][C@H]1c2ccccc2OC[C@H]1F ZINC000922525000 1120546561 /nfs/dbraw/zinc/54/65/61/1120546561.db2.gz IKQMXXADJTXADG-WBVHZDCISA-N 1 2 289.325 3.696 20 0 CHADLO Cc1cc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)cs1 ZINC000922521749 1120547118 /nfs/dbraw/zinc/54/71/18/1120547118.db2.gz MXAKKFHMRZBCPI-HIFRSBDPSA-N 1 2 277.364 3.618 20 0 CHADLO Cn1c[nH+]cc1CNc1ccccc1COc1ccccc1 ZINC000347723094 1120550318 /nfs/dbraw/zinc/55/03/18/1120550318.db2.gz MHFNSFBKKIZXBY-UHFFFAOYSA-N 1 2 293.370 3.611 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ncccn2)C2CC2)c(Cl)c1 ZINC000922577178 1120554853 /nfs/dbraw/zinc/55/48/53/1120554853.db2.gz NBTVMRUFBFAUPG-HNNXBMFYSA-N 1 2 287.794 3.679 20 0 CHADLO Fc1ccc2oc(C[NH2+][C@H](c3ccccn3)C3CC3)nc2c1 ZINC000922582174 1120556258 /nfs/dbraw/zinc/55/62/58/1120556258.db2.gz MPFJZRKMDOJTKB-KRWDZBQOSA-N 1 2 297.333 3.603 20 0 CHADLO CC(C)(CC1CCC1)Nc1cc(N)cc(Cl)[nH+]1 ZINC001161846524 1120556821 /nfs/dbraw/zinc/55/68/21/1120556821.db2.gz HKOPOOLCVSOSGQ-UHFFFAOYSA-N 1 2 253.777 3.698 20 0 CHADLO CCN(C)c1ccc(CNc2ccc([NH+](C)C)c(C)c2)cn1 ZINC000191398798 1128925035 /nfs/dbraw/zinc/92/50/35/1128925035.db2.gz UWUQCFJPKLLRTM-UHFFFAOYSA-N 1 2 298.434 3.524 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(OC)cc1 ZINC000398097097 1120567815 /nfs/dbraw/zinc/56/78/15/1120567815.db2.gz XYCLPNZKWITWJQ-ZIAGYGMSSA-N 1 2 269.335 3.924 20 0 CHADLO Cn1cnc(C[NH2+][C@H](c2ccc(F)cc2F)C(C)(C)C)c1 ZINC000673604078 1120571444 /nfs/dbraw/zinc/57/14/44/1120571444.db2.gz ZZFSYTKTIMNXIA-OAHLLOKOSA-N 1 2 293.361 3.575 20 0 CHADLO Cc1nc(C)c([C@H](C)[NH2+][C@@H]2CCCC2(F)F)s1 ZINC000398133074 1120572570 /nfs/dbraw/zinc/57/25/70/1120572570.db2.gz KEXRGOOPHPLMFW-WCBMZHEXSA-N 1 2 260.353 3.598 20 0 CHADLO Cc1csc([C@@H](C)[NH2+]Cc2ccc(C)cc2F)n1 ZINC000631061716 1120578112 /nfs/dbraw/zinc/57/81/12/1120578112.db2.gz FFPQWXNSDYZGJF-LLVKDONJSA-N 1 2 264.369 3.750 20 0 CHADLO COc1ccccc1-c1ccc([C@@H]2CC(=O)CC[N@@H+]2C)cc1 ZINC000629691801 1128925700 /nfs/dbraw/zinc/92/57/00/1128925700.db2.gz AVSVQXVLBSFBDK-SFHVURJKSA-N 1 2 295.382 3.698 20 0 CHADLO COc1ccccc1-c1ccc([C@@H]2CC(=O)CC[N@H+]2C)cc1 ZINC000629691801 1128925705 /nfs/dbraw/zinc/92/57/05/1128925705.db2.gz AVSVQXVLBSFBDK-SFHVURJKSA-N 1 2 295.382 3.698 20 0 CHADLO Cc1nc(C[N@H+]2CC=C(c3cccc(Cl)c3)CC2)co1 ZINC000660452647 1120622660 /nfs/dbraw/zinc/62/26/60/1120622660.db2.gz WNVSSYDONDNWAC-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1nc(C[N@@H+]2CC=C(c3cccc(Cl)c3)CC2)co1 ZINC000660452647 1120622662 /nfs/dbraw/zinc/62/26/62/1120622662.db2.gz WNVSSYDONDNWAC-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nc(C)no1)c1cccc(Cl)c1 ZINC000673627916 1120624454 /nfs/dbraw/zinc/62/44/54/1120624454.db2.gz XODAUXFFEVCOFA-TVQRCGJNSA-N 1 2 279.771 3.833 20 0 CHADLO COCCN(Cc1ccccc1)c1cc(C)[nH+]c(C(C)C)n1 ZINC000432064716 1120630309 /nfs/dbraw/zinc/63/03/09/1120630309.db2.gz RFKCBTPQLUJSPD-UHFFFAOYSA-N 1 2 299.418 3.561 20 0 CHADLO CC(C)c1cccc(C[N@@H+]2Cc3cccnc3C2)c1 ZINC000660461788 1120630628 /nfs/dbraw/zinc/63/06/28/1120630628.db2.gz JHDFNGYMCIKMCH-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO CC(C)c1cccc(C[N@H+]2Cc3cccnc3C2)c1 ZINC000660461788 1120630631 /nfs/dbraw/zinc/63/06/31/1120630631.db2.gz JHDFNGYMCIKMCH-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO C[C@H](C[N@H+](C)Cc1noc(C2CCC2)n1)c1ccccc1 ZINC000625133719 1120637848 /nfs/dbraw/zinc/63/78/48/1120637848.db2.gz LHDUNNZNECBBGR-CYBMUJFWSA-N 1 2 285.391 3.573 20 0 CHADLO C[C@H](C[N@@H+](C)Cc1noc(C2CCC2)n1)c1ccccc1 ZINC000625133719 1120637851 /nfs/dbraw/zinc/63/78/51/1120637851.db2.gz LHDUNNZNECBBGR-CYBMUJFWSA-N 1 2 285.391 3.573 20 0 CHADLO Cn1c[nH+]cc1COC1CC(c2ccccc2Cl)C1 ZINC001222600454 1120650429 /nfs/dbraw/zinc/65/04/29/1120650429.db2.gz PSGSCRUHTPDWTD-UHFFFAOYSA-N 1 2 276.767 3.536 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2cc(O)ccc2Cl)n1 ZINC000625255125 1120656523 /nfs/dbraw/zinc/65/65/23/1120656523.db2.gz WWIULYAJPATGQS-UHFFFAOYSA-N 1 2 296.823 3.835 20 0 CHADLO CNc1cccc(-c2ccc([C@@H]3CC(=O)CC[N@@H+]3C)cc2)c1 ZINC000629735608 1128931387 /nfs/dbraw/zinc/93/13/87/1128931387.db2.gz BLZYITLNAKWWJR-IBGZPJMESA-N 1 2 294.398 3.731 20 0 CHADLO CNc1cccc(-c2ccc([C@@H]3CC(=O)CC[N@H+]3C)cc2)c1 ZINC000629735608 1128931388 /nfs/dbraw/zinc/93/13/88/1128931388.db2.gz BLZYITLNAKWWJR-IBGZPJMESA-N 1 2 294.398 3.731 20 0 CHADLO COCC[C@H]([NH2+]Cc1cc(O)ccc1Cl)c1ccco1 ZINC000625319330 1120667810 /nfs/dbraw/zinc/66/78/10/1120667810.db2.gz MGWIWOPZDHFPRQ-AWEZNQCLSA-N 1 2 295.766 3.506 20 0 CHADLO FC(F)[C@@H]([NH2+]C[C@@H]1C[C@H]2C[C@H]2C1)c1ccccc1 ZINC000660624671 1120669676 /nfs/dbraw/zinc/66/96/76/1120669676.db2.gz FJFQTGKEURMZBS-GHYVTOPFSA-N 1 2 251.320 3.629 20 0 CHADLO COc1cccc2[nH+]c(NC(C3CC3)C3CC3)ccc21 ZINC001168743275 1120680758 /nfs/dbraw/zinc/68/07/58/1120680758.db2.gz ZCCKHIPGPFWMKN-UHFFFAOYSA-N 1 2 268.360 3.844 20 0 CHADLO CC[C@@]1(C)CC[N@@H+]([C@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001307583888 1120697109 /nfs/dbraw/zinc/69/71/09/1120697109.db2.gz RZNWTRLGIQBSIE-HOCLYGCPSA-N 1 2 295.810 3.676 20 0 CHADLO CC[C@@]1(C)CC[N@H+]([C@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001307583888 1120697112 /nfs/dbraw/zinc/69/71/12/1120697112.db2.gz RZNWTRLGIQBSIE-HOCLYGCPSA-N 1 2 295.810 3.676 20 0 CHADLO Fc1ccc([C@H]2C[N@@H+](C3CCCC3)CCO2)cc1Cl ZINC000092972938 1128935255 /nfs/dbraw/zinc/93/52/55/1128935255.db2.gz FHTICQWCOONTCK-OAHLLOKOSA-N 1 2 283.774 3.795 20 0 CHADLO Fc1ccc([C@H]2C[N@H+](C3CCCC3)CCO2)cc1Cl ZINC000092972938 1128935259 /nfs/dbraw/zinc/93/52/59/1128935259.db2.gz FHTICQWCOONTCK-OAHLLOKOSA-N 1 2 283.774 3.795 20 0 CHADLO CCCc1noc(C[N@H+](Cc2ccsc2)C(C)(C)C)n1 ZINC000661136970 1120725421 /nfs/dbraw/zinc/72/54/21/1120725421.db2.gz JKRKBBJYPHVWKT-UHFFFAOYSA-N 1 2 293.436 3.884 20 0 CHADLO CCCc1noc(C[N@@H+](Cc2ccsc2)C(C)(C)C)n1 ZINC000661136970 1120725425 /nfs/dbraw/zinc/72/54/25/1120725425.db2.gz JKRKBBJYPHVWKT-UHFFFAOYSA-N 1 2 293.436 3.884 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169593 1120731169 /nfs/dbraw/zinc/73/11/69/1120731169.db2.gz MWBBVVMDEYDMAC-ZBEGNZNMSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1CCO[C@](C)(C2CC2)C1 ZINC000661169593 1120731174 /nfs/dbraw/zinc/73/11/74/1120731174.db2.gz MWBBVVMDEYDMAC-ZBEGNZNMSA-N 1 2 281.346 3.527 20 0 CHADLO Cc1nc(C(C)C)ccc1C[NH2+][C@H](C)c1cscn1 ZINC000661415793 1120763432 /nfs/dbraw/zinc/76/34/32/1120763432.db2.gz UHEUYXGYOGNFFD-GFCCVEGCSA-N 1 2 275.421 3.821 20 0 CHADLO COc1cncc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)c1 ZINC000661434799 1120766073 /nfs/dbraw/zinc/76/60/73/1120766073.db2.gz MJQHUOARCZHQAV-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO COc1cncc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)c1 ZINC000661434799 1120766077 /nfs/dbraw/zinc/76/60/77/1120766077.db2.gz MJQHUOARCZHQAV-QGZVFWFLSA-N 1 2 298.386 3.801 20 0 CHADLO C[C@H]1COC2(CCC2)C[N@@H+]1Cc1ccc(Cl)s1 ZINC000661464752 1120768982 /nfs/dbraw/zinc/76/89/82/1120768982.db2.gz AIONJSNCUMGFLW-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO C[C@H]1COC2(CCC2)C[N@H+]1Cc1ccc(Cl)s1 ZINC000661464752 1120768985 /nfs/dbraw/zinc/76/89/85/1120768985.db2.gz AIONJSNCUMGFLW-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2ccccc2F)C(C)C)oc1C ZINC000661485122 1120770376 /nfs/dbraw/zinc/77/03/76/1120770376.db2.gz JTYDSHXIDAUELO-MRXNPFEDSA-N 1 2 276.355 3.917 20 0 CHADLO Cc1ncc(C[N@@H+]2CC[C@H]2c2ccc(F)cc2)s1 ZINC000661507746 1120771836 /nfs/dbraw/zinc/77/18/36/1120771836.db2.gz BKOMQCNQVAMLFP-AWEZNQCLSA-N 1 2 262.353 3.538 20 0 CHADLO Cc1ncc(C[N@H+]2CC[C@H]2c2ccc(F)cc2)s1 ZINC000661507746 1120771840 /nfs/dbraw/zinc/77/18/40/1120771840.db2.gz BKOMQCNQVAMLFP-AWEZNQCLSA-N 1 2 262.353 3.538 20 0 CHADLO CCCOc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)cc1F ZINC001211670219 1120785532 /nfs/dbraw/zinc/78/55/32/1120785532.db2.gz DSVWOFCBAITARF-UHFFFAOYSA-N 1 2 299.349 3.844 20 0 CHADLO CCC1(CC)CCC[N@H+](Cc2noc(C(C)C)n2)C1 ZINC000661675427 1120793007 /nfs/dbraw/zinc/79/30/07/1120793007.db2.gz WHRIKXJQWVKDBD-UHFFFAOYSA-N 1 2 265.401 3.595 20 0 CHADLO CCC1(CC)CCC[N@@H+](Cc2noc(C(C)C)n2)C1 ZINC000661675427 1120793011 /nfs/dbraw/zinc/79/30/11/1120793011.db2.gz WHRIKXJQWVKDBD-UHFFFAOYSA-N 1 2 265.401 3.595 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2F)CC2CC2)oc1C ZINC000661793646 1120816127 /nfs/dbraw/zinc/81/61/27/1120816127.db2.gz OIOWMJXNNCXHQB-UHFFFAOYSA-N 1 2 288.366 3.843 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2F)CC2CC2)oc1C ZINC000661793646 1120816131 /nfs/dbraw/zinc/81/61/31/1120816131.db2.gz OIOWMJXNNCXHQB-UHFFFAOYSA-N 1 2 288.366 3.843 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCc3c2c(F)ccc3F)c1 ZINC000652330380 1120828358 /nfs/dbraw/zinc/82/83/58/1120828358.db2.gz DXNKGCXEMVGCKY-AWEZNQCLSA-N 1 2 290.313 3.606 20 0 CHADLO CC[C@@H](Nc1cc(COC)cc[nH+]1)c1cccc(OC)c1 ZINC000652330768 1120828905 /nfs/dbraw/zinc/82/89/05/1120828905.db2.gz PCRPQOJXCIUGNP-MRXNPFEDSA-N 1 2 286.375 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(C)s2)c1 ZINC000652331033 1120829645 /nfs/dbraw/zinc/82/96/45/1120829645.db2.gz WGOGLFPCKXVWFC-NSHDSACASA-N 1 2 262.378 3.771 20 0 CHADLO CC[N@H+](C[C@@H]1CCNC(=O)CC1)c1cccc2ccccc21 ZINC000661936819 1120841806 /nfs/dbraw/zinc/84/18/06/1120841806.db2.gz HXPXGJVTGFBERU-HNNXBMFYSA-N 1 2 296.414 3.582 20 0 CHADLO CC[N@@H+](C[C@@H]1CCNC(=O)CC1)c1cccc2ccccc21 ZINC000661936819 1120841807 /nfs/dbraw/zinc/84/18/07/1120841807.db2.gz HXPXGJVTGFBERU-HNNXBMFYSA-N 1 2 296.414 3.582 20 0 CHADLO CC(C)c1[nH+]cc(-c2cccc(-c3ncc[nH]3)c2)n1C ZINC000630051929 1128945177 /nfs/dbraw/zinc/94/51/77/1128945177.db2.gz SRPDNVUZMZDNTC-UHFFFAOYSA-N 1 2 266.348 3.601 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc2c(c1)C=CCCC2)n1cc[nH+]c1 ZINC000618849708 1128946639 /nfs/dbraw/zinc/94/66/39/1128946639.db2.gz AZRMQDFFQCJMGE-AWEZNQCLSA-N 1 2 295.386 3.822 20 0 CHADLO Cc1sc(Cn2cc[nH+]c2)nc1C1CCCCC1 ZINC000663220453 1120894006 /nfs/dbraw/zinc/89/40/06/1120894006.db2.gz RMIHTEVVXCJBQK-UHFFFAOYSA-N 1 2 261.394 3.744 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@H+](C)CC1=CCSC1 ZINC000662268922 1120914707 /nfs/dbraw/zinc/91/47/07/1120914707.db2.gz QAIFDUFPFLUWKD-LLVKDONJSA-N 1 2 280.437 3.638 20 0 CHADLO C[C@H](c1ncc(C(C)(C)C)o1)[N@@H+](C)CC1=CCSC1 ZINC000662268922 1120914712 /nfs/dbraw/zinc/91/47/12/1120914712.db2.gz QAIFDUFPFLUWKD-LLVKDONJSA-N 1 2 280.437 3.638 20 0 CHADLO CC(C)[C@H]1C[N@H+](Cc2csc(C3CC3)n2)CCS1 ZINC000162091644 1120935956 /nfs/dbraw/zinc/93/59/56/1120935956.db2.gz AGGGKRRDXHIIRL-CYBMUJFWSA-N 1 2 282.478 3.594 20 0 CHADLO CC(C)[C@H]1C[N@@H+](Cc2csc(C3CC3)n2)CCS1 ZINC000162091644 1120935960 /nfs/dbraw/zinc/93/59/60/1120935960.db2.gz AGGGKRRDXHIIRL-CYBMUJFWSA-N 1 2 282.478 3.594 20 0 CHADLO COc1ccc(C)cc1CSc1[nH+]cnc2[nH]ccc21 ZINC000343908703 1120957498 /nfs/dbraw/zinc/95/74/98/1120957498.db2.gz AIUWXUIRCBCWSD-UHFFFAOYSA-N 1 2 285.372 3.567 20 0 CHADLO C[C@@H]1C[NH+](Cc2ncc(C(C)(C)C)o2)C[C@@H](C)C1(F)F ZINC000625649609 1121045419 /nfs/dbraw/zinc/04/54/19/1121045419.db2.gz UOQZJTJIZDULAN-GHMZBOCLSA-N 1 2 286.366 3.695 20 0 CHADLO COc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1C ZINC001174830426 1121048452 /nfs/dbraw/zinc/04/84/52/1121048452.db2.gz UIFJKLGUEDDGFW-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO Cc1ccc2c(Nc3ccccc3O)cccc2[nH+]1 ZINC001206233594 1121057967 /nfs/dbraw/zinc/05/79/67/1121057967.db2.gz HEEXHTRDGXSGLJ-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO c1cc(Nc2ccc3c(c2)OCO3)[nH+]c(C2CCC2)c1 ZINC001174866267 1121073617 /nfs/dbraw/zinc/07/36/17/1121073617.db2.gz ZNHIPVNKQKNCDY-UHFFFAOYSA-N 1 2 268.316 3.821 20 0 CHADLO C[C@@H](CC(=O)N[C@H](c1ccccc1)C(C)(C)C)n1cc[nH+]c1 ZINC000649606761 1128960683 /nfs/dbraw/zinc/96/06/83/1128960683.db2.gz QTSWAYZHJRQLNF-WMLDXEAASA-N 1 2 299.418 3.738 20 0 CHADLO FC(F)C1([NH2+]Cc2ccc3ncccc3c2)CCCC1 ZINC000674135393 1121094537 /nfs/dbraw/zinc/09/45/37/1121094537.db2.gz PKIPBUYNKUBTSZ-UHFFFAOYSA-N 1 2 276.330 3.902 20 0 CHADLO COCc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1 ZINC001174924634 1121098359 /nfs/dbraw/zinc/09/83/59/1121098359.db2.gz DCSKTSIODLREOM-UHFFFAOYSA-N 1 2 293.370 3.821 20 0 CHADLO CCOc1cc(Nc2cc(F)c(OC)cc2F)cc(C)[nH+]1 ZINC001174926328 1121099449 /nfs/dbraw/zinc/09/94/49/1121099449.db2.gz XRMOCSSIGOBZBH-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO COc1cc(F)c(Nc2ccc3c(c2)[nH+]cn3C)cc1F ZINC001174928136 1121100335 /nfs/dbraw/zinc/10/03/35/1121100335.db2.gz VQFLPQHIBATMPO-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)cc1N ZINC001174934278 1121102462 /nfs/dbraw/zinc/10/24/62/1121102462.db2.gz RPQTZQYPZDOFNW-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cc1N ZINC001174933946 1121102640 /nfs/dbraw/zinc/10/26/40/1121102640.db2.gz XKWLLXZDTBEZSX-UHFFFAOYSA-N 1 2 282.391 3.706 20 0 CHADLO Cc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1N ZINC001174935208 1121103425 /nfs/dbraw/zinc/10/34/25/1121103425.db2.gz MHBSHJKNQXSBNM-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](C)c2ccc(F)c3ccccc32)o1 ZINC000344148131 1121104114 /nfs/dbraw/zinc/10/41/14/1121104114.db2.gz RSQQJOOHHBZLKB-JTQLQIEISA-N 1 2 285.322 3.521 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)C2CCCC2)c(C)[nH+]1 ZINC000674265509 1121111038 /nfs/dbraw/zinc/11/10/38/1121111038.db2.gz XHINSXIEYVEQFT-LBPRGKRZSA-N 1 2 260.381 3.772 20 0 CHADLO COc1cc(Nc2cccc3[nH+]c(C)cn32)ccc1C ZINC001175013726 1121134776 /nfs/dbraw/zinc/13/47/76/1121134776.db2.gz QUBSFNGKRLZVLH-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COc1ccc(Nc2cccn3cc(C)[nH+]c23)c(F)c1F ZINC001175021626 1121138930 /nfs/dbraw/zinc/13/89/30/1121138930.db2.gz WNCZYVRQSAYPNY-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](CCc1c(F)cccc1Cl)C2 ZINC000625727073 1121139544 /nfs/dbraw/zinc/13/95/44/1121139544.db2.gz QBHWIZDZCBMGEO-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](CCc1c(F)cccc1Cl)C2 ZINC000625727073 1121139547 /nfs/dbraw/zinc/13/95/47/1121139547.db2.gz QBHWIZDZCBMGEO-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2[C@@H](C)c2noc(C)n2)s1 ZINC000351517151 1121140898 /nfs/dbraw/zinc/14/08/98/1121140898.db2.gz IPTFRJSRCBFXRB-JQWIXIFHSA-N 1 2 277.393 3.646 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2[C@@H](C)c2noc(C)n2)s1 ZINC000351517151 1121140899 /nfs/dbraw/zinc/14/08/99/1121140899.db2.gz IPTFRJSRCBFXRB-JQWIXIFHSA-N 1 2 277.393 3.646 20 0 CHADLO Oc1cccc2c1CCC[C@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000643317258 1121143880 /nfs/dbraw/zinc/14/38/80/1121143880.db2.gz ZSWSCVMOQXIDPN-TZMCWYRMSA-N 1 2 267.319 3.547 20 0 CHADLO Cc1ccc(C(C)(C)[NH2+]Cc2cc(C(C)(C)C)on2)cn1 ZINC000351602207 1121184271 /nfs/dbraw/zinc/18/42/71/1121184271.db2.gz HQWWCBJRQLQEOJ-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(CO)ccc2F)cc1 ZINC001175132979 1121196302 /nfs/dbraw/zinc/19/63/02/1121196302.db2.gz YKTKSTVBWBLJRH-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(CO)ccc2F)cc1 ZINC001175132979 1121196307 /nfs/dbraw/zinc/19/63/07/1121196307.db2.gz YKTKSTVBWBLJRH-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC(C)C)c(C)c1 ZINC001175192004 1121203526 /nfs/dbraw/zinc/20/35/26/1121203526.db2.gz KCYMUCMWSQMSOH-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO Cc1ccc(Nc2c[nH+]c(N3CCCC[C@H]3C)c(C)c2)nn1 ZINC000663780987 1121230488 /nfs/dbraw/zinc/23/04/88/1121230488.db2.gz NWOFNQZVHSRNAO-CQSZACIVSA-N 1 2 297.406 3.611 20 0 CHADLO CCOc1cccc(F)c1Nc1cc(C)[nH+]c(OC)c1 ZINC001175224149 1121230526 /nfs/dbraw/zinc/23/05/26/1121230526.db2.gz SBMNSFMTBVSWHT-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CCOc1c(F)cccc1Nc1cccn2cc(C)[nH+]c12 ZINC001212534236 1121252250 /nfs/dbraw/zinc/25/22/50/1121252250.db2.gz GSLLPWIRUDNVHM-UHFFFAOYSA-N 1 2 285.322 3.924 20 0 CHADLO Cc1ccoc1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000373709534 1121261951 /nfs/dbraw/zinc/26/19/51/1121261951.db2.gz ZKPBOILLDYXDKD-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Fc1ccc(Cl)cc1Nc1cccn2cc[nH+]c12 ZINC001175299309 1121261904 /nfs/dbraw/zinc/26/19/04/1121261904.db2.gz HZBIBDZXGCPZTH-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO Cc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1Cl ZINC001175341281 1121272268 /nfs/dbraw/zinc/27/22/68/1121272268.db2.gz GGBCAFQDNANUTQ-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO CN(C)c1ccc(Nc2ccc(F)c(C3CC3)c2)c[nH+]1 ZINC001175343282 1121272423 /nfs/dbraw/zinc/27/24/23/1121272423.db2.gz DTPGBCCHXHHKAM-UHFFFAOYSA-N 1 2 271.339 3.908 20 0 CHADLO CN(C)c1ccc(Nc2ccc(C3CCC3)nc2)c[nH+]1 ZINC001175341348 1121272783 /nfs/dbraw/zinc/27/27/83/1121272783.db2.gz HGKBBLDLXBPJSG-UHFFFAOYSA-N 1 2 268.364 3.554 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2-c2ccncc2)c[nH+]1 ZINC001175344699 1121273533 /nfs/dbraw/zinc/27/35/33/1121273533.db2.gz WJHSEEJWRAGEIQ-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2OCC2CC2)c[nH+]1 ZINC001175344390 1121273553 /nfs/dbraw/zinc/27/35/53/1121273553.db2.gz RIDHVLXYYIWDNJ-UHFFFAOYSA-N 1 2 283.375 3.680 20 0 CHADLO Fc1cnc(Cl)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175346612 1121273798 /nfs/dbraw/zinc/27/37/98/1121273798.db2.gz ZGEXXNLKPMALAR-UHFFFAOYSA-N 1 2 292.745 3.613 20 0 CHADLO CC(=O)c1ccc(Cl)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175346083 1121274719 /nfs/dbraw/zinc/27/47/19/1121274719.db2.gz LWFMDMYXICBLFF-UHFFFAOYSA-N 1 2 289.766 3.747 20 0 CHADLO FC(F)c1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC001175348809 1121276924 /nfs/dbraw/zinc/27/69/24/1121276924.db2.gz KTOZPHOZYCFCFI-UHFFFAOYSA-N 1 2 290.317 3.758 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2csnn2)CC(C)C)c(C)c1 ZINC000645972508 1121280722 /nfs/dbraw/zinc/28/07/22/1121280722.db2.gz AIAAQSAUQFBUOK-UHFFFAOYSA-N 1 2 289.448 3.813 20 0 CHADLO Cc1ccc(C[N@H+](Cc2csnn2)CC(C)C)c(C)c1 ZINC000645972508 1121280727 /nfs/dbraw/zinc/28/07/27/1121280727.db2.gz AIAAQSAUQFBUOK-UHFFFAOYSA-N 1 2 289.448 3.813 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2csc(C(C)(C)C)n2)CCS1 ZINC000174355604 1121281847 /nfs/dbraw/zinc/28/18/47/1121281847.db2.gz MGZAZFFTPSEXGW-GFCCVEGCSA-N 1 2 284.494 3.768 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2csc(C(C)(C)C)n2)CCS1 ZINC000174355604 1121281849 /nfs/dbraw/zinc/28/18/49/1121281849.db2.gz MGZAZFFTPSEXGW-GFCCVEGCSA-N 1 2 284.494 3.768 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(F)c1Cl)c1ccncc1F ZINC000338366279 1121283542 /nfs/dbraw/zinc/28/35/42/1121283542.db2.gz IGRGJBOOPFMFFA-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO Oc1cccc(Nc2cccc3cc[nH+]cc32)c1F ZINC001212550365 1121286110 /nfs/dbraw/zinc/28/61/10/1121286110.db2.gz JGHWQSFDSQYQQR-UHFFFAOYSA-N 1 2 254.264 3.823 20 0 CHADLO CN(C)c1ccc(Nc2cc(-c3cccs3)no2)c[nH+]1 ZINC001175340467 1121290641 /nfs/dbraw/zinc/29/06/41/1121290641.db2.gz HWLQQWJJEWSFBF-UHFFFAOYSA-N 1 2 286.360 3.608 20 0 CHADLO COc1cc(Nc2[nH+]cccc2C2CC2)ccc1F ZINC001175396249 1121291046 /nfs/dbraw/zinc/29/10/46/1121291046.db2.gz HHXIMRZPSSTXFM-UHFFFAOYSA-N 1 2 258.296 3.850 20 0 CHADLO CC(=O)c1c(F)cccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175350609 1121291760 /nfs/dbraw/zinc/29/17/60/1121291760.db2.gz GVIQCYCXJYHRPA-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO O=C1CCc2c1cccc2Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175353109 1121293922 /nfs/dbraw/zinc/29/39/22/1121293922.db2.gz ZUJHVIOZYKGFBX-UHFFFAOYSA-N 1 2 293.370 3.554 20 0 CHADLO c1noc2ccc(Nc3ccc(N4CCCC4)[nH+]c3)cc12 ZINC001175352106 1121294438 /nfs/dbraw/zinc/29/44/38/1121294438.db2.gz RGMRSZLMFLLYAO-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO COCC1CC[NH+](Cc2csc(Cl)c2Cl)CC1 ZINC000432752514 1121318086 /nfs/dbraw/zinc/31/80/86/1121318086.db2.gz MHIIWPUVLAXHDO-UHFFFAOYSA-N 1 2 294.247 3.913 20 0 CHADLO CC[C@H](CC(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C(C)(C)C ZINC000630920060 1128975896 /nfs/dbraw/zinc/97/58/96/1128975896.db2.gz INYINKNCTCEJRZ-CABCVRRESA-N 1 2 291.439 3.509 20 0 CHADLO Fc1ccc([C@H]2CCCC[N@@H+]2Cc2cocn2)cc1 ZINC000432725323 1121297546 /nfs/dbraw/zinc/29/75/46/1121297546.db2.gz MQAHKCKTAUFLHR-OAHLLOKOSA-N 1 2 260.312 3.541 20 0 CHADLO Fc1ccc([C@H]2CCCC[N@H+]2Cc2cocn2)cc1 ZINC000432725323 1121297552 /nfs/dbraw/zinc/29/75/52/1121297552.db2.gz MQAHKCKTAUFLHR-OAHLLOKOSA-N 1 2 260.312 3.541 20 0 CHADLO Fc1c(F)c(F)c(Nc2ccn3cc[nH+]c3c2)c(F)c1F ZINC001175384011 1121308363 /nfs/dbraw/zinc/30/83/63/1121308363.db2.gz FKEFWNQSJWRGKK-UHFFFAOYSA-N 1 2 299.202 3.773 20 0 CHADLO c1nnc([C@@H]([NH2+]CCCC2CCCCC2)c2ccccc2)[nH]1 ZINC000675647702 1121332830 /nfs/dbraw/zinc/33/28/30/1121332830.db2.gz NCFADIHNCKIRIP-KRWDZBQOSA-N 1 2 298.434 3.844 20 0 CHADLO COc1cc(C)cc(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001211723869 1121337728 /nfs/dbraw/zinc/33/77/28/1121337728.db2.gz XKQRLLXVRZCCQO-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO c1cn(-c2ccccc2Nc2ccc3nccnc3c2)c[nH+]1 ZINC001175487904 1121339607 /nfs/dbraw/zinc/33/96/07/1121339607.db2.gz KIPLFWRKFVHXTB-UHFFFAOYSA-N 1 2 287.326 3.559 20 0 CHADLO C[C@@H]1CC[C@H]([N@H+](C)Cc2noc(C3CCCCC3)n2)C1 ZINC000429584733 1121342224 /nfs/dbraw/zinc/34/22/24/1121342224.db2.gz GIXJQPOMCHZQEF-OCCSQVGLSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]1CC[C@H]([N@@H+](C)Cc2noc(C3CCCCC3)n2)C1 ZINC000429584733 1121342232 /nfs/dbraw/zinc/34/22/32/1121342232.db2.gz GIXJQPOMCHZQEF-OCCSQVGLSA-N 1 2 277.412 3.738 20 0 CHADLO Cc1cc(OCCc2cscn2)c2cccc(C)c2[nH+]1 ZINC000418938618 1121343843 /nfs/dbraw/zinc/34/38/43/1121343843.db2.gz GYVOCOMPUFTFEP-UHFFFAOYSA-N 1 2 284.384 3.930 20 0 CHADLO Cc1cc(OCCC(C)(F)F)c2ccccc2[nH+]1 ZINC000418964800 1121351331 /nfs/dbraw/zinc/35/13/31/1121351331.db2.gz QZICABWNXQKGAA-UHFFFAOYSA-N 1 2 251.276 3.967 20 0 CHADLO CSc1ccc(F)cc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001215450825 1121356083 /nfs/dbraw/zinc/35/60/83/1121356083.db2.gz IDIPABUZLNDWBN-UHFFFAOYSA-N 1 2 287.363 3.777 20 0 CHADLO Cc1cn2c(cccc2Nc2cc3c[nH]nc3c(C)c2)[nH+]1 ZINC001175516716 1121356885 /nfs/dbraw/zinc/35/68/85/1121356885.db2.gz YBSFMABWXVHVBM-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cccc(CO)c1 ZINC001175514431 1121357274 /nfs/dbraw/zinc/35/72/74/1121357274.db2.gz WHMBUNNSTVZUMH-UHFFFAOYSA-N 1 2 270.376 3.824 20 0 CHADLO COc1ccc(Nc2c(C)cc[nH+]c2C(C)C)c(OC)n1 ZINC001175583259 1121373787 /nfs/dbraw/zinc/37/37/87/1121373787.db2.gz JJJNRMYTMSZBCO-UHFFFAOYSA-N 1 2 287.363 3.669 20 0 CHADLO CSc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cn1 ZINC001175653332 1121398789 /nfs/dbraw/zinc/39/87/89/1121398789.db2.gz RNHVSOWHLRNPDB-UHFFFAOYSA-N 1 2 282.372 3.733 20 0 CHADLO CC(C)c1nc(C[N@H+](C)CCOC(C)(C)C)cs1 ZINC000676042762 1121399404 /nfs/dbraw/zinc/39/94/04/1121399404.db2.gz NNWYZZJDGYQSSG-UHFFFAOYSA-N 1 2 270.442 3.513 20 0 CHADLO CC(C)c1nc(C[N@@H+](C)CCOC(C)(C)C)cs1 ZINC000676042762 1121399412 /nfs/dbraw/zinc/39/94/12/1121399412.db2.gz NNWYZZJDGYQSSG-UHFFFAOYSA-N 1 2 270.442 3.513 20 0 CHADLO Fc1cc(F)c(C[NH2+]Cc2ccc(Cl)o2)cc1F ZINC000676044465 1121401604 /nfs/dbraw/zinc/40/16/04/1121401604.db2.gz WTKXWBVZVSVEJJ-UHFFFAOYSA-N 1 2 275.657 3.640 20 0 CHADLO CCC[C@@]1(C)CCC[N@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC000432836956 1121401722 /nfs/dbraw/zinc/40/17/22/1121401722.db2.gz PYRRDUOYITWIJY-INIZCTEOSA-N 1 2 278.444 3.504 20 0 CHADLO CCC[C@@]1(C)CCC[N@@H+](Cc2nnc(C(C)(C)C)[nH]2)C1 ZINC000432836956 1121401727 /nfs/dbraw/zinc/40/17/27/1121401727.db2.gz PYRRDUOYITWIJY-INIZCTEOSA-N 1 2 278.444 3.504 20 0 CHADLO C[N@H+](C/C(Cl)=C\Cl)[C@@H]1CCCN(c2ccccc2)C1 ZINC000763560867 1128983474 /nfs/dbraw/zinc/98/34/74/1128983474.db2.gz PTAJNMYUZALOML-NRMKIYEFSA-N 1 2 299.245 3.906 20 0 CHADLO C[N@@H+](C/C(Cl)=C\Cl)[C@@H]1CCCN(c2ccccc2)C1 ZINC000763560867 1128983477 /nfs/dbraw/zinc/98/34/77/1128983477.db2.gz PTAJNMYUZALOML-NRMKIYEFSA-N 1 2 299.245 3.906 20 0 CHADLO COc1cc(C)cc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001211729615 1121415620 /nfs/dbraw/zinc/41/56/20/1121415620.db2.gz FVCJXGAKQQMSPS-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO c1ccc2c(c1)n[nH]c2CNc1cccc2cc[nH+]cc21 ZINC000676325111 1121424624 /nfs/dbraw/zinc/42/46/24/1121424624.db2.gz YHDZTUYBWXCQRY-UHFFFAOYSA-N 1 2 274.327 3.723 20 0 CHADLO Cc1n[nH]c2cc(Nc3cccc(-n4cc[nH+]c4)c3)ccc12 ZINC001175661934 1121426073 /nfs/dbraw/zinc/42/60/73/1121426073.db2.gz WZYDVFMGLYKOQN-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ccc3oncc3c2)c[nH+]1 ZINC001175713924 1121434742 /nfs/dbraw/zinc/43/47/42/1121434742.db2.gz GXBCYQUCUDZFHW-UHFFFAOYSA-N 1 2 294.236 3.567 20 0 CHADLO Cc1cc(F)cc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175716949 1121436686 /nfs/dbraw/zinc/43/66/86/1121436686.db2.gz DLISQIGZEBFMRV-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO CCc1noc(CC)c1C[NH2+][C@@H](C)c1nc(C)sc1C ZINC000676492317 1121436794 /nfs/dbraw/zinc/43/67/94/1121436794.db2.gz MFXGPPIIBRATBH-VIFPVBQESA-N 1 2 293.436 3.724 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c(F)cc(OC)cc1F ZINC001175723691 1121438833 /nfs/dbraw/zinc/43/88/33/1121438833.db2.gz IAOVDXRKMZBHSW-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2CC=C(c3ccc(O)cc3)CC2)n1 ZINC000893914369 1121439177 /nfs/dbraw/zinc/43/91/77/1121439177.db2.gz GPSLEYVXSQXLAS-UHFFFAOYSA-N 1 2 297.402 3.528 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2CC=C(c3ccc(O)cc3)CC2)n1 ZINC000893914369 1121439180 /nfs/dbraw/zinc/43/91/80/1121439180.db2.gz GPSLEYVXSQXLAS-UHFFFAOYSA-N 1 2 297.402 3.528 20 0 CHADLO Cc1ncoc1C[N@@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000893928421 1121441056 /nfs/dbraw/zinc/44/10/56/1121441056.db2.gz QSNBKYNXWJWYND-ABAIWWIYSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1ncoc1C[N@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000893928421 1121441062 /nfs/dbraw/zinc/44/10/62/1121441062.db2.gz QSNBKYNXWJWYND-ABAIWWIYSA-N 1 2 286.375 3.864 20 0 CHADLO CC[N@H+](Cc1nc(C(C)C)c[nH]1)[C@H](C)c1cccc(O)c1 ZINC000893962876 1121444175 /nfs/dbraw/zinc/44/41/75/1121444175.db2.gz UWKIROSAPOORBI-CYBMUJFWSA-N 1 2 287.407 3.822 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)C)c[nH]1)[C@H](C)c1cccc(O)c1 ZINC000893962876 1121444178 /nfs/dbraw/zinc/44/41/78/1121444178.db2.gz UWKIROSAPOORBI-CYBMUJFWSA-N 1 2 287.407 3.822 20 0 CHADLO c1cn2ccc(Nc3ccc4ccncc4c3)cc2[nH+]1 ZINC001175705039 1121448607 /nfs/dbraw/zinc/44/86/07/1121448607.db2.gz NNKULJSGWYCMMD-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1[nH]nc(C[N@H+]2CC[C@H](c3ccc(Cl)cc3)C2)c1C ZINC000894019440 1121453856 /nfs/dbraw/zinc/45/38/56/1121453856.db2.gz CMBNOXBEKGZKIR-AWEZNQCLSA-N 1 2 289.810 3.669 20 0 CHADLO C[C@H]1COCCC[N@@H+]1Cc1ccc(Cl)c(Cl)c1 ZINC000676710713 1121458449 /nfs/dbraw/zinc/45/84/49/1121458449.db2.gz HWLFAQKDSCIYLB-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO Clc1ccc(CNc2ccc([NH+]3CCCC3)cc2)nc1 ZINC000707404028 1121459067 /nfs/dbraw/zinc/45/90/67/1121459067.db2.gz KEYYTQTWMWJJPE-UHFFFAOYSA-N 1 2 287.794 3.947 20 0 CHADLO CC(C)c1cccc(Nc2ccc(N3CC[C@H](O)C3)[nH+]c2)c1 ZINC001175777125 1121463123 /nfs/dbraw/zinc/46/31/23/1121463123.db2.gz ZNLAVUXPCFJRES-KRWDZBQOSA-N 1 2 297.402 3.520 20 0 CHADLO Cc1conc1C[NH2+][C@H](C)c1ccccc1C(F)(F)F ZINC000708223369 1121463392 /nfs/dbraw/zinc/46/33/92/1121463392.db2.gz YQVDGDRNUFVSCH-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO COc1cc(Cl)c(C)cc1NCc1[nH]c(C)c(C)[nH+]1 ZINC000708970508 1121467172 /nfs/dbraw/zinc/46/71/72/1121467172.db2.gz VDWZXVXUZXJPCT-UHFFFAOYSA-N 1 2 279.771 3.609 20 0 CHADLO CCCOc1cc(C)ccc1NCc1[nH]c(C)c(C)[nH+]1 ZINC000709013764 1121467307 /nfs/dbraw/zinc/46/73/07/1121467307.db2.gz MHSXYYVOGBTEIW-UHFFFAOYSA-N 1 2 273.380 3.736 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(-c3ccccc3)nc2)c(N)c1 ZINC001175832433 1121469456 /nfs/dbraw/zinc/46/94/56/1121469456.db2.gz BVVSIAOFOYZMQO-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO CCCn1nc(C)cc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001175803357 1121473305 /nfs/dbraw/zinc/47/33/05/1121473305.db2.gz JDYARQZWCFRQQK-UHFFFAOYSA-N 1 2 295.390 3.590 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cnn(C2CCCCC2)c1 ZINC001175878089 1121475500 /nfs/dbraw/zinc/47/55/00/1121475500.db2.gz NXUMFZWSBOCEAB-UHFFFAOYSA-N 1 2 295.390 3.618 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc(-c2cccnc2)cc1 ZINC001175886723 1121477365 /nfs/dbraw/zinc/47/73/65/1121477365.db2.gz QEYNZAMUCVXMAF-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccc(F)cn3)ccc12 ZINC001175850801 1121481287 /nfs/dbraw/zinc/48/12/87/1121481287.db2.gz RCESADNXNQRGQP-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2nnc(C(C)(C)C)[nH]2)cc1 ZINC000432938047 1121487002 /nfs/dbraw/zinc/48/70/02/1121487002.db2.gz MENQNCWYYGMEPT-HNNXBMFYSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2nnc(C(C)(C)C)[nH]2)cc1 ZINC000432938047 1121487006 /nfs/dbraw/zinc/48/70/06/1121487006.db2.gz MENQNCWYYGMEPT-HNNXBMFYSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1nn(C)cc1[C@H]1CCCN1c1cc2ccccc2c[nH+]1 ZINC000631164120 1128988453 /nfs/dbraw/zinc/98/84/53/1128988453.db2.gz NLZQXDHVXLAZMR-QGZVFWFLSA-N 1 2 292.386 3.618 20 0 CHADLO CCc1onc(C)c1C[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC000922981052 1121505684 /nfs/dbraw/zinc/50/56/84/1121505684.db2.gz CNWNNTYABZKAIQ-UHFFFAOYSA-N 1 2 293.436 3.589 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(-c2nnc(C)o2)c1 ZINC001175989345 1121515047 /nfs/dbraw/zinc/51/50/47/1121515047.db2.gz ILSDKYLXOKZIHZ-UHFFFAOYSA-N 1 2 296.330 3.582 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCS[C@H](C)[C@H]2C)cs1 ZINC000345084403 1121535146 /nfs/dbraw/zinc/53/51/46/1121535146.db2.gz IRLYKBBUQDOBGO-VXGBXAGGSA-N 1 2 284.494 3.812 20 0 CHADLO CCCCc1nc(C[N@H+]2CCS[C@H](C)[C@H]2C)cs1 ZINC000345084403 1121535149 /nfs/dbraw/zinc/53/51/49/1121535149.db2.gz IRLYKBBUQDOBGO-VXGBXAGGSA-N 1 2 284.494 3.812 20 0 CHADLO FC(F)[C@@H]([NH2+]CC[C@@H]1CCCCO1)c1ccccc1 ZINC000433049707 1121538332 /nfs/dbraw/zinc/53/83/32/1121538332.db2.gz XQITVUKVOLCTOI-KBPBESRZSA-N 1 2 269.335 3.542 20 0 CHADLO COc1cccc2[nH]c(Nc3c[nH+]c(C)cc3C)cc21 ZINC001176062638 1121543937 /nfs/dbraw/zinc/54/39/37/1121543937.db2.gz YYVLJALESZAEEX-UHFFFAOYSA-N 1 2 267.332 3.932 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2c[nH]c(-c3ccccc3)n2)s1 ZINC000631224170 1128993223 /nfs/dbraw/zinc/99/32/23/1128993223.db2.gz OXROCTHGEDIVCK-LLVKDONJSA-N 1 2 298.415 3.692 20 0 CHADLO COc1cc[nH+]cc1Nc1cccnc1-c1ccccc1 ZINC001176119324 1121556807 /nfs/dbraw/zinc/55/68/07/1121556807.db2.gz WARSKRCFIVTACU-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C)c2ccccc2n1 ZINC001176116684 1121556857 /nfs/dbraw/zinc/55/68/57/1121556857.db2.gz JAOZBLGJGKBWJT-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)nc(Cl)c1 ZINC001176117205 1121557045 /nfs/dbraw/zinc/55/70/45/1121557045.db2.gz VKTJHZKXVSFEEL-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+]Cc2c(F)cccc2F)c1 ZINC000800285146 1121557357 /nfs/dbraw/zinc/55/73/57/1121557357.db2.gz VBPANOGFUJFQFA-UHFFFAOYSA-N 1 2 282.721 3.611 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c(Cl)c1F ZINC001176123442 1121557934 /nfs/dbraw/zinc/55/79/34/1121557934.db2.gz UITWAAWYOTVXFF-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)cc(Cl)c1O ZINC001176122619 1121558417 /nfs/dbraw/zinc/55/84/17/1121558417.db2.gz DNHQCLQUIUIECR-UHFFFAOYSA-N 1 2 285.130 3.846 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C)c(O)c1Cl ZINC001176123931 1121558666 /nfs/dbraw/zinc/55/86/66/1121558666.db2.gz YPBMYEOZOFSPBS-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OC(C)C)c(F)c1 ZINC001176122966 1121559236 /nfs/dbraw/zinc/55/92/36/1121559236.db2.gz DMNOIHVUDSZUOH-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cc2ccncc2)cc1 ZINC001176121401 1121559549 /nfs/dbraw/zinc/55/95/49/1121559549.db2.gz TWUIPFIWWNMRGS-UHFFFAOYSA-N 1 2 291.354 3.820 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c2cccnc12 ZINC001176125334 1121560016 /nfs/dbraw/zinc/56/00/16/1121560016.db2.gz ZFXHFOSRHFODFM-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO CC(C)=CCCn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000663995734 1121564710 /nfs/dbraw/zinc/56/47/10/1121564710.db2.gz LPMGUCZAJKVXDU-UHFFFAOYSA-N 1 2 269.270 3.806 20 0 CHADLO Cc1cc(F)ccc1[C@H]1CCCN1c1nccn2c[nH+]cc12 ZINC000894117902 1121565835 /nfs/dbraw/zinc/56/58/35/1121565835.db2.gz UDFZBPURKGWIAR-OAHLLOKOSA-N 1 2 296.349 3.518 20 0 CHADLO COC(=O)[C@@H]([NH2+]CC1CCCC1)c1cccc2ccccc21 ZINC001176183267 1121567496 /nfs/dbraw/zinc/56/74/96/1121567496.db2.gz AWHXQCZYXALDAQ-SFHVURJKSA-N 1 2 297.398 3.834 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cnn(Cc3cccs3)c2)c1 ZINC001176158095 1121571210 /nfs/dbraw/zinc/57/12/10/1121571210.db2.gz WWKLSXNKFBXBBO-UHFFFAOYSA-N 1 2 284.388 3.748 20 0 CHADLO CCCOc1cccc(F)c1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001211787327 1121588166 /nfs/dbraw/zinc/58/81/66/1121588166.db2.gz CSEMDXJSETVSQQ-UHFFFAOYSA-N 1 2 299.349 3.844 20 0 CHADLO CCOc1cc(F)c(F)cc1Nc1cc(OC)cc[nH+]1 ZINC001211797017 1121596982 /nfs/dbraw/zinc/59/69/82/1121596982.db2.gz QWJWUYCYIROUPU-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO Cc1occc1C[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000631284888 1128996400 /nfs/dbraw/zinc/99/64/00/1128996400.db2.gz UPIQMRWBBPARDK-CQSZACIVSA-N 1 2 251.276 3.528 20 0 CHADLO Fc1cc(F)c(Nc2cccc3[nH+]ccn32)c(F)c1F ZINC001176347190 1121604068 /nfs/dbraw/zinc/60/40/68/1121604068.db2.gz QOZSESUFOWEJGK-UHFFFAOYSA-N 1 2 281.212 3.634 20 0 CHADLO O[C@@H]1CCCC[C@H]1[C@@H]1CCCN1c1cc2ccccc2c[nH+]1 ZINC000631263766 1128996673 /nfs/dbraw/zinc/99/66/73/1128996673.db2.gz UENBMRCVKZMFSR-OKZBNKHCSA-N 1 2 296.414 3.755 20 0 CHADLO CC(C)(C)n1cc(Nc2cccc(Cn3cc[nH+]c3)c2)cn1 ZINC001212571479 1121608848 /nfs/dbraw/zinc/60/88/48/1121608848.db2.gz UVZZXMLJYPZWAG-UHFFFAOYSA-N 1 2 295.390 3.627 20 0 CHADLO Cc1ccc[nH+]c1Nc1cc(F)cc(N2CCCC2)c1 ZINC001176416915 1121629176 /nfs/dbraw/zinc/62/91/76/1121629176.db2.gz FZFWQIASHXOEDS-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO Cc1ccc(C=CNc2ccc(N(C)C)[nH+]c2)cc1 ZINC001176424747 1121632587 /nfs/dbraw/zinc/63/25/87/1121632587.db2.gz UKFWUFBJAPXRQA-ZHACJKMWSA-N 1 2 253.349 3.539 20 0 CHADLO FC(F)C1([NH2+]C/C=C\c2ccncc2)CCCCC1 ZINC000512889538 1121632701 /nfs/dbraw/zinc/63/27/01/1121632701.db2.gz GDBDZSRVNYTWCW-PLNGDYQASA-N 1 2 266.335 3.652 20 0 CHADLO C[C@H]1CCCC[C@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC000513009273 1121662306 /nfs/dbraw/zinc/66/23/06/1121662306.db2.gz RHJVVKUAEDZAMY-DZGCQCFKSA-N 1 2 259.397 3.672 20 0 CHADLO CSc1cc(Nc2cc(O)cc(Cl)c2)cc[nH+]1 ZINC001176625033 1121664530 /nfs/dbraw/zinc/66/45/30/1121664530.db2.gz FNLDQZUPWWDFCM-UHFFFAOYSA-N 1 2 266.753 3.906 20 0 CHADLO Cc1cc(N2CC[C@@H](C)C(F)(F)CC2)nc(C2CC2)[nH+]1 ZINC001164118547 1121667926 /nfs/dbraw/zinc/66/79/26/1121667926.db2.gz VSVCGNQFQDFLEN-SNVBAGLBSA-N 1 2 281.350 3.534 20 0 CHADLO Cc1nc(N2CC[C@H](C)C(F)(F)CC2)cc(C(C)C)[nH+]1 ZINC001164112083 1121668323 /nfs/dbraw/zinc/66/83/23/1121668323.db2.gz UGQJILMWESXEJV-NSHDSACASA-N 1 2 283.366 3.780 20 0 CHADLO Cc1cc[nH+]c(C)c1Nc1c(F)cc(F)c(O)c1F ZINC001176663826 1121670977 /nfs/dbraw/zinc/67/09/77/1121670977.db2.gz JIVOUJYYRXWIAQ-UHFFFAOYSA-N 1 2 268.238 3.565 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cc(Cl)cc(Cl)c2)on1 ZINC000052070760 1121672489 /nfs/dbraw/zinc/67/24/89/1121672489.db2.gz KTVGPBOGKILCAG-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cc(Cl)cc(Cl)c2)on1 ZINC000052070760 1121672490 /nfs/dbraw/zinc/67/24/90/1121672490.db2.gz KTVGPBOGKILCAG-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc(C)cc(F)c1)C2 ZINC001143619007 1121682841 /nfs/dbraw/zinc/68/28/41/1121682841.db2.gz IEYVNYKWLPMQCW-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc(C)cc(F)c1)C2 ZINC001143619007 1121682846 /nfs/dbraw/zinc/68/28/46/1121682846.db2.gz IEYVNYKWLPMQCW-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO CC(C)=CC[N@@H+]1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000052248247 1121683913 /nfs/dbraw/zinc/68/39/13/1121683913.db2.gz QEXLCQXACYXMQW-OAHLLOKOSA-N 1 2 265.784 3.680 20 0 CHADLO CC(C)=CC[N@H+]1CCO[C@@H](c2ccc(Cl)cc2)C1 ZINC000052248247 1121683915 /nfs/dbraw/zinc/68/39/15/1121683915.db2.gz QEXLCQXACYXMQW-OAHLLOKOSA-N 1 2 265.784 3.680 20 0 CHADLO CC(C)Oc1ncccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001176731712 1121684080 /nfs/dbraw/zinc/68/40/80/1121684080.db2.gz BYCZZRRHNCATHQ-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO c1c(Nc2ccc[nH+]c2N2CCCC2)onc1C1CCC1 ZINC001176744793 1121686828 /nfs/dbraw/zinc/68/68/28/1121686828.db2.gz ZWOVRKIXNVSVBY-UHFFFAOYSA-N 1 2 284.363 3.681 20 0 CHADLO COc1cc(C)ccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176751014 1121687702 /nfs/dbraw/zinc/68/77/02/1121687702.db2.gz ROHISPBTSTZUOE-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO O=C1CCc2ccc(Nc3ccc[nH+]c3N3CCCC3)cc21 ZINC001176751018 1121687897 /nfs/dbraw/zinc/68/78/97/1121687897.db2.gz RUPJIIIOOQLYMM-UHFFFAOYSA-N 1 2 293.370 3.554 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccccc2F)c(C)s1 ZINC000020091241 1121690824 /nfs/dbraw/zinc/69/08/24/1121690824.db2.gz JRYINEOYMDGHGL-VIFPVBQESA-N 1 2 264.369 3.750 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@@H+](C)[C@H](C)c1cccc(F)c1 ZINC000727222233 1121708520 /nfs/dbraw/zinc/70/85/20/1121708520.db2.gz JHGCITJCECQRLR-LLVKDONJSA-N 1 2 295.789 3.714 20 0 CHADLO Cc1nn(C)c(Cl)c1C[N@H+](C)[C@H](C)c1cccc(F)c1 ZINC000727222233 1121708526 /nfs/dbraw/zinc/70/85/26/1121708526.db2.gz JHGCITJCECQRLR-LLVKDONJSA-N 1 2 295.789 3.714 20 0 CHADLO CC(C)n1ncnc1C[N@@H+]1CCCC[C@@H]1c1ccccc1 ZINC000279785222 1121709041 /nfs/dbraw/zinc/70/90/41/1121709041.db2.gz UWZCUGGXLVTAGT-MRXNPFEDSA-N 1 2 284.407 3.586 20 0 CHADLO CC(C)n1ncnc1C[N@H+]1CCCC[C@@H]1c1ccccc1 ZINC000279785222 1121709047 /nfs/dbraw/zinc/70/90/47/1121709047.db2.gz UWZCUGGXLVTAGT-MRXNPFEDSA-N 1 2 284.407 3.586 20 0 CHADLO Cc1ccc(Br)c(F)c1CNc1cccc[nH+]1 ZINC001177370426 1121725657 /nfs/dbraw/zinc/72/56/57/1121725657.db2.gz FRUAVPOPNGPQAT-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO COc1ccc(C)cc1C[NH2+]Cc1ncc(C(C)C)s1 ZINC001177529996 1121735758 /nfs/dbraw/zinc/73/57/58/1121735758.db2.gz YAKWOSMHQBPQRS-UHFFFAOYSA-N 1 2 290.432 3.873 20 0 CHADLO COc1c[nH+]c(NC/C=C/c2ccccc2)c2[nH]ccc21 ZINC001166065199 1121744965 /nfs/dbraw/zinc/74/49/65/1121744965.db2.gz GNAIVIFUYFLSLQ-VMPITWQZSA-N 1 2 279.343 3.697 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+][C@H](C)c1nonc1C ZINC000924894774 1121756100 /nfs/dbraw/zinc/75/61/00/1121756100.db2.gz ARMHKSQUCADCGZ-BXUZGUMPSA-N 1 2 289.379 3.579 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cn(C3CC3)cn2)c(OC(C)C)c1 ZINC001177999489 1121760875 /nfs/dbraw/zinc/76/08/75/1121760875.db2.gz JEGUEVOAQGZGEH-UHFFFAOYSA-N 1 2 299.418 3.603 20 0 CHADLO CC(C)c1nc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2)no1 ZINC000285892723 1121764450 /nfs/dbraw/zinc/76/44/50/1121764450.db2.gz ZJDCKWKHYDFXCT-HIFRSBDPSA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)c1nc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2)no1 ZINC000285892723 1121764458 /nfs/dbraw/zinc/76/44/58/1121764458.db2.gz ZJDCKWKHYDFXCT-HIFRSBDPSA-N 1 2 285.391 3.776 20 0 CHADLO Cc1coc(CNc2ccc3c(c2)CCC[N@H+]3C)c1 ZINC001178114549 1121767275 /nfs/dbraw/zinc/76/72/75/1121767275.db2.gz JPQFIWSSODQULL-UHFFFAOYSA-N 1 2 256.349 3.583 20 0 CHADLO Cc1coc(CNc2ccc3c(c2)CCC[N@@H+]3C)c1 ZINC001178114549 1121767278 /nfs/dbraw/zinc/76/72/78/1121767278.db2.gz JPQFIWSSODQULL-UHFFFAOYSA-N 1 2 256.349 3.583 20 0 CHADLO CSCc1cc[nH+]c(N2CCC[C@H](C)[C@H]2C)c1 ZINC001166613649 1121779072 /nfs/dbraw/zinc/77/90/72/1121779072.db2.gz GUSDVQHGJWKJCE-NWDGAFQWSA-N 1 2 250.411 3.569 20 0 CHADLO NC(Cc1ccc(Cl)cc1)=[NH+]OCc1ccccc1F ZINC000729985439 1121788782 /nfs/dbraw/zinc/78/87/82/1121788782.db2.gz IHBKYPPFGWGMOF-UHFFFAOYSA-N 1 2 292.741 3.720 20 0 CHADLO COc1cc2ccccc2cc1C[NH2+]Cc1ncccc1F ZINC001178628756 1121803696 /nfs/dbraw/zinc/80/36/96/1121803696.db2.gz DGPLWNGTXAXFKH-UHFFFAOYSA-N 1 2 296.345 3.672 20 0 CHADLO Cc1ccn(-c2ccc([NH2+]C[C@@H]3CC=CCC3)cc2)n1 ZINC001178694004 1121807284 /nfs/dbraw/zinc/80/72/84/1121807284.db2.gz FDXHDCRYNKNHHH-OAHLLOKOSA-N 1 2 267.376 3.949 20 0 CHADLO Cc1nc2[nH]ccc2c(NCCc2cc3ccccc3o2)[nH+]1 ZINC000433797851 1121809578 /nfs/dbraw/zinc/80/95/78/1121809578.db2.gz CALAGHYXOMIHQM-UHFFFAOYSA-N 1 2 292.342 3.619 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H]2CCCC2(F)F)c(C)c1 ZINC001178859845 1121826997 /nfs/dbraw/zinc/82/69/97/1121826997.db2.gz KNVXFPFQYATQDJ-CQSZACIVSA-N 1 2 269.335 3.671 20 0 CHADLO Fc1ccc(/C=C/CNc2cc3cc[nH]c3c[nH+]2)cc1 ZINC001179044530 1121841136 /nfs/dbraw/zinc/84/11/36/1121841136.db2.gz HQYUCUGRBYDSNV-OWOJBTEDSA-N 1 2 267.307 3.827 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)CC[C@H](C)c2ccccc2)[nH+]1 ZINC001179092724 1121849798 /nfs/dbraw/zinc/84/97/98/1121849798.db2.gz YAUZBPLSLWNJPD-AWEZNQCLSA-N 1 2 299.418 3.733 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)CC[C@H](C)c2ccccc2)c[nH+]1 ZINC001179092724 1121849806 /nfs/dbraw/zinc/84/98/06/1121849806.db2.gz YAUZBPLSLWNJPD-AWEZNQCLSA-N 1 2 299.418 3.733 20 0 CHADLO C(=C/c1[nH]cc[nH+]1)\c1nc(-c2ccccc2)cs1 ZINC000731155335 1121850551 /nfs/dbraw/zinc/85/05/51/1121850551.db2.gz DQOJHOYGRGJJDQ-VOTSOKGWSA-N 1 2 253.330 3.704 20 0 CHADLO Cc1n[nH]c(C)c1CNc1ccc(Nc2ccccc2)c[nH+]1 ZINC001179205455 1121857791 /nfs/dbraw/zinc/85/77/91/1121857791.db2.gz OTBCYTYHJNTMNJ-UHFFFAOYSA-N 1 2 293.374 3.777 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccncc1 ZINC000182957081 1129014183 /nfs/dbraw/zinc/01/41/83/1129014183.db2.gz VQKGEVNLJZZJFP-ZDUSSCGKSA-N 1 2 267.376 3.637 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccncc1 ZINC000182957081 1129014188 /nfs/dbraw/zinc/01/41/88/1129014188.db2.gz VQKGEVNLJZZJFP-ZDUSSCGKSA-N 1 2 267.376 3.637 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cn1ccc(-c2ccccc2)n1 ZINC000731661932 1121879198 /nfs/dbraw/zinc/87/91/98/1121879198.db2.gz YQCWFXUOOXKASO-UHFFFAOYSA-N 1 2 297.324 3.782 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cn1ccc(-c2ccccc2)n1 ZINC000731661932 1121879204 /nfs/dbraw/zinc/87/92/04/1121879204.db2.gz YQCWFXUOOXKASO-UHFFFAOYSA-N 1 2 297.324 3.782 20 0 CHADLO CCC(CC)(CC)CNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000345406275 1121881011 /nfs/dbraw/zinc/88/10/11/1121881011.db2.gz QAYICLMAHXGHLU-UHFFFAOYSA-N 1 2 299.418 3.819 20 0 CHADLO Nc1c(F)cccc1CNc1[nH+]c2ccccc2n1C1CC1 ZINC001179489183 1121883448 /nfs/dbraw/zinc/88/34/48/1121883448.db2.gz ICSSRFPHNIZAIV-UHFFFAOYSA-N 1 2 296.349 3.705 20 0 CHADLO c1ccc2c(c1)[nH+]c(NC[C@H]1CCCOC1)n2C1CCCC1 ZINC001179479476 1121884054 /nfs/dbraw/zinc/88/40/54/1121884054.db2.gz MJWUQRWVYORTRR-CQSZACIVSA-N 1 2 299.418 3.990 20 0 CHADLO CCCC1(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CCC1 ZINC000345450821 1121885296 /nfs/dbraw/zinc/88/52/96/1121885296.db2.gz QEIMUYYCVHPQGL-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO Cc1cc(C)c2cc(NCc3cnsc3)ccc2[nH+]1 ZINC001179588592 1121891240 /nfs/dbraw/zinc/89/12/40/1121891240.db2.gz HHLKUNDPIKYBEO-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO O=C(CCc1[nH]cc[nH+]1)Nc1cccc(-c2ccccc2)c1 ZINC000345601054 1121899366 /nfs/dbraw/zinc/89/93/66/1121899366.db2.gz DRZPDWMYOCFTGB-UHFFFAOYSA-N 1 2 291.354 3.648 20 0 CHADLO CC[C@H](C)C[N@H+](C)Cn1nc(-c2ccccc2)oc1=S ZINC000732183162 1121910184 /nfs/dbraw/zinc/91/01/84/1121910184.db2.gz IJUVWEBCAHROSZ-LBPRGKRZSA-N 1 2 291.420 3.808 20 0 CHADLO CC[C@H](C)C[N@@H+](C)Cn1nc(-c2ccccc2)oc1=S ZINC000732183162 1121910187 /nfs/dbraw/zinc/91/01/87/1121910187.db2.gz IJUVWEBCAHROSZ-LBPRGKRZSA-N 1 2 291.420 3.808 20 0 CHADLO CCc1ccc(CNc2[nH+]c3cc(Cl)ccc3n2C)o1 ZINC001179811448 1121913342 /nfs/dbraw/zinc/91/33/42/1121913342.db2.gz VYKATCHWLKJUSD-UHFFFAOYSA-N 1 2 289.766 3.994 20 0 CHADLO C[C@@H]1COC[C@H](c2ccccc2)[N@@H+]1Cc1ccc(F)cc1 ZINC000501027025 1121942783 /nfs/dbraw/zinc/94/27/83/1121942783.db2.gz UPFQJZBKFJVHRU-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1COC[C@H](c2ccccc2)[N@H+]1Cc1ccc(F)cc1 ZINC000501027025 1121942787 /nfs/dbraw/zinc/94/27/87/1121942787.db2.gz UPFQJZBKFJVHRU-RDTXWAMCSA-N 1 2 285.362 3.788 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(O)c(F)c2F)[C@@H]1c1ccccc1 ZINC001180141593 1121950342 /nfs/dbraw/zinc/95/03/42/1121950342.db2.gz GWPDBBHYRZYHNL-DIFFPNOSSA-N 1 2 289.325 3.863 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(O)c(F)c2F)[C@@H]1c1ccccc1 ZINC001180141593 1121950350 /nfs/dbraw/zinc/95/03/50/1121950350.db2.gz GWPDBBHYRZYHNL-DIFFPNOSSA-N 1 2 289.325 3.863 20 0 CHADLO Fc1ccc(C[NH2+]Cc2c(F)cc(F)c(F)c2F)cc1 ZINC000430036429 1121959100 /nfs/dbraw/zinc/95/91/00/1121959100.db2.gz RPBNYSGLXRGSFM-UHFFFAOYSA-N 1 2 287.231 3.672 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@@H+]1CC[C@@](C)(F)C1 ZINC000501407704 1121975640 /nfs/dbraw/zinc/97/56/40/1121975640.db2.gz DUHFWJYCSLXUAW-ZWNOBZJWSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1cccc(Br)c1)[N@H+]1CC[C@@](C)(F)C1 ZINC000501407704 1121975643 /nfs/dbraw/zinc/97/56/43/1121975643.db2.gz DUHFWJYCSLXUAW-ZWNOBZJWSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@@H+]1CC[C@@](C)(F)C1 ZINC000501450635 1121979699 /nfs/dbraw/zinc/97/96/99/1121979699.db2.gz WKHDPOJSJKVWJK-ZWNOBZJWSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@H+]1CC[C@@](C)(F)C1 ZINC000501450635 1121979707 /nfs/dbraw/zinc/97/97/07/1121979707.db2.gz WKHDPOJSJKVWJK-ZWNOBZJWSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1ccc(O)c(F)c1F ZINC001180497754 1121997417 /nfs/dbraw/zinc/99/74/17/1121997417.db2.gz RKBRHCNCLNJRIR-LLVKDONJSA-N 1 2 277.314 3.863 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1ccc(O)c(F)c1F ZINC001180497754 1121997423 /nfs/dbraw/zinc/99/74/23/1121997423.db2.gz RKBRHCNCLNJRIR-LLVKDONJSA-N 1 2 277.314 3.863 20 0 CHADLO Fc1ccccc1Cc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001249985300 1122012093 /nfs/dbraw/zinc/01/20/93/1122012093.db2.gz MXYSTXUYOFJHET-UHFFFAOYSA-N 1 2 252.292 3.807 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@H]1C[C@H](OC(C)C)C1(C)C ZINC001180950541 1122025072 /nfs/dbraw/zinc/02/50/72/1122025072.db2.gz CCRKRCLLUKUIHM-GJZGRUSLSA-N 1 2 292.423 3.873 20 0 CHADLO CCCCC[C@H]([NH2+]CCc1cc(C)cc(C)c1)C(=O)OC ZINC001180950782 1122025587 /nfs/dbraw/zinc/02/55/87/1122025587.db2.gz DSSFBNCLIXPFRB-KRWDZBQOSA-N 1 2 291.435 3.557 20 0 CHADLO CCc1nc2sccc2c(NCc2cc(C)[nH+]c(C)c2)n1 ZINC000420658774 1122027883 /nfs/dbraw/zinc/02/78/83/1122027883.db2.gz YWTMKRJOEQSNMK-UHFFFAOYSA-N 1 2 298.415 3.878 20 0 CHADLO CC(C)c1cccc2c1CC[N@H+](Cc1ncccc1F)C2 ZINC001181410955 1122052227 /nfs/dbraw/zinc/05/22/27/1122052227.db2.gz UTORBXPXZWHWJM-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO CC(C)c1cccc2c1CC[N@@H+](Cc1ncccc1F)C2 ZINC001181410955 1122052229 /nfs/dbraw/zinc/05/22/29/1122052229.db2.gz UTORBXPXZWHWJM-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO C[C@@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1ccncc1 ZINC000631798514 1129029255 /nfs/dbraw/zinc/02/92/55/1129029255.db2.gz UMSIKNOOZUDOSK-ABAIWWIYSA-N 1 2 262.303 3.582 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+][C@@H](CF)c2ccc(F)cc2)cn1 ZINC000631795671 1129029509 /nfs/dbraw/zinc/02/95/09/1129029509.db2.gz LXEIRDHSUQBSNL-LRDDRELGSA-N 1 2 293.361 3.965 20 0 CHADLO COC(=O)c1scc(C)c1Nc1ccc(C)[nH+]c1C ZINC001203368318 1122082663 /nfs/dbraw/zinc/08/26/63/1122082663.db2.gz YGBZQZFXCDMDTD-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1ccc(Nc2c(F)c(F)nc(F)c2Cl)c(C)[nH+]1 ZINC001203368315 1122083093 /nfs/dbraw/zinc/08/30/93/1122083093.db2.gz YADWKOJEAMAROP-UHFFFAOYSA-N 1 2 287.672 3.908 20 0 CHADLO CC[C@@H]1C[C@@]1([NH2+]Cc1ncc(C)cn1)c1cccc(C)c1 ZINC000502480704 1122091531 /nfs/dbraw/zinc/09/15/31/1122091531.db2.gz ISDXRSOLDTVSEF-QAPCUYQASA-N 1 2 281.403 3.508 20 0 CHADLO Cc1ccc(Nc2cnc3cc(C4CCC4)nn3c2)c(C)[nH+]1 ZINC001203368565 1122100257 /nfs/dbraw/zinc/10/02/57/1122100257.db2.gz AGPBUALQOWXPMW-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1ccc(Nc2ccc(OCC3CC3)nc2)c(C)[nH+]1 ZINC001203369053 1122105872 /nfs/dbraw/zinc/10/58/72/1122105872.db2.gz KMUSQMZTNDKHMZ-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO Cc1ccc(Nc2nc(Cl)cnc2Cl)c(C)[nH+]1 ZINC001203370825 1122122709 /nfs/dbraw/zinc/12/27/09/1122122709.db2.gz VQTJVHMFEUWSCA-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1cc(CNC(=O)c2cccc3c2CCCC3)cc(C)[nH+]1 ZINC000421577188 1122140177 /nfs/dbraw/zinc/14/01/77/1122140177.db2.gz OEYTUBSYQJRAOX-UHFFFAOYSA-N 1 2 294.398 3.507 20 0 CHADLO COc1cc(Nc2ccc(C)[nH+]c2C)ncc1C(F)(F)F ZINC001203372614 1122165715 /nfs/dbraw/zinc/16/57/15/1122165715.db2.gz DDKURVOICWRLHL-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO c1ccc(-c2n[nH]c([C@H]3CCC[N@H+]3CC3CCCC3)n2)cc1 ZINC000503186093 1122171169 /nfs/dbraw/zinc/17/11/69/1122171169.db2.gz YXMKXZKFLTWTQT-MRXNPFEDSA-N 1 2 296.418 3.799 20 0 CHADLO c1ccc(-c2n[nH]c([C@H]3CCC[N@@H+]3CC3CCCC3)n2)cc1 ZINC000503186093 1122171176 /nfs/dbraw/zinc/17/11/76/1122171176.db2.gz YXMKXZKFLTWTQT-MRXNPFEDSA-N 1 2 296.418 3.799 20 0 CHADLO CC[C@@H](C)C[N@@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503179468 1122171461 /nfs/dbraw/zinc/17/14/61/1122171461.db2.gz DYTUVDVLHNZNPP-UKRRQHHQSA-N 1 2 284.407 3.655 20 0 CHADLO CC[C@@H](C)C[N@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503179468 1122171464 /nfs/dbraw/zinc/17/14/64/1122171464.db2.gz DYTUVDVLHNZNPP-UKRRQHHQSA-N 1 2 284.407 3.655 20 0 CHADLO CCCCC[N@@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503183795 1122171835 /nfs/dbraw/zinc/17/18/35/1122171835.db2.gz WCUYFTKGKOFGMC-OAHLLOKOSA-N 1 2 284.407 3.799 20 0 CHADLO CCCCC[N@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503183795 1122171839 /nfs/dbraw/zinc/17/18/39/1122171839.db2.gz WCUYFTKGKOFGMC-OAHLLOKOSA-N 1 2 284.407 3.799 20 0 CHADLO CC[C@H](CCc1ccccc1)[NH2+][C@H](C)C(=O)OC(C)(C)C ZINC001182843692 1122189917 /nfs/dbraw/zinc/18/99/17/1122189917.db2.gz FVVVBQAAGFCCHE-GDBMZVCRSA-N 1 2 291.435 3.718 20 0 CHADLO CCC1(CC)[C@H](OC)C[C@@H]1Nc1c[nH+]cc2c1CCCC2 ZINC001182845092 1122190736 /nfs/dbraw/zinc/19/07/36/1122190736.db2.gz RLNYPLVEBQEQFF-DLBZAZTESA-N 1 2 288.435 3.966 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1)C1CC1 ZINC000272165326 1122192209 /nfs/dbraw/zinc/19/22/09/1122192209.db2.gz NKWFUTRLRLCLEU-HZSPNIEDSA-N 1 2 274.408 3.511 20 0 CHADLO COCC[C@@H](Nc1[nH+]c2ccccc2n1C)c1ccc(C)o1 ZINC000272213268 1122194954 /nfs/dbraw/zinc/19/49/54/1122194954.db2.gz MBDHJTXMSFVIPZ-CQSZACIVSA-N 1 2 299.374 3.664 20 0 CHADLO Cc1cc(N[C@H](C)c2cscn2)ccc1[NH+](C)C ZINC000272330608 1122197244 /nfs/dbraw/zinc/19/72/44/1122197244.db2.gz CPHHSEYMIXLHOZ-LLVKDONJSA-N 1 2 261.394 3.691 20 0 CHADLO COc1cccc(C2([NH2+][C@@H](C)c3csc(C)n3)CC2)c1 ZINC000272337878 1122198539 /nfs/dbraw/zinc/19/85/39/1122198539.db2.gz ZDFNBOOOLXYCBK-NSHDSACASA-N 1 2 288.416 3.800 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(OC(C)(C)C)nc1)c1cscn1 ZINC000272349977 1122198673 /nfs/dbraw/zinc/19/86/73/1122198673.db2.gz QLQOSBITKGZXFM-LLVKDONJSA-N 1 2 291.420 3.566 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](C/C=C/c1ccc(F)cc1)C2 ZINC001182969796 1122198703 /nfs/dbraw/zinc/19/87/03/1122198703.db2.gz KEIQQDQDXNIRNL-OWOJBTEDSA-N 1 2 269.319 3.560 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](C/C=C/c1ccc(F)cc1)C2 ZINC001182969796 1122198705 /nfs/dbraw/zinc/19/87/05/1122198705.db2.gz KEIQQDQDXNIRNL-OWOJBTEDSA-N 1 2 269.319 3.560 20 0 CHADLO CO[C@@H](C)[C@H](C)Nc1ccc(-c2[nH+]cc3n2CCCC3)cc1 ZINC000272331897 1122198733 /nfs/dbraw/zinc/19/87/33/1122198733.db2.gz CTPKQPFQTHRIKY-KBPBESRZSA-N 1 2 299.418 3.722 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc(F)cn2)ccc1[NH+](C)C ZINC000272375847 1122199760 /nfs/dbraw/zinc/19/97/60/1122199760.db2.gz IEUPGCWHLHMZMW-GFCCVEGCSA-N 1 2 273.355 3.768 20 0 CHADLO COc1c(O)cccc1C[NH2+][C@@H](C)c1ccc(F)cc1F ZINC000272427310 1122202646 /nfs/dbraw/zinc/20/26/46/1122202646.db2.gz MUUSAZSRTYWTOX-JTQLQIEISA-N 1 2 293.313 3.530 20 0 CHADLO Fc1ccc2c(c1)OCC[N@@H+](Cc1ccc3occc3c1)C2 ZINC001183157180 1122211645 /nfs/dbraw/zinc/21/16/45/1122211645.db2.gz RHOCTAHGKPABEM-UHFFFAOYSA-N 1 2 297.329 3.967 20 0 CHADLO Fc1ccc2c(c1)OCC[N@H+](Cc1ccc3occc3c1)C2 ZINC001183157180 1122211651 /nfs/dbraw/zinc/21/16/51/1122211651.db2.gz RHOCTAHGKPABEM-UHFFFAOYSA-N 1 2 297.329 3.967 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1ccc3c(c1)C[C@@H](C)O3)C2 ZINC001183337746 1122219849 /nfs/dbraw/zinc/21/98/49/1122219849.db2.gz ZVGWZDQZXXOOJT-CYBMUJFWSA-N 1 2 295.382 3.534 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1ccc3c(c1)C[C@@H](C)O3)C2 ZINC001183337746 1122219855 /nfs/dbraw/zinc/21/98/55/1122219855.db2.gz ZVGWZDQZXXOOJT-CYBMUJFWSA-N 1 2 295.382 3.534 20 0 CHADLO Cc1cc(C[N@@H+](Cc2cccn2C)Cc2ccccc2)on1 ZINC001203266945 1122223580 /nfs/dbraw/zinc/22/35/80/1122223580.db2.gz BNXHNPWSPZAQJT-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO Cc1cc(C[N@H+](Cc2cccn2C)Cc2ccccc2)on1 ZINC001203266945 1122223587 /nfs/dbraw/zinc/22/35/87/1122223587.db2.gz BNXHNPWSPZAQJT-UHFFFAOYSA-N 1 2 295.386 3.524 20 0 CHADLO Cc1cc(NC2CCC3(CSC3)CC2)c[nH+]c1C ZINC001183526238 1122226120 /nfs/dbraw/zinc/22/61/20/1122226120.db2.gz LYKZDWLBXAKKJE-UHFFFAOYSA-N 1 2 262.422 3.786 20 0 CHADLO Cc1cc(-c2noc([C@H](C)[NH2+]C/C=C/Cl)n2)ccc1F ZINC000273329831 1122228233 /nfs/dbraw/zinc/22/82/33/1122228233.db2.gz SDROGEVEANFOFI-YVGDHZEHSA-N 1 2 295.745 3.587 20 0 CHADLO CO[C@](C)(CNc1[nH+]c2ccccc2n1CC1CC1)C1CC1 ZINC001184463119 1122277891 /nfs/dbraw/zinc/27/78/91/1122277891.db2.gz WVWNNZDSSPQTEE-GOSISDBHSA-N 1 2 299.418 3.673 20 0 CHADLO CCc1cc(N2CCC[C@H]2c2ccccc2)nc(CC)[nH+]1 ZINC001184720061 1122294263 /nfs/dbraw/zinc/29/42/63/1122294263.db2.gz NKNKCPGOIJRZOC-INIZCTEOSA-N 1 2 281.403 3.943 20 0 CHADLO Fc1cc(F)c(F)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001184968587 1122304411 /nfs/dbraw/zinc/30/44/11/1122304411.db2.gz QPHPMOPHMDIGIZ-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO Cc1cc(C)c(Nc2ccc[nH+]c2N2CCCC2)c(C)c1N ZINC001184967522 1122304570 /nfs/dbraw/zinc/30/45/70/1122304570.db2.gz FBJQCVSMXQCLPA-UHFFFAOYSA-N 1 2 296.418 3.933 20 0 CHADLO CCc1ccc(Nc2ccc[nH+]c2N2CCCC2)c(O)c1 ZINC001184967339 1122305039 /nfs/dbraw/zinc/30/50/39/1122305039.db2.gz AOMGBOUFDQKDTH-UHFFFAOYSA-N 1 2 283.375 3.693 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc(C)o2)C2CC2)sn1 ZINC000637898267 1122311355 /nfs/dbraw/zinc/31/13/55/1122311355.db2.gz YHSUDTAZDSALLW-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc(C)o2)C2CC2)sn1 ZINC000637898267 1122311348 /nfs/dbraw/zinc/31/13/48/1122311348.db2.gz YHSUDTAZDSALLW-UHFFFAOYSA-N 1 2 262.378 3.518 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]C/C(Cl)=C/Cl ZINC000774823672 1122315083 /nfs/dbraw/zinc/31/50/83/1122315083.db2.gz LJTMGFJKMLJSAL-QBQSQJOESA-N 1 2 274.191 3.973 20 0 CHADLO CCc1nccc(Nc2ccc(NC3CCCC3)[nH+]c2)n1 ZINC001185169667 1122322492 /nfs/dbraw/zinc/32/24/92/1122322492.db2.gz AQTUIROCJABCLS-UHFFFAOYSA-N 1 2 283.379 3.532 20 0 CHADLO CC[C@H]1C[C@H](C)CN1c1nc2ccccc2n2c[nH+]cc12 ZINC001185555087 1122346419 /nfs/dbraw/zinc/34/64/19/1122346419.db2.gz HPSZFIVYWXHJFF-STQMWFEESA-N 1 2 280.375 3.507 20 0 CHADLO CC[C@@H]1CCN(c2nc3ccccc3n3c[nH+]cc23)[C@H]1C ZINC001186184072 1122378124 /nfs/dbraw/zinc/37/81/24/1122378124.db2.gz IGKWYHAYKRTRAM-QWHCGFSZSA-N 1 2 280.375 3.507 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3C[C@@H](C)[C@@H]3C)cc2)c1C ZINC000430078776 1122399770 /nfs/dbraw/zinc/39/97/70/1122399770.db2.gz KVMALFMRBMHZTN-BWACUDIHSA-N 1 2 269.392 3.946 20 0 CHADLO CCc1cccc(F)c1C[N@H+](C)Cc1cnc(C)s1 ZINC000353453396 1122407647 /nfs/dbraw/zinc/40/76/47/1122407647.db2.gz ZTCJJZQAXFHPEV-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO CCc1cccc(F)c1C[N@@H+](C)Cc1cnc(C)s1 ZINC000353453396 1122407656 /nfs/dbraw/zinc/40/76/56/1122407656.db2.gz ZTCJJZQAXFHPEV-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO C[C@H]1C[C@H](C)C[NH+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000434832149 1122415683 /nfs/dbraw/zinc/41/56/83/1122415683.db2.gz CCISUVRYTHQPMO-IUCAKERBSA-N 1 2 278.343 3.640 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2nc3c(cccc3O)s2)c1 ZINC001203654024 1122419289 /nfs/dbraw/zinc/41/92/89/1122419289.db2.gz BGGJOHYGRQUQGX-UHFFFAOYSA-N 1 2 271.345 3.757 20 0 CHADLO CN(C)c1[nH+]ccc2cc(NC(=O)c3ccccc3)ccc21 ZINC001273535257 1122421761 /nfs/dbraw/zinc/42/17/61/1122421761.db2.gz CJYCDAGHCCPMFI-UHFFFAOYSA-N 1 2 291.354 3.553 20 0 CHADLO Cc1cc(Nc2cc(C)c[nH+]c2C)n(C(C)(C)C)n1 ZINC001203654971 1122422006 /nfs/dbraw/zinc/42/20/06/1122422006.db2.gz VRTPHJQACCAFQL-UHFFFAOYSA-N 1 2 258.369 3.702 20 0 CHADLO C[C@H](CC[NH2+][C@@H](C)C(=O)OC1CCCC1)c1ccccc1 ZINC000775099159 1122422881 /nfs/dbraw/zinc/42/28/81/1122422881.db2.gz CLUYMDVCEGSIJN-CABCVRRESA-N 1 2 289.419 3.644 20 0 CHADLO CCc1cc(NCCC[C@H]2C=CCC2)nc(CC)[nH+]1 ZINC001187503023 1122429952 /nfs/dbraw/zinc/42/99/52/1122429952.db2.gz KNZKRCXMBNDTEQ-ZDUSSCGKSA-N 1 2 259.397 3.760 20 0 CHADLO CCOc1ccc(Nc2cc(C)c[nH+]c2C)c(F)c1 ZINC001203663487 1122430084 /nfs/dbraw/zinc/43/00/84/1122430084.db2.gz MHRGVKKWGRVRBE-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CC(=O)c1ccc(Nc2cc(C)c[nH+]c2C)c(F)c1 ZINC001203663526 1122430159 /nfs/dbraw/zinc/43/01/59/1122430159.db2.gz OGLLKWUFODENBE-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CC(C)(C)O[C@H]1C[C@@H](Nc2cccc[nH+]2)C12CCC2 ZINC000664467544 1122432813 /nfs/dbraw/zinc/43/28/13/1122432813.db2.gz DFZVBEPTTKUQML-OLZOCXBDSA-N 1 2 260.381 3.620 20 0 CHADLO Cc1nc(NC[C@@H](C)C(C)(C)C)c2c([nH+]1)CCCC2 ZINC001187632909 1122435331 /nfs/dbraw/zinc/43/53/31/1122435331.db2.gz GBPNMFYZXYNXGR-LLVKDONJSA-N 1 2 261.413 3.758 20 0 CHADLO Cc1nc(N[C@@H](Cc2ccccc2)c2ccccn2)cc[nH+]1 ZINC001187807667 1122443569 /nfs/dbraw/zinc/44/35/69/1122443569.db2.gz HRTSWEDTKGDGKU-KRWDZBQOSA-N 1 2 290.370 3.576 20 0 CHADLO CCc1c[nH]c2nc[nH+]c(SCc3ccccc3F)c12 ZINC001187926506 1122447548 /nfs/dbraw/zinc/44/75/48/1122447548.db2.gz KUWYXSRRUIOEML-UHFFFAOYSA-N 1 2 287.363 3.952 20 0 CHADLO FC(F)CC1C[NH+](Cc2csc(-c3ccccc3)n2)C1 ZINC000425359481 1122461779 /nfs/dbraw/zinc/46/17/79/1122461779.db2.gz SRQKPBWZIFJTHD-UHFFFAOYSA-N 1 2 294.370 3.897 20 0 CHADLO CSc1ccc(CCNc2[nH+]c(C)nc3[nH]ccc32)cc1 ZINC000435602172 1122477260 /nfs/dbraw/zinc/47/72/60/1122477260.db2.gz BGRHZGRMJZPSBB-UHFFFAOYSA-N 1 2 298.415 3.594 20 0 CHADLO Cc1ccc(NCc2c[nH]nc2-c2ccsc2)c(C)[nH+]1 ZINC000436021223 1122504663 /nfs/dbraw/zinc/50/46/63/1122504663.db2.gz NZOZGCKZSGCUIE-UHFFFAOYSA-N 1 2 284.388 3.762 20 0 CHADLO COc1cccc2c(NCCc3ccc(O)cc3)cc[nH+]c12 ZINC000436191419 1122519325 /nfs/dbraw/zinc/51/93/25/1122519325.db2.gz XXQSKLBMBHBZLU-UHFFFAOYSA-N 1 2 294.354 3.604 20 0 CHADLO COc1cccc2c(NCCCC(F)(F)F)cc[nH+]c12 ZINC000436429653 1122550099 /nfs/dbraw/zinc/55/00/99/1122550099.db2.gz GYNGULDQNITEOZ-UHFFFAOYSA-N 1 2 284.281 3.998 20 0 CHADLO FC(F)(F)CNc1cc[nH+]c2[nH]cc(C(F)(F)F)c21 ZINC001161630725 1122552312 /nfs/dbraw/zinc/55/23/12/1122552312.db2.gz NCXPIUXRQWVDNY-UHFFFAOYSA-N 1 2 283.175 3.556 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+][C@@H](CC2CC2)c2ccccc2)o1 ZINC000775421600 1122560860 /nfs/dbraw/zinc/56/08/60/1122560860.db2.gz IDYMCVXJWSMKCG-HNNXBMFYSA-N 1 2 299.418 3.998 20 0 CHADLO CCCc1noc(C[N@@H+]2CCC[C@@H]2/C=C/c2ccccc2)n1 ZINC000505873909 1122579999 /nfs/dbraw/zinc/57/99/99/1122579999.db2.gz CESHMWHSQVSDSY-LPQFERQCSA-N 1 2 297.402 3.700 20 0 CHADLO CCCc1noc(C[N@H+]2CCC[C@@H]2/C=C/c2ccccc2)n1 ZINC000505873909 1122580005 /nfs/dbraw/zinc/58/00/05/1122580005.db2.gz CESHMWHSQVSDSY-LPQFERQCSA-N 1 2 297.402 3.700 20 0 CHADLO COc1c(F)cc(F)cc1C[N@H+](Cc1ccco1)C1CC1 ZINC001143563198 1122637238 /nfs/dbraw/zinc/63/72/38/1122637238.db2.gz PUZCBSBCRCCQSY-UHFFFAOYSA-N 1 2 293.313 3.731 20 0 CHADLO COc1c(F)cc(F)cc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001143563198 1122637240 /nfs/dbraw/zinc/63/72/40/1122637240.db2.gz PUZCBSBCRCCQSY-UHFFFAOYSA-N 1 2 293.313 3.731 20 0 CHADLO COc1cc(C)c(C[N@@H+]2Cc3cccc(F)c3C2)cc1C ZINC001203761624 1122654070 /nfs/dbraw/zinc/65/40/70/1122654070.db2.gz XRAHLZJWUMDMOR-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1cc(C)c(C[N@H+]2Cc3cccc(F)c3C2)cc1C ZINC001203761624 1122654071 /nfs/dbraw/zinc/65/40/71/1122654071.db2.gz XRAHLZJWUMDMOR-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO FC(F)(F)SCC[N@H+]1CCc2ccc(Cl)cc2C1 ZINC001192919025 1122662898 /nfs/dbraw/zinc/66/28/98/1122662898.db2.gz RURSLIDWRRKEBI-UHFFFAOYSA-N 1 2 295.757 3.951 20 0 CHADLO FC(F)(F)SCC[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC001192919025 1122662896 /nfs/dbraw/zinc/66/28/96/1122662896.db2.gz RURSLIDWRRKEBI-UHFFFAOYSA-N 1 2 295.757 3.951 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CCC[C@H]3c3ncc[nH]3)cc2c1C ZINC000437976571 1122668809 /nfs/dbraw/zinc/66/88/09/1122668809.db2.gz NKZLJFRHIUQTBS-KRWDZBQOSA-N 1 2 294.402 3.845 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CCC[C@H]3c3ncc[nH]3)cc2c1C ZINC000437976571 1122668812 /nfs/dbraw/zinc/66/88/12/1122668812.db2.gz NKZLJFRHIUQTBS-KRWDZBQOSA-N 1 2 294.402 3.845 20 0 CHADLO Cc1ccc([C@@H](CC(F)(F)F)[NH2+]Cc2cc[nH]n2)cc1 ZINC001193071290 1122672850 /nfs/dbraw/zinc/67/28/50/1122672850.db2.gz WRGQHEWMHYTDAR-CYBMUJFWSA-N 1 2 283.297 3.501 20 0 CHADLO C[C@H]1CCOCC[N@@H+]1Cc1ccc(Cl)cc1Cl ZINC000775567529 1122673886 /nfs/dbraw/zinc/67/38/86/1122673886.db2.gz ZDQKUOUMRAVOJR-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@H]1CCOCC[N@H+]1Cc1ccc(Cl)cc1Cl ZINC000775567529 1122673889 /nfs/dbraw/zinc/67/38/89/1122673889.db2.gz ZDQKUOUMRAVOJR-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@@H+]1Cc1nc(C(C)(C)C)no1 ZINC000466955671 1122695480 /nfs/dbraw/zinc/69/54/80/1122695480.db2.gz UHTQFBYMXRGSNL-HNNXBMFYSA-N 1 2 299.418 3.704 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@H+]1Cc1nc(C(C)(C)C)no1 ZINC000466955671 1122695482 /nfs/dbraw/zinc/69/54/82/1122695482.db2.gz UHTQFBYMXRGSNL-HNNXBMFYSA-N 1 2 299.418 3.704 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(F)c(-c3cccs3)c2)CCO1 ZINC000506262745 1122706516 /nfs/dbraw/zinc/70/65/16/1122706516.db2.gz QHVVDOYILIWIKG-LBPRGKRZSA-N 1 2 291.391 3.775 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(F)c(-c3cccs3)c2)CCO1 ZINC000506262745 1122706524 /nfs/dbraw/zinc/70/65/24/1122706524.db2.gz QHVVDOYILIWIKG-LBPRGKRZSA-N 1 2 291.391 3.775 20 0 CHADLO C(=C\c1ccccc1)\C[N@@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000506325590 1122719024 /nfs/dbraw/zinc/71/90/24/1122719024.db2.gz LPXDJXGGMVDYIA-JNLAIUADSA-N 1 2 279.383 3.773 20 0 CHADLO C(=C\c1ccccc1)\C[N@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000506325590 1122719029 /nfs/dbraw/zinc/71/90/29/1122719029.db2.gz LPXDJXGGMVDYIA-JNLAIUADSA-N 1 2 279.383 3.773 20 0 CHADLO CC(C)C[C@H](c1nc(CC2=CCCC2)no1)n1cc[nH+]c1 ZINC000923746773 1122719582 /nfs/dbraw/zinc/71/95/82/1122719582.db2.gz CYPIDLDPVUOVQG-CQSZACIVSA-N 1 2 286.379 3.554 20 0 CHADLO Cc1cccc2[nH]c(C[NH2+]Cc3ccc([C@H]4C[C@@H]4C)o3)nc21 ZINC000153635367 1129074508 /nfs/dbraw/zinc/07/45/08/1129074508.db2.gz CFEOQKDYHPJMQH-JSGCOSHPSA-N 1 2 295.386 3.878 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@H](C(F)(F)F)C[C@@H]2C)cs1 ZINC000294981797 1122740552 /nfs/dbraw/zinc/74/05/52/1122740552.db2.gz JXHRVDARGIQVJK-WPRPVWTQSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@H](C(F)(F)F)C[C@@H]2C)cs1 ZINC000294981797 1122740553 /nfs/dbraw/zinc/74/05/53/1122740553.db2.gz JXHRVDARGIQVJK-WPRPVWTQSA-N 1 2 278.343 3.614 20 0 CHADLO CCc1ccc([N@H+](CCCCCO)CC(C)C)cc1 ZINC001194067221 1122744923 /nfs/dbraw/zinc/74/49/23/1122744923.db2.gz ZGJIMWNTSWBOAZ-UHFFFAOYSA-N 1 2 263.425 3.874 20 0 CHADLO CCc1ccc([N@@H+](CCCCCO)CC(C)C)cc1 ZINC001194067221 1122744928 /nfs/dbraw/zinc/74/49/28/1122744928.db2.gz ZGJIMWNTSWBOAZ-UHFFFAOYSA-N 1 2 263.425 3.874 20 0 CHADLO CC(C)c1cc(N[C@@H](C)c2cncs2)nc(C(C)C)[nH+]1 ZINC000439133277 1122753862 /nfs/dbraw/zinc/75/38/62/1122753862.db2.gz LVWTWOIMYICGQU-NSHDSACASA-N 1 2 290.436 3.775 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2ccc(CC)o2)o1 ZINC000426353930 1122771855 /nfs/dbraw/zinc/77/18/55/1122771855.db2.gz XEFFBAJONOULGK-NSHDSACASA-N 1 2 276.380 3.942 20 0 CHADLO F[C@@H]1CC[N@H+](CCc2cccc(Cl)c2Cl)C1 ZINC001194525056 1122782294 /nfs/dbraw/zinc/78/22/94/1122782294.db2.gz ICQYYFLUSZPCCP-SNVBAGLBSA-N 1 2 262.155 3.580 20 0 CHADLO F[C@@H]1CC[N@@H+](CCc2cccc(Cl)c2Cl)C1 ZINC001194525056 1122782300 /nfs/dbraw/zinc/78/23/00/1122782300.db2.gz ICQYYFLUSZPCCP-SNVBAGLBSA-N 1 2 262.155 3.580 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cccc3cc[nH]c32)CC1(F)F ZINC000426400947 1122784082 /nfs/dbraw/zinc/78/40/82/1122784082.db2.gz FAMOINUHXDTUNE-LLVKDONJSA-N 1 2 264.319 3.645 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cccc3cc[nH]c32)CC1(F)F ZINC000426400947 1122784088 /nfs/dbraw/zinc/78/40/88/1122784088.db2.gz FAMOINUHXDTUNE-LLVKDONJSA-N 1 2 264.319 3.645 20 0 CHADLO CC(C)CNc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001161647200 1122792431 /nfs/dbraw/zinc/79/24/31/1122792431.db2.gz ONAPGBHTWLZGRD-UHFFFAOYSA-N 1 2 258.287 3.743 20 0 CHADLO CC1(C)CC[C@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000664786470 1122826671 /nfs/dbraw/zinc/82/66/71/1122826671.db2.gz MFOLUEFWIBTHSF-AWEZNQCLSA-N 1 2 294.358 3.826 20 0 CHADLO CCCN(CC1CCCCC1)C(=O)C(C)(C)c1c[nH+]c[nH]1 ZINC000519533568 1122856174 /nfs/dbraw/zinc/85/61/74/1122856174.db2.gz IKWGCQRVXFMILU-UHFFFAOYSA-N 1 2 291.439 3.506 20 0 CHADLO CC[C@H]1CN(c2[nH+]ccc3c(C)cccc32)C[C@H](C)O1 ZINC000519545973 1122857326 /nfs/dbraw/zinc/85/73/26/1122857326.db2.gz UVVFLHUDEXYLKE-KBPBESRZSA-N 1 2 270.376 3.547 20 0 CHADLO CC[C@@H](CSC)[N@H+](C)Cc1csc(C(F)(F)F)n1 ZINC000894494559 1122864367 /nfs/dbraw/zinc/86/43/67/1122864367.db2.gz GMJCEFVAJIZYFH-VIFPVBQESA-N 1 2 298.399 3.735 20 0 CHADLO CC[C@@H](CSC)[N@@H+](C)Cc1csc(C(F)(F)F)n1 ZINC000894494559 1122864375 /nfs/dbraw/zinc/86/43/75/1122864375.db2.gz GMJCEFVAJIZYFH-VIFPVBQESA-N 1 2 298.399 3.735 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CC(C)(C)C[C@H]2c2ccccc2)[nH]1 ZINC001195377552 1122866913 /nfs/dbraw/zinc/86/69/13/1122866913.db2.gz YECFAJSDMGWLNA-HNNXBMFYSA-N 1 2 298.434 3.901 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CC(C)(C)C[C@H]2c2ccccc2)[nH]1 ZINC001195377552 1122866916 /nfs/dbraw/zinc/86/69/16/1122866916.db2.gz YECFAJSDMGWLNA-HNNXBMFYSA-N 1 2 298.434 3.901 20 0 CHADLO ClC1=CCC[N@H+](Cc2cc(-c3ccccc3)no2)C1 ZINC001195687307 1122880626 /nfs/dbraw/zinc/88/06/26/1122880626.db2.gz VIZIZLBMWCZDEA-UHFFFAOYSA-N 1 2 274.751 3.670 20 0 CHADLO ClC1=CCC[N@@H+](Cc2cc(-c3ccccc3)no2)C1 ZINC001195687307 1122880630 /nfs/dbraw/zinc/88/06/30/1122880630.db2.gz VIZIZLBMWCZDEA-UHFFFAOYSA-N 1 2 274.751 3.670 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@H+](Cc2nc3ccccc3o2)C1 ZINC000519561780 1122882327 /nfs/dbraw/zinc/88/23/27/1122882327.db2.gz WBFBZPOILZOGRQ-SFHVURJKSA-N 1 2 296.345 3.899 20 0 CHADLO F[C@@]1(c2ccccc2)CC[N@@H+](Cc2nc3ccccc3o2)C1 ZINC000519561780 1122882332 /nfs/dbraw/zinc/88/23/32/1122882332.db2.gz WBFBZPOILZOGRQ-SFHVURJKSA-N 1 2 296.345 3.899 20 0 CHADLO C[C@@H]1CC[C@H](C)[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000154178042 1129084713 /nfs/dbraw/zinc/08/47/13/1129084713.db2.gz FSDBTQXHXLBZPE-OLZOCXBDSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]1CC[C@H](C)[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000154178042 1129084717 /nfs/dbraw/zinc/08/47/17/1129084717.db2.gz FSDBTQXHXLBZPE-OLZOCXBDSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]([NH2+]Cc1cccnc1Cl)c1ccc(F)cc1F ZINC000102184888 1122893949 /nfs/dbraw/zinc/89/39/49/1122893949.db2.gz GLBUYRHZWHESDM-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC000266238430 1129085354 /nfs/dbraw/zinc/08/53/54/1129085354.db2.gz WHTYJCPUDVBWDE-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO CC[C@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1ccc(F)cc1 ZINC000506864585 1122908466 /nfs/dbraw/zinc/90/84/66/1122908466.db2.gz JRMHWCMHAPOFEN-HNNXBMFYSA-N 1 2 297.333 3.606 20 0 CHADLO CC(C)(C)c1coc(C[NH+]2CC3(C2)CCCCC3)n1 ZINC000894821819 1122910183 /nfs/dbraw/zinc/91/01/83/1122910183.db2.gz JQLFEIQJDJQYLP-UHFFFAOYSA-N 1 2 262.397 3.738 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc3ccccc3o2)C[C@@](C)(C(F)F)O1 ZINC001196273990 1122915002 /nfs/dbraw/zinc/91/50/02/1122915002.db2.gz CCOXEZQVCVBSFP-BZNIZROVSA-N 1 2 295.329 3.677 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc3ccccc3o2)C[C@@](C)(C(F)F)O1 ZINC001196273990 1122915006 /nfs/dbraw/zinc/91/50/06/1122915006.db2.gz CCOXEZQVCVBSFP-BZNIZROVSA-N 1 2 295.329 3.677 20 0 CHADLO CC[N@H+](C)[C@H](c1nc(C(C)(C)CC)no1)c1ccccc1 ZINC000867172114 1122915063 /nfs/dbraw/zinc/91/50/63/1122915063.db2.gz VKXGGCLZYXBAPV-AWEZNQCLSA-N 1 2 287.407 3.798 20 0 CHADLO CC[N@@H+](C)[C@H](c1nc(C(C)(C)CC)no1)c1ccccc1 ZINC000867172114 1122915065 /nfs/dbraw/zinc/91/50/65/1122915065.db2.gz VKXGGCLZYXBAPV-AWEZNQCLSA-N 1 2 287.407 3.798 20 0 CHADLO CC1(C)CCC(C)(C)[N@H+](CC(F)(F)C(F)F)C1 ZINC001196277026 1122915467 /nfs/dbraw/zinc/91/54/67/1122915467.db2.gz ZXKIDLKKBLLLJS-UHFFFAOYSA-N 1 2 255.299 3.787 20 0 CHADLO CC1(C)CCC(C)(C)[N@@H+](CC(F)(F)C(F)F)C1 ZINC001196277026 1122915470 /nfs/dbraw/zinc/91/54/70/1122915470.db2.gz ZXKIDLKKBLLLJS-UHFFFAOYSA-N 1 2 255.299 3.787 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2)[C@@H]2CCCC2(C)C)no1 ZINC000426813492 1122918404 /nfs/dbraw/zinc/91/84/04/1122918404.db2.gz XSOAIHHLACQKRT-MRXNPFEDSA-N 1 2 299.418 3.959 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2)[C@@H]2CCCC2(C)C)no1 ZINC000426813492 1122918408 /nfs/dbraw/zinc/91/84/08/1122918408.db2.gz XSOAIHHLACQKRT-MRXNPFEDSA-N 1 2 299.418 3.959 20 0 CHADLO CCCCC[C@@H](CC)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000775715159 1122922470 /nfs/dbraw/zinc/92/24/70/1122922470.db2.gz XQAHJNAQZDQLLW-HZPDHXFCSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1nccc(C[N@@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)n1 ZINC000507163205 1122932543 /nfs/dbraw/zinc/93/25/43/1122932543.db2.gz YBTQPMNTTBDIIJ-PXAZEXFGSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1nccc(C[N@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)n1 ZINC000507163205 1122932546 /nfs/dbraw/zinc/93/25/46/1122932546.db2.gz YBTQPMNTTBDIIJ-PXAZEXFGSA-N 1 2 297.402 3.666 20 0 CHADLO CCc1nocc1C[N@@H+](CC)Cc1ccccc1Cl ZINC000507236936 1122941537 /nfs/dbraw/zinc/94/15/37/1122941537.db2.gz ZOYQWNFVSQUXQZ-UHFFFAOYSA-N 1 2 278.783 3.913 20 0 CHADLO CCc1nocc1C[N@H+](CC)Cc1ccccc1Cl ZINC000507236936 1122941539 /nfs/dbraw/zinc/94/15/39/1122941539.db2.gz ZOYQWNFVSQUXQZ-UHFFFAOYSA-N 1 2 278.783 3.913 20 0 CHADLO Fc1ccc([C@@H]2C[N@H+](C/C=C\c3ccccc3)CCO2)cc1 ZINC000507356606 1122950354 /nfs/dbraw/zinc/95/03/54/1122950354.db2.gz HTIGBKCDUSQHRL-OCTSTCLRSA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc([C@@H]2C[N@@H+](C/C=C\c3ccccc3)CCO2)cc1 ZINC000507356606 1122950360 /nfs/dbraw/zinc/95/03/60/1122950360.db2.gz HTIGBKCDUSQHRL-OCTSTCLRSA-N 1 2 297.373 3.912 20 0 CHADLO Cc1ccc(C[N@@H+]2CCn3cccc3[C@H]2c2ccccc2)o1 ZINC000507355340 1122950420 /nfs/dbraw/zinc/95/04/20/1122950420.db2.gz VJDQNEWNRAPPTR-LJQANCHMSA-N 1 2 292.382 3.995 20 0 CHADLO Cc1ccc(C[N@H+]2CCn3cccc3[C@H]2c2ccccc2)o1 ZINC000507355340 1122950424 /nfs/dbraw/zinc/95/04/24/1122950424.db2.gz VJDQNEWNRAPPTR-LJQANCHMSA-N 1 2 292.382 3.995 20 0 CHADLO Cc1ccc(C[N@@H+](CCCC(C)C)Cc2cc[nH]n2)o1 ZINC001197778525 1122968694 /nfs/dbraw/zinc/96/86/94/1122968694.db2.gz VXUHCNMBVBIMQL-UHFFFAOYSA-N 1 2 275.396 3.750 20 0 CHADLO Cc1ccc(C[N@H+](CCCC(C)C)Cc2cc[nH]n2)o1 ZINC001197778525 1122968697 /nfs/dbraw/zinc/96/86/97/1122968697.db2.gz VXUHCNMBVBIMQL-UHFFFAOYSA-N 1 2 275.396 3.750 20 0 CHADLO C[C@]1(C(N)=O)CCCC[N@H+]1Cc1ccc(Cl)s1 ZINC000334249719 1122973669 /nfs/dbraw/zinc/97/36/69/1122973669.db2.gz XDEXWQKRJXJLAF-GFCCVEGCSA-N 1 2 272.801 3.681 20 0 CHADLO C[C@]1(C(N)=O)CCCC[N@@H+]1Cc1ccc(Cl)s1 ZINC000334249719 1122973667 /nfs/dbraw/zinc/97/36/67/1122973667.db2.gz XDEXWQKRJXJLAF-GFCCVEGCSA-N 1 2 272.801 3.681 20 0 CHADLO Cn1ccnc1C[NH2+][C@@H]1CCc2cc(Cl)cc(Cl)c21 ZINC000334321592 1122986676 /nfs/dbraw/zinc/98/66/76/1122986676.db2.gz HZVYBLBHJSUBKE-GFCCVEGCSA-N 1 2 296.201 3.504 20 0 CHADLO Cc1cn2c(cccc2NC(=S)Nc2ccccc2C)[nH+]1 ZINC001198390448 1122989705 /nfs/dbraw/zinc/98/97/05/1122989705.db2.gz SYSFEHGDFLWZKI-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO COC(=O)[C@@]1([NH2+]CC2CC=CC2)CC[C@H](C)c2ccccc21 ZINC001198718700 1123009258 /nfs/dbraw/zinc/00/92/58/1123009258.db2.gz LYQIMIKXEOMTKQ-IFXJQAMLSA-N 1 2 299.414 3.508 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@@H+](Cc1nc3c(o1)CCCC3)C2 ZINC001198853341 1123012477 /nfs/dbraw/zinc/01/24/77/1123012477.db2.gz WPVOTGVQMGNCJP-UHFFFAOYSA-N 1 2 296.414 3.729 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@H+](Cc1nc3c(o1)CCCC3)C2 ZINC001198853341 1123012479 /nfs/dbraw/zinc/01/24/79/1123012479.db2.gz WPVOTGVQMGNCJP-UHFFFAOYSA-N 1 2 296.414 3.729 20 0 CHADLO CCc1ccc2c(c1)CC[N@@H+](Cc1nc3c(o1)CCCC3)C2 ZINC001198820892 1123012941 /nfs/dbraw/zinc/01/29/41/1123012941.db2.gz NERQUWKDAJUFBS-UHFFFAOYSA-N 1 2 296.414 3.674 20 0 CHADLO CCc1ccc2c(c1)CC[N@H+](Cc1nc3c(o1)CCCC3)C2 ZINC001198820892 1123012945 /nfs/dbraw/zinc/01/29/45/1123012945.db2.gz NERQUWKDAJUFBS-UHFFFAOYSA-N 1 2 296.414 3.674 20 0 CHADLO CC(C)CC1(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000440754469 1123036713 /nfs/dbraw/zinc/03/67/13/1123036713.db2.gz BOKKPQAMTVEEJA-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CCC2=CCCCC2)C2CCCCC2)n1 ZINC000520313602 1123075898 /nfs/dbraw/zinc/07/58/98/1123075898.db2.gz PATLCXRARQTECW-INIZCTEOSA-N 1 2 288.439 3.906 20 0 CHADLO CC(C)[C@H](CNc1ccc(N(C)C)c[nH+]1)c1ccccc1 ZINC001201179072 1123077195 /nfs/dbraw/zinc/07/71/95/1123077195.db2.gz HQYUXSJSGDWYOO-KRWDZBQOSA-N 1 2 283.419 3.999 20 0 CHADLO Cc1c(Br)cc(F)cc1Nc1ccc(N)[nH+]c1 ZINC001201285760 1123080467 /nfs/dbraw/zinc/08/04/67/1123080467.db2.gz OWHIOGVSDYECTI-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO Cc1nc(-c2ccc(Nc3ccc(N)[nH+]c3)cc2)cs1 ZINC001201286867 1123081129 /nfs/dbraw/zinc/08/11/29/1123081129.db2.gz FBYRMLPCLDBWMF-UHFFFAOYSA-N 1 2 282.372 3.839 20 0 CHADLO Cc1cc(O)c(C(C)C)cc1Nc1ccc(N)[nH+]c1 ZINC001201287137 1123081761 /nfs/dbraw/zinc/08/17/61/1123081761.db2.gz VMEOFDBUESBHIJ-UHFFFAOYSA-N 1 2 257.337 3.545 20 0 CHADLO CC(C)(C)n1nc(C2CCC2)cc1Nc1ccc(N)[nH+]c1 ZINC001201271799 1123084466 /nfs/dbraw/zinc/08/44/66/1123084466.db2.gz DCKUJUHGUQJITM-UHFFFAOYSA-N 1 2 285.395 3.626 20 0 CHADLO Nc1cccc(Oc2cccc(Nc3ccc(N)[nH+]c3)c2)c1 ZINC001201295912 1123084836 /nfs/dbraw/zinc/08/48/36/1123084836.db2.gz XLMUMNZKNBBSRR-UHFFFAOYSA-N 1 2 292.342 3.782 20 0 CHADLO Nc1ccc(Nc2ccc(Cl)c3ncccc23)c[nH+]1 ZINC001201293235 1123085589 /nfs/dbraw/zinc/08/55/89/1123085589.db2.gz YQHQFQIBYWDMKR-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3ccc(F)c(F)c3)ccc21 ZINC001201308053 1123085960 /nfs/dbraw/zinc/08/59/60/1123085960.db2.gz CXLLIYUNEKNMQH-UHFFFAOYSA-N 1 2 288.341 3.959 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3ccc(F)c(F)c3)ccc21 ZINC001201308053 1123085962 /nfs/dbraw/zinc/08/59/62/1123085962.db2.gz CXLLIYUNEKNMQH-UHFFFAOYSA-N 1 2 288.341 3.959 20 0 CHADLO CC(C)Cc1ccc(CNc2ccc([NH+](C)C)cc2)cn1 ZINC001201338929 1123088755 /nfs/dbraw/zinc/08/87/55/1123088755.db2.gz SMXGNYMVQKNRGS-UHFFFAOYSA-N 1 2 283.419 3.958 20 0 CHADLO CC[C@H]1C[C@@H](C[NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC001201337641 1123089026 /nfs/dbraw/zinc/08/90/26/1123089026.db2.gz BOGVDZNAPOGEPU-YJBOKZPZSA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@H]1C[C@@H](CNc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC001201337641 1123089031 /nfs/dbraw/zinc/08/90/31/1123089031.db2.gz BOGVDZNAPOGEPU-YJBOKZPZSA-N 1 2 288.435 3.904 20 0 CHADLO Cc1ncc(Nc2ccc([NH2+]C(C)C)cc2)c(C)n1 ZINC001201489544 1123108518 /nfs/dbraw/zinc/10/85/18/1123108518.db2.gz SCMPBLYYPHJQOY-UHFFFAOYSA-N 1 2 256.353 3.657 20 0 CHADLO CCOc1ncc(Cl)cc1Nc1c[nH+]c(C)cc1C ZINC001201507515 1123113159 /nfs/dbraw/zinc/11/31/59/1123113159.db2.gz MMOZQFWAWMPEJV-UHFFFAOYSA-N 1 2 277.755 3.889 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+]1CCc2nc[nH]c2C12CCC2 ZINC000895068430 1123145629 /nfs/dbraw/zinc/14/56/29/1123145629.db2.gz ZCUJGJYKCFGWNY-NTCAYCPXSA-N 1 2 293.414 3.751 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+]1CCc2nc[nH]c2C12CCC2 ZINC000895068430 1123145633 /nfs/dbraw/zinc/14/56/33/1123145633.db2.gz ZCUJGJYKCFGWNY-NTCAYCPXSA-N 1 2 293.414 3.751 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nc(C(C)C)c[nH]2)CC2CC2)cn1 ZINC000895077115 1123162856 /nfs/dbraw/zinc/16/28/56/1123162856.db2.gz AIDDAOMPDVCBPG-UHFFFAOYSA-N 1 2 298.434 3.649 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nc(C(C)C)c[nH]2)CC2CC2)cn1 ZINC000895077115 1123162859 /nfs/dbraw/zinc/16/28/59/1123162859.db2.gz AIDDAOMPDVCBPG-UHFFFAOYSA-N 1 2 298.434 3.649 20 0 CHADLO Cc1cccc(C)c1NC(=O)Nc1cccc2[nH+]ccn21 ZINC001202192283 1123185564 /nfs/dbraw/zinc/18/55/64/1123185564.db2.gz GSGRRBKYPHUPFX-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Cc1cccc(C)c1NC(=O)Nc1ccc2[nH+]ccn2c1 ZINC001202196896 1123186230 /nfs/dbraw/zinc/18/62/30/1123186230.db2.gz RVPWEKUUQQRIPD-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Cc1cccc(C)c1NC(=O)NCc1c[nH+]cn1C1CCC1 ZINC001202215725 1123188704 /nfs/dbraw/zinc/18/87/04/1123188704.db2.gz GFSIZQNIHWQYQP-UHFFFAOYSA-N 1 2 298.390 3.547 20 0 CHADLO CCOc1ccc(Cl)cc1C[NH2+]Cc1ncccc1F ZINC001202258190 1123190266 /nfs/dbraw/zinc/19/02/66/1123190266.db2.gz DHUIBDTWWJAKGK-UHFFFAOYSA-N 1 2 294.757 3.563 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc3cc(F)ccc3n2)C[C@H]1F ZINC001141640426 1123200091 /nfs/dbraw/zinc/20/00/91/1123200091.db2.gz ZDUROTZXJQOARI-CVEARBPZSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc3cc(F)ccc3n2)C[C@H]1F ZINC001141640426 1123200096 /nfs/dbraw/zinc/20/00/96/1123200096.db2.gz ZDUROTZXJQOARI-CVEARBPZSA-N 1 2 294.320 3.646 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2csc(-c3ccco3)n2)[C@H]1C ZINC000118420060 1123202969 /nfs/dbraw/zinc/20/29/69/1123202969.db2.gz IQPILFKYUZNBRY-WDEREUQCSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2csc(-c3ccco3)n2)[C@H]1C ZINC000118420060 1123202971 /nfs/dbraw/zinc/20/29/71/1123202971.db2.gz IQPILFKYUZNBRY-WDEREUQCSA-N 1 2 294.445 3.729 20 0 CHADLO CCCC[N@H+](Cc1cc(C)n(C)n1)Cc1ccccc1F ZINC000487397932 1123213123 /nfs/dbraw/zinc/21/31/23/1123213123.db2.gz VDDNZAJEXHEZNW-UHFFFAOYSA-N 1 2 289.398 3.670 20 0 CHADLO CCCC[N@@H+](Cc1cc(C)n(C)n1)Cc1ccccc1F ZINC000487397932 1123213125 /nfs/dbraw/zinc/21/31/25/1123213125.db2.gz VDDNZAJEXHEZNW-UHFFFAOYSA-N 1 2 289.398 3.670 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000579588917 1123213650 /nfs/dbraw/zinc/21/36/50/1123213650.db2.gz NCAMWVFLRCOYEH-NWDGAFQWSA-N 1 2 281.346 3.669 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1C[C@H](C)OC2(CCC2)C1 ZINC000579588917 1123213654 /nfs/dbraw/zinc/21/36/54/1123213654.db2.gz NCAMWVFLRCOYEH-NWDGAFQWSA-N 1 2 281.346 3.669 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Oc3ccccc3)o2)CCO1 ZINC000507813282 1123221675 /nfs/dbraw/zinc/22/16/75/1123221675.db2.gz OHIJFLYJLOTRFJ-UHFFFAOYSA-N 1 2 287.359 3.683 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Oc3ccccc3)o2)CCO1 ZINC000507813282 1123221678 /nfs/dbraw/zinc/22/16/78/1123221678.db2.gz OHIJFLYJLOTRFJ-UHFFFAOYSA-N 1 2 287.359 3.683 20 0 CHADLO c1ccn(-c2ccc(Nc3ccn4cc[nH+]c4c3)cc2)c1 ZINC001204977887 1123229159 /nfs/dbraw/zinc/22/91/59/1123229159.db2.gz MMSUBUANIXEOMC-UHFFFAOYSA-N 1 2 274.327 3.869 20 0 CHADLO Cc1cc(C)c(NC(=O)c2scnc2C(C)C)c(C)[nH+]1 ZINC000334555752 1123252177 /nfs/dbraw/zinc/25/21/77/1123252177.db2.gz YJTMADNSTPDNMF-UHFFFAOYSA-N 1 2 289.404 3.839 20 0 CHADLO c1cc(N2CCSCC2)ccc1[NH2+][C@H]1CCCC12CC2 ZINC000850458696 1123270361 /nfs/dbraw/zinc/27/03/61/1123270361.db2.gz VVFXRDYIWIMEQR-INIZCTEOSA-N 1 2 288.460 3.984 20 0 CHADLO Cc1ccc(C(=O)NCc2c[nH+]c(C)cc2C)c(Cl)c1 ZINC000335057694 1123290684 /nfs/dbraw/zinc/29/06/84/1123290684.db2.gz LECCKIPRCUMIST-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO CCCC(CCC)C(=O)NCc1ccccc1-n1cc[nH+]c1 ZINC000156347903 1129111752 /nfs/dbraw/zinc/11/17/52/1129111752.db2.gz KKLBLMSCQXDYCX-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO Cc1cccc2c1CCC[C@H]2[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000850574571 1123296578 /nfs/dbraw/zinc/29/65/78/1123296578.db2.gz ZMJFPDLDTAAGSN-IAGOWNOFSA-N 1 2 281.403 3.763 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](C)C[C@H]2C)c(C)[nH+]1 ZINC000335096558 1123298348 /nfs/dbraw/zinc/29/83/48/1123298348.db2.gz MNCCFXXQEFEEPF-ZWNOBZJWSA-N 1 2 275.396 3.659 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](C[C@@H]1CCC3(CCCC3)O1)C2 ZINC000335178036 1123316746 /nfs/dbraw/zinc/31/67/46/1123316746.db2.gz ULXAKVSPRRCRIB-SFHVURJKSA-N 1 2 299.414 3.697 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](C[C@@H]1CCC3(CCCC3)O1)C2 ZINC000335178036 1123316748 /nfs/dbraw/zinc/31/67/48/1123316748.db2.gz ULXAKVSPRRCRIB-SFHVURJKSA-N 1 2 299.414 3.697 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@@H+]1CCC[C@@H]1c1cccnc1 ZINC000346989850 1123332222 /nfs/dbraw/zinc/33/22/22/1123332222.db2.gz HHXDFWDBPWFAOW-GOSISDBHSA-N 1 2 296.418 3.730 20 0 CHADLO c1cn(C2CCCC2)nc1C[N@H+]1CCC[C@@H]1c1cccnc1 ZINC000346989850 1123332227 /nfs/dbraw/zinc/33/22/27/1123332227.db2.gz HHXDFWDBPWFAOW-GOSISDBHSA-N 1 2 296.418 3.730 20 0 CHADLO Cc1ccc(NC2CCC(C(F)F)CC2)c(C)[nH+]1 ZINC000442850885 1123342028 /nfs/dbraw/zinc/34/20/28/1123342028.db2.gz VZEIETMMWQUVBF-UHFFFAOYSA-N 1 2 254.324 3.934 20 0 CHADLO C[C@@]1([NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC=CCC1 ZINC000639258194 1123366629 /nfs/dbraw/zinc/36/66/29/1123366629.db2.gz BETQWHCFWZVVCL-GOEBONIOSA-N 1 2 274.412 3.515 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H](C(F)(F)F)[C@H]2C)cs1 ZINC000335384421 1123367301 /nfs/dbraw/zinc/36/73/01/1123367301.db2.gz PUMKZAFRVYSHLD-LDYMZIIASA-N 1 2 278.343 3.614 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H](C(F)(F)F)[C@H]2C)cs1 ZINC000335384421 1123367304 /nfs/dbraw/zinc/36/73/04/1123367304.db2.gz PUMKZAFRVYSHLD-LDYMZIIASA-N 1 2 278.343 3.614 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000335463653 1123370971 /nfs/dbraw/zinc/37/09/71/1123370971.db2.gz MFOXDRURTGQQAA-OLZOCXBDSA-N 1 2 286.375 3.532 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1C[C@@H](C)OC2(CCC2)C1 ZINC000335463653 1123370975 /nfs/dbraw/zinc/37/09/75/1123370975.db2.gz MFOXDRURTGQQAA-OLZOCXBDSA-N 1 2 286.375 3.532 20 0 CHADLO Cc1cc(CNC(=O)Nc2cccc(C)c2C)cc(C)[nH+]1 ZINC000335602050 1123383592 /nfs/dbraw/zinc/38/35/92/1123383592.db2.gz LJWFABRFDNKSQJ-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Cl/C=C(/Cl)C[N@@H+]1CCC[C@H](c2ccccn2)C1 ZINC000852086977 1123395577 /nfs/dbraw/zinc/39/55/77/1123395577.db2.gz YTWXDLHBTJDHFT-SERMCNLOSA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(/Cl)C[N@H+]1CCC[C@H](c2ccccn2)C1 ZINC000852086977 1123395582 /nfs/dbraw/zinc/39/55/82/1123395582.db2.gz YTWXDLHBTJDHFT-SERMCNLOSA-N 1 2 271.191 3.580 20 0 CHADLO Cc1ccc(C)c([C@H](C)[NH2+][C@H](C)c2csnn2)c1 ZINC000398444550 1123405648 /nfs/dbraw/zinc/40/56/48/1123405648.db2.gz ALYMCFOTFDXFJH-NWDGAFQWSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1cc(N)nc(S[C@H]2CCc3c2cccc3Cl)[nH+]1 ZINC000443521049 1123423969 /nfs/dbraw/zinc/42/39/69/1123423969.db2.gz MAIQHAFCNOASSG-LBPRGKRZSA-N 1 2 291.807 3.631 20 0 CHADLO Cc1cc([NH2+]C[C@@H]2CCOc3ccccc32)ccc1N ZINC000853249032 1123429909 /nfs/dbraw/zinc/42/99/09/1123429909.db2.gz BOTSWYMAFUIRBX-ZDUSSCGKSA-N 1 2 268.360 3.555 20 0 CHADLO CC(C)c1ccccc1N(C)C(=O)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000335812582 1123436236 /nfs/dbraw/zinc/43/62/36/1123436236.db2.gz RHCVIBPHIILBLS-CQSZACIVSA-N 1 2 297.402 3.616 20 0 CHADLO Nc1[nH+]cccc1COc1ccc(NC2CCCCC2)cc1 ZINC000853667162 1123446568 /nfs/dbraw/zinc/44/65/68/1123446568.db2.gz YOCNATGMLGRLDX-UHFFFAOYSA-N 1 2 297.402 3.987 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C)cc2)c(C)s1 ZINC000443626309 1123449779 /nfs/dbraw/zinc/44/97/79/1123449779.db2.gz JKHHOXCPOIPGPG-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C)cc2)c(C)s1 ZINC000443626309 1123449780 /nfs/dbraw/zinc/44/97/80/1123449780.db2.gz JKHHOXCPOIPGPG-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(F)cc2)c(C)s1 ZINC000443636940 1123454161 /nfs/dbraw/zinc/45/41/61/1123454161.db2.gz HBDWWZXKUNPPGB-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(F)cc2)c(C)s1 ZINC000443636940 1123454164 /nfs/dbraw/zinc/45/41/64/1123454164.db2.gz HBDWWZXKUNPPGB-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO COc1ccccc1C[N@@H+]1CCC(C)=C(Br)C1 ZINC000797605905 1123458540 /nfs/dbraw/zinc/45/85/40/1123458540.db2.gz YEVBHPYHYHPTHV-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO COc1ccccc1C[N@H+]1CCC(C)=C(Br)C1 ZINC000797605905 1123458542 /nfs/dbraw/zinc/45/85/42/1123458542.db2.gz YEVBHPYHYHPTHV-UHFFFAOYSA-N 1 2 296.208 3.570 20 0 CHADLO CC(C)c1cccc(COC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000853924362 1123462370 /nfs/dbraw/zinc/46/23/70/1123462370.db2.gz OBDQRRGCFJLUSU-CQSZACIVSA-N 1 2 286.375 3.701 20 0 CHADLO Cc1ncoc1C[NH2+]C(C)(C)c1nc2ccccc2s1 ZINC000895391159 1123474819 /nfs/dbraw/zinc/47/48/19/1123474819.db2.gz UFBJGBWVSLPMQB-UHFFFAOYSA-N 1 2 287.388 3.618 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc(F)c(F)c1OC ZINC001211883320 1123484914 /nfs/dbraw/zinc/48/49/14/1123484914.db2.gz CDNVCEIGTFEMKP-UHFFFAOYSA-N 1 2 278.302 3.983 20 0 CHADLO CC1=CC[N@H+](Cc2csc(C(C)(C)C)n2)CC1 ZINC000535967628 1123494588 /nfs/dbraw/zinc/49/45/88/1123494588.db2.gz VKAJGGMYIPMHHR-UHFFFAOYSA-N 1 2 250.411 3.593 20 0 CHADLO CC1=CC[N@@H+](Cc2csc(C(C)(C)C)n2)CC1 ZINC000535967628 1123494591 /nfs/dbraw/zinc/49/45/91/1123494591.db2.gz VKAJGGMYIPMHHR-UHFFFAOYSA-N 1 2 250.411 3.593 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]Cc2nc(C(F)F)no2)cc1 ZINC000895729846 1123508968 /nfs/dbraw/zinc/50/89/68/1123508968.db2.gz SYJNANFHAFAGAJ-UHFFFAOYSA-N 1 2 295.333 3.595 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccco2)Cc2ccccc2)cn1 ZINC000338973799 1123522731 /nfs/dbraw/zinc/52/27/31/1123522731.db2.gz OFVNZZJSKYBHKG-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccco2)Cc2ccccc2)cn1 ZINC000338973799 1123522736 /nfs/dbraw/zinc/52/27/36/1123522736.db2.gz OFVNZZJSKYBHKG-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1cc(C)c2cc(N[C@H]3CCCOCC3)ccc2[nH+]1 ZINC000895873874 1123524360 /nfs/dbraw/zinc/52/43/60/1123524360.db2.gz CDZPIDYBZJMKSD-AWEZNQCLSA-N 1 2 270.376 3.833 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cc(-c3ccco3)on2)cc1 ZINC000053094686 1123525427 /nfs/dbraw/zinc/52/54/27/1123525427.db2.gz LQRKXPJNWHKEKT-UHFFFAOYSA-N 1 2 298.342 3.575 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cc(-c3ccco3)on2)cc1 ZINC000053094686 1123525429 /nfs/dbraw/zinc/52/54/29/1123525429.db2.gz LQRKXPJNWHKEKT-UHFFFAOYSA-N 1 2 298.342 3.575 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C/c2ccccc2)c(C)[nH+]1 ZINC000097039899 1123530104 /nfs/dbraw/zinc/53/01/04/1123530104.db2.gz DIUDWMNAGAWQOE-MDZDMXLPSA-N 1 2 266.344 3.659 20 0 CHADLO CC1(C)CCN(C(=O)c2cccc3[nH+]ccn32)CC(C)(C)C1 ZINC000855690974 1123530801 /nfs/dbraw/zinc/53/08/01/1123530801.db2.gz WFCXIJPLBADRLK-UHFFFAOYSA-N 1 2 299.418 3.623 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2ncc(C)s2)c1 ZINC000776896854 1123566588 /nfs/dbraw/zinc/56/65/88/1123566588.db2.gz ANUKYAIOQLZKKM-UHFFFAOYSA-N 1 2 280.418 3.597 20 0 CHADLO Cc1ccccc1[C@@H](c1noc(C2([NH+](C)C)CC2)n1)C(C)C ZINC000896294536 1123569786 /nfs/dbraw/zinc/56/97/86/1123569786.db2.gz UFVWSYRCTLUXNO-HNNXBMFYSA-N 1 2 299.418 3.717 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1cn(C)nc1Cl)C1CC1 ZINC000857012516 1123577512 /nfs/dbraw/zinc/57/75/12/1123577512.db2.gz LKMXGSRBDGXHQW-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1cn(C)nc1Cl)C1CC1 ZINC000857012516 1123577516 /nfs/dbraw/zinc/57/75/16/1123577516.db2.gz LKMXGSRBDGXHQW-UHFFFAOYSA-N 1 2 289.810 3.547 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3cccnc32)nc(C2CCC2)[nH+]1 ZINC000896411990 1123580244 /nfs/dbraw/zinc/58/02/44/1123580244.db2.gz WGODTZHMFVLJPW-OAHLLOKOSA-N 1 2 294.402 3.937 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1cn(C)nc1Cl ZINC000857040780 1123580591 /nfs/dbraw/zinc/58/05/91/1123580591.db2.gz ZBHCVBBOBCOWJL-UKTHLTGXSA-N 1 2 289.810 3.609 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1cn(C)nc1Cl ZINC000857040780 1123580593 /nfs/dbraw/zinc/58/05/93/1123580593.db2.gz ZBHCVBBOBCOWJL-UKTHLTGXSA-N 1 2 289.810 3.609 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@H](C2CCOCC2)C1 ZINC000896591984 1123603317 /nfs/dbraw/zinc/60/33/17/1123603317.db2.gz QBBBIHNCIASJRT-KRWDZBQOSA-N 1 2 296.414 3.878 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+][C@H]1CCCc2cn[nH]c21 ZINC000857867968 1123623187 /nfs/dbraw/zinc/62/31/87/1123623187.db2.gz MKFHRYYFGMRQJV-INIZCTEOSA-N 1 2 285.366 3.593 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+][C@H]1CCCc2c[nH]nc21 ZINC000857867968 1123623189 /nfs/dbraw/zinc/62/31/89/1123623189.db2.gz MKFHRYYFGMRQJV-INIZCTEOSA-N 1 2 285.366 3.593 20 0 CHADLO Clc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCc3[nH]ncc31)CC2 ZINC000858582438 1123665848 /nfs/dbraw/zinc/66/58/48/1123665848.db2.gz QTXQROCUJWYROH-CABCVRRESA-N 1 2 287.794 3.718 20 0 CHADLO Cc1cc(CNc2cc(C)[nH+]c(C(C)C)n2)cc(Cl)n1 ZINC000858593452 1123666514 /nfs/dbraw/zinc/66/65/14/1123666514.db2.gz CNFCDIUXEGHJIA-UHFFFAOYSA-N 1 2 290.798 3.877 20 0 CHADLO CC[C@H](C)C[C@H](C)NC(=O)c1cc(C)ccc1-n1cc[nH+]c1 ZINC000346252544 1123675539 /nfs/dbraw/zinc/67/55/39/1123675539.db2.gz TYCDZPQFYMOTHB-ZFWWWQNUSA-N 1 2 299.418 3.735 20 0 CHADLO Clc1cc(Cl)c2c(c1)CC[N@@H+](C[C@H]1CCCO1)C2 ZINC000339016358 1123677266 /nfs/dbraw/zinc/67/72/66/1123677266.db2.gz VROUXEDFYJRYNU-GFCCVEGCSA-N 1 2 286.202 3.531 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1ccnc(F)c1 ZINC000859079391 1123683764 /nfs/dbraw/zinc/68/37/64/1123683764.db2.gz MKGFZTZFLZODKM-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1ccnc(F)c1 ZINC000859079391 1123683765 /nfs/dbraw/zinc/68/37/65/1123683765.db2.gz MKGFZTZFLZODKM-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ccsc2)c2cnccn2)cc1 ZINC000346450378 1123687521 /nfs/dbraw/zinc/68/75/21/1123687521.db2.gz VOFBALSTXGQLTB-MRXNPFEDSA-N 1 2 299.374 3.556 20 0 CHADLO CCC[C@H](C)CCCOC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000859729166 1123707492 /nfs/dbraw/zinc/70/74/92/1123707492.db2.gz BPHVTMQFPYDYSK-KBPBESRZSA-N 1 2 266.385 3.594 20 0 CHADLO CCCCc1ccc([C@@H](C)[NH2+][C@H](C)c2ncn(C)n2)cc1 ZINC000275794097 1129140368 /nfs/dbraw/zinc/14/03/68/1129140368.db2.gz XPLXCWNXPOLSDW-ZIAGYGMSSA-N 1 2 286.423 3.570 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)cc1Cl)c1ccns1 ZINC000860058065 1123719565 /nfs/dbraw/zinc/71/95/65/1123719565.db2.gz GLOMVSNVXLLRAD-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO C[C@@H](Nc1ccc(-n2cc[nH+]c2)c(Cl)c1)[C@@H]1CCCO1 ZINC000346834226 1123725479 /nfs/dbraw/zinc/72/54/79/1123725479.db2.gz NXVZDKSJYBGPPI-ABAIWWIYSA-N 1 2 291.782 3.505 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCC[C@H]3c3cccnc3)oc2c1 ZINC000346963950 1123737145 /nfs/dbraw/zinc/73/71/45/1123737145.db2.gz AWICPVLWZJYFNL-HNNXBMFYSA-N 1 2 297.333 3.699 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCC[C@H]3c3cccnc3)oc2c1 ZINC000346963950 1123737148 /nfs/dbraw/zinc/73/71/48/1123737148.db2.gz AWICPVLWZJYFNL-HNNXBMFYSA-N 1 2 297.333 3.699 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1cncc(F)c1 ZINC000347020327 1123742975 /nfs/dbraw/zinc/74/29/75/1123742975.db2.gz UGRXVJBLYROOKD-RYUDHWBXSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1cncc(F)c1 ZINC000347020327 1123742978 /nfs/dbraw/zinc/74/29/78/1123742978.db2.gz UGRXVJBLYROOKD-RYUDHWBXSA-N 1 2 288.341 3.868 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@@H+](C[C@@H]1CCCO1)CC2 ZINC000339024887 1123771358 /nfs/dbraw/zinc/77/13/58/1123771358.db2.gz WWQYTEIHAPWOHX-LBPRGKRZSA-N 1 2 286.202 3.531 20 0 CHADLO Clc1cc(Cl)c2c(c1)C[N@H+](C[C@@H]1CCCO1)CC2 ZINC000339024887 1123771360 /nfs/dbraw/zinc/77/13/60/1123771360.db2.gz WWQYTEIHAPWOHX-LBPRGKRZSA-N 1 2 286.202 3.531 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1nc(CC2CC2)no1)C1CC1 ZINC000639537089 1123774867 /nfs/dbraw/zinc/77/48/67/1123774867.db2.gz PWXRFSUJOUZLOB-ZDUSSCGKSA-N 1 2 297.402 3.748 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1nc(CC2CC2)no1)C1CC1 ZINC000639537089 1123774871 /nfs/dbraw/zinc/77/48/71/1123774871.db2.gz PWXRFSUJOUZLOB-ZDUSSCGKSA-N 1 2 297.402 3.748 20 0 CHADLO CCCCCC[C@H](C)CC(=O)NCc1[nH]c(C)c(C)[nH+]1 ZINC000896922087 1123781016 /nfs/dbraw/zinc/78/10/16/1123781016.db2.gz XXLWKVBVQASHKH-LBPRGKRZSA-N 1 2 279.428 3.639 20 0 CHADLO CCc1nc(C[N@H+]2Cc3ccccc3[C@@H](C)C2)cs1 ZINC000861797006 1123785384 /nfs/dbraw/zinc/78/53/84/1123785384.db2.gz MSTOFPGRXFSKLH-LBPRGKRZSA-N 1 2 272.417 3.825 20 0 CHADLO CCc1nc(C[N@@H+]2Cc3ccccc3[C@@H](C)C2)cs1 ZINC000861797006 1123785387 /nfs/dbraw/zinc/78/53/87/1123785387.db2.gz MSTOFPGRXFSKLH-LBPRGKRZSA-N 1 2 272.417 3.825 20 0 CHADLO Cc1cc(NCc2cnc(C3CC3)o2)ccc1[NH+](C)C ZINC000862259859 1123796175 /nfs/dbraw/zinc/79/61/75/1123796175.db2.gz MXWGWSYTGMUDAP-UHFFFAOYSA-N 1 2 271.364 3.539 20 0 CHADLO Cc1c(F)nccc1C[N@H+](C)Cc1cc(Cl)ccc1F ZINC000862347535 1123801076 /nfs/dbraw/zinc/80/10/76/1123801076.db2.gz FNUOHBQVAMQLSU-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1c(F)nccc1C[N@@H+](C)Cc1cc(Cl)ccc1F ZINC000862347535 1123801079 /nfs/dbraw/zinc/80/10/79/1123801079.db2.gz FNUOHBQVAMQLSU-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nc(Cl)ccc2Cl)CCS1 ZINC000276927680 1129149101 /nfs/dbraw/zinc/14/91/01/1129149101.db2.gz LVCYKQUCWBIQAO-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nc(Cl)ccc2Cl)CCS1 ZINC000276927680 1129149105 /nfs/dbraw/zinc/14/91/05/1129149105.db2.gz LVCYKQUCWBIQAO-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO CC(C)[C@@H](Nc1cccc[nH+]1)c1ccc2c(c1)OCCO2 ZINC000301058693 1123870468 /nfs/dbraw/zinc/87/04/68/1123870468.db2.gz DBUFMLVUCPTARK-QGZVFWFLSA-N 1 2 284.359 3.662 20 0 CHADLO Cc1cc(N(C)Cc2ccc(F)cc2)nc(C(C)C)[nH+]1 ZINC000301055457 1123870729 /nfs/dbraw/zinc/87/07/29/1123870729.db2.gz BPXHVDNWYJCCGQ-UHFFFAOYSA-N 1 2 273.355 3.684 20 0 CHADLO COCCSc1ccc([C@@H](C)Nc2cccc[nH+]2)cc1 ZINC000301216725 1123882258 /nfs/dbraw/zinc/88/22/58/1123882258.db2.gz YTNYCNXEJFNLTF-CYBMUJFWSA-N 1 2 288.416 3.993 20 0 CHADLO C[C@@H]1C[C@H](c2ccc(F)cc2)CN1c1cccc[nH+]1 ZINC000301319215 1123890852 /nfs/dbraw/zinc/89/08/52/1123890852.db2.gz DNEJNJKIZUKKQR-OCCSQVGLSA-N 1 2 256.324 3.603 20 0 CHADLO Cc1noc(C)c1C[C@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000301347664 1123893942 /nfs/dbraw/zinc/89/39/42/1123893942.db2.gz HNHOSWBZLOHYDX-JTQLQIEISA-N 1 2 288.395 3.556 20 0 CHADLO COc1ccc([C@@H]2CCCN2c2nc(C)[nH+]c(C)c2C)cc1 ZINC000301445836 1123901354 /nfs/dbraw/zinc/90/13/54/1123901354.db2.gz PQJQCGOWHNOYQM-KRWDZBQOSA-N 1 2 297.402 3.752 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc(OC(F)F)c(F)c2)n1C ZINC000863975625 1123905269 /nfs/dbraw/zinc/90/52/69/1123905269.db2.gz VRYDGHLWPCUOCP-UHFFFAOYSA-N 1 2 284.281 3.951 20 0 CHADLO Cc1cc(-c2ccc(C3(O)CCC3)cc2)c2[nH+]ccn2c1 ZINC000863976768 1123906023 /nfs/dbraw/zinc/90/60/23/1123906023.db2.gz LUXAGGFHXZMMRO-UHFFFAOYSA-N 1 2 278.355 3.681 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(CN(c2cccc[nH+]2)C2CC2)o1 ZINC000301511930 1123907189 /nfs/dbraw/zinc/90/71/89/1123907189.db2.gz IRBIFTSOGJGROC-WFASDCNBSA-N 1 2 268.360 3.967 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc3c2OCO3)ccc1[NH+](C)C ZINC000864000287 1123908155 /nfs/dbraw/zinc/90/81/55/1123908155.db2.gz ORQPYXLZMCAVBC-ZDUSSCGKSA-N 1 2 298.386 3.963 20 0 CHADLO CCc1noc(CC)c1CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000301544831 1123910309 /nfs/dbraw/zinc/91/03/09/1123910309.db2.gz DMBMQKUEOPWXMX-UHFFFAOYSA-N 1 2 288.395 3.633 20 0 CHADLO Cc1cc(N[C@@H](CCO)C2CCCCC2)nc(C(C)C)[nH+]1 ZINC000301548779 1123910790 /nfs/dbraw/zinc/91/07/90/1123910790.db2.gz QAAGWWJRLDVYBZ-HNNXBMFYSA-N 1 2 291.439 3.652 20 0 CHADLO c1csc(C[C@@H]2CCN(c3[nH+]ccc4ccccc43)C2)n1 ZINC000897279138 1123917033 /nfs/dbraw/zinc/91/70/33/1123917033.db2.gz HHVPOODBPKWNCQ-ZDUSSCGKSA-N 1 2 295.411 3.760 20 0 CHADLO Cc1cc(N2CCC[C@@H]3CCC[C@H]32)nc(C(C)C)[nH+]1 ZINC000301639958 1123919789 /nfs/dbraw/zinc/91/97/89/1123919789.db2.gz GEPFOAPHHGSULE-UONOGXRCSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nnc(C(C)(C)C)o2)c1C ZINC000794641871 1123922535 /nfs/dbraw/zinc/92/25/35/1123922535.db2.gz ONKIOLDEIUQMRK-UHFFFAOYSA-N 1 2 287.407 3.616 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nnc(C(C)(C)C)o2)c1C ZINC000794641871 1123922540 /nfs/dbraw/zinc/92/25/40/1123922540.db2.gz ONKIOLDEIUQMRK-UHFFFAOYSA-N 1 2 287.407 3.616 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1cc(C)[nH+]c(C(C)C)n1)CO2 ZINC000301687951 1123924666 /nfs/dbraw/zinc/92/46/66/1123924666.db2.gz WHCHRFSMTVGIIB-AWEZNQCLSA-N 1 2 283.375 3.762 20 0 CHADLO CCOC(=O)[C@@H]1CC[C@H](Nc2[nH+]c3ccccc3cc2C)C1 ZINC000301734036 1123928301 /nfs/dbraw/zinc/92/83/01/1123928301.db2.gz UIDRZVWCEFAJHW-CABCVRRESA-N 1 2 298.386 3.687 20 0 CHADLO Cc1cccn2cc(C[S@@](=O)C[C@@H](C)C(C)(C)C)[nH+]c12 ZINC000864471452 1123929683 /nfs/dbraw/zinc/92/96/83/1123929683.db2.gz AGXYOHZTLRKTTA-XCLFUZPHSA-N 1 2 292.448 3.574 20 0 CHADLO Clc1ccccc1OC1CCN(c2cccc[nH+]2)CC1 ZINC000301820859 1123935657 /nfs/dbraw/zinc/93/56/57/1123935657.db2.gz LTGAKAVXRRGCDQ-UHFFFAOYSA-N 1 2 288.778 3.783 20 0 CHADLO COCC1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC1 ZINC000301814297 1123935743 /nfs/dbraw/zinc/93/57/43/1123935743.db2.gz IFHDNIODNNAZHW-UHFFFAOYSA-N 1 2 291.439 3.586 20 0 CHADLO c1ccc([C@@H]2CCCN2c2cc(NCC3CC3)[nH+]cn2)cc1 ZINC000301838385 1123937575 /nfs/dbraw/zinc/93/75/75/1123937575.db2.gz WRPFTNXMVZUOAA-INIZCTEOSA-N 1 2 294.402 3.640 20 0 CHADLO c1ccc([C@@H]2CCCN2c2cc(NCC3CC3)nc[nH+]2)cc1 ZINC000301838385 1123937579 /nfs/dbraw/zinc/93/75/79/1123937579.db2.gz WRPFTNXMVZUOAA-INIZCTEOSA-N 1 2 294.402 3.640 20 0 CHADLO C[C@H](c1ccccc1F)N(C)c1nc(N)c2ccccc2[nH+]1 ZINC000301883185 1123940932 /nfs/dbraw/zinc/94/09/32/1123940932.db2.gz NHIMZOWGMDTTEO-LLVKDONJSA-N 1 2 296.349 3.549 20 0 CHADLO CC[N@@H+](c1ccc(Nc2nc(C)ns2)cc1)C(C)C ZINC000301930397 1123944166 /nfs/dbraw/zinc/94/41/66/1123944166.db2.gz MUCVTFJHZALLCF-UHFFFAOYSA-N 1 2 276.409 3.825 20 0 CHADLO CC[N@H+](c1ccc(Nc2nc(C)ns2)cc1)C(C)C ZINC000301930397 1123944169 /nfs/dbraw/zinc/94/41/69/1123944169.db2.gz MUCVTFJHZALLCF-UHFFFAOYSA-N 1 2 276.409 3.825 20 0 CHADLO CCCC1(CNc2[nH+]cnc3c2cnn3C(C)(C)C)CC1 ZINC000301929026 1123944490 /nfs/dbraw/zinc/94/44/90/1123944490.db2.gz NPMGAZSRGWRVRR-UHFFFAOYSA-N 1 2 287.411 3.574 20 0 CHADLO COc1cc(F)cc(CNc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000301941633 1123945507 /nfs/dbraw/zinc/94/55/07/1123945507.db2.gz WAQAFWSYBIINHQ-UHFFFAOYSA-N 1 2 289.354 3.668 20 0 CHADLO Fc1ccc(N2CC[C@H](Nc3cccc[nH+]3)C2)cc1Cl ZINC000302028261 1123950383 /nfs/dbraw/zinc/95/03/83/1123950383.db2.gz IXYFNEPZRKOLMU-NSHDSACASA-N 1 2 291.757 3.565 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cc(C)oc2C)cs1 ZINC000120295804 1123951440 /nfs/dbraw/zinc/95/14/40/1123951440.db2.gz KUPJYRCVKZOFCJ-JTQLQIEISA-N 1 2 264.394 3.766 20 0 CHADLO Cc1nc(N2C[C@@H](C)[C@H]2c2ccccc2)c2c([nH+]1)CCCC2 ZINC000302083407 1123954178 /nfs/dbraw/zinc/95/41/78/1123954178.db2.gz JZCPCFLRRGQHSF-ACJLOTCBSA-N 1 2 293.414 3.861 20 0 CHADLO Cc1ccc([C@H]2CCN(c3nc(C)[nH+]c(C)c3C)C2)cc1 ZINC000302171159 1123960048 /nfs/dbraw/zinc/96/00/48/1123960048.db2.gz PXNNYMVTKIPLAT-KRWDZBQOSA-N 1 2 281.403 3.704 20 0 CHADLO CC(C)c1cc(N[C@@H]2CCC[C@@H]2CCO)nc(C(C)C)[nH+]1 ZINC000302428202 1123968707 /nfs/dbraw/zinc/96/87/07/1123968707.db2.gz KNTUIYIKCQBTBA-ZIAGYGMSSA-N 1 2 291.439 3.686 20 0 CHADLO COc1cccc2c(N[C@@H](C)C[C@H]3CCCO3)cc[nH+]c12 ZINC000302473985 1123969371 /nfs/dbraw/zinc/96/93/71/1123969371.db2.gz UTCMXHUNVPNVDW-QWHCGFSZSA-N 1 2 286.375 3.613 20 0 CHADLO CCOC(C)(C)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000302520044 1123970879 /nfs/dbraw/zinc/97/08/79/1123970879.db2.gz XBGYVYXHCXROMR-UHFFFAOYSA-N 1 2 279.428 3.950 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@@H]1C[C@H]2OCCC[C@@H]12 ZINC000302582394 1123973606 /nfs/dbraw/zinc/97/36/06/1123973606.db2.gz UFMWRTGAOUXFEM-NUEKZKHPSA-N 1 2 268.360 3.523 20 0 CHADLO CC(C)(C)c1cn(Cc2cccc3cccnc32)c[nH+]1 ZINC000865375952 1123976257 /nfs/dbraw/zinc/97/62/57/1123976257.db2.gz RTVGZMRMMIBXEE-UHFFFAOYSA-N 1 2 265.360 3.777 20 0 CHADLO CC/C(C)=C(\C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000779857599 1129157193 /nfs/dbraw/zinc/15/71/93/1129157193.db2.gz VXYVYAFJLGTPEH-VAWYXSNFSA-N 1 2 287.338 3.696 20 0 CHADLO Cc1nc(N2CCCC3(CCCCC3)CC2)cc[nH+]1 ZINC000302750086 1123982188 /nfs/dbraw/zinc/98/21/88/1123982188.db2.gz GQQNFAWURUMVDR-UHFFFAOYSA-N 1 2 259.397 3.726 20 0 CHADLO CO[C@H]1CC[C@@H](Nc2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000302770239 1123982582 /nfs/dbraw/zinc/98/25/82/1123982582.db2.gz MPBQTBBXJZJYDG-OLZOCXBDSA-N 1 2 277.412 3.703 20 0 CHADLO CO[C@@H]1CC[C@@H](Nc2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000302770238 1123982611 /nfs/dbraw/zinc/98/26/11/1123982611.db2.gz MPBQTBBXJZJYDG-CHWSQXEVSA-N 1 2 277.412 3.703 20 0 CHADLO COc1ccc(C[NH2+]Cc2cc(C)on2)cc1C(C)(C)C ZINC000865430877 1123983817 /nfs/dbraw/zinc/98/38/17/1123983817.db2.gz SVGLUHUNZQEPFZ-UHFFFAOYSA-N 1 2 288.391 3.579 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc([NH+](C)C)cc2)C12CCCC2 ZINC000085679323 1129159360 /nfs/dbraw/zinc/15/93/60/1129159360.db2.gz VOSSFTCMYPPDFY-IRXDYDNUSA-N 1 2 288.435 3.902 20 0 CHADLO CCO[C@H]1C[C@H]([NH2+]c2ccc(N(C)C)cc2)C12CCCC2 ZINC000085679323 1129159361 /nfs/dbraw/zinc/15/93/61/1129159361.db2.gz VOSSFTCMYPPDFY-IRXDYDNUSA-N 1 2 288.435 3.902 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1cncc(Cl)c1 ZINC000621275591 1129159636 /nfs/dbraw/zinc/15/96/36/1129159636.db2.gz ACLPELHJNDXMQT-GXSJLCMTSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1cncc(Cl)c1 ZINC000621275591 1129159640 /nfs/dbraw/zinc/15/96/40/1129159640.db2.gz ACLPELHJNDXMQT-GXSJLCMTSA-N 1 2 292.732 3.898 20 0 CHADLO Fc1ccccc1C1([NH2+]Cc2cn(C3CC3)cn2)CCC1 ZINC000865612129 1123999240 /nfs/dbraw/zinc/99/92/40/1123999240.db2.gz IAACDAFXPWHWAM-UHFFFAOYSA-N 1 2 285.366 3.526 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(F)c(C(F)F)c1 ZINC000865757812 1124011326 /nfs/dbraw/zinc/01/13/26/1124011326.db2.gz ZLNMMMBQVUQDCB-UHFFFAOYSA-N 1 2 269.270 3.592 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(F)cc1C(C)(F)F ZINC000865827942 1124017373 /nfs/dbraw/zinc/01/73/73/1124017373.db2.gz WYMTYMISIULGEL-UHFFFAOYSA-N 1 2 283.297 3.766 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@@H](C)c2ccc(C(C)C)cc2)n1 ZINC000621289243 1129161249 /nfs/dbraw/zinc/16/12/49/1129161249.db2.gz HNEXQCGAAWPMBX-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1noc(C[N@H+](C)[C@@H](C)c2ccc(C(C)C)cc2)n1 ZINC000621289243 1129161251 /nfs/dbraw/zinc/16/12/51/1129161251.db2.gz HNEXQCGAAWPMBX-LBPRGKRZSA-N 1 2 273.380 3.694 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@H](C)C(C)(F)F)ccc1F ZINC000389483561 1124031332 /nfs/dbraw/zinc/03/13/32/1124031332.db2.gz JBFSLFVEHLWPSC-RKDXNWHRSA-N 1 2 261.287 3.529 20 0 CHADLO FC(F)(F)[C@@H]1CC=CC[C@H]1CNc1cccc[nH+]1 ZINC000866178353 1124035545 /nfs/dbraw/zinc/03/55/45/1124035545.db2.gz QQWUSMQWQABYER-WDEREUQCSA-N 1 2 256.271 3.638 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+][C@@H]1CCc2ccccc21 ZINC000866221789 1124038231 /nfs/dbraw/zinc/03/82/31/1124038231.db2.gz VCBOUPPSWAWBRO-JFIYKMOQSA-N 1 2 283.346 3.735 20 0 CHADLO C[C@H]([NH2+][C@@H]1c2ccccc2OC[C@H]1F)c1cccs1 ZINC000866217448 1124038422 /nfs/dbraw/zinc/03/84/22/1124038422.db2.gz NNEATGCETBIHMY-JVLSTEMRSA-N 1 2 277.364 3.871 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)cc1 ZINC000866217691 1124038567 /nfs/dbraw/zinc/03/85/67/1124038567.db2.gz OKEKHTDECCJMBF-NVXWUHKLSA-N 1 2 271.335 3.556 20 0 CHADLO Cc1ccccc1C[NH2+][C@@H]1c2ccccc2OC[C@@H]1F ZINC000866214445 1124038914 /nfs/dbraw/zinc/03/89/14/1124038914.db2.gz AJVZZRGZBADUKO-DOTOQJQBSA-N 1 2 271.335 3.556 20 0 CHADLO Cc1ccsc1C[NH2+][C@@H]1c2ccccc2OC[C@H]1F ZINC000866242990 1124041055 /nfs/dbraw/zinc/04/10/55/1124041055.db2.gz VEOIHTLGXSPVJW-IUODEOHRSA-N 1 2 277.364 3.618 20 0 CHADLO CC[C@H]([NH2+][C@H]1c2ccccc2OC[C@H]1F)c1ccncc1 ZINC000866272823 1124045133 /nfs/dbraw/zinc/04/51/33/1124045133.db2.gz VQDFHYPQLMNSCZ-VYDXJSESSA-N 1 2 286.350 3.594 20 0 CHADLO F[C@@H]1COc2ccccc2[C@@H]1[NH2+][C@@H](c1cccnc1)C1CC1 ZINC000866310544 1124050007 /nfs/dbraw/zinc/05/00/07/1124050007.db2.gz FVLYLGWSDDQFHM-NXHRZFHOSA-N 1 2 298.361 3.594 20 0 CHADLO Cc1scc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)c1C ZINC000866428972 1124065755 /nfs/dbraw/zinc/06/57/55/1124065755.db2.gz HDHATVIDOUCCJM-GDBMZVCRSA-N 1 2 291.391 3.926 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1noc2ccc(F)cc12 ZINC000866511742 1124076676 /nfs/dbraw/zinc/07/66/76/1124076676.db2.gz SLDXVEKKKDPPRF-LLVKDONJSA-N 1 2 299.349 3.775 20 0 CHADLO COc1ccc(C(C)C)cc1C[N@H+](C)Cc1ccns1 ZINC000639806782 1124082655 /nfs/dbraw/zinc/08/26/55/1124082655.db2.gz GQAQLXJHKJIXBF-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO COc1ccc(C(C)C)cc1C[N@@H+](C)Cc1ccns1 ZINC000639806782 1124082660 /nfs/dbraw/zinc/08/26/60/1124082660.db2.gz GQAQLXJHKJIXBF-UHFFFAOYSA-N 1 2 290.432 3.907 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1ccc(C(C)(C)C)o1 ZINC000866573682 1124083772 /nfs/dbraw/zinc/08/37/72/1124083772.db2.gz LJDIPMYQOXAXOS-LBPRGKRZSA-N 1 2 275.396 3.644 20 0 CHADLO CC(C)[C@@H](C)[N@H+](C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000029541751 1124091183 /nfs/dbraw/zinc/09/11/83/1124091183.db2.gz LSUXXWMXPMVTLH-GFCCVEGCSA-N 1 2 290.436 3.541 20 0 CHADLO CC(C)[C@@H](C)[N@@H+](C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000029541751 1124091186 /nfs/dbraw/zinc/09/11/86/1124091186.db2.gz LSUXXWMXPMVTLH-GFCCVEGCSA-N 1 2 290.436 3.541 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1CCc2ncsc2C1 ZINC000445859047 1124103951 /nfs/dbraw/zinc/10/39/51/1124103951.db2.gz HTWZAUCWXVZVNJ-CQSZACIVSA-N 1 2 294.370 3.931 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1CCc2ncsc2C1 ZINC000445859047 1124103953 /nfs/dbraw/zinc/10/39/53/1124103953.db2.gz HTWZAUCWXVZVNJ-CQSZACIVSA-N 1 2 294.370 3.931 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC[C@@]3(CC=CCC3)C2)no1 ZINC000114495593 1124107364 /nfs/dbraw/zinc/10/73/64/1124107364.db2.gz YLCZXPPQAXMQCE-KRWDZBQOSA-N 1 2 289.423 3.689 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC[C@@]3(CC=CCC3)C2)no1 ZINC000114495593 1124107365 /nfs/dbraw/zinc/10/73/65/1124107365.db2.gz YLCZXPPQAXMQCE-KRWDZBQOSA-N 1 2 289.423 3.689 20 0 CHADLO CCC[N@H+](Cc1nnc(C)[nH]1)[C@@H](C)c1cc2ccccc2o1 ZINC000428447652 1124116140 /nfs/dbraw/zinc/11/61/40/1124116140.db2.gz PECLRAPHNBMAEM-LBPRGKRZSA-N 1 2 298.390 3.833 20 0 CHADLO CCC[N@@H+](Cc1nnc(C)[nH]1)[C@@H](C)c1cc2ccccc2o1 ZINC000428447652 1124116141 /nfs/dbraw/zinc/11/61/41/1124116141.db2.gz PECLRAPHNBMAEM-LBPRGKRZSA-N 1 2 298.390 3.833 20 0 CHADLO CCn1nc(C)c(C[N@H+](C)Cc2cc(C)ccc2F)c1C ZINC000446975072 1124128989 /nfs/dbraw/zinc/12/89/89/1124128989.db2.gz OMVKFYPYIQNHNW-UHFFFAOYSA-N 1 2 289.398 3.599 20 0 CHADLO CCn1nc(C)c(C[N@@H+](C)Cc2cc(C)ccc2F)c1C ZINC000446975072 1124128991 /nfs/dbraw/zinc/12/89/91/1124128991.db2.gz OMVKFYPYIQNHNW-UHFFFAOYSA-N 1 2 289.398 3.599 20 0 CHADLO CC(C)[C@H]1C[N@H+](Cc2cc(C(C)(C)C)on2)CCS1 ZINC000429698628 1124133730 /nfs/dbraw/zinc/13/37/30/1124133730.db2.gz OFYZVRGAKXRIJW-CYBMUJFWSA-N 1 2 282.453 3.546 20 0 CHADLO CC(C)[C@H]1C[N@@H+](Cc2cc(C(C)(C)C)on2)CCS1 ZINC000429698628 1124133732 /nfs/dbraw/zinc/13/37/32/1124133732.db2.gz OFYZVRGAKXRIJW-CYBMUJFWSA-N 1 2 282.453 3.546 20 0 CHADLO CC[N@H+](Cc1cc(C(C)(C)C)on1)Cc1ccccc1 ZINC000429698008 1124133887 /nfs/dbraw/zinc/13/38/87/1124133887.db2.gz BBRXECSREGLKQG-UHFFFAOYSA-N 1 2 272.392 3.994 20 0 CHADLO CC[N@@H+](Cc1cc(C(C)(C)C)on1)Cc1ccccc1 ZINC000429698008 1124133889 /nfs/dbraw/zinc/13/38/89/1124133889.db2.gz BBRXECSREGLKQG-UHFFFAOYSA-N 1 2 272.392 3.994 20 0 CHADLO C[C@H]([NH2+][C@H](C(F)F)C1CCCCC1)c1cccnc1 ZINC000621404360 1129173368 /nfs/dbraw/zinc/17/33/68/1129173368.db2.gz QHMUEOGLXRKSHQ-FZMZJTMJSA-N 1 2 268.351 3.946 20 0 CHADLO Cc1nocc1C[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000293643037 1129172092 /nfs/dbraw/zinc/17/20/92/1129172092.db2.gz RVLRXESURBULOB-VIFPVBQESA-N 1 2 268.719 3.626 20 0 CHADLO Cc1cccc(NC(=O)[C@@H](CC(C)C)n2cc[nH+]c2)c1C ZINC000635061240 1129172169 /nfs/dbraw/zinc/17/21/69/1129172169.db2.gz GLTMJGLINHDODR-MRXNPFEDSA-N 1 2 285.391 3.726 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C(F)F)C2CCCCC2)cn1 ZINC000621400890 1129173029 /nfs/dbraw/zinc/17/30/29/1129173029.db2.gz VVBBILLYFSHNQJ-AWEZNQCLSA-N 1 2 268.351 3.694 20 0 CHADLO C[C@@H]([NH2+][C@@H](C(F)F)C1CCCCC1)c1ccncc1 ZINC000621404174 1129173633 /nfs/dbraw/zinc/17/36/33/1129173633.db2.gz LCOIMDMJSGSFQS-BXUZGUMPSA-N 1 2 268.351 3.946 20 0 CHADLO CC(C)=C(C)CC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000635246602 1129176729 /nfs/dbraw/zinc/17/67/29/1129176729.db2.gz HRLQAKFIHDEREO-UHFFFAOYSA-N 1 2 269.348 3.557 20 0 CHADLO CCN(C(=O)[C@H](CC(C)C)n1cc[nH+]c1)c1ccccc1 ZINC000635252866 1129177162 /nfs/dbraw/zinc/17/71/62/1129177162.db2.gz PPBFTWSOKSRJDW-INIZCTEOSA-N 1 2 285.391 3.523 20 0 CHADLO Cc1ccc([C@@H](O)CNc2ccc([NH+](C)C)c(C)c2)cc1 ZINC000819409495 1131248908 /nfs/dbraw/zinc/24/89/08/1131248908.db2.gz YQORHPDJZKQBKN-SFHVURJKSA-N 1 2 284.403 3.515 20 0 CHADLO Cc1cc(OC(C)C)ncc1-c1ccc2[nH+]ccn2c1C ZINC001238830162 1131251797 /nfs/dbraw/zinc/25/17/97/1131251797.db2.gz SSWYZYKEFIMTAD-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO Cc1cc(-c2cc3ccccc3[nH]c2=O)cn2cc[nH+]c12 ZINC001238880687 1131263241 /nfs/dbraw/zinc/26/32/41/1131263241.db2.gz DHJTVQHMZKTSDL-UHFFFAOYSA-N 1 2 275.311 3.564 20 0 CHADLO CC[C@@H]1C[N@H+](CCCc2c(F)cccc2Cl)CCO1 ZINC000447747025 1124521267 /nfs/dbraw/zinc/52/12/67/1124521267.db2.gz RXZRYFLVOIOUSB-GFCCVEGCSA-N 1 2 285.790 3.523 20 0 CHADLO CC[C@@H]1C[N@@H+](CCCc2c(F)cccc2Cl)CCO1 ZINC000447747025 1124521273 /nfs/dbraw/zinc/52/12/73/1124521273.db2.gz RXZRYFLVOIOUSB-GFCCVEGCSA-N 1 2 285.790 3.523 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1cnc(C(F)(F)F)s1 ZINC000447795960 1124525103 /nfs/dbraw/zinc/52/51/03/1124525103.db2.gz RNLQVZOVCZHMIB-QMMMGPOBSA-N 1 2 264.316 3.536 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1cnc(C(F)(F)F)s1 ZINC000447795960 1124525111 /nfs/dbraw/zinc/52/51/11/1124525111.db2.gz RNLQVZOVCZHMIB-QMMMGPOBSA-N 1 2 264.316 3.536 20 0 CHADLO Cc1cc(CNc2ccc(-n3cc[nH+]c3)c(F)c2)c(C)o1 ZINC000122818342 1124536663 /nfs/dbraw/zinc/53/66/63/1124536663.db2.gz FNYRXQBVPGJNJI-UHFFFAOYSA-N 1 2 285.322 3.833 20 0 CHADLO C[C@@H](CC(=O)Nc1ccc(F)c(C(F)F)c1)n1cc[nH+]c1 ZINC000871273737 1124608226 /nfs/dbraw/zinc/60/82/26/1124608226.db2.gz IZVWCRZFXFSSSB-VIFPVBQESA-N 1 2 297.280 3.550 20 0 CHADLO COc1cc(-c2[nH]cc[nH+]2)ccc1OCc1ccccc1 ZINC000148973765 1124620245 /nfs/dbraw/zinc/62/02/45/1124620245.db2.gz ADVRREGJEXRREC-UHFFFAOYSA-N 1 2 280.327 3.664 20 0 CHADLO CC(C)(C)c1cn(Cc2noc3c2CC(C)(C)CC3)c[nH+]1 ZINC000871681027 1124626187 /nfs/dbraw/zinc/62/61/87/1124626187.db2.gz RJHQZKOICKJTAH-UHFFFAOYSA-N 1 2 287.407 3.732 20 0 CHADLO COc1ccc(-c2cc[nH+]c(N(C)C)c2)cc1OC(C)C ZINC001238932298 1131273522 /nfs/dbraw/zinc/27/35/22/1131273522.db2.gz MWNXQXWAMKIHOE-UHFFFAOYSA-N 1 2 286.375 3.610 20 0 CHADLO Cc1ccc(Cl)c(SCc2c[nH+]cn2C)c1 ZINC000871698279 1124628379 /nfs/dbraw/zinc/62/83/79/1124628379.db2.gz QQYQSMBMAHWLDP-UHFFFAOYSA-N 1 2 252.770 3.674 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@H](c3cccc(F)c3)C2)cs1 ZINC000449016343 1124629737 /nfs/dbraw/zinc/62/97/37/1124629737.db2.gz HOIXSMLAHDCAMR-INIZCTEOSA-N 1 2 291.391 3.769 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@H](c3cccc(F)c3)C2)cs1 ZINC000449016343 1124629740 /nfs/dbraw/zinc/62/97/40/1124629740.db2.gz HOIXSMLAHDCAMR-INIZCTEOSA-N 1 2 291.391 3.769 20 0 CHADLO CC[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@H]1CCCOC1 ZINC000872055005 1124667720 /nfs/dbraw/zinc/66/77/20/1124667720.db2.gz DMSYVAROMQYDFR-YJBOKZPZSA-N 1 2 288.435 3.904 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@H]1CCCOC1 ZINC000872055005 1124667722 /nfs/dbraw/zinc/66/77/22/1124667722.db2.gz DMSYVAROMQYDFR-YJBOKZPZSA-N 1 2 288.435 3.904 20 0 CHADLO Clc1cc(C[N@@H+]2CCC[C@@]23CCOC3)c(Cl)s1 ZINC000449311670 1124692033 /nfs/dbraw/zinc/69/20/33/1124692033.db2.gz NHOLHIQZYIVEAD-LBPRGKRZSA-N 1 2 292.231 3.810 20 0 CHADLO Clc1cc(C[N@H+]2CCC[C@@]23CCOC3)c(Cl)s1 ZINC000449311670 1124692035 /nfs/dbraw/zinc/69/20/35/1124692035.db2.gz NHOLHIQZYIVEAD-LBPRGKRZSA-N 1 2 292.231 3.810 20 0 CHADLO Cc1nonc1C[NH2+][C@H](C)c1ccc(-c2cccs2)cc1 ZINC000872136845 1124693379 /nfs/dbraw/zinc/69/33/79/1124693379.db2.gz PIXLMHPEXNSQMF-LLVKDONJSA-N 1 2 299.399 3.957 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(SC)c(OC)c1)C(F)F ZINC000449362863 1124701524 /nfs/dbraw/zinc/70/15/24/1124701524.db2.gz NWXQNHWEABLZCL-SNVBAGLBSA-N 1 2 275.364 3.550 20 0 CHADLO COCc1nc(C[NH2+][C@H](C)c2ccc(F)c(C)c2)cs1 ZINC000449373363 1124705017 /nfs/dbraw/zinc/70/50/17/1124705017.db2.gz QNRREWDACFEWKG-LLVKDONJSA-N 1 2 294.395 3.588 20 0 CHADLO Cc1ccc(C[N@H+](Cc2csnn2)C(C)(C)C)cc1 ZINC000528593592 1124711218 /nfs/dbraw/zinc/71/12/18/1124711218.db2.gz OWJZZZKWCPNVBR-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2csnn2)C(C)(C)C)cc1 ZINC000528593592 1124711222 /nfs/dbraw/zinc/71/12/22/1124711222.db2.gz OWJZZZKWCPNVBR-UHFFFAOYSA-N 1 2 275.421 3.647 20 0 CHADLO CCc1nc(C[N@H+](Cc2ccc(C)cc2)C(C)(C)C)no1 ZINC000528593505 1124711700 /nfs/dbraw/zinc/71/17/00/1124711700.db2.gz NSGCBJUXYXFTNA-UHFFFAOYSA-N 1 2 287.407 3.741 20 0 CHADLO CCc1nc(C[N@@H+](Cc2ccc(C)cc2)C(C)(C)C)no1 ZINC000528593505 1124711713 /nfs/dbraw/zinc/71/17/13/1124711713.db2.gz NSGCBJUXYXFTNA-UHFFFAOYSA-N 1 2 287.407 3.741 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc2c(cc(C)cc2C)[nH]1)C(F)F ZINC000449363640 1124711857 /nfs/dbraw/zinc/71/18/57/1124711857.db2.gz QACRYPILJBXGFH-CYBMUJFWSA-N 1 2 266.335 3.918 20 0 CHADLO CCCOc1ccc(C[NH2+][C@@H](C)C(C)(F)F)cc1OC ZINC000449413346 1124721511 /nfs/dbraw/zinc/72/15/11/1124721511.db2.gz HYJOMGQILRLSPH-NSHDSACASA-N 1 2 287.350 3.617 20 0 CHADLO CC(=O)C1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC1 ZINC000872669544 1124724869 /nfs/dbraw/zinc/72/48/69/1124724869.db2.gz XGMYKXRHYZTDHE-UHFFFAOYSA-N 1 2 289.423 3.529 20 0 CHADLO CSc1cc(-c2cc[nH+]c(N(C)C)c2)ccc1F ZINC001238965123 1131280392 /nfs/dbraw/zinc/28/03/92/1131280392.db2.gz ORONBIMCFKLQMO-UHFFFAOYSA-N 1 2 262.353 3.676 20 0 CHADLO CC(C)c1ncc(C[NH2+]Cc2ncc(Cl)s2)s1 ZINC000873166085 1124744594 /nfs/dbraw/zinc/74/45/94/1124744594.db2.gz TWVMGEQNFAYJQK-UHFFFAOYSA-N 1 2 287.841 3.666 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[NH2+]Cc1nn(C)cc1Cl ZINC000449477014 1124754374 /nfs/dbraw/zinc/75/43/74/1124754374.db2.gz HGPGFIVJWBYZSV-UHFFFAOYSA-N 1 2 298.217 3.634 20 0 CHADLO CCOC[C@@H]1C[N@@H+]([C@H](CC)c2ccc(Cl)cc2)CCO1 ZINC000528715998 1124768541 /nfs/dbraw/zinc/76/85/41/1124768541.db2.gz BPYNXGCJBVHOON-JKSUJKDBSA-N 1 2 297.826 3.528 20 0 CHADLO CCOC[C@@H]1C[N@H+]([C@H](CC)c2ccc(Cl)cc2)CCO1 ZINC000528715998 1124768558 /nfs/dbraw/zinc/76/85/58/1124768558.db2.gz BPYNXGCJBVHOON-JKSUJKDBSA-N 1 2 297.826 3.528 20 0 CHADLO Cc1cc(F)cc(N2CCC[C@H]2c2ccsc2)[nH+]1 ZINC001120804821 1131282922 /nfs/dbraw/zinc/28/29/22/1131282922.db2.gz UBJSLEWWISIDKB-ZDUSSCGKSA-N 1 2 262.353 3.932 20 0 CHADLO Cc1cc(F)cc(N2C[C@@H](C(F)(F)F)CC[C@@H]2C)[nH+]1 ZINC001120920944 1131286396 /nfs/dbraw/zinc/28/63/96/1131286396.db2.gz IXPZXDVLHCJHNM-UWVGGRQHSA-N 1 2 276.277 3.696 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(-c3nnc(C)o3)cc2)[nH+]1 ZINC001239016766 1131289864 /nfs/dbraw/zinc/28/98/64/1131289864.db2.gz IJIGPGDAVNZKMK-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO CCCC1(CCC)CCCN1C(=O)[C@@H](C)Cc1c[nH]c[nH+]1 ZINC000874627789 1124845133 /nfs/dbraw/zinc/84/51/33/1124845133.db2.gz KHBBHHITZRHQIX-AWEZNQCLSA-N 1 2 291.439 3.550 20 0 CHADLO CCCC1(CCC)CCCN1C(=O)[C@@H](C)Cc1c[nH+]c[nH]1 ZINC000874627789 1124845146 /nfs/dbraw/zinc/84/51/46/1124845146.db2.gz KHBBHHITZRHQIX-AWEZNQCLSA-N 1 2 291.439 3.550 20 0 CHADLO Cc1cc(C)c(Nc2cccc(Br)c2N)c[nH+]1 ZINC001159866243 1131318116 /nfs/dbraw/zinc/31/81/16/1131318116.db2.gz LUYHXDSGONAEDT-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO COC[C@@H](C)N(C)c1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450261825 1124963180 /nfs/dbraw/zinc/96/31/80/1124963180.db2.gz PTLZTBNNLZTWBT-SNVBAGLBSA-N 1 2 298.308 3.725 20 0 CHADLO O=C(c1cccc(-c2ccn3cc[nH+]c3c2)c1)C(F)(F)F ZINC001239379687 1131321924 /nfs/dbraw/zinc/32/19/24/1131321924.db2.gz ATWLXNMMEOXEHZ-UHFFFAOYSA-N 1 2 290.244 3.746 20 0 CHADLO Clc1csc(C[N@@H+]2CCS[C@H]3CCCC[C@@H]32)n1 ZINC000876771289 1124976888 /nfs/dbraw/zinc/97/68/88/1124976888.db2.gz PREDVEPXMATZSA-UWVGGRQHSA-N 1 2 288.869 3.657 20 0 CHADLO Clc1csc(C[N@H+]2CCS[C@H]3CCCC[C@@H]32)n1 ZINC000876771289 1124976893 /nfs/dbraw/zinc/97/68/93/1124976893.db2.gz PREDVEPXMATZSA-UWVGGRQHSA-N 1 2 288.869 3.657 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1cc(C2CC2)no1 ZINC000876817604 1124979800 /nfs/dbraw/zinc/97/98/00/1124979800.db2.gz CHCAHWARUXNBSP-UHFFFAOYSA-N 1 2 271.364 3.539 20 0 CHADLO Clc1csc(C[NH2+][C@H]2CCCOc3ccccc32)n1 ZINC000876999120 1124997222 /nfs/dbraw/zinc/99/72/22/1124997222.db2.gz RPOCRWBGXFBFIN-NSHDSACASA-N 1 2 294.807 3.800 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2[C@@H](C)c2csnn2)s1 ZINC000450409456 1125009548 /nfs/dbraw/zinc/00/95/48/1125009548.db2.gz LMMOWEYZKYKJTO-CMPLNLGQSA-N 1 2 279.434 3.806 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2cccc(CC3CCC3)c2)n1 ZINC000877471539 1125030300 /nfs/dbraw/zinc/03/03/00/1125030300.db2.gz YLEGERISELRGOZ-LBPRGKRZSA-N 1 2 285.391 3.571 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cc(OC)c(C)cc2C)c1 ZINC000475666709 1125040622 /nfs/dbraw/zinc/04/06/22/1125040622.db2.gz CWYPBADLQLDNED-UHFFFAOYSA-N 1 2 286.375 3.636 20 0 CHADLO CCCn1cc(C[N@H+](C(C)C)[C@H](C)c2ccsc2)nn1 ZINC000877596098 1125051999 /nfs/dbraw/zinc/05/19/99/1125051999.db2.gz NEAIIYWADAQXFG-CYBMUJFWSA-N 1 2 292.452 3.721 20 0 CHADLO CCCn1cc(C[N@@H+](C(C)C)[C@H](C)c2ccsc2)nn1 ZINC000877596098 1125052005 /nfs/dbraw/zinc/05/20/05/1125052005.db2.gz NEAIIYWADAQXFG-CYBMUJFWSA-N 1 2 292.452 3.721 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)Cc1ccc(O)cc1 ZINC000126613495 1125053112 /nfs/dbraw/zinc/05/31/12/1125053112.db2.gz XLCIMVOZGXLGRQ-CQSZACIVSA-N 1 2 284.403 3.810 20 0 CHADLO CCSCC[C@H](C)[N@H+](C)Cc1nnc(C(C)C)s1 ZINC000450574792 1125061453 /nfs/dbraw/zinc/06/14/53/1125061453.db2.gz BRZOXGSOKMWMJQ-NSHDSACASA-N 1 2 287.498 3.625 20 0 CHADLO CCSCC[C@H](C)[N@@H+](C)Cc1nnc(C(C)C)s1 ZINC000450574792 1125061460 /nfs/dbraw/zinc/06/14/60/1125061460.db2.gz BRZOXGSOKMWMJQ-NSHDSACASA-N 1 2 287.498 3.625 20 0 CHADLO COc1cc(C)[nH+]c(CN(C)Cc2ccc3cc[nH]c3c2)c1 ZINC000877770491 1125077550 /nfs/dbraw/zinc/07/75/50/1125077550.db2.gz WFAWFKONCPLGAU-UHFFFAOYSA-N 1 2 295.386 3.512 20 0 CHADLO FC(F)(F)[C@H]1CC[N@H+](Cc2sccc2Cl)CCO1 ZINC000877820482 1125087105 /nfs/dbraw/zinc/08/71/05/1125087105.db2.gz QIYMVPFIPURNAK-SNVBAGLBSA-N 1 2 299.745 3.555 20 0 CHADLO FC(F)(F)[C@H]1CC[N@@H+](Cc2sccc2Cl)CCO1 ZINC000877820482 1125087110 /nfs/dbraw/zinc/08/71/10/1125087110.db2.gz QIYMVPFIPURNAK-SNVBAGLBSA-N 1 2 299.745 3.555 20 0 CHADLO Cc1cc([C@@H]([NH2+][C@H](C)c2csnn2)C2CC2)ccc1F ZINC000450698230 1125090017 /nfs/dbraw/zinc/09/00/17/1125090017.db2.gz VSPGHHWXLTXDHE-BMIGLBTASA-N 1 2 291.395 3.788 20 0 CHADLO CC(C)(C)c1nc(COc2cccc(-n3cc[nH+]c3)c2)co1 ZINC000877878416 1125094936 /nfs/dbraw/zinc/09/49/36/1125094936.db2.gz RNGLDKWDTZXCCQ-UHFFFAOYSA-N 1 2 297.358 3.737 20 0 CHADLO Cc1cccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)cs2)n1 ZINC000450730224 1125095667 /nfs/dbraw/zinc/09/56/67/1125095667.db2.gz SNEFUYXFGCUYHS-GFCCVEGCSA-N 1 2 289.448 3.995 20 0 CHADLO Cc1conc1C[N@@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000877891611 1125096695 /nfs/dbraw/zinc/09/66/95/1125096695.db2.gz CFNKZIKERIDTBI-LBPRGKRZSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1conc1C[N@H+](C)[C@@H](C)c1cc2ccccc2o1 ZINC000877891611 1125096702 /nfs/dbraw/zinc/09/67/02/1125096702.db2.gz CFNKZIKERIDTBI-LBPRGKRZSA-N 1 2 270.332 3.922 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)nc1Cl ZINC000877901861 1125098927 /nfs/dbraw/zinc/09/89/27/1125098927.db2.gz YBSFISJDVHVEGY-SNVBAGLBSA-N 1 2 292.732 3.818 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc3c(o2)CCCC3)Cc2ccccc21 ZINC000877937931 1125104031 /nfs/dbraw/zinc/10/40/31/1125104031.db2.gz VBVCENLSIXPIEE-CYBMUJFWSA-N 1 2 282.387 3.673 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc3c(o2)CCCC3)Cc2ccccc21 ZINC000877937931 1125104035 /nfs/dbraw/zinc/10/40/35/1125104035.db2.gz VBVCENLSIXPIEE-CYBMUJFWSA-N 1 2 282.387 3.673 20 0 CHADLO CC[N@H+](Cc1nocc1C)Cc1cccc(Cl)c1 ZINC000877967771 1125107703 /nfs/dbraw/zinc/10/77/03/1125107703.db2.gz DUQWSQMPQCGBPA-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO CC[N@@H+](Cc1nocc1C)Cc1cccc(Cl)c1 ZINC000877967771 1125107706 /nfs/dbraw/zinc/10/77/06/1125107706.db2.gz DUQWSQMPQCGBPA-UHFFFAOYSA-N 1 2 264.756 3.659 20 0 CHADLO C(CC1CC1)C[N@@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000877980029 1125110068 /nfs/dbraw/zinc/11/00/68/1125110068.db2.gz HTXRPMOECDQDRY-CQSZACIVSA-N 1 2 275.396 3.664 20 0 CHADLO C(CC1CC1)C[N@H+]1CCCC[C@@H]1c1noc(C2CC2)n1 ZINC000877980029 1125110072 /nfs/dbraw/zinc/11/00/72/1125110072.db2.gz HTXRPMOECDQDRY-CQSZACIVSA-N 1 2 275.396 3.664 20 0 CHADLO CC(C)(C)SCCC[N@@H+]1CCOC[C@H]1c1ccco1 ZINC000878114061 1125121025 /nfs/dbraw/zinc/12/10/25/1125121025.db2.gz SEPPDJSDOJZDCV-ZDUSSCGKSA-N 1 2 283.437 3.575 20 0 CHADLO CC(C)(C)SCCC[N@H+]1CCOC[C@H]1c1ccco1 ZINC000878114061 1125121030 /nfs/dbraw/zinc/12/10/30/1125121030.db2.gz SEPPDJSDOJZDCV-ZDUSSCGKSA-N 1 2 283.437 3.575 20 0 CHADLO FC(F)(F)c1coc(C[N@H+]2CC[C@@H](C(F)(F)F)C2)c1 ZINC000878315137 1125140271 /nfs/dbraw/zinc/14/02/71/1125140271.db2.gz GWEKYBAAWGTVPJ-SSDOTTSWSA-N 1 2 287.203 3.683 20 0 CHADLO FC(F)(F)c1coc(C[N@@H+]2CC[C@@H](C(F)(F)F)C2)c1 ZINC000878315137 1125140273 /nfs/dbraw/zinc/14/02/73/1125140273.db2.gz GWEKYBAAWGTVPJ-SSDOTTSWSA-N 1 2 287.203 3.683 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ccsc3C2)nc1Cl ZINC000878322314 1125141545 /nfs/dbraw/zinc/14/15/45/1125141545.db2.gz MDHBPZZPRIPJCX-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ccsc3C2)nc1Cl ZINC000878322314 1125141552 /nfs/dbraw/zinc/14/15/52/1125141552.db2.gz MDHBPZZPRIPJCX-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccc1F)c1csc(Cl)c1 ZINC000530366240 1125145186 /nfs/dbraw/zinc/14/51/86/1125145186.db2.gz IFFSUUPFIJBKRU-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1ccsc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000121835799 1125157018 /nfs/dbraw/zinc/15/70/18/1125157018.db2.gz OCRHBDLYGJUBOB-UHFFFAOYSA-N 1 2 269.373 3.854 20 0 CHADLO c1ccc([C@@H]2CCC[C@H](C[N@@H+]3Cc4cccnc4C3)O2)cc1 ZINC000878548774 1125161527 /nfs/dbraw/zinc/16/15/27/1125161527.db2.gz SPFNAAYCOGESCY-MJGOQNOKSA-N 1 2 294.398 3.708 20 0 CHADLO c1ccc([C@@H]2CCC[C@H](C[N@H+]3Cc4cccnc4C3)O2)cc1 ZINC000878548774 1125161529 /nfs/dbraw/zinc/16/15/29/1125161529.db2.gz SPFNAAYCOGESCY-MJGOQNOKSA-N 1 2 294.398 3.708 20 0 CHADLO C[C@H]1C[N@H+](Cc2noc3c2CC(C)(C)CC3)CCC1(F)F ZINC000878625464 1125168047 /nfs/dbraw/zinc/16/80/47/1125168047.db2.gz VBTBFHMVTPTQDO-NSHDSACASA-N 1 2 298.377 3.667 20 0 CHADLO C[C@H]1C[N@@H+](Cc2noc3c2CC(C)(C)CC3)CCC1(F)F ZINC000878625464 1125168050 /nfs/dbraw/zinc/16/80/50/1125168050.db2.gz VBTBFHMVTPTQDO-NSHDSACASA-N 1 2 298.377 3.667 20 0 CHADLO C=C/C=C\CC[N@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000878921058 1125186573 /nfs/dbraw/zinc/18/65/73/1125186573.db2.gz LIDGRKWRIBNIIY-VSQXVHSFSA-N 1 2 297.324 3.765 20 0 CHADLO C=C/C=C\CC[N@@H+]1CCC[C@@H]1c1nccc(C(F)(F)F)n1 ZINC000878921058 1125186574 /nfs/dbraw/zinc/18/65/74/1125186574.db2.gz LIDGRKWRIBNIIY-VSQXVHSFSA-N 1 2 297.324 3.765 20 0 CHADLO COc1cc(C[NH+]2CCOCC2)ccc1-c1ccc(C)cc1 ZINC001239481547 1131338009 /nfs/dbraw/zinc/33/80/09/1131338009.db2.gz SCNLUCQRUOXLMD-UHFFFAOYSA-N 1 2 297.398 3.503 20 0 CHADLO Cc1ccc(-c2cc(C[NH+]3CCOCC3)ccc2F)cc1 ZINC001239480667 1131338577 /nfs/dbraw/zinc/33/85/77/1131338577.db2.gz GJHHCNXTWYJSHO-UHFFFAOYSA-N 1 2 285.362 3.633 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+](C)Cc2ccc3cc[nH]c3c2)n1 ZINC000879727966 1125227983 /nfs/dbraw/zinc/22/79/83/1125227983.db2.gz MJTDWZQQFQFYCI-GFCCVEGCSA-N 1 2 298.390 3.867 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+](C)Cc2ccc3cc[nH]c3c2)n1 ZINC000879727966 1125227988 /nfs/dbraw/zinc/22/79/88/1125227988.db2.gz MJTDWZQQFQFYCI-GFCCVEGCSA-N 1 2 298.390 3.867 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@@H+]2Cc2cnc(Cl)s2)c1 ZINC000879748518 1125230554 /nfs/dbraw/zinc/23/05/54/1125230554.db2.gz FGCGQHRYDSKRAQ-ZDUSSCGKSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cncc([C@@H]2CCC[N@H+]2Cc2cnc(Cl)s2)c1 ZINC000879748518 1125230558 /nfs/dbraw/zinc/23/05/58/1125230558.db2.gz FGCGQHRYDSKRAQ-ZDUSSCGKSA-N 1 2 293.823 3.837 20 0 CHADLO COc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1 ZINC001239485795 1131341127 /nfs/dbraw/zinc/34/11/27/1131341127.db2.gz KXUAMGKQXPDBFJ-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO COc1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1 ZINC001239485898 1131341150 /nfs/dbraw/zinc/34/11/50/1131341150.db2.gz NQILWJVGBBVEAP-UHFFFAOYSA-N 1 2 264.328 3.607 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCCn2ccnc21)c1cc(F)ccc1F ZINC000655729604 1125251692 /nfs/dbraw/zinc/25/16/92/1125251692.db2.gz LPFKSOIBIGMVFP-LSDHHAIUSA-N 1 2 291.345 3.737 20 0 CHADLO CCc1ccc(C[NH2+]Cc2c(F)cccc2Cl)o1 ZINC000532367662 1125253570 /nfs/dbraw/zinc/25/35/70/1125253570.db2.gz TWBHBCIHHLKZDM-UHFFFAOYSA-N 1 2 267.731 3.924 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1nc2c(o1)CCCC2 ZINC000880375708 1125268218 /nfs/dbraw/zinc/26/82/18/1125268218.db2.gz IWIPUXBZHMIBJC-NSHDSACASA-N 1 2 288.416 3.734 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1nc2c(o1)CCCC2 ZINC000880375708 1125268220 /nfs/dbraw/zinc/26/82/20/1125268220.db2.gz IWIPUXBZHMIBJC-NSHDSACASA-N 1 2 288.416 3.734 20 0 CHADLO Cc1cc(NC2(c3ccccn3)CC2)ccc1[NH+](C)C ZINC001168151370 1125269249 /nfs/dbraw/zinc/26/92/49/1125269249.db2.gz NXPAYKGHAFNZQU-UHFFFAOYSA-N 1 2 267.376 3.557 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCc3ccsc3[C@@H]2C)o1 ZINC000880378071 1125270060 /nfs/dbraw/zinc/27/00/60/1125270060.db2.gz NGSSHHQWTVWKQY-NSHDSACASA-N 1 2 276.405 3.979 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCc3ccsc3[C@@H]2C)o1 ZINC000880378071 1125270064 /nfs/dbraw/zinc/27/00/64/1125270064.db2.gz NGSSHHQWTVWKQY-NSHDSACASA-N 1 2 276.405 3.979 20 0 CHADLO CCCC[C@@H]([NH2+][C@H](C)Cc1ccc(Cl)cc1)C(=O)OC ZINC001168160893 1125296741 /nfs/dbraw/zinc/29/67/41/1125296741.db2.gz ZXHRGDNJQRBWDY-IUODEOHRSA-N 1 2 297.826 3.592 20 0 CHADLO CC[N@H+](Cc1ccoc1)[C@@H](C)c1nc(-c2ccccc2)no1 ZINC000102518976 1125297950 /nfs/dbraw/zinc/29/79/50/1125297950.db2.gz ZTCGSXQLTIMWMX-ZDUSSCGKSA-N 1 2 297.358 3.913 20 0 CHADLO CC[N@@H+](Cc1ccoc1)[C@@H](C)c1nc(-c2ccccc2)no1 ZINC000102518976 1125297953 /nfs/dbraw/zinc/29/79/53/1125297953.db2.gz ZTCGSXQLTIMWMX-ZDUSSCGKSA-N 1 2 297.358 3.913 20 0 CHADLO CC[N@H+](Cc1ccoc1)[C@H](C)c1nc(-c2ccccc2)no1 ZINC000102518981 1125298157 /nfs/dbraw/zinc/29/81/57/1125298157.db2.gz ZTCGSXQLTIMWMX-CYBMUJFWSA-N 1 2 297.358 3.913 20 0 CHADLO CC[N@@H+](Cc1ccoc1)[C@H](C)c1nc(-c2ccccc2)no1 ZINC000102518981 1125298163 /nfs/dbraw/zinc/29/81/63/1125298163.db2.gz ZTCGSXQLTIMWMX-CYBMUJFWSA-N 1 2 297.358 3.913 20 0 CHADLO Cc1cc(Nc2ccc(-n3cc[nH+]c3)cc2)cc(C)c1O ZINC001211911740 1125308574 /nfs/dbraw/zinc/30/85/74/1125308574.db2.gz QIMZFMAJENRCLH-UHFFFAOYSA-N 1 2 279.343 3.938 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1CCc2ccc(F)c(F)c2C1 ZINC001168174326 1125328607 /nfs/dbraw/zinc/32/86/07/1125328607.db2.gz GUOYILOXCILTAE-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1CCc2ccc(F)c(F)c2C1 ZINC001168174326 1125328613 /nfs/dbraw/zinc/32/86/13/1125328613.db2.gz GUOYILOXCILTAE-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1cccn2cc(C[NH2+]Cc3ccccc3Cl)nc12 ZINC000102680046 1125328789 /nfs/dbraw/zinc/32/87/89/1125328789.db2.gz XAHVJIMWHYUYAL-UHFFFAOYSA-N 1 2 285.778 3.586 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(Cl)c(F)c1 ZINC000192149350 1125331589 /nfs/dbraw/zinc/33/15/89/1125331589.db2.gz PFXIIYHITXQKJW-UHFFFAOYSA-N 1 2 254.667 3.625 20 0 CHADLO Fc1ccccc1[C@@H]([NH2+]Cc1ncccn1)C1CCCC1 ZINC000192161496 1125332097 /nfs/dbraw/zinc/33/20/97/1125332097.db2.gz DXKRYVBWMTWPIY-KRWDZBQOSA-N 1 2 285.366 3.637 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@@H]2c2cccs2)o1 ZINC000170691799 1125343905 /nfs/dbraw/zinc/34/39/05/1125343905.db2.gz DCNKDFUYDROGLD-LLVKDONJSA-N 1 2 277.393 3.592 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@@H]2c2cccs2)o1 ZINC000170691799 1125343908 /nfs/dbraw/zinc/34/39/08/1125343908.db2.gz DCNKDFUYDROGLD-LLVKDONJSA-N 1 2 277.393 3.592 20 0 CHADLO Cc1ccn(-c2ccc([NH2+]CC3(C)CCC3)cc2)n1 ZINC000341241677 1125349224 /nfs/dbraw/zinc/34/92/24/1125349224.db2.gz YPPWOAINKADLJF-UHFFFAOYSA-N 1 2 255.365 3.783 20 0 CHADLO COc1ccc(C2CC2)cc1CNc1cccc[nH+]1 ZINC000882792501 1125357158 /nfs/dbraw/zinc/35/71/58/1125357158.db2.gz YDWBHWBBMIDWPQ-UHFFFAOYSA-N 1 2 254.333 3.580 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C(C)C)c[nH]1)c1ccccc1F ZINC000883157548 1125374402 /nfs/dbraw/zinc/37/44/02/1125374402.db2.gz BLWJKPRQRRLFJR-AWEZNQCLSA-N 1 2 275.371 3.913 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+][C@@H](C)c2cc3cnccc3o2)n1 ZINC000883199497 1125376642 /nfs/dbraw/zinc/37/66/42/1125376642.db2.gz GWOWRQFILRARFN-NSHDSACASA-N 1 2 284.363 3.525 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000626162832 1125379953 /nfs/dbraw/zinc/37/99/53/1125379953.db2.gz ZONCLFNLVAWLRC-CFHLNLSMSA-N 1 2 277.412 3.545 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000626162832 1125379955 /nfs/dbraw/zinc/37/99/55/1125379955.db2.gz ZONCLFNLVAWLRC-CFHLNLSMSA-N 1 2 277.412 3.545 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1CC)c1sccc1Cl ZINC000883226487 1125380842 /nfs/dbraw/zinc/38/08/42/1125380842.db2.gz ZCIRVUXJHBWTDX-NSHDSACASA-N 1 2 283.828 3.859 20 0 CHADLO CC[C@H]([NH2+][C@@H]1C[C@@H](C)n2ncnc21)c1sccc1Cl ZINC000883234336 1125381535 /nfs/dbraw/zinc/38/15/35/1125381535.db2.gz CEWXYMVYIWJGMX-DVVUODLYSA-N 1 2 296.827 3.740 20 0 CHADLO CC[C@H]([NH2+][C@H]1C[C@H](C)n2ncnc21)c1sccc1Cl ZINC000883234338 1125381565 /nfs/dbraw/zinc/38/15/65/1125381565.db2.gz CEWXYMVYIWJGMX-LSJOCFKGSA-N 1 2 296.827 3.740 20 0 CHADLO COc1ccc(C2CC2)cc1C[NH2+][C@H](C)c1cscn1 ZINC000883266321 1125388856 /nfs/dbraw/zinc/38/88/56/1125388856.db2.gz XIISAJGHDZGDSN-LLVKDONJSA-N 1 2 288.416 3.880 20 0 CHADLO COC(=O)[C@H](c1ccc(Cl)cc1)[N@@H+]1CC[C@H](C)[C@H](C)C1 ZINC001168201135 1125392962 /nfs/dbraw/zinc/39/29/62/1125392962.db2.gz IRMLUBLJWJWYEQ-ZOWXZIJZSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@H](c1ccc(Cl)cc1)[N@H+]1CC[C@H](C)[C@H](C)C1 ZINC001168201135 1125392965 /nfs/dbraw/zinc/39/29/65/1125392965.db2.gz IRMLUBLJWJWYEQ-ZOWXZIJZSA-N 1 2 295.810 3.532 20 0 CHADLO Clc1cccc2c1CC[C@@H]2[NH2+]C1(c2ncccn2)CCC1 ZINC000883313486 1125393684 /nfs/dbraw/zinc/39/36/84/1125393684.db2.gz FKFIDDMRISIIQW-HNNXBMFYSA-N 1 2 299.805 3.786 20 0 CHADLO Cc1cc2ncc([C@H](C)Nc3c[nH+]c(C)c(C)c3)c(C)n2n1 ZINC000883342290 1125398378 /nfs/dbraw/zinc/39/83/78/1125398378.db2.gz RKDBALORLWWOCW-ZDUSSCGKSA-N 1 2 295.390 3.531 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2cccnc2Cl)cc1 ZINC000171076611 1125400653 /nfs/dbraw/zinc/40/06/53/1125400653.db2.gz GYONDMKXJMRDRX-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2cccnc2Cl)cc1 ZINC000171076611 1125400655 /nfs/dbraw/zinc/40/06/55/1125400655.db2.gz GYONDMKXJMRDRX-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccc(COC)o1 ZINC000883377786 1125404786 /nfs/dbraw/zinc/40/47/86/1125404786.db2.gz QEMAMYXUXZARDT-UHFFFAOYSA-N 1 2 292.404 3.686 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccc(C)nc1 ZINC000883377133 1125405022 /nfs/dbraw/zinc/40/50/22/1125405022.db2.gz AAOMSUZENFIXSY-UHFFFAOYSA-N 1 2 273.405 3.650 20 0 CHADLO F[C@H]1CCc2ccccc2[C@H]1[NH2+]Cc1cc2cccnc2o1 ZINC000883380941 1125406122 /nfs/dbraw/zinc/40/61/22/1125406122.db2.gz DCJIQFRODVFYKU-DLBZAZTESA-N 1 2 296.345 3.943 20 0 CHADLO F[C@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1ccc2c(n1)CCC2 ZINC000883381338 1125406305 /nfs/dbraw/zinc/40/63/05/1125406305.db2.gz KVRQMMSADNTZEE-HKUYNNGSSA-N 1 2 296.389 3.686 20 0 CHADLO Cc1ncc(C[NH2+][C@@H]2c3ccccc3CC[C@H]2F)s1 ZINC000883384028 1125407462 /nfs/dbraw/zinc/40/74/62/1125407462.db2.gz KLFXPBOAHLVUDO-HUUCEWRRSA-N 1 2 276.380 3.567 20 0 CHADLO C[C@H]([NH2+]Cc1cc2nc(Cl)ccc2s1)C(F)F ZINC000883407481 1125409700 /nfs/dbraw/zinc/40/97/00/1125409700.db2.gz WUMRNUVYLVDDGA-LURJTMIESA-N 1 2 276.739 3.693 20 0 CHADLO Clc1cccc(C[NH2+]Cc2nccs2)c1Cl ZINC000040444004 1125430475 /nfs/dbraw/zinc/43/04/75/1125430475.db2.gz QMHHDTULGSBMET-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO Cc1ccc2c(Nc3cnc(Cl)cc3N)cccc2[nH+]1 ZINC001212795182 1125416348 /nfs/dbraw/zinc/41/63/48/1125416348.db2.gz SRNYWPXAWJGMOJ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC(C)(C)Oc2ccccc21)c1ncco1 ZINC000925273627 1125416995 /nfs/dbraw/zinc/41/69/95/1125416995.db2.gz GLVIQSPSJQOAIO-DGCLKSJQSA-N 1 2 272.348 3.628 20 0 CHADLO CCCn1c(C)nn(C[N@@H+]2CCC[C@H](CC)CC2)c1=S ZINC000132350713 1125417857 /nfs/dbraw/zinc/41/78/57/1125417857.db2.gz UTZOQEXJNPGALJ-AWEZNQCLSA-N 1 2 296.484 3.602 20 0 CHADLO CCCn1c(C)nn(C[N@H+]2CCC[C@H](CC)CC2)c1=S ZINC000132350713 1125417859 /nfs/dbraw/zinc/41/78/59/1125417859.db2.gz UTZOQEXJNPGALJ-AWEZNQCLSA-N 1 2 296.484 3.602 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCC[C@H]3c3ccco3)oc2c1 ZINC000119238295 1125425908 /nfs/dbraw/zinc/42/59/08/1125425908.db2.gz CKDYSAQKWWTTSS-ZDUSSCGKSA-N 1 2 286.306 3.897 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCC[C@H]3c3ccco3)oc2c1 ZINC000119238295 1125425913 /nfs/dbraw/zinc/42/59/13/1125425913.db2.gz CKDYSAQKWWTTSS-ZDUSSCGKSA-N 1 2 286.306 3.897 20 0 CHADLO Cc1ccc2c(Nc3ccc(O)cc3O)cccc2[nH+]1 ZINC001212796928 1125429151 /nfs/dbraw/zinc/42/91/51/1125429151.db2.gz MZXVKSOZAHKZMD-UHFFFAOYSA-N 1 2 266.300 3.698 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)[C@H]2C)cs1 ZINC000348244579 1125429359 /nfs/dbraw/zinc/42/93/59/1125429359.db2.gz NOICNXINRXAWRJ-MWLCHTKSSA-N 1 2 292.370 3.868 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@H](C(F)(F)F)[C@H]2C)cs1 ZINC000348244579 1125429361 /nfs/dbraw/zinc/42/93/61/1125429361.db2.gz NOICNXINRXAWRJ-MWLCHTKSSA-N 1 2 292.370 3.868 20 0 CHADLO Cc1ccc2c(Nc3ccc4c(c3)COC4=O)cccc2[nH+]1 ZINC001212796980 1125429915 /nfs/dbraw/zinc/42/99/15/1125429915.db2.gz QCICYYROLGRZGX-UHFFFAOYSA-N 1 2 290.322 3.957 20 0 CHADLO CCCc1nc(C[N@@H+]2CCc3ccccc3C2)cs1 ZINC000042651692 1125442265 /nfs/dbraw/zinc/44/22/65/1125442265.db2.gz HNTHMTVFANRGBE-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO CCCc1nc(C[N@H+]2CCc3ccccc3C2)cs1 ZINC000042651692 1125442268 /nfs/dbraw/zinc/44/22/68/1125442268.db2.gz HNTHMTVFANRGBE-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2cn(C(C)(C)C)nc2C)no1 ZINC000282861156 1125448530 /nfs/dbraw/zinc/44/85/30/1125448530.db2.gz URZFLNJOUDPPJX-YPMHNXCESA-N 1 2 290.411 3.655 20 0 CHADLO Cc1cc(C)c(CNC(=O)C/C=C\Cc2ccccc2)c[nH+]1 ZINC000636005899 1129196120 /nfs/dbraw/zinc/19/61/20/1129196120.db2.gz DFGFYBCJQBQHFS-SREVYHEPSA-N 1 2 294.398 3.504 20 0 CHADLO CCCC[C@@H](C(=O)N1CCC(n2cc[nH+]c2)CC1)C(C)C ZINC000621807783 1129198370 /nfs/dbraw/zinc/19/83/70/1129198370.db2.gz NHVCGAVGXAKDSS-MRXNPFEDSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccccc2C2CCC2)c[nH+]1 ZINC000822013340 1131358738 /nfs/dbraw/zinc/35/87/38/1131358738.db2.gz YXCCTSAXHZFFSJ-UHFFFAOYSA-N 1 2 294.398 3.896 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccoc2C)c(Cl)cc1O ZINC000637968791 1129239026 /nfs/dbraw/zinc/23/90/26/1129239026.db2.gz HBEHEQATVMRILE-UHFFFAOYSA-N 1 2 295.766 3.588 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccoc2C)c(Cl)cc1O ZINC000637968791 1129239030 /nfs/dbraw/zinc/23/90/30/1129239030.db2.gz HBEHEQATVMRILE-UHFFFAOYSA-N 1 2 295.766 3.588 20 0 CHADLO c1cc2c(o1)CCC[C@H]2[NH2+]Cc1nc2ccccc2o1 ZINC000638360255 1129255534 /nfs/dbraw/zinc/25/55/34/1129255534.db2.gz WESGXPRQUUQOQT-GFCCVEGCSA-N 1 2 268.316 3.588 20 0 CHADLO CC(C)(C)C[C@@H]1C[C@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001124772213 1131361075 /nfs/dbraw/zinc/36/10/75/1131361075.db2.gz KMGKVDQXZUYEKA-XJKSGUPXSA-N 1 2 297.402 3.883 20 0 CHADLO CC(C)O[C@H](CNc1cccc[nH+]1)c1ccccc1 ZINC000638395163 1129256925 /nfs/dbraw/zinc/25/69/25/1129256925.db2.gz SFERJICNOMJQEE-OAHLLOKOSA-N 1 2 256.349 3.660 20 0 CHADLO c1c(CNc2ccc(N3CCCCC3)c[nH+]2)onc1C1CC1 ZINC000638398342 1129256985 /nfs/dbraw/zinc/25/69/85/1129256985.db2.gz LNGJJPSXIDSBOM-UHFFFAOYSA-N 1 2 298.390 3.549 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1cccc(F)c1N ZINC000638905252 1129273850 /nfs/dbraw/zinc/27/38/50/1129273850.db2.gz RLYMCIXUGSTSEI-UHFFFAOYSA-N 1 2 289.354 3.592 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cccc(C(F)(F)F)c2)no1 ZINC000282624268 1129274393 /nfs/dbraw/zinc/27/43/93/1129274393.db2.gz JGOASHGWWOCHLS-JTQLQIEISA-N 1 2 284.281 3.853 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2CCSc3ccccc32)no1 ZINC000282680295 1129279583 /nfs/dbraw/zinc/27/95/83/1129279583.db2.gz JQZLXOJSRQIZSG-DGCLKSJQSA-N 1 2 274.389 3.871 20 0 CHADLO CC1(C)C[N@H+](Cc2ccns2)[C@@H]1c1cccs1 ZINC000639184466 1129290728 /nfs/dbraw/zinc/29/07/28/1129290728.db2.gz QBJOBZHVXMKFDY-GFCCVEGCSA-N 1 2 264.419 3.788 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccns2)[C@@H]1c1cccs1 ZINC000639184466 1129290729 /nfs/dbraw/zinc/29/07/29/1129290729.db2.gz QBJOBZHVXMKFDY-GFCCVEGCSA-N 1 2 264.419 3.788 20 0 CHADLO c1nc([C@@H](C2CCCCC2)[NH+](C2CCC2)C2CCC2)n[nH]1 ZINC000639202805 1129292173 /nfs/dbraw/zinc/29/21/73/1129292173.db2.gz CDJFOYRHJJOKRF-MRXNPFEDSA-N 1 2 288.439 3.833 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1cccc(F)c1)[C@H]1CC1(F)F ZINC000639307322 1129301220 /nfs/dbraw/zinc/30/12/20/1129301220.db2.gz VCZJRXMMEMBKRV-GZMMTYOYSA-N 1 2 279.252 3.551 20 0 CHADLO CC[C@@](C)([NH2+][C@H](c1ncn[nH]1)C1CCCCC1)C1CC1 ZINC000639316349 1129302252 /nfs/dbraw/zinc/30/22/52/1129302252.db2.gz IDDYBEVRCHPRKF-GOEBONIOSA-N 1 2 276.428 3.594 20 0 CHADLO Cc1cc(N2Cc3ccccc3[C@H](C)C2)nc(C2CC2)[nH+]1 ZINC000734835163 1129324086 /nfs/dbraw/zinc/32/40/86/1129324086.db2.gz PXNHEYDQBGFZBX-GFCCVEGCSA-N 1 2 279.387 3.786 20 0 CHADLO c1ccc([C@H](CC2CC2)[NH2+]Cc2nc(CC3CC3)no2)cc1 ZINC000639565773 1129324278 /nfs/dbraw/zinc/32/42/78/1129324278.db2.gz SNKYVCBQAPURJS-INIZCTEOSA-N 1 2 297.402 3.653 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)/C=C\C(C)(C)C)n1 ZINC000735040783 1129329441 /nfs/dbraw/zinc/32/94/41/1129329441.db2.gz VBDFUKVGQIQCTI-HJWRWDBZSA-N 1 2 295.452 3.526 20 0 CHADLO Fc1c(Cl)cccc1C[N@@H+]1CCC[C@H]1c1cn[nH]c1 ZINC000639777238 1129332475 /nfs/dbraw/zinc/33/24/75/1129332475.db2.gz JQPZSYAHXCYHNL-ZDUSSCGKSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1c(Cl)cccc1C[N@H+]1CCC[C@H]1c1cn[nH]c1 ZINC000639777238 1129332479 /nfs/dbraw/zinc/33/24/79/1129332479.db2.gz JQPZSYAHXCYHNL-ZDUSSCGKSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H]2c2cn[nH]c2)ccc1Cl ZINC000639778143 1129332682 /nfs/dbraw/zinc/33/26/82/1129332682.db2.gz PONWFIKTCGFRPQ-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H]2c2cn[nH]c2)ccc1Cl ZINC000639778143 1129332685 /nfs/dbraw/zinc/33/26/85/1129332685.db2.gz PONWFIKTCGFRPQ-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cccc(Cl)c1C[N@@H+]1CCC[C@@H]1c1cn[nH]c1 ZINC000639777996 1129333363 /nfs/dbraw/zinc/33/33/63/1129333363.db2.gz PAHYBMACCZNNJC-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1cccc(Cl)c1C[N@H+]1CCC[C@@H]1c1cn[nH]c1 ZINC000639777996 1129333367 /nfs/dbraw/zinc/33/33/67/1129333367.db2.gz PAHYBMACCZNNJC-CQSZACIVSA-N 1 2 279.746 3.539 20 0 CHADLO CC[C@@](C)([NH2+]Cc1nn(C)cc1Cl)c1ccc(F)cc1 ZINC000639926738 1129340745 /nfs/dbraw/zinc/34/07/45/1129340745.db2.gz BPJNAYXHYXKKKO-OAHLLOKOSA-N 1 2 295.789 3.628 20 0 CHADLO COC(=O)[C@@H](C)c1ccc(NCCC2=CCCCC2)[nH+]c1 ZINC001168770487 1129360363 /nfs/dbraw/zinc/36/03/63/1129360363.db2.gz CAJUEKFWLDYLGT-ZDUSSCGKSA-N 1 2 288.391 3.661 20 0 CHADLO CC(C)[C@@H](Nc1cc(CO)cc[nH+]1)c1ccc(F)cc1 ZINC000641266757 1129377830 /nfs/dbraw/zinc/37/78/30/1129377830.db2.gz JRSYYYKAOKASJX-MRXNPFEDSA-N 1 2 274.339 3.522 20 0 CHADLO C[N@H+](Cn1nc(-c2ccccc2)oc1=S)CC(C)(C)C ZINC000738089520 1129389325 /nfs/dbraw/zinc/38/93/25/1129389325.db2.gz KYWLYDJTKNGGHQ-UHFFFAOYSA-N 1 2 291.420 3.808 20 0 CHADLO C[N@@H+](Cn1nc(-c2ccccc2)oc1=S)CC(C)(C)C ZINC000738089520 1129389329 /nfs/dbraw/zinc/38/93/29/1129389329.db2.gz KYWLYDJTKNGGHQ-UHFFFAOYSA-N 1 2 291.420 3.808 20 0 CHADLO CC[C@@H]1CCC[N@H+](Cn2nc(C(C)(C)C)oc2=S)CC1 ZINC000738253462 1129391076 /nfs/dbraw/zinc/39/10/76/1129391076.db2.gz FZUCLVMVBWFQLO-GFCCVEGCSA-N 1 2 297.468 3.973 20 0 CHADLO CC[C@@H]1CCC[N@@H+](Cn2nc(C(C)(C)C)oc2=S)CC1 ZINC000738253462 1129391079 /nfs/dbraw/zinc/39/10/79/1129391079.db2.gz FZUCLVMVBWFQLO-GFCCVEGCSA-N 1 2 297.468 3.973 20 0 CHADLO CC(C)n1c2ccccc2[nH+]c1NCc1cnc(C2CC2)o1 ZINC000641778664 1129391305 /nfs/dbraw/zinc/39/13/05/1129391305.db2.gz VZMBGDQSARKZHL-UHFFFAOYSA-N 1 2 296.374 3.517 20 0 CHADLO C[C@@H]1c2ccc(F)cc2CC[N@H+]1Cc1ccnc(Cl)c1 ZINC000738340389 1129391478 /nfs/dbraw/zinc/39/14/78/1129391478.db2.gz LMVDEZONJMXOCV-LLVKDONJSA-N 1 2 290.769 3.993 20 0 CHADLO C[C@@H]1c2ccc(F)cc2CC[N@@H+]1Cc1ccnc(Cl)c1 ZINC000738340389 1129391481 /nfs/dbraw/zinc/39/14/81/1129391481.db2.gz LMVDEZONJMXOCV-LLVKDONJSA-N 1 2 290.769 3.993 20 0 CHADLO CC(C)NC(=O)Nc1ccc([C@H](C)[NH2+][C@H](C)C(F)F)cc1 ZINC000641790366 1129391999 /nfs/dbraw/zinc/39/19/99/1129391999.db2.gz IPUWMYFGCDDVNQ-WDEREUQCSA-N 1 2 299.365 3.521 20 0 CHADLO C[C@@H]([NH2+]CCc1ccc2ccccc2c1)C(=O)OC(C)(C)C ZINC000738415517 1129392694 /nfs/dbraw/zinc/39/26/94/1129392694.db2.gz FQYGLDRMZNFOIQ-CQSZACIVSA-N 1 2 299.414 3.702 20 0 CHADLO Cc1cc(CNC(=O)Nc2ccc(C3CC3)cc2)cc(C)[nH+]1 ZINC000643263142 1129434978 /nfs/dbraw/zinc/43/49/78/1129434978.db2.gz VMUHBSLPEYLNNT-UHFFFAOYSA-N 1 2 295.386 3.898 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cn[nH]c1C)c1ccc(C)cc1 ZINC000179981990 1129441941 /nfs/dbraw/zinc/44/19/41/1129441941.db2.gz VSWXCOKIMIUIMY-MLGOLLRUSA-N 1 2 257.381 3.828 20 0 CHADLO Cc1[nH]c([C@@H]2CCCN2C(=O)CC2=CCCCC2)[nH+]c1C ZINC001155176034 1129442388 /nfs/dbraw/zinc/44/23/88/1129442388.db2.gz ZROMFQDCYCZYTL-HNNXBMFYSA-N 1 2 287.407 3.581 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cc(Oc2ccccc2)ncn1 ZINC001155175756 1129442584 /nfs/dbraw/zinc/44/25/84/1129442584.db2.gz JGOALHPEMMBVKY-UHFFFAOYSA-N 1 2 270.336 3.704 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@@H+]1CCC[C@H]1c1ccsc1 ZINC000741776981 1129452259 /nfs/dbraw/zinc/45/22/59/1129452259.db2.gz RNBDKBQIQYWYIS-OCCSQVGLSA-N 1 2 281.421 3.617 20 0 CHADLO CCCCOC(=O)[C@@H](C)[N@H+]1CCC[C@H]1c1ccsc1 ZINC000741776981 1129452261 /nfs/dbraw/zinc/45/22/61/1129452261.db2.gz RNBDKBQIQYWYIS-OCCSQVGLSA-N 1 2 281.421 3.617 20 0 CHADLO Cc1c(-c2cc(F)c(F)cc2F)ccc2[nH+]ccn21 ZINC001241112662 1129452886 /nfs/dbraw/zinc/45/28/86/1129452886.db2.gz XKJQFWQUMRVTFM-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO C[N@H+](Cn1c(=S)snc1-c1ccccc1)C1CCC1 ZINC000742170373 1129468687 /nfs/dbraw/zinc/46/86/87/1129468687.db2.gz RDZAWDHGUCVESV-UHFFFAOYSA-N 1 2 291.445 3.783 20 0 CHADLO C[N@@H+](Cn1c(=S)snc1-c1ccccc1)C1CCC1 ZINC000742170373 1129468690 /nfs/dbraw/zinc/46/86/90/1129468690.db2.gz RDZAWDHGUCVESV-UHFFFAOYSA-N 1 2 291.445 3.783 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCC[C@H](c2ccn[nH]2)C1 ZINC000645077711 1129486917 /nfs/dbraw/zinc/48/69/17/1129486917.db2.gz CEDXTLSNTPGQGZ-INIZCTEOSA-N 1 2 298.434 3.616 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCC[C@H](c2ccn[nH]2)C1 ZINC000645077711 1129486918 /nfs/dbraw/zinc/48/69/18/1129486918.db2.gz CEDXTLSNTPGQGZ-INIZCTEOSA-N 1 2 298.434 3.616 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)/C=C\C3CC3)c2)[nH+]c1C ZINC000742579399 1129488335 /nfs/dbraw/zinc/48/83/35/1129488335.db2.gz XKYMILOHKLQIDQ-HJWRWDBZSA-N 1 2 281.359 3.598 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C=CC3CC3)c2)[nH+]c1C ZINC000742579399 1129488337 /nfs/dbraw/zinc/48/83/37/1129488337.db2.gz XKYMILOHKLQIDQ-HJWRWDBZSA-N 1 2 281.359 3.598 20 0 CHADLO FC(F)(F)c1ccccc1-c1cc[nH+]c2c1CCN2 ZINC001239544527 1131377629 /nfs/dbraw/zinc/37/76/29/1131377629.db2.gz DIABEVHLHZAZQD-UHFFFAOYSA-N 1 2 264.250 3.735 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1ccc(C(C)C)cn1 ZINC001241233649 1129496370 /nfs/dbraw/zinc/49/63/70/1129496370.db2.gz QQZCVJHRYLPLNF-UHFFFAOYSA-N 1 2 255.365 3.641 20 0 CHADLO CCCC[N@H+](C)Cc1noc(-c2c(F)cccc2Cl)n1 ZINC000645766854 1129504792 /nfs/dbraw/zinc/50/47/92/1129504792.db2.gz DORAQYILLNYLEE-UHFFFAOYSA-N 1 2 297.761 3.761 20 0 CHADLO CCCC[N@@H+](C)Cc1noc(-c2c(F)cccc2Cl)n1 ZINC000645766854 1129504795 /nfs/dbraw/zinc/50/47/95/1129504795.db2.gz DORAQYILLNYLEE-UHFFFAOYSA-N 1 2 297.761 3.761 20 0 CHADLO CCO[C@H](C)c1nc(C[N@H+](C)CC2=CCCC2)cs1 ZINC000645808827 1129507624 /nfs/dbraw/zinc/50/76/24/1129507624.db2.gz SYWWUYVKADBOMX-GFCCVEGCSA-N 1 2 280.437 3.783 20 0 CHADLO CCO[C@H](C)c1nc(C[N@@H+](C)CC2=CCCC2)cs1 ZINC000645808827 1129507626 /nfs/dbraw/zinc/50/76/26/1129507626.db2.gz SYWWUYVKADBOMX-GFCCVEGCSA-N 1 2 280.437 3.783 20 0 CHADLO Cc1ccnc(C[NH2+]C2(c3ccccc3Cl)CC2)c1 ZINC000645978388 1129515134 /nfs/dbraw/zinc/51/51/34/1129515134.db2.gz KBNCBJVZVQVPCP-UHFFFAOYSA-N 1 2 272.779 3.822 20 0 CHADLO Cc1noc(C)c1C[NH2+]C1(c2ccccc2Cl)CC1 ZINC000645976602 1129515183 /nfs/dbraw/zinc/51/51/83/1129515183.db2.gz PCRLYCOXQKNNID-UHFFFAOYSA-N 1 2 276.767 3.724 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3nn(C)cc3Cl)CCC2)c1 ZINC000646022483 1129518338 /nfs/dbraw/zinc/51/83/38/1129518338.db2.gz ITDGTTCITKPEIL-UHFFFAOYSA-N 1 2 289.810 3.551 20 0 CHADLO Cc1csc(C[NH2+]C2(c3ccccc3F)CCC2)n1 ZINC000646024261 1129518584 /nfs/dbraw/zinc/51/85/84/1129518584.db2.gz ZXTJRMZQWJISAW-UHFFFAOYSA-N 1 2 276.380 3.760 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC[C@@H](c3ccn[nH]3)C2)c1 ZINC000646063730 1129520543 /nfs/dbraw/zinc/52/05/43/1129520543.db2.gz ANQIEQYITOVYHL-CQSZACIVSA-N 1 2 289.810 3.751 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC[C@@H](c3ccn[nH]3)C2)c1 ZINC000646063730 1129520545 /nfs/dbraw/zinc/52/05/45/1129520545.db2.gz ANQIEQYITOVYHL-CQSZACIVSA-N 1 2 289.810 3.751 20 0 CHADLO Cc1cc(OCCC[C@H]2CCOC2)c2ccccc2[nH+]1 ZINC000647158657 1129552906 /nfs/dbraw/zinc/55/29/06/1129552906.db2.gz YTUXOZFHIWWCMN-AWEZNQCLSA-N 1 2 271.360 3.739 20 0 CHADLO COCc1ccc(C[NH2+]C2(c3ccccc3Cl)CC2)o1 ZINC000647223994 1129557804 /nfs/dbraw/zinc/55/78/04/1129557804.db2.gz FNDONKVGBWKSBQ-UHFFFAOYSA-N 1 2 291.778 3.858 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3ccccc3C)CC2)no1 ZINC000647316600 1129567829 /nfs/dbraw/zinc/56/78/29/1129567829.db2.gz XVCGIRSRUZKKPL-ZDUSSCGKSA-N 1 2 256.349 3.631 20 0 CHADLO COc1ccccc1C1([NH2+][C@H](C)c2csc(C)n2)CC1 ZINC000647329241 1129568849 /nfs/dbraw/zinc/56/88/49/1129568849.db2.gz JTIBUCFBDXQJRK-LLVKDONJSA-N 1 2 288.416 3.800 20 0 CHADLO CCCOC(=O)[C@H](C)[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000303840280 1129576249 /nfs/dbraw/zinc/57/62/49/1129576249.db2.gz BMXTZBNQDRJSBZ-NEPJUHHUSA-N 1 2 283.799 3.675 20 0 CHADLO CCCOC(=O)[C@H](C)[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000303840280 1129576251 /nfs/dbraw/zinc/57/62/51/1129576251.db2.gz BMXTZBNQDRJSBZ-NEPJUHHUSA-N 1 2 283.799 3.675 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@@H+]2CCn3cncc3C2)cc1 ZINC000647938125 1129588760 /nfs/dbraw/zinc/58/87/60/1129588760.db2.gz NUVRQKWUAOIPQF-CQSZACIVSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)c1ccc([C@@H](C)[N@H+]2CCn3cncc3C2)cc1 ZINC000647938125 1129588762 /nfs/dbraw/zinc/58/87/62/1129588762.db2.gz NUVRQKWUAOIPQF-CQSZACIVSA-N 1 2 269.392 3.583 20 0 CHADLO Cc1cccc(C[N@H+](Cc2cscn2)C2CC2)c1C ZINC001137599099 1131383947 /nfs/dbraw/zinc/38/39/47/1131383947.db2.gz BBLVOCHHNKUXBA-UHFFFAOYSA-N 1 2 272.417 3.925 20 0 CHADLO Cc1nc(C[N@H+]2CCS[C@@H](C)[C@H]2c2ccccc2)co1 ZINC000647964550 1129590125 /nfs/dbraw/zinc/59/01/25/1129590125.db2.gz OIKNAPVFIKQVOQ-LRDDRELGSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1nc(C[N@@H+]2CCS[C@@H](C)[C@H]2c2ccccc2)co1 ZINC000647964550 1129590126 /nfs/dbraw/zinc/59/01/26/1129590126.db2.gz OIKNAPVFIKQVOQ-LRDDRELGSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2cscn2)C2CC2)c1C ZINC001137599099 1131383952 /nfs/dbraw/zinc/38/39/52/1131383952.db2.gz BBLVOCHHNKUXBA-UHFFFAOYSA-N 1 2 272.417 3.925 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cn1)c1cc(F)ccc1F ZINC000182115849 1129597307 /nfs/dbraw/zinc/59/73/07/1129597307.db2.gz STAUMEDBJFVPFG-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO COc1cc(-c2cccn3cc[nH+]c23)ccc1Cl ZINC001241732805 1129614489 /nfs/dbraw/zinc/61/44/89/1129614489.db2.gz IDMGQLWZKSSZMZ-UHFFFAOYSA-N 1 2 258.708 3.663 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](C)CSc1ccc(C)cc1 ZINC000186472975 1129633457 /nfs/dbraw/zinc/63/34/57/1129633457.db2.gz DVRASRFURCPDFX-STQMWFEESA-N 1 2 289.448 3.858 20 0 CHADLO CCOc1ccccc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239561131 1131387106 /nfs/dbraw/zinc/38/71/06/1131387106.db2.gz UGMXNDIKLZMDGE-UHFFFAOYSA-N 1 2 278.355 3.997 20 0 CHADLO COc1cccc2[nH]c(-c3cc[nH+]c(C)c3C)cc21 ZINC001241998589 1129643360 /nfs/dbraw/zinc/64/33/60/1129643360.db2.gz ANVQXYBGOFTQGY-UHFFFAOYSA-N 1 2 252.317 3.855 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCOc3ccc(F)cc32)sc1C ZINC000766354608 1129658140 /nfs/dbraw/zinc/65/81/40/1129658140.db2.gz YLNIBYFGMKGHCX-CYBMUJFWSA-N 1 2 292.379 3.512 20 0 CHADLO Cc1ccc(C(C)(C)Nc2ccc3c([nH+]2)CCOC3)cc1 ZINC001168800312 1129672376 /nfs/dbraw/zinc/67/23/76/1129672376.db2.gz RFLLYAYBKTVJRV-UHFFFAOYSA-N 1 2 282.387 3.810 20 0 CHADLO COc1cc[nH+]cc1NC(C)(C)c1ccc(C)cc1 ZINC001168808230 1129674027 /nfs/dbraw/zinc/67/40/27/1129674027.db2.gz RGUSADRWJVCIAV-UHFFFAOYSA-N 1 2 256.349 3.746 20 0 CHADLO C[C@H]([NH2+]Cc1cnon1)c1ccc(Oc2ccccc2)cc1 ZINC001168827148 1129675521 /nfs/dbraw/zinc/67/55/21/1129675521.db2.gz YVVJTUJVFYEHQR-ZDUSSCGKSA-N 1 2 295.342 3.713 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(C)[nH+]c(OC(F)F)c2)nc1 ZINC001168860099 1129677916 /nfs/dbraw/zinc/67/79/16/1129677916.db2.gz HESXARBSYDKSRI-NSHDSACASA-N 1 2 293.317 3.868 20 0 CHADLO Cc1ccc([C@@H](C)Nc2ccc([NH+](C)C)c(C)c2)nc1 ZINC001168860133 1129678355 /nfs/dbraw/zinc/67/83/55/1129678355.db2.gz HYWLJQOXSNMWQL-CQSZACIVSA-N 1 2 269.392 3.938 20 0 CHADLO CCC(CC)N(CC(C)C)C(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000649801836 1129678425 /nfs/dbraw/zinc/67/84/25/1129678425.db2.gz ACUQWPFBFOKZMY-CQSZACIVSA-N 1 2 279.428 3.507 20 0 CHADLO Brc1ccc2[nH+]ccn2c1NCCC1CCC1 ZINC001168890820 1129680513 /nfs/dbraw/zinc/68/05/13/1129680513.db2.gz IYKIOGPSTQRVJT-UHFFFAOYSA-N 1 2 294.196 3.699 20 0 CHADLO CCc1ccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)cc1CC ZINC000649896663 1129684524 /nfs/dbraw/zinc/68/45/24/1129684524.db2.gz XILWGPKYIJPRRI-CYBMUJFWSA-N 1 2 285.391 3.598 20 0 CHADLO C[C@]1(C[N@H+](CC(F)F)CC2CCC2)CC1(Cl)Cl ZINC000828554582 1131390559 /nfs/dbraw/zinc/39/05/59/1131390559.db2.gz FOFRTIVMULIYMJ-LLVKDONJSA-N 1 2 286.193 3.938 20 0 CHADLO C[C@]1(C[N@@H+](CC(F)F)CC2CCC2)CC1(Cl)Cl ZINC000828554582 1131390564 /nfs/dbraw/zinc/39/05/64/1131390564.db2.gz FOFRTIVMULIYMJ-LLVKDONJSA-N 1 2 286.193 3.938 20 0 CHADLO c1c(CCNc2cccc3c2CCCC3)[nH+]c2ccccn12 ZINC001169003759 1129689117 /nfs/dbraw/zinc/68/91/17/1129689117.db2.gz DFTDKVJUMIAGKV-UHFFFAOYSA-N 1 2 291.398 3.868 20 0 CHADLO CC(C)C[C@H](Cc1ccccc1)[NH2+]CC(=O)OC(C)(C)C ZINC001169048836 1129692157 /nfs/dbraw/zinc/69/21/57/1129692157.db2.gz CFCXVSIXOZFSPK-MRXNPFEDSA-N 1 2 291.435 3.575 20 0 CHADLO CC(C)C[C@H](Cc1ccccc1)[NH2+][C@H]1CC1(F)F ZINC001169050928 1129692167 /nfs/dbraw/zinc/69/21/67/1129692167.db2.gz SMTNIBKKNXYKKP-KGLIPLIRSA-N 1 2 253.336 3.641 20 0 CHADLO COc1cc[nH+]cc1NCc1ccsc1Br ZINC001169055458 1129693392 /nfs/dbraw/zinc/69/33/92/1129693392.db2.gz FDIINOIXOYZEAJ-UHFFFAOYSA-N 1 2 299.193 3.526 20 0 CHADLO Cc1ccsc1C[N@@H+]1CCc2nc(Cl)ccc2C1 ZINC001204022082 1129696891 /nfs/dbraw/zinc/69/68/91/1129696891.db2.gz QYSLNBNLRVWPJI-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccsc1C[N@H+]1CCc2nc(Cl)ccc2C1 ZINC001204022082 1129696893 /nfs/dbraw/zinc/69/68/93/1129696893.db2.gz QYSLNBNLRVWPJI-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO COc1cc(CNc2cc(C)c[nH+]c2C)ccc1C ZINC000650242814 1129702134 /nfs/dbraw/zinc/70/21/34/1129702134.db2.gz ZMXFNVMYMPQYNG-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(C(F)(F)F)cc2)c1 ZINC000650244892 1129702380 /nfs/dbraw/zinc/70/23/80/1129702380.db2.gz UGYLSRVZEHUSRI-UHFFFAOYSA-N 1 2 296.292 3.859 20 0 CHADLO Oc1cc(Nc2ccc[nH+]c2N2CCCC2)ccc1Cl ZINC001212587928 1129714946 /nfs/dbraw/zinc/71/49/46/1129714946.db2.gz ALGZECKBTFVHPB-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO COCCOc1cc(N[C@@H]2C[C@H](C)c3ccccc32)cc[nH+]1 ZINC001169374883 1129722036 /nfs/dbraw/zinc/72/20/36/1129722036.db2.gz CXUHGWTXXSZELT-SUMWQHHRSA-N 1 2 298.386 3.767 20 0 CHADLO Cc1cc(Cl)c(NC(=O)CCCn2cc[nH+]c2)cc1C ZINC000651022196 1129726862 /nfs/dbraw/zinc/72/68/62/1129726862.db2.gz KGMPWTZGQVHDPG-UHFFFAOYSA-N 1 2 291.782 3.572 20 0 CHADLO C[C@@H](CNc1[nH+]cccc1-n1cccc1)c1ccc(O)cc1 ZINC001169535450 1129733671 /nfs/dbraw/zinc/73/36/71/1129733671.db2.gz OBBNPLFJOZJFJT-AWEZNQCLSA-N 1 2 293.370 3.794 20 0 CHADLO c1cn2ccc(NCCc3coc4ccccc34)cc2[nH+]1 ZINC001169600465 1129739411 /nfs/dbraw/zinc/73/94/11/1129739411.db2.gz PKDSFDNNPCSULO-UHFFFAOYSA-N 1 2 277.327 3.735 20 0 CHADLO CC1(C)C[C@H]([NH2+]Cc2nccs2)c2cc(F)ccc2O1 ZINC000651949600 1129751877 /nfs/dbraw/zinc/75/18/77/1129751877.db2.gz PHCARFPMVRWIJT-LBPRGKRZSA-N 1 2 292.379 3.674 20 0 CHADLO C[C@H](COCC1CC1)[NH2+][C@H](CCc1ccccc1)C(F)F ZINC000651982784 1129754764 /nfs/dbraw/zinc/75/47/64/1129754764.db2.gz IASUSASYYYVDPM-CZUORRHYSA-N 1 2 297.389 3.658 20 0 CHADLO CC[C@@H](Cc1cccs1)Nc1cc(COC)cc[nH+]1 ZINC000652068194 1129757427 /nfs/dbraw/zinc/75/74/27/1129757427.db2.gz VSRDFYZKUYNMIH-ZDUSSCGKSA-N 1 2 276.405 3.723 20 0 CHADLO Cc1cc(NCc2ccc(C)c(F)c2)nc(C(C)C)[nH+]1 ZINC000796511433 1129767340 /nfs/dbraw/zinc/76/73/40/1129767340.db2.gz XNQAEPFOUFNBOW-UHFFFAOYSA-N 1 2 273.355 3.968 20 0 CHADLO CCO[C@@H]1CCC[C@@H]([NH2+]c2ccc(N(C)C)cc2)C1 ZINC000721184420 1129770624 /nfs/dbraw/zinc/77/06/24/1129770624.db2.gz OGZJTHQEJYLKHD-GDBMZVCRSA-N 1 2 262.397 3.512 20 0 CHADLO CCO[C@@H]1CCC[C@@H](Nc2ccc([NH+](C)C)cc2)C1 ZINC000721184420 1129770629 /nfs/dbraw/zinc/77/06/29/1129770629.db2.gz OGZJTHQEJYLKHD-GDBMZVCRSA-N 1 2 262.397 3.512 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[NH+]1CCC(F)(F)CC1 ZINC000796541578 1129777094 /nfs/dbraw/zinc/77/70/94/1129777094.db2.gz UWYMYBZGAXUKHN-SECBINFHSA-N 1 2 261.262 3.757 20 0 CHADLO C[C@H](c1nc(-c2ccccc2)no1)[NH+]1CCC(F)(F)CC1 ZINC000796552701 1129777761 /nfs/dbraw/zinc/77/77/61/1129777761.db2.gz UHTHSTVOXLNLTB-LLVKDONJSA-N 1 2 293.317 3.529 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](c3ccco3)C2)c(C)[nH+]1 ZINC000653179828 1129778092 /nfs/dbraw/zinc/77/80/92/1129778092.db2.gz LRVXSDZSQFMSOV-CQSZACIVSA-N 1 2 299.374 3.621 20 0 CHADLO CCCC[C@@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(C)c1 ZINC001136624947 1131397530 /nfs/dbraw/zinc/39/75/30/1131397530.db2.gz QWFOMRFNUBAQQZ-CYBMUJFWSA-N 1 2 285.391 3.946 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2nc(C)c(C)s2)cc1F ZINC000746492959 1129818150 /nfs/dbraw/zinc/81/81/50/1129818150.db2.gz FJYIAAMWSGQLTP-UHFFFAOYSA-N 1 2 294.395 3.540 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2nc(C)c(C)s2)cc1F ZINC000746492959 1129818154 /nfs/dbraw/zinc/81/81/54/1129818154.db2.gz FJYIAAMWSGQLTP-UHFFFAOYSA-N 1 2 294.395 3.540 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1CC1(O)CCCC1 ZINC000768002852 1129824584 /nfs/dbraw/zinc/82/45/84/1129824584.db2.gz ZJZQFAUVWNACIH-UHFFFAOYSA-N 1 2 287.407 3.553 20 0 CHADLO CCc1nc(C[NH2+][C@H](CC)c2ccccc2OC)cs1 ZINC000380937893 1129833541 /nfs/dbraw/zinc/83/35/41/1129833541.db2.gz QHOGQLUPDZNKOX-CQSZACIVSA-N 1 2 290.432 3.955 20 0 CHADLO COc1cccc2cc(C[N@@H+]3CCn4cccc4[C@H]3C)oc21 ZINC000768162590 1129838344 /nfs/dbraw/zinc/83/83/44/1129838344.db2.gz RHLHYENYFFMIGT-CYBMUJFWSA-N 1 2 296.370 3.820 20 0 CHADLO COc1cccc2cc(C[N@H+]3CCn4cccc4[C@H]3C)oc21 ZINC000768162590 1129838348 /nfs/dbraw/zinc/83/83/48/1129838348.db2.gz RHLHYENYFFMIGT-CYBMUJFWSA-N 1 2 296.370 3.820 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1coc(C2CC2)n1 ZINC000768197580 1129844580 /nfs/dbraw/zinc/84/45/80/1129844580.db2.gz KGAAMPICWPYNRE-GFCCVEGCSA-N 1 2 256.349 3.745 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1coc(C2CC2)n1 ZINC000768197580 1129844585 /nfs/dbraw/zinc/84/45/85/1129844585.db2.gz KGAAMPICWPYNRE-GFCCVEGCSA-N 1 2 256.349 3.745 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@H+](Cc2ncc(Cl)n2C)C1 ZINC000285752111 1129849087 /nfs/dbraw/zinc/84/90/87/1129849087.db2.gz QXYZCUQEUULQHS-JSGCOSHPSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2ncc(Cl)n2C)C1 ZINC000285752111 1129849092 /nfs/dbraw/zinc/84/90/92/1129849092.db2.gz QXYZCUQEUULQHS-JSGCOSHPSA-N 1 2 289.810 3.657 20 0 CHADLO C=C/C=C/CC[N@@H+]1CCn2c(cc3ccccc32)C1 ZINC001460178325 1129849756 /nfs/dbraw/zinc/84/97/56/1129849756.db2.gz DJFIXVFYEOWSAT-ONEGZZNKSA-N 1 2 252.361 3.589 20 0 CHADLO C=C/C=C/CC[N@H+]1CCn2c(cc3ccccc32)C1 ZINC001460178325 1129849760 /nfs/dbraw/zinc/84/97/60/1129849760.db2.gz DJFIXVFYEOWSAT-ONEGZZNKSA-N 1 2 252.361 3.589 20 0 CHADLO Cc1nc2cc(Nc3ccc(C)[nH+]c3C)ccc2o1 ZINC001203374959 1129855136 /nfs/dbraw/zinc/85/51/36/1129855136.db2.gz QLCJCLIVBCGJMU-UHFFFAOYSA-N 1 2 253.305 3.892 20 0 CHADLO Clc1ccc([C@@H]2C[N@H+](Cc3ccoc3)CCO2)cc1 ZINC000768377372 1129855498 /nfs/dbraw/zinc/85/54/98/1129855498.db2.gz ZXAFZASGLFOTHH-HNNXBMFYSA-N 1 2 277.751 3.507 20 0 CHADLO Clc1ccc([C@@H]2C[N@@H+](Cc3ccoc3)CCO2)cc1 ZINC000768377372 1129855503 /nfs/dbraw/zinc/85/55/03/1129855503.db2.gz ZXAFZASGLFOTHH-HNNXBMFYSA-N 1 2 277.751 3.507 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(C(F)(F)F)s2)no1 ZINC000800311625 1129865941 /nfs/dbraw/zinc/86/59/41/1129865941.db2.gz QBOHITSMTIWPCI-QMMMGPOBSA-N 1 2 290.310 3.914 20 0 CHADLO Cc1cc(NC2CC(C(F)F)C2)ccc1[NH+](C)C ZINC000768673147 1129868894 /nfs/dbraw/zinc/86/88/94/1129868894.db2.gz VYVNDFDRJIZTPH-UHFFFAOYSA-N 1 2 254.324 3.517 20 0 CHADLO COCC[C@@H]1CCC[C@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000800483236 1129877706 /nfs/dbraw/zinc/87/77/06/1129877706.db2.gz DGCVXCXMHFZDPI-MAUKXSAKSA-N 1 2 288.435 3.904 20 0 CHADLO COCC[C@@H]1CCC[C@H]1[NH2+]c1ccc(N2CCCC2)cc1 ZINC000800483236 1129877709 /nfs/dbraw/zinc/87/77/09/1129877709.db2.gz DGCVXCXMHFZDPI-MAUKXSAKSA-N 1 2 288.435 3.904 20 0 CHADLO c1cc(N2CCSCC2)ccc1[NH2+][C@@H]1CCC12CCC2 ZINC000800487540 1129878336 /nfs/dbraw/zinc/87/83/36/1129878336.db2.gz FDKCBSJGTRHWFU-MRXNPFEDSA-N 1 2 288.460 3.984 20 0 CHADLO Cc1cc(F)cc2c1C[N@H+](Cc1ccc(F)nc1C)CC2 ZINC000800536459 1129882025 /nfs/dbraw/zinc/88/20/25/1129882025.db2.gz PZAMQXLLDAVCEM-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1cc(F)cc2c1C[N@@H+](Cc1ccc(F)nc1C)CC2 ZINC000800536459 1129882030 /nfs/dbraw/zinc/88/20/30/1129882030.db2.gz PZAMQXLLDAVCEM-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@H+](Cc2ccc(F)nc2)C1 ZINC000800536134 1129882217 /nfs/dbraw/zinc/88/22/17/1129882217.db2.gz MRADAHLEUQWVMU-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@@H+](Cc2ccc(F)nc2)C1 ZINC000800536134 1129882221 /nfs/dbraw/zinc/88/22/21/1129882221.db2.gz MRADAHLEUQWVMU-QGZVFWFLSA-N 1 2 288.341 3.600 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncco1)c1ccc(Br)cc1 ZINC000886530277 1129885579 /nfs/dbraw/zinc/88/55/79/1129885579.db2.gz HMGZLAGYIDJTAF-ZJUUUORDSA-N 1 2 295.180 3.849 20 0 CHADLO c1cc2c(s1)CCC[N@@H+](Cc1nc3ccccc3[nH]1)C2 ZINC000769386589 1129905671 /nfs/dbraw/zinc/90/56/71/1129905671.db2.gz BIBMAAINMPWLSG-UHFFFAOYSA-N 1 2 283.400 3.573 20 0 CHADLO c1cc2c(s1)CCC[N@H+](Cc1nc3ccccc3[nH]1)C2 ZINC000769386589 1129905673 /nfs/dbraw/zinc/90/56/73/1129905673.db2.gz BIBMAAINMPWLSG-UHFFFAOYSA-N 1 2 283.400 3.573 20 0 CHADLO C[C@@H](CCC1CC1)[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000769416793 1129907514 /nfs/dbraw/zinc/90/75/14/1129907514.db2.gz ZVKWMQISVDMNCS-AWEZNQCLSA-N 1 2 274.408 3.514 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)[C@H](F)c1ccccc1 ZINC000769448814 1129911310 /nfs/dbraw/zinc/91/13/10/1129911310.db2.gz XDDPAOBNWNQFAF-MRXNPFEDSA-N 1 2 295.317 3.522 20 0 CHADLO CC1(C)Cc2cc(NCc3cccc4[nH+]ccn43)ccc2O1 ZINC000769687999 1129920478 /nfs/dbraw/zinc/92/04/78/1129920478.db2.gz DLUFEDSNICLYCB-UHFFFAOYSA-N 1 2 293.370 3.660 20 0 CHADLO Fc1cccc(C[NH+]2CC3(C2)CCCC3)c1Br ZINC001137717098 1131407534 /nfs/dbraw/zinc/40/75/34/1131407534.db2.gz MDKHWARPEDCWGI-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1ccc2ncccc2c1 ZINC000769830926 1129928133 /nfs/dbraw/zinc/92/81/33/1129928133.db2.gz SGHUJXKOWIUEJV-UHFFFAOYSA-N 1 2 297.383 3.537 20 0 CHADLO Cc1cc(NCc2ncc(Cl)s2)ccc1[NH+](C)C ZINC000769918331 1129929518 /nfs/dbraw/zinc/92/95/18/1129929518.db2.gz GCSDTRZATHEQNN-UHFFFAOYSA-N 1 2 281.812 3.783 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@H+](Cc2cccnc2Cl)C1 ZINC000801588596 1129930687 /nfs/dbraw/zinc/93/06/87/1129930687.db2.gz UIEXUYBSOMPSSL-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO F[C@]1(c2ccccc2)CC[N@@H+](Cc2cccnc2Cl)C1 ZINC000801588596 1129930690 /nfs/dbraw/zinc/93/06/90/1129930690.db2.gz UIEXUYBSOMPSSL-MRXNPFEDSA-N 1 2 290.769 3.806 20 0 CHADLO CC[C@@H](NC(=O)c1cccc2[nH+]ccn21)c1ccc(C)cc1 ZINC000770415550 1129946407 /nfs/dbraw/zinc/94/64/07/1129946407.db2.gz LTMZWDCDHOPXSA-OAHLLOKOSA-N 1 2 293.370 3.524 20 0 CHADLO CCC(CC)N(CC(C)C)C(=O)c1cccc2[nH+]ccn21 ZINC000770435365 1129947632 /nfs/dbraw/zinc/94/76/32/1129947632.db2.gz QAFJAFXFJUBESK-UHFFFAOYSA-N 1 2 287.407 3.621 20 0 CHADLO Cc1cc(NCc2ccc3[nH]cnc3c2)ccc1[NH+](C)C ZINC000770527418 1129950561 /nfs/dbraw/zinc/95/05/61/1129950561.db2.gz IYAGXQADVFJJKR-UHFFFAOYSA-N 1 2 280.375 3.549 20 0 CHADLO Cc1cccc2c(C)cc(N3CC4CC(C4)C3)[nH+]c12 ZINC001156887972 1129963068 /nfs/dbraw/zinc/96/30/68/1129963068.db2.gz RWPZJMKRKZCILV-UHFFFAOYSA-N 1 2 252.361 3.698 20 0 CHADLO C[C@H](CC1CCCC1)C(=O)OCc1cccc2[nH+]ccn21 ZINC000770928239 1129963392 /nfs/dbraw/zinc/96/33/92/1129963392.db2.gz KXVGHGNBTITDMJ-CYBMUJFWSA-N 1 2 286.375 3.594 20 0 CHADLO CC(C)C[C@@H]1CC[N@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000746379525 1129972687 /nfs/dbraw/zinc/97/26/87/1129972687.db2.gz LRIZMCHROCEUEJ-NSHDSACASA-N 1 2 297.493 3.877 20 0 CHADLO CC(C)C[C@@H]1CC[N@@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000746379525 1129972689 /nfs/dbraw/zinc/97/26/89/1129972689.db2.gz LRIZMCHROCEUEJ-NSHDSACASA-N 1 2 297.493 3.877 20 0 CHADLO Cc1[nH]c(CNc2ncc(Cc3ccccc3)s2)[nH+]c1C ZINC000902058085 1129975817 /nfs/dbraw/zinc/97/58/17/1129975817.db2.gz ONUKKIVVFOJADG-UHFFFAOYSA-N 1 2 298.415 3.686 20 0 CHADLO CCc1onc(C)c1CNc1[nH+]c2ccccc2n1CC ZINC000902067385 1129976591 /nfs/dbraw/zinc/97/65/91/1129976591.db2.gz XGXOJDJCMIMTBB-UHFFFAOYSA-N 1 2 284.363 3.527 20 0 CHADLO CCCCCC[N@@H+]1CCOC[C@H]1CC(=O)c1cccs1 ZINC000771300664 1129976597 /nfs/dbraw/zinc/97/65/97/1129976597.db2.gz BZVGSHIGYUNHBJ-CQSZACIVSA-N 1 2 295.448 3.602 20 0 CHADLO CCCCCC[N@H+]1CCOC[C@H]1CC(=O)c1cccs1 ZINC000771300664 1129976598 /nfs/dbraw/zinc/97/65/98/1129976598.db2.gz BZVGSHIGYUNHBJ-CQSZACIVSA-N 1 2 295.448 3.602 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccc(-n3cccn3)cc1)C2 ZINC001137759183 1131413272 /nfs/dbraw/zinc/41/32/72/1131413272.db2.gz AECKMWTZMILCRC-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccc(-n3cccn3)cc1)C2 ZINC001137759183 1131413274 /nfs/dbraw/zinc/41/32/74/1131413274.db2.gz AECKMWTZMILCRC-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Cc1sc(CNc2cc[nH+]c(C)n2)cc1Br ZINC000902127881 1129982702 /nfs/dbraw/zinc/98/27/02/1129982702.db2.gz XZOJVJYHWUKSHC-UHFFFAOYSA-N 1 2 298.209 3.530 20 0 CHADLO Cc1cc(NCc2ccc(C(F)F)nc2)nc(C(C)C)[nH+]1 ZINC000902184832 1129986662 /nfs/dbraw/zinc/98/66/62/1129986662.db2.gz CRTNTOUKVRLPCF-UHFFFAOYSA-N 1 2 292.333 3.853 20 0 CHADLO CC(=CC(C)(C)C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000771970634 1129999156 /nfs/dbraw/zinc/99/91/56/1129999156.db2.gz ZGJZEBMZANNVKD-KTKRTIGZSA-N 1 2 271.364 3.802 20 0 CHADLO COc1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1OC ZINC001239616672 1130013528 /nfs/dbraw/zinc/01/35/28/1130013528.db2.gz CIVAHOCDIJJSPT-UHFFFAOYSA-N 1 2 294.354 3.616 20 0 CHADLO CC(=O)c1ccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001239631319 1130024142 /nfs/dbraw/zinc/02/41/42/1130024142.db2.gz VQQAKJWSRIGLBD-UHFFFAOYSA-N 1 2 262.312 3.946 20 0 CHADLO Cc1cc(NCc2cc(C)[nH+]c3c2CCCC3)nn1C(C)C ZINC000902602286 1130029709 /nfs/dbraw/zinc/02/97/09/1130029709.db2.gz ZSCYQBYGMVSKAC-UHFFFAOYSA-N 1 2 298.434 3.967 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+]CC3(C)CC3)cc2)C[C@H](C)O1 ZINC000785493403 1130036898 /nfs/dbraw/zinc/03/68/98/1130036898.db2.gz WVSYQAVQNATEOY-KBPBESRZSA-N 1 2 274.408 3.512 20 0 CHADLO CC(C)CC1(C(=O)OCCCc2[nH+]ccn2C)CCCC1 ZINC000802783676 1130039127 /nfs/dbraw/zinc/03/91/27/1130039127.db2.gz BVZIEMPJHGUKQH-UHFFFAOYSA-N 1 2 292.423 3.502 20 0 CHADLO Cc1ncc(COc2cc(C)[nH+]c3ccc(C)cc32)o1 ZINC000902693049 1130039264 /nfs/dbraw/zinc/03/92/64/1130039264.db2.gz LTOBEOBBHGGCMU-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO Cc1nnc(COc2cc(C)[nH+]c3ccc(C)cc32)s1 ZINC000902693058 1130039891 /nfs/dbraw/zinc/03/98/91/1130039891.db2.gz MPMZPWCIVDWQBA-UHFFFAOYSA-N 1 2 285.372 3.591 20 0 CHADLO CCc1ncc(COc2cc(C)[nH+]c3ccccc32)o1 ZINC000902734377 1130046827 /nfs/dbraw/zinc/04/68/27/1130046827.db2.gz SSSHVEWSOMDJOR-UHFFFAOYSA-N 1 2 268.316 3.673 20 0 CHADLO CCCOCCNc1cc(C2CCCCC2)[nH+]c(C)n1 ZINC001157090238 1130057859 /nfs/dbraw/zinc/05/78/59/1130057859.db2.gz VHODQQCMOCNVPP-UHFFFAOYSA-N 1 2 277.412 3.671 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cccc(C)c1C ZINC001239716266 1130070347 /nfs/dbraw/zinc/07/03/47/1130070347.db2.gz JAVJFTZIUYSNCN-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCc1ccccc1-c1cc2[nH]c[nH+]c2cc1OC ZINC001239743462 1130084520 /nfs/dbraw/zinc/08/45/20/1130084520.db2.gz HWQVABKKYTXUIE-UHFFFAOYSA-N 1 2 252.317 3.801 20 0 CHADLO CCc1ccccc1-c1ccc(Cn2cc[nH+]c2)cn1 ZINC001239744427 1130085282 /nfs/dbraw/zinc/08/52/82/1130085282.db2.gz ANEFIPSUBHXLPK-UHFFFAOYSA-N 1 2 263.344 3.556 20 0 CHADLO COc1ccc(C)cc1-c1cccc2[nH+]c(C)cn21 ZINC001239768072 1130099876 /nfs/dbraw/zinc/09/98/76/1130099876.db2.gz ORMNLFOCHYXEEV-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2ccc(C(F)(F)F)cn2)o1 ZINC000903011378 1130100178 /nfs/dbraw/zinc/10/01/78/1130100178.db2.gz DPSLNAGDSBOBKB-VIFPVBQESA-N 1 2 299.296 3.502 20 0 CHADLO COc1cccc(-c2ccccc2Cn2cc[nH+]c2)c1OC ZINC001239816631 1130121241 /nfs/dbraw/zinc/12/12/41/1130121241.db2.gz SDKQVUKQHRLRFV-UHFFFAOYSA-N 1 2 294.354 3.616 20 0 CHADLO COc1cccc(-c2ccc(-c3c[nH+]cn3C)cc2)c1OC ZINC001239818122 1130121825 /nfs/dbraw/zinc/12/18/25/1130121825.db2.gz JFPBDMYXTLJLFA-UHFFFAOYSA-N 1 2 294.354 3.771 20 0 CHADLO COc1ccc2cc(-c3nc4[nH]ccc4c[nH+]3)ccc2c1 ZINC001239839238 1130131105 /nfs/dbraw/zinc/13/11/05/1130131105.db2.gz XRIVLADUNALHEM-UHFFFAOYSA-N 1 2 275.311 3.738 20 0 CHADLO CNc1cc(-c2ccc3cc(OC)ccc3c2)cc[nH+]1 ZINC001239840607 1130132275 /nfs/dbraw/zinc/13/22/75/1130132275.db2.gz IXRMBHXTCFZPBN-UHFFFAOYSA-N 1 2 264.328 3.952 20 0 CHADLO FC(F)(F)c1nc(Cl)ccc1C[NH+]1C2CCC1CC2 ZINC000787166185 1130135311 /nfs/dbraw/zinc/13/53/11/1130135311.db2.gz LHCOFMOXGOTYDC-UHFFFAOYSA-N 1 2 290.716 3.881 20 0 CHADLO COc1c(Cl)cccc1C[NH2+][C@@H](C)c1csc(C)n1 ZINC000903247385 1130136389 /nfs/dbraw/zinc/13/63/89/1130136389.db2.gz VKCUUESITXGQRW-VIFPVBQESA-N 1 2 296.823 3.964 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(Cl)cs2)C(C)(C)CO1 ZINC000787173360 1130137449 /nfs/dbraw/zinc/13/74/49/1130137449.db2.gz HNHWQFNJKYOLKK-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(Cl)cs2)C(C)(C)CO1 ZINC000787173360 1130137452 /nfs/dbraw/zinc/13/74/52/1130137452.db2.gz HNHWQFNJKYOLKK-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO F[C@@H]1COc2ccccc2[C@H]1[NH2+][C@H]1CCCc2ncccc21 ZINC000903265444 1130139618 /nfs/dbraw/zinc/13/96/18/1130139618.db2.gz DVUBQRSNYZYCBJ-UWWQBHOKSA-N 1 2 298.361 3.520 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@@H+]1C/C(Cl)=C/Cl ZINC000787321018 1130147154 /nfs/dbraw/zinc/14/71/54/1130147154.db2.gz ZDLQBCUJTQAJEW-GRKOMRHMSA-N 1 2 276.129 3.968 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@H+]1C/C(Cl)=C/Cl ZINC000787321018 1130147155 /nfs/dbraw/zinc/14/71/55/1130147155.db2.gz ZDLQBCUJTQAJEW-GRKOMRHMSA-N 1 2 276.129 3.968 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000787417983 1130149267 /nfs/dbraw/zinc/14/92/67/1130149267.db2.gz RTYCMELTORZPQR-NSHDSACASA-N 1 2 288.313 3.715 20 0 CHADLO CC1(C)[C@@H](C(F)(F)F)CC[N@H+]1Cc1coc(C2CC2)n1 ZINC000787417983 1130149270 /nfs/dbraw/zinc/14/92/70/1130149270.db2.gz RTYCMELTORZPQR-NSHDSACASA-N 1 2 288.313 3.715 20 0 CHADLO C[C@H]([NH2+][C@@H]1COCc2cccc(Cl)c21)c1cncs1 ZINC000903312593 1130151997 /nfs/dbraw/zinc/15/19/97/1130151997.db2.gz WYTMKBNHCDSLDH-JOYOIKCWSA-N 1 2 294.807 3.719 20 0 CHADLO CC[C@H](C)[C@H](C(=O)OCc1cc[nH+]c(N)c1)c1ccccc1 ZINC000787562805 1130154403 /nfs/dbraw/zinc/15/44/03/1130154403.db2.gz JYLYNTBOHIDHKY-GUYCJALGSA-N 1 2 298.386 3.537 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ncccn1)C1CC1)c1ccccc1Cl ZINC000903333698 1130159769 /nfs/dbraw/zinc/15/97/69/1130159769.db2.gz SYCWOZGCIRGDTH-ABAIWWIYSA-N 1 2 287.794 3.932 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(C(=O)NC(C)(C)C)cc1 ZINC001239992352 1130184030 /nfs/dbraw/zinc/18/40/30/1130184030.db2.gz ADNQXFWOWZVIGE-UHFFFAOYSA-N 1 2 282.387 3.894 20 0 CHADLO Clc1cnc(C[N@@H+]2CCCCC[C@@H]2c2ccco2)cn1 ZINC000788482607 1130192819 /nfs/dbraw/zinc/19/28/19/1130192819.db2.gz XJFRPLAHTDDUSB-CYBMUJFWSA-N 1 2 291.782 3.840 20 0 CHADLO Clc1cnc(C[N@H+]2CCCCC[C@@H]2c2ccco2)cn1 ZINC000788482607 1130192820 /nfs/dbraw/zinc/19/28/20/1130192820.db2.gz XJFRPLAHTDDUSB-CYBMUJFWSA-N 1 2 291.782 3.840 20 0 CHADLO CCCCNC(=O)c1cccc(-c2cccn3cc[nH+]c23)c1 ZINC001240085748 1130210869 /nfs/dbraw/zinc/21/08/69/1130210869.db2.gz NKMMBDHSZKNMPV-UHFFFAOYSA-N 1 2 293.370 3.531 20 0 CHADLO CCCCNC(=O)c1cccc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240087579 1130211034 /nfs/dbraw/zinc/21/10/34/1130211034.db2.gz PQPNPRVSBKVQMR-UHFFFAOYSA-N 1 2 293.370 3.531 20 0 CHADLO Cc1occc1-c1c[nH+]c(N2CCCCC2)cc1C ZINC001243061767 1130214018 /nfs/dbraw/zinc/21/40/18/1130214018.db2.gz METBTNCUAUGFHS-UHFFFAOYSA-N 1 2 256.349 3.949 20 0 CHADLO Fc1ccc2[nH]ccc2c1-c1cc(-n2cc[nH+]c2)ccn1 ZINC001240210101 1130231117 /nfs/dbraw/zinc/23/11/17/1130231117.db2.gz KFOUXFCHNLDZGY-UHFFFAOYSA-N 1 2 278.290 3.555 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)nc(-c2ccccc2)n1 ZINC001240213567 1130232806 /nfs/dbraw/zinc/23/28/06/1130232806.db2.gz FSMRMFQXYGQFKV-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO COc1ccc2nc(-c3ccc4[nH+]ccn4c3)cc(C)c2c1 ZINC001240213352 1130232893 /nfs/dbraw/zinc/23/28/93/1130232893.db2.gz DFURQVMYOGGWEK-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO Cc1cc2ccccc2c(-c2ccc3[nH+]ccn3c2)n1 ZINC001240215388 1130234953 /nfs/dbraw/zinc/23/49/53/1130234953.db2.gz VLVAVLYBLBQFJV-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCCc1cc(-c2ccc3[nH+]ccn3c2)nc(CCC)n1 ZINC001240217118 1130235343 /nfs/dbraw/zinc/23/53/43/1130235343.db2.gz BVLUIEVQBNSKES-UHFFFAOYSA-N 1 2 280.375 3.696 20 0 CHADLO Fc1c2cc[nH]c2ccc1-c1ccc2[nH+]ccn2c1 ZINC001240218035 1130235770 /nfs/dbraw/zinc/23/57/70/1130235770.db2.gz XHQOSNUXCSRUEX-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO c1ccn(-c2ccc(-c3ccc4[nH+]ccn4c3)cc2)c1 ZINC001240217782 1130236292 /nfs/dbraw/zinc/23/62/92/1130236292.db2.gz OFVBVXFRICNVED-UHFFFAOYSA-N 1 2 259.312 3.792 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)ccc1OC(F)F ZINC001240218332 1130236652 /nfs/dbraw/zinc/23/66/52/1130236652.db2.gz AZBKLSBCBSLASU-UHFFFAOYSA-N 1 2 274.270 3.911 20 0 CHADLO c1coc(-c2cccc(-c3ccc4[nH+]ccn4c3)c2)n1 ZINC001240219393 1130237120 /nfs/dbraw/zinc/23/71/20/1130237120.db2.gz KEUKQKSSVXANJX-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO COc1ccc2ncc(-c3ccc4[nH+]ccn4c3)cc2c1 ZINC001240218426 1130237697 /nfs/dbraw/zinc/23/76/97/1130237697.db2.gz NEYQJBKIXDLVHP-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC[C@H](C)c1ccccc1OC(=O)CCCn1cc[nH+]c1 ZINC000748604442 1130237956 /nfs/dbraw/zinc/23/79/56/1130237956.db2.gz BMMKEELDNFRIHG-AWEZNQCLSA-N 1 2 286.375 3.782 20 0 CHADLO c1cnn(-c2cccc(-c3ccc(-n4cc[nH+]c4)cc3)c2)c1 ZINC001240248142 1130246056 /nfs/dbraw/zinc/24/60/56/1130246056.db2.gz UPHSJQCTQISBLP-UHFFFAOYSA-N 1 2 286.338 3.725 20 0 CHADLO Cc1cc(C[N@@H+]2CC(C)(C)[C@H]2c2ccccc2)no1 ZINC000748832516 1130251810 /nfs/dbraw/zinc/25/18/10/1130251810.db2.gz IFMHPLMQGDNWQO-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1cc(C[N@H+]2CC(C)(C)[C@H]2c2ccccc2)no1 ZINC000748832516 1130251814 /nfs/dbraw/zinc/25/18/14/1130251814.db2.gz IFMHPLMQGDNWQO-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO FC(F)(F)c1cnc(C[NH+]2CCCCCCC2)s1 ZINC000789722403 1130273984 /nfs/dbraw/zinc/27/39/84/1130273984.db2.gz KRGVLMGMAOGKPJ-UHFFFAOYSA-N 1 2 278.343 3.928 20 0 CHADLO Cc1cn2cc(-c3cnn(CCC(C)C)c3)ccc2[nH+]1 ZINC001240418326 1130300644 /nfs/dbraw/zinc/30/06/44/1130300644.db2.gz MNRKRYLXIIWUCS-UHFFFAOYSA-N 1 2 268.364 3.552 20 0 CHADLO CC1CC([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)C1 ZINC000790505401 1130317527 /nfs/dbraw/zinc/31/75/27/1130317527.db2.gz XWTIXZQHMILXGU-MHXRYWECSA-N 1 2 274.408 3.511 20 0 CHADLO C[C@H]1CCC[C@@H]1[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790504711 1130317908 /nfs/dbraw/zinc/31/79/08/1130317908.db2.gz IUMZWJNGFGJWAA-AFIMGQEJSA-N 1 2 288.435 3.901 20 0 CHADLO Cc1nn(C)c2ccc(-c3cn4cc[nH+]c4cc3C)cc12 ZINC001240459644 1130319402 /nfs/dbraw/zinc/31/94/02/1130319402.db2.gz ZUMYYLNOMQSSAF-UHFFFAOYSA-N 1 2 276.343 3.505 20 0 CHADLO Cc1ccc2[nH+]cc(CN3CCCC[C@H]3C(F)(F)F)n2c1 ZINC001137308703 1130322690 /nfs/dbraw/zinc/32/26/90/1130322690.db2.gz ADCNYSYVLSZIEB-ZDUSSCGKSA-N 1 2 297.324 3.560 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2cnc(C)s2)cs1 ZINC000677755579 1130329481 /nfs/dbraw/zinc/32/94/81/1130329481.db2.gz HJYYCWOPYVNKNE-YUMQZZPRSA-N 1 2 267.423 3.628 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)nc(C(F)(F)F)c1 ZINC001240497526 1130330011 /nfs/dbraw/zinc/33/00/11/1130330011.db2.gz RODFWLIEDWHITC-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Cc1cc(C)c2ccc(-c3ccn4cc[nH+]c4c3)nc2n1 ZINC001240504747 1130332869 /nfs/dbraw/zinc/33/28/69/1130332869.db2.gz WWWOPFJBVUKNKK-UHFFFAOYSA-N 1 2 274.327 3.561 20 0 CHADLO Fc1ccc(-c2ccc(-c3ccn4cc[nH+]c4c3)nn2)cc1 ZINC001240503507 1130333741 /nfs/dbraw/zinc/33/37/41/1130333741.db2.gz COKNEFWITJHXBJ-UHFFFAOYSA-N 1 2 290.301 3.597 20 0 CHADLO c1cn2ccc(-c3ccccc3-c3cnco3)cc2[nH+]1 ZINC001240509090 1130334178 /nfs/dbraw/zinc/33/41/78/1130334178.db2.gz QPWGSWUPCKPUIC-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO COc1nccc2cc(-c3ccn4cc[nH+]c4c3)ccc21 ZINC001240509168 1130334585 /nfs/dbraw/zinc/33/45/85/1130334585.db2.gz UHBXFYFGTDUTEO-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC(C)Oc1ccc(F)cc1-c1ccn2cc[nH+]c2c1 ZINC001240509196 1130334818 /nfs/dbraw/zinc/33/48/18/1130334818.db2.gz UYEIWYGZUIETSK-UHFFFAOYSA-N 1 2 270.307 3.928 20 0 CHADLO COc1cc(-c2ccn3cc[nH+]c3c2)cc2ncccc21 ZINC001240511599 1130335403 /nfs/dbraw/zinc/33/54/03/1130335403.db2.gz IXDXBAUFTKDYGC-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC1(C)COc2ccc(-c3ccn4cc[nH+]c4c3)cc21 ZINC001240513121 1130337159 /nfs/dbraw/zinc/33/71/59/1130337159.db2.gz HQSYEFZYRQZWKQ-UHFFFAOYSA-N 1 2 264.328 3.671 20 0 CHADLO Fc1ccc2c(cncc2-c2ccn3cc[nH+]c3c2)c1 ZINC001240512699 1130337420 /nfs/dbraw/zinc/33/74/20/1130337420.db2.gz XRKGLBXBCMWKCX-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO CC1(C)CC(=O)Nc2c(-c3ccn4cc[nH+]c4c3)cccc21 ZINC001240518599 1130340515 /nfs/dbraw/zinc/34/05/15/1130340515.db2.gz RBEDUGPSEQENEE-UHFFFAOYSA-N 1 2 291.354 3.621 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1c(Cl)ccnc1Cl ZINC001139480667 1130350694 /nfs/dbraw/zinc/35/06/94/1130350694.db2.gz KLCGQDFEHHGZMU-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1c(Cl)ccnc1Cl ZINC001139480667 1130350697 /nfs/dbraw/zinc/35/06/97/1130350697.db2.gz KLCGQDFEHHGZMU-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1[nH]nc2ccccc21)C1CC1 ZINC000678126849 1130353714 /nfs/dbraw/zinc/35/37/14/1130353714.db2.gz WLNQMMIXNKFSIR-UHFFFAOYSA-N 1 2 295.361 3.867 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1[nH]nc2ccccc21)C1CC1 ZINC000678126849 1130353721 /nfs/dbraw/zinc/35/37/21/1130353721.db2.gz WLNQMMIXNKFSIR-UHFFFAOYSA-N 1 2 295.361 3.867 20 0 CHADLO c1c[nH+]c(-c2ccc(-c3ccc4c[nH]nc4c3)cc2)[nH]1 ZINC001240558373 1130356638 /nfs/dbraw/zinc/35/66/38/1130356638.db2.gz NBYGYDHOKJTONK-UHFFFAOYSA-N 1 2 260.300 3.620 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)C1CCCCC1 ZINC000791267162 1130359809 /nfs/dbraw/zinc/35/98/09/1130359809.db2.gz VBMPUNNBMHTYQM-UHFFFAOYSA-N 1 2 298.386 3.804 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)c1cccs1 ZINC000791270847 1130360509 /nfs/dbraw/zinc/36/05/09/1130360509.db2.gz ZMTJQMDQSMCEBH-UHFFFAOYSA-N 1 2 298.367 3.599 20 0 CHADLO CCCOc1ccccc1NCc1cccc2[nH+]ccn21 ZINC000678302361 1130395075 /nfs/dbraw/zinc/39/50/75/1130395075.db2.gz DICWBQVLBXWVQM-UHFFFAOYSA-N 1 2 281.359 3.735 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cnn([C@@H]3CCOC3)c2)c1 ZINC001240645141 1130399104 /nfs/dbraw/zinc/39/91/04/1130399104.db2.gz GRVZQHTUBJJCHH-QGZVFWFLSA-N 1 2 299.418 3.666 20 0 CHADLO COc1cc(-c2ccc3[nH+]c(C)cn3c2)cc(F)c1F ZINC001240661505 1130404555 /nfs/dbraw/zinc/40/45/55/1130404555.db2.gz AZIFHWZSZJXCDQ-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO C[C@@H]1C[C@@H](CC(=O)OCc2cc[nH+]c(N)c2)CC(C)(C)C1 ZINC000791837710 1130413258 /nfs/dbraw/zinc/41/32/58/1130413258.db2.gz DAOKBOKCSWNZMJ-OCCSQVGLSA-N 1 2 290.407 3.560 20 0 CHADLO CC[C@H](CC1CCCCC1)C(=O)OCc1cc[nH+]c(N)c1 ZINC000791907868 1130417433 /nfs/dbraw/zinc/41/74/33/1130417433.db2.gz RRNQWGNBPAZTQO-OAHLLOKOSA-N 1 2 290.407 3.704 20 0 CHADLO FC(F)c1cccc(-c2cc[nH+]c(N3CCOCC3)c2)c1 ZINC001240692166 1130420372 /nfs/dbraw/zinc/42/03/72/1130420372.db2.gz FMZSMUMSTCPEDV-UHFFFAOYSA-N 1 2 290.313 3.523 20 0 CHADLO c1cn2c(cccc2CNc2ccc(C3CC3)cc2)[nH+]1 ZINC000678498925 1130423712 /nfs/dbraw/zinc/42/37/12/1130423712.db2.gz PMKLHGGNWKQHCQ-UHFFFAOYSA-N 1 2 263.344 3.824 20 0 CHADLO O=C(Nc1ccc(C2CC2)cc1)Nc1ccc2[nH+]ccn2c1 ZINC000678534567 1130424412 /nfs/dbraw/zinc/42/44/12/1130424412.db2.gz FWRUSIIJSWAMSY-UHFFFAOYSA-N 1 2 292.342 3.856 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2c1cccc2Cl)c1ccc(F)cn1 ZINC000678597892 1130436400 /nfs/dbraw/zinc/43/64/00/1130436400.db2.gz JJENIHCIOBCQSB-OTYXRUKQSA-N 1 2 292.741 3.658 20 0 CHADLO CN(C)c1cc(CN2CC3(CCC3)c3ccccc32)cc[nH+]1 ZINC000792316683 1130444296 /nfs/dbraw/zinc/44/42/96/1130444296.db2.gz IZOHAGRILAQAKI-UHFFFAOYSA-N 1 2 293.414 3.590 20 0 CHADLO COC1(C)CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)CC1 ZINC000678718445 1130447286 /nfs/dbraw/zinc/44/72/86/1130447286.db2.gz XDWRZEGJUIYZTL-UHFFFAOYSA-N 1 2 291.439 3.729 20 0 CHADLO CCc1c(C)cc(-c2nc3[nH]ccc3c[nH+]2)cc1C ZINC001240738338 1130448034 /nfs/dbraw/zinc/44/80/34/1130448034.db2.gz DTZUAZVDIMGNKN-UHFFFAOYSA-N 1 2 251.333 3.756 20 0 CHADLO CCCc1noc(C[NH2+][C@H](CC2CC2)c2ccccc2)n1 ZINC000678776124 1130452939 /nfs/dbraw/zinc/45/29/39/1130452939.db2.gz JEQRPUVMUGLCHT-OAHLLOKOSA-N 1 2 285.391 3.653 20 0 CHADLO c1ccc([C@@H](CC2CC2)[NH2+]Cc2nc(C3CC3)no2)cc1 ZINC000678776976 1130454242 /nfs/dbraw/zinc/45/42/42/1130454242.db2.gz PMGFCAGPNSNJIR-OAHLLOKOSA-N 1 2 283.375 3.578 20 0 CHADLO CCOC(=O)C[N@H+](CC(C)C)[C@@H](C)c1ccccc1Cl ZINC001170245774 1130467087 /nfs/dbraw/zinc/46/70/87/1130467087.db2.gz YJRVGQCNLWUKBY-ZDUSSCGKSA-N 1 2 297.826 3.922 20 0 CHADLO CCOC(=O)C[N@@H+](CC(C)C)[C@@H](C)c1ccccc1Cl ZINC001170245774 1130467091 /nfs/dbraw/zinc/46/70/91/1130467091.db2.gz YJRVGQCNLWUKBY-ZDUSSCGKSA-N 1 2 297.826 3.922 20 0 CHADLO CC(C)Sc1ccc([C@H](C)[NH2+]Cc2csnn2)cc1 ZINC000678931075 1130473958 /nfs/dbraw/zinc/47/39/58/1130473958.db2.gz IYUKADFDCIJYHD-NSHDSACASA-N 1 2 293.461 3.889 20 0 CHADLO COc1ccc[nH+]c1NCc1cccc(-c2cccnc2)c1 ZINC000678941615 1130476179 /nfs/dbraw/zinc/47/61/79/1130476179.db2.gz SFRGCSVPSJZVAH-UHFFFAOYSA-N 1 2 291.354 3.764 20 0 CHADLO CC(C)Oc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cn1 ZINC001240810002 1130482850 /nfs/dbraw/zinc/48/28/50/1130482850.db2.gz SEZGMJLNINZLMY-UHFFFAOYSA-N 1 2 293.370 3.781 20 0 CHADLO CC(C)Oc1ccc(-c2ccc(-c3c[nH+]cn3C)cc2)cn1 ZINC001240811145 1130484118 /nfs/dbraw/zinc/48/41/18/1130484118.db2.gz OAWSGKBYIUFNPT-UHFFFAOYSA-N 1 2 293.370 3.936 20 0 CHADLO CN(C)c1cc(-c2ccc(C(F)(F)F)cc2)cc[nH+]1 ZINC001240820220 1130486105 /nfs/dbraw/zinc/48/61/05/1130486105.db2.gz FDYPKCPWTKUWDD-UHFFFAOYSA-N 1 2 266.266 3.833 20 0 CHADLO CCC[N@H+](CC1(CC(=O)OC)CC1)c1ccc(CC)cc1 ZINC001170378058 1130489566 /nfs/dbraw/zinc/48/95/66/1130489566.db2.gz VGYKRIQVBKNNMQ-UHFFFAOYSA-N 1 2 289.419 3.809 20 0 CHADLO CCC[N@@H+](CC1(CC(=O)OC)CC1)c1ccc(CC)cc1 ZINC001170378058 1130489568 /nfs/dbraw/zinc/48/95/68/1130489568.db2.gz VGYKRIQVBKNNMQ-UHFFFAOYSA-N 1 2 289.419 3.809 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+](CC(F)F)CC2)cc1 ZINC000679224473 1130503472 /nfs/dbraw/zinc/50/34/72/1130503472.db2.gz IYNXPUSJJXWARS-NSHDSACASA-N 1 2 257.299 3.660 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+](CC(F)F)CC2)cc1 ZINC000679224473 1130503475 /nfs/dbraw/zinc/50/34/75/1130503475.db2.gz IYNXPUSJJXWARS-NSHDSACASA-N 1 2 257.299 3.660 20 0 CHADLO c1ccc2cc(-c3c[nH+]c4c(c3)CCCN4)ccc2c1 ZINC001240910606 1130512711 /nfs/dbraw/zinc/51/27/11/1130512711.db2.gz QCLCJMZXTPKNEA-UHFFFAOYSA-N 1 2 260.340 3.903 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H]1CCCO[C@H]1c1ccc(C)cc1 ZINC000679345478 1130517958 /nfs/dbraw/zinc/51/79/58/1130517958.db2.gz SSZXDLQYSOZOGA-BVGQSLNGSA-N 1 2 299.418 3.597 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](c1ccc(F)c(C)c1)C1CC1 ZINC000679361973 1130522260 /nfs/dbraw/zinc/52/22/60/1130522260.db2.gz VZAADFLPRQLDQE-PIGZYNQJSA-N 1 2 287.382 3.968 20 0 CHADLO Cc1cn2cc(-c3cccc4ncccc43)ccc2[nH+]1 ZINC001240938308 1130525455 /nfs/dbraw/zinc/52/54/55/1130525455.db2.gz WVYLVZMUWWWJAX-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cn1ccc2c[nH+]c(-c3ccc(COC(C)(C)C)cc3)nc21 ZINC001240940182 1130526211 /nfs/dbraw/zinc/52/62/11/1130526211.db2.gz FYVZXKIJRJRWOC-UHFFFAOYSA-N 1 2 295.386 3.950 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1cccc(C(=O)OC(C)C)c1 ZINC001240947758 1130529905 /nfs/dbraw/zinc/52/99/05/1130529905.db2.gz VLTVXXCILDPXDU-UHFFFAOYSA-N 1 2 269.344 3.931 20 0 CHADLO Clc1cccc(C[N@@H+]2CCCC[C@H]2c2cccnc2)n1 ZINC001139551044 1130534582 /nfs/dbraw/zinc/53/45/82/1130534582.db2.gz VLXBHTTYSVXFHQ-HNNXBMFYSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1cccc(C[N@H+]2CCCC[C@H]2c2cccnc2)n1 ZINC001139551044 1130534585 /nfs/dbraw/zinc/53/45/85/1130534585.db2.gz VLXBHTTYSVXFHQ-HNNXBMFYSA-N 1 2 287.794 3.857 20 0 CHADLO CC[C@@H](CC1CCCCC1)C(=O)OCCc1c[nH+]cn1C ZINC000793240289 1130535392 /nfs/dbraw/zinc/53/53/92/1130535392.db2.gz YVIHZHRAYMQKOE-HNNXBMFYSA-N 1 2 292.423 3.502 20 0 CHADLO Cc1nnc(C[N@@H+]2CCC[C@H]2/C=C\c2ccccc2)s1 ZINC000679544528 1130538345 /nfs/dbraw/zinc/53/83/45/1130538345.db2.gz GSEWPRFPNVVULI-KVPUOBJLSA-N 1 2 285.416 3.524 20 0 CHADLO Cc1nnc(C[N@H+]2CCC[C@H]2/C=C\c2ccccc2)s1 ZINC000679544528 1130538347 /nfs/dbraw/zinc/53/83/47/1130538347.db2.gz GSEWPRFPNVVULI-KVPUOBJLSA-N 1 2 285.416 3.524 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(Cl)c(C)c1 ZINC000679581423 1130542264 /nfs/dbraw/zinc/54/22/64/1130542264.db2.gz FYSQJFJSUKOSIQ-UHFFFAOYSA-N 1 2 262.740 3.664 20 0 CHADLO Fc1cc(Cl)ccc1C[NH+]1CCC(F)(F)CC1 ZINC000793361085 1130545266 /nfs/dbraw/zinc/54/52/66/1130545266.db2.gz CULDIOPYRBOTHC-UHFFFAOYSA-N 1 2 263.690 3.710 20 0 CHADLO Cc1cc(Cl)c(C(=O)Nc2ccc3[nH+]ccn3c2)cc1C ZINC000679656954 1130549981 /nfs/dbraw/zinc/54/99/81/1130549981.db2.gz UIPPJSJNYDZNRC-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO FC(F)O[C@H]1CCC[N@H+](Cc2cscc2Cl)C1 ZINC000679740380 1130554214 /nfs/dbraw/zinc/55/42/14/1130554214.db2.gz MDEMWVOATNIQKR-VIFPVBQESA-N 1 2 281.755 3.605 20 0 CHADLO FC(F)O[C@H]1CCC[N@@H+](Cc2cscc2Cl)C1 ZINC000679740380 1130554218 /nfs/dbraw/zinc/55/42/18/1130554218.db2.gz MDEMWVOATNIQKR-VIFPVBQESA-N 1 2 281.755 3.605 20 0 CHADLO Cc1cn2c(n1)C[N@H+]([C@@H](C)c1cccc3ccccc31)CC2 ZINC000679869136 1130561303 /nfs/dbraw/zinc/56/13/03/1130561303.db2.gz GVTLHFXTFDFQBS-HNNXBMFYSA-N 1 2 291.398 3.922 20 0 CHADLO Cc1cn2c(n1)C[N@@H+]([C@@H](C)c1cccc3ccccc31)CC2 ZINC000679869136 1130561307 /nfs/dbraw/zinc/56/13/07/1130561307.db2.gz GVTLHFXTFDFQBS-HNNXBMFYSA-N 1 2 291.398 3.922 20 0 CHADLO COc1cccc(F)c1-c1cc(F)c(C[NH+](C)C)c(F)c1 ZINC001241014291 1130567512 /nfs/dbraw/zinc/56/75/12/1130567512.db2.gz VRAAAGYVTWXPCZ-UHFFFAOYSA-N 1 2 295.304 3.841 20 0 CHADLO COc1c(C)cc(-c2ccc(Cn3cc[nH+]c3)cn2)cc1C ZINC001241017162 1130569202 /nfs/dbraw/zinc/56/92/02/1130569202.db2.gz RJKOXMZXEFGNPY-UHFFFAOYSA-N 1 2 293.370 3.619 20 0 CHADLO CC(C)Oc1ccc([C@@H](C)[NH2+]Cc2ccon2)cc1Cl ZINC000793531584 1130572094 /nfs/dbraw/zinc/57/20/94/1130572094.db2.gz DCXKRJFNFREBJV-LLVKDONJSA-N 1 2 294.782 3.966 20 0 CHADLO Cc1cnc(=S)n(C[N@@H+]2CC(C)(C)[C@H]2c2ccccc2)c1 ZINC000758306130 1130604714 /nfs/dbraw/zinc/60/47/14/1130604714.db2.gz NPDBGKXLSGJQOM-OAHLLOKOSA-N 1 2 299.443 3.962 20 0 CHADLO Cc1cnc(=S)n(C[N@H+]2CC(C)(C)[C@H]2c2ccccc2)c1 ZINC000758306130 1130604718 /nfs/dbraw/zinc/60/47/18/1130604718.db2.gz NPDBGKXLSGJQOM-OAHLLOKOSA-N 1 2 299.443 3.962 20 0 CHADLO C[C@@H]([NH2+]Cc1ccnc(Cl)c1Cl)c1ccccn1 ZINC000811471974 1130614304 /nfs/dbraw/zinc/61/43/04/1130614304.db2.gz PYIYBLHGZGOXSE-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO CN(C)c1ccc([NH2+]CC2Cc3ccccc3C2)cc1 ZINC000811470094 1130614498 /nfs/dbraw/zinc/61/44/98/1130614498.db2.gz SMHFQDHRTJQZAP-UHFFFAOYSA-N 1 2 266.388 3.580 20 0 CHADLO C[NH+](C)c1ccc(NCC2Cc3ccccc3C2)cc1 ZINC000811470094 1130614499 /nfs/dbraw/zinc/61/44/99/1130614499.db2.gz SMHFQDHRTJQZAP-UHFFFAOYSA-N 1 2 266.388 3.580 20 0 CHADLO Cc1cc(-c2cc[nH+]c(N)c2)c(Cl)cc1Cl ZINC001244750670 1130625321 /nfs/dbraw/zinc/62/53/21/1130625321.db2.gz MFKZDHWXJHEBMX-UHFFFAOYSA-N 1 2 253.132 3.946 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC001234406063 1130641744 /nfs/dbraw/zinc/64/17/44/1130641744.db2.gz YSXAXDDHPZRDQB-MRVPVSSYSA-N 1 2 261.699 3.536 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cc(Cl)ccc1OC(F)F ZINC001234406063 1130641747 /nfs/dbraw/zinc/64/17/47/1130641747.db2.gz YSXAXDDHPZRDQB-MRVPVSSYSA-N 1 2 261.699 3.536 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H](C)c2ccccn2)cc1 ZINC001234588246 1130659264 /nfs/dbraw/zinc/65/92/64/1130659264.db2.gz JUHVJHTYNJURSE-LBPRGKRZSA-N 1 2 270.332 3.583 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H](C)C[C@H]3CCOC3)cc2)c1C ZINC000812785114 1130714193 /nfs/dbraw/zinc/71/41/93/1130714193.db2.gz FVXXNDJEASGLOL-CZUORRHYSA-N 1 2 299.418 3.716 20 0 CHADLO O=C1CC[NH+](Cc2cc(-c3ccsc3)cs2)CC1 ZINC001235175199 1130717329 /nfs/dbraw/zinc/71/73/29/1130717329.db2.gz GXLFZWMAGJMSKG-UHFFFAOYSA-N 1 2 277.414 3.642 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(-c3ccsc3)cs2)CCC1=O ZINC001235175368 1130718192 /nfs/dbraw/zinc/71/81/92/1130718192.db2.gz IBCINIRMAGMUMO-LLVKDONJSA-N 1 2 291.441 3.888 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(-c3ccsc3)cs2)CCC1=O ZINC001235175368 1130718195 /nfs/dbraw/zinc/71/81/95/1130718195.db2.gz IBCINIRMAGMUMO-LLVKDONJSA-N 1 2 291.441 3.888 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)CCC1CCCCCC1 ZINC001133456598 1130719545 /nfs/dbraw/zinc/71/95/45/1130719545.db2.gz VIEMZPOFMICLCS-UHFFFAOYSA-N 1 2 274.408 3.809 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)C[C@@H](C)C1CCCCC1 ZINC001133456611 1130719599 /nfs/dbraw/zinc/71/95/99/1130719599.db2.gz VQVDCYGCUKDQGH-GFCCVEGCSA-N 1 2 274.408 3.665 20 0 CHADLO Cc1cc(Cl)c(C[N@@H+](C)[C@@H]2CCCOC2)c(Cl)c1 ZINC001235184062 1130721704 /nfs/dbraw/zinc/72/17/04/1130721704.db2.gz WXJQIUFRSNVDBZ-LLVKDONJSA-N 1 2 288.218 3.913 20 0 CHADLO Cc1cc(Cl)c(C[N@H+](C)[C@@H]2CCCOC2)c(Cl)c1 ZINC001235184062 1130721709 /nfs/dbraw/zinc/72/17/09/1130721709.db2.gz WXJQIUFRSNVDBZ-LLVKDONJSA-N 1 2 288.218 3.913 20 0 CHADLO C[N@H+](Cc1nc2ccccc2cc1Br)C1CC1 ZINC001235366867 1130737214 /nfs/dbraw/zinc/73/72/14/1130737214.db2.gz GKKXGEJXRJZOBD-UHFFFAOYSA-N 1 2 291.192 3.592 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2cc1Br)C1CC1 ZINC001235366867 1130737220 /nfs/dbraw/zinc/73/72/20/1130737220.db2.gz GKKXGEJXRJZOBD-UHFFFAOYSA-N 1 2 291.192 3.592 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cncc(F)c2Cl)cc1 ZINC001235493455 1130748290 /nfs/dbraw/zinc/74/82/90/1130748290.db2.gz BHQCCGMDXLTUJU-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cncc(F)c2Cl)cc1 ZINC001235493455 1130748298 /nfs/dbraw/zinc/74/82/98/1130748298.db2.gz BHQCCGMDXLTUJU-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)c1 ZINC001235534921 1130753788 /nfs/dbraw/zinc/75/37/88/1130753788.db2.gz LMNAKLFATWJJDS-CVEARBPZSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@@H]32)c1 ZINC001235534921 1130753794 /nfs/dbraw/zinc/75/37/94/1130753794.db2.gz LMNAKLFATWJJDS-CVEARBPZSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1[nH+]cn(C)c1CN(C)Cc1c(Cl)cccc1Cl ZINC001235551137 1130753881 /nfs/dbraw/zinc/75/38/81/1130753881.db2.gz CPIYDUWZLMUQDR-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO CCN(Cc1c(C)[nH+]cn1C)Cc1c(F)cccc1Cl ZINC001235546507 1130754291 /nfs/dbraw/zinc/75/42/91/1130754291.db2.gz CYUPSUNJXQAICX-UHFFFAOYSA-N 1 2 295.789 3.543 20 0 CHADLO Cc1ccnc(C[N@H+](C)Cc2ccc(F)cc2)c1Cl ZINC001235575560 1130755621 /nfs/dbraw/zinc/75/56/21/1130755621.db2.gz PVDBEUUTVJMDBD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccnc(C[N@@H+](C)Cc2ccc(F)cc2)c1Cl ZINC001235575560 1130755626 /nfs/dbraw/zinc/75/56/26/1130755626.db2.gz PVDBEUUTVJMDBD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCc3ccsc3C2)c1Cl ZINC001235586424 1130756458 /nfs/dbraw/zinc/75/64/58/1130756458.db2.gz UCIOONBMGCTURJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1ccnc(C[N@H+]2CCc3ccsc3C2)c1Cl ZINC001235586424 1130756462 /nfs/dbraw/zinc/75/64/62/1130756462.db2.gz UCIOONBMGCTURJ-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(Br)cc(C[N@H+]2CCC[C@H]3C[C@H]32)c1F ZINC001235733182 1130775099 /nfs/dbraw/zinc/77/50/99/1130775099.db2.gz SKOYOTRVRKIPBE-GXFFZTMASA-N 1 2 298.199 3.881 20 0 CHADLO Cc1cc(Br)cc(C[N@@H+]2CCC[C@H]3C[C@H]32)c1F ZINC001235733182 1130775094 /nfs/dbraw/zinc/77/50/94/1130775094.db2.gz SKOYOTRVRKIPBE-GXFFZTMASA-N 1 2 298.199 3.881 20 0 CHADLO Cc1nc2cc(C[N@@H+]3CC[C@@](C)(F)[C@@H](F)C3)ccc2s1 ZINC001235849706 1130786567 /nfs/dbraw/zinc/78/65/67/1130786567.db2.gz FAMCRXOYOPSDTP-LSDHHAIUSA-N 1 2 296.386 3.877 20 0 CHADLO Cc1nc2cc(C[N@H+]3CC[C@@](C)(F)[C@@H](F)C3)ccc2s1 ZINC001235849706 1130786570 /nfs/dbraw/zinc/78/65/70/1130786570.db2.gz FAMCRXOYOPSDTP-LSDHHAIUSA-N 1 2 296.386 3.877 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+](Cc2csc(Cl)n2)C1 ZINC000694470630 1130787355 /nfs/dbraw/zinc/78/73/55/1130787355.db2.gz UOMHKIORFMNJCP-SNVBAGLBSA-N 1 2 258.818 3.665 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000694470630 1130787359 /nfs/dbraw/zinc/78/73/59/1130787359.db2.gz UOMHKIORFMNJCP-SNVBAGLBSA-N 1 2 258.818 3.665 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)N1CCCC2(CCCC2)C1 ZINC000782782314 1130788680 /nfs/dbraw/zinc/78/86/80/1130788680.db2.gz UHVLQTHAAZWMKI-UHFFFAOYSA-N 1 2 298.390 3.522 20 0 CHADLO CCOc1cc(-c2ccc(-c3c[nH+]cn3C)cc2)c(F)cn1 ZINC001235875918 1130791884 /nfs/dbraw/zinc/79/18/84/1130791884.db2.gz MRODWUAGASWSOU-UHFFFAOYSA-N 1 2 297.333 3.687 20 0 CHADLO CCOc1cc(-c2cccc(-c3c[nH+]cn3C)c2)c(F)cn1 ZINC001235875851 1130792084 /nfs/dbraw/zinc/79/20/84/1130792084.db2.gz KTGGNMLWRKUKQG-UHFFFAOYSA-N 1 2 297.333 3.687 20 0 CHADLO FC(F)(F)Oc1ccc(-c2cccc3[nH+]ccn32)cc1 ZINC001235930701 1130808711 /nfs/dbraw/zinc/80/87/11/1130808711.db2.gz PBSQZQXZVHLDHE-UHFFFAOYSA-N 1 2 278.233 3.900 20 0 CHADLO CC(C)CCCCCC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000747907054 1130833407 /nfs/dbraw/zinc/83/34/07/1130833407.db2.gz LRKZESHIWFULRJ-OAHLLOKOSA-N 1 2 291.439 3.722 20 0 CHADLO COc1ccc(F)c(C[N@H+](Cc2ccco2)C2CC2)c1 ZINC001137997719 1130836649 /nfs/dbraw/zinc/83/66/49/1130836649.db2.gz ZJFOMXTYUVEEGK-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO COc1ccc(F)c(C[N@@H+](Cc2ccco2)C2CC2)c1 ZINC001137997719 1130836655 /nfs/dbraw/zinc/83/66/55/1130836655.db2.gz ZJFOMXTYUVEEGK-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO CC[C@@H](CC1CCCCC1)C(=O)OCCCn1cc[nH+]c1 ZINC000783672030 1130845141 /nfs/dbraw/zinc/84/51/41/1130845141.db2.gz DJILVUHQKNATIO-INIZCTEOSA-N 1 2 292.423 3.813 20 0 CHADLO CC[C@H](CC1CCCCC1)C(=O)OCCCn1cc[nH+]c1 ZINC000783672032 1130845484 /nfs/dbraw/zinc/84/54/84/1130845484.db2.gz DJILVUHQKNATIO-MRXNPFEDSA-N 1 2 292.423 3.813 20 0 CHADLO Cc1cc(N[C@@H](C)c2cccc(N)c2)ccc1[NH+](C)C ZINC001170734895 1130850184 /nfs/dbraw/zinc/85/01/84/1130850184.db2.gz IDCAWHGFDSNNFG-ZDUSSCGKSA-N 1 2 269.392 3.816 20 0 CHADLO FCC[NH+]1CCC(c2ccccc2Br)CC1 ZINC000784410338 1130903612 /nfs/dbraw/zinc/90/36/12/1130903612.db2.gz GKVCSZDDIFHQNO-UHFFFAOYSA-N 1 2 286.188 3.598 20 0 CHADLO FC(F)(F)[C@H]1CC=C(c2nc3[nH]ccc3c[nH+]2)CC1 ZINC001236247008 1130904107 /nfs/dbraw/zinc/90/41/07/1130904107.db2.gz OEJBXVADDIYJTE-JTQLQIEISA-N 1 2 267.254 3.655 20 0 CHADLO Nc1cn2ccc(C3=CC[C@@H](C(F)(F)F)CC3)cc2[nH+]1 ZINC001236247732 1130904355 /nfs/dbraw/zinc/90/43/55/1130904355.db2.gz DTJLKBUSZNZZJJ-LLVKDONJSA-N 1 2 281.281 3.662 20 0 CHADLO CC(C)C(=O)Nc1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001236261281 1130908902 /nfs/dbraw/zinc/90/89/02/1130908902.db2.gz QUQAAKYFDKCLFD-UHFFFAOYSA-N 1 2 279.343 3.596 20 0 CHADLO Cc1cc(-c2ccc(NC(=O)C(C)C)cc2)c2[nH+]ccn2c1 ZINC001236265528 1130910204 /nfs/dbraw/zinc/91/02/04/1130910204.db2.gz XAOUOPBCEFBYBY-UHFFFAOYSA-N 1 2 293.370 3.904 20 0 CHADLO C[NH+](C)[C@H](C(=O)O[C@@H]1CCc2ccccc21)c1ccccc1 ZINC000784588886 1130911807 /nfs/dbraw/zinc/91/18/07/1130911807.db2.gz OHWKMFUREDVGRN-MSOLQXFVSA-N 1 2 295.382 3.520 20 0 CHADLO Nc1ccc(-c2ccc3cc(C(F)F)cnc3c2)c[nH+]1 ZINC001236319614 1130914845 /nfs/dbraw/zinc/91/48/45/1130914845.db2.gz ZYSGVEOHWZSURH-UHFFFAOYSA-N 1 2 271.270 3.817 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1C(=O)OCc1cccc2[nH+]ccn21 ZINC000796784599 1130933262 /nfs/dbraw/zinc/93/32/62/1130933262.db2.gz LOISYUHYNVXDDV-HIFRSBDPSA-N 1 2 286.375 3.594 20 0 CHADLO CCCCCC[N@@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000815307859 1130979722 /nfs/dbraw/zinc/97/97/22/1130979722.db2.gz AWLUYXDUDTUJQY-UHFFFAOYSA-N 1 2 269.392 3.768 20 0 CHADLO CCCCCC[N@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000815307859 1130979726 /nfs/dbraw/zinc/97/97/26/1130979726.db2.gz AWLUYXDUDTUJQY-UHFFFAOYSA-N 1 2 269.392 3.768 20 0 CHADLO CC[N@H+](Cc1oc(C)nc1C)Cc1cccc(F)c1F ZINC001236598478 1130981812 /nfs/dbraw/zinc/98/18/12/1130981812.db2.gz ZACIOWIWAKYYBE-UHFFFAOYSA-N 1 2 280.318 3.592 20 0 CHADLO CC[N@@H+](Cc1oc(C)nc1C)Cc1cccc(F)c1F ZINC001236598478 1130981813 /nfs/dbraw/zinc/98/18/13/1130981813.db2.gz ZACIOWIWAKYYBE-UHFFFAOYSA-N 1 2 280.318 3.592 20 0 CHADLO C[C@@H]1C[C@@H](C(C)(C)C)CC[N@@H+]1Cc1noc(C2CCC2)n1 ZINC001170783479 1130985255 /nfs/dbraw/zinc/98/52/55/1130985255.db2.gz OELRXQUGFNPGSP-OCCSQVGLSA-N 1 2 291.439 3.984 20 0 CHADLO C[C@@H]1C[C@@H](C(C)(C)C)CC[N@H+]1Cc1noc(C2CCC2)n1 ZINC001170783479 1130985257 /nfs/dbraw/zinc/98/52/57/1130985257.db2.gz OELRXQUGFNPGSP-OCCSQVGLSA-N 1 2 291.439 3.984 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cc(Cl)cc(C)n1)C2 ZINC001236654681 1130990050 /nfs/dbraw/zinc/99/00/50/1130990050.db2.gz ZVVVHIUJTYMROB-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cc(Cl)cc(C)n1)C2 ZINC001236654681 1130990054 /nfs/dbraw/zinc/99/00/54/1130990054.db2.gz ZVVVHIUJTYMROB-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccc(OC(F)F)cc2F)C[C@H]1F ZINC001236717026 1130999951 /nfs/dbraw/zinc/99/99/51/1130999951.db2.gz BPJVGFXDRSYYOU-NOZJJQNGSA-N 1 2 291.288 3.607 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccc(OC(F)F)cc2F)C[C@H]1F ZINC001236717026 1130999956 /nfs/dbraw/zinc/99/99/56/1130999956.db2.gz BPJVGFXDRSYYOU-NOZJJQNGSA-N 1 2 291.288 3.607 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1cccc3occc31)C2 ZINC001236741089 1131002498 /nfs/dbraw/zinc/00/24/98/1131002498.db2.gz VEFSFLQTYFNWKX-UHFFFAOYSA-N 1 2 264.328 3.652 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1cccc3occc31)C2 ZINC001236741089 1131002504 /nfs/dbraw/zinc/00/25/04/1131002504.db2.gz VEFSFLQTYFNWKX-UHFFFAOYSA-N 1 2 264.328 3.652 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)cc2C)s1 ZINC000815616489 1131010082 /nfs/dbraw/zinc/01/00/82/1131010082.db2.gz PSCJCFBCYUVTKE-UWVGGRQHSA-N 1 2 279.384 3.706 20 0 CHADLO Fc1cc(F)c(C[NH2+][C@@H]2CCCc3cccnc32)c(F)c1 ZINC000815649631 1131016977 /nfs/dbraw/zinc/01/69/77/1131016977.db2.gz VIEZRHFZQFDUPU-OAHLLOKOSA-N 1 2 292.304 3.666 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3cccnc3C2)c1Cl ZINC001236858294 1131024101 /nfs/dbraw/zinc/02/41/01/1131024101.db2.gz MIQBKARZERLCJT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3cccnc3C2)c1Cl ZINC001236858294 1131024107 /nfs/dbraw/zinc/02/41/07/1131024107.db2.gz MIQBKARZERLCJT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc(F)c2ccoc21 ZINC001236892421 1131028587 /nfs/dbraw/zinc/02/85/87/1131028587.db2.gz APYMLGCEVFKCBL-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc(F)c2ccoc21 ZINC001236892421 1131028590 /nfs/dbraw/zinc/02/85/90/1131028590.db2.gz APYMLGCEVFKCBL-UHFFFAOYSA-N 1 2 276.336 3.660 20 0 CHADLO Fc1ccc(C[NH+]2CC(Oc3ccccc3)C2)c2occc21 ZINC001236907664 1131030663 /nfs/dbraw/zinc/03/06/63/1131030663.db2.gz YHTYNAGEQIYRPR-UHFFFAOYSA-N 1 2 297.329 3.835 20 0 CHADLO Cc1cccc(-c2ccc(C[N@H+](C)CCF)cn2)c1C ZINC001236986377 1131033494 /nfs/dbraw/zinc/03/34/94/1131033494.db2.gz VPGQUTLXRBJBDJ-UHFFFAOYSA-N 1 2 272.367 3.767 20 0 CHADLO Cc1cccc(-c2ccc(C[N@@H+](C)CCF)cn2)c1C ZINC001236986377 1131033499 /nfs/dbraw/zinc/03/34/99/1131033499.db2.gz VPGQUTLXRBJBDJ-UHFFFAOYSA-N 1 2 272.367 3.767 20 0 CHADLO CCCOc1cccc2c(NCc3ccon3)cc[nH+]c12 ZINC000798393425 1131035605 /nfs/dbraw/zinc/03/56/05/1131035605.db2.gz SVGPJSGFMHAPRH-UHFFFAOYSA-N 1 2 283.331 3.624 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCC[C@H]([C@H]3CCOC3)C2)cc1 ZINC000798933185 1131062359 /nfs/dbraw/zinc/06/23/59/1131062359.db2.gz NPIQIEWIRIKREV-YQQAZPJKSA-N 1 2 288.435 3.760 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCC[C@H]([C@H]3CCOC3)C2)cc1 ZINC000798933185 1131062365 /nfs/dbraw/zinc/06/23/65/1131062365.db2.gz NPIQIEWIRIKREV-YQQAZPJKSA-N 1 2 288.435 3.760 20 0 CHADLO FC(F)(F)CCCC[N@@H+]1CCC=C(Br)C1 ZINC000799327680 1131079789 /nfs/dbraw/zinc/07/97/89/1131079789.db2.gz XFLJJFVKNGJVEB-UHFFFAOYSA-N 1 2 286.135 3.704 20 0 CHADLO FC(F)(F)CCCC[N@H+]1CCC=C(Br)C1 ZINC000799327680 1131079797 /nfs/dbraw/zinc/07/97/97/1131079797.db2.gz XFLJJFVKNGJVEB-UHFFFAOYSA-N 1 2 286.135 3.704 20 0 CHADLO CCc1cccc(C)c1C[N@@H+]1CCOC[C@H]1c1cccnc1 ZINC001237330395 1131085079 /nfs/dbraw/zinc/08/50/79/1131085079.db2.gz QQSMGELHZDMXEL-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO CCc1cccc(C)c1C[N@H+]1CCOC[C@H]1c1cccnc1 ZINC001237330395 1131085084 /nfs/dbraw/zinc/08/50/84/1131085084.db2.gz QQSMGELHZDMXEL-IBGZPJMESA-N 1 2 296.414 3.526 20 0 CHADLO CC(C)(C)OC(=O)Nc1ccccc1C[N@@H+]1CC[C@@H](F)C1 ZINC001237338498 1131087640 /nfs/dbraw/zinc/08/76/40/1131087640.db2.gz QBYIVPPCCJYMIM-CYBMUJFWSA-N 1 2 294.370 3.577 20 0 CHADLO CC(C)(C)OC(=O)Nc1ccccc1C[N@H+]1CC[C@@H](F)C1 ZINC001237338498 1131087647 /nfs/dbraw/zinc/08/76/47/1131087647.db2.gz QBYIVPPCCJYMIM-CYBMUJFWSA-N 1 2 294.370 3.577 20 0 CHADLO Cn1ncc(C[N@H+](Cc2ccco2)C2CCCC2)c1Cl ZINC000816485252 1131097745 /nfs/dbraw/zinc/09/77/45/1131097745.db2.gz FVVBVXWWZNMTJS-UHFFFAOYSA-N 1 2 293.798 3.611 20 0 CHADLO Cn1ncc(C[N@@H+](Cc2ccco2)C2CCCC2)c1Cl ZINC000816485252 1131097751 /nfs/dbraw/zinc/09/77/51/1131097751.db2.gz FVVBVXWWZNMTJS-UHFFFAOYSA-N 1 2 293.798 3.611 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@H+]1Cc1cnn(C)c1Cl ZINC000816491510 1131099883 /nfs/dbraw/zinc/09/98/83/1131099883.db2.gz OOSAFHDWHPPIRP-LBPRGKRZSA-N 1 2 295.839 3.644 20 0 CHADLO CC[C@H]1c2ccsc2CC[N@@H+]1Cc1cnn(C)c1Cl ZINC000816491510 1131099890 /nfs/dbraw/zinc/09/98/90/1131099890.db2.gz OOSAFHDWHPPIRP-LBPRGKRZSA-N 1 2 295.839 3.644 20 0 CHADLO Cn1ncc(C[N@@H+]2CC(C)(C)[C@H]2c2ccccc2)c1Cl ZINC000816496606 1131099973 /nfs/dbraw/zinc/09/99/73/1131099973.db2.gz PLKTXSOUBURJTR-CQSZACIVSA-N 1 2 289.810 3.657 20 0 CHADLO Cn1ncc(C[N@H+]2CC(C)(C)[C@H]2c2ccccc2)c1Cl ZINC000816496606 1131099976 /nfs/dbraw/zinc/09/99/76/1131099976.db2.gz PLKTXSOUBURJTR-CQSZACIVSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cnn(C)c2Cl)[C@@H](c2ccco2)C1 ZINC000816517205 1131104307 /nfs/dbraw/zinc/10/43/07/1131104307.db2.gz IJGITTJFFYOWIU-DGCLKSJQSA-N 1 2 293.798 3.640 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cnn(C)c2Cl)[C@@H](c2ccco2)C1 ZINC000816517205 1131104309 /nfs/dbraw/zinc/10/43/09/1131104309.db2.gz IJGITTJFFYOWIU-DGCLKSJQSA-N 1 2 293.798 3.640 20 0 CHADLO COc1ccc(OC)c(C[N@H+](C)Cc2cccs2)c1F ZINC001237572926 1131116482 /nfs/dbraw/zinc/11/64/82/1131116482.db2.gz VTQCNWQUAILFOL-UHFFFAOYSA-N 1 2 295.379 3.536 20 0 CHADLO COc1ccc(OC)c(C[N@@H+](C)Cc2cccs2)c1F ZINC001237572926 1131116486 /nfs/dbraw/zinc/11/64/86/1131116486.db2.gz VTQCNWQUAILFOL-UHFFFAOYSA-N 1 2 295.379 3.536 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ccc3ncnc(Cl)c3c2)C1 ZINC001237577371 1131116537 /nfs/dbraw/zinc/11/65/37/1131116537.db2.gz UGKPXKHKEIVDHG-HNNXBMFYSA-N 1 2 293.773 3.607 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ccc3ncnc(Cl)c3c2)C1 ZINC001237577371 1131116539 /nfs/dbraw/zinc/11/65/39/1131116539.db2.gz UGKPXKHKEIVDHG-HNNXBMFYSA-N 1 2 293.773 3.607 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2C[C@H](C)[C@@H]2C)cc1Cl ZINC000816646872 1131119149 /nfs/dbraw/zinc/11/91/49/1131119149.db2.gz JYSUNIHFLQYORM-IUCAKERBSA-N 1 2 274.191 3.842 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2C[C@H](C)[C@@H]2C)cc1Cl ZINC000816646872 1131119150 /nfs/dbraw/zinc/11/91/50/1131119150.db2.gz JYSUNIHFLQYORM-IUCAKERBSA-N 1 2 274.191 3.842 20 0 CHADLO CCc1[nH]ncc1C[N@@H+](CC)Cc1ccc(C)c(C)c1 ZINC001237654188 1131124565 /nfs/dbraw/zinc/12/45/65/1131124565.db2.gz YPAUHHWBYCCOMO-UHFFFAOYSA-N 1 2 271.408 3.611 20 0 CHADLO CCc1[nH]ncc1C[N@H+](CC)Cc1ccc(C)c(C)c1 ZINC001237654188 1131124568 /nfs/dbraw/zinc/12/45/68/1131124568.db2.gz YPAUHHWBYCCOMO-UHFFFAOYSA-N 1 2 271.408 3.611 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+](C)Cc2ccccn2)c1 ZINC001237697209 1131128488 /nfs/dbraw/zinc/12/84/88/1131128488.db2.gz IXCGIQPQRIHAGZ-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+](C)Cc2ccccn2)c1 ZINC001237697209 1131128490 /nfs/dbraw/zinc/12/84/90/1131128490.db2.gz IXCGIQPQRIHAGZ-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2CCC(=O)[C@H](C)CC2)c1 ZINC001237698415 1131128923 /nfs/dbraw/zinc/12/89/23/1131128923.db2.gz OUBKENNFFOGFEK-GFCCVEGCSA-N 1 2 279.811 3.758 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2CCC(=O)[C@H](C)CC2)c1 ZINC001237698415 1131128927 /nfs/dbraw/zinc/12/89/27/1131128927.db2.gz OUBKENNFFOGFEK-GFCCVEGCSA-N 1 2 279.811 3.758 20 0 CHADLO CCCCCCNC(=O)C[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000816839669 1131128969 /nfs/dbraw/zinc/12/89/69/1131128969.db2.gz MOCGSLUZSYZHPJ-MRXNPFEDSA-N 1 2 299.418 3.628 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1cc(C)cc(C)c1Cl ZINC001237701002 1131130097 /nfs/dbraw/zinc/13/00/97/1131130097.db2.gz HEWXJOPTWWUYTA-HNNXBMFYSA-N 1 2 279.811 3.900 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1cc(C)cc(C)c1Cl ZINC001237701002 1131130100 /nfs/dbraw/zinc/13/01/00/1131130100.db2.gz HEWXJOPTWWUYTA-HNNXBMFYSA-N 1 2 279.811 3.900 20 0 CHADLO CCc1cc(C[NH+]2C[C@H](C)O[C@@H](C)C2)ccc1Cl ZINC001237739271 1131137003 /nfs/dbraw/zinc/13/70/03/1131137003.db2.gz SPCZNZUIUHLPJS-RYUDHWBXSA-N 1 2 267.800 3.512 20 0 CHADLO COc1cccc([C@@H]2CCCC[N@@H+]2Cc2ncc(C)cn2)c1 ZINC001237805446 1131142917 /nfs/dbraw/zinc/14/29/17/1131142917.db2.gz GXJQMHUHRDXIDI-KRWDZBQOSA-N 1 2 297.402 3.521 20 0 CHADLO COc1cccc([C@@H]2CCCC[N@H+]2Cc2ncc(C)cn2)c1 ZINC001237805446 1131142921 /nfs/dbraw/zinc/14/29/21/1131142921.db2.gz GXJQMHUHRDXIDI-KRWDZBQOSA-N 1 2 297.402 3.521 20 0 CHADLO COc1ccccc1C1([NH2+]Cc2ncc(C)s2)CCC1 ZINC001116470940 1131148707 /nfs/dbraw/zinc/14/87/07/1131148707.db2.gz WLFMUOGGGQCOKD-UHFFFAOYSA-N 1 2 288.416 3.629 20 0 CHADLO C[N@H+](C/C(Cl)=C/Cl)C1CC(OC(C)(C)C)C1 ZINC000817286038 1131151870 /nfs/dbraw/zinc/15/18/70/1131151870.db2.gz GCHHRSIZZLITJM-CLFYSBASSA-N 1 2 266.212 3.583 20 0 CHADLO C[N@@H+](C/C(Cl)=C/Cl)C1CC(OC(C)(C)C)C1 ZINC000817286038 1131151874 /nfs/dbraw/zinc/15/18/74/1131151874.db2.gz GCHHRSIZZLITJM-CLFYSBASSA-N 1 2 266.212 3.583 20 0 CHADLO Cc1sccc1C[N@@H+]1CCc2ncc(Cl)cc2C1 ZINC001237975286 1131157064 /nfs/dbraw/zinc/15/70/64/1131157064.db2.gz YRFIDGAKWSTFPX-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1sccc1C[N@H+]1CCc2ncc(Cl)cc2C1 ZINC001237975286 1131157066 /nfs/dbraw/zinc/15/70/66/1131157066.db2.gz YRFIDGAKWSTFPX-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@@H+]1CCC[C@H]2CCC[C@@H]21 ZINC001238000619 1131159234 /nfs/dbraw/zinc/15/92/34/1131159234.db2.gz LYQVHLVINCUJDI-BZNIZROVSA-N 1 2 289.810 3.981 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@H+]1CCC[C@H]2CCC[C@@H]21 ZINC001238000619 1131159237 /nfs/dbraw/zinc/15/92/37/1131159237.db2.gz LYQVHLVINCUJDI-BZNIZROVSA-N 1 2 289.810 3.981 20 0 CHADLO FC1(F)C[C@]12CC[N@H+](Cc1cncc(Cl)c1Cl)C2 ZINC001238008741 1131161261 /nfs/dbraw/zinc/16/12/61/1131161261.db2.gz LRAZLLPUZQAQOM-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO FC1(F)C[C@]12CC[N@@H+](Cc1cncc(Cl)c1Cl)C2 ZINC001238008741 1131161263 /nfs/dbraw/zinc/16/12/63/1131161263.db2.gz LRAZLLPUZQAQOM-NSHDSACASA-N 1 2 293.144 3.620 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cnc(F)cc1Cl ZINC001238023235 1131161982 /nfs/dbraw/zinc/16/19/82/1131161982.db2.gz LCJJOLHHOLZNNI-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cnc(F)cc1Cl ZINC001238023235 1131161984 /nfs/dbraw/zinc/16/19/84/1131161984.db2.gz LCJJOLHHOLZNNI-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1cnc(F)cc1Cl ZINC001238026211 1131164025 /nfs/dbraw/zinc/16/40/25/1131164025.db2.gz QWPBWQKAYCAMMT-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1cnc(F)cc1Cl ZINC001238026211 1131164029 /nfs/dbraw/zinc/16/40/29/1131164029.db2.gz QWPBWQKAYCAMMT-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(Oc2ccccc2)ccc1C[NH+]1CCC(=O)CC1 ZINC001238059830 1131166191 /nfs/dbraw/zinc/16/61/91/1131166191.db2.gz FTCBWEVHDDGDBY-UHFFFAOYSA-N 1 2 295.382 3.952 20 0 CHADLO Cc1ccc(O)cc1C[N@@H+](C)Cc1cccc(F)c1F ZINC001238108673 1131172834 /nfs/dbraw/zinc/17/28/34/1131172834.db2.gz DJEBUXJBJCXUEX-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1ccc(O)cc1C[N@H+](C)Cc1cccc(F)c1F ZINC001238108673 1131172836 /nfs/dbraw/zinc/17/28/36/1131172836.db2.gz DJEBUXJBJCXUEX-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238146209 1131174000 /nfs/dbraw/zinc/17/40/00/1131174000.db2.gz FRXCANFKJSELMF-SNVBAGLBSA-N 1 2 271.763 3.949 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238146209 1131174006 /nfs/dbraw/zinc/17/40/06/1131174006.db2.gz FRXCANFKJSELMF-SNVBAGLBSA-N 1 2 271.763 3.949 20 0 CHADLO CCC(CC)[N@H+](C)Cc1cc(Cl)c(O)cc1F ZINC001238149249 1131174927 /nfs/dbraw/zinc/17/49/27/1131174927.db2.gz IPLDXQGIKDCXLA-UHFFFAOYSA-N 1 2 259.752 3.805 20 0 CHADLO CCC(CC)[N@@H+](C)Cc1cc(Cl)c(O)cc1F ZINC001238149249 1131174931 /nfs/dbraw/zinc/17/49/31/1131174931.db2.gz IPLDXQGIKDCXLA-UHFFFAOYSA-N 1 2 259.752 3.805 20 0 CHADLO C[N@@H+](Cc1cc(Cl)c(O)cc1F)C[C@@H]1CC1(C)C ZINC001238150380 1131175085 /nfs/dbraw/zinc/17/50/85/1131175085.db2.gz SQOOGBSCGGXWBF-JTQLQIEISA-N 1 2 271.763 3.663 20 0 CHADLO C[N@H+](Cc1cc(Cl)c(O)cc1F)C[C@@H]1CC1(C)C ZINC001238150380 1131175090 /nfs/dbraw/zinc/17/50/90/1131175090.db2.gz SQOOGBSCGGXWBF-JTQLQIEISA-N 1 2 271.763 3.663 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238155263 1131176679 /nfs/dbraw/zinc/17/66/79/1131176679.db2.gz NMEXZMFBGMLRGV-ZDUSSCGKSA-N 1 2 271.763 3.805 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238155263 1131176677 /nfs/dbraw/zinc/17/66/77/1131176677.db2.gz NMEXZMFBGMLRGV-ZDUSSCGKSA-N 1 2 271.763 3.805 20 0 CHADLO CC1(C)CC[NH+](Cc2cc(Cl)c(O)cc2F)CC1 ZINC001238151418 1131177061 /nfs/dbraw/zinc/17/70/61/1131177061.db2.gz DVTLAZRCHTVKEA-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[NH+]1CC2(CSC2)C1 ZINC001238265473 1131186830 /nfs/dbraw/zinc/18/68/30/1131186830.db2.gz BGTPHQVTAFETRW-UHFFFAOYSA-N 1 2 288.243 3.851 20 0 CHADLO CC1(C)C[N@H+](Cc2cncc(C(F)(F)F)c2)C1(C)C ZINC001117696747 1131192796 /nfs/dbraw/zinc/19/27/96/1131192796.db2.gz PHXSTWGQVYRRJG-UHFFFAOYSA-N 1 2 272.314 3.721 20 0 CHADLO CC1(C)C[N@@H+](Cc2cncc(C(F)(F)F)c2)C1(C)C ZINC001117696747 1131192799 /nfs/dbraw/zinc/19/27/99/1131192799.db2.gz PHXSTWGQVYRRJG-UHFFFAOYSA-N 1 2 272.314 3.721 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2cccc(F)c2F)c(C)c1 ZINC001238434111 1131200909 /nfs/dbraw/zinc/20/09/09/1131200909.db2.gz AIDZGFVHARBVDQ-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2cccc(F)c2F)c(C)c1 ZINC001238434111 1131200914 /nfs/dbraw/zinc/20/09/14/1131200914.db2.gz AIDZGFVHARBVDQ-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCC[C@@H](F)C1 ZINC001238672812 1131228833 /nfs/dbraw/zinc/22/88/33/1131228833.db2.gz FMJFXLJUCHHSLW-GFCCVEGCSA-N 1 2 273.804 3.996 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCC[C@@H](F)C1 ZINC001238672812 1131228835 /nfs/dbraw/zinc/22/88/35/1131228835.db2.gz FMJFXLJUCHHSLW-GFCCVEGCSA-N 1 2 273.804 3.996 20 0 CHADLO COc1cnc(C[N@H+](C)Cc2ccc(C)cc2)c(Cl)c1 ZINC001238486438 1131207193 /nfs/dbraw/zinc/20/71/93/1131207193.db2.gz CMFPPKPHRYGFDS-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cnc(C[N@@H+](C)Cc2ccc(C)cc2)c(Cl)c1 ZINC001238486438 1131207196 /nfs/dbraw/zinc/20/71/96/1131207196.db2.gz CMFPPKPHRYGFDS-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO C[C@@H](Nc1ccc([NH2+]C2CCCCC2)cc1)[C@H](C)O ZINC001118091935 1131210257 /nfs/dbraw/zinc/21/02/57/1131210257.db2.gz BJDMEWISUYLERU-OLZOCXBDSA-N 1 2 262.397 3.612 20 0 CHADLO Cc1cc(N2CCC[C@H]2[C@@H]2CCCOC2)[nH+]c2ccccc12 ZINC001118125311 1131211159 /nfs/dbraw/zinc/21/11/59/1131211159.db2.gz SRBLLLCUFYAUKG-QAPCUYQASA-N 1 2 296.414 3.939 20 0 CHADLO Cc1cc(Br)c(Cl)cc1C[NH+]1CC(C)C1 ZINC001238572508 1131217607 /nfs/dbraw/zinc/21/76/07/1131217607.db2.gz LRMZUEKGQWNQJT-UHFFFAOYSA-N 1 2 288.616 3.863 20 0 CHADLO Cc1ccc(N(CC(C)C)C(=O)[C@H](C)n2cc[nH+]c2)c(C)c1 ZINC001118264544 1131217880 /nfs/dbraw/zinc/21/78/80/1131217880.db2.gz CDTZJYBJNOPZQS-INIZCTEOSA-N 1 2 299.418 3.750 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1c(F)cc(C)cc1OC ZINC001238585444 1131218425 /nfs/dbraw/zinc/21/84/25/1131218425.db2.gz RTYSUAOTHRTPQA-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1c(F)cc(C)cc1OC ZINC001238585444 1131218428 /nfs/dbraw/zinc/21/84/28/1131218428.db2.gz RTYSUAOTHRTPQA-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC(F)(F)[C@H](F)C2)cnc1C1CC1 ZINC001238616720 1131222663 /nfs/dbraw/zinc/22/26/63/1131222663.db2.gz CEHUSVMNKZXJTQ-CQSZACIVSA-N 1 2 298.352 3.837 20 0 CHADLO Cc1cc(C[N@H+]2CCCC(F)(F)[C@H](F)C2)cnc1C1CC1 ZINC001238616720 1131222668 /nfs/dbraw/zinc/22/26/68/1131222668.db2.gz CEHUSVMNKZXJTQ-CQSZACIVSA-N 1 2 298.352 3.837 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)cnc1C1CC1 ZINC001238619764 1131223244 /nfs/dbraw/zinc/22/32/44/1131223244.db2.gz JCXGIDVRJRWZBW-GDBMZVCRSA-N 1 2 280.362 3.539 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)cnc1C1CC1 ZINC001238619764 1131223251 /nfs/dbraw/zinc/22/32/51/1131223251.db2.gz JCXGIDVRJRWZBW-GDBMZVCRSA-N 1 2 280.362 3.539 20 0 CHADLO Cc1cnc(-c2ccccc2)c(C[N@H+]2CCC(=O)C[C@@H]2C)c1 ZINC001238619650 1131223870 /nfs/dbraw/zinc/22/38/70/1131223870.db2.gz SFHODDCJNGCIIS-HNNXBMFYSA-N 1 2 294.398 3.610 20 0 CHADLO Cc1cnc(-c2ccccc2)c(C[N@@H+]2CCC(=O)C[C@@H]2C)c1 ZINC001238619650 1131223874 /nfs/dbraw/zinc/22/38/74/1131223874.db2.gz SFHODDCJNGCIIS-HNNXBMFYSA-N 1 2 294.398 3.610 20 0 CHADLO C[C@@H]1CCCC[N@H+](Cc2nc(Br)cs2)C1 ZINC001137773555 1131416019 /nfs/dbraw/zinc/41/60/19/1131416019.db2.gz CMNXQKVREZMWRH-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO C[C@@H]1CCCC[N@@H+](Cc2nc(Br)cs2)C1 ZINC001137773555 1131416025 /nfs/dbraw/zinc/41/60/25/1131416025.db2.gz CMNXQKVREZMWRH-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO C[C@H]([NH2+]Cc1csc(Cl)n1)c1ccsc1 ZINC000829510889 1131422670 /nfs/dbraw/zinc/42/26/70/1131422670.db2.gz QHDKJXAPZGFVIN-ZETCQYMHSA-N 1 2 258.799 3.709 20 0 CHADLO CCCN(C(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1)c1ccc(CC)cc1 ZINC000823369644 1131423595 /nfs/dbraw/zinc/42/35/95/1131423595.db2.gz GPYXOAMWDOCCQV-HZPDHXFCSA-N 1 2 297.402 3.519 20 0 CHADLO CCCN(C(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1)c1ccc(CC)cc1 ZINC000823369644 1131423597 /nfs/dbraw/zinc/42/35/97/1131423597.db2.gz GPYXOAMWDOCCQV-HZPDHXFCSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccc(Cl)nc2)c1F ZINC000823395292 1131425081 /nfs/dbraw/zinc/42/50/81/1131425081.db2.gz RWMLKUJJDMEDMG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccc(Cl)nc2)c1F ZINC000823395292 1131425082 /nfs/dbraw/zinc/42/50/82/1131425082.db2.gz RWMLKUJJDMEDMG-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@@H]1CCCC[N@@H+]1Cc1c(F)ccc(Cl)c1F ZINC001137876766 1131427992 /nfs/dbraw/zinc/42/79/92/1131427992.db2.gz ZECJDQAUHKLPEB-SECBINFHSA-N 1 2 259.727 3.993 20 0 CHADLO C[C@@H]1CCCC[N@H+]1Cc1c(F)ccc(Cl)c1F ZINC001137876766 1131427994 /nfs/dbraw/zinc/42/79/94/1131427994.db2.gz ZECJDQAUHKLPEB-SECBINFHSA-N 1 2 259.727 3.993 20 0 CHADLO CSC1CC[NH+](Cc2c(F)ccc(Cl)c2F)CC1 ZINC001137882689 1131429075 /nfs/dbraw/zinc/42/90/75/1131429075.db2.gz AYZUGZLNUNRWJO-UHFFFAOYSA-N 1 2 291.794 3.946 20 0 CHADLO C=COc1ccc(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)cc1 ZINC000823510150 1131431091 /nfs/dbraw/zinc/43/10/91/1131431091.db2.gz RTPIUBNOXGEECW-INIZCTEOSA-N 1 2 299.374 3.631 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1)C1CCC1 ZINC000823554695 1131433388 /nfs/dbraw/zinc/43/33/88/1131433388.db2.gz MTVYYVOKZKLQJP-KSSFIOAISA-N 1 2 288.435 3.902 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@H](F)C(F)(F)CC2)c(F)c1F ZINC001137934950 1131437852 /nfs/dbraw/zinc/43/78/52/1131437852.db2.gz WVABZGOGNNGXBT-JTQLQIEISA-N 1 2 297.242 3.673 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@H](F)C(F)(F)CC2)c(F)c1F ZINC001137934950 1131437857 /nfs/dbraw/zinc/43/78/57/1131437857.db2.gz WVABZGOGNNGXBT-JTQLQIEISA-N 1 2 297.242 3.673 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)c(F)c1F ZINC001137935759 1131438754 /nfs/dbraw/zinc/43/87/54/1131438754.db2.gz ISPRTPKWJSHAMA-AOOOYVTPSA-N 1 2 291.263 3.581 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)c(F)c1F ZINC001137935759 1131438756 /nfs/dbraw/zinc/43/87/56/1131438756.db2.gz ISPRTPKWJSHAMA-AOOOYVTPSA-N 1 2 291.263 3.581 20 0 CHADLO CC[C@H]([NH2+]Cc1ccnc(Cl)c1F)c1nc(C)cs1 ZINC000823831137 1131442531 /nfs/dbraw/zinc/44/25/31/1131442531.db2.gz QWMJEKCXKQABLL-JTQLQIEISA-N 1 2 299.802 3.880 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cc(O)ccc3C2)c(F)c1F ZINC001137965960 1131443740 /nfs/dbraw/zinc/44/37/40/1131443740.db2.gz ILABOCCPDISXMI-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3sccc3C2)c(F)c1F ZINC001137965989 1131443679 /nfs/dbraw/zinc/44/36/79/1131443679.db2.gz JJVSTUVWGJQKHC-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3sccc3C2)c(F)c1F ZINC001137965989 1131443681 /nfs/dbraw/zinc/44/36/81/1131443681.db2.gz JJVSTUVWGJQKHC-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cc(O)ccc3C2)c(F)c1F ZINC001137965960 1131443743 /nfs/dbraw/zinc/44/37/43/1131443743.db2.gz ILABOCCPDISXMI-UHFFFAOYSA-N 1 2 289.325 3.537 20 0 CHADLO CC1(F)CC[NH+](Cc2c(F)ccc(F)c2Cl)CC1 ZINC001137999476 1131449003 /nfs/dbraw/zinc/44/90/03/1131449003.db2.gz JSKHOZKNQCRTQO-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc(N3CCCCC3)o2)C[C@@H]1F ZINC001138172070 1131474833 /nfs/dbraw/zinc/47/48/33/1131474833.db2.gz KNLJWGBIWCUKML-GOEBONIOSA-N 1 2 298.377 3.542 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc(N3CCCCC3)o2)C[C@@H]1F ZINC001138172070 1131474835 /nfs/dbraw/zinc/47/48/35/1131474835.db2.gz KNLJWGBIWCUKML-GOEBONIOSA-N 1 2 298.377 3.542 20 0 CHADLO C[C@H]1CCCC[N@H+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138229874 1131479427 /nfs/dbraw/zinc/47/94/27/1131479427.db2.gz XGFQWMMNZYWILS-VIFPVBQESA-N 1 2 275.289 3.865 20 0 CHADLO C[C@H]1CCCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)C1 ZINC001138229874 1131479428 /nfs/dbraw/zinc/47/94/28/1131479428.db2.gz XGFQWMMNZYWILS-VIFPVBQESA-N 1 2 275.289 3.865 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cc3c(cc2Cl)OCO3)C1 ZINC001138239458 1131481631 /nfs/dbraw/zinc/48/16/31/1131481631.db2.gz CQHOEAMIIDVBSI-OAHLLOKOSA-N 1 2 299.773 3.783 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cc3c(cc2Cl)OCO3)C1 ZINC001138239458 1131481637 /nfs/dbraw/zinc/48/16/37/1131481637.db2.gz CQHOEAMIIDVBSI-OAHLLOKOSA-N 1 2 299.773 3.783 20 0 CHADLO CCCC[C@](C)(F)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000824919997 1131489474 /nfs/dbraw/zinc/48/94/74/1131489474.db2.gz DDONVSCJCXHZTC-INIZCTEOSA-N 1 2 289.354 3.934 20 0 CHADLO Cc1ccc2c(C[N@@H+]3Cc4cnc(C)cc4C3)c[nH]c2c1 ZINC001136974990 1131490929 /nfs/dbraw/zinc/49/09/29/1131490929.db2.gz VSFXBNFNPWVPMR-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO Cc1ccc2c(C[N@H+]3Cc4cnc(C)cc4C3)c[nH]c2c1 ZINC001136974990 1131490930 /nfs/dbraw/zinc/49/09/30/1131490930.db2.gz VSFXBNFNPWVPMR-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccccc2N2CCCCC2)co1 ZINC000825050775 1131495719 /nfs/dbraw/zinc/49/57/19/1131495719.db2.gz RZPSOUSJLIKMQG-UHFFFAOYSA-N 1 2 299.418 3.517 20 0 CHADLO COc1c(F)ccc(C[N@@H+]2CC[C@H]2c2ccccc2)c1F ZINC001143322064 1131495986 /nfs/dbraw/zinc/49/59/86/1131495986.db2.gz SZHZORMWUVFQCM-HNNXBMFYSA-N 1 2 289.325 3.920 20 0 CHADLO COc1c(F)ccc(C[N@H+]2CC[C@H]2c2ccccc2)c1F ZINC001143322064 1131495988 /nfs/dbraw/zinc/49/59/88/1131495988.db2.gz SZHZORMWUVFQCM-HNNXBMFYSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cccc(F)c1C[N@H+](C)Cc1cccc(F)c1 ZINC001138379801 1131496444 /nfs/dbraw/zinc/49/64/44/1131496444.db2.gz GXQIDEGLQAMZTP-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1cccc(F)c1C[N@@H+](C)Cc1cccc(F)c1 ZINC001138379801 1131496445 /nfs/dbraw/zinc/49/64/45/1131496445.db2.gz GXQIDEGLQAMZTP-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO Cc1c2ccccc2sc1C[NH+]1C[C@@H](F)[C@H](F)C1 ZINC001138462489 1131509415 /nfs/dbraw/zinc/50/94/15/1131509415.db2.gz NFPSIJNILKMNNA-VXGBXAGGSA-N 1 2 267.344 3.702 20 0 CHADLO COc1ccc2[nH]cc(C[N@@H+]3CCn4cccc4[C@H]3C)c2c1 ZINC001138509439 1131514443 /nfs/dbraw/zinc/51/44/43/1131514443.db2.gz CYOFVBBBWAIKCU-CYBMUJFWSA-N 1 2 295.386 3.555 20 0 CHADLO COc1ccc2[nH]cc(C[N@H+]3CCn4cccc4[C@H]3C)c2c1 ZINC001138509439 1131514446 /nfs/dbraw/zinc/51/44/46/1131514446.db2.gz CYOFVBBBWAIKCU-CYBMUJFWSA-N 1 2 295.386 3.555 20 0 CHADLO COc1ccc(-c2ccc(C[NH+]3CCC(=O)CC3)cc2)cc1 ZINC001138514870 1131515736 /nfs/dbraw/zinc/51/57/36/1131515736.db2.gz HRUGNOUDMOUTKF-UHFFFAOYSA-N 1 2 295.382 3.527 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143627497 1131519125 /nfs/dbraw/zinc/51/91/25/1131519125.db2.gz PZLQSDNRSVKXRV-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2ccc(F)c(Cl)c2F)C1 ZINC001143627497 1131519128 /nfs/dbraw/zinc/51/91/28/1131519128.db2.gz PZLQSDNRSVKXRV-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO Cc1cc(C[N@@H+]2CCCCC(F)(F)C2)c(C)s1 ZINC001138566639 1131521444 /nfs/dbraw/zinc/52/14/44/1131521444.db2.gz DFXSMQDDQSXLJX-UHFFFAOYSA-N 1 2 259.365 3.986 20 0 CHADLO Cc1cc(C[N@H+]2CCCCC(F)(F)C2)c(C)s1 ZINC001138566639 1131521447 /nfs/dbraw/zinc/52/14/47/1131521447.db2.gz DFXSMQDDQSXLJX-UHFFFAOYSA-N 1 2 259.365 3.986 20 0 CHADLO CCCC[C@H]1CCC[C@@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001143703720 1131525973 /nfs/dbraw/zinc/52/59/73/1131525973.db2.gz RGUJVTZDRWDKND-JSGCOSHPSA-N 1 2 285.391 3.652 20 0 CHADLO Fc1ccccc1-n1cccc1C[N@H+]1CCC[C@H](F)C1 ZINC001138632633 1131529654 /nfs/dbraw/zinc/52/96/54/1131529654.db2.gz DGRXMJQHQUCIHG-ZDUSSCGKSA-N 1 2 276.330 3.550 20 0 CHADLO Fc1ccccc1-n1cccc1C[N@@H+]1CCC[C@H](F)C1 ZINC001138632633 1131529656 /nfs/dbraw/zinc/52/96/56/1131529656.db2.gz DGRXMJQHQUCIHG-ZDUSSCGKSA-N 1 2 276.330 3.550 20 0 CHADLO CCSc1ncc(C[N@@H+]2CC[C@H]2c2ccccc2)cn1 ZINC001138656270 1131532521 /nfs/dbraw/zinc/53/25/21/1131532521.db2.gz AIHNQVMTTDYRME-HNNXBMFYSA-N 1 2 285.416 3.536 20 0 CHADLO CCSc1ncc(C[N@H+]2CC[C@H]2c2ccccc2)cn1 ZINC001138656270 1131532522 /nfs/dbraw/zinc/53/25/22/1131532522.db2.gz AIHNQVMTTDYRME-HNNXBMFYSA-N 1 2 285.416 3.536 20 0 CHADLO Cc1cccc(Cl)c1C[N@@H+]1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001138718266 1131540614 /nfs/dbraw/zinc/54/06/14/1131540614.db2.gz KZBQEPXTVUEQTA-BBRMVZONSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cccc(Cl)c1C[N@H+]1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001138718266 1131540617 /nfs/dbraw/zinc/54/06/17/1131540617.db2.gz KZBQEPXTVUEQTA-BBRMVZONSA-N 1 2 291.822 3.982 20 0 CHADLO CCO[C@@H]1CC[N@H+](Cc2c(F)ccc(Cl)c2Cl)C1 ZINC001143866823 1131543183 /nfs/dbraw/zinc/54/31/83/1131543183.db2.gz LXBSXLYVOBXVIE-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO Cc1cnn(C)c1Nc1ccc(N(C)C2CCCCC2)[nH+]c1 ZINC001212613080 1131546215 /nfs/dbraw/zinc/54/62/15/1131546215.db2.gz BYNBFKLQCFYELZ-UHFFFAOYSA-N 1 2 299.422 3.636 20 0 CHADLO C[N@H+](Cc1ccc(C(F)(F)F)cc1)Cc1cccnc1F ZINC001138794256 1131550050 /nfs/dbraw/zinc/55/00/50/1131550050.db2.gz RKSPZCOQVJUUDF-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccc(C(F)(F)F)cc1)Cc1cccnc1F ZINC001138794256 1131550053 /nfs/dbraw/zinc/55/00/53/1131550053.db2.gz RKSPZCOQVJUUDF-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO FC1(F)CC[N@@H+]([C@@H]2CCc3cc(Cl)ccc3C2)C1 ZINC001171117792 1131550108 /nfs/dbraw/zinc/55/01/08/1131550108.db2.gz YSGRZIGJTDBFDF-CYBMUJFWSA-N 1 2 271.738 3.538 20 0 CHADLO FC1(F)CC[N@H+]([C@@H]2CCc3cc(Cl)ccc3C2)C1 ZINC001171117792 1131550110 /nfs/dbraw/zinc/55/01/10/1131550110.db2.gz YSGRZIGJTDBFDF-CYBMUJFWSA-N 1 2 271.738 3.538 20 0 CHADLO Clc1cc(C[N@@H+]2CCSc3ccccc3C2)ccn1 ZINC001138821289 1131552959 /nfs/dbraw/zinc/55/29/59/1131552959.db2.gz KNUZXUYCQVLZBN-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Clc1cc(C[N@H+]2CCSc3ccccc3C2)ccn1 ZINC001138821289 1131552962 /nfs/dbraw/zinc/55/29/62/1131552962.db2.gz KNUZXUYCQVLZBN-UHFFFAOYSA-N 1 2 290.819 3.843 20 0 CHADLO Cc1c(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)cccc1C(F)(F)F ZINC001143923606 1131555073 /nfs/dbraw/zinc/55/50/73/1131555073.db2.gz ZPONPGOYZCEKEV-OBJOEFQTSA-N 1 2 287.300 3.804 20 0 CHADLO Cc1c(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)cccc1C(F)(F)F ZINC001143923606 1131555079 /nfs/dbraw/zinc/55/50/79/1131555079.db2.gz ZPONPGOYZCEKEV-OBJOEFQTSA-N 1 2 287.300 3.804 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCCC23CC3)c1F ZINC001143946375 1131557607 /nfs/dbraw/zinc/55/76/07/1131557607.db2.gz PXVQGHGTVZBXAN-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCCC23CC3)c1F ZINC001143946375 1131557609 /nfs/dbraw/zinc/55/76/09/1131557609.db2.gz PXVQGHGTVZBXAN-UHFFFAOYSA-N 1 2 257.711 3.747 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cnc3[nH]ccc3c1Cl)C2 ZINC001138929335 1131560953 /nfs/dbraw/zinc/56/09/53/1131560953.db2.gz SBJLCQBVKVGNQJ-UHFFFAOYSA-N 1 2 297.789 3.992 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cnc3[nH]ccc3c1Cl)C2 ZINC001138929335 1131560957 /nfs/dbraw/zinc/56/09/57/1131560957.db2.gz SBJLCQBVKVGNQJ-UHFFFAOYSA-N 1 2 297.789 3.992 20 0 CHADLO FC1(F)[C@H]2C[N@H+](Cc3csc(-c4ccsc4)n3)C[C@H]21 ZINC000844453743 1131562813 /nfs/dbraw/zinc/56/28/13/1131562813.db2.gz QYGMUWCDSDFDTG-PHIMTYICSA-N 1 2 298.383 3.569 20 0 CHADLO FC1(F)[C@H]2C[N@@H+](Cc3csc(-c4ccsc4)n3)C[C@H]21 ZINC000844453743 1131562818 /nfs/dbraw/zinc/56/28/18/1131562818.db2.gz QYGMUWCDSDFDTG-PHIMTYICSA-N 1 2 298.383 3.569 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@@H+]1C[C@H]2[C@@H](C1)C2(F)F ZINC000844456678 1131562945 /nfs/dbraw/zinc/56/29/45/1131562945.db2.gz GKLORVIBNUEWRJ-QNSHHTMESA-N 1 2 275.701 3.737 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@H+]1C[C@H]2[C@@H](C1)C2(F)F ZINC000844456678 1131562947 /nfs/dbraw/zinc/56/29/47/1131562947.db2.gz GKLORVIBNUEWRJ-QNSHHTMESA-N 1 2 275.701 3.737 20 0 CHADLO COc1ccc(F)c(C[N@H+](C)Cc2ccc(C)cc2)c1F ZINC001143976205 1131563469 /nfs/dbraw/zinc/56/34/69/1131563469.db2.gz CEWLEWMLUUGBIH-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(F)c(C[N@@H+](C)Cc2ccc(C)cc2)c1F ZINC001143976205 1131563471 /nfs/dbraw/zinc/56/34/71/1131563471.db2.gz CEWLEWMLUUGBIH-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO C[C@H](CC(=O)O[C@H](C)c1ccccc1Cl)n1cc[nH+]c1 ZINC000844431916 1131563794 /nfs/dbraw/zinc/56/37/94/1131563794.db2.gz ADAOZDRAXQKVKZ-VXGBXAGGSA-N 1 2 292.766 3.792 20 0 CHADLO CC[C@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000844471517 1131569583 /nfs/dbraw/zinc/56/95/83/1131569583.db2.gz YDJGGXFWJJXCJV-ZFWWWQNUSA-N 1 2 272.348 3.529 20 0 CHADLO CCOC1C[NH+](Cc2ccc(-c3cccs3)s2)C1 ZINC001139016679 1131571113 /nfs/dbraw/zinc/57/11/13/1131571113.db2.gz ARLKSVFGZMKRRG-UHFFFAOYSA-N 1 2 279.430 3.697 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[N@@H+]1CCOC[C@@H]1C ZINC001139067693 1131579873 /nfs/dbraw/zinc/57/98/73/1131579873.db2.gz HXBDKYWOPKTGQT-HNNXBMFYSA-N 1 2 297.398 3.583 20 0 CHADLO COc1ccc(-c2ccccc2)cc1C[N@H+]1CCOC[C@@H]1C ZINC001139067693 1131579877 /nfs/dbraw/zinc/57/98/77/1131579877.db2.gz HXBDKYWOPKTGQT-HNNXBMFYSA-N 1 2 297.398 3.583 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144134340 1131589911 /nfs/dbraw/zinc/58/99/11/1131589911.db2.gz LKFCXUSVYYGNQX-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144134340 1131589913 /nfs/dbraw/zinc/58/99/13/1131589913.db2.gz LKFCXUSVYYGNQX-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CCCCc1nc(Cl)c(C[N@@H+]2CC[C@@](C)(CF)C2)[nH]1 ZINC001139176719 1131591534 /nfs/dbraw/zinc/59/15/34/1131591534.db2.gz UXFUJGPPHBCXRC-AWEZNQCLSA-N 1 2 287.810 3.587 20 0 CHADLO CCCCc1nc(Cl)c(C[N@H+]2CC[C@@](C)(CF)C2)[nH]1 ZINC001139176719 1131591539 /nfs/dbraw/zinc/59/15/39/1131591539.db2.gz UXFUJGPPHBCXRC-AWEZNQCLSA-N 1 2 287.810 3.587 20 0 CHADLO CCc1cc(C[N@H+](C)Cc2nccs2)cc(CC)c1O ZINC001144151558 1131593013 /nfs/dbraw/zinc/59/30/13/1131593013.db2.gz XWUSYCICDPTHMK-UHFFFAOYSA-N 1 2 290.432 3.606 20 0 CHADLO CCc1cc(C[N@@H+](C)Cc2nccs2)cc(CC)c1O ZINC001144151558 1131593016 /nfs/dbraw/zinc/59/30/16/1131593016.db2.gz XWUSYCICDPTHMK-UHFFFAOYSA-N 1 2 290.432 3.606 20 0 CHADLO CC(C)c1ccc(NC(=O)Nc2cc[nH+]c3c2CCC3)cc1 ZINC001202915610 1131599402 /nfs/dbraw/zinc/59/94/02/1131599402.db2.gz FKBXIDPAKALNKY-UHFFFAOYSA-N 1 2 295.386 3.760 20 0 CHADLO Oc1ccc2cc(C[N@@H+]3CCC(F)(F)[C@H](F)C3)ccc2c1 ZINC001139337171 1131602705 /nfs/dbraw/zinc/60/27/05/1131602705.db2.gz LMHJLQUACBZZGI-OAHLLOKOSA-N 1 2 295.304 3.725 20 0 CHADLO Oc1ccc2cc(C[N@H+]3CCC(F)(F)[C@H](F)C3)ccc2c1 ZINC001139337171 1131602706 /nfs/dbraw/zinc/60/27/06/1131602706.db2.gz LMHJLQUACBZZGI-OAHLLOKOSA-N 1 2 295.304 3.725 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1ccc3cc(O)ccc3c1)C2 ZINC001139342687 1131603057 /nfs/dbraw/zinc/60/30/57/1131603057.db2.gz TVHLGSYKXOBZLW-UHFFFAOYSA-N 1 2 290.366 3.765 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1ccc3cc(O)ccc3c1)C2 ZINC001139342687 1131603059 /nfs/dbraw/zinc/60/30/59/1131603059.db2.gz TVHLGSYKXOBZLW-UHFFFAOYSA-N 1 2 290.366 3.765 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139355048 1131604103 /nfs/dbraw/zinc/60/41/03/1131604103.db2.gz IUJKPMSWOPSOIR-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2cc(Cl)cnc2Cl)C1 ZINC001139355048 1131604104 /nfs/dbraw/zinc/60/41/04/1131604104.db2.gz IUJKPMSWOPSOIR-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1ccc(O)cn1 ZINC001144263277 1131609233 /nfs/dbraw/zinc/60/92/33/1131609233.db2.gz RUNCTKOYYUJLDH-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1ccc(O)cn1 ZINC001144263277 1131609234 /nfs/dbraw/zinc/60/92/34/1131609234.db2.gz RUNCTKOYYUJLDH-NSHDSACASA-N 1 2 276.767 3.634 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2ccc3[nH+]ccn3c2)c(C)c1 ZINC001202949418 1131617370 /nfs/dbraw/zinc/61/73/70/1131617370.db2.gz DKXCJMTWMNJFFG-UHFFFAOYSA-N 1 2 294.358 3.904 20 0 CHADLO C[C@H](CC(=O)O[C@H](C)c1ccc(Cl)cc1)n1cc[nH+]c1 ZINC000845282694 1131624689 /nfs/dbraw/zinc/62/46/89/1131624689.db2.gz VBWMAXKQTBRMKS-VXGBXAGGSA-N 1 2 292.766 3.792 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1ccccc1C(F)F ZINC001139799546 1131637419 /nfs/dbraw/zinc/63/74/19/1131637419.db2.gz QYVYQEDSVBBZEQ-UHFFFAOYSA-N 1 2 299.361 3.788 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1ccccc1C(F)F ZINC001139799546 1131637423 /nfs/dbraw/zinc/63/74/23/1131637423.db2.gz QYVYQEDSVBBZEQ-UHFFFAOYSA-N 1 2 299.361 3.788 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@H]2OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845504603 1131638736 /nfs/dbraw/zinc/63/87/36/1131638736.db2.gz XRHPBQBBXHVZKE-IJEWVQPXSA-N 1 2 298.386 3.934 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@@H+]2Cc2ccnc(F)c2)c1 ZINC001139835407 1131640318 /nfs/dbraw/zinc/64/03/18/1131640318.db2.gz XAOFZXHOZNLMCR-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(F)cc([C@H]2CCC[N@H+]2Cc2ccnc(F)c2)c1 ZINC001139835407 1131640321 /nfs/dbraw/zinc/64/03/21/1131640321.db2.gz XAOFZXHOZNLMCR-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO COc1cc(Cl)cc(C[N@@H+]2CCCC[C@@H](F)C2)c1 ZINC001144479585 1131642191 /nfs/dbraw/zinc/64/21/91/1131642191.db2.gz ZBFZJIGYWOUYFD-CYBMUJFWSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(Cl)cc(C[N@H+]2CCCC[C@@H](F)C2)c1 ZINC001144479585 1131642193 /nfs/dbraw/zinc/64/21/93/1131642193.db2.gz ZBFZJIGYWOUYFD-CYBMUJFWSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(Cl)cc(C[N@@H+]2CCC[C@](C)(F)C2)c1 ZINC001144480802 1131642497 /nfs/dbraw/zinc/64/24/97/1131642497.db2.gz IDLXEHKHUVQGOY-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cc(Cl)cc(C[N@H+]2CCC[C@](C)(F)C2)c1 ZINC001144480802 1131642502 /nfs/dbraw/zinc/64/25/02/1131642502.db2.gz IDLXEHKHUVQGOY-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cc2ccccc2n1C ZINC001139871852 1131643024 /nfs/dbraw/zinc/64/30/24/1131643024.db2.gz UEJMIWZZGVSOSX-CQSZACIVSA-N 1 2 279.387 3.557 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cc2ccccc2n1C ZINC001139871852 1131643031 /nfs/dbraw/zinc/64/30/31/1131643031.db2.gz UEJMIWZZGVSOSX-CQSZACIVSA-N 1 2 279.387 3.557 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCCC(=O)[C@@H](C)C1 ZINC001139883769 1131646674 /nfs/dbraw/zinc/64/66/74/1131646674.db2.gz HPVCZIOFQRRNER-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCCC(=O)[C@@H](C)C1 ZINC001139883769 1131646676 /nfs/dbraw/zinc/64/66/76/1131646676.db2.gz HPVCZIOFQRRNER-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(F)c2ccccc12 ZINC001139892247 1131647667 /nfs/dbraw/zinc/64/76/67/1131647667.db2.gz OEWAXYGKUPCGGC-UHFFFAOYSA-N 1 2 270.307 3.599 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(F)c2ccccc12 ZINC001139892247 1131647670 /nfs/dbraw/zinc/64/76/70/1131647670.db2.gz OEWAXYGKUPCGGC-UHFFFAOYSA-N 1 2 270.307 3.599 20 0 CHADLO Cc1cc(-c2ccc(F)c(C(F)(F)F)c2)c[nH+]c1N ZINC000228453788 1131648464 /nfs/dbraw/zinc/64/84/64/1131648464.db2.gz TWUYHEFBKYGBHV-UHFFFAOYSA-N 1 2 270.229 3.797 20 0 CHADLO CCc1cccc(F)c1C[N@H+](C)Cc1c(C)noc1C ZINC000792793150 1131652733 /nfs/dbraw/zinc/65/27/33/1131652733.db2.gz DHUJVNSCGKEWBD-UHFFFAOYSA-N 1 2 276.355 3.625 20 0 CHADLO CCc1cccc(F)c1C[N@@H+](C)Cc1c(C)noc1C ZINC000792793150 1131652737 /nfs/dbraw/zinc/65/27/37/1131652737.db2.gz DHUJVNSCGKEWBD-UHFFFAOYSA-N 1 2 276.355 3.625 20 0 CHADLO CC[C@@H]1CC[N@H+](Cc2sc(Cl)nc2Cl)C1 ZINC001139960185 1131652786 /nfs/dbraw/zinc/65/27/86/1131652786.db2.gz VNLPPVIWLSZOIN-SSDOTTSWSA-N 1 2 265.209 3.682 20 0 CHADLO CC[C@@H]1CC[N@@H+](Cc2sc(Cl)nc2Cl)C1 ZINC001139960185 1131652790 /nfs/dbraw/zinc/65/27/90/1131652790.db2.gz VNLPPVIWLSZOIN-SSDOTTSWSA-N 1 2 265.209 3.682 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCC[C@]3(CC3(F)F)C2)c1 ZINC000845743990 1131655067 /nfs/dbraw/zinc/65/50/67/1131655067.db2.gz PZRJHLVCLMLNFX-HNNXBMFYSA-N 1 2 292.304 4.000 20 0 CHADLO Cc1ccc(CC[N@@H+](C)Cc2c(F)cc(O)cc2F)cc1 ZINC001144554840 1131656308 /nfs/dbraw/zinc/65/63/08/1131656308.db2.gz JIMYOKJOLULLMK-UHFFFAOYSA-N 1 2 291.341 3.653 20 0 CHADLO Cc1ccc(CC[N@H+](C)Cc2c(F)cc(O)cc2F)cc1 ZINC001144554840 1131656309 /nfs/dbraw/zinc/65/63/09/1131656309.db2.gz JIMYOKJOLULLMK-UHFFFAOYSA-N 1 2 291.341 3.653 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2c(F)cc(O)cc2F)cc1C ZINC001144561806 1131660035 /nfs/dbraw/zinc/66/00/35/1131660035.db2.gz NJDMKMQYWOWXGY-UHFFFAOYSA-N 1 2 291.341 3.919 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2c(F)cc(O)cc2F)cc1C ZINC001144561806 1131660037 /nfs/dbraw/zinc/66/00/37/1131660037.db2.gz NJDMKMQYWOWXGY-UHFFFAOYSA-N 1 2 291.341 3.919 20 0 CHADLO COC[C@@H](CC(C)(C)C)Nc1ccc([NH+](C)C)cc1 ZINC000845914620 1131667997 /nfs/dbraw/zinc/66/79/97/1131667997.db2.gz LZVADGQYLGSYSL-CQSZACIVSA-N 1 2 264.413 3.616 20 0 CHADLO CCCN(Cc1[nH+]cn2ccccc12)Cc1ccccc1 ZINC001144622435 1131669075 /nfs/dbraw/zinc/66/90/75/1131669075.db2.gz IFMNDLDJOZNTIM-UHFFFAOYSA-N 1 2 279.387 3.747 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(F)c(O)cc2F)CCC1(F)F ZINC001144648732 1131673847 /nfs/dbraw/zinc/67/38/47/1131673847.db2.gz WMQJCHIIXJUIFH-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCCc3ccccc3C2)cc1F ZINC001144657924 1131675806 /nfs/dbraw/zinc/67/58/06/1131675806.db2.gz NJPNEJSXGPXOEY-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCCc3ccccc3C2)cc1F ZINC001144657924 1131675811 /nfs/dbraw/zinc/67/58/11/1131675811.db2.gz NJPNEJSXGPXOEY-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144727445 1131691819 /nfs/dbraw/zinc/69/18/19/1131691819.db2.gz WPNPVSRTDAZGQC-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@@H+](Cc2cc(F)c(F)cc2Cl)C1 ZINC001144727445 1131691823 /nfs/dbraw/zinc/69/18/23/1131691823.db2.gz WPNPVSRTDAZGQC-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO Cc1ccccc1C1([NH2+][C@H]2CCCc3[nH]ncc32)CCC1 ZINC000846171855 1131693651 /nfs/dbraw/zinc/69/36/51/1131693651.db2.gz IJEBTMSSZCHQGV-INIZCTEOSA-N 1 2 281.403 3.765 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccccc1-c1cccnc1 ZINC001140319519 1131696316 /nfs/dbraw/zinc/69/63/16/1131696316.db2.gz AESJHLIBPRODGT-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccccc1-c1cccnc1 ZINC001140319519 1131696317 /nfs/dbraw/zinc/69/63/17/1131696317.db2.gz AESJHLIBPRODGT-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO CCc1cccnc1[C@@H](C)[NH2+]Cc1cc(F)cnc1Cl ZINC000834834939 1131709537 /nfs/dbraw/zinc/70/95/37/1131709537.db2.gz GFROUEKXNIABBN-SNVBAGLBSA-N 1 2 293.773 3.682 20 0 CHADLO CC[C@@H]([NH2+][C@H]1CCn2ccnc21)c1cccc(Cl)c1F ZINC000834833088 1131709562 /nfs/dbraw/zinc/70/95/62/1131709562.db2.gz UVOVOOBGQLVOOQ-OLZOCXBDSA-N 1 2 293.773 3.861 20 0 CHADLO CCc1nc(C[NH2+][C@@H]2CCSc3c(F)cccc32)co1 ZINC000834898638 1131724289 /nfs/dbraw/zinc/72/42/89/1131724289.db2.gz AMLUXKHKHJKZMT-CYBMUJFWSA-N 1 2 292.379 3.703 20 0 CHADLO CCc1nc(C[NH2+][C@@H](c2ccc(C)o2)C2CCC2)co1 ZINC000834901006 1131725899 /nfs/dbraw/zinc/72/58/99/1131725899.db2.gz YLDFXKOYTRZDSX-MRXNPFEDSA-N 1 2 274.364 3.769 20 0 CHADLO CC(C)c1ncc(CNc2cc3cc[nH]c3c[nH+]2)s1 ZINC000846777681 1131733078 /nfs/dbraw/zinc/73/30/78/1131733078.db2.gz TWQYRBLNUOQDJJ-UHFFFAOYSA-N 1 2 272.377 3.755 20 0 CHADLO Clc1ccc2ncc(C[NH+]3CC4(C3)CCCCC4)n2c1 ZINC001140431722 1131734487 /nfs/dbraw/zinc/73/44/87/1131734487.db2.gz JBFSWAISILQBBW-UHFFFAOYSA-N 1 2 289.810 3.754 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2cnc(Cl)c(F)c2)n1 ZINC000846825897 1131739068 /nfs/dbraw/zinc/73/90/68/1131739068.db2.gz LNWDCKIVFGMWFR-UHFFFAOYSA-N 1 2 299.802 3.664 20 0 CHADLO CC1(C)C[N@H+](Cc2c[nH]c3cccc(Cl)c23)CCC1=O ZINC001140446040 1131740702 /nfs/dbraw/zinc/74/07/02/1131740702.db2.gz DAZSQRDWJVTKMX-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO CC1(C)C[N@@H+](Cc2c[nH]c3cccc(Cl)c23)CCC1=O ZINC001140446040 1131740708 /nfs/dbraw/zinc/74/07/08/1131740708.db2.gz DAZSQRDWJVTKMX-UHFFFAOYSA-N 1 2 290.794 3.622 20 0 CHADLO Cc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1cnsn1 ZINC000846843839 1131749732 /nfs/dbraw/zinc/74/97/32/1131749732.db2.gz IKUCDBDEOCWSJK-OAHLLOKOSA-N 1 2 275.421 3.724 20 0 CHADLO C[C@@H]1C[C@H]1[C@H]([NH2+]Cc1cnsn1)c1ccc(Cl)cc1 ZINC000846849816 1131751228 /nfs/dbraw/zinc/75/12/28/1131751228.db2.gz OAUNJPVNOLXTRL-OWYVNGRQSA-N 1 2 293.823 3.678 20 0 CHADLO Clc1cccc(C2([NH2+]Cc3cnsn3)CCCC2)c1 ZINC000846846437 1131751492 /nfs/dbraw/zinc/75/14/92/1131751492.db2.gz FZBAMLFZHRHFHV-UHFFFAOYSA-N 1 2 293.823 3.751 20 0 CHADLO c1nsnc1C[NH2+][C@H](CC1CCCC1)c1ccccc1 ZINC000846847176 1131751519 /nfs/dbraw/zinc/75/15/19/1131751519.db2.gz KZDUELSYOHIONW-MRXNPFEDSA-N 1 2 287.432 3.949 20 0 CHADLO C[C@@H]1CC[C@H](c2ccccc2)[N@H+](Cc2cnsn2)C1 ZINC000846898290 1131757944 /nfs/dbraw/zinc/75/79/44/1131757944.db2.gz DGLQDZXFODTIRZ-IUODEOHRSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@@H]1CC[C@H](c2ccccc2)[N@@H+](Cc2cnsn2)C1 ZINC000846898290 1131757949 /nfs/dbraw/zinc/75/79/49/1131757949.db2.gz DGLQDZXFODTIRZ-IUODEOHRSA-N 1 2 273.405 3.511 20 0 CHADLO COc1cccc(/C=C/c2ccccc2OC2C[NH+](C)C2)c1 ZINC001228022499 1131790919 /nfs/dbraw/zinc/79/09/19/1131790919.db2.gz XRJUHEPSJNAPIU-ZHACJKMWSA-N 1 2 295.382 3.558 20 0 CHADLO Cc1cc(N[C@H]2COCc3ccccc32)ccc1[NH+](C)C ZINC001171293627 1131805104 /nfs/dbraw/zinc/80/51/04/1131805104.db2.gz FFUHTKVERGXUCW-KRWDZBQOSA-N 1 2 282.387 3.744 20 0 CHADLO C[C@H]1CC[C@H](CO)C[N@@H+]1Cc1cc(Cl)sc1Cl ZINC001140585062 1131810167 /nfs/dbraw/zinc/81/01/67/1131810167.db2.gz QUEWZWBFAMITTN-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO C[C@H]1CC[C@H](CO)C[N@H+]1Cc1cc(Cl)sc1Cl ZINC001140585062 1131810180 /nfs/dbraw/zinc/81/01/80/1131810180.db2.gz QUEWZWBFAMITTN-IUCAKERBSA-N 1 2 294.247 3.648 20 0 CHADLO CCCOc1ccccc1OC1C[NH+](Cc2ccccc2)C1 ZINC001228181924 1131825264 /nfs/dbraw/zinc/82/52/64/1131825264.db2.gz KLPGCJMLDKIZFS-UHFFFAOYSA-N 1 2 297.398 3.739 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1cc(Cl)ncc1F ZINC001140607660 1131825796 /nfs/dbraw/zinc/82/57/96/1131825796.db2.gz RSLJTQWYMPMNDJ-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](C)COc3ccccc3)cc2[nH+]1 ZINC001228328069 1131858631 /nfs/dbraw/zinc/85/86/31/1131858631.db2.gz ZVYPOZLZSREXDD-GFCCVEGCSA-N 1 2 282.343 3.718 20 0 CHADLO Cc1[nH]c2ccc(O[C@H]3COCc4ccccc43)cc2[nH+]1 ZINC001228327750 1131859685 /nfs/dbraw/zinc/85/96/85/1131859685.db2.gz UTNHGKQCCWWKQN-KRWDZBQOSA-N 1 2 280.327 3.522 20 0 CHADLO Nc1ccc(Cl)cc1C[N@@H+]1CCc2ccc(F)cc2C1 ZINC001140716919 1131894272 /nfs/dbraw/zinc/89/42/72/1131894272.db2.gz CZPAECFQPGHCOE-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(Cl)cc1C[N@H+]1CCc2ccc(F)cc2C1 ZINC001140716919 1131894279 /nfs/dbraw/zinc/89/42/79/1131894279.db2.gz CZPAECFQPGHCOE-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(Cl)cc1C[N@@H+]1CCc2cc(F)ccc2C1 ZINC001140718005 1131894944 /nfs/dbraw/zinc/89/49/44/1131894944.db2.gz QPUWTKNCEYSSIZ-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(Cl)cc1C[N@H+]1CCc2cc(F)ccc2C1 ZINC001140718005 1131894956 /nfs/dbraw/zinc/89/49/56/1131894956.db2.gz QPUWTKNCEYSSIZ-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)c(Cl)c1 ZINC001140796393 1131921653 /nfs/dbraw/zinc/92/16/53/1131921653.db2.gz GPHSQAVPBCKJOG-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)c(Cl)c1 ZINC001140796393 1131921659 /nfs/dbraw/zinc/92/16/59/1131921659.db2.gz GPHSQAVPBCKJOG-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3ncccc3C2)c(Cl)c1 ZINC001140798762 1131925254 /nfs/dbraw/zinc/92/52/54/1131925254.db2.gz UICIEYVWYRNVCY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3ncccc3C2)c(Cl)c1 ZINC001140798762 1131925259 /nfs/dbraw/zinc/92/52/59/1131925259.db2.gz UICIEYVWYRNVCY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1ccc(O)c(F)c1F ZINC001140889812 1131958370 /nfs/dbraw/zinc/95/83/70/1131958370.db2.gz LNRJDHKFQNKKPR-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1ccc(O)c(F)c1F ZINC001140889812 1131958374 /nfs/dbraw/zinc/95/83/74/1131958374.db2.gz LNRJDHKFQNKKPR-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]([NH2+]Cc1scnc1Cl)c1ccc(F)cc1F ZINC000713448067 1131963154 /nfs/dbraw/zinc/96/31/54/1131963154.db2.gz YUMWUMIPJPRMFP-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO C[N@H+](Cc1cc(F)c(O)c(F)c1)Cc1ccc(F)cc1F ZINC001140990495 1131979327 /nfs/dbraw/zinc/97/93/27/1131979327.db2.gz CCUPQZVTSHRSTR-UHFFFAOYSA-N 1 2 299.267 3.581 20 0 CHADLO C[N@@H+](Cc1cc(F)c(O)c(F)c1)Cc1ccc(F)cc1F ZINC001140990495 1131979331 /nfs/dbraw/zinc/97/93/31/1131979331.db2.gz CCUPQZVTSHRSTR-UHFFFAOYSA-N 1 2 299.267 3.581 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)OCc2ccccc2)c(C)[nH+]1 ZINC000836632343 1131983455 /nfs/dbraw/zinc/98/34/55/1131983455.db2.gz LFIOAYFAYGCALP-UHFFFAOYSA-N 1 2 299.374 3.602 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1ncco1 ZINC001141011059 1131985209 /nfs/dbraw/zinc/98/52/09/1131985209.db2.gz NIPMZZNYBQOYNG-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1ncco1 ZINC001141011059 1131985213 /nfs/dbraw/zinc/98/52/13/1131985213.db2.gz NIPMZZNYBQOYNG-SNVBAGLBSA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1cccnc1 ZINC000037102339 1131994820 /nfs/dbraw/zinc/99/48/20/1131994820.db2.gz KYJZNCRZEPWZLC-CQSZACIVSA-N 1 2 267.376 3.855 20 0 CHADLO CC[N@H+](Cc1cnccc1C)Cc1cccc(F)c1F ZINC001141048612 1131996152 /nfs/dbraw/zinc/99/61/52/1131996152.db2.gz VVDDASIQYISOKL-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1cnccc1C)Cc1cccc(F)c1F ZINC001141048612 1131996160 /nfs/dbraw/zinc/99/61/60/1131996160.db2.gz VVDDASIQYISOKL-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](C)c2ccns2)c(C)s1 ZINC000716033325 1132001513 /nfs/dbraw/zinc/00/15/13/1132001513.db2.gz KMPFQILYYADYMQ-HTQZYQBOSA-N 1 2 267.423 3.628 20 0 CHADLO C[C@@H]1CC(=O)CC[N@@H+]1Cc1cccc(C(C)(C)C)c1 ZINC001141102622 1132015967 /nfs/dbraw/zinc/01/59/67/1132015967.db2.gz ITWUQVLXFYTMAC-CYBMUJFWSA-N 1 2 259.393 3.538 20 0 CHADLO C[C@@H]1CC(=O)CC[N@H+]1Cc1cccc(C(C)(C)C)c1 ZINC001141102622 1132015975 /nfs/dbraw/zinc/01/59/75/1132015975.db2.gz ITWUQVLXFYTMAC-CYBMUJFWSA-N 1 2 259.393 3.538 20 0 CHADLO Cc1n[nH]c(C[NH2+][C@H](C)c2c(F)cccc2Cl)c1C ZINC000716460758 1132026188 /nfs/dbraw/zinc/02/61/88/1132026188.db2.gz WBYUQUPMPYETBR-SNVBAGLBSA-N 1 2 281.762 3.670 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccccc2CC(C)C)c[nH+]1 ZINC000837104580 1132038481 /nfs/dbraw/zinc/03/84/81/1132038481.db2.gz GGQYLDCVKGUQJH-UHFFFAOYSA-N 1 2 296.414 3.827 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2c2nccs2)c(F)c1 ZINC001141196964 1132042885 /nfs/dbraw/zinc/04/28/85/1132042885.db2.gz ZNXSKYVGLXJRDB-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2c2nccs2)c(F)c1 ZINC001141196964 1132042892 /nfs/dbraw/zinc/04/28/92/1132042892.db2.gz ZNXSKYVGLXJRDB-AWEZNQCLSA-N 1 2 276.380 3.928 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1cccc(-n3ccnc3)c1)C2 ZINC001141242929 1132058824 /nfs/dbraw/zinc/05/88/24/1132058824.db2.gz ONMOVDPBADHJIU-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1cccc(-n3ccnc3)c1)C2 ZINC001141242929 1132058827 /nfs/dbraw/zinc/05/88/27/1132058827.db2.gz ONMOVDPBADHJIU-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1ccncc1 ZINC001204081015 1132119905 /nfs/dbraw/zinc/11/99/05/1132119905.db2.gz GWFBVVIMHFGPEV-IRXDYDNUSA-N 1 2 276.424 3.845 20 0 CHADLO CC[C@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1ccncc1 ZINC001204081015 1132119910 /nfs/dbraw/zinc/11/99/10/1132119910.db2.gz GWFBVVIMHFGPEV-IRXDYDNUSA-N 1 2 276.424 3.845 20 0 CHADLO C1=CC=C([NH2+][C@H]2C[C@H]3CCC[C@@H](C2)[N@H+]3Cc2ccccc2)[CH]1 ZINC001172076824 1132124018 /nfs/dbraw/zinc/12/40/18/1132124018.db2.gz MIZWXLWVNTZDJE-ACDBMABISA-N 1 2 293.434 3.820 20 0 CHADLO C1=CC=C([NH2+][C@H]2C[C@H]3CCC[C@@H](C2)[N@@H+]3Cc2ccccc2)[CH]1 ZINC001172076824 1132124023 /nfs/dbraw/zinc/12/40/23/1132124023.db2.gz MIZWXLWVNTZDJE-ACDBMABISA-N 1 2 293.434 3.820 20 0 CHADLO c1cn(-c2ccc(O[C@H](c3cccnc3)C3CC3)cc2)c[nH+]1 ZINC001229954099 1132135113 /nfs/dbraw/zinc/13/51/13/1132135113.db2.gz IRPXYNVRXWEVIL-SFHVURJKSA-N 1 2 291.354 3.797 20 0 CHADLO Cc1cnc(F)c([C@@H](C)Oc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001229954009 1132135884 /nfs/dbraw/zinc/13/58/84/1132135884.db2.gz HPEVDNYOVBPTNM-CYBMUJFWSA-N 1 2 297.333 3.855 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2ccncc2)c(Cl)n1 ZINC000838904045 1132156125 /nfs/dbraw/zinc/15/61/25/1132156125.db2.gz FLCQGQDOOLNKBZ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2ccncc2)c(Cl)n1 ZINC000838904045 1132156127 /nfs/dbraw/zinc/15/61/27/1132156127.db2.gz FLCQGQDOOLNKBZ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2cccnc2)c(Cl)n1 ZINC000838904080 1132156882 /nfs/dbraw/zinc/15/68/82/1132156882.db2.gz GNZYROKFQRIHLL-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2cccnc2)c(Cl)n1 ZINC000838904080 1132156887 /nfs/dbraw/zinc/15/68/87/1132156887.db2.gz GNZYROKFQRIHLL-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CCn1ncc(C[NH2+][C@@H](c2cc3ccccc3o2)C(C)C)n1 ZINC000838958772 1132160411 /nfs/dbraw/zinc/16/04/11/1132160411.db2.gz SBSRAWUAOMNFPJ-QGZVFWFLSA-N 1 2 298.390 3.531 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001172334815 1132173893 /nfs/dbraw/zinc/17/38/93/1132173893.db2.gz CTUDPKHFPSYICE-AVGNSLFASA-N 1 2 281.362 3.649 20 0 CHADLO CC[C@H](C)OCC[C@H](C)[N@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001172334815 1132173896 /nfs/dbraw/zinc/17/38/96/1132173896.db2.gz CTUDPKHFPSYICE-AVGNSLFASA-N 1 2 281.362 3.649 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@@H+]1Cc1c[nH]c2cc(Cl)ccc12 ZINC001141580006 1132188059 /nfs/dbraw/zinc/18/80/59/1132188059.db2.gz TXEXOLVPCMVMDH-RYUDHWBXSA-N 1 2 284.737 3.703 20 0 CHADLO FC[C@@H]1C[C@H](F)C[N@H+]1Cc1c[nH]c2cc(Cl)ccc12 ZINC001141580006 1132188063 /nfs/dbraw/zinc/18/80/63/1132188063.db2.gz TXEXOLVPCMVMDH-RYUDHWBXSA-N 1 2 284.737 3.703 20 0 CHADLO CCOCC[C@@H](C)[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001172427111 1132204100 /nfs/dbraw/zinc/20/41/00/1132204100.db2.gz MIDIQVGBMWXIHO-CJNGLKHVSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCC[C@@H](C)[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001172427111 1132204105 /nfs/dbraw/zinc/20/41/05/1132204105.db2.gz MIDIQVGBMWXIHO-CJNGLKHVSA-N 1 2 297.826 3.528 20 0 CHADLO CCCC(CCC)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920807 1132227478 /nfs/dbraw/zinc/22/74/78/1132227478.db2.gz UVMNHWXODPJSQB-UHFFFAOYSA-N 1 2 261.365 3.715 20 0 CHADLO S=c1ncccn1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000840076608 1132246157 /nfs/dbraw/zinc/24/61/57/1132246157.db2.gz FTIXEHRGOUCMEX-HNNXBMFYSA-N 1 2 297.427 3.797 20 0 CHADLO S=c1ncccn1C[N@H+]1CC2(CCC2)[C@@H]1c1ccccc1 ZINC000840076608 1132246159 /nfs/dbraw/zinc/24/61/59/1132246159.db2.gz FTIXEHRGOUCMEX-HNNXBMFYSA-N 1 2 297.427 3.797 20 0 CHADLO C[C@@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccncc1 ZINC001231083612 1132252840 /nfs/dbraw/zinc/25/28/40/1132252840.db2.gz LTQNAWMQWLIRRD-GFCCVEGCSA-N 1 2 265.316 3.612 20 0 CHADLO Cc1ccc([C@@H](C)Oc2ccc(-c3c[nH+]cn3C)cc2)cn1 ZINC001231081782 1132253078 /nfs/dbraw/zinc/25/30/78/1132253078.db2.gz MCTHCRSQADKGJB-CQSZACIVSA-N 1 2 293.370 3.931 20 0 CHADLO C[C@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccccn1 ZINC001231080180 1132253760 /nfs/dbraw/zinc/25/37/60/1132253760.db2.gz AFBUPHAJRYJONY-LBPRGKRZSA-N 1 2 265.316 3.612 20 0 CHADLO CC1(C)C(=O)CCC[C@@H]1Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231080630 1132254058 /nfs/dbraw/zinc/25/40/58/1132254058.db2.gz GHGSNCAWAXKWIY-HNNXBMFYSA-N 1 2 284.359 3.603 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@@H]2C[C@@H]3CC[C@H]2C3)cc1 ZINC001231084087 1132255152 /nfs/dbraw/zinc/25/51/52/1132255152.db2.gz WHFKHLJIVFECSH-HACGYAERSA-N 1 2 268.360 3.655 20 0 CHADLO FC1(F)CC[C@@H](Oc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC001231085551 1132255213 /nfs/dbraw/zinc/25/52/13/1132255213.db2.gz VNSJFLMQOYWXGW-GFCCVEGCSA-N 1 2 264.275 3.643 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)[C@H]1C[C@@H]1C ZINC000840741646 1132279979 /nfs/dbraw/zinc/27/99/79/1132279979.db2.gz FOHZVWGLEHBPNH-USJZOSNVSA-N 1 2 288.435 3.758 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2CCCC[C@@H](F)C2)c1F ZINC001231476291 1132295952 /nfs/dbraw/zinc/29/59/52/1132295952.db2.gz OSGBAUSFZDTYRA-GFCCVEGCSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2CCCC[C@@H](F)C2)c1F ZINC001231476291 1132295958 /nfs/dbraw/zinc/29/59/58/1132295958.db2.gz OSGBAUSFZDTYRA-GFCCVEGCSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2C[C@@H]3C[C@H]2[C@@H](C(F)(F)F)O3)cc1C ZINC001231485252 1132301716 /nfs/dbraw/zinc/30/17/16/1132301716.db2.gz IVEAHVOFHSMPTM-KKUMJFAQSA-N 1 2 299.336 3.516 20 0 CHADLO Cc1cc(C)c(C[N@H+]2C[C@@H]3C[C@H]2[C@@H](C(F)(F)F)O3)cc1C ZINC001231485252 1132301724 /nfs/dbraw/zinc/30/17/24/1132301724.db2.gz IVEAHVOFHSMPTM-KKUMJFAQSA-N 1 2 299.336 3.516 20 0 CHADLO Cc1c(C)c(C)c(C[N@@H+]2CCCC(=O)[C@H](F)C2)c(C)c1C ZINC001231492475 1132302537 /nfs/dbraw/zinc/30/25/37/1132302537.db2.gz KVVNZHMWISTMAG-QGZVFWFLSA-N 1 2 291.410 3.732 20 0 CHADLO Cc1c(C)c(C)c(C[N@H+]2CCCC(=O)[C@H](F)C2)c(C)c1C ZINC001231492475 1132302540 /nfs/dbraw/zinc/30/25/40/1132302540.db2.gz KVVNZHMWISTMAG-QGZVFWFLSA-N 1 2 291.410 3.732 20 0 CHADLO O=C1CC[NH+](Cc2cccc(-c3ccccc3)c2)CC1 ZINC001231507500 1132307606 /nfs/dbraw/zinc/30/76/06/1132307606.db2.gz CVBRMXIEQDSIAN-UHFFFAOYSA-N 1 2 265.356 3.519 20 0 CHADLO COc1cc(F)ccc1C[N@H+](Cc1ccco1)C1CC1 ZINC001231605276 1132325189 /nfs/dbraw/zinc/32/51/89/1132325189.db2.gz KCNGKCRPSZCLER-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO COc1cc(F)ccc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001231605276 1132325196 /nfs/dbraw/zinc/32/51/96/1132325196.db2.gz KCNGKCRPSZCLER-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO Fc1ccc(F)c(CNc2c[nH+]cc3c2CCCC3)c1 ZINC001203118429 1132335104 /nfs/dbraw/zinc/33/51/04/1132335104.db2.gz HTBFHJZEZCEDBS-UHFFFAOYSA-N 1 2 274.314 3.851 20 0 CHADLO CC(C)Oc1ccc(C[NH+]2CC3(CSC3)C2)cc1Cl ZINC001231714040 1132345725 /nfs/dbraw/zinc/34/57/25/1132345725.db2.gz PTMMPZUACWDEPY-UHFFFAOYSA-N 1 2 297.851 3.676 20 0 CHADLO CC(C)[C@H]1C[N@@H+]([C@H](C)Cc2c(F)cccc2Cl)CCO1 ZINC001172863161 1132345766 /nfs/dbraw/zinc/34/57/66/1132345766.db2.gz USQUQLQHIMPFPV-MLGOLLRUSA-N 1 2 299.817 3.767 20 0 CHADLO CC(C)[C@H]1C[N@H+]([C@H](C)Cc2c(F)cccc2Cl)CCO1 ZINC001172863161 1132345775 /nfs/dbraw/zinc/34/57/75/1132345775.db2.gz USQUQLQHIMPFPV-MLGOLLRUSA-N 1 2 299.817 3.767 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1Cl)[N@@H+]1CCC(=O)C(C)(C)C1 ZINC001172870192 1132350239 /nfs/dbraw/zinc/35/02/39/1132350239.db2.gz UTCUASXTRJJPBI-NSHDSACASA-N 1 2 297.801 3.711 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1Cl)[N@H+]1CCC(=O)C(C)(C)C1 ZINC001172870192 1132350245 /nfs/dbraw/zinc/35/02/45/1132350245.db2.gz UTCUASXTRJJPBI-NSHDSACASA-N 1 2 297.801 3.711 20 0 CHADLO CCc1cccnc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212694064 1132374626 /nfs/dbraw/zinc/37/46/26/1132374626.db2.gz IESOYUJRJPHABJ-UHFFFAOYSA-N 1 2 278.359 3.632 20 0 CHADLO CC(C)c1nccnc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212695455 1132374900 /nfs/dbraw/zinc/37/49/00/1132374900.db2.gz IXHYHNRQLGAXKX-UHFFFAOYSA-N 1 2 293.374 3.588 20 0 CHADLO Cc1csc2sc(C[N@@H+]3CCC(=O)[C@H](C)C3)c(C)c12 ZINC001231828821 1132376682 /nfs/dbraw/zinc/37/66/82/1132376682.db2.gz MGPTWMBROUYOCX-SECBINFHSA-N 1 2 293.457 3.991 20 0 CHADLO Cc1csc2sc(C[N@H+]3CCC(=O)[C@H](C)C3)c(C)c12 ZINC001231828821 1132376689 /nfs/dbraw/zinc/37/66/89/1132376689.db2.gz MGPTWMBROUYOCX-SECBINFHSA-N 1 2 293.457 3.991 20 0 CHADLO Cc1ccc(N)cc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212697945 1132377024 /nfs/dbraw/zinc/37/70/24/1132377024.db2.gz CYAVOAYXTAAZMY-UHFFFAOYSA-N 1 2 278.359 3.566 20 0 CHADLO c1cn(Cc2ccc(Nc3cccc4cnoc43)cc2)c[nH+]1 ZINC001212698923 1132377159 /nfs/dbraw/zinc/37/71/59/1132377159.db2.gz DNDCHOKKIVBFLO-UHFFFAOYSA-N 1 2 290.326 3.816 20 0 CHADLO Cc1ccc2ccccc2c1C[N@@H+]1CCC(F)(F)C1 ZINC001142372077 1132377767 /nfs/dbraw/zinc/37/77/67/1132377767.db2.gz OBZNVILCPFSGHH-UHFFFAOYSA-N 1 2 261.315 3.989 20 0 CHADLO Cc1ccc2ccccc2c1C[N@H+]1CCC(F)(F)C1 ZINC001142372077 1132377771 /nfs/dbraw/zinc/37/77/71/1132377771.db2.gz OBZNVILCPFSGHH-UHFFFAOYSA-N 1 2 261.315 3.989 20 0 CHADLO Nc1cc(Cl)cc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212699998 1132377839 /nfs/dbraw/zinc/37/78/39/1132377839.db2.gz OJHZDLNYYNAHLI-UHFFFAOYSA-N 1 2 298.777 3.911 20 0 CHADLO Cc1ccsc1CNc1c[nH+]cc2c1CCCC2 ZINC001203120594 1132383081 /nfs/dbraw/zinc/38/30/81/1132383081.db2.gz ZRLROVQAZHVSQP-UHFFFAOYSA-N 1 2 258.390 3.942 20 0 CHADLO O[C@@H]1CC[N@@H+](C2CCC3(CCCCC3)CC2)CC1(F)F ZINC001173119047 1132399090 /nfs/dbraw/zinc/39/90/90/1132399090.db2.gz HHVKJCHYULVQBR-CQSZACIVSA-N 1 2 287.394 3.581 20 0 CHADLO O[C@@H]1CC[N@H+](C2CCC3(CCCCC3)CC2)CC1(F)F ZINC001173119047 1132399099 /nfs/dbraw/zinc/39/90/99/1132399099.db2.gz HHVKJCHYULVQBR-CQSZACIVSA-N 1 2 287.394 3.581 20 0 CHADLO CC[C@H](C)Oc1ccc(NCc2c[nH+]cn2CC)cc1 ZINC000841849459 1132400014 /nfs/dbraw/zinc/40/00/14/1132400014.db2.gz VOSSAELARSOOJL-ZDUSSCGKSA-N 1 2 273.380 3.692 20 0 CHADLO C[N@H+](Cc1cccc2cccnc21)Cc1ncccc1Cl ZINC001231959179 1132403969 /nfs/dbraw/zinc/40/39/69/1132403969.db2.gz OFZWTEZBPSZDBX-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@@H+](Cc1cccc2cccnc21)Cc1ncccc1Cl ZINC001231959179 1132403974 /nfs/dbraw/zinc/40/39/74/1132403974.db2.gz OFZWTEZBPSZDBX-UHFFFAOYSA-N 1 2 297.789 3.915 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc2ccnc(Cl)c2c1 ZINC001231982007 1132404840 /nfs/dbraw/zinc/40/48/40/1132404840.db2.gz RNNFATJOARHQOI-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc2ccnc(Cl)c2c1 ZINC001231982007 1132404846 /nfs/dbraw/zinc/40/48/46/1132404846.db2.gz RNNFATJOARHQOI-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2ccc3ccnc(Cl)c3c2)C1 ZINC001231977776 1132405765 /nfs/dbraw/zinc/40/57/65/1132405765.db2.gz QZWKVBIHGRHLTE-CYBMUJFWSA-N 1 2 278.758 3.822 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2ccc3ccnc(Cl)c3c2)C1 ZINC001231977776 1132405769 /nfs/dbraw/zinc/40/57/69/1132405769.db2.gz QZWKVBIHGRHLTE-CYBMUJFWSA-N 1 2 278.758 3.822 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)Cc1ccc(CC)cc1)C(=O)OCC ZINC001173245256 1132417537 /nfs/dbraw/zinc/41/75/37/1132417537.db2.gz SBZIFKKLXIDTJK-PBHICJAKSA-N 1 2 291.435 3.501 20 0 CHADLO FC(F)(F)c1cccc(C[N@@H+]2CCc3ccccc3C2)n1 ZINC001232066502 1132417621 /nfs/dbraw/zinc/41/76/21/1132417621.db2.gz GYDRLTFNZFWCNW-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cccc(C[N@H+]2CCc3ccccc3C2)n1 ZINC001232066502 1132417627 /nfs/dbraw/zinc/41/76/27/1132417627.db2.gz GYDRLTFNZFWCNW-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)OC[C@H](C)CC(F)F)c1ccccc1 ZINC000842439504 1132430889 /nfs/dbraw/zinc/43/08/89/1132430889.db2.gz PIIXAIOXTOWWAA-IUODEOHRSA-N 1 2 299.361 3.514 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)OC[C@H](C)CC(F)F)c1ccccc1 ZINC000842439504 1132430892 /nfs/dbraw/zinc/43/08/92/1132430892.db2.gz PIIXAIOXTOWWAA-IUODEOHRSA-N 1 2 299.361 3.514 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)ccn1 ZINC001232214514 1132437264 /nfs/dbraw/zinc/43/72/64/1132437264.db2.gz SFDMRHQTLGUQJJ-CZUORRHYSA-N 1 2 282.378 3.651 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)ccn1 ZINC001232214514 1132437265 /nfs/dbraw/zinc/43/72/65/1132437265.db2.gz SFDMRHQTLGUQJJ-CZUORRHYSA-N 1 2 282.378 3.651 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1cnsc1 ZINC001232229588 1132440882 /nfs/dbraw/zinc/44/08/82/1132440882.db2.gz QCXZNOKPRHPARR-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1cnsc1 ZINC001232229588 1132440887 /nfs/dbraw/zinc/44/08/87/1132440887.db2.gz QCXZNOKPRHPARR-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO CSc1ccc(C[N@H+](C)Cc2ccccc2F)cn1 ZINC001232328861 1132453842 /nfs/dbraw/zinc/45/38/42/1132453842.db2.gz NKZKVYJIBLOHEZ-UHFFFAOYSA-N 1 2 276.380 3.575 20 0 CHADLO CSc1ccc(C[N@@H+](C)Cc2ccccc2F)cn1 ZINC001232328861 1132453846 /nfs/dbraw/zinc/45/38/46/1132453846.db2.gz NKZKVYJIBLOHEZ-UHFFFAOYSA-N 1 2 276.380 3.575 20 0 CHADLO CC[N@H+](Cc1cnc(C)[nH]1)Cc1ccc(C(F)(F)F)cc1 ZINC001232465488 1132473856 /nfs/dbraw/zinc/47/38/56/1132473856.db2.gz NLGTXBAYPAOOES-UHFFFAOYSA-N 1 2 297.324 3.759 20 0 CHADLO CC[N@@H+](Cc1cnc(C)[nH]1)Cc1ccc(C(F)(F)F)cc1 ZINC001232465488 1132473858 /nfs/dbraw/zinc/47/38/58/1132473858.db2.gz NLGTXBAYPAOOES-UHFFFAOYSA-N 1 2 297.324 3.759 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cc3ccoc3cn1)C2 ZINC001232549083 1132485408 /nfs/dbraw/zinc/48/54/08/1132485408.db2.gz ABHCVBSDKDLVAB-UHFFFAOYSA-N 1 2 264.328 3.652 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cc3ccoc3cn1)C2 ZINC001232549083 1132485412 /nfs/dbraw/zinc/48/54/12/1132485412.db2.gz ABHCVBSDKDLVAB-UHFFFAOYSA-N 1 2 264.328 3.652 20 0 CHADLO C[N@H+](Cc1cc2ccoc2cn1)Cc1ccc(F)cc1F ZINC001232554075 1132486808 /nfs/dbraw/zinc/48/68/08/1132486808.db2.gz IVTUVIPVFGVOPM-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO C[N@@H+](Cc1cc2ccoc2cn1)Cc1ccc(F)cc1F ZINC001232554075 1132486812 /nfs/dbraw/zinc/48/68/12/1132486812.db2.gz IVTUVIPVFGVOPM-UHFFFAOYSA-N 1 2 288.297 3.738 20 0 CHADLO C[C@H]1C(=O)CC[N@H+](Cc2nc3ccccc3o2)C12CCCC2 ZINC001232560560 1132488008 /nfs/dbraw/zinc/48/80/08/1132488008.db2.gz ZUTHBTKTIFLYJD-ZDUSSCGKSA-N 1 2 298.386 3.552 20 0 CHADLO C[C@H]1C(=O)CC[N@@H+](Cc2nc3ccccc3o2)C12CCCC2 ZINC001232560560 1132488011 /nfs/dbraw/zinc/48/80/11/1132488011.db2.gz ZUTHBTKTIFLYJD-ZDUSSCGKSA-N 1 2 298.386 3.552 20 0 CHADLO COc1cccc(C)c1C[N@H+](C)Cc1cccc(F)c1F ZINC001232623429 1132497482 /nfs/dbraw/zinc/49/74/82/1132497482.db2.gz PDJKCCJJHLNLAP-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cccc(C)c1C[N@@H+](C)Cc1cccc(F)c1F ZINC001232623429 1132497485 /nfs/dbraw/zinc/49/74/85/1132497485.db2.gz PDJKCCJJHLNLAP-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CC[C@H](C)[N@H+](C)Cc1cc(F)c(O)c(Br)c1 ZINC001232631042 1132498283 /nfs/dbraw/zinc/49/82/83/1132498283.db2.gz FETAJGKOVDTIAA-QMMMGPOBSA-N 1 2 290.176 3.524 20 0 CHADLO CC[C@H](C)[N@@H+](C)Cc1cc(F)c(O)c(Br)c1 ZINC001232631042 1132498286 /nfs/dbraw/zinc/49/82/86/1132498286.db2.gz FETAJGKOVDTIAA-QMMMGPOBSA-N 1 2 290.176 3.524 20 0 CHADLO Cc1ccc2c(Nc3cc(F)cc(CO)c3)cccc2[nH+]1 ZINC001212749235 1132505690 /nfs/dbraw/zinc/50/56/90/1132505690.db2.gz VTHZLLHUOJHNQD-UHFFFAOYSA-N 1 2 282.318 3.918 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cc3cccnc3[nH]1)CC2 ZINC001143212522 1132518243 /nfs/dbraw/zinc/51/82/43/1132518243.db2.gz UQGWEYVUHPBOSL-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cc3cccnc3[nH]1)CC2 ZINC001143212522 1132518250 /nfs/dbraw/zinc/51/82/50/1132518250.db2.gz UQGWEYVUHPBOSL-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Brc1cc(Nc2ccsc2)c2[nH+]ccn2c1 ZINC001173820178 1132524139 /nfs/dbraw/zinc/52/41/39/1132524139.db2.gz RFPBRQLGOJXKLA-UHFFFAOYSA-N 1 2 294.177 3.902 20 0 CHADLO Fc1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1 ZINC001173798210 1132530855 /nfs/dbraw/zinc/53/08/55/1132530855.db2.gz LAQFGHFZAPERCK-UHFFFAOYSA-N 1 2 257.312 3.565 20 0 CHADLO Fc1cc(C[NH+]2CCC(F)CC2)ccc1Br ZINC000721920032 1132533057 /nfs/dbraw/zinc/53/30/57/1132533057.db2.gz DMGMETKDKFOKQU-UHFFFAOYSA-N 1 2 290.151 3.522 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]3C[C@@H]32)c(Cl)c1F ZINC001232878912 1132536474 /nfs/dbraw/zinc/53/64/74/1132536474.db2.gz LDMCTSTZWSKQQF-KCJUWKMLSA-N 1 2 257.711 3.603 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]3C[C@@H]32)c(Cl)c1F ZINC001232878912 1132536482 /nfs/dbraw/zinc/53/64/82/1132536482.db2.gz LDMCTSTZWSKQQF-KCJUWKMLSA-N 1 2 257.711 3.603 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1c(C)cc(C)cc1C ZINC001173842505 1132545188 /nfs/dbraw/zinc/54/51/88/1132545188.db2.gz BVPSXJWUOKMIEU-UHFFFAOYSA-N 1 2 265.360 3.841 20 0 CHADLO CCOc1ccccc1Nc1cc[nH+]c(SC)c1 ZINC001173849497 1132552565 /nfs/dbraw/zinc/55/25/65/1132552565.db2.gz CYPHUUOBCGKVLH-UHFFFAOYSA-N 1 2 260.362 3.946 20 0 CHADLO c1[nH+]cc(N[C@H]2CC[C@@H]2C2CCC2)c2c1CCCC2 ZINC001206636582 1132563411 /nfs/dbraw/zinc/56/34/11/1132563411.db2.gz POUUIHCOKARMRQ-CVEARBPZSA-N 1 2 256.393 3.951 20 0 CHADLO CCOC(=O)c1ccsc1C[NH+]1CC2(C1)CCCCC2 ZINC001233021888 1132565391 /nfs/dbraw/zinc/56/53/91/1132565391.db2.gz UEJNFBPIZRRDKL-UHFFFAOYSA-N 1 2 293.432 3.691 20 0 CHADLO Cc1nc(C[N@@H+]2CCCC2(C)C)c(Br)s1 ZINC001233151164 1132574778 /nfs/dbraw/zinc/57/47/78/1132574778.db2.gz PPXKXCPSFXGQAG-UHFFFAOYSA-N 1 2 289.242 3.588 20 0 CHADLO Cc1nc(C[N@H+]2CCCC2(C)C)c(Br)s1 ZINC001233151164 1132574783 /nfs/dbraw/zinc/57/47/83/1132574783.db2.gz PPXKXCPSFXGQAG-UHFFFAOYSA-N 1 2 289.242 3.588 20 0 CHADLO CC(C)Oc1ncc(Cl)cc1C[N@@H+]1CCC[C@@H]2C[C@@H]21 ZINC001233165746 1132575905 /nfs/dbraw/zinc/57/59/05/1132575905.db2.gz NCCJYOXZIVILPO-RISCZKNCSA-N 1 2 280.799 3.507 20 0 CHADLO CC(C)Oc1ncc(Cl)cc1C[N@H+]1CCC[C@@H]2C[C@@H]21 ZINC001233165746 1132575909 /nfs/dbraw/zinc/57/59/09/1132575909.db2.gz NCCJYOXZIVILPO-RISCZKNCSA-N 1 2 280.799 3.507 20 0 CHADLO COC(=O)[C@H](C)[N@H+](C)Cc1ccc(-c2cccc(C)c2)cc1 ZINC001233226135 1132584708 /nfs/dbraw/zinc/58/47/08/1132584708.db2.gz WSNUDHFSGAAKPC-HNNXBMFYSA-N 1 2 297.398 3.655 20 0 CHADLO COC(=O)[C@H](C)[N@@H+](C)Cc1ccc(-c2cccc(C)c2)cc1 ZINC001233226135 1132584711 /nfs/dbraw/zinc/58/47/11/1132584711.db2.gz WSNUDHFSGAAKPC-HNNXBMFYSA-N 1 2 297.398 3.655 20 0 CHADLO O=C(c1c[nH]c2cc[nH+]cc12)N1CCC[C@@H]1C1CCCCC1 ZINC001152977061 1132588233 /nfs/dbraw/zinc/58/82/33/1132588233.db2.gz IOYGQWODVIDBDE-QGZVFWFLSA-N 1 2 297.402 3.748 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1cccc2sccc21 ZINC001153428395 1132593917 /nfs/dbraw/zinc/59/39/17/1132593917.db2.gz SKQNTERABAQTDE-UHFFFAOYSA-N 1 2 293.351 3.801 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)o1 ZINC001233317489 1132600213 /nfs/dbraw/zinc/60/02/13/1132600213.db2.gz OPWMOTVFONGKLL-CQSZACIVSA-N 1 2 283.799 3.714 20 0 CHADLO Clc1ccc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)o1 ZINC001233317489 1132600217 /nfs/dbraw/zinc/60/02/17/1132600217.db2.gz OPWMOTVFONGKLL-CQSZACIVSA-N 1 2 283.799 3.714 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(C(C)=O)c1 ZINC001173899301 1132603236 /nfs/dbraw/zinc/60/32/36/1132603236.db2.gz GPNMPSDYXLXOFJ-UHFFFAOYSA-N 1 2 254.333 3.899 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001233350504 1132607710 /nfs/dbraw/zinc/60/77/10/1132607710.db2.gz JOTCHNQITRESGP-NXEZZACHSA-N 1 2 273.804 3.994 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001233350504 1132607714 /nfs/dbraw/zinc/60/77/14/1132607714.db2.gz JOTCHNQITRESGP-NXEZZACHSA-N 1 2 273.804 3.994 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(F)cc(F)c2)[nH+]1 ZINC001173912844 1132608149 /nfs/dbraw/zinc/60/81/49/1132608149.db2.gz JGZDIUSKIUJTOF-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(N)cc2Cl)no1 ZINC001233373514 1132611053 /nfs/dbraw/zinc/61/10/53/1132611053.db2.gz LWICNWLMZAPDSD-HNNXBMFYSA-N 1 2 291.782 3.556 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(N)cc2Cl)no1 ZINC001233373514 1132611056 /nfs/dbraw/zinc/61/10/56/1132611056.db2.gz LWICNWLMZAPDSD-HNNXBMFYSA-N 1 2 291.782 3.556 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1cccc(OC(F)F)c1 ZINC001154669526 1132623001 /nfs/dbraw/zinc/62/30/01/1132623001.db2.gz GLTILPWEQVGRGV-UHFFFAOYSA-N 1 2 282.265 3.743 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2cncc(Cl)n2)c1 ZINC001233448699 1132626183 /nfs/dbraw/zinc/62/61/83/1132626183.db2.gz AMTGHFVIVILJKC-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2cncc(Cl)n2)c1 ZINC001233448699 1132626187 /nfs/dbraw/zinc/62/61/87/1132626187.db2.gz AMTGHFVIVILJKC-AWEZNQCLSA-N 1 2 291.757 3.606 20 0 CHADLO COc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1OC ZINC001173887771 1132628218 /nfs/dbraw/zinc/62/82/18/1132628218.db2.gz ZLJJJZZQALQPAD-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO Cc1cccc2c(C)cc(NC[C@@H](O)c3ccco3)[nH+]c12 ZINC001154869376 1132628981 /nfs/dbraw/zinc/62/89/81/1132628981.db2.gz VQBNVBBGHCXBBT-CQSZACIVSA-N 1 2 282.343 3.590 20 0 CHADLO Cc1cccc2c(C)cc(N[C@H]3CC[C@](C)(O)CC3)[nH+]c12 ZINC001154950174 1132632215 /nfs/dbraw/zinc/63/22/15/1132632215.db2.gz DOCNEAZTGHSADU-PPUGGXLSSA-N 1 2 284.403 3.957 20 0 CHADLO COc1cc2ccc(NC(C)(C)C3CC3)[nH+]c2cc1OC ZINC001155068748 1132639780 /nfs/dbraw/zinc/63/97/80/1132639780.db2.gz KKFBTSXXLAQQEE-UHFFFAOYSA-N 1 2 286.375 3.853 20 0 CHADLO COc1cccc(-c2ccc(NC(=[NH2+])C(C)(C)C)nn2)c1 ZINC001155180405 1132646990 /nfs/dbraw/zinc/64/69/90/1132646990.db2.gz WIRLEJTYJWKOSL-UHFFFAOYSA-N 1 2 284.363 3.587 20 0 CHADLO c1cc2cc(Nc3ccc(N4CCCC4)[nH+]c3)ccc2[nH]1 ZINC001173938438 1132649402 /nfs/dbraw/zinc/64/94/02/1132649402.db2.gz PMXSFBRHVHWTRL-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO COc1cccc(Nc2cccn3cc(C)[nH+]c23)c1F ZINC001173970681 1132652944 /nfs/dbraw/zinc/65/29/44/1132652944.db2.gz IQOGDTNGEBCAOG-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Fc1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1F ZINC001173947700 1132655214 /nfs/dbraw/zinc/65/52/14/1132655214.db2.gz WWGREZUCNSTTCC-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO Fc1ccc(F)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001173948952 1132656425 /nfs/dbraw/zinc/65/64/25/1132656425.db2.gz ZVAHLYJUNWTUQT-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(F)(F)[C@H](F)C2)sc1C ZINC001233655093 1132657603 /nfs/dbraw/zinc/65/76/03/1132657603.db2.gz HUBSTWPOYCGUJU-LLVKDONJSA-N 1 2 263.328 3.544 20 0 CHADLO Cc1cc(C[N@H+]2CCC(F)(F)[C@H](F)C2)sc1C ZINC001233655093 1132657606 /nfs/dbraw/zinc/65/76/06/1132657606.db2.gz HUBSTWPOYCGUJU-LLVKDONJSA-N 1 2 263.328 3.544 20 0 CHADLO Nc1cc(Cl)[nH+]c(N2CCc3c4ccccc4oc3C2)c1 ZINC001155500052 1132659549 /nfs/dbraw/zinc/65/95/49/1132659549.db2.gz YFLHPKRFOJPNFE-UHFFFAOYSA-N 1 2 299.761 3.626 20 0 CHADLO Nc1[nH+]c(N2CCc3c4ccccc4oc3C2)ccc1Cl ZINC001155517827 1132659702 /nfs/dbraw/zinc/65/97/02/1132659702.db2.gz SZLQKSPGUXIHHI-UHFFFAOYSA-N 1 2 299.761 3.626 20 0 CHADLO Cc1cccc(Nc2ccccc2C[NH+]2CCOCC2)c1C ZINC001173952931 1132659908 /nfs/dbraw/zinc/65/99/08/1132659908.db2.gz KPLMMWOIIDNZDA-UHFFFAOYSA-N 1 2 296.414 3.879 20 0 CHADLO COc1ccc(F)cc1Nc1cccc2[nH+]c(C)cn21 ZINC001173953249 1132660220 /nfs/dbraw/zinc/66/02/20/1132660220.db2.gz GHHSBFJLJGJDET-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO COc1ccc(F)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001173953671 1132661183 /nfs/dbraw/zinc/66/11/83/1132661183.db2.gz TYEKFEFOPZBWOB-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO c1cn2c(cccc2Nc2cnc3ccccc3c2)[nH+]1 ZINC001174000563 1132671301 /nfs/dbraw/zinc/67/13/01/1132671301.db2.gz XYVCJFJIKUMDLO-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO Cc1nc(N(C)CC/C=C/c2cccnc2)cc(C(C)C)[nH+]1 ZINC001155970221 1132673418 /nfs/dbraw/zinc/67/34/18/1132673418.db2.gz COJYQWHQPBMECW-VMPITWQZSA-N 1 2 296.418 3.843 20 0 CHADLO CCSc1cc[nH+]c(NC[C@H]2Cc3ccccc3O2)c1 ZINC001155979276 1132674478 /nfs/dbraw/zinc/67/44/78/1132674478.db2.gz PSBMMKMSCKINPQ-CYBMUJFWSA-N 1 2 286.400 3.609 20 0 CHADLO COc1ccc2[nH+]c(NC3(C)CCC3)c(C)cc2c1 ZINC001156067490 1132680237 /nfs/dbraw/zinc/68/02/37/1132680237.db2.gz NFAPQOWTTTYOSH-UHFFFAOYSA-N 1 2 256.349 3.906 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccc(OC)c1F ZINC001173972505 1132681723 /nfs/dbraw/zinc/68/17/23/1132681723.db2.gz SKGDXAAZACNGQY-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO COc1ccc[nH+]c1NCCCOc1ccc(Cl)cc1 ZINC001156198780 1132688025 /nfs/dbraw/zinc/68/80/25/1132688025.db2.gz VUXMKAFVGXHMDV-UHFFFAOYSA-N 1 2 292.766 3.625 20 0 CHADLO NC(=O)c1cccc(Nc2cc[nH+]c3cc(Cl)ccc23)c1 ZINC001174057742 1132688459 /nfs/dbraw/zinc/68/84/59/1132688459.db2.gz SUXGGDFXQWQYAM-UHFFFAOYSA-N 1 2 297.745 3.731 20 0 CHADLO CCSc1cc[nH+]c(NCCCc2ccncc2)c1 ZINC001156408769 1132692415 /nfs/dbraw/zinc/69/24/15/1132692415.db2.gz WQGPMMRFPOLHTE-UHFFFAOYSA-N 1 2 273.405 3.633 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@H](C)CC12CC2 ZINC001156412520 1132694094 /nfs/dbraw/zinc/69/40/94/1132694094.db2.gz OOMBNSQJYHJPIU-GFCCVEGCSA-N 1 2 252.361 3.922 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1F ZINC001174019783 1132694084 /nfs/dbraw/zinc/69/40/84/1132694084.db2.gz NBDQHTBICVBUFK-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO C[NH+]1CC(Oc2cccc(-c3ccc(Cl)cc3)c2)C1 ZINC001233919027 1132697190 /nfs/dbraw/zinc/69/71/90/1132697190.db2.gz IFLIGJYLRAYWAE-UHFFFAOYSA-N 1 2 273.763 3.700 20 0 CHADLO c1ccc2nc(Nc3cc[nH+]c4ccccc34)ccc2c1 ZINC001174037237 1132702216 /nfs/dbraw/zinc/70/22/16/1132702216.db2.gz KMTONZFVWGEUSC-UHFFFAOYSA-N 1 2 271.323 3.949 20 0 CHADLO Cc1nc(NCc2ccccc2C2CC2)c2c([nH+]1)CCC2 ZINC001156542020 1132703743 /nfs/dbraw/zinc/70/37/43/1132703743.db2.gz LQTQZZQTLVNAOE-UHFFFAOYSA-N 1 2 279.387 3.763 20 0 CHADLO Cc1ccc2nc(Cl)cc(NCc3cc[nH+]c(N)c3)c2c1 ZINC001156755484 1132708654 /nfs/dbraw/zinc/70/86/54/1132708654.db2.gz CGIJLKPIBQHRJK-UHFFFAOYSA-N 1 2 298.777 3.786 20 0 CHADLO C/C(=C/C(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1C ZINC001156799877 1132709735 /nfs/dbraw/zinc/70/97/35/1132709735.db2.gz HCNBLDRTUIVVTD-KAMYIIQDSA-N 1 2 291.354 3.685 20 0 CHADLO COCc1cccc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174092909 1132710711 /nfs/dbraw/zinc/71/07/11/1132710711.db2.gz RCDPSEFCSZQWAL-UHFFFAOYSA-N 1 2 297.402 3.962 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c(ccn3C)c2)[nH+]1 ZINC001174096221 1132714029 /nfs/dbraw/zinc/71/40/29/1132714029.db2.gz LKRXINKNWDFFDK-UHFFFAOYSA-N 1 2 276.343 3.878 20 0 CHADLO CCSc1cc[nH+]c(NC2(C3CCOCC3)CC2)c1 ZINC001156919057 1132715405 /nfs/dbraw/zinc/71/54/05/1132715405.db2.gz GOJHLHOSWAXHAN-UHFFFAOYSA-N 1 2 278.421 3.565 20 0 CHADLO CCN(C)c1ccc(Nc2cncc3ccccc32)c[nH+]1 ZINC001174100807 1132718075 /nfs/dbraw/zinc/71/80/75/1132718075.db2.gz OCVSVJDDKAGWCG-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)C(C)(C)C2CCCCC2)[nH+]1 ZINC001157118459 1132722229 /nfs/dbraw/zinc/72/22/29/1132722229.db2.gz AQFMOLKKURXIGM-UHFFFAOYSA-N 1 2 291.439 3.756 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)C(C)(C)C2CCCCC2)c[nH+]1 ZINC001157118459 1132722232 /nfs/dbraw/zinc/72/22/32/1132722232.db2.gz AQFMOLKKURXIGM-UHFFFAOYSA-N 1 2 291.439 3.756 20 0 CHADLO CC(C)(C)c1ccc(NC2(c3ccccn3)CC2)[nH+]c1 ZINC001157376436 1132730328 /nfs/dbraw/zinc/73/03/28/1132730328.db2.gz KAHRGNJAWQZTLR-UHFFFAOYSA-N 1 2 267.376 3.875 20 0 CHADLO CCSc1cc[nH+]c(NC2(c3ccccn3)CC2)c1 ZINC001157376794 1132730463 /nfs/dbraw/zinc/73/04/63/1132730463.db2.gz WFDXEGHCPHFKQB-UHFFFAOYSA-N 1 2 271.389 3.690 20 0 CHADLO Cn1cc2cccc(CNc3cc4ccccc4c[nH+]3)c2n1 ZINC001157415201 1132731594 /nfs/dbraw/zinc/73/15/94/1132731594.db2.gz CLFVBMLEDOSTPB-UHFFFAOYSA-N 1 2 288.354 3.734 20 0 CHADLO CCCCNC(=O)c1ccc(Nc2cccc(CC)[nH+]2)cc1 ZINC001174122958 1132735922 /nfs/dbraw/zinc/73/59/22/1132735922.db2.gz UXBLCALVVANXOD-UHFFFAOYSA-N 1 2 297.402 3.918 20 0 CHADLO CCN(C)c1ccc(Nc2cccc3cnccc32)c[nH+]1 ZINC001174135688 1132741969 /nfs/dbraw/zinc/74/19/69/1132741969.db2.gz FZLLDNZOBAKUKE-UHFFFAOYSA-N 1 2 278.359 3.830 20 0 CHADLO C=Cc1ccc(CNc2[nH+]cccc2OCC)cc1 ZINC001157777179 1132742154 /nfs/dbraw/zinc/74/21/54/1132742154.db2.gz JGBMUSSLMHOOSM-UHFFFAOYSA-N 1 2 254.333 3.735 20 0 CHADLO CCOC(=O)CCc1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001203380121 1132749843 /nfs/dbraw/zinc/74/98/43/1132749843.db2.gz IDXVTLYQCGELNY-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO Cc1ccc(Nc2cccc(C(=O)NCC(C)C)c2)[nH+]c1 ZINC001174191103 1132755117 /nfs/dbraw/zinc/75/51/17/1132755117.db2.gz NWMVWDJBDHTNTN-UHFFFAOYSA-N 1 2 283.375 3.519 20 0 CHADLO Cc1cc(N[C@H](C)CCCC(C)(C)O)nc(C(C)(C)C)[nH+]1 ZINC001157895963 1132755253 /nfs/dbraw/zinc/75/52/53/1132755253.db2.gz AOBWTPLGQKIJRK-GFCCVEGCSA-N 1 2 293.455 3.824 20 0 CHADLO Cc1ccc(Nc2cccc(C(=O)NC3CCCC3)c2)[nH+]c1 ZINC001174194477 1132755915 /nfs/dbraw/zinc/75/59/15/1132755915.db2.gz HGOKECUBFDHNSQ-UHFFFAOYSA-N 1 2 295.386 3.806 20 0 CHADLO COC(=O)c1ccc2cc[nH+]c(NC(C3CC3)C3CC3)c2c1 ZINC001157920983 1132755989 /nfs/dbraw/zinc/75/59/89/1132755989.db2.gz WGBSPZOPCHJJCG-UHFFFAOYSA-N 1 2 296.370 3.622 20 0 CHADLO CCOc1cc(Nc2cc(OC)ccc2F)cc(C)[nH+]1 ZINC001174210377 1132757977 /nfs/dbraw/zinc/75/79/77/1132757977.db2.gz YVFKOFMCDCCGBD-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(C)[nH+]c(C(C)C)n2)nc1 ZINC001158020521 1132762050 /nfs/dbraw/zinc/76/20/50/1132762050.db2.gz ISULFJVOOYUSDS-CYBMUJFWSA-N 1 2 270.380 3.785 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3cncc(F)c3)ccc12 ZINC001174293069 1132775199 /nfs/dbraw/zinc/77/51/99/1132775199.db2.gz XMTMUHPUWUPOGT-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1ccc2c(Nc3cncc(F)c3)cccc2[nH+]1 ZINC001174292681 1132775661 /nfs/dbraw/zinc/77/56/61/1132775661.db2.gz LNUSHKSALVWWQC-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO CCSc1cc[nH+]c(NCCc2cc(C)ccn2)c1 ZINC001158436101 1132776971 /nfs/dbraw/zinc/77/69/71/1132776971.db2.gz BFKZQOOYRXWUSP-UHFFFAOYSA-N 1 2 273.405 3.552 20 0 CHADLO CCOc1ccc[nH+]c1N[C@@H]1CCO[C@@H](c2ccccc2)C1 ZINC001158795536 1132794495 /nfs/dbraw/zinc/79/44/95/1132794495.db2.gz JSKIVTNFEHKWCP-NVXWUHKLSA-N 1 2 298.386 3.813 20 0 CHADLO CCCc1cc(N(C)[C@@H]2CCc3ccccc32)nc(C)[nH+]1 ZINC001158921980 1132799377 /nfs/dbraw/zinc/79/93/77/1132799377.db2.gz PAXBEPOYXQGLDI-QGZVFWFLSA-N 1 2 281.403 3.861 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1c(Cl)cccc1CO ZINC001159106713 1132807743 /nfs/dbraw/zinc/80/77/43/1132807743.db2.gz FSFDKQKOUBIIBE-UHFFFAOYSA-N 1 2 276.767 3.842 20 0 CHADLO Cc1cnc(N=C2CCC[N@H+](Cc3ccccc3)C2)c(F)c1 ZINC001174395115 1132811230 /nfs/dbraw/zinc/81/12/30/1132811230.db2.gz SQXDGGZTEOHKAP-UHFFFAOYSA-N 1 2 297.377 3.731 20 0 CHADLO Cc1cnc(N=C2CCC[N@@H+](Cc3ccccc3)C2)c(F)c1 ZINC001174395115 1132811240 /nfs/dbraw/zinc/81/12/40/1132811240.db2.gz SQXDGGZTEOHKAP-UHFFFAOYSA-N 1 2 297.377 3.731 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(C(F)(F)F)cc2F)c1 ZINC001174401125 1132815782 /nfs/dbraw/zinc/81/57/82/1132815782.db2.gz TUQZNHCDLKCZDW-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO Nc1ccc(Nc2cncc(Oc3ccccc3)c2)c[nH+]1 ZINC001159281771 1132822761 /nfs/dbraw/zinc/82/27/61/1132822761.db2.gz AHDBCIDHQOAPPV-UHFFFAOYSA-N 1 2 278.315 3.595 20 0 CHADLO CC(C)Sc1ccc(Nc2ccc(N)[nH+]c2)cc1 ZINC001159280951 1132822818 /nfs/dbraw/zinc/82/28/18/1132822818.db2.gz JEPUKYLYDGLWJS-UHFFFAOYSA-N 1 2 259.378 3.908 20 0 CHADLO Nc1ccc(Nc2cc(F)cc(C(F)(F)F)c2)c[nH+]1 ZINC001159280978 1132823593 /nfs/dbraw/zinc/82/35/93/1132823593.db2.gz KZKNWQZTZAJQDZ-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO Cc1ccc(Nc2ccc(N)[nH+]c2)c(OC(C)C)c1 ZINC001159282165 1132823730 /nfs/dbraw/zinc/82/37/30/1132823730.db2.gz PXAZMGYTHPBTFG-UHFFFAOYSA-N 1 2 257.337 3.503 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)c(F)ccc2OC)c[nH+]1 ZINC001174424879 1132840909 /nfs/dbraw/zinc/84/09/09/1132840909.db2.gz XNYPBUUNLJTDPS-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)NCC3)ccc1[NH+](C)C ZINC001159430566 1132842901 /nfs/dbraw/zinc/84/29/01/1132842901.db2.gz QJDHBNSOICCDQG-UHFFFAOYSA-N 1 2 267.376 3.773 20 0 CHADLO Nc1cc(Cl)cc2c1ccn2-c1cc[nH+]c2[nH]ccc21 ZINC001174413017 1132850291 /nfs/dbraw/zinc/85/02/91/1132850291.db2.gz GHZQOLUJVDZAKW-UHFFFAOYSA-N 1 2 282.734 3.694 20 0 CHADLO Cc1cc(Nc2cc[nH+]c3[nH]ccc32)cc(F)c1F ZINC001174412823 1132851767 /nfs/dbraw/zinc/85/17/67/1132851767.db2.gz PFRSBRJSDFWKBU-UHFFFAOYSA-N 1 2 259.259 3.845 20 0 CHADLO CNc1ccc(Nc2ccc(C)cc2Br)c[nH+]1 ZINC001159477621 1132852546 /nfs/dbraw/zinc/85/25/46/1132852546.db2.gz KRWDIGLCELJQIR-UHFFFAOYSA-N 1 2 292.180 3.938 20 0 CHADLO CCC(=O)c1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1 ZINC001174413587 1132852965 /nfs/dbraw/zinc/85/29/65/1132852965.db2.gz ONKIXDOKFVMNAE-UHFFFAOYSA-N 1 2 265.316 3.851 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)ccc1C(F)(F)F ZINC001174485147 1132857261 /nfs/dbraw/zinc/85/72/61/1132857261.db2.gz JDRRRFHBIDWPMQ-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc(N4CCCC4)c3)ccc21 ZINC001174487874 1132860159 /nfs/dbraw/zinc/86/01/59/1132860159.db2.gz SKDPSCHRMBSWBG-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO CSc1ccc(C[NH+]2CC(Oc3ccccc3)C2)cc1 ZINC001204142316 1132861685 /nfs/dbraw/zinc/86/16/85/1132861685.db2.gz NHDFUOFRNIGCAZ-UHFFFAOYSA-N 1 2 285.412 3.672 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H](C)c2cccc(N)c2)c1 ZINC001159639481 1132881492 /nfs/dbraw/zinc/88/14/92/1132881492.db2.gz UOQFWAFTGKZFOO-NSHDSACASA-N 1 2 273.405 3.700 20 0 CHADLO Nc1ccc(Nc2ccc[nH+]c2N2CCCCC2)c(F)c1 ZINC001174479662 1132884909 /nfs/dbraw/zinc/88/49/09/1132884909.db2.gz NKVFYMYQAWMYBW-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO Cc1cn2cccc(Nc3ccc(N4CCCC4)cc3)c2[nH+]1 ZINC001174525488 1132886804 /nfs/dbraw/zinc/88/68/04/1132886804.db2.gz SVJYHLLVIDHCMD-UHFFFAOYSA-N 1 2 292.386 3.987 20 0 CHADLO C[C@@H]1COCC[C@H]1Nc1[nH+]ccc2cc(Cl)ccc21 ZINC001159710599 1132896510 /nfs/dbraw/zinc/89/65/10/1132896510.db2.gz IFGNIMVGVBACOF-QMTHXVAHSA-N 1 2 276.767 3.725 20 0 CHADLO c1cc(Nc2ccc(N3CCCCC3)[nH+]c2)cc2c1OCO2 ZINC001174522010 1132911775 /nfs/dbraw/zinc/91/17/75/1132911775.db2.gz GNZFTJXONYRWMN-UHFFFAOYSA-N 1 2 297.358 3.544 20 0 CHADLO COc1ccc(Nc2ccc(N3CCCCC3)[nH+]c2)c(O)c1 ZINC001174524869 1132912677 /nfs/dbraw/zinc/91/26/77/1132912677.db2.gz SVVPQDFABGUUEJ-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N3CCCCC3)nc2)c[nH+]1 ZINC001174522987 1132913064 /nfs/dbraw/zinc/91/30/64/1132913064.db2.gz CAEGARKUHXPRMP-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO CCOC(=O)[C@H]1CCC[C@@H]1Nc1cc(C)c2ccccc2[nH+]1 ZINC001159830028 1132913719 /nfs/dbraw/zinc/91/37/19/1132913719.db2.gz FBGRRYHPWJFMAP-HOCLYGCPSA-N 1 2 298.386 3.687 20 0 CHADLO CNc1ccc(Nc2ccc(F)c(C)c2Cl)c[nH+]1 ZINC001159827292 1132913753 /nfs/dbraw/zinc/91/37/53/1132913753.db2.gz LMWHWRURLMTREL-UHFFFAOYSA-N 1 2 265.719 3.968 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)OCC3)c(C2CC2)c1 ZINC001206672690 1132916036 /nfs/dbraw/zinc/91/60/36/1132916036.db2.gz ZRXVBPSUIZEJFG-UHFFFAOYSA-N 1 2 252.317 3.638 20 0 CHADLO COc1cc(Nc2cccc3[nH+]c(C)cn32)cc(F)c1F ZINC001174583625 1132916316 /nfs/dbraw/zinc/91/63/16/1132916316.db2.gz UPFHHLWPKBQKAI-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Cc1ccc(Nc2cccc(Br)c2N)c(C)[nH+]1 ZINC001159863828 1132916915 /nfs/dbraw/zinc/91/69/15/1132916915.db2.gz NRRVKTLKSZTOFQ-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO CC(=O)c1ccc([C@H](C)Nc2ccc(Cl)c(N)[nH+]2)cc1 ZINC001159912039 1132922861 /nfs/dbraw/zinc/92/28/61/1132922861.db2.gz OFRSMFQBXBTUIQ-VIFPVBQESA-N 1 2 289.766 3.693 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H](C)c1ccc(C(C)=O)cc1 ZINC001159913109 1132923748 /nfs/dbraw/zinc/92/37/48/1132923748.db2.gz GTVXEKJMBBXJTK-LBPRGKRZSA-N 1 2 284.359 3.774 20 0 CHADLO Cc1ccc2c(Nc3cnc(C4CC4)nc3)cccc2[nH+]1 ZINC001174618402 1132927643 /nfs/dbraw/zinc/92/76/43/1132927643.db2.gz VFRUJYVRBGOINU-UHFFFAOYSA-N 1 2 276.343 3.954 20 0 CHADLO Oc1ccc(F)cc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001174626272 1132931796 /nfs/dbraw/zinc/93/17/96/1132931796.db2.gz RUZXRFLQRXFDCR-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO Cc1cc2c(cccc2N)n1-c1ccc2c(c1)CCC[NH2+]2 ZINC001160026914 1132936766 /nfs/dbraw/zinc/93/67/66/1132936766.db2.gz XUDDXZZKGMMQOF-UHFFFAOYSA-N 1 2 277.371 3.879 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccc4[nH]ccc43)ccc21 ZINC001174649043 1132949513 /nfs/dbraw/zinc/94/95/13/1132949513.db2.gz WAPIMZXOYTZUCK-UHFFFAOYSA-N 1 2 262.316 3.798 20 0 CHADLO COc1c(F)ccc(F)c1Nc1cccn2cc(C)[nH+]c12 ZINC001174659548 1132952363 /nfs/dbraw/zinc/95/23/63/1132952363.db2.gz GLRXKUWHMARHLJ-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2ccc3c(c2)CCCO3)c1 ZINC001174719408 1132963367 /nfs/dbraw/zinc/96/33/67/1132963367.db2.gz LYDCPVLOOUANPR-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO C[C@@](CO)(Nc1cc2ccccc2c[nH+]1)c1ccccc1 ZINC001160435266 1132969485 /nfs/dbraw/zinc/96/94/85/1132969485.db2.gz KDUKZELEIUQIRR-SFHVURJKSA-N 1 2 278.355 3.554 20 0 CHADLO CC(C)c1ccc(N[C@@](C)(CO)c2ccccc2)[nH+]c1 ZINC001160436782 1132969984 /nfs/dbraw/zinc/96/99/84/1132969984.db2.gz WWRWWUQTXQGXJW-KRWDZBQOSA-N 1 2 270.376 3.525 20 0 CHADLO c1ccc(-c2cc[nH+]c(NCCO[C@@H]3CCCCO3)c2)cc1 ZINC001160918929 1132987137 /nfs/dbraw/zinc/98/71/37/1132987137.db2.gz BDAKBXOTJFFECO-GOSISDBHSA-N 1 2 298.386 3.704 20 0 CHADLO Cc1c(F)cc[nH+]c1NCc1nccc(Cl)c1Cl ZINC001160930424 1132988081 /nfs/dbraw/zinc/98/80/81/1132988081.db2.gz IQIJNZYOHZANIP-UHFFFAOYSA-N 1 2 286.137 3.843 20 0 CHADLO CSCc1cc[nH+]c(NCCCc2cccc(N)c2)c1 ZINC001161011838 1132988868 /nfs/dbraw/zinc/98/88/68/1132988868.db2.gz ABHUYNOJOZZIIT-UHFFFAOYSA-N 1 2 287.432 3.572 20 0 CHADLO FC(F)(F)c1ncccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213028394 1132990349 /nfs/dbraw/zinc/99/03/49/1132990349.db2.gz ODXABMXWEBZMEY-UHFFFAOYSA-N 1 2 278.237 3.720 20 0 CHADLO c1[nH]c2c(cccc2Nc2cncc(C3CCC3)c2)[nH+]1 ZINC001213028997 1132991560 /nfs/dbraw/zinc/99/15/60/1132991560.db2.gz CNFKVVQAQYZNCP-UHFFFAOYSA-N 1 2 264.332 3.969 20 0 CHADLO Fc1ccc2cc(Nc3cccc4[nH+]c[nH]c43)cnc2c1 ZINC001213029886 1132994523 /nfs/dbraw/zinc/99/45/23/1132994523.db2.gz WWVBOFIGBOWGRC-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO c1nc2cc(Nc3cccc4[nH+]c[nH]c43)ccc2s1 ZINC001213031455 1132995207 /nfs/dbraw/zinc/99/52/07/1132995207.db2.gz AOXMJZKEVKDVKK-UHFFFAOYSA-N 1 2 266.329 3.916 20 0 CHADLO CC(C)c1ncsc1Nc1cccc2[nH+]c[nH]c21 ZINC001213030827 1132995249 /nfs/dbraw/zinc/99/52/49/1132995249.db2.gz SWFCYDTWSHIJTN-UHFFFAOYSA-N 1 2 258.350 3.886 20 0 CHADLO COc1ccc(Cl)c(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213030866 1132995992 /nfs/dbraw/zinc/99/59/92/1132995992.db2.gz VGIYNRBQSPILLM-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO CCOC(=O)Nc1ccc(Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213032753 1132998803 /nfs/dbraw/zinc/99/88/03/1132998803.db2.gz WNOGIFMPHVKHKZ-UHFFFAOYSA-N 1 2 296.330 3.875 20 0 CHADLO Cc1c(O)ccc(Nc2cccc3[nH+]c[nH]c32)c1C ZINC001213032557 1133000825 /nfs/dbraw/zinc/00/08/25/1133000825.db2.gz LQPLMDBHOPIRFI-UHFFFAOYSA-N 1 2 253.305 3.629 20 0 CHADLO Oc1cc(Cl)cc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032571 1133001113 /nfs/dbraw/zinc/00/11/13/1133001113.db2.gz MNOTVGZCWURAHX-UHFFFAOYSA-N 1 2 259.696 3.666 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc(OC(C)(C)C)n1 ZINC001161565745 1133006966 /nfs/dbraw/zinc/00/69/66/1133006966.db2.gz VCPXRNYYCAVEKJ-UHFFFAOYSA-N 1 2 288.395 3.648 20 0 CHADLO C[C@@H](CCc1ccccc1)Nc1[nH+]cnc2[nH]cc(F)c21 ZINC001161612113 1133008519 /nfs/dbraw/zinc/00/85/19/1133008519.db2.gz UEADRVWUDBKHCN-NSHDSACASA-N 1 2 284.338 3.530 20 0 CHADLO Nc1cc(F)cc(Nc2cccc3[nH+]c[nH]c32)c1Cl ZINC001213034339 1133013816 /nfs/dbraw/zinc/01/38/16/1133013816.db2.gz DRDHUNYLGCFFRZ-UHFFFAOYSA-N 1 2 276.702 3.681 20 0 CHADLO COc1cccc2[nH+]c(NC3Cc4ccccc4C3)ccc21 ZINC001161756634 1133024179 /nfs/dbraw/zinc/02/41/79/1133024179.db2.gz UCUHDLSDOWYXLU-UHFFFAOYSA-N 1 2 290.366 3.823 20 0 CHADLO Fc1ccc2c(c1)[nH]c1nc[nH+]c(N[C@@H]3CC=CCC3)c21 ZINC001161757932 1133024650 /nfs/dbraw/zinc/02/46/50/1133024650.db2.gz RADBSZZSKATBSX-LLVKDONJSA-N 1 2 282.322 3.771 20 0 CHADLO FC(F)(F)CCNc1cc[nH+]c2[nH]cc(C(F)(F)F)c21 ZINC001161825065 1133031874 /nfs/dbraw/zinc/03/18/74/1133031874.db2.gz ALXIEAWNIZJJLN-UHFFFAOYSA-N 1 2 297.202 3.946 20 0 CHADLO CCOc1ccc([C@@H](C)Nc2nc(C)[nH+]c3c2CCC3)cc1 ZINC001161977854 1133045987 /nfs/dbraw/zinc/04/59/87/1133045987.db2.gz ZESAAPQENDLUOY-GFCCVEGCSA-N 1 2 297.402 3.846 20 0 CHADLO Cc1cc(N(C)C2CCCCC2)nc(C2CCC2)[nH+]1 ZINC001161975515 1133046018 /nfs/dbraw/zinc/04/60/18/1133046018.db2.gz XPOWBDUDCWJHNO-UHFFFAOYSA-N 1 2 259.397 3.821 20 0 CHADLO Cc1nc(NCC2(C)CCC(F)(F)CC2)c2c([nH+]1)CCC2 ZINC001162030231 1133049627 /nfs/dbraw/zinc/04/96/27/1133049627.db2.gz DZZQIVJQGFOVDU-UHFFFAOYSA-N 1 2 295.377 3.901 20 0 CHADLO CCc1coc(CNc2cc3c4c(c2)CCC[NH+]4CCC3)n1 ZINC001162139760 1133053216 /nfs/dbraw/zinc/05/32/16/1133053216.db2.gz AYMQILGPWCOYRT-UHFFFAOYSA-N 1 2 297.402 3.548 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCC(C)(F)F)c1 ZINC001162239947 1133063218 /nfs/dbraw/zinc/06/32/18/1133063218.db2.gz VPMFCNAYQUSTML-UHFFFAOYSA-N 1 2 256.340 3.908 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NC[C@@H](O)c3ccco3)c2)cc1 ZINC001162252723 1133064718 /nfs/dbraw/zinc/06/47/18/1133064718.db2.gz UEWSGQXHPDPDTG-MRXNPFEDSA-N 1 2 294.354 3.796 20 0 CHADLO CCc1cc(NCCc2ccc(C)cc2C)nc(CC)[nH+]1 ZINC001162278102 1133065982 /nfs/dbraw/zinc/06/59/82/1133065982.db2.gz RUWZQVLRZDSRRZ-UHFFFAOYSA-N 1 2 283.419 3.873 20 0 CHADLO Cc1cccc2c(C)cc(N[C@@H]3C[C@@]34CCCOC4)[nH+]c12 ZINC001162367996 1133072407 /nfs/dbraw/zinc/07/24/07/1133072407.db2.gz NCJYWUUOMSRENH-CRAIPNDOSA-N 1 2 282.387 3.833 20 0 CHADLO COc1ccc2[nH+]c(N[C@H]3C[C@@]34CCCOC4)c(C)cc2c1 ZINC001162370062 1133073077 /nfs/dbraw/zinc/07/30/77/1133073077.db2.gz ZWASNYHPJDJLTH-FUHWJXTLSA-N 1 2 298.386 3.533 20 0 CHADLO Cc1cc(N[C@H]2C[C@@]23CCCOC3)[nH+]c2ccccc12 ZINC001162371268 1133073386 /nfs/dbraw/zinc/07/33/86/1133073386.db2.gz QNTHVHXOLOTFMO-DOTOQJQBSA-N 1 2 268.360 3.524 20 0 CHADLO F[C@@H]1CCCC[C@H]1Nc1[nH+]cccc1-n1cccc1 ZINC001162506112 1133081596 /nfs/dbraw/zinc/08/15/96/1133081596.db2.gz NMVJBWJNJOYSSH-CHWSQXEVSA-N 1 2 259.328 3.565 20 0 CHADLO F[C@@H]1CCCC[C@H]1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001162511978 1133083095 /nfs/dbraw/zinc/08/30/95/1133083095.db2.gz FKHJMABUOPIEMZ-HZPDHXFCSA-N 1 2 273.355 3.624 20 0 CHADLO Nc1cc(Cl)[nH+]c(NC[C@H]2CCCc3ccccc32)c1 ZINC001162552243 1133091727 /nfs/dbraw/zinc/09/17/27/1133091727.db2.gz XPJIZWMHPXOKPH-GFCCVEGCSA-N 1 2 287.794 3.849 20 0 CHADLO Nc1[nH+]c(NC[C@@H]2CCCc3ccccc32)ccc1Cl ZINC001162556181 1133092089 /nfs/dbraw/zinc/09/20/89/1133092089.db2.gz ZLMGIHDXSIHICJ-LBPRGKRZSA-N 1 2 287.794 3.849 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(NC[C@H]2CCC(F)(F)C2)c1 ZINC001162650437 1133099855 /nfs/dbraw/zinc/09/98/55/1133099855.db2.gz RBAPNJXEBLPFPD-LBPRGKRZSA-N 1 2 291.345 3.934 20 0 CHADLO Cc1cc([NH2+]C[C@@H]2CCC(F)(F)C2)ccc1N(C)C ZINC001162656081 1133101036 /nfs/dbraw/zinc/10/10/36/1133101036.db2.gz PWZWMSSVUCVFIZ-GFCCVEGCSA-N 1 2 268.351 3.908 20 0 CHADLO Cc1cc(NC[C@@H]2CCC(F)(F)C2)ccc1[NH+](C)C ZINC001162656081 1133101038 /nfs/dbraw/zinc/10/10/38/1133101038.db2.gz PWZWMSSVUCVFIZ-GFCCVEGCSA-N 1 2 268.351 3.908 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1nc(Br)ccc1Cl ZINC001162660038 1133102048 /nfs/dbraw/zinc/10/20/48/1133102048.db2.gz BWJJNAKDIPAIMU-UHFFFAOYSA-N 1 2 290.592 3.933 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cc(Cl)nc(CC(F)(F)F)n1 ZINC001162662543 1133102975 /nfs/dbraw/zinc/10/29/75/1133102975.db2.gz YGLRDCKELMSPTR-UHFFFAOYSA-N 1 2 294.708 3.670 20 0 CHADLO CCS[C@@H]1CCC[C@@H]1Nc1nc(C)[nH+]c2c1CCCC2 ZINC001162717523 1133105998 /nfs/dbraw/zinc/10/59/98/1133105998.db2.gz MPLPBMGZEFLPRO-LSDHHAIUSA-N 1 2 291.464 3.750 20 0 CHADLO CCCC1(CNc2cc(CC)[nH+]c(CC)n2)CCC1 ZINC001162806954 1133113341 /nfs/dbraw/zinc/11/33/41/1133113341.db2.gz ZDKCTOOIYGOHLW-UHFFFAOYSA-N 1 2 261.413 3.984 20 0 CHADLO CC(=O)Nc1c(C)cc[nH+]c1N[C@H]1CCc2ccc(C)cc21 ZINC001162823840 1133114619 /nfs/dbraw/zinc/11/46/19/1133114619.db2.gz BAJJVYCZOGWNEW-INIZCTEOSA-N 1 2 295.386 3.756 20 0 CHADLO CC(C)[C@H](Nc1ccc(Cl)c(N)[nH+]1)c1cccnc1 ZINC001163062993 1133128489 /nfs/dbraw/zinc/12/84/89/1133128489.db2.gz CUWJEXWZOCLUQT-ZDUSSCGKSA-N 1 2 276.771 3.521 20 0 CHADLO Cc1cc(N[C@H]2CCCc3ccncc32)nc(C2CC2)[nH+]1 ZINC001163174038 1133134374 /nfs/dbraw/zinc/13/43/74/1133134374.db2.gz VPDJRYNRGUGSDY-HNNXBMFYSA-N 1 2 280.375 3.547 20 0 CHADLO COc1cccc2c1OC[C@H]2Nc1cc2ccccc2c[nH+]1 ZINC001163222783 1133137615 /nfs/dbraw/zinc/13/76/15/1133137615.db2.gz HEWSQWRKDCHFKM-OAHLLOKOSA-N 1 2 292.338 3.789 20 0 CHADLO CCc1cc(N2C[C@@H](C(C)C)[C@H]2C(C)C)nc(CC)[nH+]1 ZINC001163294454 1133140753 /nfs/dbraw/zinc/14/07/53/1133140753.db2.gz MURIFARMCBCKKE-WMLDXEAASA-N 1 2 275.440 3.718 20 0 CHADLO CSc1nc(N[C@H]2CCCC23CCC3)cc(C)[nH+]1 ZINC001163513847 1133153642 /nfs/dbraw/zinc/15/36/42/1133153642.db2.gz FMGCXZDPHDVUIZ-NSHDSACASA-N 1 2 263.410 3.642 20 0 CHADLO COCC[C@@H](C)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001163507405 1133153993 /nfs/dbraw/zinc/15/39/93/1133153993.db2.gz GFHAWTJOSZFWGF-CYBMUJFWSA-N 1 2 279.428 3.808 20 0 CHADLO Cc1cc(C)cc(CNc2cc3cc[nH]c3c[nH+]2)c1 ZINC001163749994 1133171541 /nfs/dbraw/zinc/17/15/41/1133171541.db2.gz VYFFJDRKDJQZFX-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO Cc1cc(C)cc(CNc2ccc3[nH+]cn(C)c3c2)c1 ZINC001163751194 1133172285 /nfs/dbraw/zinc/17/22/85/1133172285.db2.gz OFPSFQYVEJTTGO-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001163795722 1133175567 /nfs/dbraw/zinc/17/55/67/1133175567.db2.gz RUOALVYBGINUFP-DLBZAZTESA-N 1 2 294.398 3.881 20 0 CHADLO O=C1CCN(c2[nH+]ccc3ccccc32)[C@@H]2CCCC[C@@H]12 ZINC001163792351 1133175860 /nfs/dbraw/zinc/17/58/60/1133175860.db2.gz VERLTXRYHDJONZ-HZPDHXFCSA-N 1 2 280.371 3.573 20 0 CHADLO Cc1ccc2cc[nH+]c(N3CCC(=O)[C@@H]4CCCC[C@@H]43)c2c1 ZINC001163789124 1133175920 /nfs/dbraw/zinc/17/59/20/1133175920.db2.gz AKNKLEJVDRAPBS-WBVHZDCISA-N 1 2 294.398 3.881 20 0 CHADLO COc1ccccc1[C@H]1CCN(c2cccc(C3CC3)[nH+]2)C1 ZINC001163808615 1133176291 /nfs/dbraw/zinc/17/62/91/1133176291.db2.gz GUIXVBOEXRVDQP-HNNXBMFYSA-N 1 2 294.398 3.962 20 0 CHADLO CC(C)c1ccc(N2CCC(=O)[C@H]3CCCC[C@@H]32)[nH+]c1 ZINC001163797628 1133176618 /nfs/dbraw/zinc/17/66/18/1133176618.db2.gz YFGUWNJUGIKRST-GJZGRUSLSA-N 1 2 272.392 3.543 20 0 CHADLO COC(=O)Cc1ccc(NCc2ccc(C(C)C)cc2)[nH+]c1 ZINC001163816952 1133177314 /nfs/dbraw/zinc/17/73/14/1133177314.db2.gz WOWBLBFLAPDCHW-UHFFFAOYSA-N 1 2 298.386 3.533 20 0 CHADLO Cc1cc(NCCOc2ccccc2F)ccc1[NH+](C)C ZINC001163959088 1133188440 /nfs/dbraw/zinc/18/84/40/1133188440.db2.gz MLBJDOFFIMHVLI-UHFFFAOYSA-N 1 2 288.366 3.691 20 0 CHADLO Cc1nc(N2CC(F)(C3CC3)C2)cc(C2CCCCC2)[nH+]1 ZINC001163968738 1133189313 /nfs/dbraw/zinc/18/93/13/1133189313.db2.gz AMGQKDUBCAOBTP-UHFFFAOYSA-N 1 2 289.398 3.771 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCC[C@@H](F)C(F)(F)C2)[nH+]1 ZINC001164063465 1133195876 /nfs/dbraw/zinc/19/58/76/1133195876.db2.gz MCQSYYVDYQUUAJ-LLVKDONJSA-N 1 2 292.732 3.925 20 0 CHADLO Cc1cc(NCC[C@@H]2C[C@H]2C2CC2)nc(C2CC2)[nH+]1 ZINC001164125381 1133199470 /nfs/dbraw/zinc/19/94/70/1133199470.db2.gz WOPWDVKHFUESJA-KGLIPLIRSA-N 1 2 257.381 3.511 20 0 CHADLO CN(Cc1cnc(Cl)s1)c1cc2ccccc2c[nH+]1 ZINC001164168418 1133202151 /nfs/dbraw/zinc/20/21/51/1133202151.db2.gz XOLLNXIJBGVAJK-UHFFFAOYSA-N 1 2 289.791 3.981 20 0 CHADLO Cc1[nH+]cccc1NCCCOc1ccc(Cl)cc1 ZINC001164237453 1133209317 /nfs/dbraw/zinc/20/93/17/1133209317.db2.gz ZBLYLGIQFQUMAC-UHFFFAOYSA-N 1 2 276.767 3.924 20 0 CHADLO COc1cccc(Nc2ccc(NCC(C)C)[nH+]c2)c1 ZINC001206689014 1133226530 /nfs/dbraw/zinc/22/65/30/1133226530.db2.gz JLMRMEPXBOQMFY-UHFFFAOYSA-N 1 2 271.364 3.902 20 0 CHADLO Cc1cc(N2C[C@H]3[C@H](CC3(F)F)C2)[nH+]c2ccccc12 ZINC001165294678 1133237933 /nfs/dbraw/zinc/23/79/33/1133237933.db2.gz KHRKNPIAXFVSMM-YPMHNXCESA-N 1 2 274.314 3.635 20 0 CHADLO C(=C/c1ccccc1)\CNc1[nH+]cccc1-n1cccc1 ZINC001166065678 1133258066 /nfs/dbraw/zinc/25/80/66/1133258066.db2.gz QNBZMGOUIGTAEZ-UXBLZVDNSA-N 1 2 275.355 3.998 20 0 CHADLO CC(C)Oc1ccc[nH+]c1NC/C=C/c1ccccc1 ZINC001166154303 1133261611 /nfs/dbraw/zinc/26/16/11/1133261611.db2.gz VPQSBPCNBJVAFE-UXBLZVDNSA-N 1 2 268.360 3.994 20 0 CHADLO CSc1cc[nH+]c(N2CCCC[C@H]2c2cccnc2)c1 ZINC001166576964 1133272507 /nfs/dbraw/zinc/27/25/07/1133272507.db2.gz HPZSJBRIZUMXEP-HNNXBMFYSA-N 1 2 285.416 3.930 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CCn3cccc3C2)c1 ZINC001166601590 1133274256 /nfs/dbraw/zinc/27/42/56/1133274256.db2.gz KTVKMIQMLAMOJS-UHFFFAOYSA-N 1 2 283.762 3.710 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCC[C@H](C)[C@H]2C)[nH+]1 ZINC001166612963 1133276637 /nfs/dbraw/zinc/27/66/37/1133276637.db2.gz RDWWAHGNDDEHFW-JOYOIKCWSA-N 1 2 252.789 3.977 20 0 CHADLO COc1ccc(CCN(C)c2[nH+]ccc3ccccc32)cc1 ZINC001166824205 1133291211 /nfs/dbraw/zinc/29/12/11/1133291211.db2.gz BVFUMORIUGQIQA-UHFFFAOYSA-N 1 2 292.382 3.922 20 0 CHADLO Cc1cncc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)s2)n1 ZINC001203173556 1133303904 /nfs/dbraw/zinc/30/39/04/1133303904.db2.gz KKJRBZJCKRWARI-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1cncc(C[N@H+]2CCC[C@H]2c2ccc(Cl)s2)n1 ZINC001203173556 1133303911 /nfs/dbraw/zinc/30/39/11/1133303911.db2.gz KKJRBZJCKRWARI-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO CC(C)n1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001203164600 1133304270 /nfs/dbraw/zinc/30/42/70/1133304270.db2.gz BATXTEMMWYOXDV-UHFFFAOYSA-N 1 2 266.348 3.998 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1ccco1 ZINC001203284695 1133309980 /nfs/dbraw/zinc/30/99/80/1133309980.db2.gz MBHKWBDUEPXLCB-INIZCTEOSA-N 1 2 271.360 3.763 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ccco1 ZINC001203284695 1133309985 /nfs/dbraw/zinc/30/99/85/1133309985.db2.gz MBHKWBDUEPXLCB-INIZCTEOSA-N 1 2 271.360 3.763 20 0 CHADLO c1ccc(C[N@H+](Cc2cccnc2)Cc2ccccn2)cc1 ZINC001203308759 1133313924 /nfs/dbraw/zinc/31/39/24/1133313924.db2.gz CQYBKHJJRQZQTR-UHFFFAOYSA-N 1 2 289.382 3.679 20 0 CHADLO c1ccc(C[N@@H+](Cc2cccnc2)Cc2ccccn2)cc1 ZINC001203308759 1133313926 /nfs/dbraw/zinc/31/39/26/1133313926.db2.gz CQYBKHJJRQZQTR-UHFFFAOYSA-N 1 2 289.382 3.679 20 0 CHADLO C[N@@H+](Cc1c(F)c(F)c(F)c(F)c1F)CC1CCC1 ZINC001203367090 1133318651 /nfs/dbraw/zinc/31/86/51/1133318651.db2.gz XPUJNOZFKZMOMQ-UHFFFAOYSA-N 1 2 279.252 3.614 20 0 CHADLO C[N@H+](Cc1c(F)c(F)c(F)c(F)c1F)CC1CCC1 ZINC001203367090 1133318654 /nfs/dbraw/zinc/31/86/54/1133318654.db2.gz XPUJNOZFKZMOMQ-UHFFFAOYSA-N 1 2 279.252 3.614 20 0 CHADLO Cc1cnn(CC2CCC2)c1Nc1ccc(C)[nH+]c1C ZINC001203368699 1133318754 /nfs/dbraw/zinc/31/87/54/1133318754.db2.gz FDPDOFDAHCJKFJ-UHFFFAOYSA-N 1 2 270.380 3.747 20 0 CHADLO Cc1ccc(Nc2ncccc2C(F)(F)F)c(C)[nH+]1 ZINC001203367819 1133318899 /nfs/dbraw/zinc/31/88/99/1133318899.db2.gz PPVRQFNNPFSCBE-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1ccc(Nc2ccccc2C(=O)NC(C)(C)C)c(C)[nH+]1 ZINC001203373154 1133320150 /nfs/dbraw/zinc/32/01/50/1133320150.db2.gz IIKJPSDROLTWNK-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO Cc1cc(Nc2ccc(C)[nH+]c2C)n(CC2CCC2)n1 ZINC001203370313 1133320371 /nfs/dbraw/zinc/32/03/71/1133320371.db2.gz ZSHAWJGRAMKBKJ-UHFFFAOYSA-N 1 2 270.380 3.747 20 0 CHADLO Fc1cccc(Cl)c1C[NH+]1CC2(C1)CCCC2 ZINC001203399393 1133322401 /nfs/dbraw/zinc/32/24/01/1133322401.db2.gz WYRNHMRCTGVEQD-UHFFFAOYSA-N 1 2 253.748 3.855 20 0 CHADLO CNc1ccc(Nc2cccc(F)c2Cl)c[nH+]1 ZINC001203455152 1133329344 /nfs/dbraw/zinc/32/93/44/1133329344.db2.gz QRFFNBOFLIAZKZ-UHFFFAOYSA-N 1 2 251.692 3.659 20 0 CHADLO CNc1ccc(Nc2cc(F)ccc2Br)c[nH+]1 ZINC001203454342 1133329498 /nfs/dbraw/zinc/32/94/98/1133329498.db2.gz BRJSMULSMPJPQS-UHFFFAOYSA-N 1 2 296.143 3.769 20 0 CHADLO CNc1ccc(Nc2nc(C)c(Cl)cc2Cl)c[nH+]1 ZINC001203448690 1133329567 /nfs/dbraw/zinc/32/95/67/1133329567.db2.gz FZPFYMKIUIXTIT-UHFFFAOYSA-N 1 2 283.162 3.877 20 0 CHADLO CNc1ccc(Nc2c(Cl)ccnc2Cl)c[nH+]1 ZINC001203448887 1133330020 /nfs/dbraw/zinc/33/00/20/1133330020.db2.gz OWLUVKSOMZLVKB-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)C(F)F)cc(C)c1OC(F)F ZINC001203470345 1133330413 /nfs/dbraw/zinc/33/04/13/1133330413.db2.gz FQXCFTXVIFBIOE-SECBINFHSA-N 1 2 279.277 3.648 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(-c3ccccn3)nc2)c1 ZINC001203660769 1133349217 /nfs/dbraw/zinc/34/92/17/1133349217.db2.gz LSEPTFFXXWWJET-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO CCOC(=O)c1ccc(F)c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203663085 1133349420 /nfs/dbraw/zinc/34/94/20/1133349420.db2.gz IKXLGCGWSNLZNX-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc3ccc(O)cc3c2)c1 ZINC001203656194 1133350280 /nfs/dbraw/zinc/35/02/80/1133350280.db2.gz LWYXGBFEIGAUIZ-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Cl)ccc2CO)c1 ZINC001203667576 1133352326 /nfs/dbraw/zinc/35/23/26/1133352326.db2.gz PBGJQURNIRNWMO-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C(F)(F)F)CCCC1=O ZINC001203686277 1133353398 /nfs/dbraw/zinc/35/33/98/1133353398.db2.gz CYOLVMRPGKVFAL-LLVKDONJSA-N 1 2 285.309 3.506 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)CCCC1=O ZINC001203686277 1133353404 /nfs/dbraw/zinc/35/34/04/1133353404.db2.gz CYOLVMRPGKVFAL-LLVKDONJSA-N 1 2 285.309 3.506 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccccc1C(F)(F)F ZINC001203687132 1133353441 /nfs/dbraw/zinc/35/34/41/1133353441.db2.gz KNTAJXPWCZMCOF-KOLCDFICSA-N 1 2 261.262 3.638 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1ccccc1C(F)(F)F ZINC001203687132 1133353448 /nfs/dbraw/zinc/35/34/48/1133353448.db2.gz KNTAJXPWCZMCOF-KOLCDFICSA-N 1 2 261.262 3.638 20 0 CHADLO CCc1nccnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203718181 1133358537 /nfs/dbraw/zinc/35/85/37/1133358537.db2.gz UYWNAHLIFLDXNF-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cccc(Cl)c2)C[C@@H]1F ZINC001203797458 1133370119 /nfs/dbraw/zinc/37/01/19/1133370119.db2.gz MCRMBPIPUVAHKN-STQMWFEESA-N 1 2 259.727 3.612 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cccc(Cl)c2)C[C@@H]1F ZINC001203797458 1133370122 /nfs/dbraw/zinc/37/01/22/1133370122.db2.gz MCRMBPIPUVAHKN-STQMWFEESA-N 1 2 259.727 3.612 20 0 CHADLO C[C@H]1COCC[N@@H+]1Cc1cccc(Oc2ccccc2)c1 ZINC001203809819 1133372192 /nfs/dbraw/zinc/37/21/92/1133372192.db2.gz BUWJWMRQEIHIIV-HNNXBMFYSA-N 1 2 283.371 3.700 20 0 CHADLO C[C@H]1COCC[N@H+]1Cc1cccc(Oc2ccccc2)c1 ZINC001203809819 1133372197 /nfs/dbraw/zinc/37/21/97/1133372197.db2.gz BUWJWMRQEIHIIV-HNNXBMFYSA-N 1 2 283.371 3.700 20 0 CHADLO Clc1cc(Cl)cc(C[NH+]2CC3(CSC3)C2)c1 ZINC001203812305 1133372664 /nfs/dbraw/zinc/37/26/64/1133372664.db2.gz SZDTVQAOZMMRPH-UHFFFAOYSA-N 1 2 274.216 3.542 20 0 CHADLO c1ccc(Oc2ccc(C[NH+]3CC4(C3)CCCO4)cc2)cc1 ZINC001203942481 1133388225 /nfs/dbraw/zinc/38/82/25/1133388225.db2.gz WUFLEGQPDOPPFQ-UHFFFAOYSA-N 1 2 295.382 3.844 20 0 CHADLO c1c[nH]c(C[N@@H+]2CCc3ccccc3[C@@H]2c2ccccc2)n1 ZINC001203983045 1133392766 /nfs/dbraw/zinc/39/27/66/1133392766.db2.gz DMVBCZOCTSDTLF-IBGZPJMESA-N 1 2 289.382 3.557 20 0 CHADLO c1c[nH]c(C[N@H+]2CCc3ccccc3[C@@H]2c2ccccc2)n1 ZINC001203983045 1133392772 /nfs/dbraw/zinc/39/27/72/1133392772.db2.gz DMVBCZOCTSDTLF-IBGZPJMESA-N 1 2 289.382 3.557 20 0 CHADLO Fc1cc(F)cc(C[N@@H+]2CCOC[C@@H]2C2CCCCC2)c1 ZINC001204232217 1133422482 /nfs/dbraw/zinc/42/24/82/1133422482.db2.gz HBKVTWORCSUFBW-QGZVFWFLSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1cc(F)cc(C[N@H+]2CCOC[C@@H]2C2CCCCC2)c1 ZINC001204232217 1133422486 /nfs/dbraw/zinc/42/24/86/1133422486.db2.gz HBKVTWORCSUFBW-QGZVFWFLSA-N 1 2 295.373 3.746 20 0 CHADLO Fc1ccc2[nH]ccc2c1Nc1cccn2cc[nH+]c12 ZINC001204257357 1133425850 /nfs/dbraw/zinc/42/58/50/1133425850.db2.gz UXZMHVPFOMLXNY-UHFFFAOYSA-N 1 2 266.279 3.698 20 0 CHADLO Fc1ccc(C[NH+]2CC3(C2)C[C@@H](F)CS3)cc1Cl ZINC001204285001 1133428489 /nfs/dbraw/zinc/42/84/89/1133428489.db2.gz GTXQHXCAKFABEZ-SNVBAGLBSA-N 1 2 289.778 3.509 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1c(F)cccc1C(F)(F)F ZINC001204459392 1133450431 /nfs/dbraw/zinc/45/04/31/1133450431.db2.gz DIRQCWAPNXVPPB-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1c(F)cccc1C(F)(F)F ZINC001204459392 1133450435 /nfs/dbraw/zinc/45/04/35/1133450435.db2.gz DIRQCWAPNXVPPB-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Fc1ccc(Br)cc1C[N@@H+]1CCC[C@H]2C[C@H]21 ZINC001204498478 1133453034 /nfs/dbraw/zinc/45/30/34/1133453034.db2.gz CVBCQCDGYNPKJY-TVQRCGJNSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1ccc(Br)cc1C[N@H+]1CCC[C@H]2C[C@H]21 ZINC001204498478 1133453036 /nfs/dbraw/zinc/45/30/36/1133453036.db2.gz CVBCQCDGYNPKJY-TVQRCGJNSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H](C(F)F)C2)cc(F)c1F ZINC001204528344 1133459843 /nfs/dbraw/zinc/45/98/43/1133459843.db2.gz YYFNWIYHGCLVII-SECBINFHSA-N 1 2 279.252 3.581 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H](C(F)F)C2)cc(F)c1F ZINC001204528344 1133459845 /nfs/dbraw/zinc/45/98/45/1133459845.db2.gz YYFNWIYHGCLVII-SECBINFHSA-N 1 2 279.252 3.581 20 0 CHADLO COc1cc(C[N@@H+]2CCc3ccc(F)cc3C2)ccc1F ZINC001204558761 1133462726 /nfs/dbraw/zinc/46/27/26/1133462726.db2.gz MHHYOFDZNZRQNN-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(C[N@H+]2CCc3ccc(F)cc3C2)ccc1F ZINC001204558761 1133462727 /nfs/dbraw/zinc/46/27/27/1133462727.db2.gz MHHYOFDZNZRQNN-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2nc3ccccc3[nH]2)cn1 ZINC001204793309 1133486212 /nfs/dbraw/zinc/48/62/12/1133486212.db2.gz HZZKQYBHFKAIKG-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2nc3ccccc3[nH]2)cn1 ZINC001204793309 1133486217 /nfs/dbraw/zinc/48/62/17/1133486217.db2.gz HZZKQYBHFKAIKG-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO C/C(=C\C=C\[NH3+])c1cccc2c1cnn2[C@H]1CCCCO1 ZINC001204883180 1133494958 /nfs/dbraw/zinc/49/49/58/1133494958.db2.gz VPBYWSWCANMYOC-HFSYCWIBSA-N 1 2 283.375 3.611 20 0 CHADLO Cc1cc2cc(Nc3cccc4[nH+]c(C)cn43)cnc2[nH]1 ZINC001204891332 1133495957 /nfs/dbraw/zinc/49/59/57/1133495957.db2.gz OKTACFQHYKLHIO-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1cc(Nc2ccn3cc[nH+]c3c2)n(-c2ccccc2)n1 ZINC001204944331 1133505195 /nfs/dbraw/zinc/50/51/95/1133505195.db2.gz YIWYGNHZBPYDID-UHFFFAOYSA-N 1 2 289.342 3.572 20 0 CHADLO Clc1cnc(Cl)c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001204951426 1133507231 /nfs/dbraw/zinc/50/72/31/1133507231.db2.gz RQPJQUJROIYGBY-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Cc1nc(C(F)(F)F)c(Nc2ccn3cc[nH+]c3c2)s1 ZINC001204958897 1133507617 /nfs/dbraw/zinc/50/76/17/1133507617.db2.gz CYODCKZAFQTVNP-UHFFFAOYSA-N 1 2 298.293 3.862 20 0 CHADLO c1cn2ccc(Nc3cc4ccccc4cn3)cc2[nH+]1 ZINC001204959285 1133508060 /nfs/dbraw/zinc/50/80/60/1133508060.db2.gz KMACIWNKQPMHMM-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO COc1ccc2ncc(Nc3ccn4cc[nH+]c4c3)cc2c1 ZINC001204958839 1133508364 /nfs/dbraw/zinc/50/83/64/1133508364.db2.gz CIAHOPJXHBBTCL-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO Clc1ccc(Cl)c(Nc2ccn3cc[nH+]c3c2)n1 ZINC001204965683 1133509474 /nfs/dbraw/zinc/50/94/74/1133509474.db2.gz FUDCEGQXKBTBEI-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Fc1nc(Nc2ccn3cc[nH+]c3c2)c(F)c(Cl)c1F ZINC001204971309 1133510590 /nfs/dbraw/zinc/51/05/90/1133510590.db2.gz HALXZWJTZGFYSF-UHFFFAOYSA-N 1 2 298.655 3.544 20 0 CHADLO CC1(C)Oc2ccc(Nc3ccn4cc[nH+]c4c3)cc2O1 ZINC001204977006 1133511182 /nfs/dbraw/zinc/51/11/82/1133511182.db2.gz ARLWXLRVNREIIW-UHFFFAOYSA-N 1 2 281.315 3.585 20 0 CHADLO Fc1cc(Nc2ccn3cc[nH+]c3c2)c(F)c(F)c1F ZINC001204977018 1133511480 /nfs/dbraw/zinc/51/14/80/1133511480.db2.gz AUVSYGUSBOJYIZ-UHFFFAOYSA-N 1 2 281.212 3.634 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2ccn3cc[nH+]c3c2)c1C ZINC001204983062 1133512697 /nfs/dbraw/zinc/51/26/97/1133512697.db2.gz NZNNUMPCVMDYIY-UHFFFAOYSA-N 1 2 295.342 3.598 20 0 CHADLO Cc1ccnc2c(Nc3ccn4cc[nH+]c4c3)cccc12 ZINC001204990947 1133514165 /nfs/dbraw/zinc/51/41/65/1133514165.db2.gz JOEHLOJEUACMPK-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO CO[C@H]1CCOc2c(Nc3ccn4cc[nH+]c4c3)cccc21 ZINC001204997639 1133514855 /nfs/dbraw/zinc/51/48/55/1133514855.db2.gz LJGXGNIEYSIWML-HNNXBMFYSA-N 1 2 295.342 3.548 20 0 CHADLO Cc1cc(Nc2ccn3cc[nH+]c3c2)cc2scnc21 ZINC001204997369 1133515071 /nfs/dbraw/zinc/51/50/71/1133515071.db2.gz JCCFLFUIRPITTE-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO Nc1cccc(C(F)(F)F)c1Nc1ccn2cc[nH+]c2c1 ZINC001204996966 1133515111 /nfs/dbraw/zinc/51/51/11/1133515111.db2.gz DATZXUMIMILXCC-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO Cc1cc(F)cc(C)c1Nc1ccn2cc[nH+]c2c1 ZINC001205005654 1133516003 /nfs/dbraw/zinc/51/60/03/1133516003.db2.gz JEJDROUSFORBJB-UHFFFAOYSA-N 1 2 255.296 3.834 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC[C@H](F)C(F)(F)C2)c1C ZINC001205067042 1133523077 /nfs/dbraw/zinc/52/30/77/1133523077.db2.gz KJHZLUGETIBMJP-AWEZNQCLSA-N 1 2 271.326 3.873 20 0 CHADLO Cc1cccc(C[N@H+]2CCC[C@H](F)C(F)(F)C2)c1C ZINC001205067042 1133523082 /nfs/dbraw/zinc/52/30/82/1133523082.db2.gz KJHZLUGETIBMJP-AWEZNQCLSA-N 1 2 271.326 3.873 20 0 CHADLO COC(=O)c1cccc2c1C[N@H+](Cc1cccc(C)c1C)C2 ZINC001205089777 1133527275 /nfs/dbraw/zinc/52/72/75/1133527275.db2.gz ZSHDIOUOSPCUOC-UHFFFAOYSA-N 1 2 295.382 3.606 20 0 CHADLO COC(=O)c1cccc2c1C[N@@H+](Cc1cccc(C)c1C)C2 ZINC001205089777 1133527278 /nfs/dbraw/zinc/52/72/78/1133527278.db2.gz ZSHDIOUOSPCUOC-UHFFFAOYSA-N 1 2 295.382 3.606 20 0 CHADLO Fc1ccc(-c2ccccc2Cn2cc[nH+]c2)c2c[nH]nc21 ZINC001205349947 1133566385 /nfs/dbraw/zinc/56/63/85/1133566385.db2.gz VPEJNFAQFAQPSH-UHFFFAOYSA-N 1 2 292.317 3.614 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+]2CCc3sccc3C2)cn1 ZINC001205363446 1133569743 /nfs/dbraw/zinc/56/97/43/1133569743.db2.gz CGGPIXJCZGVCPK-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+]2CCc3sccc3C2)cn1 ZINC001205363446 1133569748 /nfs/dbraw/zinc/56/97/48/1133569748.db2.gz CGGPIXJCZGVCPK-UHFFFAOYSA-N 1 2 298.333 3.720 20 0 CHADLO Fc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c2c[nH]nc21 ZINC001205370850 1133571439 /nfs/dbraw/zinc/57/14/39/1133571439.db2.gz CAHSBAGYUBLFNN-UHFFFAOYSA-N 1 2 296.280 3.694 20 0 CHADLO CCCCC[C@H]([NH2+]CCc1ccc(Cl)cc1)C(=O)OC ZINC001205434595 1133576519 /nfs/dbraw/zinc/57/65/19/1133576519.db2.gz OOYRAPBZXMIBFE-HNNXBMFYSA-N 1 2 297.826 3.594 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCCC(F)(F)[C@@H](F)C2)c1 ZINC001205616826 1133595397 /nfs/dbraw/zinc/59/53/97/1133595397.db2.gz LAPXWOUBNMYTHM-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCCC(F)(F)[C@@H](F)C2)c1 ZINC001205616826 1133595402 /nfs/dbraw/zinc/59/54/02/1133595402.db2.gz LAPXWOUBNMYTHM-ZDUSSCGKSA-N 1 2 275.289 3.703 20 0 CHADLO Nc1cn2ccc(-c3ccc(F)c(F)c3Cl)cc2[nH+]1 ZINC001205676030 1133602123 /nfs/dbraw/zinc/60/21/23/1133602123.db2.gz QYRAMCMDNXXPDD-UHFFFAOYSA-N 1 2 279.677 3.515 20 0 CHADLO Cn1ccc2cc(Nc3ccc(-n4cc[nH+]c4)cc3)cnc21 ZINC001205723415 1133606344 /nfs/dbraw/zinc/60/63/44/1133606344.db2.gz GGQYVAKZQWZNES-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO CCc1cc(-c2nc3c(ccn3C)c[nH+]2)ccc1Cl ZINC001205793797 1133614413 /nfs/dbraw/zinc/61/44/13/1133614413.db2.gz OYVOYRHTKVDKNT-UHFFFAOYSA-N 1 2 271.751 3.851 20 0 CHADLO CC[C@@H](NC(=O)Nc1c(C)cc(C)[nH+]c1C)[C@@H]1CC1(C)C ZINC001205900235 1133628715 /nfs/dbraw/zinc/62/87/15/1133628715.db2.gz WPSWJLTXVBQLKL-UONOGXRCSA-N 1 2 289.423 3.953 20 0 CHADLO Clc1ccc2c(Nc3cnn4cccnc34)cc[nH+]c2c1 ZINC001205958068 1133635378 /nfs/dbraw/zinc/63/53/78/1133635378.db2.gz CGHLBTPALLOJKG-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO CCCn1c[nH+]cc1CN1CCCCc2c(OC)cccc21 ZINC001206062719 1133651352 /nfs/dbraw/zinc/65/13/52/1133651352.db2.gz CWHUCZMJJSLAQN-UHFFFAOYSA-N 1 2 299.418 3.645 20 0 CHADLO C[C@@H]1CCCN(Cc2ccc[nH+]c2N)c2ccccc21 ZINC001206064401 1133652465 /nfs/dbraw/zinc/65/24/65/1133652465.db2.gz ZABKLPRFCSIVFZ-CYBMUJFWSA-N 1 2 267.376 3.568 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccc(C)c2C)C2CC2)co1 ZINC001206087831 1133655262 /nfs/dbraw/zinc/65/52/62/1133655262.db2.gz WUHPRHUZUQHGKY-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccc(C)c2C)C2CC2)co1 ZINC001206087831 1133655265 /nfs/dbraw/zinc/65/52/65/1133655265.db2.gz WUHPRHUZUQHGKY-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ncccc2F)cc1Cl ZINC001206319577 1133688901 /nfs/dbraw/zinc/68/89/01/1133688901.db2.gz BZKKBUWWTFQTKS-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ncccc2F)cc1Cl ZINC001206319577 1133688903 /nfs/dbraw/zinc/68/89/03/1133688903.db2.gz BZKKBUWWTFQTKS-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Fc1c(Br)cccc1C[NH+]1CC(CC2CC2)C1 ZINC001206780494 1133748597 /nfs/dbraw/zinc/74/85/97/1133748597.db2.gz PWTGLKOIWLGQJQ-UHFFFAOYSA-N 1 2 298.199 3.820 20 0 CHADLO CC[N@H+](Cc1cnc(C)s1)Cc1cccc(F)c1F ZINC001207062512 1133762585 /nfs/dbraw/zinc/76/25/85/1133762585.db2.gz FIUGUOWUVHMVSD-UHFFFAOYSA-N 1 2 282.359 3.752 20 0 CHADLO CC[N@@H+](Cc1cnc(C)s1)Cc1cccc(F)c1F ZINC001207062512 1133762587 /nfs/dbraw/zinc/76/25/87/1133762587.db2.gz FIUGUOWUVHMVSD-UHFFFAOYSA-N 1 2 282.359 3.752 20 0 CHADLO CC[N@H+](Cc1ccnn1C(C)C)Cc1ccccc1F ZINC001207175122 1133774854 /nfs/dbraw/zinc/77/48/54/1133774854.db2.gz YAHUIFQAVVBJPY-UHFFFAOYSA-N 1 2 275.371 3.625 20 0 CHADLO CC[N@@H+](Cc1ccnn1C(C)C)Cc1ccccc1F ZINC001207175122 1133774856 /nfs/dbraw/zinc/77/48/56/1133774856.db2.gz YAHUIFQAVVBJPY-UHFFFAOYSA-N 1 2 275.371 3.625 20 0 CHADLO Fc1cc(F)cc(C(F)(F)C[NH2+]C2CC3(CCC3)C2)c1 ZINC001207302921 1133790351 /nfs/dbraw/zinc/79/03/51/1133790351.db2.gz VNHGXIGTNKEXTL-UHFFFAOYSA-N 1 2 287.300 3.979 20 0 CHADLO COc1cccc2c1CC[C@@H](Nc1c[nH+]c(C)c(C)c1)C2 ZINC001207327058 1133791481 /nfs/dbraw/zinc/79/14/81/1133791481.db2.gz WXBCUAJQRLRXFL-OAHLLOKOSA-N 1 2 282.387 3.676 20 0 CHADLO Cc1cc(N[C@H]2CCc3ccccc3C2)c[nH+]c1C ZINC001207326616 1133791738 /nfs/dbraw/zinc/79/17/38/1133791738.db2.gz RFYMIUKXIPYTBY-INIZCTEOSA-N 1 2 252.361 3.668 20 0 CHADLO CCCCOc1cccc(Nc2[nH+]cccc2N)c1 ZINC001208621609 1133921455 /nfs/dbraw/zinc/92/14/55/1133921455.db2.gz RPVPPQIFDXASRP-UHFFFAOYSA-N 1 2 257.337 3.586 20 0 CHADLO FC1(C2CC2)C[NH+](CCCCCOCc2ccccc2)C1 ZINC001208802893 1133946195 /nfs/dbraw/zinc/94/61/95/1133946195.db2.gz AHQLMDIQOWEINX-UHFFFAOYSA-N 1 2 291.410 3.807 20 0 CHADLO Cc1cccc2c(C[N@H+](C)Cc3nccs3)c[nH]c21 ZINC001209487230 1134027892 /nfs/dbraw/zinc/02/78/92/1134027892.db2.gz HFYVOBHEPIEDCI-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1cccc2c(C[N@@H+](C)Cc3nccs3)c[nH]c21 ZINC001209487230 1134027896 /nfs/dbraw/zinc/02/78/96/1134027896.db2.gz HFYVOBHEPIEDCI-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1c[nH]c3c1cccc3C)C2 ZINC001209487061 1134027919 /nfs/dbraw/zinc/02/79/19/1134027919.db2.gz DLVPUZUYKYWCAU-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1c[nH]c3c1cccc3C)C2 ZINC001209487061 1134027924 /nfs/dbraw/zinc/02/79/24/1134027924.db2.gz DLVPUZUYKYWCAU-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO Cc1ccc2[nH]cc(C[N@@H+]3CCn4cccc4[C@H]3C)c2c1 ZINC001209493410 1134030153 /nfs/dbraw/zinc/03/01/53/1134030153.db2.gz GNCOTGQSGHOLAI-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1ccc2[nH]cc(C[N@H+]3CCn4cccc4[C@H]3C)c2c1 ZINC001209493410 1134030159 /nfs/dbraw/zinc/03/01/59/1134030159.db2.gz GNCOTGQSGHOLAI-CQSZACIVSA-N 1 2 279.387 3.855 20 0 CHADLO CSc1ccccc1[C@@H]1CCC[N@H+]1Cc1cn[nH]c1C ZINC001209559452 1134037311 /nfs/dbraw/zinc/03/73/11/1134037311.db2.gz XKXIQBRADAOSGQ-HNNXBMFYSA-N 1 2 287.432 3.777 20 0 CHADLO COc1cc(C[N@H+](C)Cc2cccnc2)c(F)cc1Cl ZINC001209661361 1134049139 /nfs/dbraw/zinc/04/91/39/1134049139.db2.gz PDUDZBLAHLLRIQ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2cccnc2)c(F)cc1Cl ZINC001209661361 1134049148 /nfs/dbraw/zinc/04/91/48/1134049148.db2.gz PDUDZBLAHLLRIQ-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc2c(c1)OCCN2 ZINC001209728559 1134055613 /nfs/dbraw/zinc/05/56/13/1134055613.db2.gz RGOMGUOUFITWLM-UHFFFAOYSA-N 1 2 269.348 3.753 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3c(c2)NCCO3)cc1 ZINC001209799658 1134067483 /nfs/dbraw/zinc/06/74/83/1134067483.db2.gz DSGGUWAROALIOA-UHFFFAOYSA-N 1 2 283.375 3.691 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3c(c2)NCCO3)cc1 ZINC001209799658 1134067489 /nfs/dbraw/zinc/06/74/89/1134067489.db2.gz DSGGUWAROALIOA-UHFFFAOYSA-N 1 2 283.375 3.691 20 0 CHADLO Cc1cc2c(ncnc2Nc2ccc3[nH]c[nH+]c3c2)s1 ZINC001209841169 1134079237 /nfs/dbraw/zinc/07/92/37/1134079237.db2.gz FMALDHCVTSTNOV-UHFFFAOYSA-N 1 2 281.344 3.620 20 0 CHADLO CCCc1ccnc(Nc2ccc3[nH]c[nH+]c3c2)c1 ZINC001209847290 1134081231 /nfs/dbraw/zinc/08/12/31/1134081231.db2.gz AZNUPQNZYARVPL-UHFFFAOYSA-N 1 2 252.321 3.654 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(OC(C)C)c(F)c1 ZINC001209996426 1134119013 /nfs/dbraw/zinc/11/90/13/1134119013.db2.gz MQDXJWPZEXIJJD-UHFFFAOYSA-N 1 2 299.349 3.842 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(OCC3CC3)nc2)c(C)c1 ZINC001210012608 1134122412 /nfs/dbraw/zinc/12/24/12/1134122412.db2.gz YWHFAUGYPZUKKN-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO CCOc1ccc(Nc2ccc([NH+](C)C)c(C)c2)cn1 ZINC001210039865 1134129988 /nfs/dbraw/zinc/12/99/88/1134129988.db2.gz DMKGVSVECBYWFF-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO Cc1cc(Nc2ccccc2C(=O)N(C)C)ccc1[NH+](C)C ZINC001210040877 1134130990 /nfs/dbraw/zinc/13/09/90/1134130990.db2.gz DKCIKTDRGNMENI-UHFFFAOYSA-N 1 2 297.402 3.506 20 0 CHADLO COc1cc(Nc2ccc([NH+](C)C)c(C)c2)ccc1F ZINC001210040828 1134131500 /nfs/dbraw/zinc/13/15/00/1134131500.db2.gz CONBWBQNJAEESQ-UHFFFAOYSA-N 1 2 274.339 3.952 20 0 CHADLO Cc1cc(Nc2ccccc2-n2cccn2)ccc1[NH+](C)C ZINC001210042281 1134132107 /nfs/dbraw/zinc/13/21/07/1134132107.db2.gz ZJQFDRXFBUXHMR-UHFFFAOYSA-N 1 2 292.386 3.990 20 0 CHADLO Cc1cc(-n2c(N)cc3ccccc32)ccc1[NH+](C)C ZINC001210042806 1134132174 /nfs/dbraw/zinc/13/21/74/1134132174.db2.gz MRHNCXYBKHTFOR-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO Cc1cc(Nc2cc(Cl)cc(CO)c2)ccc1[NH+](C)C ZINC001210042997 1134132271 /nfs/dbraw/zinc/13/22/71/1134132271.db2.gz HVTKZGPFAQWQBA-UHFFFAOYSA-N 1 2 290.794 3.950 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)CCN3C)ccc1[NH+](C)C ZINC001210044630 1134132888 /nfs/dbraw/zinc/13/28/88/1134132888.db2.gz LRWPCOPIEXJNMI-UHFFFAOYSA-N 1 2 281.403 3.797 20 0 CHADLO C=CC(=O)Nc1cccc(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210045265 1134133328 /nfs/dbraw/zinc/13/33/28/1134133328.db2.gz ZZGOPCQYQDQYHC-UHFFFAOYSA-N 1 2 295.386 3.929 20 0 CHADLO Cc1cc(-n2ccc3cccc(N)c32)ccc1[NH+](C)C ZINC001210045371 1134133369 /nfs/dbraw/zinc/13/33/69/1134133369.db2.gz RVEFCFJXYSOIJX-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO Cc1cc(Nc2cccc3nnsc32)ccc1[NH+](C)C ZINC001210044015 1134133485 /nfs/dbraw/zinc/13/34/85/1134133485.db2.gz JLSHJDSCVCKHMM-UHFFFAOYSA-N 1 2 284.388 3.809 20 0 CHADLO c1cnc(Nc2ccc3c[nH+]ccc3c2)c(N2CCCC2)c1 ZINC001210047169 1134134545 /nfs/dbraw/zinc/13/45/45/1134134545.db2.gz OQCCEVNBGMIJKJ-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO CCOC(=O)c1cccc(Nc2ccc3c[nH+]ccc3c2)n1 ZINC001210046904 1134134933 /nfs/dbraw/zinc/13/49/33/1134134933.db2.gz YPNAJDODALZOBL-UHFFFAOYSA-N 1 2 293.326 3.550 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1cccc(OCC2CC2)c1 ZINC001210050256 1134136173 /nfs/dbraw/zinc/13/61/73/1134136173.db2.gz BYINZLUZLHBOOL-UHFFFAOYSA-N 1 2 294.354 3.799 20 0 CHADLO COc1ccc(C(C)=O)cc1Nc1[nH+]c2ccccc2n1C ZINC001210076422 1134141487 /nfs/dbraw/zinc/14/14/87/1134141487.db2.gz MCDDWRUNERKKME-UHFFFAOYSA-N 1 2 295.342 3.528 20 0 CHADLO Cc1cc2n[nH]cc2cc1Nc1ccccc1-n1cc[nH+]c1 ZINC001210102182 1134146765 /nfs/dbraw/zinc/14/67/65/1134146765.db2.gz MHTOYQDLALKERD-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1c(F)c(F)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001210131639 1134154878 /nfs/dbraw/zinc/15/48/78/1134154878.db2.gz IBLMEINYGUUUGU-UHFFFAOYSA-N 1 2 273.286 3.904 20 0 CHADLO Cc1cn2cccc(Nc3cccc4cc[nH]c43)c2[nH+]1 ZINC001210211005 1134166887 /nfs/dbraw/zinc/16/68/87/1134166887.db2.gz NEPNDGSGSSBCGF-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO Fc1ccc(Nc2cccc3cc[nH+]cc32)c(F)n1 ZINC001210225146 1134172041 /nfs/dbraw/zinc/17/20/41/1134172041.db2.gz DNSIWSBNZIILQB-UHFFFAOYSA-N 1 2 257.243 3.652 20 0 CHADLO c1cc2cc[nH+]cc2c(Nc2nccc3cnccc32)c1 ZINC001210224605 1134172190 /nfs/dbraw/zinc/17/21/90/1134172190.db2.gz SOGGDWWANQYEEV-UHFFFAOYSA-N 1 2 272.311 3.922 20 0 CHADLO COc1cc(Nc2cccc3cc[nH+]cc32)c(C)cn1 ZINC001210225889 1134172361 /nfs/dbraw/zinc/17/23/61/1134172361.db2.gz NLTCCSMGDZILQE-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO c1nn2ccccc2c1Nc1cccc2cc[nH+]cc21 ZINC001210225158 1134172379 /nfs/dbraw/zinc/17/23/79/1134172379.db2.gz DREBATXADIBTOT-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO FC(F)(F)c1nccnc1Nc1cccc2cc[nH+]cc21 ZINC001210226085 1134172738 /nfs/dbraw/zinc/17/27/38/1134172738.db2.gz RGBLEAWAOJDUFY-UHFFFAOYSA-N 1 2 290.248 3.787 20 0 CHADLO c1nc(Nc2cccc3cc[nH+]cc32)cn1CC1CCC1 ZINC001210226423 1134173067 /nfs/dbraw/zinc/17/30/67/1134173067.db2.gz VZIHGEGMCYPXKJ-UHFFFAOYSA-N 1 2 278.359 3.975 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(C)c(CO)c2)cc1 ZINC001210251797 1134179286 /nfs/dbraw/zinc/17/92/86/1134179286.db2.gz DZYSQWNPWJVTNW-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(C)c(CO)c2)cc1 ZINC001210251797 1134179294 /nfs/dbraw/zinc/17/92/94/1134179294.db2.gz DZYSQWNPWJVTNW-UHFFFAOYSA-N 1 2 270.376 3.687 20 0 CHADLO Cc1nc2cc(Nc3c[nH+]c(C)c(N)c3)ccc2s1 ZINC001210288386 1134186619 /nfs/dbraw/zinc/18/66/19/1134186619.db2.gz PEABWSRNXWBESN-UHFFFAOYSA-N 1 2 270.361 3.634 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)c(N)c2)ccc1Br ZINC001210290571 1134186665 /nfs/dbraw/zinc/18/66/65/1134186665.db2.gz OYQLTBSJVOCXKI-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1[nH+]cc(Nc2cnc3ccc(Cl)cc3c2)cc1N ZINC001210288620 1134186697 /nfs/dbraw/zinc/18/66/97/1134186697.db2.gz MDGLGJLAYZCUTF-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cc3ocnc3cc2Cl)cc1N ZINC001210290618 1134186778 /nfs/dbraw/zinc/18/67/78/1134186778.db2.gz ATJURDXTVATAPZ-UHFFFAOYSA-N 1 2 274.711 3.510 20 0 CHADLO Cc1cc(Cl)cc(C)c1Nc1c[nH+]c(C)c(N)c1 ZINC001210289471 1134186874 /nfs/dbraw/zinc/18/68/74/1134186874.db2.gz MZCVESDUYKRTQG-UHFFFAOYSA-N 1 2 261.756 3.986 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(OCC(C)C)c(F)c2)cc1N ZINC001210292006 1134187799 /nfs/dbraw/zinc/18/77/99/1134187799.db2.gz YBJJRHPOGQMPPN-UHFFFAOYSA-N 1 2 289.354 3.890 20 0 CHADLO COc1cc(F)c(Cl)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210291676 1134187965 /nfs/dbraw/zinc/18/79/65/1134187965.db2.gz PXVGRANFJCZFJX-UHFFFAOYSA-N 1 2 281.718 3.517 20 0 CHADLO COc1cc(Nc2c(C)cc[nH+]c2C(C)C)c(OC)cn1 ZINC001210310346 1134189625 /nfs/dbraw/zinc/18/96/25/1134189625.db2.gz PDPNUDPNJMGPJY-UHFFFAOYSA-N 1 2 287.363 3.669 20 0 CHADLO Cc1cn2cccc(Nc3ccc(OC(F)F)cc3)c2[nH+]1 ZINC001210325879 1134193926 /nfs/dbraw/zinc/19/39/26/1134193926.db2.gz VAUMPDBLRNSDEF-UHFFFAOYSA-N 1 2 289.285 3.988 20 0 CHADLO Cn1cc[nH+]c1-c1ccc(-n2ccc3c2cccc3N)cc1 ZINC001210432767 1134213013 /nfs/dbraw/zinc/21/30/13/1134213013.db2.gz AQQGOGMRBKCCDU-UHFFFAOYSA-N 1 2 288.354 3.613 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2ncncc2c1 ZINC001210457107 1134219010 /nfs/dbraw/zinc/21/90/10/1134219010.db2.gz GOBVTZJMPJJMSY-UHFFFAOYSA-N 1 2 264.332 3.639 20 0 CHADLO CCc1ncccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001210465218 1134221964 /nfs/dbraw/zinc/22/19/64/1134221964.db2.gz JXLPFLDUDSGHBY-UHFFFAOYSA-N 1 2 272.739 3.689 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1cncc(N(C)C)c1 ZINC001210474400 1134224771 /nfs/dbraw/zinc/22/47/71/1134224771.db2.gz ZEPRSGIQTYHBDK-UHFFFAOYSA-N 1 2 284.407 3.793 20 0 CHADLO C[C@@H](O)c1cccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001210508248 1134234433 /nfs/dbraw/zinc/23/44/33/1134234433.db2.gz NAYCKGPPUZJWBE-CQSZACIVSA-N 1 2 293.370 3.728 20 0 CHADLO C[C@H](O)c1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001210508254 1134234630 /nfs/dbraw/zinc/23/46/30/1134234630.db2.gz IKHGTWAXRCDYAL-AWEZNQCLSA-N 1 2 293.370 3.728 20 0 CHADLO COc1cc(F)c(F)cc1Nc1[nH+]c2ccccc2n1C ZINC001210546697 1134244184 /nfs/dbraw/zinc/24/41/84/1134244184.db2.gz JLMFHCOAMNVFGK-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO O=c1[nH]cc(Nc2ccc([NH+]3CCCCC3)cc2)cc1F ZINC001210601207 1134258851 /nfs/dbraw/zinc/25/88/51/1134258851.db2.gz XDGVVRIOSDWCQI-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc([C@@H](C)O)cc1 ZINC001210645151 1134267322 /nfs/dbraw/zinc/26/73/22/1134267322.db2.gz DXEPKBNZRYMGOO-GFCCVEGCSA-N 1 2 256.349 3.749 20 0 CHADLO Cc1cc(C)c(Nc2ccccc2-n2cccn2)c[nH+]1 ZINC001210729878 1134283971 /nfs/dbraw/zinc/28/39/71/1134283971.db2.gz YMXCEIUBVUSVNP-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO Cc1cc(O)c[nH+]c1Nc1ccc(OCC(F)(F)F)cc1 ZINC001210741311 1134287467 /nfs/dbraw/zinc/28/74/67/1134287467.db2.gz MYYOPBHJUHNNOM-UHFFFAOYSA-N 1 2 298.264 3.780 20 0 CHADLO COc1cc(Nc2ccc3cccc(C)c3n2)cc(C)[nH+]1 ZINC001210776977 1134294000 /nfs/dbraw/zinc/29/40/00/1134294000.db2.gz TXHVMNXWKGINAK-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cc(Nc2ccc(Cl)nc2Cl)cc(C)[nH+]1 ZINC001210776973 1134294459 /nfs/dbraw/zinc/29/44/59/1134294459.db2.gz SWTYUUKKKVHNTL-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO COc1cc(Nc2cc(Cl)cs2)cc(C)[nH+]1 ZINC001210780385 1134295359 /nfs/dbraw/zinc/29/53/59/1134295359.db2.gz QWFAPFDLWCCGER-UHFFFAOYSA-N 1 2 254.742 3.857 20 0 CHADLO COc1cc(Nc2ccc(-c3ccccn3)nc2)cc(C)[nH+]1 ZINC001210780586 1134295903 /nfs/dbraw/zinc/29/59/03/1134295903.db2.gz VDFPQBFAOWWLRJ-UHFFFAOYSA-N 1 2 292.342 3.599 20 0 CHADLO Oc1ccc(F)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001210800012 1134297671 /nfs/dbraw/zinc/29/76/71/1134297671.db2.gz AGDVRMBZHRPPHU-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1cc(C)c(Nc2cccc(OC3CC3)c2)c[nH+]1 ZINC001210860715 1134315281 /nfs/dbraw/zinc/31/52/81/1134315281.db2.gz VMHCJYZREDJWAX-UHFFFAOYSA-N 1 2 254.333 3.983 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(SC)cc2F)c1 ZINC001210869897 1134319861 /nfs/dbraw/zinc/31/98/61/1134319861.db2.gz PBLIXFKEUMVFFO-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO COc1cc(Nc2ccc(C)c[nH+]2)ccc1-n1cnc(C)c1 ZINC001211012514 1134349460 /nfs/dbraw/zinc/34/94/60/1134349460.db2.gz HAEPKAPQXKCRNL-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO Cc1ccc(Nc2cc(Br)cc(C)c2N)[nH+]c1 ZINC001211014220 1134350736 /nfs/dbraw/zinc/35/07/36/1134350736.db2.gz UWGITHMKHZRZTD-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO COc1cc(C)ccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001211113822 1134372441 /nfs/dbraw/zinc/37/24/41/1134372441.db2.gz IOTSIMZVQOQGNN-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO Nc1ccc(C(F)(F)F)cc1Nc1cccc2[nH+]ccn21 ZINC001211145146 1134379829 /nfs/dbraw/zinc/37/98/29/1134379829.db2.gz AHLMAAZYLCKPDD-UHFFFAOYSA-N 1 2 292.264 3.679 20 0 CHADLO COc1cccc(Nc2c(C)cc[nH+]c2C(C)C)n1 ZINC001211299577 1134405836 /nfs/dbraw/zinc/40/58/36/1134405836.db2.gz LAPKAZPZZNKJRB-UHFFFAOYSA-N 1 2 257.337 3.661 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc2ccccc2[nH]1 ZINC001211306194 1134406770 /nfs/dbraw/zinc/40/67/70/1134406770.db2.gz WZNPIVXLCMNQSD-UHFFFAOYSA-N 1 2 253.305 3.705 20 0 CHADLO Cc1cc(C)cc(-c2noc([C@](C)([NH3+])c3ccccc3)n2)c1 ZINC001211442814 1134417293 /nfs/dbraw/zinc/41/72/93/1134417293.db2.gz UGHWNJCUPLRXSY-GOSISDBHSA-N 1 2 293.370 3.576 20 0 CHADLO Cc1nc2ccc(Nc3ccc4c(c3)[nH+]cn4C)cc2o1 ZINC001213067757 1134419608 /nfs/dbraw/zinc/41/96/08/1134419608.db2.gz WQKNXIBVYBTYLQ-UHFFFAOYSA-N 1 2 278.315 3.767 20 0 CHADLO O=c1cc[nH]c(Cl)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213087719 1134424040 /nfs/dbraw/zinc/42/40/40/1134424040.db2.gz XEJDOVGNIQLEGT-UHFFFAOYSA-N 1 2 286.722 3.574 20 0 CHADLO Cc1nc(Cl)cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n1 ZINC001213085673 1134424924 /nfs/dbraw/zinc/42/49/24/1134424924.db2.gz QUHGPBXTRCFJJK-UHFFFAOYSA-N 1 2 285.738 3.572 20 0 CHADLO Cc1ccc(N)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089804 1134425254 /nfs/dbraw/zinc/42/52/54/1134425254.db2.gz FITIFVGBCNPAOG-UHFFFAOYSA-N 1 2 264.332 3.711 20 0 CHADLO Cc1cn(-c2ccc(-c3[nH]cc[nH+]3)cc2)c2cc(N)ccc12 ZINC001213090928 1134425339 /nfs/dbraw/zinc/42/53/39/1134425339.db2.gz NIIMVFPJJNZCGR-UHFFFAOYSA-N 1 2 288.354 3.911 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4c3COC4)cc2)[nH]1 ZINC001213090987 1134425724 /nfs/dbraw/zinc/42/57/24/1134425724.db2.gz PWJJLQFDCPLTRX-UHFFFAOYSA-N 1 2 277.327 3.851 20 0 CHADLO CC(=O)Oc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001213091154 1134426136 /nfs/dbraw/zinc/42/61/36/1134426136.db2.gz YRZMWMRVVMCFOG-UHFFFAOYSA-N 1 2 293.326 3.746 20 0 CHADLO CCOC(=O)c1ncccc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213495113 1134453888 /nfs/dbraw/zinc/45/38/88/1134453888.db2.gz QVGMRSSFUGEZLL-UHFFFAOYSA-N 1 2 297.358 3.583 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnc2ccccc2n1 ZINC001213494814 1134453904 /nfs/dbraw/zinc/45/39/04/1134453904.db2.gz JCEDZPKJRMVPGY-UHFFFAOYSA-N 1 2 276.343 3.954 20 0 CHADLO Cc1cc(C)n2nc(Nc3cc(C4CC4)c[nH+]c3C)cc2n1 ZINC001213495220 1134454395 /nfs/dbraw/zinc/45/43/95/1134454395.db2.gz WTKGRRURFQYQPU-UHFFFAOYSA-N 1 2 293.374 3.671 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(cnn2C)c1 ZINC001213497303 1134454986 /nfs/dbraw/zinc/45/49/86/1134454986.db2.gz MZGTZIGFIRMCHS-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)CC(=O)N2 ZINC001213499117 1134455013 /nfs/dbraw/zinc/45/50/13/1134455013.db2.gz CRUZCDVCESNKRF-UHFFFAOYSA-N 1 2 279.343 3.506 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnc(OCC2CC2)nc1 ZINC001213496917 1134455083 /nfs/dbraw/zinc/45/50/83/1134455083.db2.gz DSQYALVTYHOMMN-UHFFFAOYSA-N 1 2 296.374 3.590 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(N)ccc(F)c1F ZINC001213499498 1134455146 /nfs/dbraw/zinc/45/51/46/1134455146.db2.gz LUAINZXUJJUPMR-UHFFFAOYSA-N 1 2 275.302 3.871 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc(CCO)cc1 ZINC001213497109 1134455169 /nfs/dbraw/zinc/45/51/69/1134455169.db2.gz JCIVVMORYZOIQT-UHFFFAOYSA-N 1 2 268.360 3.546 20 0 CHADLO CC(=O)N(C)c1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1 ZINC001213499083 1134455418 /nfs/dbraw/zinc/45/54/18/1134455418.db2.gz BEABHUYEUBTZDE-UHFFFAOYSA-N 1 2 295.386 3.994 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(N)ccc1F ZINC001213501090 1134456697 /nfs/dbraw/zinc/45/66/97/1134456697.db2.gz HNGNLOGWNKUBCO-UHFFFAOYSA-N 1 2 257.312 3.732 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)CCC(=O)N2 ZINC001213501179 1134456884 /nfs/dbraw/zinc/45/68/84/1134456884.db2.gz LGWMMLUWUBRBSO-UHFFFAOYSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)cc2C)n(CC(F)(F)F)n1 ZINC001213508464 1134457291 /nfs/dbraw/zinc/45/72/91/1134457291.db2.gz SUVYXRNKGRJPSW-UHFFFAOYSA-N 1 2 284.285 3.509 20 0 CHADLO Cc1cc(C)c(Nc2cncc(OC(F)(F)F)c2)c[nH+]1 ZINC001213513431 1134457378 /nfs/dbraw/zinc/45/73/78/1134457378.db2.gz KEZLXLFKELOZCX-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO Cc1cc(C)c(Nc2ccc(Br)nc2)c[nH+]1 ZINC001213505313 1134457741 /nfs/dbraw/zinc/45/77/41/1134457741.db2.gz BKEBIDRZYPCALB-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N3CCCC3=O)cc2)c[nH+]1 ZINC001213513432 1134458014 /nfs/dbraw/zinc/45/80/14/1134458014.db2.gz KGMQMRLEOUQJRP-UHFFFAOYSA-N 1 2 281.359 3.569 20 0 CHADLO Cc1cc(C)c(Nc2nc(Cl)c(Cl)cc2N)c[nH+]1 ZINC001213510677 1134458061 /nfs/dbraw/zinc/45/80/61/1134458061.db2.gz HGKJUVBVZKDDAD-UHFFFAOYSA-N 1 2 283.162 3.726 20 0 CHADLO COc1cc(Nc2c[nH+]c(C)cc2C)ccc1C(C)=O ZINC001213518389 1134458670 /nfs/dbraw/zinc/45/86/70/1134458670.db2.gz LTUIPBMBSYOMAL-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO Cc1cc(C)c(Nc2cc(N)cc(Br)c2)c[nH+]1 ZINC001213518335 1134458778 /nfs/dbraw/zinc/45/87/78/1134458778.db2.gz IMPRSFHCQREIBN-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO COc1cc(OC)c(Nc2c[nH+]c(C)cc2C)cc1F ZINC001213515788 1134458818 /nfs/dbraw/zinc/45/88/18/1134458818.db2.gz LFXSSEWXCNHNEG-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO COCCOc1ccc(Nc2c[nH+]c(C)cc2C)cc1F ZINC001213518245 1134458922 /nfs/dbraw/zinc/45/89/22/1134458922.db2.gz CPHBDGGYUFXPAF-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(F)nc(F)c2Cl)c1C ZINC001213525345 1134459008 /nfs/dbraw/zinc/45/90/08/1134459008.db2.gz RYNGCYPPFGZCDG-UHFFFAOYSA-N 1 2 269.682 3.769 20 0 CHADLO CC(=O)c1cc(F)ccc1Nc1c[nH+]c(C)cc1C ZINC001213516016 1134459275 /nfs/dbraw/zinc/45/92/75/1134459275.db2.gz YPHRAOPPZVEFAW-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO COc1ccc2cccnc2c1Nc1c[nH+]c(C)cc1C ZINC001213520405 1134459404 /nfs/dbraw/zinc/45/94/04/1134459404.db2.gz BCGNIWCWYLWCDU-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cc1cc(C)c(Nc2c(N)cc(Cl)cc2F)c[nH+]1 ZINC001213518293 1134459465 /nfs/dbraw/zinc/45/94/65/1134459465.db2.gz GIYWKYXFBCYHSV-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO Cc1c[nH+]cc(Nc2cncc(N3CCCCC3)c2)c1C ZINC001213528717 1134460226 /nfs/dbraw/zinc/46/02/26/1134460226.db2.gz MWBIHYJZDVJCDY-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO CCOC(=O)c1ccc(Nc2c[nH+]cc(C)c2C)cc1 ZINC001213530990 1134461538 /nfs/dbraw/zinc/46/15/38/1134461538.db2.gz RNBVNHRDNAUMJG-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO Cc1noc(-c2ccc(Nc3c[nH+]cc(C)c3C)cc2)n1 ZINC001213532552 1134461906 /nfs/dbraw/zinc/46/19/06/1134461906.db2.gz NUMJRDHIMGSHKA-UHFFFAOYSA-N 1 2 280.331 3.800 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc(C(=O)NC(C)C)c2)c1C ZINC001213530484 1134461926 /nfs/dbraw/zinc/46/19/26/1134461926.db2.gz CMOBJUBDRKNOOO-UHFFFAOYSA-N 1 2 283.375 3.580 20 0 CHADLO CCN(CCO)c1ccc(Nc2c[nH+]cc(C)c2C)c(C)c1 ZINC001213532743 1134461953 /nfs/dbraw/zinc/46/19/53/1134461953.db2.gz SASSHQPZYHCTNT-UHFFFAOYSA-N 1 2 299.418 3.569 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)C[C@H](C)C(=O)N3C)c1C ZINC001213536733 1134462920 /nfs/dbraw/zinc/46/29/20/1134462920.db2.gz NPHAAZKNSLTRHQ-NSHDSACASA-N 1 2 295.386 3.597 20 0 CHADLO Cc1c[nH+]cc(Nc2c(N)cc(F)cc2Cl)c1C ZINC001213534226 1134463189 /nfs/dbraw/zinc/46/31/89/1134463189.db2.gz SEIPUZCJKYAOKS-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO COCc1ccc(C)c(Nc2[nH+]cccc2N2CCCC2)c1 ZINC001213574080 1134465006 /nfs/dbraw/zinc/46/50/06/1134465006.db2.gz UHMFTVMNISHKIY-UHFFFAOYSA-N 1 2 297.402 3.880 20 0 CHADLO Cc1cn2cccc(Nc3ccccc3N3CCCC3)c2[nH+]1 ZINC001213602110 1134467794 /nfs/dbraw/zinc/46/77/94/1134467794.db2.gz VSFYGIXGJYQAJQ-UHFFFAOYSA-N 1 2 292.386 3.987 20 0 CHADLO Nc1cc(Cl)ccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001213649828 1134472867 /nfs/dbraw/zinc/47/28/67/1134472867.db2.gz PLIUUWVBOWZJCN-UHFFFAOYSA-N 1 2 293.157 3.967 20 0 CHADLO Nc1cc(Cl)ccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001213652758 1134473576 /nfs/dbraw/zinc/47/35/76/1134473576.db2.gz JHDPFDCKBFVLRB-UHFFFAOYSA-N 1 2 284.750 3.852 20 0 CHADLO Cc1cc(C2CC2)ccc1N(C)c1[nH+]cccc1N ZINC001213860248 1134492339 /nfs/dbraw/zinc/49/23/39/1134492339.db2.gz VZMDVTCAQHGRTC-UHFFFAOYSA-N 1 2 253.349 3.618 20 0 CHADLO c1cn(-c2ccccc2Nc2cnccc2C2CC2)c[nH+]1 ZINC001213874830 1134494026 /nfs/dbraw/zinc/49/40/26/1134494026.db2.gz GWZVVXBHACJMSY-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO CN(C)c1ccc(Nc2c(Cl)ccc(F)c2F)c[nH+]1 ZINC001213942793 1134499663 /nfs/dbraw/zinc/49/96/63/1134499663.db2.gz KSTBODUIGGUNQS-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO CSc1cc(Nc2cccc3[nH]c(=O)ccc23)cc[nH+]1 ZINC001213972705 1134503416 /nfs/dbraw/zinc/50/34/16/1134503416.db2.gz YJFQCYRVDLFDSC-UHFFFAOYSA-N 1 2 283.356 3.801 20 0 CHADLO Cc1cc(N)ccc1Nc1cccc(C2CCC2)[nH+]1 ZINC001214112538 1134512460 /nfs/dbraw/zinc/51/24/60/1134512460.db2.gz OATKNNGXFIHYSF-UHFFFAOYSA-N 1 2 253.349 3.983 20 0 CHADLO CCOc1cc(Nc2cc(C)ccc2O)cc(C)[nH+]1 ZINC001214220400 1134522791 /nfs/dbraw/zinc/52/27/91/1134522791.db2.gz DPGLMZAGGUPJEN-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO CCOc1cc(Nc2ccn3cc[nH+]c3c2)ccc1C ZINC001214325628 1134528899 /nfs/dbraw/zinc/52/88/99/1134528899.db2.gz KHHAESPUBFXURJ-UHFFFAOYSA-N 1 2 267.332 3.785 20 0 CHADLO Cc1ccc(O)cc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001214339044 1134530730 /nfs/dbraw/zinc/53/07/30/1134530730.db2.gz NNYLPGKCHHEOAM-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO Cc1cccc(Nc2ccc(OC(F)(F)F)cc2O)[nH+]1 ZINC001214386992 1134534822 /nfs/dbraw/zinc/53/48/22/1134534822.db2.gz RNGNYTIOJLHWFP-UHFFFAOYSA-N 1 2 284.237 3.738 20 0 CHADLO COCOc1cccc(F)c1Nc1ccc(C)[nH+]c1C ZINC001214428473 1134537615 /nfs/dbraw/zinc/53/76/15/1134537615.db2.gz YZVHRUNUQBNXSC-UHFFFAOYSA-N 1 2 276.311 3.564 20 0 CHADLO Oc1ccc(Cl)c(Nc2cccn3cc[nH+]c23)c1F ZINC001214556556 1134551356 /nfs/dbraw/zinc/55/13/56/1134551356.db2.gz XETSZGKLJXDJNU-UHFFFAOYSA-N 1 2 277.686 3.576 20 0 CHADLO COc1ccc(C)c(F)c1Nc1ccn2cc[nH+]c2c1 ZINC001214628645 1134559870 /nfs/dbraw/zinc/55/98/70/1134559870.db2.gz BZSPSQYLCRUPEE-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CC(=O)c1ccc(Nc2cccn3cc[nH+]c23)c(F)c1F ZINC001214978203 1134589989 /nfs/dbraw/zinc/58/99/89/1134589989.db2.gz BCPXSONRYQULMG-UHFFFAOYSA-N 1 2 287.269 3.559 20 0 CHADLO CC(=O)c1ccc(Nc2ccn3cc[nH+]c3c2)c(F)c1F ZINC001214982220 1134591465 /nfs/dbraw/zinc/59/14/65/1134591465.db2.gz ZRKQZBRRGAIRLW-UHFFFAOYSA-N 1 2 287.269 3.559 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)c(F)ccc1OC(C)C ZINC001215074316 1134598047 /nfs/dbraw/zinc/59/80/47/1134598047.db2.gz XKXKAPPQYGBKHT-UHFFFAOYSA-N 1 2 294.301 3.899 20 0 CHADLO CCOc1cc(Nc2ccc(F)c3n[nH]cc32)cc(C)[nH+]1 ZINC001215370881 1134619809 /nfs/dbraw/zinc/61/98/09/1134619809.db2.gz FKYJOLJYTSQBSG-UHFFFAOYSA-N 1 2 286.310 3.548 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)ccc1SC ZINC001215446588 1134627733 /nfs/dbraw/zinc/62/77/33/1134627733.db2.gz VQOMTFJTQXNLPB-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO COCc1cc(C)c(Nc2[nH+]cc(O)cc2C)c(C)c1 ZINC001215528537 1134637484 /nfs/dbraw/zinc/63/74/84/1134637484.db2.gz AERQXTGZBDFUHJ-UHFFFAOYSA-N 1 2 272.348 3.602 20 0 CHADLO Fc1cc(Nc2cccc3cc[nH+]cc32)cc2n[nH]cc21 ZINC001215555149 1134641282 /nfs/dbraw/zinc/64/12/82/1134641282.db2.gz CZLWZTHOZXLMIS-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO Fc1cc(Nc2cccc3cc[nH+]cc32)cc2[nH]ncc21 ZINC001215555149 1134641284 /nfs/dbraw/zinc/64/12/84/1134641284.db2.gz CZLWZTHOZXLMIS-UHFFFAOYSA-N 1 2 278.290 3.994 20 0 CHADLO CCCOc1ccc(C)c(N(C)c2[nH+]cccc2N)c1 ZINC001215586943 1134649752 /nfs/dbraw/zinc/64/97/52/1134649752.db2.gz VZJURNBAEPYWNW-UHFFFAOYSA-N 1 2 271.364 3.529 20 0 CHADLO COc1c(Nc2[nH+]c(C)ccc2C)ccc(C)c1F ZINC001215600771 1134651202 /nfs/dbraw/zinc/65/12/02/1134651202.db2.gz WSNYWDDJLIBCGF-UHFFFAOYSA-N 1 2 260.312 3.898 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(Cl)c(CO)c1F ZINC001215606442 1134652606 /nfs/dbraw/zinc/65/26/06/1134652606.db2.gz RDCJFDQXAPMBGQ-UHFFFAOYSA-N 1 2 294.757 3.981 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1cccc(CO)c1 ZINC000305826856 1134655618 /nfs/dbraw/zinc/65/56/18/1134655618.db2.gz NONNFGHWTQBHJO-AWEZNQCLSA-N 1 2 296.414 3.734 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1cccc(CO)c1 ZINC000305826856 1134655619 /nfs/dbraw/zinc/65/56/19/1134655619.db2.gz NONNFGHWTQBHJO-AWEZNQCLSA-N 1 2 296.414 3.734 20 0 CHADLO Cc1ccc(F)c(Nc2cc(Cl)c3[nH+]ccn3c2)c1O ZINC001215739118 1134670262 /nfs/dbraw/zinc/67/02/62/1134670262.db2.gz VPQRVTDBIJXIDB-UHFFFAOYSA-N 1 2 291.713 3.884 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(C)c3n[nH]cc32)c(C)c1 ZINC001215755005 1134674897 /nfs/dbraw/zinc/67/48/97/1134674897.db2.gz DBWLBXUGVSHFIW-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c3[nH+]ccn3c2)c2c[nH]nc21 ZINC001215756183 1134674933 /nfs/dbraw/zinc/67/49/33/1134674933.db2.gz MGUCZDFQXFNVKN-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO Cc1ccc(Nc2c[nH+]c3cccc(C)n23)c2cn[nH]c21 ZINC001215756940 1134675107 /nfs/dbraw/zinc/67/51/07/1134675107.db2.gz ZINFKAFHNVSJRB-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1cn2cccc(Nc3cnc(OC(C)C)cc3C)c2[nH+]1 ZINC001215770119 1134681955 /nfs/dbraw/zinc/68/19/55/1134681955.db2.gz AWGLDTWCDRNZCJ-UHFFFAOYSA-N 1 2 296.374 3.877 20 0 CHADLO COc1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1C ZINC001215799508 1134690804 /nfs/dbraw/zinc/69/08/04/1134690804.db2.gz DHINUQDUNWCZBI-UHFFFAOYSA-N 1 2 279.343 3.933 20 0 CHADLO Cc1c[nH+]c(Nc2ccccc2NC(=O)C(C)(C)C)c(N)c1 ZINC001215831658 1134698031 /nfs/dbraw/zinc/69/80/31/1134698031.db2.gz PEJGTBZLNXIFED-UHFFFAOYSA-N 1 2 298.390 3.700 20 0 CHADLO Cc1c[nH+]c(Nc2cc(Cl)cc3n[nH]cc32)c(C)c1 ZINC001215857101 1134704728 /nfs/dbraw/zinc/70/47/28/1134704728.db2.gz IVJMNEVRRSFAHG-UHFFFAOYSA-N 1 2 272.739 3.972 20 0 CHADLO CSc1cc(F)cc(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001215903051 1134713511 /nfs/dbraw/zinc/71/35/11/1134713511.db2.gz UOFSGKXGNONXSI-UHFFFAOYSA-N 1 2 277.368 3.752 20 0 CHADLO CCc1cc(Nc2cccc(-n3cc[nH+]c3)c2)ccc1N ZINC001216036894 1134754488 /nfs/dbraw/zinc/75/44/88/1134754488.db2.gz BVGAENFNGYKUCO-UHFFFAOYSA-N 1 2 278.359 3.761 20 0 CHADLO Cc1cc(C)c(N)c(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001216097599 1134776706 /nfs/dbraw/zinc/77/67/06/1134776706.db2.gz UDVMUHJAKLUAGE-UHFFFAOYSA-N 1 2 286.766 3.930 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(CO)c(CC)c2)c1 ZINC001216105586 1134779942 /nfs/dbraw/zinc/77/99/42/1134779942.db2.gz NFMSXKUCHYGPMI-UHFFFAOYSA-N 1 2 270.376 3.832 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N2CCCC2)cc(C)c1CO ZINC001216123155 1134785341 /nfs/dbraw/zinc/78/53/41/1134785341.db2.gz IZUUBNGJISUDPU-UHFFFAOYSA-N 1 2 297.402 3.535 20 0 CHADLO CSc1cc(Nc2cc(F)cc(N(C)C)c2)cc[nH+]1 ZINC001216160101 1134791996 /nfs/dbraw/zinc/79/19/96/1134791996.db2.gz HIJYPUSGNFSGIJ-UHFFFAOYSA-N 1 2 277.368 3.752 20 0 CHADLO CCc1cccc(Nc2ccc(N)cc2C(F)(F)F)[nH+]1 ZINC001216130405 1134788807 /nfs/dbraw/zinc/78/88/07/1134788807.db2.gz YGIBBWUMOABUDT-UHFFFAOYSA-N 1 2 281.281 3.989 20 0 CHADLO CN(C)c1cc(F)cc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001216160119 1134791752 /nfs/dbraw/zinc/79/17/52/1134791752.db2.gz IGGUZWFLVINROR-UHFFFAOYSA-N 1 2 296.349 3.821 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)cc(N(C)C)c2)c[nH+]1 ZINC001216160972 1134792431 /nfs/dbraw/zinc/79/24/31/1134792431.db2.gz VFROVDCCAUGIAU-UHFFFAOYSA-N 1 2 259.328 3.647 20 0 CHADLO Oc1ccc(Cl)cc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001216399570 1134881942 /nfs/dbraw/zinc/88/19/42/1134881942.db2.gz HLNYMFWOLYJGBB-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO Oc1ccc(Cl)cc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001216399539 1134882196 /nfs/dbraw/zinc/88/21/96/1134882196.db2.gz GLQAKXZTYKOZHF-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO COc1cc(Nc2ccc3c(C)cc[nH+]c3c2)cnc1F ZINC001216424401 1134890983 /nfs/dbraw/zinc/89/09/83/1134890983.db2.gz ZJUUQJCSCULNIJ-UHFFFAOYSA-N 1 2 283.306 3.830 20 0 CHADLO Oc1ccc(Nc2ccc[nH+]c2N2CCCC2)c(Cl)c1 ZINC001216426351 1134892188 /nfs/dbraw/zinc/89/21/88/1134892188.db2.gz ZHBKQJKQHXJNGT-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(O)cc2Cl)c[nH+]1 ZINC001216428734 1134893526 /nfs/dbraw/zinc/89/35/26/1134893526.db2.gz WUHFGDXWGRTEPI-UHFFFAOYSA-N 1 2 277.755 3.640 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(Cl)cc(CO)c2)[nH+]1 ZINC001216432837 1134895883 /nfs/dbraw/zinc/89/58/83/1134895883.db2.gz QKCYJCUGVNENBJ-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO CC(=O)c1cccc(Nc2ccc3c(c2)[nH+]cn3C)c1F ZINC001216501032 1134911226 /nfs/dbraw/zinc/91/12/26/1134911226.db2.gz VMBKOXHKZGHEOB-UHFFFAOYSA-N 1 2 283.306 3.659 20 0 CHADLO Nc1c(Cl)cccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001216527603 1134915705 /nfs/dbraw/zinc/91/57/05/1134915705.db2.gz HUGSOXYMBLSXGE-UHFFFAOYSA-N 1 2 284.750 3.852 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3onc(C)c3c2)c[nH+]1 ZINC001216640236 1134938009 /nfs/dbraw/zinc/93/80/09/1134938009.db2.gz MZIKFAFQXUUIKK-UHFFFAOYSA-N 1 2 282.347 3.731 20 0 CHADLO C[C@H](Oc1cc(N)cc[nH+]1)c1ccc2ccccc2c1 ZINC001217947096 1135030470 /nfs/dbraw/zinc/03/04/70/1135030470.db2.gz RCVNKHHIKYXFMV-LBPRGKRZSA-N 1 2 264.328 3.957 20 0 CHADLO C[C@@H](Oc1cc(N)cc[nH+]1)c1ccc2ccccc2c1 ZINC001217947093 1135030997 /nfs/dbraw/zinc/03/09/97/1135030997.db2.gz RCVNKHHIKYXFMV-GFCCVEGCSA-N 1 2 264.328 3.957 20 0 CHADLO Clc1nc(C[N@@H+]2CC[C@H]3CCCC[C@@H]3C2)cs1 ZINC000310094448 1135120216 /nfs/dbraw/zinc/12/02/16/1135120216.db2.gz UGQYUTWFTCCMSQ-GHMZBOCLSA-N 1 2 270.829 3.809 20 0 CHADLO Clc1nc(C[N@H+]2CC[C@H]3CCCC[C@@H]3C2)cs1 ZINC000310094448 1135120221 /nfs/dbraw/zinc/12/02/21/1135120221.db2.gz UGQYUTWFTCCMSQ-GHMZBOCLSA-N 1 2 270.829 3.809 20 0 CHADLO Cc1ccccc1NC(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000196630720 1135179716 /nfs/dbraw/zinc/17/97/16/1135179716.db2.gz BGOUZDDWYURVOT-UHFFFAOYSA-N 1 2 292.342 3.825 20 0 CHADLO C[C@H](OCCn1cc[nH+]c1)c1ccc2ccccc2c1 ZINC001222128971 1135197679 /nfs/dbraw/zinc/19/76/79/1135197679.db2.gz IBJLPYAAXIIPPI-AWEZNQCLSA-N 1 2 266.344 3.814 20 0 CHADLO Cc1ccc(C)c2c1CCC[C@@H]2OCCn1cc[nH+]c1 ZINC001222134475 1135198593 /nfs/dbraw/zinc/19/85/93/1135198593.db2.gz DGLANJQJGYGFBK-INIZCTEOSA-N 1 2 270.376 3.594 20 0 CHADLO Cn1c[nH+]cc1COC(c1ccccc1)c1ccccc1 ZINC001222588042 1135252970 /nfs/dbraw/zinc/25/29/70/1135252970.db2.gz VUFURESLMVIXRH-UHFFFAOYSA-N 1 2 278.355 3.726 20 0 CHADLO CC(C)Oc1ccc(COCc2c[nH+]cn2C)cc1Cl ZINC001222600966 1135255300 /nfs/dbraw/zinc/25/53/00/1135255300.db2.gz WVFSEJDTHKAQDC-UHFFFAOYSA-N 1 2 294.782 3.578 20 0 CHADLO CCCC[C@H](CC)C[C@@H](C)OCc1c[nH+]cn1C ZINC001222600660 1135255705 /nfs/dbraw/zinc/25/57/05/1135255705.db2.gz UBJVOIYWKPLBCJ-KGLIPLIRSA-N 1 2 252.402 3.932 20 0 CHADLO C[NH+]1CC(OCc2ccc(Sc3ccccc3)cc2)C1 ZINC001223175447 1135313717 /nfs/dbraw/zinc/31/37/17/1135313717.db2.gz IISZJXBTDAHQOS-UHFFFAOYSA-N 1 2 285.412 3.668 20 0 CHADLO Cc1cc(CO[C@H](C)c2ncccc2F)cc(C)[nH+]1 ZINC001223423318 1135343101 /nfs/dbraw/zinc/34/31/01/1135343101.db2.gz BIWUVKAVSZGNKD-GFCCVEGCSA-N 1 2 260.312 3.510 20 0 CHADLO Cc1ccc(OC[C@@H](C)OCc2cc(C)[nH+]c(C)c2)cc1 ZINC001223425423 1135345292 /nfs/dbraw/zinc/34/52/92/1135345292.db2.gz QZTJRHBZUIVFOK-MRXNPFEDSA-N 1 2 285.387 3.991 20 0 CHADLO c1ccc(CO[C@@H]2CC[C@H](Oc3cc[nH+]cc3)C2)cc1 ZINC001225296496 1135537610 /nfs/dbraw/zinc/53/76/10/1135537610.db2.gz WEUBFHKLXJPVFD-SJORKVTESA-N 1 2 269.344 3.598 20 0 CHADLO Cc1cc[nH+]c(C)c1OCc1cnc(F)c(OC(C)C)c1 ZINC001227262630 1135812042 /nfs/dbraw/zinc/81/20/42/1135812042.db2.gz UISGKRXUIBJIAS-UHFFFAOYSA-N 1 2 290.338 3.599 20 0 CHADLO Cc1nc(NC[C@@H]2CCC[C@@H]2C)c(Br)c(C)[nH+]1 ZINC000323132546 1135852292 /nfs/dbraw/zinc/85/22/92/1135852292.db2.gz YQIYNGTVIPBAAD-KWQFWETISA-N 1 2 298.228 3.704 20 0 CHADLO Cc1ccc(NCc2ccc(F)cc2Cl)c[nH+]1 ZINC000083383928 178387105 /nfs/dbraw/zinc/38/71/05/178387105.db2.gz OZLOPZGQWKIXML-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CC[C@@H]1c2ccccc2CCN1c1cc[nH+]c(C2CC2)n1 ZINC000114451044 529486662 /nfs/dbraw/zinc/48/66/62/529486662.db2.gz HEXAINSQHKXQEB-MRXNPFEDSA-N 1 2 279.387 3.868 20 0 CHADLO CCc1cc(N2C[C@H](C)CC[C@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000301454652 529536512 /nfs/dbraw/zinc/53/65/12/529536512.db2.gz ROOWRWWJMGUAQW-ZIAGYGMSSA-N 1 2 296.418 3.726 20 0 CHADLO CCc1cc(N[C@H](CC)c2[nH+]ccn2C)ccc1F ZINC000353858778 529561733 /nfs/dbraw/zinc/56/17/33/529561733.db2.gz UWCXMKXHZJQLGQ-CQSZACIVSA-N 1 2 261.344 3.685 20 0 CHADLO CCc1ccc(C[N@@H+]2C[C@H](C)OC[C@H]2c2ccccc2)cn1 ZINC000347886279 529645246 /nfs/dbraw/zinc/64/52/46/529645246.db2.gz SVSQCSVTXOXDFL-KXBFYZLASA-N 1 2 296.414 3.606 20 0 CHADLO CCc1ccc(C[N@H+]2C[C@H](C)OC[C@H]2c2ccccc2)cn1 ZINC000347886279 529645248 /nfs/dbraw/zinc/64/52/48/529645248.db2.gz SVSQCSVTXOXDFL-KXBFYZLASA-N 1 2 296.414 3.606 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nnc(C)s1)c1cc(F)ccc1F ZINC000354973174 529653418 /nfs/dbraw/zinc/65/34/18/529653418.db2.gz WMWYDLOIRNVIQI-SDBXPKJASA-N 1 2 297.374 3.927 20 0 CHADLO CCc1cnc(C[NH2+][C@H](c2ccc(F)cn2)C(C)C)s1 ZINC000353546762 529654061 /nfs/dbraw/zinc/65/40/61/529654061.db2.gz WUUCRXSOPBRHJJ-HNNXBMFYSA-N 1 2 293.411 3.727 20 0 CHADLO CCc1nc(C[NH2+][C@H](c2ccc(F)cn2)C(C)C)cs1 ZINC000353535763 529740870 /nfs/dbraw/zinc/74/08/70/529740870.db2.gz LMMSIJZSHQVSKN-HNNXBMFYSA-N 1 2 293.411 3.727 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2cn[nH]c2C)o1 ZINC000353833408 529726822 /nfs/dbraw/zinc/72/68/22/529726822.db2.gz ZLAWJLOXTCDWRA-MRXNPFEDSA-N 1 2 287.407 3.991 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@H+]2Cc2cn[nH]c2C)o1 ZINC000353833408 529726824 /nfs/dbraw/zinc/72/68/24/529726824.db2.gz ZLAWJLOXTCDWRA-MRXNPFEDSA-N 1 2 287.407 3.991 20 0 CHADLO C[N@H+](Cc1c(Cl)cccc1Cl)[C@@H]1CCCOC1 ZINC000352195270 529973782 /nfs/dbraw/zinc/97/37/82/529973782.db2.gz PLFCHPICIRNHNN-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[N@@H+](Cc1c(Cl)cccc1Cl)[C@@H]1CCCOC1 ZINC000352195270 529973783 /nfs/dbraw/zinc/97/37/83/529973783.db2.gz PLFCHPICIRNHNN-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[N@H+](Cc1ccccn1)Cc1cccc(Cl)c1F ZINC000350464006 530005236 /nfs/dbraw/zinc/00/52/36/530005236.db2.gz WWLDPJWWUVOCQJ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccn1)Cc1cccc(Cl)c1F ZINC000350464006 530005238 /nfs/dbraw/zinc/00/52/38/530005238.db2.gz WWLDPJWWUVOCQJ-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@H+](Cc1cncc(F)c1)Cc1ccccc1Cl ZINC000344692918 530016284 /nfs/dbraw/zinc/01/62/84/530016284.db2.gz RIFHWCPXSCQPAT-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cncc(F)c1)Cc1ccccc1Cl ZINC000344692918 530016285 /nfs/dbraw/zinc/01/62/85/530016285.db2.gz RIFHWCPXSCQPAT-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@H+](Cc1noc(C2CC2)n1)C1CCC(C(C)(C)C)CC1 ZINC000353444084 530032228 /nfs/dbraw/zinc/03/22/28/530032228.db2.gz GDODHHXLILLODA-UHFFFAOYSA-N 1 2 291.439 3.984 20 0 CHADLO C[N@@H+](Cc1noc(C2CC2)n1)C1CCC(C(C)(C)C)CC1 ZINC000353444084 530032229 /nfs/dbraw/zinc/03/22/29/530032229.db2.gz GDODHHXLILLODA-UHFFFAOYSA-N 1 2 291.439 3.984 20 0 CHADLO C/C(=C/c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1)C1CC1 ZINC000573732515 334961936 /nfs/dbraw/zinc/96/19/36/334961936.db2.gz RUHKJPZDGDYZEV-XFXZXTDPSA-N 1 2 292.342 3.736 20 0 CHADLO Cc1c(F)ccc(Cl)c1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000573973665 334981916 /nfs/dbraw/zinc/98/19/16/334981916.db2.gz WAJXZWXPABRWSO-VIFPVBQESA-N 1 2 295.745 3.574 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2ccc(C(F)F)cc2)s1 ZINC000274191828 541308481 /nfs/dbraw/zinc/30/84/81/541308481.db2.gz QGQYSBBJIBNBCB-MRVPVSSYSA-N 1 2 283.347 3.635 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(F)cc2F)sc1C ZINC000153862093 261084161 /nfs/dbraw/zinc/08/41/61/261084161.db2.gz FIXPKBRSZXWWFD-VIFPVBQESA-N 1 2 282.359 3.889 20 0 CHADLO Cc1ccc([C@H]2CCCN2C(=O)C[C@@H](C)n2cc[nH+]c2)cc1 ZINC000574403466 335017000 /nfs/dbraw/zinc/01/70/00/335017000.db2.gz CRRKKCADJMRSML-NVXWUHKLSA-N 1 2 297.402 3.506 20 0 CHADLO CC(C)[C@H]([NH2+]CC(C)(F)F)c1ccc(F)c(F)c1 ZINC000574429038 335019089 /nfs/dbraw/zinc/01/90/89/335019089.db2.gz ALKLSSNQKCDYFE-LBPRGKRZSA-N 1 2 263.278 3.907 20 0 CHADLO Cc1[nH]c(CN[C@]2(c3ccc(Cl)cc3)C[C@H]2C)[nH+]c1C ZINC000574533088 335028041 /nfs/dbraw/zinc/02/80/41/335028041.db2.gz ZXROZMKNXQMYJK-QLJPJBMISA-N 1 2 289.810 3.705 20 0 CHADLO Cc1cc[nH+]c(N[C@H]2CCOC3(CCCC3)C2)c1Cl ZINC000460412270 261554509 /nfs/dbraw/zinc/55/45/09/261554509.db2.gz LWHNYRJOAYOOSR-LBPRGKRZSA-N 1 2 280.799 3.947 20 0 CHADLO Fc1ccc(/C=C/C[NH+]2CC3(CCC3(F)F)C2)c(F)c1 ZINC000574612387 335033698 /nfs/dbraw/zinc/03/36/98/335033698.db2.gz OQRBFZQGIKVNQJ-OWOJBTEDSA-N 1 2 285.284 3.709 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@@](F)(c3ccccc3)C2)cs1 ZINC000574654020 335037803 /nfs/dbraw/zinc/03/78/03/335037803.db2.gz AQCNXYUZCXFOGH-INIZCTEOSA-N 1 2 290.407 3.776 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@@](F)(c3ccccc3)C2)cs1 ZINC000574654020 335037804 /nfs/dbraw/zinc/03/78/04/335037804.db2.gz AQCNXYUZCXFOGH-INIZCTEOSA-N 1 2 290.407 3.776 20 0 CHADLO COc1ccccc1[C@H]1CCCN1c1cc[nH+]c(C2CC2)n1 ZINC000112555638 533024366 /nfs/dbraw/zinc/02/43/66/533024366.db2.gz HGXDXDYBPBMGHU-OAHLLOKOSA-N 1 2 295.386 3.704 20 0 CHADLO COc1cc[nH+]cc1NC(=O)[C@H](C)CC1CCCCC1 ZINC000114255209 533089681 /nfs/dbraw/zinc/08/96/81/533089681.db2.gz UNUMURMASFXQBB-GFCCVEGCSA-N 1 2 276.380 3.635 20 0 CHADLO CC[C@H](NC(=O)c1ccc(C2=CCCC2)cc1)c1[nH]cc[nH+]1 ZINC000574850481 335052215 /nfs/dbraw/zinc/05/22/15/335052215.db2.gz MHNMGGUPGWCYCB-INIZCTEOSA-N 1 2 295.386 3.858 20 0 CHADLO C[C@@H](CC(C)(C)C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000356061774 533464332 /nfs/dbraw/zinc/46/43/32/533464332.db2.gz CKVIATMIKZNERK-ZDUSSCGKSA-N 1 2 285.391 3.883 20 0 CHADLO COc1cccc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)n1 ZINC000352662411 533483210 /nfs/dbraw/zinc/48/32/10/533483210.db2.gz ZBWMUHUKUHOXAW-HNNXBMFYSA-N 1 2 288.778 3.691 20 0 CHADLO COc1cccc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)n1 ZINC000352662411 533483224 /nfs/dbraw/zinc/48/32/24/533483224.db2.gz ZBWMUHUKUHOXAW-HNNXBMFYSA-N 1 2 288.778 3.691 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CC(C)(C)c2ccccc21)c1csnn1 ZINC000334847996 533516617 /nfs/dbraw/zinc/51/66/17/533516617.db2.gz PZKFGUCRDCVECB-ZWNOBZJWSA-N 1 2 273.405 3.611 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2ccc(Cl)cc21)c1nccs1 ZINC000335970493 533548190 /nfs/dbraw/zinc/54/81/90/533548190.db2.gz UQAPYWLTLAPSMZ-KCJUWKMLSA-N 1 2 280.780 3.581 20 0 CHADLO C[C@@H]([NH2+][C@H]1COc2ccc(Cl)cc21)c1ccco1 ZINC000336119813 533548232 /nfs/dbraw/zinc/54/82/32/533548232.db2.gz LQROREMLBWAYAF-SKDRFNHKSA-N 1 2 263.724 3.717 20 0 CHADLO CCN(Cc1[nH+]ccn1CC(F)(F)F)c1ccccc1C ZINC000171379753 335064060 /nfs/dbraw/zinc/06/40/60/335064060.db2.gz AMBTXCCWXFCVLQ-UHFFFAOYSA-N 1 2 297.324 3.780 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](C)c2cccc(Cl)c2Cl)n1 ZINC000341843221 130087837 /nfs/dbraw/zinc/08/78/37/130087837.db2.gz MORPUEOMHZLNOP-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO c1cn(Cc2ccccc2)c(CSCc2cccnc2)[nH+]1 ZINC000341899717 130140379 /nfs/dbraw/zinc/14/03/79/130140379.db2.gz JLASTRSXFQOXFR-UHFFFAOYSA-N 1 2 295.411 3.760 20 0 CHADLO Cc1ccccc1CC(C)(C)Nc1cc[nH+]c(C)n1 ZINC000342213917 130388308 /nfs/dbraw/zinc/38/83/08/130388308.db2.gz LXSWGTMQJMDCPU-UHFFFAOYSA-N 1 2 255.365 3.527 20 0 CHADLO Cc1cc(N)nc(S[C@@H](C)c2ccccc2Cl)[nH+]1 ZINC000007049108 170264314 /nfs/dbraw/zinc/26/43/14/170264314.db2.gz XPIJKTFNTUMVJK-VIFPVBQESA-N 1 2 279.796 3.874 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2ccccn2)c(C)s1 ZINC000020123959 171005288 /nfs/dbraw/zinc/00/52/88/171005288.db2.gz ITUJBCFUEQHYOB-ZJUUUORDSA-N 1 2 261.394 3.567 20 0 CHADLO Nc1ccc[nH+]c1Nc1cc(Cl)cc(Cl)c1 ZINC000020317519 171147602 /nfs/dbraw/zinc/14/76/02/171147602.db2.gz VSNHMLCBCRESEY-UHFFFAOYSA-N 1 2 254.120 3.714 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1cc(Cl)ccc1Cl)c1nncn1C ZINC000037016895 172876713 /nfs/dbraw/zinc/87/67/13/172876713.db2.gz AJZMPONMCMQFKD-RKDXNWHRSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2c(F)cccc2F)o1 ZINC000037771734 173704628 /nfs/dbraw/zinc/70/46/28/173704628.db2.gz BXKCODIGLQNVGV-SNVBAGLBSA-N 1 2 251.276 3.717 20 0 CHADLO Cc1csc(C[NH2+][C@@H](C)c2cc(F)ccc2F)n1 ZINC000038090521 174103695 /nfs/dbraw/zinc/10/36/95/174103695.db2.gz JHHDVUAZQRRHGJ-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO CN(Cc1[nH+]ccn1Cc1ccccc1)c1ccc(F)cc1 ZINC000077203962 177232440 /nfs/dbraw/zinc/23/24/40/177232440.db2.gz VXOLEHJKUBQHQB-UHFFFAOYSA-N 1 2 295.361 3.707 20 0 CHADLO O=C(Nc1cccc(Oc2cc[nH+]cc2)c1)C1CCC1 ZINC000078651622 177366569 /nfs/dbraw/zinc/36/65/69/177366569.db2.gz UJOASXRUYBAROB-UHFFFAOYSA-N 1 2 268.316 3.613 20 0 CHADLO Fc1cccc(F)c1C[N@H+](Cc1ccco1)C1CC1 ZINC000179938173 260080837 /nfs/dbraw/zinc/08/08/37/260080837.db2.gz ABTCKCZKADMYDJ-UHFFFAOYSA-N 1 2 263.287 3.722 20 0 CHADLO Fc1cccc(F)c1C[N@@H+](Cc1ccco1)C1CC1 ZINC000179938173 260080839 /nfs/dbraw/zinc/08/08/39/260080839.db2.gz ABTCKCZKADMYDJ-UHFFFAOYSA-N 1 2 263.287 3.722 20 0 CHADLO Cc1ccncc1[C@@H](C)Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000346565317 225825826 /nfs/dbraw/zinc/82/58/26/225825826.db2.gz DLWLLGZBSHEDEG-OAHLLOKOSA-N 1 2 296.418 3.948 20 0 CHADLO Cc1cccc(C[N@@H+](C)[C@@H](C)c2cc(F)ccc2F)n1 ZINC000347366189 226136860 /nfs/dbraw/zinc/13/68/60/226136860.db2.gz OPRNYNYAPWKKHR-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1cccc(C[N@H+](C)[C@@H](C)c2cc(F)ccc2F)n1 ZINC000347366189 226136864 /nfs/dbraw/zinc/13/68/64/226136864.db2.gz OPRNYNYAPWKKHR-LBPRGKRZSA-N 1 2 276.330 3.861 20 0 CHADLO CCc1nnc(C[NH2+][C@H](CC(C)C)c2cccs2)o1 ZINC000347616724 226201202 /nfs/dbraw/zinc/20/12/02/226201202.db2.gz SEUYUGMHPJMFAR-LLVKDONJSA-N 1 2 279.409 3.571 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1ccccc1OC1CC1 ZINC000347721637 226230980 /nfs/dbraw/zinc/23/09/80/226230980.db2.gz PUUNDOICYLTKMR-AWEZNQCLSA-N 1 2 282.387 3.606 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1ccccc1OC1CC1 ZINC000347721637 226230983 /nfs/dbraw/zinc/23/09/83/226230983.db2.gz PUUNDOICYLTKMR-AWEZNQCLSA-N 1 2 282.387 3.606 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccccc1OC1CC1 ZINC000347721638 226232064 /nfs/dbraw/zinc/23/20/64/226232064.db2.gz PUUNDOICYLTKMR-CQSZACIVSA-N 1 2 282.387 3.606 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccccc1OC1CC1 ZINC000347721638 226232066 /nfs/dbraw/zinc/23/20/66/226232066.db2.gz PUUNDOICYLTKMR-CQSZACIVSA-N 1 2 282.387 3.606 20 0 CHADLO Clc1ccc(NCc2[nH+]ccn2Cc2ccccc2)nc1 ZINC000347812165 226380811 /nfs/dbraw/zinc/38/08/11/226380811.db2.gz JEGUEJWHDODIEP-UHFFFAOYSA-N 1 2 298.777 3.592 20 0 CHADLO Cc1nc2c(cccc2C(=O)Nc2c(C)cc(C)[nH+]c2C)o1 ZINC000348012428 226395899 /nfs/dbraw/zinc/39/58/99/226395899.db2.gz MNBUVCFGXZMUKA-UHFFFAOYSA-N 1 2 295.342 3.709 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000350396801 227005950 /nfs/dbraw/zinc/00/59/50/227005950.db2.gz QTRJFNIZOXPRHJ-RISCZKNCSA-N 1 2 274.364 3.585 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc([C@H]2C[C@H]2C)o1 ZINC000350396801 227005954 /nfs/dbraw/zinc/00/59/54/227005954.db2.gz QTRJFNIZOXPRHJ-RISCZKNCSA-N 1 2 274.364 3.585 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1ccc(F)c(C(F)(F)F)c1 ZINC000354519009 227021642 /nfs/dbraw/zinc/02/16/42/227021642.db2.gz CIGFMVFKUSYPIY-SNVBAGLBSA-N 1 2 279.252 3.778 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1ccc(F)c(C(F)(F)F)c1 ZINC000354519009 227021644 /nfs/dbraw/zinc/02/16/44/227021644.db2.gz CIGFMVFKUSYPIY-SNVBAGLBSA-N 1 2 279.252 3.778 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](C)c2c(C)cccc2C)n1 ZINC000354537091 227025562 /nfs/dbraw/zinc/02/55/62/227025562.db2.gz CCIXJSQDLUHVAC-QWHCGFSZSA-N 1 2 273.380 3.661 20 0 CHADLO Cc1cc([C@H](C)Nc2[nH+]c(C)nc3[nH]ccc32)c(C)s1 ZINC000354729399 227084913 /nfs/dbraw/zinc/08/49/13/227084913.db2.gz LZOAGQWPZUQVEC-VIFPVBQESA-N 1 2 286.404 3.540 20 0 CHADLO CC(C)c1nc(N[C@H](c2ccccc2)[C@H]2CCCO2)cc[nH+]1 ZINC000171910098 335084511 /nfs/dbraw/zinc/08/45/11/335084511.db2.gz ADMPWKATXVXTCE-NVXWUHKLSA-N 1 2 297.402 3.932 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CCCN1c1[nH+]c2ccccc2n1C ZINC000480277363 227178612 /nfs/dbraw/zinc/17/86/12/227178612.db2.gz KTOJFTUKWNUXSZ-WDEREUQCSA-N 1 2 297.324 3.741 20 0 CHADLO Cc1cc(NCc2ccsc2)nc(-c2cccnc2)[nH+]1 ZINC000301086398 227443212 /nfs/dbraw/zinc/44/32/12/227443212.db2.gz HBSLUNDHEZULIK-UHFFFAOYSA-N 1 2 282.372 3.521 20 0 CHADLO FC(F)(F)c1cscc1C[N@@H+]1CCO[C@H]2CCC[C@@H]21 ZINC000356056542 228103339 /nfs/dbraw/zinc/10/33/39/228103339.db2.gz MOXRYRFRGUVUEY-RYUDHWBXSA-N 1 2 291.338 3.520 20 0 CHADLO FC(F)(F)c1cscc1C[N@H+]1CCO[C@H]2CCC[C@@H]21 ZINC000356056542 228103341 /nfs/dbraw/zinc/10/33/41/228103341.db2.gz MOXRYRFRGUVUEY-RYUDHWBXSA-N 1 2 291.338 3.520 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2C/C=C/c2ccccc2)n1 ZINC000353548392 228118577 /nfs/dbraw/zinc/11/85/77/228118577.db2.gz GMHLMMOVYZRJIT-OJXHRBAXSA-N 1 2 283.375 3.618 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2C/C=C/c2ccccc2)n1 ZINC000353548392 228118580 /nfs/dbraw/zinc/11/85/80/228118580.db2.gz GMHLMMOVYZRJIT-OJXHRBAXSA-N 1 2 283.375 3.618 20 0 CHADLO FC(F)Oc1ccc(C[N@@H+]2CCC[C@@H]2C(F)F)cc1 ZINC000353163956 228064862 /nfs/dbraw/zinc/06/48/62/228064862.db2.gz DVPMYZLEANVFKA-LLVKDONJSA-N 1 2 277.261 3.518 20 0 CHADLO FC(F)Oc1ccc(C[N@H+]2CCC[C@@H]2C(F)F)cc1 ZINC000353163956 228064865 /nfs/dbraw/zinc/06/48/65/228064865.db2.gz DVPMYZLEANVFKA-LLVKDONJSA-N 1 2 277.261 3.518 20 0 CHADLO CSCc1cnc(C[N@@H+]2CC(C)(C)[C@H]2C2CC2)s1 ZINC000353557614 228120686 /nfs/dbraw/zinc/12/06/86/228120686.db2.gz VLFJNFTVDOGENN-CYBMUJFWSA-N 1 2 282.478 3.627 20 0 CHADLO CSCc1cnc(C[N@H+]2CC(C)(C)[C@H]2C2CC2)s1 ZINC000353557614 228120688 /nfs/dbraw/zinc/12/06/88/228120688.db2.gz VLFJNFTVDOGENN-CYBMUJFWSA-N 1 2 282.478 3.627 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccon1)c1nccc2ccccc21 ZINC000353671729 228143545 /nfs/dbraw/zinc/14/35/45/228143545.db2.gz BUNYIBMPGGGXCY-NWDGAFQWSA-N 1 2 267.332 3.635 20 0 CHADLO Cc1cc(F)cc(NCCCc2ccc(C)[nH+]c2)c1 ZINC000353811257 228155213 /nfs/dbraw/zinc/15/52/13/228155213.db2.gz CTYGIFHIRNDNLQ-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1Cc1nc2ccccc2[nH]1 ZINC000353877780 228161823 /nfs/dbraw/zinc/16/18/23/228161823.db2.gz CORNBXGDRXTSMO-LBPRGKRZSA-N 1 2 263.344 3.640 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1Cc1nc2ccccc2[nH]1 ZINC000353877780 228161825 /nfs/dbraw/zinc/16/18/25/228161825.db2.gz CORNBXGDRXTSMO-LBPRGKRZSA-N 1 2 263.344 3.640 20 0 CHADLO Cc1ccc(SCC[N@@H+](C)Cc2ccon2)cc1C ZINC000351929416 228166586 /nfs/dbraw/zinc/16/65/86/228166586.db2.gz XCFBHKVZVVCHDG-UHFFFAOYSA-N 1 2 276.405 3.516 20 0 CHADLO Cc1ccc(SCC[N@H+](C)Cc2ccon2)cc1C ZINC000351929416 228166587 /nfs/dbraw/zinc/16/65/87/228166587.db2.gz XCFBHKVZVVCHDG-UHFFFAOYSA-N 1 2 276.405 3.516 20 0 CHADLO Cc1cnc(C[NH+]2CCC(=Cc3ccccc3F)CC2)cn1 ZINC000335958860 228169903 /nfs/dbraw/zinc/16/99/03/228169903.db2.gz XTRKWRDFDMSZLM-UHFFFAOYSA-N 1 2 297.377 3.604 20 0 CHADLO Clc1cnc(C[NH+]2CCC3(CCCC3)CC2)s1 ZINC000352001669 228173827 /nfs/dbraw/zinc/17/38/27/228173827.db2.gz HEFMRJHBYSINFM-UHFFFAOYSA-N 1 2 270.829 3.953 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@@H](C)c1cccs1 ZINC000336084926 228186134 /nfs/dbraw/zinc/18/61/34/228186134.db2.gz GAHVVKVVVXIKRP-JTQLQIEISA-N 1 2 260.362 3.502 20 0 CHADLO Cc1ccc(C[S@@](=O)c2cc(Cl)ccc2F)c(C)[nH+]1 ZINC000336118757 228190604 /nfs/dbraw/zinc/19/06/04/228190604.db2.gz NIHCDRKEXKPMKJ-LJQANCHMSA-N 1 2 297.782 3.799 20 0 CHADLO Cc1cc(C[N@@H+]2Cc3ccccc3C[C@H]2C(N)=O)cs1 ZINC000336221098 228217264 /nfs/dbraw/zinc/21/72/64/228217264.db2.gz CYTBWTSUSGTLHC-HNNXBMFYSA-N 1 2 286.400 3.519 20 0 CHADLO Cc1cc(C[N@H+]2Cc3ccccc3C[C@H]2C(N)=O)cs1 ZINC000336221098 228217265 /nfs/dbraw/zinc/21/72/65/228217265.db2.gz CYTBWTSUSGTLHC-HNNXBMFYSA-N 1 2 286.400 3.519 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2cccc(Cl)c2Cl)c2nccn21 ZINC000336227875 228217877 /nfs/dbraw/zinc/21/78/77/228217877.db2.gz GBLMZIMHPCURMO-CABZTGNLSA-N 1 2 296.201 3.986 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cnc(C(F)(F)F)s1 ZINC000447798667 260135263 /nfs/dbraw/zinc/13/52/63/260135263.db2.gz ZKXCUHGBPNXYPE-MRVPVSSYSA-N 1 2 290.310 3.948 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cnc(C(F)(F)F)s1 ZINC000447798667 260135264 /nfs/dbraw/zinc/13/52/64/260135264.db2.gz ZKXCUHGBPNXYPE-MRVPVSSYSA-N 1 2 290.310 3.948 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccn(C2CCCC2)n1)c1nccs1 ZINC000069994350 260151584 /nfs/dbraw/zinc/15/15/84/260151584.db2.gz GMKCDNKTSJPLFQ-CQSZACIVSA-N 1 2 290.436 3.696 20 0 CHADLO Cc1ncsc1C[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000071212448 260160590 /nfs/dbraw/zinc/16/05/90/260160590.db2.gz BTWUXODUUFEVPR-MRXNPFEDSA-N 1 2 284.384 3.924 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)c(F)c(F)c1)c1nccs1 ZINC000080260081 260178648 /nfs/dbraw/zinc/17/86/48/260178648.db2.gz NOXLBZIXJMUHNC-NSHDSACASA-N 1 2 286.322 3.801 20 0 CHADLO COc1ccc(OC)c([C@H](C)[NH2+][C@H](C)c2nccs2)c1 ZINC000080264948 260179044 /nfs/dbraw/zinc/17/90/44/260179044.db2.gz GROCDWJWAQBBOF-WDEREUQCSA-N 1 2 292.404 3.572 20 0 CHADLO CC(C)Oc1ccccc1C[NH2+][C@@H](C)c1nccs1 ZINC000080266137 260179186 /nfs/dbraw/zinc/17/91/86/260179186.db2.gz KNGUDEVWLTYZFZ-LBPRGKRZSA-N 1 2 276.405 3.781 20 0 CHADLO CCc1nc2cc(NCc3c[nH+]cn3C(C)C)ccc2o1 ZINC000090208652 260239417 /nfs/dbraw/zinc/23/94/17/260239417.db2.gz ANWBDTKNHOBNOD-UHFFFAOYSA-N 1 2 284.363 3.780 20 0 CHADLO Cc1cc(F)cc(NC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000091511131 260266497 /nfs/dbraw/zinc/26/64/97/260266497.db2.gz LEAQBICKONUOPX-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO C[C@H]([NH2+]Cc1cc(O)cc(F)c1)c1c(F)cccc1F ZINC000223113774 260271730 /nfs/dbraw/zinc/27/17/30/260271730.db2.gz QGIJADKJDVPVAU-VIFPVBQESA-N 1 2 281.277 3.660 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H](C)c2ccsc2)n1 ZINC000517865315 260312406 /nfs/dbraw/zinc/31/24/06/260312406.db2.gz WJXBINQRUAKAEQ-LBPRGKRZSA-N 1 2 299.399 3.772 20 0 CHADLO C/C=C\c1ccc(NC(=O)[C@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000518281540 260368656 /nfs/dbraw/zinc/36/86/56/260368656.db2.gz UNQVEJOBUMUJKT-XBPVQUQDSA-N 1 2 281.359 3.501 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(Br)o2)o1 ZINC000130680975 260398548 /nfs/dbraw/zinc/39/85/48/260398548.db2.gz AOXITOMEDURXLF-UHFFFAOYSA-N 1 2 284.153 3.576 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(Br)o2)o1 ZINC000130680975 260398550 /nfs/dbraw/zinc/39/85/50/260398550.db2.gz AOXITOMEDURXLF-UHFFFAOYSA-N 1 2 284.153 3.576 20 0 CHADLO CCS[C@H]1CCCC[C@H]1Nc1cc(C)[nH+]c(C2CC2)n1 ZINC000426422142 260533278 /nfs/dbraw/zinc/53/32/78/260533278.db2.gz UTVJXMNEVZZSBZ-KGLIPLIRSA-N 1 2 291.464 3.561 20 0 CHADLO Cc1nnc(C[N@@H+]2CCC[C@@H]2c2ccc(C(C)(C)C)cc2)[nH]1 ZINC000428464161 260747407 /nfs/dbraw/zinc/74/74/07/260747407.db2.gz TZHSMGALEONJLH-MRXNPFEDSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1nnc(C[N@H+]2CCC[C@@H]2c2ccc(C(C)(C)C)cc2)[nH]1 ZINC000428464161 260747409 /nfs/dbraw/zinc/74/74/09/260747409.db2.gz TZHSMGALEONJLH-MRXNPFEDSA-N 1 2 298.434 3.748 20 0 CHADLO CCc1nc(C[NH2+][C@](C)(CC)c2nccs2)cs1 ZINC000152644226 261067387 /nfs/dbraw/zinc/06/73/87/261067387.db2.gz UUPYUFPIYRDTFB-CYBMUJFWSA-N 1 2 281.450 3.577 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)CCCSC(C)(C)C ZINC000153521147 261079889 /nfs/dbraw/zinc/07/98/89/261079889.db2.gz BYNJOBWHFJDYMX-UHFFFAOYSA-N 1 2 266.410 3.640 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@@H+]1CCOC2(CCC2)C1 ZINC000154255885 261089820 /nfs/dbraw/zinc/08/98/20/261089820.db2.gz BZMVBQSAECPECG-AWEZNQCLSA-N 1 2 298.386 3.658 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@H+]1CCOC2(CCC2)C1 ZINC000154255885 261089821 /nfs/dbraw/zinc/08/98/21/261089821.db2.gz BZMVBQSAECPECG-AWEZNQCLSA-N 1 2 298.386 3.658 20 0 CHADLO Cc1ccc(NC2CCC(C(F)(F)F)CC2)c[nH+]1 ZINC000154247106 261089910 /nfs/dbraw/zinc/08/99/10/261089910.db2.gz AFWQWCLHAKRKOH-UHFFFAOYSA-N 1 2 258.287 3.923 20 0 CHADLO Cc1cccc(C)c1NC(=O)[C@@H](C)[NH+]1Cc2ccccc2C1 ZINC000155533138 261102892 /nfs/dbraw/zinc/10/28/92/261102892.db2.gz VLXQHRBIRGIOHA-OAHLLOKOSA-N 1 2 294.398 3.646 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ncc(C(C)(C)C)s1 ZINC000448335245 261116510 /nfs/dbraw/zinc/11/65/10/261116510.db2.gz PSWCASFHHVGLPB-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ncc(C(C)(C)C)s1 ZINC000448335245 261116512 /nfs/dbraw/zinc/11/65/12/261116512.db2.gz PSWCASFHHVGLPB-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N2CCOCC2)cc1C)C1CCC1 ZINC000449042113 261123052 /nfs/dbraw/zinc/12/30/52/261123052.db2.gz QCIKWBUYWHNUFE-QGZVFWFLSA-N 1 2 288.435 3.822 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1ccc(F)cc1F)c1ccco1 ZINC000156591731 261127365 /nfs/dbraw/zinc/12/73/65/261127365.db2.gz UCWOJZPVRWYAPF-HZMBPMFUSA-N 1 2 281.302 3.596 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](C)c2cn(-c3ccccc3)nn2)o1 ZINC000158126948 261158987 /nfs/dbraw/zinc/15/89/87/261158987.db2.gz YMYKHBJOXGDUFK-KBPBESRZSA-N 1 2 296.374 3.581 20 0 CHADLO CC(C)COC[C@H]([NH2+][C@@H](C)c1ccccn1)c1ccco1 ZINC000158921635 261174131 /nfs/dbraw/zinc/17/41/31/261174131.db2.gz KDOGOFFRTRZPBA-HOCLYGCPSA-N 1 2 288.391 3.739 20 0 CHADLO CCCCC/C(=C/c1ccccc1)C[NH2+]Cc1ncn(C)n1 ZINC000092851257 261206232 /nfs/dbraw/zinc/20/62/32/261206232.db2.gz AFGHHQUHIIHWAG-ATVHPVEESA-N 1 2 298.434 3.569 20 0 CHADLO CC(C)CCc1noc(C[NH2+]C2(c3ccccc3)CCC2)n1 ZINC000175521539 261232467 /nfs/dbraw/zinc/23/24/67/261232467.db2.gz OZGSDBCRQJFBAT-UHFFFAOYSA-N 1 2 299.418 3.827 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)c1cc(F)cc(F)c1 ZINC000103964980 261286418 /nfs/dbraw/zinc/28/64/18/261286418.db2.gz JPOKDQYICABNIR-UHFFFAOYSA-N 1 2 299.280 3.607 20 0 CHADLO Cc1cccc([C@@H](C)[S@](=O)Cc2cn3ccccc3[nH+]2)c1 ZINC000176517906 261293507 /nfs/dbraw/zinc/29/35/07/261293507.db2.gz JVCRXEBCLJOPKP-SPLOXXLWSA-N 1 2 298.411 3.653 20 0 CHADLO C[N@@H+](Cc1cnn(C(C)(C)C)c1)Cc1ccc(Cl)s1 ZINC000162782315 261294188 /nfs/dbraw/zinc/29/41/88/261294188.db2.gz UHAOMOOLSZTUGO-UHFFFAOYSA-N 1 2 297.855 3.985 20 0 CHADLO C[N@H+](Cc1cnn(C(C)(C)C)c1)Cc1ccc(Cl)s1 ZINC000162782315 261294189 /nfs/dbraw/zinc/29/41/89/261294189.db2.gz UHAOMOOLSZTUGO-UHFFFAOYSA-N 1 2 297.855 3.985 20 0 CHADLO Cc1nc(C)c(CNc2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000301651337 261338677 /nfs/dbraw/zinc/33/86/77/261338677.db2.gz JWVHAGJNBXVACR-UHFFFAOYSA-N 1 2 276.409 3.594 20 0 CHADLO CCCCc1noc(C[N@@H+]2C[C@@H](C)[C@@H]2c2ccccc2)n1 ZINC000177826965 261370339 /nfs/dbraw/zinc/37/03/39/261370339.db2.gz CRLIFMFUDKRVEP-CXAGYDPISA-N 1 2 285.391 3.605 20 0 CHADLO CCCCc1noc(C[N@H+]2C[C@@H](C)[C@@H]2c2ccccc2)n1 ZINC000177826965 261370341 /nfs/dbraw/zinc/37/03/41/261370341.db2.gz CRLIFMFUDKRVEP-CXAGYDPISA-N 1 2 285.391 3.605 20 0 CHADLO CC[N@@H+](Cc1csc([C@@H](C)OC)n1)Cc1cccs1 ZINC000175031755 261591898 /nfs/dbraw/zinc/59/18/98/261591898.db2.gz JOGGHSZXKMVQMD-LLVKDONJSA-N 1 2 296.461 3.934 20 0 CHADLO CC[N@H+](Cc1csc([C@@H](C)OC)n1)Cc1cccs1 ZINC000175031755 261591901 /nfs/dbraw/zinc/59/19/01/261591901.db2.gz JOGGHSZXKMVQMD-LLVKDONJSA-N 1 2 296.461 3.934 20 0 CHADLO CC[C@H](Nc1ccc(OC(F)F)cc1)c1[nH+]ccn1C ZINC000182778165 261950830 /nfs/dbraw/zinc/95/08/30/261950830.db2.gz SRPADXHAJKJFDG-LBPRGKRZSA-N 1 2 281.306 3.585 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc3c(c2)[nH+]c(C)n3C)s1 ZINC000525730880 262030859 /nfs/dbraw/zinc/03/08/59/262030859.db2.gz YANLXIRWUCNLGE-UHFFFAOYSA-N 1 2 285.372 3.504 20 0 CHADLO Cc1cc[nH+]cc1NCc1c(Cl)n(C)nc1C(C)C ZINC000184891322 262032700 /nfs/dbraw/zinc/03/27/00/262032700.db2.gz WRGSXRFBVBRUKW-UHFFFAOYSA-N 1 2 278.787 3.512 20 0 CHADLO CCSc1cccc(C[N@H+](C)Cc2nccs2)c1 ZINC000186236165 262085408 /nfs/dbraw/zinc/08/54/08/262085408.db2.gz VUKOTTYCEHWUNW-UHFFFAOYSA-N 1 2 278.446 3.887 20 0 CHADLO CCSc1cccc(C[N@@H+](C)Cc2nccs2)c1 ZINC000186236165 262085409 /nfs/dbraw/zinc/08/54/09/262085409.db2.gz VUKOTTYCEHWUNW-UHFFFAOYSA-N 1 2 278.446 3.887 20 0 CHADLO COc1ncccc1C[NH2+][C@H](C)c1csc(C(C)C)n1 ZINC000186236859 262085503 /nfs/dbraw/zinc/08/55/03/262085503.db2.gz PMCMQQITKMGYMP-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO Cc1ccsc1C[N@@H+]1CCSC[C@H]1c1nccs1 ZINC000186661284 262104357 /nfs/dbraw/zinc/10/43/57/262104357.db2.gz LYJQPKJEKKDURB-NSHDSACASA-N 1 2 296.486 3.803 20 0 CHADLO Cc1ccsc1C[N@H+]1CCSC[C@H]1c1nccs1 ZINC000186661284 262104358 /nfs/dbraw/zinc/10/43/58/262104358.db2.gz LYJQPKJEKKDURB-NSHDSACASA-N 1 2 296.486 3.803 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(COC(C)(C)C)on1)c1ccsc1 ZINC000186817882 262111584 /nfs/dbraw/zinc/11/15/84/262111584.db2.gz GYPZNCGTOIHNFK-LLVKDONJSA-N 1 2 294.420 3.902 20 0 CHADLO Cc1ccc(N[C@@H](C)c2[nH+]ccn2C)cc1OC(C)C ZINC000494471426 262272934 /nfs/dbraw/zinc/27/29/34/262272934.db2.gz GBUMUDGTGYMMAP-ZDUSSCGKSA-N 1 2 273.380 3.689 20 0 CHADLO COc1cccc(C2([NH2+]Cc3c(F)cccc3F)CC2)c1 ZINC000272444596 263004594 /nfs/dbraw/zinc/00/45/94/263004594.db2.gz CWPIVQRMXAIMOU-UHFFFAOYSA-N 1 2 289.325 3.752 20 0 CHADLO CCOc1ccc([C@@H](C)[NH2+][C@@H](C)c2nnc(C)s2)cc1 ZINC000274252473 263022374 /nfs/dbraw/zinc/02/23/74/263022374.db2.gz SLCIOZVQMDSABO-MNOVXSKESA-N 1 2 291.420 3.657 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H]2CC(C)(C)Cc3occc32)s1 ZINC000274274993 263022613 /nfs/dbraw/zinc/02/26/13/263022613.db2.gz XGRFYDHWVOXQGK-BXKDBHETSA-N 1 2 291.420 3.804 20 0 CHADLO c1sc(C2CC2)nc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414851251 263067627 /nfs/dbraw/zinc/06/76/27/263067627.db2.gz NZUBJBUNAVDTED-UHFFFAOYSA-N 1 2 285.416 3.991 20 0 CHADLO COc1ccccc1CNc1ccc2c(c1)CCC[NH2+]2 ZINC000414854892 263071517 /nfs/dbraw/zinc/07/15/17/263071517.db2.gz VIMAIQXWJSFSDQ-UHFFFAOYSA-N 1 2 268.360 3.665 20 0 CHADLO CC(C)[C@H](NC(=O)[C@H](F)CC1CCCCC1)c1[nH]cc[nH+]1 ZINC000283001002 263080141 /nfs/dbraw/zinc/08/01/41/263080141.db2.gz LVKQWBNYFXITGV-KGLIPLIRSA-N 1 2 295.402 3.532 20 0 CHADLO CCSc1cccc(C[NH2+]C(C)(C)c2nc(C)no2)c1 ZINC000284052001 263085569 /nfs/dbraw/zinc/08/55/69/263085569.db2.gz PLYNVUNTIZHQLF-UHFFFAOYSA-N 1 2 291.420 3.515 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc([C@@H]3CCOC3)cc2)c1 ZINC000293604418 263144341 /nfs/dbraw/zinc/14/43/41/263144341.db2.gz JMOVDTVPZCSJIW-OAHLLOKOSA-N 1 2 298.386 3.515 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc(F)cc1Cl)c1ccncn1 ZINC000453111958 263190729 /nfs/dbraw/zinc/19/07/29/263190729.db2.gz QSWWLANPUKFXOZ-VHSXEESVSA-N 1 2 279.746 3.681 20 0 CHADLO Clc1nc(C[NH2+]C2(c3ccccc3)CCC2)cs1 ZINC000296719036 263195555 /nfs/dbraw/zinc/19/55/55/263195555.db2.gz HGBMKCPZEFRPEE-UHFFFAOYSA-N 1 2 278.808 3.966 20 0 CHADLO CC[C@@H]([NH2+][C@H](CC)C(F)F)c1ccccc1OC ZINC000453246272 263207866 /nfs/dbraw/zinc/20/78/66/263207866.db2.gz MYNANXPRKDDSOP-VXGBXAGGSA-N 1 2 257.324 3.780 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@H](CC)C(F)F)cc1 ZINC000453254227 263210988 /nfs/dbraw/zinc/21/09/88/263210988.db2.gz YAYCNTVTCADIOP-GXFFZTMASA-N 1 2 257.324 3.780 20 0 CHADLO CCSCC[C@@H](C)N(C)c1[nH+]c2ccccc2n1C ZINC000342801608 263489586 /nfs/dbraw/zinc/48/95/86/263489586.db2.gz YUEISBYXXGLSJT-GFCCVEGCSA-N 1 2 277.437 3.541 20 0 CHADLO Cc1ccc(-c2nnc([C@@H](C)[N@@H+]3CC[C@@](C)(F)C3)o2)cc1 ZINC000334449264 263634283 /nfs/dbraw/zinc/63/42/83/263634283.db2.gz JRZSOIRCZBWBFA-MLGOLLRUSA-N 1 2 289.354 3.540 20 0 CHADLO Cc1ccc(-c2nnc([C@@H](C)[N@H+]3CC[C@@](C)(F)C3)o2)cc1 ZINC000334449264 263634284 /nfs/dbraw/zinc/63/42/84/263634284.db2.gz JRZSOIRCZBWBFA-MLGOLLRUSA-N 1 2 289.354 3.540 20 0 CHADLO Cc1cc(NCc2nc3ccccc3s2)nc(C(C)C)[nH+]1 ZINC000343225732 263787603 /nfs/dbraw/zinc/78/76/03/263787603.db2.gz HBFFOQWZNLMUMG-UHFFFAOYSA-N 1 2 298.415 3.552 20 0 CHADLO C[C@]1(CNc2cc(C(F)(F)F)cc[nH+]2)CCCS1 ZINC000126417298 264219081 /nfs/dbraw/zinc/21/90/81/264219081.db2.gz BXLCYSHIGPFLNG-LLVKDONJSA-N 1 2 276.327 3.798 20 0 CHADLO Cc1cc(NC[C@@H]2CCC[C@H](O)C2)c2cccc(F)c2[nH+]1 ZINC000127159182 264241103 /nfs/dbraw/zinc/24/11/03/264241103.db2.gz KRQOWEYUGMXRRX-OLZOCXBDSA-N 1 2 288.366 3.645 20 0 CHADLO CCn1c2ccccc2nc1[C@H](C)[NH2+]Cc1ccc(C)o1 ZINC000127053349 264246988 /nfs/dbraw/zinc/24/69/88/264246988.db2.gz JXPVYKFWDJUNRT-ZDUSSCGKSA-N 1 2 283.375 3.808 20 0 CHADLO Cc1nc(C[N@H+](C)CC2CCC(c3ccccc3)CC2)no1 ZINC000189175432 264281580 /nfs/dbraw/zinc/28/15/80/264281580.db2.gz MCRCXLPAFKFPGF-UHFFFAOYSA-N 1 2 299.418 3.784 20 0 CHADLO Cc1nc(C[N@@H+](C)CC2CCC(c3ccccc3)CC2)no1 ZINC000189175432 264281581 /nfs/dbraw/zinc/28/15/81/264281581.db2.gz MCRCXLPAFKFPGF-UHFFFAOYSA-N 1 2 299.418 3.784 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nc(C(F)F)no1 ZINC000425468876 264342142 /nfs/dbraw/zinc/34/21/42/264342142.db2.gz DGVWHEJLUDOUNN-FLIBITNWSA-N 1 2 293.317 3.543 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nc(C(F)F)no1 ZINC000425468876 264342145 /nfs/dbraw/zinc/34/21/45/264342145.db2.gz DGVWHEJLUDOUNN-FLIBITNWSA-N 1 2 293.317 3.543 20 0 CHADLO C[C@H]1C[C@@H]1CC(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC000353781828 264347389 /nfs/dbraw/zinc/34/73/89/264347389.db2.gz YGINXIKGYKAOJV-WDEREUQCSA-N 1 2 289.766 3.510 20 0 CHADLO Cc1cc(Cl)c(NC(=O)C(C)(C)c2c[nH+]c[nH]2)cc1C ZINC000334630822 265066759 /nfs/dbraw/zinc/06/67/59/265066759.db2.gz ZSHRDDVVHQSQSD-UHFFFAOYSA-N 1 2 291.782 3.596 20 0 CHADLO Cc1cc(Cl)c(NC(=O)C(C)(C)c2c[nH]c[nH+]2)cc1C ZINC000334630822 265066764 /nfs/dbraw/zinc/06/67/64/265066764.db2.gz ZSHRDDVVHQSQSD-UHFFFAOYSA-N 1 2 291.782 3.596 20 0 CHADLO Cc1ccc(NC(=O)NC[C@H]2CCC[C@H]2C2CC2)c(C)[nH+]1 ZINC000519530182 265456152 /nfs/dbraw/zinc/45/61/52/265456152.db2.gz YWDILCRGUBYBRO-CABCVRRESA-N 1 2 287.407 3.646 20 0 CHADLO CC1C[NH+](Cc2c(Cl)cc(Cl)cc2N(C)C)C1 ZINC000519572446 265479538 /nfs/dbraw/zinc/47/95/38/265479538.db2.gz OBUGGJKLRWNHOI-UHFFFAOYSA-N 1 2 273.207 3.511 20 0 CHADLO Cc1cc(C)c(NC(=O)Nc2cccc3c2CCN3)c(C)[nH+]1 ZINC000520543208 265857604 /nfs/dbraw/zinc/85/76/04/265857604.db2.gz HVPZXQSSJBGCEP-UHFFFAOYSA-N 1 2 296.374 3.619 20 0 CHADLO CCN(Cc1ccoc1)c1cc(C(F)(F)F)cc[nH+]1 ZINC000301510844 265982143 /nfs/dbraw/zinc/98/21/43/265982143.db2.gz APAZQOZISASSOW-UHFFFAOYSA-N 1 2 270.254 3.720 20 0 CHADLO C[C@@H]1CCC[C@@H](c2noc(C[N@H+](C)Cc3ccccc3)n2)C1 ZINC000356195277 266069143 /nfs/dbraw/zinc/06/91/43/266069143.db2.gz PUUGHMRPYUXHIO-GDBMZVCRSA-N 1 2 299.418 3.995 20 0 CHADLO C[C@@H]1CCC[C@@H](c2noc(C[N@@H+](C)Cc3ccccc3)n2)C1 ZINC000356195277 266069146 /nfs/dbraw/zinc/06/91/46/266069146.db2.gz PUUGHMRPYUXHIO-GDBMZVCRSA-N 1 2 299.418 3.995 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(-c3ccccc3)on2)o1 ZINC000356265421 266083629 /nfs/dbraw/zinc/08/36/29/266083629.db2.gz JUTRYQQKBUYAAW-UHFFFAOYSA-N 1 2 282.343 3.875 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(-c3ccccc3)on2)o1 ZINC000356265421 266083632 /nfs/dbraw/zinc/08/36/32/266083632.db2.gz JUTRYQQKBUYAAW-UHFFFAOYSA-N 1 2 282.343 3.875 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCC(=O)N1[C@H](C)CCC[C@H]1C ZINC000356294201 266089759 /nfs/dbraw/zinc/08/97/59/266089759.db2.gz BCBBHVCWLIAAHS-ZIAGYGMSSA-N 1 2 299.418 3.524 20 0 CHADLO CSC1(CNc2c[nH+]c3c(c2)CCCC3)CCC1 ZINC000356443536 266114301 /nfs/dbraw/zinc/11/43/01/266114301.db2.gz WWOLGIYKAURJQG-UHFFFAOYSA-N 1 2 262.422 3.658 20 0 CHADLO C[C@H]1C[C@H](CNc2[nH+]ccc3ccc(F)cc32)CCO1 ZINC000356796706 266176322 /nfs/dbraw/zinc/17/63/22/266176322.db2.gz RUFPACYNWXCGPW-NWDGAFQWSA-N 1 2 274.339 3.601 20 0 CHADLO Cc1ccc(Cc2nc([C@@H](C)C(C)(C)C)no2)c[nH+]1 ZINC000356882515 266203853 /nfs/dbraw/zinc/20/38/53/266203853.db2.gz KQXWHZIEMZNGGH-LLVKDONJSA-N 1 2 259.353 3.513 20 0 CHADLO Cc1[nH+]c2ccccc2n1Cc1nc([C@H](C)C(C)(C)C)no1 ZINC000356884411 266203898 /nfs/dbraw/zinc/20/38/98/266203898.db2.gz OOUKBBRZIHVOTK-NSHDSACASA-N 1 2 298.390 3.926 20 0 CHADLO CCc1nc(C[NH2+]C2(c3ccc(F)cc3)CC2)cs1 ZINC000356887643 266205256 /nfs/dbraw/zinc/20/52/56/266205256.db2.gz ZLNHWKGALFHCEV-UHFFFAOYSA-N 1 2 276.380 3.624 20 0 CHADLO CCC1CCC(c2noc(C[N@H+](CC)C3CC3)n2)CC1 ZINC000357107012 266249656 /nfs/dbraw/zinc/24/96/56/266249656.db2.gz RWWZCECIJQHDJX-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO CCC1CCC(c2noc(C[N@@H+](CC)C3CC3)n2)CC1 ZINC000357107012 266249658 /nfs/dbraw/zinc/24/96/58/266249658.db2.gz RWWZCECIJQHDJX-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO CC[C@@H](CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1)c1ccccc1 ZINC000357115495 266251198 /nfs/dbraw/zinc/25/11/98/266251198.db2.gz GDRJRKGZWJJVBL-GOEBONIOSA-N 1 2 297.402 3.657 20 0 CHADLO Cc1cn2cc(NC(=O)C(C)(C)c3ccccc3)ccc2[nH+]1 ZINC000357180551 266260873 /nfs/dbraw/zinc/26/08/73/266260873.db2.gz RXMOHSKAWVMLPJ-UHFFFAOYSA-N 1 2 293.370 3.559 20 0 CHADLO COc1ccc2oc(C[N@@H+]3CCC=C(F)C3)cc2c1 ZINC000357212094 266266528 /nfs/dbraw/zinc/26/65/28/266266528.db2.gz JCOFNCHFMAGPLM-UHFFFAOYSA-N 1 2 261.296 3.501 20 0 CHADLO COc1ccc2oc(C[N@H+]3CCC=C(F)C3)cc2c1 ZINC000357212094 266266532 /nfs/dbraw/zinc/26/65/32/266266532.db2.gz JCOFNCHFMAGPLM-UHFFFAOYSA-N 1 2 261.296 3.501 20 0 CHADLO Cc1csc2c1ncnc2N[C@H](c1[nH]cc[nH+]1)C(C)C ZINC000357539666 266318212 /nfs/dbraw/zinc/31/82/12/266318212.db2.gz VSVJGXIIGMHZFK-JTQLQIEISA-N 1 2 287.392 3.532 20 0 CHADLO Cc1cc(N2CCC(C)(C3CC3)CC2)nc(C2CC2)[nH+]1 ZINC000357548577 266320277 /nfs/dbraw/zinc/32/02/77/266320277.db2.gz ODNKVYNMLHZRJQ-UHFFFAOYSA-N 1 2 271.408 3.679 20 0 CHADLO Cc1cc(N2CC3(CCC3)[C@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC000357586781 266330533 /nfs/dbraw/zinc/33/05/33/266330533.db2.gz YTCDYLBXKJCVAV-OAHLLOKOSA-N 1 2 271.408 3.677 20 0 CHADLO Cc1cc(N2CC3(CCC3)[C@@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC000357586778 266330656 /nfs/dbraw/zinc/33/06/56/266330656.db2.gz YTCDYLBXKJCVAV-HNNXBMFYSA-N 1 2 271.408 3.677 20 0 CHADLO Fc1ccc2nc(C[N@H+](Cc3ccccn3)C3CC3)oc2c1 ZINC000357613707 266337539 /nfs/dbraw/zinc/33/75/39/266337539.db2.gz OQCPDJIFWVQDCE-UHFFFAOYSA-N 1 2 297.333 3.527 20 0 CHADLO Fc1ccc2nc(C[N@@H+](Cc3ccccn3)C3CC3)oc2c1 ZINC000357613707 266337541 /nfs/dbraw/zinc/33/75/41/266337541.db2.gz OQCPDJIFWVQDCE-UHFFFAOYSA-N 1 2 297.333 3.527 20 0 CHADLO CCCCn1ncc(N[C@@H](C)c2[nH+]ccn2C)c1C(C)C ZINC000357882781 266381767 /nfs/dbraw/zinc/38/17/67/266381767.db2.gz SVTTYJIXLIGICP-ZDUSSCGKSA-N 1 2 289.427 3.713 20 0 CHADLO Cc1ccc(COc2ccc([C@H]3CCOC3)cc2)c(C)[nH+]1 ZINC000358988788 266518413 /nfs/dbraw/zinc/51/84/13/266518413.db2.gz MGOIGEWUILXDFW-KRWDZBQOSA-N 1 2 283.371 3.781 20 0 CHADLO C[C@@H]1CC[C@]2(CCN(c3cc[nH+]c4ccncc43)C2)C1 ZINC000359079675 266531594 /nfs/dbraw/zinc/53/15/94/266531594.db2.gz WSGRUWJCCFVKKV-DYVFJYSZSA-N 1 2 267.376 3.646 20 0 CHADLO C[C@@H](c1ccccn1)N(C)c1[nH+]ccc2ccc(F)cc21 ZINC000359507824 266594021 /nfs/dbraw/zinc/59/40/21/266594021.db2.gz MVUNYJWDANMUEP-LBPRGKRZSA-N 1 2 281.334 3.966 20 0 CHADLO COCC(C)(C)CNc1ccc(-n2c[nH+]c(C)c2C)cc1 ZINC000361228772 266804904 /nfs/dbraw/zinc/80/49/04/266804904.db2.gz NWJSFIQNIKMVHQ-UHFFFAOYSA-N 1 2 287.407 3.574 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1cn3ccsc3n1)CC2 ZINC000362592970 267016328 /nfs/dbraw/zinc/01/63/28/267016328.db2.gz VKYNYLXBQYECBE-UHFFFAOYSA-N 1 2 297.427 3.571 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1cn3ccsc3n1)CC2 ZINC000362592970 267016330 /nfs/dbraw/zinc/01/63/30/267016330.db2.gz VKYNYLXBQYECBE-UHFFFAOYSA-N 1 2 297.427 3.571 20 0 CHADLO CCOc1ccc(CNc2c[nH+]cc(C)c2)cc1F ZINC000362647106 267029730 /nfs/dbraw/zinc/02/97/30/267029730.db2.gz BXFJYNYEVVJAME-UHFFFAOYSA-N 1 2 260.312 3.540 20 0 CHADLO Cc1nc(CCOc2cc(C)[nH+]c3ccccc32)cs1 ZINC000362755190 267042345 /nfs/dbraw/zinc/04/23/45/267042345.db2.gz FUUBHBQQRQELFO-UHFFFAOYSA-N 1 2 284.384 3.930 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc3ccccc3n2)no1 ZINC000362932474 267063998 /nfs/dbraw/zinc/06/39/98/267063998.db2.gz OMTBXGPRHHRLIX-GOSISDBHSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc3ccccc3n2)no1 ZINC000362932474 267064000 /nfs/dbraw/zinc/06/40/00/267064000.db2.gz OMTBXGPRHHRLIX-GOSISDBHSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1cc(F)ccc1CN(C)c1cc[nH+]c2ccncc21 ZINC000362954266 267066095 /nfs/dbraw/zinc/06/60/95/267066095.db2.gz ISTNGFYQPUKHJT-UHFFFAOYSA-N 1 2 281.334 3.714 20 0 CHADLO Clc1cccc([C@@H]([NH2+]Cc2ccno2)c2ccccn2)c1 ZINC000363037477 267073506 /nfs/dbraw/zinc/07/35/06/267073506.db2.gz GFTKKRDRSXJADD-MRXNPFEDSA-N 1 2 299.761 3.602 20 0 CHADLO CC(C)C[C@H]1CCCN1C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117776640 267221454 /nfs/dbraw/zinc/22/14/54/267221454.db2.gz JTVXAXNCGJXOLR-QGZVFWFLSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@H+](Cc2nccs2)C1 ZINC000369224052 267227732 /nfs/dbraw/zinc/22/77/32/267227732.db2.gz IUNJFDXGHNVDGI-OAHLLOKOSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@@H+](Cc2nccs2)C1 ZINC000369224052 267227735 /nfs/dbraw/zinc/22/77/35/267227735.db2.gz IUNJFDXGHNVDGI-OAHLLOKOSA-N 1 2 276.380 3.522 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(C3CCCCC3)cs2)CCCO1 ZINC000369366811 267238178 /nfs/dbraw/zinc/23/81/78/267238178.db2.gz SDTHOICDJLEONF-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(C3CCCCC3)cs2)CCCO1 ZINC000369366811 267238180 /nfs/dbraw/zinc/23/81/80/267238180.db2.gz SDTHOICDJLEONF-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO CC[C@H](COC)Nc1cccc(-c2cn3c([nH+]2)CCCC3)c1 ZINC000369850357 267271946 /nfs/dbraw/zinc/27/19/46/267271946.db2.gz GBWGZQQKHRQZAQ-OAHLLOKOSA-N 1 2 299.418 3.723 20 0 CHADLO Cc1c[nH]nc1C[N@@H+]1CCCC[C@H]1c1nc2ccccc2o1 ZINC000370690088 267339734 /nfs/dbraw/zinc/33/97/34/267339734.db2.gz LVCKYPIBRZKZDX-HNNXBMFYSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1c[nH]nc1C[N@H+]1CCCC[C@H]1c1nc2ccccc2o1 ZINC000370690088 267339735 /nfs/dbraw/zinc/33/97/35/267339735.db2.gz LVCKYPIBRZKZDX-HNNXBMFYSA-N 1 2 296.374 3.587 20 0 CHADLO CCc1ccc([C@H](C)NC(=O)Nc2cc[nH+]cc2C)cc1 ZINC000120749255 267502437 /nfs/dbraw/zinc/50/24/37/267502437.db2.gz NVSFLZCTNOUNJL-ZDUSSCGKSA-N 1 2 283.375 3.835 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000121276215 267592413 /nfs/dbraw/zinc/59/24/13/267592413.db2.gz XTVUEOZRALESJJ-BZNIZROVSA-N 1 2 293.313 3.692 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000121276215 267592417 /nfs/dbraw/zinc/59/24/17/267592417.db2.gz XTVUEOZRALESJJ-BZNIZROVSA-N 1 2 293.313 3.692 20 0 CHADLO Brc1sccc1CNc1cccc[nH+]1 ZINC000306226583 268031686 /nfs/dbraw/zinc/03/16/86/268031686.db2.gz WAVYGQLXISOIAL-UHFFFAOYSA-N 1 2 269.167 3.518 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)[C@H]1CCCC(C)(C)C1 ZINC000425595634 268036643 /nfs/dbraw/zinc/03/66/43/268036643.db2.gz INYZJOKUGCCFPL-ZDUSSCGKSA-N 1 2 260.381 3.853 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC(OCC2CC2)CC1 ZINC000377154052 268051550 /nfs/dbraw/zinc/05/15/50/268051550.db2.gz XCQVDPQQVGQLLE-UHFFFAOYSA-N 1 2 296.414 3.939 20 0 CHADLO CCN(C(=O)c1ccc2[nH+]ccn2c1)c1cc(C)cc(C)c1 ZINC000170866575 327668946 /nfs/dbraw/zinc/66/89/46/327668946.db2.gz RVOQKRKOXYBCHN-UHFFFAOYSA-N 1 2 293.370 3.618 20 0 CHADLO C[C@H]1CCCC[C@H]1c1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000580063394 327688745 /nfs/dbraw/zinc/68/87/45/327688745.db2.gz RPLMXMXQSGQPNE-GXTWGEPZSA-N 1 2 296.374 3.602 20 0 CHADLO Cc1ccc(C[S@@](=O)c2ccc(C)c(C)c2)c(C)[nH+]1 ZINC000187060976 327707606 /nfs/dbraw/zinc/70/76/06/327707606.db2.gz RWQNAIJVCXQWQX-LJQANCHMSA-N 1 2 273.401 3.623 20 0 CHADLO Cc1ccccc1N1CC[NH+](Cc2ccc(Cl)o2)CC1 ZINC000506114937 332762828 /nfs/dbraw/zinc/76/28/28/332762828.db2.gz VMQIFYTVHSNTLW-UHFFFAOYSA-N 1 2 290.794 3.564 20 0 CHADLO CC(F)(F)C[NH2+]Cc1ccc(Br)c(Cl)c1 ZINC000378755993 327755948 /nfs/dbraw/zinc/75/59/48/327755948.db2.gz SFYRNPSWVTVSII-UHFFFAOYSA-N 1 2 298.558 3.847 20 0 CHADLO c1ccc2c(c1)[nH]c1nc[nH+]c(NCC3CCSCC3)c21 ZINC000580282042 327760968 /nfs/dbraw/zinc/76/09/68/327760968.db2.gz GANPUONNSWVWQX-UHFFFAOYSA-N 1 2 298.415 3.666 20 0 CHADLO Cc1ccc(NC(=O)Nc2cnn([C@@H](C)C3CC3)c2)c(C)[nH+]1 ZINC000189113354 327766336 /nfs/dbraw/zinc/76/63/36/327766336.db2.gz MGZWMABUBASUSW-LBPRGKRZSA-N 1 2 299.378 3.510 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)no1)c1cc2ccccc2o1 ZINC000182625026 327814579 /nfs/dbraw/zinc/81/45/79/327814579.db2.gz LTXKCROHRRCACA-JTQLQIEISA-N 1 2 283.331 3.544 20 0 CHADLO Cc1cc[nH+]cc1NCc1cccc(C)c1OC(F)F ZINC000184894431 327834798 /nfs/dbraw/zinc/83/47/98/327834798.db2.gz PPYREJZKACYKCA-UHFFFAOYSA-N 1 2 278.302 3.912 20 0 CHADLO C[C@H]1C[C@H](Nc2cc[nH+]c3cc(F)c(Cl)cc23)CO1 ZINC000563053513 327850317 /nfs/dbraw/zinc/85/03/17/327850317.db2.gz XNSNJWJXJPLKCV-IUCAKERBSA-N 1 2 280.730 3.617 20 0 CHADLO Cc1nc(N[C@H](c2[nH+]ccn2C)c2ccccc2)sc1C ZINC000531537176 328015577 /nfs/dbraw/zinc/01/55/77/328015577.db2.gz CISPNRMHRLSGRJ-AWEZNQCLSA-N 1 2 298.415 3.695 20 0 CHADLO Fc1ccc(C[N@@H+]2CCOC3(CCCC3)C2)c(Cl)c1 ZINC000531726366 328023877 /nfs/dbraw/zinc/02/38/77/328023877.db2.gz HKPPWYUDXRDHQZ-UHFFFAOYSA-N 1 2 283.774 3.624 20 0 CHADLO Fc1ccc(C[N@H+]2CCOC3(CCCC3)C2)c(Cl)c1 ZINC000531726366 328023878 /nfs/dbraw/zinc/02/38/78/328023878.db2.gz HKPPWYUDXRDHQZ-UHFFFAOYSA-N 1 2 283.774 3.624 20 0 CHADLO CC(C)C[C@H]1COCC[N@@H+]1Cc1nc(C(C)(C)C)cs1 ZINC000531779617 328033468 /nfs/dbraw/zinc/03/34/68/328033468.db2.gz JDQKERPCDLSTHX-ZDUSSCGKSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)C[C@H]1COCC[N@H+]1Cc1nc(C(C)(C)C)cs1 ZINC000531779617 328033469 /nfs/dbraw/zinc/03/34/69/328033469.db2.gz JDQKERPCDLSTHX-ZDUSSCGKSA-N 1 2 296.480 3.688 20 0 CHADLO CC[C@@]1(C)COCC[N@@H+]1Cc1ccccc1C(F)(F)F ZINC000534118112 328036141 /nfs/dbraw/zinc/03/61/41/328036141.db2.gz OKGVZDYFMPUBRX-AWEZNQCLSA-N 1 2 287.325 3.706 20 0 CHADLO CC[C@@]1(C)COCC[N@H+]1Cc1ccccc1C(F)(F)F ZINC000534118112 328036143 /nfs/dbraw/zinc/03/61/43/328036143.db2.gz OKGVZDYFMPUBRX-AWEZNQCLSA-N 1 2 287.325 3.706 20 0 CHADLO COCc1cccc(-c2[nH]c3ccc(C)c(C)c3[nH+]2)c1 ZINC000534143447 328036743 /nfs/dbraw/zinc/03/67/43/328036743.db2.gz DFTYHIBZGWWIQN-UHFFFAOYSA-N 1 2 266.344 3.993 20 0 CHADLO COc1ccc(CC[N@H+](CC(F)F)CC2CCC2)cc1 ZINC000534172596 328038360 /nfs/dbraw/zinc/03/83/60/328038360.db2.gz YIAVJHWNIGSABG-UHFFFAOYSA-N 1 2 283.362 3.605 20 0 CHADLO COc1ccc(CC[N@@H+](CC(F)F)CC2CCC2)cc1 ZINC000534172596 328038361 /nfs/dbraw/zinc/03/83/61/328038361.db2.gz YIAVJHWNIGSABG-UHFFFAOYSA-N 1 2 283.362 3.605 20 0 CHADLO c1csc([C@@H]2CCCN2c2[nH]c3ccccc3[nH+]2)c1 ZINC000534242892 328041615 /nfs/dbraw/zinc/04/16/15/328041615.db2.gz FQKVWOMSILGEHZ-ZDUSSCGKSA-N 1 2 269.373 3.966 20 0 CHADLO CCc1ccc(C(C)(C)C(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000534299935 328043967 /nfs/dbraw/zinc/04/39/67/328043967.db2.gz ODGQOCHVBRNEGS-UHFFFAOYSA-N 1 2 296.414 3.599 20 0 CHADLO Cc1cc(NC(=O)c2ccccc2C(C)(C)C)c(C)c[nH+]1 ZINC000534299495 328044123 /nfs/dbraw/zinc/04/41/23/328044123.db2.gz FAWGLTXNMLFLCM-UHFFFAOYSA-N 1 2 282.387 3.670 20 0 CHADLO CC(C)CC[N@@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000534315542 328045295 /nfs/dbraw/zinc/04/52/95/328045295.db2.gz VOZKYKIVWKCOAV-OAHLLOKOSA-N 1 2 284.407 3.655 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)c2cccc(C(C)(C)C)c2)c2[nH+]ccn21 ZINC000563364859 328059298 /nfs/dbraw/zinc/05/92/98/328059298.db2.gz XANWFQMLMRXJJK-DOMZBBRYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1ccc(NC(=O)N[C@H](C)C2CCCCC2)c(C)[nH+]1 ZINC000152241475 328082277 /nfs/dbraw/zinc/08/22/77/328082277.db2.gz VFUFSXBEEDEEHR-GFCCVEGCSA-N 1 2 275.396 3.789 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+][C@@H]2CC23CC3)C(F)F)c1 ZINC000571298322 328100477 /nfs/dbraw/zinc/10/04/77/328100477.db2.gz VJJKOLOQRUERPG-ZIAGYGMSSA-N 1 2 281.346 3.922 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccn(C)n2)c2cccc(F)c2[nH+]1 ZINC000413458652 328146253 /nfs/dbraw/zinc/14/62/53/328146253.db2.gz MHEPJLRASUTZRV-NSHDSACASA-N 1 2 284.338 3.589 20 0 CHADLO CC(C)CCc1noc(-c2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC000264955339 328163417 /nfs/dbraw/zinc/16/34/17/328163417.db2.gz BFVDJVRGGKASRT-UHFFFAOYSA-N 1 2 296.374 3.570 20 0 CHADLO Cc1cc(C[NH+]2CCC(=Cc3cccc(F)c3)CC2)nn1C ZINC000414447310 328175021 /nfs/dbraw/zinc/17/50/21/328175021.db2.gz ZQWFHUOIMSXZJV-UHFFFAOYSA-N 1 2 299.393 3.547 20 0 CHADLO FC[C@H]1C[N@H+](Cc2csc(Cl)c2Cl)CCCO1 ZINC000414482424 328185682 /nfs/dbraw/zinc/18/56/82/328185682.db2.gz XNYGTBLHEMIADP-VIFPVBQESA-N 1 2 298.210 3.615 20 0 CHADLO FC[C@H]1C[N@@H+](Cc2csc(Cl)c2Cl)CCCO1 ZINC000414482424 328185683 /nfs/dbraw/zinc/18/56/83/328185683.db2.gz XNYGTBLHEMIADP-VIFPVBQESA-N 1 2 298.210 3.615 20 0 CHADLO Cc1cc(NCc2ccc(F)nc2C)ccc1[NH+](C)C ZINC000278566099 328227376 /nfs/dbraw/zinc/22/73/76/328227376.db2.gz WUYHUXLJYARXKG-UHFFFAOYSA-N 1 2 273.355 3.516 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(C(C)(C)C)s1)c1ccccn1 ZINC000279149114 328232636 /nfs/dbraw/zinc/23/26/36/328232636.db2.gz OUFVUUVXVTXNJV-NSHDSACASA-N 1 2 275.421 3.686 20 0 CHADLO CC(C)n1nccc1C[N@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000279741521 328233323 /nfs/dbraw/zinc/23/33/23/328233323.db2.gz XCVNEEBHRZUMFU-ZDUSSCGKSA-N 1 2 273.380 3.783 20 0 CHADLO CC(C)n1nccc1C[N@@H+]([C@@H](C)c1ccco1)C1CC1 ZINC000279741521 328233324 /nfs/dbraw/zinc/23/33/24/328233324.db2.gz XCVNEEBHRZUMFU-ZDUSSCGKSA-N 1 2 273.380 3.783 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccccc2OCC2CC2)no1 ZINC000289998995 328257331 /nfs/dbraw/zinc/25/73/31/328257331.db2.gz YVCXJSDDQJOQJC-ZDUSSCGKSA-N 1 2 286.375 3.623 20 0 CHADLO Fc1cc(C[N@H+](Cc2ccco2)C2CCCC2)c(F)cn1 ZINC000294512294 328281253 /nfs/dbraw/zinc/28/12/53/328281253.db2.gz DFXYXVCIGVJDJT-UHFFFAOYSA-N 1 2 292.329 3.898 20 0 CHADLO Fc1cc(C[N@@H+](Cc2ccco2)C2CCCC2)c(F)cn1 ZINC000294512294 328281254 /nfs/dbraw/zinc/28/12/54/328281254.db2.gz DFXYXVCIGVJDJT-UHFFFAOYSA-N 1 2 292.329 3.898 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H](C)c1c(F)cccc1F ZINC000296200217 328289463 /nfs/dbraw/zinc/28/94/63/328289463.db2.gz YYNBINAINGJARC-GHMZBOCLSA-N 1 2 279.334 3.593 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)N[C@H](C)c1c(F)cccc1F ZINC000296200217 328289465 /nfs/dbraw/zinc/28/94/65/328289465.db2.gz YYNBINAINGJARC-GHMZBOCLSA-N 1 2 279.334 3.593 20 0 CHADLO CC[C@@H]([NH2+][C@H](CO)c1c(F)cccc1F)c1ccsc1 ZINC000296883327 328292592 /nfs/dbraw/zinc/29/25/92/328292592.db2.gz OGRHTXXAPAISTQ-ZIAGYGMSSA-N 1 2 297.370 3.801 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(-c2nc(C3CCCC3)no2)c1 ZINC000582147527 328301613 /nfs/dbraw/zinc/30/16/13/328301613.db2.gz UFPSGYCYJUAUCD-UHFFFAOYSA-N 1 2 294.358 3.888 20 0 CHADLO CCN(Cc1cc(OC)cc(C)[nH+]1)c1cccc(F)c1 ZINC000534551169 328305949 /nfs/dbraw/zinc/30/59/49/328305949.db2.gz DYLXOJZHWSRAAM-UHFFFAOYSA-N 1 2 274.339 3.564 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@@H]1CC12CCCCC2 ZINC000531909518 328308275 /nfs/dbraw/zinc/30/82/75/328308275.db2.gz DXAXFIHVISEHLS-HNNXBMFYSA-N 1 2 295.386 3.986 20 0 CHADLO Cc1cccc([N@H+](C)CCCCCn2cccn2)c1C ZINC000110792576 328319120 /nfs/dbraw/zinc/31/91/20/328319120.db2.gz ZPVBIJDFAPEVBR-UHFFFAOYSA-N 1 2 271.408 3.807 20 0 CHADLO Cc1cccc([N@@H+](C)CCCCCn2cccn2)c1C ZINC000110792576 328319121 /nfs/dbraw/zinc/31/91/21/328319121.db2.gz ZPVBIJDFAPEVBR-UHFFFAOYSA-N 1 2 271.408 3.807 20 0 CHADLO C[C@H]([NH2+]Cc1c(Cl)cccc1Cl)c1cnccn1 ZINC000531949719 328327384 /nfs/dbraw/zinc/32/73/84/328327384.db2.gz DYTWCMCCSHSWPP-VIFPVBQESA-N 1 2 282.174 3.634 20 0 CHADLO CCc1nc(C[NH2+][C@H](c2ccc(CC)cc2)C(C)C)no1 ZINC000111195113 328335361 /nfs/dbraw/zinc/33/53/61/328335361.db2.gz CBVGGXMZYHCSGD-KRWDZBQOSA-N 1 2 287.407 3.681 20 0 CHADLO Cc1ccc(NC(=O)N[C@H](C)CCc2ccccc2)c(C)[nH+]1 ZINC000152273302 328344404 /nfs/dbraw/zinc/34/44/04/328344404.db2.gz YJFDFZOBXBWIEZ-CQSZACIVSA-N 1 2 297.402 3.841 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@@H](C)CCC[C@@H]2C)c(C)[nH+]1 ZINC000152280377 328360506 /nfs/dbraw/zinc/36/05/06/328360506.db2.gz AKXIAGHIPMMNHP-AAEUAGOBSA-N 1 2 275.396 3.741 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)s1 ZINC000535083819 328386017 /nfs/dbraw/zinc/38/60/17/328386017.db2.gz XSEVKGFIYWBAIM-AWEZNQCLSA-N 1 2 275.421 3.761 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@H]2c2ncc[nH]2)s1 ZINC000535083819 328386018 /nfs/dbraw/zinc/38/60/18/328386018.db2.gz XSEVKGFIYWBAIM-AWEZNQCLSA-N 1 2 275.421 3.761 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ncccc2Cl)c1 ZINC000535254031 328398423 /nfs/dbraw/zinc/39/84/23/328398423.db2.gz AKNZYCKUPZYXPY-UHFFFAOYSA-N 1 2 280.780 3.739 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2c3ccccc3C[C@H]2C)c(C)[nH+]1 ZINC000152289913 328446017 /nfs/dbraw/zinc/44/60/17/328446017.db2.gz ILQZIWYNQMHYAO-PIGZYNQJSA-N 1 2 295.386 3.753 20 0 CHADLO CC(C)n1c[nH+]cc1CN(Cc1ccccc1F)C1CC1 ZINC000425321094 328454801 /nfs/dbraw/zinc/45/48/01/328454801.db2.gz GZOIVEOHFRSHJS-UHFFFAOYSA-N 1 2 287.382 3.768 20 0 CHADLO Cc1ccc(NC(=O)NCc2cccc(Cl)c2)c(C)[nH+]1 ZINC000152401523 328525700 /nfs/dbraw/zinc/52/57/00/328525700.db2.gz YLAABLUYPRGBFX-UHFFFAOYSA-N 1 2 289.766 3.674 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2c(s1)CCCC2)c1csnn1 ZINC000398374942 328591569 /nfs/dbraw/zinc/59/15/69/328591569.db2.gz QTWXYAGKIYSLKW-ZJUUUORDSA-N 1 2 293.461 3.890 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](C)c2csnn2)c(C)c1 ZINC000398471421 328593003 /nfs/dbraw/zinc/59/30/03/328593003.db2.gz NXDRPYDDZVNACW-NWDGAFQWSA-N 1 2 261.394 3.567 20 0 CHADLO C[C@@H]([NH2+]C1(C(F)F)CC1)c1ccc(Cl)s1 ZINC000380159455 326902362 /nfs/dbraw/zinc/90/23/62/326902362.db2.gz BCLKJJDBNBZCDI-ZCFIWIBFSA-N 1 2 251.729 3.850 20 0 CHADLO CCOc1cc(NCc2cn3cc(C)ccc3[nH+]2)ccc1C ZINC000556428985 326941257 /nfs/dbraw/zinc/94/12/57/326941257.db2.gz CVNSPCLTLRSRMJ-UHFFFAOYSA-N 1 2 295.386 3.962 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(C)c(C)c2)c1 ZINC000214048027 327011789 /nfs/dbraw/zinc/01/17/89/327011789.db2.gz RCVKCALJVMZVGK-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO Clc1ccc(-c2nc(CNc3c[nH]c[nH+]3)cs2)cc1 ZINC000557551200 327013078 /nfs/dbraw/zinc/01/30/78/327013078.db2.gz XYXIZUXEXCYCDO-UHFFFAOYSA-N 1 2 290.779 3.799 20 0 CHADLO CCN(CCC1CC1)c1cc(C(F)(F)F)cc[nH+]1 ZINC000557632505 327017480 /nfs/dbraw/zinc/01/74/80/327017480.db2.gz VDJINQHAFNHTTC-UHFFFAOYSA-N 1 2 258.287 3.727 20 0 CHADLO C(=C\c1ccccc1)\C[N@@H+]1CCO[C@H](c2cccs2)C1 ZINC000255840892 327020442 /nfs/dbraw/zinc/02/04/42/327020442.db2.gz CZBZQQOPCFDBLG-FAOGRTBUSA-N 1 2 285.412 3.835 20 0 CHADLO C(=C\c1ccccc1)\C[N@H+]1CCO[C@H](c2cccs2)C1 ZINC000255840892 327020444 /nfs/dbraw/zinc/02/04/44/327020444.db2.gz CZBZQQOPCFDBLG-FAOGRTBUSA-N 1 2 285.412 3.835 20 0 CHADLO Cn1c[nH+]cc1CNc1ccccc1Cc1ccccc1 ZINC000090153473 327031370 /nfs/dbraw/zinc/03/13/70/327031370.db2.gz UXZOZBZUVJEVRV-UHFFFAOYSA-N 1 2 277.371 3.623 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1nccn1-c1ccccc1)c1ccoc1 ZINC000558126475 327075194 /nfs/dbraw/zinc/07/51/94/327075194.db2.gz SKHKVJPHVLSDFP-ZIAGYGMSSA-N 1 2 281.359 3.877 20 0 CHADLO CC[C@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)C(C)C ZINC000558262656 327083582 /nfs/dbraw/zinc/08/35/82/327083582.db2.gz OLSQIMJMRQMUSV-AWEZNQCLSA-N 1 2 261.344 3.858 20 0 CHADLO Cc1cccn2cc(Cc3noc(C4CC(C)(C)C4)n3)[nH+]c12 ZINC000558314555 327086680 /nfs/dbraw/zinc/08/66/80/327086680.db2.gz BNBBZJFVQXARRL-UHFFFAOYSA-N 1 2 296.374 3.520 20 0 CHADLO C[C@@H]([NH2+]Cc1csnn1)c1ccc(F)c2ccccc21 ZINC000558425169 327093326 /nfs/dbraw/zinc/09/33/26/327093326.db2.gz SGCUPBVXLTZLCZ-SNVBAGLBSA-N 1 2 287.363 3.681 20 0 CHADLO C[C@H]([NH2+]Cc1csnn1)c1ccc(F)c2ccccc21 ZINC000558425168 327093381 /nfs/dbraw/zinc/09/33/81/327093381.db2.gz SGCUPBVXLTZLCZ-JTQLQIEISA-N 1 2 287.363 3.681 20 0 CHADLO Clc1ccccc1[C@@H]1CCC[C@@H]1Nc1c[nH]c[nH+]1 ZINC000558575206 327106637 /nfs/dbraw/zinc/10/66/37/327106637.db2.gz HVPWPQBJEJYGNM-AAEUAGOBSA-N 1 2 261.756 3.811 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cc3ccccc3o2)no1 ZINC000558603881 327108479 /nfs/dbraw/zinc/10/84/79/327108479.db2.gz VZDHLYRIRRYMSW-NSHDSACASA-N 1 2 256.305 3.580 20 0 CHADLO COCc1ccc(CSc2[nH+]cc3ccccn32)cc1 ZINC000558805877 327121434 /nfs/dbraw/zinc/12/14/34/327121434.db2.gz VUNHEGHFGURINI-UHFFFAOYSA-N 1 2 284.384 3.773 20 0 CHADLO CC(C)([NH2+]Cc1noc(-c2ccccc2)n1)c1ccccc1 ZINC000558863910 327127897 /nfs/dbraw/zinc/12/78/97/327127897.db2.gz IJTZEIMGVOELSP-UHFFFAOYSA-N 1 2 293.370 3.762 20 0 CHADLO CCCOc1cccc2c(N3CCC[C@@H]3CF)cc[nH+]c12 ZINC000558983900 327136942 /nfs/dbraw/zinc/13/69/42/327136942.db2.gz DXGALOQPZJOJBJ-CYBMUJFWSA-N 1 2 288.366 3.962 20 0 CHADLO Oc1cccc(C[N@H+](Cc2ccc(F)cc2F)C2CC2)c1 ZINC000084862630 327150986 /nfs/dbraw/zinc/15/09/86/327150986.db2.gz NRDHUSCWCYHTRB-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Oc1cccc(C[N@@H+](Cc2ccc(F)cc2F)C2CC2)c1 ZINC000084862630 327150987 /nfs/dbraw/zinc/15/09/87/327150987.db2.gz NRDHUSCWCYHTRB-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Cc1nc(C[N@@H+]2CCCCC[C@H]2/C=C/c2ccccc2)no1 ZINC000084868265 327151101 /nfs/dbraw/zinc/15/11/01/327151101.db2.gz HRQZRICTAOYTOF-FLVLSHQESA-N 1 2 297.402 3.836 20 0 CHADLO Cc1nc(C[N@H+]2CCCCC[C@H]2/C=C/c2ccccc2)no1 ZINC000084868265 327151103 /nfs/dbraw/zinc/15/11/03/327151103.db2.gz HRQZRICTAOYTOF-FLVLSHQESA-N 1 2 297.402 3.836 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cnc(C2CCCC2)s1 ZINC000559323794 327159220 /nfs/dbraw/zinc/15/92/20/327159220.db2.gz VGSSRRIAWZNXKY-UHFFFAOYSA-N 1 2 260.353 3.546 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)C(=O)OC(C)(C)C)c1cccs1 ZINC000090451505 327178527 /nfs/dbraw/zinc/17/85/27/327178527.db2.gz QPEZQZKOTIOUSZ-GHMZBOCLSA-N 1 2 269.410 3.519 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+]Cc1nc(C2CC2)cs1 ZINC000090458301 327178706 /nfs/dbraw/zinc/17/87/06/327178706.db2.gz LUBPVTZRCVFVPZ-NSHDSACASA-N 1 2 288.416 3.880 20 0 CHADLO Clc1ccc(C[N@H+](Cc2cnc[nH]2)C2CC2)cc1Cl ZINC000092190720 327189381 /nfs/dbraw/zinc/18/93/81/327189381.db2.gz LNKBCOLPYKJLDZ-UHFFFAOYSA-N 1 2 296.201 3.881 20 0 CHADLO Clc1ccc(C[N@@H+](Cc2cnc[nH]2)C2CC2)cc1Cl ZINC000092190720 327189382 /nfs/dbraw/zinc/18/93/82/327189382.db2.gz LNKBCOLPYKJLDZ-UHFFFAOYSA-N 1 2 296.201 3.881 20 0 CHADLO Clc1ccc(C[N@H+](Cc2c[nH]cn2)C2CC2)cc1Cl ZINC000092190720 327189384 /nfs/dbraw/zinc/18/93/84/327189384.db2.gz LNKBCOLPYKJLDZ-UHFFFAOYSA-N 1 2 296.201 3.881 20 0 CHADLO Clc1ccc(C[N@@H+](Cc2c[nH]cn2)C2CC2)cc1Cl ZINC000092190720 327189385 /nfs/dbraw/zinc/18/93/85/327189385.db2.gz LNKBCOLPYKJLDZ-UHFFFAOYSA-N 1 2 296.201 3.881 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(OCC(C)C)cc2)no1 ZINC000092254256 327191240 /nfs/dbraw/zinc/19/12/40/327191240.db2.gz SKMNBFZUUZRBDD-UHFFFAOYSA-N 1 2 288.391 3.650 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(OCC(C)C)cc2)no1 ZINC000092254256 327191241 /nfs/dbraw/zinc/19/12/41/327191241.db2.gz SKMNBFZUUZRBDD-UHFFFAOYSA-N 1 2 288.391 3.650 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1nccc2ccccc21 ZINC000569597310 327208459 /nfs/dbraw/zinc/20/84/59/327208459.db2.gz UCHRGRJZXZYIOS-SNVBAGLBSA-N 1 2 250.292 3.541 20 0 CHADLO CC(C)c1nc(N2Cc3cccnc3C2)cc(C(C)(C)C)[nH+]1 ZINC000584287880 327219742 /nfs/dbraw/zinc/21/97/42/327219742.db2.gz UAZJOYKYEFCPKJ-UHFFFAOYSA-N 1 2 296.418 3.813 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2ccccc21)c1nc(C(C)(C)C)no1 ZINC000559900272 327270123 /nfs/dbraw/zinc/27/01/23/327270123.db2.gz KIOHYLRVSKMXQX-BXUZGUMPSA-N 1 2 285.391 3.705 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)CC3CC(C)(C)C3)ccc2n1C ZINC000560084865 327282929 /nfs/dbraw/zinc/28/29/29/327282929.db2.gz UGGUSPDKNPNXJP-UHFFFAOYSA-N 1 2 285.391 3.647 20 0 CHADLO CC[C@H]([NH2+]Cc1ncccc1Cl)c1nc(C)cs1 ZINC000528443693 327284951 /nfs/dbraw/zinc/28/49/51/327284951.db2.gz ZTELUVHRKHQACW-NSHDSACASA-N 1 2 281.812 3.741 20 0 CHADLO CCCC1(c2nc(CCc3[nH+]cccc3C)no2)CCC1 ZINC000560354786 327302255 /nfs/dbraw/zinc/30/22/55/327302255.db2.gz WDEGENGZQMJJPL-UHFFFAOYSA-N 1 2 285.391 3.780 20 0 CHADLO CSCc1cccc(N[C@H]2C[C@@H](C)n3cc[nH+]c32)c1 ZINC000560355651 327302453 /nfs/dbraw/zinc/30/24/53/327302453.db2.gz GQMXQMLCGFGDKF-RISCZKNCSA-N 1 2 273.405 3.864 20 0 CHADLO CC(C)c1[nH+]c(CN(C(C)C)C2CC2)c2ccccn21 ZINC000566337339 327321286 /nfs/dbraw/zinc/32/12/86/327321286.db2.gz OFCADTCDOLAMNF-UHFFFAOYSA-N 1 2 271.408 3.831 20 0 CHADLO COc1c(C)c[nH+]c(CN2CCCNc3ccccc32)c1C ZINC000262141341 327338915 /nfs/dbraw/zinc/33/89/15/327338915.db2.gz MKHUXHIAOWEWBE-UHFFFAOYSA-N 1 2 297.402 3.529 20 0 CHADLO Clc1cccc2c(N[C@@H]3CCO[C@H]3C3CC3)cc[nH+]c12 ZINC000561257817 327379626 /nfs/dbraw/zinc/37/96/26/327379626.db2.gz JDKMCHBZTBFWBZ-ZBFHGGJFSA-N 1 2 288.778 3.868 20 0 CHADLO C[C@@H](Nc1cc(N2CCCC2)nc[nH+]1)[C@@H](C)c1ccccc1 ZINC000561262427 327380775 /nfs/dbraw/zinc/38/07/75/327380775.db2.gz JXTGADSIPAYLOC-HUUCEWRRSA-N 1 2 296.418 3.681 20 0 CHADLO C[C@@H](Nc1cc(N2CCCC2)[nH+]cn1)[C@@H](C)c1ccccc1 ZINC000561262427 327380776 /nfs/dbraw/zinc/38/07/76/327380776.db2.gz JXTGADSIPAYLOC-HUUCEWRRSA-N 1 2 296.418 3.681 20 0 CHADLO CCOCc1ccc(Nc2cc(C)[nH+]c(CC)n2)cc1 ZINC000561262707 327380893 /nfs/dbraw/zinc/38/08/93/327380893.db2.gz KLZFTQANIAPLDY-UHFFFAOYSA-N 1 2 271.364 3.628 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@H](C)Sc2sccc21)c1csnn1 ZINC000398321066 327383641 /nfs/dbraw/zinc/38/36/41/327383641.db2.gz IJIDLZGBWGKBNS-NRPADANISA-N 1 2 297.474 3.876 20 0 CHADLO CC(C)Oc1cccc([C@@H]([NH2+][C@H]2CCCOC2)C(F)F)c1 ZINC000566475560 327388509 /nfs/dbraw/zinc/38/85/09/327388509.db2.gz MXTXYVICYIMEFH-DZGCQCFKSA-N 1 2 299.361 3.549 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc(F)cc(F)c1)c1cscn1 ZINC000566501628 327401545 /nfs/dbraw/zinc/40/15/45/327401545.db2.gz RZTXLICVDJGEFL-DTWKUNHWSA-N 1 2 268.332 3.833 20 0 CHADLO COc1c(C)c[nH+]c(CSC[C@H]2CCCCO2)c1C ZINC000561808034 327423198 /nfs/dbraw/zinc/42/31/98/327423198.db2.gz PUBVMLUUEPNINN-CYBMUJFWSA-N 1 2 281.421 3.509 20 0 CHADLO Cc1cc[nH+]c(NC[C@@H](C)C(F)(F)F)c1Cl ZINC000381295287 327431648 /nfs/dbraw/zinc/43/16/48/327431648.db2.gz GCLDCXVCWIYQBT-SSDOTTSWSA-N 1 2 252.667 3.654 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@@H]2C2CC2)nc(C(C)C)[nH+]1 ZINC000562237085 327458824 /nfs/dbraw/zinc/45/88/24/327458824.db2.gz SAMHACPPAPOQNE-AWEZNQCLSA-N 1 2 259.397 3.533 20 0 CHADLO C/C=C/C[C@H]([NH2+]CC[C@@H](C)c1ccccc1)C(=O)OCC ZINC000562488893 327485474 /nfs/dbraw/zinc/48/54/74/327485474.db2.gz BXZZZVUPENFKAK-UXILZDJPSA-N 1 2 289.419 3.668 20 0 CHADLO CC1=C(c2nc(CCc3[nH+]cccc3C)no2)CCC1 ZINC000562570407 327494928 /nfs/dbraw/zinc/49/49/28/327494928.db2.gz PGDIOGUCYACWDJ-UHFFFAOYSA-N 1 2 269.348 3.516 20 0 CHADLO CCCc1ccc(OCc2c[nH+]ccc2OC)cc1 ZINC000562890159 327522580 /nfs/dbraw/zinc/52/25/80/327522580.db2.gz TWTCVYMFJNWIHI-UHFFFAOYSA-N 1 2 257.333 3.622 20 0 CHADLO COc1cc(C)[nH+]c(COc2ccc(F)cc2Cl)c1 ZINC000569734077 327543088 /nfs/dbraw/zinc/54/30/88/327543088.db2.gz PDODOBJCCLCNDK-UHFFFAOYSA-N 1 2 281.714 3.770 20 0 CHADLO CCc1c[nH+]ccc1[C@H](C)[C@H]1COc2ccccc2C1 ZINC000569912915 327555515 /nfs/dbraw/zinc/55/55/15/327555515.db2.gz PHIUXYMCTJOLRN-CZUORRHYSA-N 1 2 267.372 3.999 20 0 CHADLO CC(F)(F)C[NH2+][C@H](C[C@H]1CCOC1)c1ccc(F)cc1 ZINC000569991454 327560773 /nfs/dbraw/zinc/56/07/73/327560773.db2.gz AJOCFNLTIFMWBQ-BXUZGUMPSA-N 1 2 287.325 3.538 20 0 CHADLO C[C@@H]1c2ccsc2CCN1c1[nH+]c2ccccc2n1C ZINC000535691760 328613480 /nfs/dbraw/zinc/61/34/80/328613480.db2.gz LHPLKNURUHFQQU-LLVKDONJSA-N 1 2 283.400 3.759 20 0 CHADLO CCn1cc[nH+]c1CN(C)[C@@H](c1ccccc1)C(F)(F)F ZINC000536657512 328676963 /nfs/dbraw/zinc/67/69/63/328676963.db2.gz QVPRQRCFBDMKEP-AWEZNQCLSA-N 1 2 297.324 3.638 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@]23C[C@H]2CCC3)[nH+]1 ZINC000583624788 328716816 /nfs/dbraw/zinc/71/68/16/328716816.db2.gz QWQJYNFMFPJFPD-SJKOYZFVSA-N 1 2 281.359 3.514 20 0 CHADLO CCC1(C(=O)Nc2ccc3c(c2)[nH+]c(C)n3C)CCCC1 ZINC000532313351 328718394 /nfs/dbraw/zinc/71/83/94/328718394.db2.gz YEKHEVGOBZSWDT-UHFFFAOYSA-N 1 2 285.391 3.791 20 0 CHADLO CCCC1(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)CCC1 ZINC000532347183 328773255 /nfs/dbraw/zinc/77/32/55/328773255.db2.gz NPLQMIPFMKTHBC-UHFFFAOYSA-N 1 2 283.375 3.986 20 0 CHADLO CC[C@H](C)[C@@H](C)Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1 ZINC000526990993 328818937 /nfs/dbraw/zinc/81/89/37/328818937.db2.gz PKVZJSZZYWLLBZ-BYNSBNAKSA-N 1 2 291.439 3.542 20 0 CHADLO Cc1nc(Cl)cc(Nc2ccc([NH+](C)C)c(C)c2)n1 ZINC000301145588 328826801 /nfs/dbraw/zinc/82/68/01/328826801.db2.gz JICSAAISNZKVIP-UHFFFAOYSA-N 1 2 276.771 3.556 20 0 CHADLO Cc1ccc(CNc2cc[nH+]c(C(C)(C)C)n2)cc1 ZINC000301430971 328827888 /nfs/dbraw/zinc/82/78/88/328827888.db2.gz JTSIAYSKAJSHSP-UHFFFAOYSA-N 1 2 255.365 3.695 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1cnc2ccsc2c1 ZINC000389441979 328845512 /nfs/dbraw/zinc/84/55/12/328845512.db2.gz JFLSWZLGDHJKJZ-BDAKNGLRSA-N 1 2 270.348 3.991 20 0 CHADLO CCC[C@](C)(CC)C(=O)N1CC[C@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000356417434 328862185 /nfs/dbraw/zinc/86/21/85/328862185.db2.gz JEHADBUJTHOSRE-ZOBUZTSGSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1csc(C2([NH2+]Cc3ccncc3Cl)CCC2)n1 ZINC000358788561 328895229 /nfs/dbraw/zinc/89/52/29/328895229.db2.gz FXKHLAGNVJFNGA-UHFFFAOYSA-N 1 2 293.823 3.669 20 0 CHADLO CCCCCC[C@H](C)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000361430056 328925651 /nfs/dbraw/zinc/92/56/51/328925651.db2.gz LWNRWOIVNQNYBU-GJZGRUSLSA-N 1 2 291.439 3.722 20 0 CHADLO CCCCSC[C@@H](O)Cn1c[nH+]c2cc(C)c(C)cc21 ZINC000582263442 328933289 /nfs/dbraw/zinc/93/32/89/328933289.db2.gz TXOHUESDLVIXCD-AWEZNQCLSA-N 1 2 292.448 3.547 20 0 CHADLO C[C@@H]1CCCC[C@H]1N(C)C(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117596451 328941574 /nfs/dbraw/zinc/94/15/74/328941574.db2.gz RSVLPVVEFAVGMN-RHSMWYFYSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccc(F)cc2F)C2CC2)cn1 ZINC000122369336 329001531 /nfs/dbraw/zinc/00/15/31/329001531.db2.gz FBAHWRMKPKEVII-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccc(F)cc2F)C2CC2)cn1 ZINC000122369336 329001532 /nfs/dbraw/zinc/00/15/32/329001532.db2.gz FBAHWRMKPKEVII-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO FCCCCNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000375644768 329005084 /nfs/dbraw/zinc/00/50/84/329005084.db2.gz XVIDCMRLIURZER-UHFFFAOYSA-N 1 2 285.366 3.763 20 0 CHADLO Fc1cccc2c3c([nH]c21)C[N@@H+](Cc1ccncc1)CCC3 ZINC000375989681 329005978 /nfs/dbraw/zinc/00/59/78/329005978.db2.gz UACUMMBFZKXYKE-UHFFFAOYSA-N 1 2 295.361 3.650 20 0 CHADLO Fc1cccc2c3c([nH]c21)C[N@H+](Cc1ccncc1)CCC3 ZINC000375989681 329005979 /nfs/dbraw/zinc/00/59/79/329005979.db2.gz UACUMMBFZKXYKE-UHFFFAOYSA-N 1 2 295.361 3.650 20 0 CHADLO CC(C)(C)c1nc(CN2CCC[C@@H]2c2[nH]cc[nH+]2)cs1 ZINC000378492525 329052665 /nfs/dbraw/zinc/05/26/65/329052665.db2.gz TZXLKFGCAQEJJX-GFCCVEGCSA-N 1 2 290.436 3.501 20 0 CHADLO CCCCC[C@H](C)CC(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000378513550 329053597 /nfs/dbraw/zinc/05/35/97/329053597.db2.gz BPENEXXBXVGJGA-UONOGXRCSA-N 1 2 277.412 3.680 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000378520604 329053935 /nfs/dbraw/zinc/05/39/35/329053935.db2.gz SNSUELOOBOCKGY-ZIAGYGMSSA-N 1 2 277.412 3.680 20 0 CHADLO C=Cn1cc(C[N@H+](Cc2ccco2)Cc2ccccc2)cn1 ZINC000193620368 329060148 /nfs/dbraw/zinc/06/01/48/329060148.db2.gz CWDPPTSFRHJKCR-UHFFFAOYSA-N 1 2 293.370 3.779 20 0 CHADLO C=Cn1cc(C[N@@H+](Cc2ccco2)Cc2ccccc2)cn1 ZINC000193620368 329060149 /nfs/dbraw/zinc/06/01/49/329060149.db2.gz CWDPPTSFRHJKCR-UHFFFAOYSA-N 1 2 293.370 3.779 20 0 CHADLO CCCCc1noc(C[N@@H+]2CCc3ccccc3[C@H]2C)n1 ZINC000170955700 329072819 /nfs/dbraw/zinc/07/28/19/329072819.db2.gz SNRMWEWTMLFHDT-CYBMUJFWSA-N 1 2 285.391 3.532 20 0 CHADLO CCCCc1noc(C[N@H+]2CCc3ccccc3[C@H]2C)n1 ZINC000170955700 329072821 /nfs/dbraw/zinc/07/28/21/329072821.db2.gz SNRMWEWTMLFHDT-CYBMUJFWSA-N 1 2 285.391 3.532 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1c[nH]cn1)c1ccccc1Cl ZINC000172037742 329083732 /nfs/dbraw/zinc/08/37/32/329083732.db2.gz XILNHNQQXDQPDT-AWEZNQCLSA-N 1 2 263.772 3.550 20 0 CHADLO C[C@H]([NH2+]CC(C)(F)F)c1ccc(Br)cc1 ZINC000393921219 329091752 /nfs/dbraw/zinc/09/17/52/329091752.db2.gz IWBOUQHXYQJIOJ-QMMMGPOBSA-N 1 2 278.140 3.755 20 0 CHADLO Cc1cc(N2CCCC2)ccc1[NH2+][C@H]1C[C@@H](C)O[C@@H](C)C1 ZINC000582586563 329112614 /nfs/dbraw/zinc/11/26/14/329112614.db2.gz LRIKUTSKPVJLIT-ZSHCYNCHSA-N 1 2 288.435 3.963 20 0 CHADLO Cc1ccc(C(=O)N2CCC[C@@H]2C)cc1Oc1cc[nH+]cc1 ZINC000173121145 329145194 /nfs/dbraw/zinc/14/51/94/329145194.db2.gz YRCKKTMVQHLBAJ-AWEZNQCLSA-N 1 2 296.370 3.807 20 0 CHADLO Cc1cc(C)c(CNC(=O)NC(C)(C)CC(C)(C)C)c[nH+]1 ZINC000459088925 329147542 /nfs/dbraw/zinc/14/75/42/329147542.db2.gz YRYDXSHFKVKOAS-UHFFFAOYSA-N 1 2 291.439 3.712 20 0 CHADLO CC(C)c1noc([C@H](C)[NH+]2CCC(C(F)(F)F)CC2)n1 ZINC000174190793 329155252 /nfs/dbraw/zinc/15/52/52/329155252.db2.gz YABLQKLNRCZTLA-VIFPVBQESA-N 1 2 291.317 3.528 20 0 CHADLO Cc1ccc(C)c(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)c1 ZINC000174663509 329160622 /nfs/dbraw/zinc/16/06/22/329160622.db2.gz YPGYUJIEMSJXGS-CYBMUJFWSA-N 1 2 287.407 3.835 20 0 CHADLO C[N@H+](Cc1nc2c(s1)CCCC2)Cc1ccc(F)cc1 ZINC000175544924 329169587 /nfs/dbraw/zinc/16/95/87/329169587.db2.gz AMYLWNRVGPCSRJ-UHFFFAOYSA-N 1 2 290.407 3.793 20 0 CHADLO C[N@@H+](Cc1nc2c(s1)CCCC2)Cc1ccc(F)cc1 ZINC000175544924 329169588 /nfs/dbraw/zinc/16/95/88/329169588.db2.gz AMYLWNRVGPCSRJ-UHFFFAOYSA-N 1 2 290.407 3.793 20 0 CHADLO Cn1cc[nH+]c1CN1CCCSc2ccc(Cl)cc21 ZINC000175963232 329172786 /nfs/dbraw/zinc/17/27/86/329172786.db2.gz OPVAKMVRYHTUOY-UHFFFAOYSA-N 1 2 293.823 3.576 20 0 CHADLO CCCC[N@H+](Cc1cncn1C)Cc1ccccc1Cl ZINC000177039884 329178254 /nfs/dbraw/zinc/17/82/54/329178254.db2.gz RYBSUPLOHDORJQ-UHFFFAOYSA-N 1 2 291.826 3.876 20 0 CHADLO CCCC[N@@H+](Cc1cncn1C)Cc1ccccc1Cl ZINC000177039884 329178255 /nfs/dbraw/zinc/17/82/55/329178255.db2.gz RYBSUPLOHDORJQ-UHFFFAOYSA-N 1 2 291.826 3.876 20 0 CHADLO CCCCN(Cc1c[nH+]cn1C)Cc1ccccc1Cl ZINC000177039884 329178257 /nfs/dbraw/zinc/17/82/57/329178257.db2.gz RYBSUPLOHDORJQ-UHFFFAOYSA-N 1 2 291.826 3.876 20 0 CHADLO Cc1c(COc2ccccc2)cccc1NCc1[nH]cc[nH+]1 ZINC000177563683 329187714 /nfs/dbraw/zinc/18/77/14/329187714.db2.gz KJLZFAJSVVPZFS-UHFFFAOYSA-N 1 2 293.370 3.909 20 0 CHADLO CC1(C)CCC[C@@H](C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)C1 ZINC000179395301 329204919 /nfs/dbraw/zinc/20/49/19/329204919.db2.gz GXJCEBHFLIRIPI-KGLIPLIRSA-N 1 2 289.423 3.680 20 0 CHADLO CC[N@H+](Cc1cnn(C(C)C)c1)Cc1c(F)cccc1F ZINC000179948753 329213749 /nfs/dbraw/zinc/21/37/49/329213749.db2.gz XUBUFVPEMPYLDU-UHFFFAOYSA-N 1 2 293.361 3.764 20 0 CHADLO CC[N@@H+](Cc1cnn(C(C)C)c1)Cc1c(F)cccc1F ZINC000179948753 329213750 /nfs/dbraw/zinc/21/37/50/329213750.db2.gz XUBUFVPEMPYLDU-UHFFFAOYSA-N 1 2 293.361 3.764 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000180020211 329214561 /nfs/dbraw/zinc/21/45/61/329214561.db2.gz SMBYIZURIPTEQV-HNNXBMFYSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000180020211 329214562 /nfs/dbraw/zinc/21/45/62/329214562.db2.gz SMBYIZURIPTEQV-HNNXBMFYSA-N 1 2 273.355 3.584 20 0 CHADLO c1cc(C[NH2+]Cc2ncc(-c3ccccc3)s2)co1 ZINC000180093171 329215035 /nfs/dbraw/zinc/21/50/35/329215035.db2.gz DOIHRYYXFMQPJP-UHFFFAOYSA-N 1 2 270.357 3.693 20 0 CHADLO c1ccc(NCCCNc2ccc3ccccc3n2)[nH+]c1 ZINC000180410710 329215995 /nfs/dbraw/zinc/21/59/95/329215995.db2.gz ZADRGXFEVWWXEN-UHFFFAOYSA-N 1 2 278.359 3.544 20 0 CHADLO COc1cccc([C@@H](C)[NH2+]Cc2ncc(Cl)s2)c1 ZINC000223046488 329232586 /nfs/dbraw/zinc/23/25/86/329232586.db2.gz HSPKTFBBRQTQSB-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO Cc1cc[nH+]c(NCC[C@H]2CCCCO2)c1Br ZINC000223837709 329240751 /nfs/dbraw/zinc/24/07/51/329240751.db2.gz PSMINOMIVAHISS-LLVKDONJSA-N 1 2 299.212 3.524 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccc(F)cc1F ZINC000225296727 329248269 /nfs/dbraw/zinc/24/82/69/329248269.db2.gz GCEUGIUHMKJTKF-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2oc3ccccc3c2C)o1 ZINC000183531062 329248369 /nfs/dbraw/zinc/24/83/69/329248369.db2.gz STTCTIAQHBCMBF-NSHDSACASA-N 1 2 285.347 3.537 20 0 CHADLO Cc1ccc(CNc2cc(F)c(F)cc2F)c(C)[nH+]1 ZINC000184501247 329261059 /nfs/dbraw/zinc/26/10/59/329261059.db2.gz ZLYOOZXJOHRELZ-UHFFFAOYSA-N 1 2 266.266 3.728 20 0 CHADLO C[C@@H](c1ccsc1)[NH+]1CCN(Cc2cccs2)CC1 ZINC000184973095 329266081 /nfs/dbraw/zinc/26/60/81/329266081.db2.gz GRKFVDXTRXQEOD-ZDUSSCGKSA-N 1 2 292.473 3.688 20 0 CHADLO CC(C)C[C@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000185257242 329269710 /nfs/dbraw/zinc/26/97/10/329269710.db2.gz DMZRPJBABLYOIS-LBPRGKRZSA-N 1 2 289.354 3.632 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000583081051 329311468 /nfs/dbraw/zinc/31/14/68/329311468.db2.gz CGNRNFOEFRTNER-DYVFJYSZSA-N 1 2 282.387 3.547 20 0 CHADLO CCCc1cnc(NCc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC000185980401 329337864 /nfs/dbraw/zinc/33/78/64/329337864.db2.gz JIBGVHDIZQFWBZ-UHFFFAOYSA-N 1 2 298.415 3.893 20 0 CHADLO CC(C)Oc1ccc(CNc2cc[nH+]cc2F)cc1 ZINC000186426387 329342239 /nfs/dbraw/zinc/34/22/39/329342239.db2.gz AURTUWAHAAICLW-UHFFFAOYSA-N 1 2 260.312 3.620 20 0 CHADLO Fc1c[nH+]ccc1NCc1cccc(OC(F)(F)F)c1 ZINC000186427241 329342317 /nfs/dbraw/zinc/34/23/17/329342317.db2.gz GYGCJOACRKTKCA-UHFFFAOYSA-N 1 2 286.228 3.731 20 0 CHADLO Cc1ccc(CSc2nncc3ccccc32)c(C)[nH+]1 ZINC000186579022 329345654 /nfs/dbraw/zinc/34/56/54/329345654.db2.gz JGLLTXIHCKRILA-UHFFFAOYSA-N 1 2 281.384 3.934 20 0 CHADLO CCCC(CCC)[S@](=O)Cc1ccc(C)[nH+]c1C ZINC000187068864 329352024 /nfs/dbraw/zinc/35/20/24/329352024.db2.gz KZVPCLDLGVVCRB-GOSISDBHSA-N 1 2 267.438 3.916 20 0 CHADLO C[C@@H]1CCCC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000187949319 329361770 /nfs/dbraw/zinc/36/17/70/329361770.db2.gz YXCDJSZLTWCFHJ-CYBMUJFWSA-N 1 2 277.412 3.739 20 0 CHADLO C[C@@H]1CCCC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000187949319 329361771 /nfs/dbraw/zinc/36/17/71/329361771.db2.gz YXCDJSZLTWCFHJ-CYBMUJFWSA-N 1 2 277.412 3.739 20 0 CHADLO CC(=O)Nc1cc(NCc2ccc(C)[nH+]c2C)ccc1C ZINC000187719993 329362579 /nfs/dbraw/zinc/36/25/79/329362579.db2.gz ZXWFGQUUTQAULZ-UHFFFAOYSA-N 1 2 283.375 3.577 20 0 CHADLO Cc1c[nH+]cc(NCc2cc(F)cc(Cl)c2)c1 ZINC000230224469 329364474 /nfs/dbraw/zinc/36/44/74/329364474.db2.gz ONKWTKDMQIQJPY-UHFFFAOYSA-N 1 2 250.704 3.795 20 0 CHADLO CCn1c[nH+]cc1CNc1cccc(Br)c1C ZINC000232224831 329385311 /nfs/dbraw/zinc/38/53/11/329385311.db2.gz QDHVVYBNJQAPNP-UHFFFAOYSA-N 1 2 294.196 3.586 20 0 CHADLO CC[C@H](C)CC(=O)Nc1c[nH+]ccc1OC(C)(C)C ZINC000189720332 329387280 /nfs/dbraw/zinc/38/72/80/329387280.db2.gz YVYAYYURPPCRBD-NSHDSACASA-N 1 2 264.369 3.634 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc3ncsc3c2)c(C)[nH+]1 ZINC000192679426 329435045 /nfs/dbraw/zinc/43/50/45/329435045.db2.gz DZRCKVPXZLWZTR-UHFFFAOYSA-N 1 2 297.383 3.869 20 0 CHADLO Cc1cc2nc(N[C@H](c3[nH+]ccn3C)C3CC3)oc2c(C)c1 ZINC000584391667 329442214 /nfs/dbraw/zinc/44/22/14/329442214.db2.gz RQEWGPNKBUONGQ-AWEZNQCLSA-N 1 2 296.374 3.741 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(C2CCCC2)s1)c1csnn1 ZINC000583309667 329446449 /nfs/dbraw/zinc/44/64/49/329446449.db2.gz RWAJKFURHHHBJV-SECBINFHSA-N 1 2 294.449 3.503 20 0 CHADLO CCCC(CCC)C(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000127499783 329600040 /nfs/dbraw/zinc/60/00/40/329600040.db2.gz FZKJOAHDJXLQCG-ZBFHGGJFSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1cccc(COc2cccc(NCc3[nH]cc[nH+]3)c2)c1 ZINC000132350575 329645844 /nfs/dbraw/zinc/64/58/44/329645844.db2.gz IIXBFFHIKZYAEV-UHFFFAOYSA-N 1 2 293.370 3.909 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H]2CCC[C@H](C)C2)c(C)[nH+]1 ZINC000132898970 329649332 /nfs/dbraw/zinc/64/93/32/329649332.db2.gz GWKSXWYDNZNMPY-IINYFYTJSA-N 1 2 260.381 3.772 20 0 CHADLO Cc1cc(N[C@H]2CC[C@H](F)C2)c2cccc(F)c2[nH+]1 ZINC000564056884 329660630 /nfs/dbraw/zinc/66/06/30/329660630.db2.gz FEJAFKGLTBFHJJ-QWRGUYRKSA-N 1 2 262.303 3.985 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccc(F)cc2F)s1 ZINC000134909554 329661578 /nfs/dbraw/zinc/66/15/78/329661578.db2.gz BQCBNFWKRCGHEK-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1ncc[nH]1 ZINC000139083499 329678695 /nfs/dbraw/zinc/67/86/95/329678695.db2.gz BPYIRIPQVGWTNY-QMMMGPOBSA-N 1 2 270.163 3.567 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CSc1ccncc1 ZINC000194551472 329688255 /nfs/dbraw/zinc/68/82/55/329688255.db2.gz OCCCHAWJOVLBIS-UHFFFAOYSA-N 1 2 281.384 3.868 20 0 CHADLO CCc1[nH+]c(C)ccc1OCc1cc(C(C)(C)C)on1 ZINC000418984317 329701172 /nfs/dbraw/zinc/70/11/72/329701172.db2.gz FCYFEAGUADDCPU-UHFFFAOYSA-N 1 2 274.364 3.817 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSCCc1cnn(C)c1 ZINC000419419270 329726531 /nfs/dbraw/zinc/72/65/31/329726531.db2.gz IXCMAXALTLGJHH-UHFFFAOYSA-N 1 2 289.448 3.723 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSCc1ncccn1 ZINC000419460761 329731697 /nfs/dbraw/zinc/73/16/97/329731697.db2.gz GHGFULYJOXBXTL-UHFFFAOYSA-N 1 2 273.405 3.737 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(-c2cccc(C(C)C)n2)n1 ZINC000420511834 329772982 /nfs/dbraw/zinc/77/29/82/329772982.db2.gz PRXVECKXUPEBLV-UHFFFAOYSA-N 1 2 294.358 3.549 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C[C@@H]2CCC[C@@H](C)C2)n1 ZINC000420513728 329773485 /nfs/dbraw/zinc/77/34/85/329773485.db2.gz WXJIRANKBKZZPX-TZMCWYRMSA-N 1 2 285.391 3.733 20 0 CHADLO Cc1cccc(C)c1Cc1nc(Cc2ccc[nH+]c2C)no1 ZINC000420513572 329773488 /nfs/dbraw/zinc/77/34/88/329773488.db2.gz WNNDDCIHNDSJJC-UHFFFAOYSA-N 1 2 293.370 3.571 20 0 CHADLO Cc1cc(CNC(=O)NCC(C)(C)C(C)(C)C)cc(C)[nH+]1 ZINC000420839871 329786257 /nfs/dbraw/zinc/78/62/57/329786257.db2.gz KQCFIFSTSRRCHW-UHFFFAOYSA-N 1 2 291.439 3.570 20 0 CHADLO CC[C@@H]1C[NH+](Cc2nc3ccccc3s2)C[C@@H](CC)O1 ZINC000420959647 329791481 /nfs/dbraw/zinc/79/14/81/329791481.db2.gz QICHBEXXYYKIML-CHWSQXEVSA-N 1 2 290.432 3.686 20 0 CHADLO CC[C@@H]1C[NH+]([C@@H](C)c2ncc(C(C)(C)C)o2)C[C@@H](CC)O1 ZINC000420961456 329791664 /nfs/dbraw/zinc/79/16/64/329791664.db2.gz SJABXCQJMRQBGD-BFHYXJOUSA-N 1 2 294.439 3.923 20 0 CHADLO CC(C)c1nc(N2C[C@@H](c3ccccc3)O[C@@H](C)C2)cc[nH+]1 ZINC000246209375 329803995 /nfs/dbraw/zinc/80/39/95/329803995.db2.gz WNBBBQBIOXCMIU-HOCLYGCPSA-N 1 2 297.402 3.566 20 0 CHADLO CC[C@@H]([NH2+]Cc1nn(C)c(C)c1Cl)c1ccccc1 ZINC000421329071 329812831 /nfs/dbraw/zinc/81/28/31/329812831.db2.gz UNTIHJRLHCCCGK-CYBMUJFWSA-N 1 2 277.799 3.623 20 0 CHADLO Cc1[nH+]c2ccccn2c1CN(CC(C)C)CC(F)(F)F ZINC000509695429 332879486 /nfs/dbraw/zinc/87/94/86/332879486.db2.gz DSGGDSGQTKUOIM-UHFFFAOYSA-N 1 2 299.340 3.663 20 0 CHADLO Cc1cc(CNC(=O)C[C@@H]2CCC[C@@H](C)C2)cc(C)[nH+]1 ZINC000421577470 329843234 /nfs/dbraw/zinc/84/32/34/329843234.db2.gz OZWYMZYGQGTEBP-IUODEOHRSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(CNC(=O)C[C@H]2CCC[C@H](C)C2)cc(C)[nH+]1 ZINC000421577472 329843264 /nfs/dbraw/zinc/84/32/64/329843264.db2.gz OZWYMZYGQGTEBP-WFASDCNBSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(CNC(=O)[C@]2(C)CC[C@H](C)C2(C)C)cc(C)[nH+]1 ZINC000421580045 329843534 /nfs/dbraw/zinc/84/35/34/329843534.db2.gz MHXXJQDXAUKTON-SGTLLEGYSA-N 1 2 288.435 3.777 20 0 CHADLO Cc1cc(CNC(=O)CCC2CCCCC2)cc(C)[nH+]1 ZINC000421559005 329846301 /nfs/dbraw/zinc/84/63/01/329846301.db2.gz NPYZXQOSXGBNMX-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO O=C(c1ccc2[nH+]ccn2c1)N1CCC[C@@H]1C1CCCCC1 ZINC000068418784 329852431 /nfs/dbraw/zinc/85/24/31/329852431.db2.gz QPMCHPSPOUORMG-MRXNPFEDSA-N 1 2 297.402 3.519 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2ccc(Cl)o2)c1 ZINC000069667387 329854377 /nfs/dbraw/zinc/85/43/77/329854377.db2.gz NHBXILAJWDULLG-UHFFFAOYSA-N 1 2 257.667 3.501 20 0 CHADLO CSc1ccc(C)c(C(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000073800784 329862556 /nfs/dbraw/zinc/86/25/56/329862556.db2.gz BLGXCSPZTKQDDI-UHFFFAOYSA-N 1 2 272.373 3.673 20 0 CHADLO Cc1ccccc1CC(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000074829823 329865515 /nfs/dbraw/zinc/86/55/15/329865515.db2.gz PHVFRCNHFLUDTE-UHFFFAOYSA-N 1 2 291.354 3.566 20 0 CHADLO CCCC[C@@H](COC)Nc1cc(CSCC)cc[nH+]1 ZINC000548194419 330005276 /nfs/dbraw/zinc/00/52/76/330005276.db2.gz XJCHDSPWMOUFPD-AWEZNQCLSA-N 1 2 282.453 3.952 20 0 CHADLO Cc1ncncc1[C@@H](C)[NH2+]Cc1c(Cl)cccc1Cl ZINC000572423318 330070780 /nfs/dbraw/zinc/07/07/80/330070780.db2.gz ZAJYHPOCUGPQNS-SECBINFHSA-N 1 2 296.201 3.943 20 0 CHADLO CC1(C)CC(CC(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)C1 ZINC000572437304 330079554 /nfs/dbraw/zinc/07/95/54/330079554.db2.gz OZHHWCFTTVDIHR-UHFFFAOYSA-N 1 2 283.375 3.842 20 0 CHADLO COc1ccc([C@H]([NH2+]Cc2cscn2)C(C)C)c(F)c1 ZINC000421825882 330083481 /nfs/dbraw/zinc/08/34/81/330083481.db2.gz PUBABBODSWXZPS-OAHLLOKOSA-N 1 2 294.395 3.778 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1ccncc1Cl ZINC000421857565 330089661 /nfs/dbraw/zinc/08/96/61/330089661.db2.gz QAXDIRINFUSXNY-IUCAKERBSA-N 1 2 297.736 3.820 20 0 CHADLO Cc1cccc(N[C@H]2CCc3ccc[nH+]c3CC2)c1 ZINC000421837688 330092193 /nfs/dbraw/zinc/09/21/93/330092193.db2.gz RTJODTVOYMBQBQ-HNNXBMFYSA-N 1 2 252.361 3.750 20 0 CHADLO COc1ccc(C)cc1N[C@H]1CCc2ccc[nH+]c2CC1 ZINC000421845022 330094721 /nfs/dbraw/zinc/09/47/21/330094721.db2.gz VNMLVNLWARIYOL-HNNXBMFYSA-N 1 2 282.387 3.758 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2ccc(Cl)cc2OC)o1 ZINC000421848227 330095603 /nfs/dbraw/zinc/09/56/03/330095603.db2.gz AEGPGPZKVXPGTG-SNVBAGLBSA-N 1 2 294.782 3.750 20 0 CHADLO CCOc1c(Cl)cccc1C[NH2+]Cc1cc(CC)no1 ZINC000572457903 330108501 /nfs/dbraw/zinc/10/85/01/330108501.db2.gz CWTMWHSDOJPUGF-UHFFFAOYSA-N 1 2 294.782 3.579 20 0 CHADLO Cc1cccc(N(C(=O)C[C@H](C)n2cc[nH+]c2)C(C)C)c1 ZINC000574992510 330127388 /nfs/dbraw/zinc/12/73/88/330127388.db2.gz NGRREDDGFSLIGV-HNNXBMFYSA-N 1 2 285.391 3.584 20 0 CHADLO CO[C@@H](C[NH2+][C@H](c1ccccc1)C(F)F)c1ccccc1 ZINC000433043530 330132749 /nfs/dbraw/zinc/13/27/49/330132749.db2.gz JHBSNJNVSGUACH-JKSUJKDBSA-N 1 2 291.341 3.970 20 0 CHADLO FC(F)[C@H](Cc1ccccc1)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423212643 330177891 /nfs/dbraw/zinc/17/78/91/330177891.db2.gz IULIXSLMGYVKPD-INIZCTEOSA-N 1 2 288.341 3.943 20 0 CHADLO c1ccc2c(c1)OCCC[C@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423222089 330179596 /nfs/dbraw/zinc/17/95/96/330179596.db2.gz ZPUIEXRXJBYHNM-QGZVFWFLSA-N 1 2 280.371 3.980 20 0 CHADLO Fc1ccc2c(c1)[C@H](Nc1ccc3c(c1)CC[NH2+]3)CC2 ZINC000423221984 330179866 /nfs/dbraw/zinc/17/98/66/330179866.db2.gz ZAIRZJZQRXSRQX-QGZVFWFLSA-N 1 2 268.335 3.893 20 0 CHADLO FC1(C[N@H+](Cc2ccco2)Cc2cccs2)CC1 ZINC000527218746 330238344 /nfs/dbraw/zinc/23/83/44/330238344.db2.gz JTKAVTYDWNTJAD-UHFFFAOYSA-N 1 2 265.353 3.845 20 0 CHADLO FC1(C[N@@H+](Cc2ccco2)Cc2cccs2)CC1 ZINC000527218746 330238345 /nfs/dbraw/zinc/23/83/45/330238345.db2.gz JTKAVTYDWNTJAD-UHFFFAOYSA-N 1 2 265.353 3.845 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1ccc2c(c1)OCC2(C)C ZINC000527746413 330280465 /nfs/dbraw/zinc/28/04/65/330280465.db2.gz SVQXIQCQTGYNHD-UHFFFAOYSA-N 1 2 285.391 3.746 20 0 CHADLO CC(C)([NH2+]Cc1ccco1)c1nc2ccccc2s1 ZINC000527749327 330280882 /nfs/dbraw/zinc/28/08/82/330280882.db2.gz ZCYFYAZWYAKONZ-UHFFFAOYSA-N 1 2 272.373 3.914 20 0 CHADLO Cc1[nH]c(CNc2cccc(C3CCOCC3)c2)[nH+]c1C ZINC000527799484 330284159 /nfs/dbraw/zinc/28/41/59/330284159.db2.gz WXCYEDJEUFTELM-UHFFFAOYSA-N 1 2 285.391 3.533 20 0 CHADLO CCc1nc(CN(C)c2[nH+]ccc3ccccc32)cs1 ZINC000527863299 330285403 /nfs/dbraw/zinc/28/54/03/330285403.db2.gz QVCXCQGHDURIMQ-UHFFFAOYSA-N 1 2 283.400 3.890 20 0 CHADLO CC[C@H]([NH2+]Cc1cn[nH]c1C)c1cc(C)ccc1C ZINC000527823007 330286366 /nfs/dbraw/zinc/28/63/66/330286366.db2.gz QHOBRRUSDKDZKR-INIZCTEOSA-N 1 2 257.381 3.576 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@@H]2C[C@@H]2C)o1)c1ncccc1F ZINC000527826914 330286751 /nfs/dbraw/zinc/28/67/51/330286751.db2.gz XARYPZZAEXDDKS-GMXVVIOVSA-N 1 2 274.339 3.788 20 0 CHADLO C[C@H]([NH2+]Cc1ccsc1Cl)c1ncccc1F ZINC000527828794 330286871 /nfs/dbraw/zinc/28/68/71/330286871.db2.gz XHKASHNVXWPCIK-QMMMGPOBSA-N 1 2 270.760 3.786 20 0 CHADLO CC(C)n1cncc1C[NH2+]Cc1nc(C(C)(C)C)cs1 ZINC000424143495 330287845 /nfs/dbraw/zinc/28/78/45/330287845.db2.gz JXHAJKPOIVZWLZ-UHFFFAOYSA-N 1 2 292.452 3.508 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccccc1OCC1CC1 ZINC000424149275 330295867 /nfs/dbraw/zinc/29/58/67/330295867.db2.gz WETLRNJVBPESPY-UHFFFAOYSA-N 1 2 285.391 3.865 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CC[C@@H]2CC=CCC2)C2CCCCC2)n1 ZINC000527983922 330298546 /nfs/dbraw/zinc/29/85/46/330298546.db2.gz XMQCXWKOTOXJAF-ZBFHGGJFSA-N 1 2 288.439 3.762 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cccc(C2CCOCC2)c1 ZINC000527995183 330299510 /nfs/dbraw/zinc/29/95/10/330299510.db2.gz MPOLMLQSKPZXGF-AWEZNQCLSA-N 1 2 299.418 3.970 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCOCC2(CCCC2)C1 ZINC000527962971 330300714 /nfs/dbraw/zinc/30/07/14/330300714.db2.gz AONXSENJTZOWKK-UHFFFAOYSA-N 1 2 282.387 3.632 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@H](OCC2CC2)C1 ZINC000527965297 330301068 /nfs/dbraw/zinc/30/10/68/330301068.db2.gz FUSYXZAASKRWFF-INIZCTEOSA-N 1 2 282.387 3.630 20 0 CHADLO COCC[C@H]1CCCCN(c2[nH+]ccc3ccccc32)C1 ZINC000527966620 330301268 /nfs/dbraw/zinc/30/12/68/330301268.db2.gz NVGGREOJTWEJLR-OAHLLOKOSA-N 1 2 284.403 3.878 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3ccccc32)CC2(CCCC2)O1 ZINC000528044847 330306068 /nfs/dbraw/zinc/30/60/68/330306068.db2.gz VUWVACFTRSWKBY-AWEZNQCLSA-N 1 2 282.387 3.773 20 0 CHADLO Cc1ccc(Cc2noc([C@@H]3C[C@H]3c3ccsc3)n2)c[nH+]1 ZINC000528111043 330308375 /nfs/dbraw/zinc/30/83/75/330308375.db2.gz AVGFOUCLFMOOLH-UONOGXRCSA-N 1 2 297.383 3.696 20 0 CHADLO Cc1c(F)c(F)ccc1NCc1c[nH+]cn1C(C)C ZINC000424173857 330313725 /nfs/dbraw/zinc/31/37/25/330313725.db2.gz OSYBIYMZINHNQN-UHFFFAOYSA-N 1 2 265.307 3.663 20 0 CHADLO CCN(c1noc([C@H]2CCC[N@@H+]2C2CC2)n1)c1ccccc1 ZINC000528219842 330315633 /nfs/dbraw/zinc/31/56/33/330315633.db2.gz DKVVYVDZXUOTAH-OAHLLOKOSA-N 1 2 298.390 3.527 20 0 CHADLO CCN(c1noc([C@H]2CCC[N@H+]2C2CC2)n1)c1ccccc1 ZINC000528219842 330315634 /nfs/dbraw/zinc/31/56/34/330315634.db2.gz DKVVYVDZXUOTAH-OAHLLOKOSA-N 1 2 298.390 3.527 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1ncccc1Cl ZINC000528354486 330321597 /nfs/dbraw/zinc/32/15/97/330321597.db2.gz LFMZZNGBMIKRDL-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1ncccc1Cl ZINC000528354486 330321598 /nfs/dbraw/zinc/32/15/98/330321598.db2.gz LFMZZNGBMIKRDL-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCCc1csc(C[NH2+][C@H]2C[C@]2(F)c2ccccc2)n1 ZINC000424201392 330326930 /nfs/dbraw/zinc/32/69/30/330326930.db2.gz RWKROFWKYHWWJP-HOCLYGCPSA-N 1 2 290.407 3.823 20 0 CHADLO F[C@@]1(c2ccccc2)C[C@@H]1[NH2+]Cc1ncc(Cl)s1 ZINC000424203012 330327088 /nfs/dbraw/zinc/32/70/88/330327088.db2.gz UEPKNSYBJIREPD-GXFFZTMASA-N 1 2 282.771 3.523 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2nc(C)cs2)c(Cl)c1 ZINC000424264236 330332831 /nfs/dbraw/zinc/33/28/31/330332831.db2.gz SYKWAOUFGPNCOP-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2ccon2)c(Cl)c1 ZINC000424287578 330334243 /nfs/dbraw/zinc/33/42/43/330334243.db2.gz XUMCKYKZBUYGJB-UWVGGRQHSA-N 1 2 280.755 3.748 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(F)(F)F)C1CCC(F)(F)CC1 ZINC000424316388 330339394 /nfs/dbraw/zinc/33/93/94/330339394.db2.gz FNGNXCOHLWMJAS-SFYZADRCSA-N 1 2 259.262 3.741 20 0 CHADLO C[C@@H]([NH2+]C1CCC(OC2CCCC2)CC1)C(F)(F)F ZINC000424323398 330340566 /nfs/dbraw/zinc/34/05/66/330340566.db2.gz MBIVJMIMSWVRSX-XSRFYTQQSA-N 1 2 279.346 3.797 20 0 CHADLO COc1ccnc(C[N@H+](C)[C@H](C)c2ccccc2Cl)c1 ZINC000106933624 330345791 /nfs/dbraw/zinc/34/57/91/330345791.db2.gz GYKBYBKNQZLTFV-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccnc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)c1 ZINC000106933624 330345792 /nfs/dbraw/zinc/34/57/92/330345792.db2.gz GYKBYBKNQZLTFV-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cc[nH+]c(CN(C)[C@H](C)c2ccccc2Cl)c1 ZINC000106933624 330345793 /nfs/dbraw/zinc/34/57/93/330345793.db2.gz GYKBYBKNQZLTFV-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)CCOc1ccc(C(C)C)cc1 ZINC000107285166 330348731 /nfs/dbraw/zinc/34/87/31/330348731.db2.gz KVZHMCVONXFYQP-UHFFFAOYSA-N 1 2 298.386 3.921 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+](C)Cc2cccc(C)c2)n1 ZINC000533243203 330354962 /nfs/dbraw/zinc/35/49/62/330354962.db2.gz KGTAOYKDZILGFQ-CQSZACIVSA-N 1 2 287.407 3.914 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+](C)Cc2cccc(C)c2)n1 ZINC000533243203 330354963 /nfs/dbraw/zinc/35/49/63/330354963.db2.gz KGTAOYKDZILGFQ-CQSZACIVSA-N 1 2 287.407 3.914 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@@H+]1CCCOCC1 ZINC000533260688 330355699 /nfs/dbraw/zinc/35/56/99/330355699.db2.gz FSTJUZSWECJYME-JTQLQIEISA-N 1 2 274.191 3.777 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@H+]1CCCOCC1 ZINC000533260688 330355700 /nfs/dbraw/zinc/35/57/00/330355700.db2.gz FSTJUZSWECJYME-JTQLQIEISA-N 1 2 274.191 3.777 20 0 CHADLO CC(C)c1cc(N(C)C[C@@H]2CCCOC2)nc(C(C)C)[nH+]1 ZINC000119061556 330369505 /nfs/dbraw/zinc/36/95/05/330369505.db2.gz VPHYILHXHJDZON-AWEZNQCLSA-N 1 2 291.439 3.586 20 0 CHADLO CC[C@@H](Oc1ccccc1C)C(=O)Nc1ccc(C)[nH+]c1C ZINC000119077893 330370056 /nfs/dbraw/zinc/37/00/56/330370056.db2.gz QWDIBGOCMOWIFI-MRXNPFEDSA-N 1 2 298.386 3.803 20 0 CHADLO Cc1ccc(NC(=O)c2csc(-c3ccoc3)n2)c(C)[nH+]1 ZINC000119135074 330371249 /nfs/dbraw/zinc/37/12/49/330371249.db2.gz ZKZIDZDPBGJBHB-UHFFFAOYSA-N 1 2 299.355 3.667 20 0 CHADLO CCOc1cc(C(=O)Nc2ccc(C)[nH+]c2C)ccc1C ZINC000119311452 330372816 /nfs/dbraw/zinc/37/28/16/330372816.db2.gz OGHQFXONUAPUCK-UHFFFAOYSA-N 1 2 284.359 3.658 20 0 CHADLO CC(C)(C)C[C@@H]1CCC[N@@H+]1Cc1nnsc1Cl ZINC000057863440 330379032 /nfs/dbraw/zinc/37/90/32/330379032.db2.gz HLBNBYIEBFVFOS-VIFPVBQESA-N 1 2 273.833 3.592 20 0 CHADLO CC(C)(C)C[C@@H]1CCC[N@H+]1Cc1nnsc1Cl ZINC000057863440 330379033 /nfs/dbraw/zinc/37/90/33/330379033.db2.gz HLBNBYIEBFVFOS-VIFPVBQESA-N 1 2 273.833 3.592 20 0 CHADLO CC(C)(C)c1[nH]c2ccc(NC(=O)[C@]3(C)C[C@@H]3F)cc2[nH+]1 ZINC000424567113 330379328 /nfs/dbraw/zinc/37/93/28/330379328.db2.gz QLIMKHIKZIBALY-BLLLJJGKSA-N 1 2 289.354 3.547 20 0 CHADLO Cc1cc(NC(=O)N[C@H](C)[C@@H](C)c2ccccc2)cc[nH+]1 ZINC000120182852 330386242 /nfs/dbraw/zinc/38/62/42/330386242.db2.gz KVSUZTWSFDSOCV-ZIAGYGMSSA-N 1 2 283.375 3.704 20 0 CHADLO c1coc([C@@H]([NH2+]Cc2ccoc2)c2ccccc2)c1 ZINC000062103472 330387165 /nfs/dbraw/zinc/38/71/65/330387165.db2.gz MRMTWMRRDQDNQC-INIZCTEOSA-N 1 2 253.301 3.752 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1cccc2ccccc21 ZINC000537039968 330393591 /nfs/dbraw/zinc/39/35/91/330393591.db2.gz XYHWASFTVVIFAT-LBPRGKRZSA-N 1 2 293.370 3.951 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000121040490 330395409 /nfs/dbraw/zinc/39/54/09/330395409.db2.gz YSRJFXLDUZLRJL-ZBEGNZNMSA-N 1 2 293.313 3.692 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000121040490 330395410 /nfs/dbraw/zinc/39/54/10/330395410.db2.gz YSRJFXLDUZLRJL-ZBEGNZNMSA-N 1 2 293.313 3.692 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)NC[C@@H](c1ccccc1)C(C)C ZINC000121105204 330396233 /nfs/dbraw/zinc/39/62/33/330396233.db2.gz KEAMAXUIKIHTEC-MRXNPFEDSA-N 1 2 297.402 3.951 20 0 CHADLO CCOC(=O)[C@H](CC)[NH2+][C@@H](C)c1ccc(SCC)cc1 ZINC000537102996 330399551 /nfs/dbraw/zinc/39/95/51/330399551.db2.gz DOOXFYSYKKONGR-WFASDCNBSA-N 1 2 295.448 3.791 20 0 CHADLO CO[C@H](C)[C@@H](C)Nc1cccc([NH+]2CCCCCC2)c1 ZINC000537536379 330440596 /nfs/dbraw/zinc/44/05/96/330440596.db2.gz KPQDZZIABXLCTM-HUUCEWRRSA-N 1 2 276.424 3.902 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CCC(C)(C)C1)c1ccc(F)c(C)c1 ZINC000428390264 330522234 /nfs/dbraw/zinc/52/22/34/330522234.db2.gz NZJLZIKSNVQYSB-UKRRQHHQSA-N 1 2 293.382 3.517 20 0 CHADLO CC[C@H](C)CNc1ccc(Cl)c(C[NH+]2CCOCC2)c1 ZINC000430075977 330558668 /nfs/dbraw/zinc/55/86/68/330558668.db2.gz CZAYFEOSYVKXBI-ZDUSSCGKSA-N 1 2 296.842 3.630 20 0 CHADLO Cc1[nH+]cccc1NCCSCc1ccccc1 ZINC000430775611 330573753 /nfs/dbraw/zinc/57/37/53/330573753.db2.gz LWVQPOORTFMWIG-UHFFFAOYSA-N 1 2 258.390 3.735 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2[C@H](C)[C@@H]2c2ccccc2)c(C)[nH+]1 ZINC000430792239 330574378 /nfs/dbraw/zinc/57/43/78/330574378.db2.gz QNYPAEVIEJGLQJ-CSMYWGQOSA-N 1 2 280.371 3.687 20 0 CHADLO CC(C)C1CCC(N(C)C(=O)c2ccc3[nH+]ccn3c2)CC1 ZINC000432546439 330600360 /nfs/dbraw/zinc/60/03/60/330600360.db2.gz NUOWFNJGHXGREY-UHFFFAOYSA-N 1 2 299.418 3.621 20 0 CHADLO CO[C@H](C[NH2+][C@@H](c1ccccc1)C(F)F)c1ccccc1 ZINC000433043527 330614196 /nfs/dbraw/zinc/61/41/96/330614196.db2.gz JHBSNJNVSGUACH-CVEARBPZSA-N 1 2 291.341 3.970 20 0 CHADLO O[C@H](C[NH2+][C@@H](c1ccccc1)C(F)F)CC1CCCC1 ZINC000433047134 330614284 /nfs/dbraw/zinc/61/42/84/330614284.db2.gz JSIOZVZXIANWCQ-GJZGRUSLSA-N 1 2 283.362 3.524 20 0 CHADLO Cc1ncsc1CC[NH2+][C@H](c1ccccc1)C(F)F ZINC000433057130 330615056 /nfs/dbraw/zinc/61/50/56/330615056.db2.gz FZFREHHVEJDANP-CYBMUJFWSA-N 1 2 282.359 3.590 20 0 CHADLO Fc1cc(F)cc([C@H]2C[C@H](Nc3cccc[nH+]3)C2)c1 ZINC000435594590 330658371 /nfs/dbraw/zinc/65/83/71/330658371.db2.gz XJFZNDLFXFUNIT-YEORSEQZSA-N 1 2 260.287 3.718 20 0 CHADLO CCN(Cc1cn2cc(C)ccc2[nH+]1)c1ccccc1OC ZINC000437434928 330699739 /nfs/dbraw/zinc/69/97/39/330699739.db2.gz WVRGBTDEAMYYMU-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO Fc1cccc(CSCc2cn3ccccc3[nH+]2)c1 ZINC000439539971 330740194 /nfs/dbraw/zinc/74/01/94/330740194.db2.gz FXKXOKUIPIXISQ-UHFFFAOYSA-N 1 2 272.348 3.907 20 0 CHADLO CC[C@@H](Nc1nc(C)nc2scc(C)c21)c1[nH]cc[nH+]1 ZINC000439646792 330741538 /nfs/dbraw/zinc/74/15/38/330741538.db2.gz OUQGGADLLYJOIB-SNVBAGLBSA-N 1 2 287.392 3.594 20 0 CHADLO CC[C@@H](Nc1ncnc2cc(Cl)ccc21)c1[nH]cc[nH+]1 ZINC000439644276 330741543 /nfs/dbraw/zinc/74/15/43/330741543.db2.gz KIVCMHCVLNWDCM-LLVKDONJSA-N 1 2 287.754 3.570 20 0 CHADLO CSc1ccccc1[C@H](C)Nc1[nH+]c2ccccc2n1C ZINC000440665980 330753864 /nfs/dbraw/zinc/75/38/64/330753864.db2.gz HYDIFEOVUAILSD-LBPRGKRZSA-N 1 2 297.427 3.890 20 0 CHADLO C[C@]1(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC=CCC1 ZINC000448648014 330758478 /nfs/dbraw/zinc/75/84/78/330758478.db2.gz OHXZMBIWWMZLNG-KRWDZBQOSA-N 1 2 281.359 3.557 20 0 CHADLO C[C@@]1(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC=CCC1 ZINC000448648015 330758498 /nfs/dbraw/zinc/75/84/98/330758498.db2.gz OHXZMBIWWMZLNG-QGZVFWFLSA-N 1 2 281.359 3.557 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccc(Cl)cc2F)cs1 ZINC000157303805 330772965 /nfs/dbraw/zinc/77/29/65/330772965.db2.gz RYANLCYHPPHKPH-UHFFFAOYSA-N 1 2 270.760 3.534 20 0 CHADLO CC[C@H](NC(=O)[C@@H](C)CCCc1ccccc1)c1[nH]cc[nH+]1 ZINC000442806296 330784928 /nfs/dbraw/zinc/78/49/28/330784928.db2.gz BQHUPCPQXLRTQX-HOCLYGCPSA-N 1 2 299.418 3.636 20 0 CHADLO CCN(Cc1cccs1)c1[nH+]c2cccc(F)c2n1C ZINC000443414900 330799118 /nfs/dbraw/zinc/79/91/18/330799118.db2.gz JRMNCWCOQCJPKG-UHFFFAOYSA-N 1 2 289.379 3.800 20 0 CHADLO Cc1c2ccccc2oc1CNc1cc[nH+]c2ccncc12 ZINC000444073997 330809983 /nfs/dbraw/zinc/80/99/83/330809983.db2.gz RLONVTDBUVILFJ-UHFFFAOYSA-N 1 2 289.338 3.718 20 0 CHADLO Fc1cc2[nH+]cn(CCc3ccccc3)c2cc1F ZINC000158135198 330823339 /nfs/dbraw/zinc/82/33/39/330823339.db2.gz IGHHAKSIGKHVFE-UHFFFAOYSA-N 1 2 258.271 3.557 20 0 CHADLO Oc1ccccc1SCc1c[nH+]cn1Cc1ccccc1 ZINC000445605058 330832686 /nfs/dbraw/zinc/83/26/86/330832686.db2.gz QJSHYPMZGBTNKW-UHFFFAOYSA-N 1 2 296.395 3.929 20 0 CHADLO CCO[C@H](CC(=O)Nc1ccc(C)[nH+]c1C)C1=CCCC1 ZINC000446419962 330848613 /nfs/dbraw/zinc/84/86/13/330848613.db2.gz FSCXMCBHOVXYAU-MRXNPFEDSA-N 1 2 288.391 3.542 20 0 CHADLO Cc1ccc(NC(=O)NCCCC2CCCC2)c(C)[nH+]1 ZINC000447169706 330862463 /nfs/dbraw/zinc/86/24/63/330862463.db2.gz WZYBXOATGQYOHF-UHFFFAOYSA-N 1 2 275.396 3.790 20 0 CHADLO Cc1cc(C)c(CNC(=O)Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC000447174838 330862617 /nfs/dbraw/zinc/86/26/17/330862617.db2.gz KBFPIPNXXCCRQZ-UHFFFAOYSA-N 1 2 297.402 3.945 20 0 CHADLO C[C@@H](O)C1CC[NH+](Cc2cc(Cl)sc2Cl)CC1 ZINC000449019646 330892253 /nfs/dbraw/zinc/89/22/53/330892253.db2.gz SEDGDYOVRDFLHZ-MRVPVSSYSA-N 1 2 294.247 3.648 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccnn2C2CCCC2)s1 ZINC000449172724 330903404 /nfs/dbraw/zinc/90/34/04/330903404.db2.gz CYQVJSXWANIXFJ-GFCCVEGCSA-N 1 2 290.436 3.614 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ccc(C)cc2OC(C)C)s1 ZINC000449184884 330904609 /nfs/dbraw/zinc/90/46/09/330904609.db2.gz AMHJUOIEKNSFND-UHFFFAOYSA-N 1 2 290.432 3.837 20 0 CHADLO C[C@@H](CC(C)(C)C)Nc1cc(CSCCO)cc[nH+]1 ZINC000449208653 330906277 /nfs/dbraw/zinc/90/62/77/330906277.db2.gz SRNUJFTXONZDGG-LBPRGKRZSA-N 1 2 282.453 3.544 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C)c2ncccc2c1)C(F)F ZINC000449365548 330919083 /nfs/dbraw/zinc/91/90/83/330919083.db2.gz WIKFHRQHQCXOFW-ZDUSSCGKSA-N 1 2 264.319 3.677 20 0 CHADLO CCCOc1ccc(C[NH2+][C@H](C)C(C)(F)F)cc1OC ZINC000449413150 330923532 /nfs/dbraw/zinc/92/35/32/330923532.db2.gz HYJOMGQILRLSPH-LLVKDONJSA-N 1 2 287.350 3.617 20 0 CHADLO C[C@H]([NH2+]C/C=C\c1ccc(F)cc1F)C(C)(F)F ZINC000449412070 330923619 /nfs/dbraw/zinc/92/36/19/330923619.db2.gz DPFXIWBEXJINQJ-TYRPZCRBSA-N 1 2 261.262 3.611 20 0 CHADLO Cc1cc2[nH]c(C[NH2+][C@H](C)C(C)(F)F)cc2c(C)c1 ZINC000449414752 330923784 /nfs/dbraw/zinc/92/37/84/330923784.db2.gz MWUURILQYMVIOJ-LLVKDONJSA-N 1 2 266.335 3.918 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(OCC2CC2)cc1)C(C)(F)F ZINC000449417770 330924119 /nfs/dbraw/zinc/92/41/19/330924119.db2.gz ZLPSERSUPDWFJK-LLVKDONJSA-N 1 2 269.335 3.609 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2cocn2)C2CC2)cc1Cl ZINC000449454554 330927078 /nfs/dbraw/zinc/92/70/78/330927078.db2.gz ZSSSRBOWFRHSLT-AWEZNQCLSA-N 1 2 280.730 3.708 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+][C@H](C)c1nccn1CC ZINC000449563409 330937160 /nfs/dbraw/zinc/93/71/60/330937160.db2.gz UUXVINPBBSRDMJ-GFCCVEGCSA-N 1 2 291.826 3.970 20 0 CHADLO CCn1cc[nH+]c1CN1CCC[C@@H]1c1ccc(F)cc1F ZINC000449730503 330946049 /nfs/dbraw/zinc/94/60/49/330946049.db2.gz FBKLAFQSUUGNHK-OAHLLOKOSA-N 1 2 291.345 3.518 20 0 CHADLO F[C@@H]1CCN(c2cc[nH+]c3c(Br)cccc23)C1 ZINC000450603945 330988835 /nfs/dbraw/zinc/98/88/35/330988835.db2.gz VIFFCRADMBHVFT-SECBINFHSA-N 1 2 295.155 3.546 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+][C@@H](C)c2csnn2)c1C ZINC000450673852 330992652 /nfs/dbraw/zinc/99/26/52/330992652.db2.gz QWNLUPYYTDAAIL-NEPJUHHUSA-N 1 2 261.394 3.567 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nnc(C2CC2)s1 ZINC000450841970 331002268 /nfs/dbraw/zinc/00/22/68/331002268.db2.gz AGOHJVYDWVNLQP-JLHYYAGUSA-N 1 2 299.443 3.951 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nnc(C2CC2)s1 ZINC000450841970 331002269 /nfs/dbraw/zinc/00/22/69/331002269.db2.gz AGOHJVYDWVNLQP-JLHYYAGUSA-N 1 2 299.443 3.951 20 0 CHADLO CCn1cc([C@@H](C)Nc2[nH+]ccc3c(OC)cccc32)cn1 ZINC000450880084 331004396 /nfs/dbraw/zinc/00/43/96/331004396.db2.gz WCPTWLOVFNYLLJ-GFCCVEGCSA-N 1 2 296.374 3.633 20 0 CHADLO CCCc1nc(C)c(Cn2c[nH+]c3cc(C)c(C)cc32)o1 ZINC000450913684 331006907 /nfs/dbraw/zinc/00/69/07/331006907.db2.gz KPCGCWSBVMPMIJ-UHFFFAOYSA-N 1 2 283.375 3.950 20 0 CHADLO Cc1oncc1C[N@@H+]1CCC[C@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451019382 331011961 /nfs/dbraw/zinc/01/19/61/331011961.db2.gz UXDSJKLWQRRUKP-INIZCTEOSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1oncc1C[N@H+]1CCC[C@H]1c1nc2cc(C)ccc2[nH]1 ZINC000451019382 331011962 /nfs/dbraw/zinc/01/19/62/331011962.db2.gz UXDSJKLWQRRUKP-INIZCTEOSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1oncc1C[N@@H+]1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451019382 331011963 /nfs/dbraw/zinc/01/19/63/331011963.db2.gz UXDSJKLWQRRUKP-INIZCTEOSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1oncc1C[N@H+]1CCC[C@H]1c1nc2ccc(C)cc2[nH]1 ZINC000451019382 331011964 /nfs/dbraw/zinc/01/19/64/331011964.db2.gz UXDSJKLWQRRUKP-INIZCTEOSA-N 1 2 296.374 3.505 20 0 CHADLO Cc1ccnc(C[NH2+][C@H](C)c2cccc(C3CC3)c2)n1 ZINC000451119099 331016354 /nfs/dbraw/zinc/01/63/54/331016354.db2.gz YZBKOBLTGLMXGP-CYBMUJFWSA-N 1 2 267.376 3.513 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000451158130 331017976 /nfs/dbraw/zinc/01/79/76/331017976.db2.gz JNYYHRLCIQHIIL-NSHDSACASA-N 1 2 290.769 3.993 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000451158130 331017977 /nfs/dbraw/zinc/01/79/77/331017977.db2.gz JNYYHRLCIQHIIL-NSHDSACASA-N 1 2 290.769 3.993 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000451877308 331045842 /nfs/dbraw/zinc/04/58/42/331045842.db2.gz IFSCVWZURPWVPW-IUODEOHRSA-N 1 2 275.371 3.838 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000451877308 331045843 /nfs/dbraw/zinc/04/58/43/331045843.db2.gz IFSCVWZURPWVPW-IUODEOHRSA-N 1 2 275.371 3.838 20 0 CHADLO c1ccc2[nH]c([C@@H]3CCCC[N@H+]3Cc3ccncc3)nc2c1 ZINC000451877729 331045885 /nfs/dbraw/zinc/04/58/85/331045885.db2.gz JXVGPRVMNKGYRX-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO c1ccc2[nH]c([C@@H]3CCCC[N@@H+]3Cc3ccncc3)nc2c1 ZINC000451877729 331045886 /nfs/dbraw/zinc/04/58/86/331045886.db2.gz JXVGPRVMNKGYRX-KRWDZBQOSA-N 1 2 292.386 3.685 20 0 CHADLO Cc1oncc1C[N@@H+]1CCCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000451878783 331045979 /nfs/dbraw/zinc/04/59/79/331045979.db2.gz OHARKIJBWMTNBE-MRXNPFEDSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1oncc1C[N@H+]1CCCC[C@@H]1c1nc2ccccc2[nH]1 ZINC000451878783 331045980 /nfs/dbraw/zinc/04/59/80/331045980.db2.gz OHARKIJBWMTNBE-MRXNPFEDSA-N 1 2 296.374 3.587 20 0 CHADLO CC(C)(C)c1ccccc1C(=O)Nc1c[nH+]c2n1CCCC2 ZINC000452331062 331062609 /nfs/dbraw/zinc/06/26/09/331062609.db2.gz BZURZMKFYOYQPI-UHFFFAOYSA-N 1 2 297.402 3.769 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000452542429 331068003 /nfs/dbraw/zinc/06/80/03/331068003.db2.gz NRCGLHPQCNETHO-CQSZACIVSA-N 1 2 299.418 3.596 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000452555099 331068573 /nfs/dbraw/zinc/06/85/73/331068573.db2.gz YFERLKGPZKBLDB-HNNXBMFYSA-N 1 2 297.402 3.737 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H](C)c1cc(F)ccc1F ZINC000453074894 331086807 /nfs/dbraw/zinc/08/68/07/331086807.db2.gz OUGRKGXWKCMTTJ-QWRGUYRKSA-N 1 2 279.334 3.593 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H](C)c1cc(F)ccc1F ZINC000453074890 331086809 /nfs/dbraw/zinc/08/68/09/331086809.db2.gz OUGRKGXWKCMTTJ-GHMZBOCLSA-N 1 2 279.334 3.593 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@@H](C)c1cc(C)oc1C ZINC000453082358 331087373 /nfs/dbraw/zinc/08/73/73/331087373.db2.gz HALOIEHKEQLAAU-NWDGAFQWSA-N 1 2 261.369 3.525 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H](C)c1cc(C)oc1C ZINC000453082361 331087392 /nfs/dbraw/zinc/08/73/92/331087392.db2.gz HALOIEHKEQLAAU-VXGBXAGGSA-N 1 2 261.369 3.525 20 0 CHADLO Cc1ccc(CNc2ccccc2OCCF)c(C)[nH+]1 ZINC000453141779 331093453 /nfs/dbraw/zinc/09/34/53/331093453.db2.gz OGJLPRUZGQCEJX-UHFFFAOYSA-N 1 2 274.339 3.659 20 0 CHADLO CCOCc1ccc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cc1 ZINC000453162246 331095764 /nfs/dbraw/zinc/09/57/64/331095764.db2.gz GGQBBIOUUXTSTI-IUODEOHRSA-N 1 2 271.364 3.538 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@@H](C)n2ccnc21)c1cc(F)c(F)c(F)c1 ZINC000453164201 331096014 /nfs/dbraw/zinc/09/60/14/331096014.db2.gz UDVZDDLKUFKOOC-ZDMBXUJBSA-N 1 2 295.308 3.657 20 0 CHADLO CO[C@H](C)c1cccc(N[C@H]2C[C@H](C)n3cc[nH+]c32)c1 ZINC000453183890 331098326 /nfs/dbraw/zinc/09/83/26/331098326.db2.gz HRYPGYGTPBEXBM-ZOWXZIJZSA-N 1 2 271.364 3.708 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)N[C@@H](CC(C)(C)C)C(F)(F)F ZINC000453193128 331099776 /nfs/dbraw/zinc/09/97/76/331099776.db2.gz DRVVVDLZAMHVBN-QWRGUYRKSA-N 1 2 291.361 3.921 20 0 CHADLO CC[C@H](C)n1ncc(N[C@H](C)c2[nH+]ccn2CC)c1C ZINC000453200250 331100676 /nfs/dbraw/zinc/10/06/76/331100676.db2.gz ALPOUOXZEDHRIA-NWDGAFQWSA-N 1 2 275.400 3.552 20 0 CHADLO C[C@H]1C[C@H](Nc2ccc3scnc3c2)c2[nH+]ccn21 ZINC000453209431 331101550 /nfs/dbraw/zinc/10/15/50/331101550.db2.gz LIGBXFJJLKCAAJ-CABZTGNLSA-N 1 2 270.361 3.611 20 0 CHADLO CCc1cc(N[C@@H](C)c2[nH+]ccn2CC)ccc1F ZINC000453211746 331101810 /nfs/dbraw/zinc/10/18/10/331101810.db2.gz NVUPRVZZKOLCCD-NSHDSACASA-N 1 2 261.344 3.778 20 0 CHADLO CCc1cc(C)c(-c2nc(CCc3[nH+]cccc3C)no2)o1 ZINC000453216403 331102594 /nfs/dbraw/zinc/10/25/94/331102594.db2.gz DVSILWMTBOKXNI-UHFFFAOYSA-N 1 2 297.358 3.689 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(-c2ccoc2C2CC2)n1 ZINC000453230692 331104452 /nfs/dbraw/zinc/10/44/52/331104452.db2.gz SPWVKBCCFUIKBX-UHFFFAOYSA-N 1 2 295.342 3.696 20 0 CHADLO CC[C@@H]([NH2+][C@@H](CC)c1ccc2c(c1)OCCO2)C(F)F ZINC000453231945 331104626 /nfs/dbraw/zinc/10/46/26/331104626.db2.gz FTOKTSWIMSMQSX-NWDGAFQWSA-N 1 2 285.334 3.542 20 0 CHADLO CC[C@@H]1CCCC[C@H]1C[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000453277869 331110175 /nfs/dbraw/zinc/11/01/75/331110175.db2.gz CESQBVJADQZQRB-PVAVHDDUSA-N 1 2 298.434 3.700 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1cnn(C2CCCC2)c1 ZINC000453281763 331110478 /nfs/dbraw/zinc/11/04/78/331110478.db2.gz HRPNDPNBFOFVIR-GHMZBOCLSA-N 1 2 271.355 3.693 20 0 CHADLO CCOc1ccc(Cl)c(N[C@@H](C)c2[nH+]ccn2C)c1 ZINC000453282255 331110727 /nfs/dbraw/zinc/11/07/27/331110727.db2.gz LWAWHMWUUYHIGN-JTQLQIEISA-N 1 2 279.771 3.645 20 0 CHADLO Cc1cc(Cl)c(N[C@H](C)c2[nH+]ccn2C)cc1C ZINC000453289908 331111650 /nfs/dbraw/zinc/11/16/50/331111650.db2.gz MRLWWZDASYXODD-LLVKDONJSA-N 1 2 263.772 3.863 20 0 CHADLO CCCn1ncc(N[C@@H](C)c2[nH+]ccn2CC)c1C1CC1 ZINC000453300726 331113082 /nfs/dbraw/zinc/11/30/82/331113082.db2.gz WKJUHJRQPFJURU-LBPRGKRZSA-N 1 2 287.411 3.560 20 0 CHADLO CC(C)CC[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccoc1 ZINC000453318574 331116017 /nfs/dbraw/zinc/11/60/17/331116017.db2.gz MOYPLMZAGGIPHO-CQSZACIVSA-N 1 2 295.814 3.934 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(C2CC2)o1)c1cc(F)ccc1F ZINC000453359517 331122152 /nfs/dbraw/zinc/12/21/52/331122152.db2.gz OWEGBUGIIDMCER-SECBINFHSA-N 1 2 278.302 3.681 20 0 CHADLO CCCC[C@@H](CCC)NC(=O)N[C@@H](C)c1[nH+]ccn1CC ZINC000454616234 331149877 /nfs/dbraw/zinc/14/98/77/331149877.db2.gz LVNLUTYFYIJXKS-UONOGXRCSA-N 1 2 294.443 3.622 20 0 CHADLO CCC1(NC(=O)Nc2ccc(C)[nH+]c2C)CCCC1 ZINC000455046215 331159569 /nfs/dbraw/zinc/15/95/69/331159569.db2.gz NUHYATVVWRWXCV-UHFFFAOYSA-N 1 2 261.369 3.543 20 0 CHADLO CC[C@]1(C(C)C)C[C@@H]1NC(=O)Nc1c(C)cc[nH+]c1C ZINC000455433080 331169819 /nfs/dbraw/zinc/16/98/19/331169819.db2.gz FJAUEPVPUCIJNL-XJKSGUPXSA-N 1 2 275.396 3.645 20 0 CHADLO CC[C@@H]1CCC[C@H]1NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455484661 331170790 /nfs/dbraw/zinc/17/07/90/331170790.db2.gz JUSOPFLNWCUSMN-ZIAGYGMSSA-N 1 2 275.396 3.707 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCC3(CCC3)C2)c(C)[nH+]1 ZINC000455517790 331171587 /nfs/dbraw/zinc/17/15/87/331171587.db2.gz LFRFNPFMECDIQO-UHFFFAOYSA-N 1 2 287.407 3.805 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CCC23CCCC3)c(C)[nH+]1 ZINC000455524900 331172085 /nfs/dbraw/zinc/17/20/85/331172085.db2.gz OXNFCUTXSXMIQT-CQSZACIVSA-N 1 2 287.407 3.851 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC(C)(C)[C@@H]2C(C)C)c(C)[nH+]1 ZINC000455537330 331172655 /nfs/dbraw/zinc/17/26/55/331172655.db2.gz WIONDTWNHQKKPV-HNNXBMFYSA-N 1 2 289.423 3.905 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)[C@@H](C)CC1CCCCC1 ZINC000456349870 331190457 /nfs/dbraw/zinc/19/04/57/331190457.db2.gz JOHYFKBGNRIKCR-UONOGXRCSA-N 1 2 291.439 3.687 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1cccc2ccsc21 ZINC000456361317 331190977 /nfs/dbraw/zinc/19/09/77/331190977.db2.gz SCWLLBWPILZDOC-LLVKDONJSA-N 1 2 299.399 3.609 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)C[C@@H]2CCCC(C)(C)C2)c2[nH+]ccn21 ZINC000456414584 331192295 /nfs/dbraw/zinc/19/22/95/331192295.db2.gz ISFMTLLJELMLOT-HZSPNIEDSA-N 1 2 289.423 3.612 20 0 CHADLO Cc1cc(C)c(CNC(=O)CC2CCCCCC2)c[nH+]1 ZINC000456835189 331206928 /nfs/dbraw/zinc/20/69/28/331206928.db2.gz ILRXGBXRCBHVED-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO CCCC[C@H](CC)CC(=O)NCc1c[nH+]c(C)cc1C ZINC000456841604 331207247 /nfs/dbraw/zinc/20/72/47/331207247.db2.gz PBPIWDDNKSQBRJ-HNNXBMFYSA-N 1 2 276.424 3.921 20 0 CHADLO CC[C@H](CC(=O)NCc1c[nH+]c(C)cc1C)c1ccccc1 ZINC000456855091 331207512 /nfs/dbraw/zinc/20/75/12/331207512.db2.gz ZGGKCNGAAVVGIE-MRXNPFEDSA-N 1 2 296.414 3.899 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2sccc2C2CC2)c[nH+]1 ZINC000456863106 331207836 /nfs/dbraw/zinc/20/78/36/331207836.db2.gz QKSVPAYNGDMWEE-UHFFFAOYSA-N 1 2 286.400 3.567 20 0 CHADLO CCC[C@@H](NC(=O)c1ccc2[nH+]c(C)n(C)c2c1)C1CCC1 ZINC000458326922 331248838 /nfs/dbraw/zinc/24/88/38/331248838.db2.gz JVUUZRBADHYATA-OAHLLOKOSA-N 1 2 299.418 3.580 20 0 CHADLO CCCCN(CCCC)C(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000459073453 331269204 /nfs/dbraw/zinc/26/92/04/331269204.db2.gz BEKKCNUGXXGYRG-ZIAGYGMSSA-N 1 2 292.427 3.501 20 0 CHADLO c1cn(-c2cccc(NC3CC4(CCC4)C3)c2)c[nH+]1 ZINC000459287706 331274715 /nfs/dbraw/zinc/27/47/15/331274715.db2.gz ZRGHUAVSHQKMNS-UHFFFAOYSA-N 1 2 253.349 3.617 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1cnc(C2CC2)nc1 ZINC000459315009 331275986 /nfs/dbraw/zinc/27/59/86/331275986.db2.gz RGWUIGHPPVPHAL-GFCCVEGCSA-N 1 2 285.366 3.686 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1cnc(C2CC2)nc1 ZINC000459315009 331275987 /nfs/dbraw/zinc/27/59/87/331275987.db2.gz RGWUIGHPPVPHAL-GFCCVEGCSA-N 1 2 285.366 3.686 20 0 CHADLO Cc1csc(C[NH2+]Cc2c(F)cc(C)cc2Cl)n1 ZINC000459374810 331278954 /nfs/dbraw/zinc/27/89/54/331278954.db2.gz YIWFPINLCCAPNC-UHFFFAOYSA-N 1 2 284.787 3.842 20 0 CHADLO C/C(=C/c1ccccc1OC(F)F)C[NH2+]CC(F)F ZINC000459548674 331287312 /nfs/dbraw/zinc/28/73/12/331287312.db2.gz QIKCKXLCRUOPFC-TWGQIWQCSA-N 1 2 277.261 3.546 20 0 CHADLO c1cc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)no1 ZINC000459586643 331289527 /nfs/dbraw/zinc/28/95/27/331289527.db2.gz VQYYTLCEDXXMHC-MRXNPFEDSA-N 1 2 268.360 3.792 20 0 CHADLO c1cc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)no1 ZINC000459586643 331289528 /nfs/dbraw/zinc/28/95/28/331289528.db2.gz VQYYTLCEDXXMHC-MRXNPFEDSA-N 1 2 268.360 3.792 20 0 CHADLO CCC1(CC)C[NH+](Cc2ncc(CSC)s2)C1 ZINC000459597467 331290020 /nfs/dbraw/zinc/29/00/20/331290020.db2.gz PSKXJTMZLMZVQC-UHFFFAOYSA-N 1 2 270.467 3.628 20 0 CHADLO Clc1cc(C[NH+]2CC3(C2)CCCOC3)c(Cl)s1 ZINC000459604028 331290292 /nfs/dbraw/zinc/29/02/92/331290292.db2.gz IYRFRTNAPKZDQD-UHFFFAOYSA-N 1 2 292.231 3.667 20 0 CHADLO CC1(CC(F)(F)F)C[NH+](Cc2ccc(F)c(F)c2)C1 ZINC000459634658 331291320 /nfs/dbraw/zinc/29/13/20/331291320.db2.gz HXZFYSYAMDMFPF-UHFFFAOYSA-N 1 2 279.252 3.739 20 0 CHADLO Cc1ccccc1-c1nc(C[N@@H+](C)C2CC(C)(C)C2)no1 ZINC000459737134 331296946 /nfs/dbraw/zinc/29/69/46/331296946.db2.gz HJHGOTLXJOWUBG-UHFFFAOYSA-N 1 2 285.391 3.665 20 0 CHADLO Cc1ccccc1-c1nc(C[N@H+](C)C2CC(C)(C)C2)no1 ZINC000459737134 331296947 /nfs/dbraw/zinc/29/69/47/331296947.db2.gz HJHGOTLXJOWUBG-UHFFFAOYSA-N 1 2 285.391 3.665 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(Cl)c1Cl)c1ccn(C)n1 ZINC000459745175 331297847 /nfs/dbraw/zinc/29/78/47/331297847.db2.gz IGUAMPZHTSIHQH-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO Fc1cccc2c1C[N@H+](CCc1cccc(F)c1F)C2 ZINC000459769156 331299748 /nfs/dbraw/zinc/29/97/48/331299748.db2.gz ZCOAZSJZKYHZCA-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1cccc2c1C[N@@H+](CCc1cccc(F)c1F)C2 ZINC000459769156 331299749 /nfs/dbraw/zinc/29/97/49/331299749.db2.gz ZCOAZSJZKYHZCA-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccn(C)n1)c1ccc(F)cc1F ZINC000459792697 331301188 /nfs/dbraw/zinc/30/11/88/331301188.db2.gz OIPDGKFAEXFUMB-HZMBPMFUSA-N 1 2 279.334 3.500 20 0 CHADLO C[C@H]([NH2+]c1ccc(OC2CCCC2)cc1)[C@H]1CCOC1 ZINC000165088936 331305729 /nfs/dbraw/zinc/30/57/29/331305729.db2.gz YKMDEJRPYDOUQO-KBPBESRZSA-N 1 2 275.392 3.845 20 0 CHADLO C[C@H](C(=O)Nc1cccc2[nH+]ccn21)c1cccc(Cl)c1 ZINC000460324217 331309116 /nfs/dbraw/zinc/30/91/16/331309116.db2.gz LGJAQAZORCBJNE-NSHDSACASA-N 1 2 299.761 3.730 20 0 CHADLO c1c2ccccc2n2c1C[N@H+](CCCC1CCOCC1)CC2 ZINC000462825318 331331326 /nfs/dbraw/zinc/33/13/26/331331326.db2.gz GMODXDDSMPQLHL-UHFFFAOYSA-N 1 2 298.430 3.664 20 0 CHADLO c1c2ccccc2n2c1C[N@@H+](CCCC1CCOCC1)CC2 ZINC000462825318 331331327 /nfs/dbraw/zinc/33/13/27/331331327.db2.gz GMODXDDSMPQLHL-UHFFFAOYSA-N 1 2 298.430 3.664 20 0 CHADLO c1c2ccccc2n2c1C[N@H+](CCOc1ccccc1)CC2 ZINC000463349519 331346376 /nfs/dbraw/zinc/34/63/76/331346376.db2.gz BXYVQHFGXFAJJG-UHFFFAOYSA-N 1 2 292.382 3.536 20 0 CHADLO c1c2ccccc2n2c1C[N@@H+](CCOc1ccccc1)CC2 ZINC000463349519 331346377 /nfs/dbraw/zinc/34/63/77/331346377.db2.gz BXYVQHFGXFAJJG-UHFFFAOYSA-N 1 2 292.382 3.536 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@@H+]2CC(=O)OC(C)(C)C)o1 ZINC000464829710 331370005 /nfs/dbraw/zinc/37/00/05/331370005.db2.gz JSQSKLUFXJZJNA-OCCSQVGLSA-N 1 2 293.407 3.703 20 0 CHADLO Cc1ccc([C@@H]2C[C@H](C)CC[N@H+]2CC(=O)OC(C)(C)C)o1 ZINC000464829710 331370006 /nfs/dbraw/zinc/37/00/06/331370006.db2.gz JSQSKLUFXJZJNA-OCCSQVGLSA-N 1 2 293.407 3.703 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H](C)c1ccc(Cl)s1 ZINC000465462583 331384179 /nfs/dbraw/zinc/38/41/79/331384179.db2.gz FIVQLTLQFCPPPH-SECBINFHSA-N 1 2 294.807 3.523 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+][C@H]2COc3ccc(F)cc32)o1 ZINC000466471563 331397932 /nfs/dbraw/zinc/39/79/32/331397932.db2.gz SXCTZQQSMCAXRQ-YVLXSGLVSA-N 1 2 287.334 3.765 20 0 CHADLO CCCCc1nc(C[NH+]2CC(C)(C)CC(C)(C)C2)no1 ZINC000466943729 331408851 /nfs/dbraw/zinc/40/88/51/331408851.db2.gz QTGCNPQLLQVRDI-UHFFFAOYSA-N 1 2 279.428 3.670 20 0 CHADLO CCc1[nH]nc(N[C@@H]2CCC[C@H](c3[nH+]cccc3C)C2)c1C ZINC000467336748 331415332 /nfs/dbraw/zinc/41/53/32/331415332.db2.gz YGVFSNXDIPVHCV-LSDHHAIUSA-N 1 2 298.434 3.544 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)CCc1ccc(O)cc1)C(F)(F)F ZINC000470257398 331476740 /nfs/dbraw/zinc/47/67/40/331476740.db2.gz ZJRNFHQPOSODEH-RISCZKNCSA-N 1 2 289.341 3.890 20 0 CHADLO C[C@@H](Cc1ccc(Cl)cc1)[NH2+][C@@H](C)C(=O)OC(C)(C)C ZINC000470326881 331479409 /nfs/dbraw/zinc/47/94/09/331479409.db2.gz GXCLCJNAIJQBME-RYUDHWBXSA-N 1 2 297.826 3.591 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](C)COc2ccccc2)c(C)[nH+]1 ZINC000471162906 331503181 /nfs/dbraw/zinc/50/31/81/331503181.db2.gz YSHPHHWPXMFJOZ-ZDUSSCGKSA-N 1 2 298.386 3.660 20 0 CHADLO CCOC[C@@H]1CCC[C@H]1Nc1c[nH+]c2c(c1)CCCC2 ZINC000474924415 331604160 /nfs/dbraw/zinc/60/41/60/331604160.db2.gz GSVYERMSAODFJA-WMLDXEAASA-N 1 2 274.408 3.578 20 0 CHADLO FC1C[NH+](CCCCc2cccc(C(F)(F)F)c2)C1 ZINC000474932438 331604377 /nfs/dbraw/zinc/60/43/77/331604377.db2.gz OVQNZYWTDPKUOS-UHFFFAOYSA-N 1 2 275.289 3.682 20 0 CHADLO CCC[C@@](C)(CC)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000475537236 331636120 /nfs/dbraw/zinc/63/61/20/331636120.db2.gz MKOCJZNBMZYOTA-MRXNPFEDSA-N 1 2 273.380 3.798 20 0 CHADLO Fc1ccc(CCCCNc2cccc[nH+]2)cc1F ZINC000475723753 331643271 /nfs/dbraw/zinc/64/32/71/331643271.db2.gz PLQYHSURYAYSFL-UHFFFAOYSA-N 1 2 262.303 3.795 20 0 CHADLO CCOC(=O)[C@@H]([NH2+]C(CC)CC)c1cccc(Cl)c1 ZINC000479480734 331732470 /nfs/dbraw/zinc/73/24/70/331732470.db2.gz PGZZUMFTIJQSCW-AWEZNQCLSA-N 1 2 283.799 3.722 20 0 CHADLO CC(C)c1nc(N2CC[C@H](c3ccc(F)cc3)C2)cc[nH+]1 ZINC000480782473 331788055 /nfs/dbraw/zinc/78/80/55/331788055.db2.gz XTBYOEWNUBCYTG-AWEZNQCLSA-N 1 2 285.366 3.733 20 0 CHADLO Fc1cccc([C@@H]2CC[C@H](Nc3cccc[nH+]3)C2)c1 ZINC000480790110 331788590 /nfs/dbraw/zinc/78/85/90/331788590.db2.gz WQEWBKFRFVHJJV-HIFRSBDPSA-N 1 2 256.324 3.969 20 0 CHADLO Clc1sccc1C[NH+]1CCN(c2ccccc2)CC1 ZINC000483149235 331860568 /nfs/dbraw/zinc/86/05/68/331860568.db2.gz NKLYNGXMOUOWNU-UHFFFAOYSA-N 1 2 292.835 3.724 20 0 CHADLO CCOC(=O)[C@H]([NH2+][C@@H](C)C(C)C)c1cccc(C)c1C ZINC000483336474 331870278 /nfs/dbraw/zinc/87/02/78/331870278.db2.gz HPSQOWAPZIPVRN-GOEBONIOSA-N 1 2 277.408 3.542 20 0 CHADLO CC[NH+](CC)[C@@H](C(=O)OC)c1ccc(Cl)c(Cl)c1 ZINC000483541281 331879071 /nfs/dbraw/zinc/87/90/71/331879071.db2.gz SMUYWNWNTXOSKI-GFCCVEGCSA-N 1 2 290.190 3.549 20 0 CHADLO Fc1ccccc1-c1ccc(CNc2cc[nH+]cc2F)o1 ZINC000483828869 331885337 /nfs/dbraw/zinc/88/53/37/331885337.db2.gz BNDLTZDACRQZIH-UHFFFAOYSA-N 1 2 286.281 3.654 20 0 CHADLO FC1(F)CC[C@@H](CNc2ccc3ccccc3[nH+]2)C1 ZINC000485411114 331913785 /nfs/dbraw/zinc/91/37/85/331913785.db2.gz VCWAJBHOEBBANP-LLVKDONJSA-N 1 2 262.303 3.504 20 0 CHADLO Cc1cccn2cc(CSC[C@H]3CCO[C@@H](C)C3)[nH+]c12 ZINC000485573937 331917396 /nfs/dbraw/zinc/91/73/96/331917396.db2.gz NPHWYJXVUCFJJO-KBPBESRZSA-N 1 2 290.432 3.691 20 0 CHADLO COc1cccc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000192752233 533712302 /nfs/dbraw/zinc/71/23/02/533712302.db2.gz WVXQTYRJANKKIT-CQSZACIVSA-N 1 2 297.402 3.864 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)C=CCc1ccc(C(C)C)cc1 ZINC000487509512 331971883 /nfs/dbraw/zinc/97/18/83/331971883.db2.gz NFSZKHPQVHWCDS-SNAWJCMRSA-N 1 2 294.398 3.977 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc3ccc(F)cc3[nH]2)cs1 ZINC000488350540 332001120 /nfs/dbraw/zinc/00/11/20/332001120.db2.gz LTZBELRVYLUPSQ-UHFFFAOYSA-N 1 2 289.379 3.704 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc3ccc(F)cc3[nH]2)cs1 ZINC000488350540 332001122 /nfs/dbraw/zinc/00/11/22/332001122.db2.gz LTZBELRVYLUPSQ-UHFFFAOYSA-N 1 2 289.379 3.704 20 0 CHADLO CC[C@H]1C[N@@H+]([C@H](C)c2ccc(Br)cc2)CCO1 ZINC000488519375 332015206 /nfs/dbraw/zinc/01/52/06/332015206.db2.gz ISKCZAZIOHZCNM-RISCZKNCSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@H]1C[N@H+]([C@H](C)c2ccc(Br)cc2)CCO1 ZINC000488519375 332015208 /nfs/dbraw/zinc/01/52/08/332015208.db2.gz ISKCZAZIOHZCNM-RISCZKNCSA-N 1 2 298.224 3.621 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@@H+]1CCOC(C)(C)C1 ZINC000488594385 332019785 /nfs/dbraw/zinc/01/97/85/332019785.db2.gz KKZMERNLILMRAK-LLVKDONJSA-N 1 2 298.224 3.621 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@H+]1CCOC(C)(C)C1 ZINC000488594385 332019786 /nfs/dbraw/zinc/01/97/86/332019786.db2.gz KKZMERNLILMRAK-LLVKDONJSA-N 1 2 298.224 3.621 20 0 CHADLO CCSc1cccc(CNc2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000488677983 332021503 /nfs/dbraw/zinc/02/15/03/332021503.db2.gz QUAZDOVFQWKFKE-UHFFFAOYSA-N 1 2 299.443 3.808 20 0 CHADLO Cc1ccc(CSc2ccc(C(F)(F)F)nn2)c(C)[nH+]1 ZINC000488784883 332025716 /nfs/dbraw/zinc/02/57/16/332025716.db2.gz RKTMDHHYVZQPGV-UHFFFAOYSA-N 1 2 299.321 3.800 20 0 CHADLO Cc1cccc2c1[C@@H](C)C[C@H]2CC(=O)Nc1cc[nH+]cc1C ZINC000488866920 332030359 /nfs/dbraw/zinc/03/03/59/332030359.db2.gz GRENTSWOIAJBAD-ZFWWWQNUSA-N 1 2 294.398 3.740 20 0 CHADLO C[N@H+](Cc1ncc(Cl)cc1Cl)[C@H]1CC1(C)C ZINC000489488529 332053426 /nfs/dbraw/zinc/05/34/26/332053426.db2.gz AIPLGPAVVUMYAX-NSHDSACASA-N 1 2 259.180 3.619 20 0 CHADLO C[N@@H+](Cc1ncc(Cl)cc1Cl)[C@H]1CC1(C)C ZINC000489488529 332053428 /nfs/dbraw/zinc/05/34/28/332053428.db2.gz AIPLGPAVVUMYAX-NSHDSACASA-N 1 2 259.180 3.619 20 0 CHADLO FC(F)(F)[C@H]([NH2+][C@H]1CCC[C@@H](C2CC2)C1)C1CC1 ZINC000489806158 332068714 /nfs/dbraw/zinc/06/87/14/332068714.db2.gz ZKAGOVFXEYYBMS-FRRDWIJNSA-N 1 2 261.331 3.886 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+][C@H](c1ccc(F)cc1)C1CCC1 ZINC000489992235 332075868 /nfs/dbraw/zinc/07/58/68/332075868.db2.gz YUZVSLAVFJDLGE-INIZCTEOSA-N 1 2 293.382 3.598 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H](CC)CC1CCCC1 ZINC000511888017 332946541 /nfs/dbraw/zinc/94/65/41/332946541.db2.gz OAWLJCILGIKHPB-CQSZACIVSA-N 1 2 274.408 3.611 20 0 CHADLO Cc1nc2ccc(CNc3ccc(C)[nH+]c3)cc2s1 ZINC000491956829 332377119 /nfs/dbraw/zinc/37/71/19/332377119.db2.gz NQQYMGJYSYHWDF-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2ccsc2Cl)o1 ZINC000492192129 332386450 /nfs/dbraw/zinc/38/64/50/332386450.db2.gz DSIKDSNTEHZMHY-UHFFFAOYSA-N 1 2 284.812 3.940 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)/C=C/C1CCC1 ZINC000492222493 332388097 /nfs/dbraw/zinc/38/80/97/332388097.db2.gz PNDNLLVDMOEIGJ-BQYQJAHWSA-N 1 2 274.364 3.554 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCCC[C@H]1c1nc2ccccc2n1C ZINC000575741620 335110883 /nfs/dbraw/zinc/11/08/83/335110883.db2.gz APMWRTJUZXAFLE-CJNGLKHVSA-N 1 2 289.398 3.848 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCCC[C@H]1c1nc2ccccc2n1C ZINC000575741620 335110884 /nfs/dbraw/zinc/11/08/84/335110884.db2.gz APMWRTJUZXAFLE-CJNGLKHVSA-N 1 2 289.398 3.848 20 0 CHADLO CC[C@H](c1ccccc1)N(CC)c1cc(C)[nH+]cn1 ZINC000498958347 332576370 /nfs/dbraw/zinc/57/63/70/332576370.db2.gz MSOJEURBTLOUPU-OAHLLOKOSA-N 1 2 255.365 3.763 20 0 CHADLO Cc1cc(N2CC[C@](C)(F)C2)c2cccc(F)c2[nH+]1 ZINC000499685632 332591510 /nfs/dbraw/zinc/59/15/10/332591510.db2.gz HSNKNXHIMWKLJV-HNNXBMFYSA-N 1 2 262.303 3.621 20 0 CHADLO CCC(C)(C)OCCNc1cc(C(F)(F)F)cc[nH+]1 ZINC000308515250 332677095 /nfs/dbraw/zinc/67/70/95/332677095.db2.gz VOBHYXDAFUXDKF-UHFFFAOYSA-N 1 2 276.302 3.718 20 0 CHADLO C[C@@H]([NH2+]C1(C(F)F)CC1)c1ccc(F)c(Cl)c1 ZINC000503870652 332697357 /nfs/dbraw/zinc/69/73/57/332697357.db2.gz IJEXZTJNSVOWHV-SSDOTTSWSA-N 1 2 263.690 3.927 20 0 CHADLO C[NH+](C)[C@H](c1nc(C(C)(C)C)no1)c1cccc(Cl)c1 ZINC000504253070 332706945 /nfs/dbraw/zinc/70/69/45/332706945.db2.gz LJSAVQLYUKSRBF-LBPRGKRZSA-N 1 2 293.798 3.672 20 0 CHADLO COCc1ccccc1/C=C(/C)C[NH2+]C1(C(F)F)CC1 ZINC000513523912 333023968 /nfs/dbraw/zinc/02/39/68/333023968.db2.gz DMZITWHDAVQCSS-XFXZXTDPSA-N 1 2 281.346 3.624 20 0 CHADLO Cc1cscc1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000176302318 333040912 /nfs/dbraw/zinc/04/09/12/333040912.db2.gz ICDDPMKBALHNBA-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO Cc1cc[nH+]c(NCCc2cccs2)c1Cl ZINC000309836883 333050174 /nfs/dbraw/zinc/05/01/74/333050174.db2.gz BNIIGRYGLRJAJW-UHFFFAOYSA-N 1 2 252.770 3.760 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cncc(Br)c1)C(F)F ZINC000575815192 335118545 /nfs/dbraw/zinc/11/85/45/335118545.db2.gz DDLWKBZFNMJQAY-OIBJUYFYSA-N 1 2 293.155 3.538 20 0 CHADLO CCC[N@H+](CCO[C@@H]1CCCC[C@H]1C)CC(F)F ZINC000514007255 333059628 /nfs/dbraw/zinc/05/96/28/333059628.db2.gz DGKUVIYKARHGTQ-CHWSQXEVSA-N 1 2 263.372 3.559 20 0 CHADLO CCC[N@@H+](CCO[C@@H]1CCCC[C@H]1C)CC(F)F ZINC000514007255 333059630 /nfs/dbraw/zinc/05/96/30/333059630.db2.gz DGKUVIYKARHGTQ-CHWSQXEVSA-N 1 2 263.372 3.559 20 0 CHADLO CCc1ccc(NCc2c[nH+]cn2C(C)C)cc1C ZINC000311379429 333067795 /nfs/dbraw/zinc/06/77/95/333067795.db2.gz JRBIVABWLOXNJF-UHFFFAOYSA-N 1 2 257.381 3.947 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)CCc1c[nH]c2ccccc12 ZINC000336862382 335121905 /nfs/dbraw/zinc/12/19/05/335121905.db2.gz DZYRFEKXYSBOON-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO Cc1cncc([C@H](C)Nc2ccc(N3CCCCC3)c[nH+]2)c1 ZINC000184438309 333128568 /nfs/dbraw/zinc/12/85/68/333128568.db2.gz QSSVUQGMSZLPFF-HNNXBMFYSA-N 1 2 296.418 3.948 20 0 CHADLO CC(C)(C)OCC[N@@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000185589601 333144479 /nfs/dbraw/zinc/14/44/79/333144479.db2.gz WZLNGYSUKHRYKU-OAHLLOKOSA-N 1 2 297.826 3.528 20 0 CHADLO CC(C)(C)OCC[N@H+]1CCO[C@@H](c2cccc(Cl)c2)C1 ZINC000185589601 333144480 /nfs/dbraw/zinc/14/44/80/333144480.db2.gz WZLNGYSUKHRYKU-OAHLLOKOSA-N 1 2 297.826 3.528 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](c3cccc(Cl)c3)C2)o1 ZINC000185645818 333145847 /nfs/dbraw/zinc/14/58/47/333145847.db2.gz LVCIFFLPUTXQOU-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](c3cccc(Cl)c3)C2)o1 ZINC000185645818 333145849 /nfs/dbraw/zinc/14/58/49/333145849.db2.gz LVCIFFLPUTXQOU-MRXNPFEDSA-N 1 2 291.778 3.815 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2sccc2OC)cs1 ZINC000186158523 333156052 /nfs/dbraw/zinc/15/60/52/333156052.db2.gz UIJLAYCIZTZOMK-SECBINFHSA-N 1 2 282.434 3.626 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2cccc(F)c2F)o1 ZINC000220958916 333171384 /nfs/dbraw/zinc/17/13/84/333171384.db2.gz JCCVRJGMAYEPGX-ZJUUUORDSA-N 1 2 266.291 3.673 20 0 CHADLO CCC1(CC)CCCN(C(=O)c2ccc3[nH+]ccn3c2)CC1 ZINC000188869656 333175220 /nfs/dbraw/zinc/17/52/20/333175220.db2.gz YFKXBVKEHULYAG-UHFFFAOYSA-N 1 2 299.418 3.767 20 0 CHADLO CCOc1cc(C)ccc1[NH2+]C[C@@H]1CCSC1 ZINC000224386666 333220166 /nfs/dbraw/zinc/22/01/66/333220166.db2.gz KMVCRIUGKFETEH-LBPRGKRZSA-N 1 2 251.395 3.559 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(Cl)cs1)c1nc(C(C)(C)C)no1 ZINC000193132337 333243270 /nfs/dbraw/zinc/24/32/70/333243270.db2.gz RIXSVEGCHVIKJF-MRVPVSSYSA-N 1 2 299.827 3.933 20 0 CHADLO C[C@@H]1COCC[C@H]1Nc1cccc([NH+]2CCCCCC2)c1 ZINC000195105240 333245427 /nfs/dbraw/zinc/24/54/27/333245427.db2.gz WRPVPJWPCWOBBM-CRAIPNDOSA-N 1 2 288.435 3.904 20 0 CHADLO C[C@@H](c1cc(Cl)ccc1Cl)[N@H+](C)Cc1cnn(C)c1 ZINC000195118009 333245648 /nfs/dbraw/zinc/24/56/48/333245648.db2.gz BVEGKRLMJKYDNC-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1cc(Cl)ccc1Cl)[N@@H+](C)Cc1cnn(C)c1 ZINC000195118009 333245649 /nfs/dbraw/zinc/24/56/49/333245649.db2.gz BVEGKRLMJKYDNC-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO CC(C)c1ccc(CSCc2[nH+]ccn2C)cc1 ZINC000195203510 333247737 /nfs/dbraw/zinc/24/77/37/333247737.db2.gz SWXFDHKDLRDXIP-UHFFFAOYSA-N 1 2 260.406 3.977 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCc3sccc3C2)c1 ZINC000193718741 333256031 /nfs/dbraw/zinc/25/60/31/333256031.db2.gz YHHUMGKOSZPYPI-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCc3sccc3C2)c1 ZINC000193718741 333256033 /nfs/dbraw/zinc/25/60/33/333256033.db2.gz YHHUMGKOSZPYPI-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Cc1ccc(F)cc1CNc1ccc(N2CCCC2)[nH+]c1 ZINC000226434071 333260633 /nfs/dbraw/zinc/26/06/33/333260633.db2.gz SNGQZAMUDMZRGI-UHFFFAOYSA-N 1 2 285.366 3.741 20 0 CHADLO Cc1ccc(NCc2cccc(OC(F)(F)F)c2)c[nH+]1 ZINC000226455950 333261277 /nfs/dbraw/zinc/26/12/77/333261277.db2.gz CISFXDNLJVEQQJ-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO Clc1csc(C[N@@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000194426719 333271129 /nfs/dbraw/zinc/27/11/29/333271129.db2.gz NPSXUNQUXGGYIS-CYBMUJFWSA-N 1 2 283.780 3.568 20 0 CHADLO Clc1csc(C[N@H+]2CCO[C@@H](c3ccco3)C2)c1 ZINC000194426719 333271131 /nfs/dbraw/zinc/27/11/31/333271131.db2.gz NPSXUNQUXGGYIS-CYBMUJFWSA-N 1 2 283.780 3.568 20 0 CHADLO Cc1cc(C)c(NC(=O)c2csc(C3CC3)n2)c(C)[nH+]1 ZINC000194799264 333277722 /nfs/dbraw/zinc/27/77/22/333277722.db2.gz NVQJTJDZVJGIFL-UHFFFAOYSA-N 1 2 287.388 3.593 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccccc2OC(F)F)s1 ZINC000230706190 333321225 /nfs/dbraw/zinc/32/12/25/333321225.db2.gz PXDPUKALJJTQOM-UHFFFAOYSA-N 1 2 298.358 3.597 20 0 CHADLO CCCN(CC(C)C)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000523425156 333326111 /nfs/dbraw/zinc/32/61/11/333326111.db2.gz FNSNAGDUYZMELV-UHFFFAOYSA-N 1 2 288.395 3.543 20 0 CHADLO CO[C@H]1CC[C@H](Nc2[nH+]c3ccccc3cc2C)C1 ZINC000231682880 333327892 /nfs/dbraw/zinc/32/78/92/333327892.db2.gz GYBWBSAABKWYFR-KBPBESRZSA-N 1 2 256.349 3.523 20 0 CHADLO CCCc1[nH+]c2ccccc2n1C[C@@H](O)CC1CCCC1 ZINC000232146782 333334365 /nfs/dbraw/zinc/33/43/65/333334365.db2.gz WRYQUQFTIIFMER-HNNXBMFYSA-N 1 2 286.419 3.930 20 0 CHADLO Cc1cc(C)c(C[N@H+](CC(F)F)C2CC2)c(C)c1 ZINC000303298499 333339723 /nfs/dbraw/zinc/33/97/23/333339723.db2.gz MIULSAQKONIZGZ-UHFFFAOYSA-N 1 2 253.336 3.841 20 0 CHADLO Cc1cc(C)c(C[N@@H+](CC(F)F)C2CC2)c(C)c1 ZINC000303298499 333339725 /nfs/dbraw/zinc/33/97/25/333339725.db2.gz MIULSAQKONIZGZ-UHFFFAOYSA-N 1 2 253.336 3.841 20 0 CHADLO C[C@@H]1CCCC[C@@H]1CC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000523725703 333342103 /nfs/dbraw/zinc/34/21/03/333342103.db2.gz FDUJGAHAIXWMOO-KFWWJZLASA-N 1 2 289.423 3.680 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2ncsc2c1 ZINC000232774528 333342584 /nfs/dbraw/zinc/34/25/84/333342584.db2.gz WIXCEIRTNWDIDW-UHFFFAOYSA-N 1 2 272.377 3.686 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@H]1CCOC(C)(C)C1 ZINC000235045737 333365216 /nfs/dbraw/zinc/36/52/16/333365216.db2.gz XDUWYPCLCMTQDM-LBPRGKRZSA-N 1 2 286.375 3.613 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1CCO[C@H](CC)C1 ZINC000524591257 333367550 /nfs/dbraw/zinc/36/75/50/333367550.db2.gz ADBYDFZSCBANGK-IUODEOHRSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1CCO[C@H](CC)C1 ZINC000524591257 333367551 /nfs/dbraw/zinc/36/75/51/333367551.db2.gz ADBYDFZSCBANGK-IUODEOHRSA-N 1 2 269.335 3.527 20 0 CHADLO CC(C)[N@H+](Cc1ccccn1)Cc1ccncc1Cl ZINC000524754357 333386324 /nfs/dbraw/zinc/38/63/24/333386324.db2.gz AYBLSYIFZPCWOD-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO CC(C)[N@@H+](Cc1ccccn1)Cc1ccncc1Cl ZINC000524754357 333386326 /nfs/dbraw/zinc/38/63/26/333386326.db2.gz AYBLSYIFZPCWOD-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO Cc1cc(NC(=O)C[C@H](C)n2cc[nH+]c2)c(Cl)cc1F ZINC000575991806 335139275 /nfs/dbraw/zinc/13/92/75/335139275.db2.gz DTIFQHGCFBMHBU-JTQLQIEISA-N 1 2 295.745 3.574 20 0 CHADLO Cc1cc(C[NH2+]C(C)(C)c2nc3ccccc3s2)on1 ZINC000528606100 333448930 /nfs/dbraw/zinc/44/89/30/333448930.db2.gz ZMYMERYZCBREES-UHFFFAOYSA-N 1 2 287.388 3.618 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+][C@H](c2ccccn2)C2CCC2)o1 ZINC000528649202 333450676 /nfs/dbraw/zinc/45/06/76/333450676.db2.gz LDTBGSRFFVUKHA-KRWDZBQOSA-N 1 2 299.418 3.998 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2cc(C)cc(Cl)c2)s1 ZINC000339347295 335143941 /nfs/dbraw/zinc/14/39/41/335143941.db2.gz GMYGQEWQBBSBKU-VIFPVBQESA-N 1 2 281.812 3.659 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2cc(C)cc(Cl)c2)s1 ZINC000339347287 335143959 /nfs/dbraw/zinc/14/39/59/335143959.db2.gz GMYGQEWQBBSBKU-SECBINFHSA-N 1 2 281.812 3.659 20 0 CHADLO Cc1ccccc1C1CC([NH2+][C@@H](C)C(=O)OC(C)(C)C)C1 ZINC000123600472 333549094 /nfs/dbraw/zinc/54/90/94/333549094.db2.gz MMQVXJLTVLTDJL-NFOMZHRRSA-N 1 2 289.419 3.561 20 0 CHADLO CC(C)(C)c1nc(C[NH2+]C2(c3ccccc3)CCC2)no1 ZINC000064337729 333564923 /nfs/dbraw/zinc/56/49/23/333564923.db2.gz LMMYQAMSZQHALR-UHFFFAOYSA-N 1 2 285.391 3.536 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+][C@H](C)C(=O)OC(C)(C)C)c1 ZINC000538218158 333580012 /nfs/dbraw/zinc/58/00/12/333580012.db2.gz MVIPXCVFEFNBNO-UONOGXRCSA-N 1 2 277.408 3.684 20 0 CHADLO Cc1ccc(OC(F)F)c([C@@H](C)[NH2+]Cc2cscn2)c1 ZINC000127311208 333626046 /nfs/dbraw/zinc/62/60/46/333626046.db2.gz UQMVLFBKHGJSKS-SNVBAGLBSA-N 1 2 298.358 3.904 20 0 CHADLO C[C@@H]1C[C@@H](Nc2[nH+]ccc3ccc(F)cc32)C[C@H](C)O1 ZINC000538764070 333626929 /nfs/dbraw/zinc/62/69/29/333626929.db2.gz KZHBAKZAQJRIMO-GNXNZQSNSA-N 1 2 274.339 3.742 20 0 CHADLO COc1ccccc1SCc1cn2cc(C)ccc2[nH+]1 ZINC000067007395 333632447 /nfs/dbraw/zinc/63/24/47/333632447.db2.gz ODTFLGRBDJQTSC-UHFFFAOYSA-N 1 2 284.384 3.944 20 0 CHADLO COc1ccc2oc(CNc3ccc[nH+]c3C)cc2c1 ZINC000127652274 333633734 /nfs/dbraw/zinc/63/37/34/333633734.db2.gz TZPYCSVXQHJWMO-UHFFFAOYSA-N 1 2 268.316 3.757 20 0 CHADLO Cc1cc(NC[C@@H]2CCO[C@@H](C)C2)c2cccc(F)c2[nH+]1 ZINC000538926057 333645474 /nfs/dbraw/zinc/64/54/74/333645474.db2.gz DJNXWCPAMAVSSI-QWHCGFSZSA-N 1 2 288.366 3.909 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](C)c2ccc(Cl)cc2)n1 ZINC000128288904 333649244 /nfs/dbraw/zinc/64/92/44/333649244.db2.gz XXKZTFUPYWUVSY-LLVKDONJSA-N 1 2 293.798 3.916 20 0 CHADLO CCOc1cc(C)ccc1[NH2+][C@@H](C)C1CCOCC1 ZINC000539741535 333688863 /nfs/dbraw/zinc/68/88/63/333688863.db2.gz JGPQAOPZQKCLKE-ZDUSSCGKSA-N 1 2 263.381 3.621 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2ncc(C)s2)C2CC2)o1 ZINC000174546015 335158649 /nfs/dbraw/zinc/15/86/49/335158649.db2.gz YSZQDJYVDXDRKB-CYBMUJFWSA-N 1 2 262.378 3.594 20 0 CHADLO c1ccc(C2([NH2+]Cc3nnc(C4CC4)o3)CCCC2)cc1 ZINC000540388215 333727637 /nfs/dbraw/zinc/72/76/37/333727637.db2.gz OPIACYHMLNBMDW-UHFFFAOYSA-N 1 2 283.375 3.506 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3ccncc32)[C@H]2CCCC[C@@H]12 ZINC000541108893 333762516 /nfs/dbraw/zinc/76/25/16/333762516.db2.gz GIJFUSMDIUYHKC-WWGRRREGSA-N 1 2 267.376 3.645 20 0 CHADLO CC(C)C[C@H]([NH2+]CC(=O)OC(C)(C)C)c1cccs1 ZINC000541626052 333784752 /nfs/dbraw/zinc/78/47/52/333784752.db2.gz QUFNXWYWTZRGJC-LBPRGKRZSA-N 1 2 283.437 3.767 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000576138075 335159738 /nfs/dbraw/zinc/15/97/38/335159738.db2.gz ZCWMQVDMQZCIND-CZUORRHYSA-N 1 2 275.392 3.541 20 0 CHADLO CCOC(=O)[C@@H](CC(C)C)[N@H+]1Cc2ccccc2[C@H]1C ZINC000576138075 335159739 /nfs/dbraw/zinc/15/97/39/335159739.db2.gz ZCWMQVDMQZCIND-CZUORRHYSA-N 1 2 275.392 3.541 20 0 CHADLO Cc1ccc(OC(F)F)c([C@H](C)[NH2+][C@H](C)c2ccon2)c1 ZINC000542699179 333837010 /nfs/dbraw/zinc/83/70/10/333837010.db2.gz FFOPXRQCEYGNKP-WDEREUQCSA-N 1 2 296.317 3.996 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2ccon2)cc1Cl ZINC000542700908 333837485 /nfs/dbraw/zinc/83/74/85/333837485.db2.gz YHHXMRUXVFNKTH-UWVGGRQHSA-N 1 2 280.755 3.748 20 0 CHADLO C[C@H]1CC[C@@]2(CCN(c3[nH+]c4ccccc4n3C)C2)C1 ZINC000542865914 333846332 /nfs/dbraw/zinc/84/63/32/333846332.db2.gz XXZVGFYCLBRWOP-SUMWQHHRSA-N 1 2 269.392 3.590 20 0 CHADLO Fc1cccc(CNc2c[nH+]c3c(c2)CCCC3)c1 ZINC000542922937 333849940 /nfs/dbraw/zinc/84/99/40/333849940.db2.gz BEVRZRRTBDVLFA-UHFFFAOYSA-N 1 2 256.324 3.712 20 0 CHADLO CC(C)c1cc(N[C@@]2(C)CCO[C@H]2C)nc(C(C)C)[nH+]1 ZINC000543133842 333859730 /nfs/dbraw/zinc/85/97/30/333859730.db2.gz BPNRYQHJONEVQK-LRDDRELGSA-N 1 2 277.412 3.703 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1ccn(C)n1 ZINC000543606857 333884368 /nfs/dbraw/zinc/88/43/68/333884368.db2.gz BEVHTPAUKHBQPB-CYBMUJFWSA-N 1 2 298.217 3.968 20 0 CHADLO CCCc1cc(C(=O)Nc2c[nH+]ccc2OC)sc1C ZINC000131411016 333934773 /nfs/dbraw/zinc/93/47/73/333934773.db2.gz UGEYXIZYVZNYTJ-UHFFFAOYSA-N 1 2 290.388 3.665 20 0 CHADLO Cc1cc(NC(=O)c2cc3cc(F)ccc3s2)cc[nH+]1 ZINC000072710096 333954145 /nfs/dbraw/zinc/95/41/45/333954145.db2.gz BBJMHPFLSXRYJQ-UHFFFAOYSA-N 1 2 286.331 3.996 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](C)Cc1ccc(Cl)cc1 ZINC000132361191 333978174 /nfs/dbraw/zinc/97/81/74/333978174.db2.gz CYKXYBUGKHOWMY-LLVKDONJSA-N 1 2 288.778 3.861 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3ccsc3C2)c(F)c1 ZINC000132840014 334007458 /nfs/dbraw/zinc/00/74/58/334007458.db2.gz NSDISTCAYYHMHA-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3ccsc3C2)c(F)c1 ZINC000132840014 334007459 /nfs/dbraw/zinc/00/74/59/334007459.db2.gz NSDISTCAYYHMHA-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Cc1cc(NC(=O)c2coc3cc(C)c(C)cc23)c(C)c[nH+]1 ZINC000546023254 334013214 /nfs/dbraw/zinc/01/32/14/334013214.db2.gz CUWKFDQWNMVPFT-UHFFFAOYSA-N 1 2 294.354 3.736 20 0 CHADLO CCSc1cccc(CNc2[nH+]cccc2OC)c1 ZINC000546035044 334013741 /nfs/dbraw/zinc/01/37/41/334013741.db2.gz VRYCVWJHTLZRNX-UHFFFAOYSA-N 1 2 274.389 3.814 20 0 CHADLO Cc1cc(OCCOCC(F)(F)F)c2cccc(C)c2[nH+]1 ZINC000546181555 334018612 /nfs/dbraw/zinc/01/86/12/334018612.db2.gz ZJRAZIDAJZXXBT-UHFFFAOYSA-N 1 2 299.292 3.809 20 0 CHADLO COc1cc(C)[nH+]c(COc2cccc3nc(C)ccc32)c1 ZINC000546781276 334050468 /nfs/dbraw/zinc/05/04/68/334050468.db2.gz PUFDXLHCHQIAFI-UHFFFAOYSA-N 1 2 294.354 3.834 20 0 CHADLO Cc1ccc(Cc2noc([C@H]3CC34CCCCC4)n2)c[nH+]1 ZINC000547048441 334063784 /nfs/dbraw/zinc/06/37/84/334063784.db2.gz ADGKVHALNOZSLP-CQSZACIVSA-N 1 2 283.375 3.802 20 0 CHADLO C[C@@H]1CC[C@H](c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)C1 ZINC000547104756 334066834 /nfs/dbraw/zinc/06/68/34/334066834.db2.gz VTRPWGQESSYRGI-OCCSQVGLSA-N 1 2 294.358 3.826 20 0 CHADLO C[C@H]([NH2+]Cc1csc(-c2ccco2)n1)c1ccoc1 ZINC000133928845 334072034 /nfs/dbraw/zinc/07/20/34/334072034.db2.gz RLNRVOLZGOGGJO-JTQLQIEISA-N 1 2 274.345 3.847 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C)s1)c1cccc(Cl)c1F ZINC000547305144 334077358 /nfs/dbraw/zinc/07/73/58/334077358.db2.gz WLUCNLRBYOPWMW-NSHDSACASA-N 1 2 299.802 3.880 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(C)n2)c(Cl)c1 ZINC000564317552 334080765 /nfs/dbraw/zinc/08/07/65/334080765.db2.gz SRQVJZGXPPDPST-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(C)n2)c(Cl)c1 ZINC000564317552 334080766 /nfs/dbraw/zinc/08/07/66/334080766.db2.gz SRQVJZGXPPDPST-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1CCOC(C)(C)C1 ZINC000547410544 334086566 /nfs/dbraw/zinc/08/65/66/334086566.db2.gz BXLFQCDCRSVRRF-CQSZACIVSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1CCOC(C)(C)C1 ZINC000547410544 334086567 /nfs/dbraw/zinc/08/65/67/334086567.db2.gz BXLFQCDCRSVRRF-CQSZACIVSA-N 1 2 269.335 3.527 20 0 CHADLO CC(C)(C)c1[nH]c2ccc(NC(=O)C3CC=CC3)cc2[nH+]1 ZINC000076955913 334091840 /nfs/dbraw/zinc/09/18/40/334091840.db2.gz KYAINMWXMYHSOY-UHFFFAOYSA-N 1 2 283.375 3.765 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@@H](C)c2nc3c(s2)CCC3)o1 ZINC000134679339 334100314 /nfs/dbraw/zinc/10/03/14/334100314.db2.gz GMJFFMTVLLFLSU-QWRGUYRKSA-N 1 2 276.405 3.945 20 0 CHADLO Cc1cc(Cl)ccc1NCc1cn2ccccc2[nH+]1 ZINC000078647325 334138241 /nfs/dbraw/zinc/13/82/41/334138241.db2.gz LHOJIKPAKXVPFG-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1csc(-c2cccs2)n1 ZINC000548098022 334139964 /nfs/dbraw/zinc/13/99/64/334139964.db2.gz CPVNOTBHNSOZPU-UWVGGRQHSA-N 1 2 264.419 3.854 20 0 CHADLO Cc1cccn2c(CNc3cccc4cc[nH]c43)c[nH+]c12 ZINC000548477304 334159193 /nfs/dbraw/zinc/15/91/93/334159193.db2.gz NSFIRCHSLKOSFI-UHFFFAOYSA-N 1 2 276.343 3.736 20 0 CHADLO Cc1ccc(NC(=O)Nc2cccc3cc[nH]c32)c(C)[nH+]1 ZINC000548486566 334159816 /nfs/dbraw/zinc/15/98/16/334159816.db2.gz YZYLSBMWZJCAQS-UHFFFAOYSA-N 1 2 280.331 3.824 20 0 CHADLO CC[N@H+](Cc1nnsc1Cl)[C@@H]1CCCC[C@H]1C ZINC000548730282 334167509 /nfs/dbraw/zinc/16/75/09/334167509.db2.gz ORGMZUMVLDIQFD-MWLCHTKSSA-N 1 2 273.833 3.592 20 0 CHADLO CC[N@@H+](Cc1nnsc1Cl)[C@@H]1CCCC[C@H]1C ZINC000548730282 334167510 /nfs/dbraw/zinc/16/75/10/334167510.db2.gz ORGMZUMVLDIQFD-MWLCHTKSSA-N 1 2 273.833 3.592 20 0 CHADLO Cc1cc(F)ccc1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000137193918 334171096 /nfs/dbraw/zinc/17/10/96/334171096.db2.gz XDAHLPIYBCSEFJ-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO COCCOc1cc(C)ccc1[NH2+][C@@H]1CC[C@H](C)C1 ZINC000080458302 334171837 /nfs/dbraw/zinc/17/18/37/334171837.db2.gz UDRQZUICZCDWKK-GXTWGEPZSA-N 1 2 263.381 3.621 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC(C)(C)C(F)(F)F ZINC000548873014 334177837 /nfs/dbraw/zinc/17/78/37/334177837.db2.gz WAHDFOCPHNJHRF-UHFFFAOYSA-N 1 2 282.309 3.966 20 0 CHADLO COc1ccc2cc(CN(C)c3cccc[nH+]3)ccc2c1 ZINC000548938226 334180985 /nfs/dbraw/zinc/18/09/85/334180985.db2.gz WUEJHSOALXMHHE-UHFFFAOYSA-N 1 2 278.355 3.880 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc(-c3ccoc3)no2)[C@H]1c1ccccc1 ZINC000245445209 334194079 /nfs/dbraw/zinc/19/40/79/334194079.db2.gz YQMOMHZKXUENJY-MLGOLLRUSA-N 1 2 295.342 3.523 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc(-c3ccoc3)no2)[C@H]1c1ccccc1 ZINC000245445209 334194080 /nfs/dbraw/zinc/19/40/80/334194080.db2.gz YQMOMHZKXUENJY-MLGOLLRUSA-N 1 2 295.342 3.523 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)CC(C)(C)C2CC2)c1 ZINC000549494394 334195541 /nfs/dbraw/zinc/19/55/41/334195541.db2.gz UEPKVCKCWXPLEY-UHFFFAOYSA-N 1 2 297.402 3.852 20 0 CHADLO CCc1cc(Nc2ccc([C@H]3CCOC3)cc2)nc(C)[nH+]1 ZINC000549638534 334200754 /nfs/dbraw/zinc/20/07/54/334200754.db2.gz ZQUVNVNBADXFMV-AWEZNQCLSA-N 1 2 283.375 3.595 20 0 CHADLO FC(F)(F)c1cc[nH+]c(N2CC[C@@H](CC3CC3)C2)c1 ZINC000549656472 334201027 /nfs/dbraw/zinc/20/10/27/334201027.db2.gz IMFSCEGMPVAJCX-NSHDSACASA-N 1 2 270.298 3.727 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccs2)C2CC2)sc1C ZINC000081648552 334202056 /nfs/dbraw/zinc/20/20/56/334202056.db2.gz ZPRRLLRCJUDNBY-UHFFFAOYSA-N 1 2 278.446 3.986 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccs2)C2CC2)sc1C ZINC000081648552 334202057 /nfs/dbraw/zinc/20/20/57/334202057.db2.gz ZPRRLLRCJUDNBY-UHFFFAOYSA-N 1 2 278.446 3.986 20 0 CHADLO CCCOc1ccc(C[NH2+]CC(C)(F)F)cc1OCC ZINC000549863150 334207193 /nfs/dbraw/zinc/20/71/93/334207193.db2.gz TWJDJDVBVXDIRK-UHFFFAOYSA-N 1 2 287.350 3.619 20 0 CHADLO FC[C@H]1CCC[N@@H+]1CCc1cc(Cl)ccc1Cl ZINC000550028177 334211763 /nfs/dbraw/zinc/21/17/63/334211763.db2.gz DACUOIUGZVBNDJ-GFCCVEGCSA-N 1 2 276.182 3.970 20 0 CHADLO FC[C@H]1CCC[N@H+]1CCc1cc(Cl)ccc1Cl ZINC000550028177 334211764 /nfs/dbraw/zinc/21/17/64/334211764.db2.gz DACUOIUGZVBNDJ-GFCCVEGCSA-N 1 2 276.182 3.970 20 0 CHADLO CC(=O)c1cc(F)ccc1OCc1cn2cccc(C)c2[nH+]1 ZINC000550090926 334212735 /nfs/dbraw/zinc/21/27/35/334212735.db2.gz XXLNMCLRBOQFDZ-UHFFFAOYSA-N 1 2 298.317 3.563 20 0 CHADLO O=C(Nc1ccc(-c2[nH]cc[nH+]2)cc1)[C@H]1CC2CCC1CC2 ZINC000550326417 334220358 /nfs/dbraw/zinc/22/03/58/334220358.db2.gz HLEZIEBAVMBQOH-ZUEPYMLJSA-N 1 2 295.386 3.842 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccno2)CC2CC2)c(Cl)c1 ZINC000552005962 334273406 /nfs/dbraw/zinc/27/34/06/334273406.db2.gz ADYKEOKEOBUKRN-UHFFFAOYSA-N 1 2 294.757 3.879 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccno2)CC2CC2)c(Cl)c1 ZINC000552005962 334273407 /nfs/dbraw/zinc/27/34/07/334273407.db2.gz ADYKEOKEOBUKRN-UHFFFAOYSA-N 1 2 294.757 3.879 20 0 CHADLO C[C@@H]1CCc2c(F)cccc2[C@H]1[NH2+]CC(C)(F)F ZINC000550857876 334230468 /nfs/dbraw/zinc/23/04/68/334230468.db2.gz DVSUMPKKKGCBSY-RNCFNFMXSA-N 1 2 257.299 3.694 20 0 CHADLO Clc1cccc(OCCC[N@@H+]2Cc3cccnc3C2)c1 ZINC000551039864 334236815 /nfs/dbraw/zinc/23/68/15/334236815.db2.gz XRGHTLFWBRDFDP-UHFFFAOYSA-N 1 2 288.778 3.520 20 0 CHADLO Clc1cccc(OCCC[N@H+]2Cc3cccnc3C2)c1 ZINC000551039864 334236816 /nfs/dbraw/zinc/23/68/16/334236816.db2.gz XRGHTLFWBRDFDP-UHFFFAOYSA-N 1 2 288.778 3.520 20 0 CHADLO CCCOc1ccc([C@@H](C)[NH2+]CC(C)(F)F)cc1 ZINC000551241995 334242624 /nfs/dbraw/zinc/24/26/24/334242624.db2.gz QYXNHEAPPCRFQM-LLVKDONJSA-N 1 2 257.324 3.781 20 0 CHADLO COc1ccc2ccccc2c1C[NH2+][C@H](C)c1cc(C)on1 ZINC000551268104 334244231 /nfs/dbraw/zinc/24/42/31/334244231.db2.gz ZSNZXVKWLZZVBV-CYBMUJFWSA-N 1 2 296.370 3.996 20 0 CHADLO Cc1ccc(N[C@@H](C)c2cn3ccccc3[nH+]2)cc1 ZINC000551297435 334245599 /nfs/dbraw/zinc/24/55/99/334245599.db2.gz XACWMLLAGWJQDS-ZDUSSCGKSA-N 1 2 251.333 3.816 20 0 CHADLO Cc1ccc(N[C@H](C)c2cn3ccccc3[nH+]2)cc1 ZINC000551297434 334245721 /nfs/dbraw/zinc/24/57/21/334245721.db2.gz XACWMLLAGWJQDS-CYBMUJFWSA-N 1 2 251.333 3.816 20 0 CHADLO Clc1cc(Cl)c2nc(C[NH+]3C4CCC3CC4)cn2c1 ZINC000551369720 334248058 /nfs/dbraw/zinc/24/80/58/334248058.db2.gz SJYFHMIGAQYNNA-UHFFFAOYSA-N 1 2 296.201 3.768 20 0 CHADLO CC(C)[C@H]1CC[C@@H]1Nc1ccccc1OCCn1cc[nH+]c1 ZINC000552070907 334285743 /nfs/dbraw/zinc/28/57/43/334285743.db2.gz IVQVYNTWKYOCJE-CVEARBPZSA-N 1 2 299.418 3.809 20 0 CHADLO COc1cccc(SCc2cn3ccccc3[nH+]2)c1 ZINC000247924034 334291585 /nfs/dbraw/zinc/29/15/85/334291585.db2.gz PSLRKUWZDXNQSL-UHFFFAOYSA-N 1 2 270.357 3.635 20 0 CHADLO CCC(CC)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000089869973 334352754 /nfs/dbraw/zinc/35/27/54/334352754.db2.gz HEBJVIVRXZHACN-UHFFFAOYSA-N 1 2 285.391 3.825 20 0 CHADLO CO[C@H]1CC[C@H](Nc2cc[nH+]c3c(Cl)cccc23)C1 ZINC000553245751 334371433 /nfs/dbraw/zinc/37/14/33/334371433.db2.gz ILOQGYVJELNUKX-QWRGUYRKSA-N 1 2 276.767 3.868 20 0 CHADLO CN(C[C@@H]1CCCOC1)c1cc[nH+]c2c(Cl)cccc12 ZINC000553391471 334381916 /nfs/dbraw/zinc/38/19/16/334381916.db2.gz ZUUYZSVWNDFLTG-LBPRGKRZSA-N 1 2 290.794 3.751 20 0 CHADLO O[C@@H]1CCCC[C@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000553541100 334390810 /nfs/dbraw/zinc/39/08/10/334390810.db2.gz QRKYESQMUBYUOL-ZIAGYGMSSA-N 1 2 276.767 3.604 20 0 CHADLO FC(F)(F)CC1CCN(c2[nH]c3ccccc3[nH+]2)CC1 ZINC000553593802 334393096 /nfs/dbraw/zinc/39/30/96/334393096.db2.gz DGQMQDSNBAVHIN-UHFFFAOYSA-N 1 2 283.297 3.732 20 0 CHADLO CC(C)c1cc(N2CCC[C@@H]2C(F)F)nc(C(C)C)[nH+]1 ZINC000553729936 334396974 /nfs/dbraw/zinc/39/69/74/334396974.db2.gz XCBIDWCZNDOYBD-GFCCVEGCSA-N 1 2 283.366 3.957 20 0 CHADLO CC[C@H](NC(=O)[C@@H]1CC[C@H]2CCCC[C@@H]2C1)c1[nH]cc[nH+]1 ZINC000252982979 334405182 /nfs/dbraw/zinc/40/51/82/334405182.db2.gz YROIRTNBYRBIOF-TUVASFSCSA-N 1 2 289.423 3.584 20 0 CHADLO Cc1cc[nH+]cc1CCCN1C[C@H](C)Oc2ccccc21 ZINC000554862548 334457267 /nfs/dbraw/zinc/45/72/67/334457267.db2.gz MKULFEBBRGDYQF-HNNXBMFYSA-N 1 2 282.387 3.610 20 0 CHADLO Cc1cccc(CCSCCOc2ccc(F)cc2)[nH+]1 ZINC000564981581 334532170 /nfs/dbraw/zinc/53/21/70/334532170.db2.gz IFRCNJKCRRQJLW-UHFFFAOYSA-N 1 2 291.391 3.884 20 0 CHADLO Cn1c2ccccc2[nH+]c1N[C@H]1CCCc2cc(N)ccc21 ZINC000262353617 334543976 /nfs/dbraw/zinc/54/39/76/334543976.db2.gz VONGKJFWGRETJF-HNNXBMFYSA-N 1 2 292.386 3.645 20 0 CHADLO Cc1ccc(NC(=O)[C@H]2CCCC[C@@H]2C2CC2)c(C)[nH+]1 ZINC000565951775 334611459 /nfs/dbraw/zinc/61/14/59/334611459.db2.gz JBJXMJQOVDIHTO-CABCVRRESA-N 1 2 272.392 3.853 20 0 CHADLO CC(C)C1CCC(N(C)C(=O)C[C@H](C)n2cc[nH+]c2)CC1 ZINC000566302827 334641646 /nfs/dbraw/zinc/64/16/46/334641646.db2.gz ATQWLBYMHKEDJP-FHERZECASA-N 1 2 291.439 3.507 20 0 CHADLO C[C@H]([NH2+]Cc1ccc([C@@H]2C[C@@H]2C)o1)c1cn2ccccc2n1 ZINC000566476269 334651474 /nfs/dbraw/zinc/65/14/74/334651474.db2.gz VSVXBIXRDXOQPQ-KCQAQPDRSA-N 1 2 295.386 3.902 20 0 CHADLO CCOC(=O)CC(C)(C)CC[NH2+][C@@](C)(CC)C(F)(F)F ZINC000566552950 334654969 /nfs/dbraw/zinc/65/49/69/334654969.db2.gz GPTFEXVEEQIOEH-ZDUSSCGKSA-N 1 2 297.361 3.677 20 0 CHADLO COc1cc(C)[nH+]c(CSc2nncc3ccccc32)c1 ZINC000567430616 334716590 /nfs/dbraw/zinc/71/65/90/334716590.db2.gz CEDUXLUWRKPCFD-UHFFFAOYSA-N 1 2 297.383 3.634 20 0 CHADLO CCCCCc1[nH+]c2ccccc2n1C[C@H](O)CC ZINC000156068564 334734185 /nfs/dbraw/zinc/73/41/85/334734185.db2.gz NBCDAQPBKKQMNW-CYBMUJFWSA-N 1 2 260.381 3.540 20 0 CHADLO CCCCn1cc[nH+]c1-c1cccc(NC(=O)C(C)C)c1 ZINC000157900305 334787310 /nfs/dbraw/zinc/78/73/10/334787310.db2.gz IHVXYUUBJMGNLJ-UHFFFAOYSA-N 1 2 285.391 3.945 20 0 CHADLO C[C@H](Nc1c[nH]c[nH+]1)C1CCC(C(F)(F)F)CC1 ZINC000568358598 334819236 /nfs/dbraw/zinc/81/92/36/334819236.db2.gz UNAJIVLXDHTQFJ-IDKOKCKLSA-N 1 2 261.291 3.579 20 0 CHADLO CC1=C(C)C[C@@H](C(=O)Nc2ccccc2-n2cc[nH+]c2)CC1 ZINC000568867821 334844144 /nfs/dbraw/zinc/84/41/44/334844144.db2.gz LQBYYXXNIGIQMN-HNNXBMFYSA-N 1 2 295.386 3.947 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cccc(C3CC3)c2)o1 ZINC000576347333 335192736 /nfs/dbraw/zinc/19/27/36/335192736.db2.gz CDHBAIIHAWBRSD-LBPRGKRZSA-N 1 2 270.376 3.965 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+]CCCF)C(F)F)c1 ZINC000576597071 335224847 /nfs/dbraw/zinc/22/48/47/335224847.db2.gz HHVNMASSAHQOMC-ZDUSSCGKSA-N 1 2 275.314 3.729 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3cc(F)ccc32)C[C@H](C)S1 ZINC000576699933 335236521 /nfs/dbraw/zinc/23/65/21/335236521.db2.gz XRNWBXVGGIIIPK-PHIMTYICSA-N 1 2 276.380 3.704 20 0 CHADLO CCC[C@H](C)[C@H]1CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000580581014 335290475 /nfs/dbraw/zinc/29/04/75/335290475.db2.gz JNFWELYPNVDDHP-XJKSGUPXSA-N 1 2 299.418 3.684 20 0 CHADLO COc1c(C)c[nH+]c(COc2c(F)cccc2F)c1C ZINC000192785022 335304535 /nfs/dbraw/zinc/30/45/35/335304535.db2.gz YLOKPGYWUCAQAY-UHFFFAOYSA-N 1 2 279.286 3.564 20 0 CHADLO O=C(Nc1ccc(Oc2cc[nH+]cc2)cc1)[C@@H]1C[C@H]1C1CC1 ZINC000176970427 335349087 /nfs/dbraw/zinc/34/90/87/335349087.db2.gz LXZCSYHFVNSYNI-DLBZAZTESA-N 1 2 294.354 3.859 20 0 CHADLO CO[C@@H](CNc1cc[nH+]c2c(Cl)cccc12)C1CC1 ZINC000577512259 335363078 /nfs/dbraw/zinc/36/30/78/335363078.db2.gz CXTCURNOPCCIRH-AWEZNQCLSA-N 1 2 276.767 3.725 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(Cl)c2F)c(C)[nH+]1 ZINC000177694263 335379379 /nfs/dbraw/zinc/37/93/79/335379379.db2.gz URBLGAGHUXNMJP-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO COc1c(C)ccc(NCc2cn3cc(C)ccc3[nH+]2)c1C ZINC000178017603 335408370 /nfs/dbraw/zinc/40/83/70/335408370.db2.gz MYRGHIDPHARQAM-UHFFFAOYSA-N 1 2 295.386 3.880 20 0 CHADLO CC(F)(F)C(C)(C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000578216537 335581409 /nfs/dbraw/zinc/58/14/09/335581409.db2.gz GHIPKTKMYPIWCU-UHFFFAOYSA-N 1 2 293.317 3.697 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)C[C@@H]2CCCCC2(C)C)[nH+]1 ZINC000578330670 335612455 /nfs/dbraw/zinc/61/24/55/335612455.db2.gz XLRRICVCFCIILW-ZDUSSCGKSA-N 1 2 291.439 3.676 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H](C)Cc1ccsc1 ZINC000578351488 335617832 /nfs/dbraw/zinc/61/78/32/335617832.db2.gz NNADWNWCVXQWSE-LLVKDONJSA-N 1 2 289.404 3.513 20 0 CHADLO CC(C)(CC(=O)NC(C)(C)c1[nH]cc[nH+]1)C1CCCCC1 ZINC000579250706 335727967 /nfs/dbraw/zinc/72/79/67/335727967.db2.gz PQEHIVJLSDLGHY-UHFFFAOYSA-N 1 2 291.439 3.758 20 0 CHADLO Cc1cc(C[NH2+][C@@H](c2ccc(F)cc2F)C(C)C)no1 ZINC000181651551 335776501 /nfs/dbraw/zinc/77/65/01/335776501.db2.gz MXTPTSHUSSLDNR-OAHLLOKOSA-N 1 2 280.318 3.748 20 0 CHADLO COc1cccc2c1cc[nH+]c2N[C@@H](C)[C@@H]1CCCCO1 ZINC000356743544 335788632 /nfs/dbraw/zinc/78/86/32/335788632.db2.gz HKLPEDMHBGGVJT-WFASDCNBSA-N 1 2 286.375 3.613 20 0 CHADLO CCCc1nc(C[NH2+][C@H](CC)c2c(C)noc2C)cs1 ZINC000182417317 335818543 /nfs/dbraw/zinc/81/85/43/335818543.db2.gz XBINFWVMEBQFRR-CYBMUJFWSA-N 1 2 293.436 3.941 20 0 CHADLO Cc1cccc(NC(=O)c2ccc(-n3cc[nH+]c3)cc2)c1F ZINC000182552321 335826632 /nfs/dbraw/zinc/82/66/32/335826632.db2.gz SLLCHUYSZLPRCG-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cc2[nH+]cn(C[C@H](O)CCc3ccccc3)c2cc1C ZINC000184696586 335931755 /nfs/dbraw/zinc/93/17/55/335931755.db2.gz GBJKTJWBJDWFMC-QGZVFWFLSA-N 1 2 294.398 3.647 20 0 CHADLO Cc1cccc2c1N(C(=O)C[C@H](C)n1cc[nH+]c1)CC[C@H]2C ZINC000579957569 335936746 /nfs/dbraw/zinc/93/67/46/335936746.db2.gz DPPPWBPCNZYTNQ-HIFRSBDPSA-N 1 2 297.402 3.683 20 0 CHADLO C=Cn1cc(C[NH2+][C@H](C)c2c(F)cccc2Cl)cn1 ZINC000194352631 336018061 /nfs/dbraw/zinc/01/80/61/336018061.db2.gz RAWQLKWKPSCBPE-SNVBAGLBSA-N 1 2 279.746 3.627 20 0 CHADLO CC[C@@H](c1nc(C(C)(C)C)no1)[N@H+](C)Cc1ccccc1 ZINC000581283572 336036067 /nfs/dbraw/zinc/03/60/67/336036067.db2.gz SRWQIXDGDFMZHE-AWEZNQCLSA-N 1 2 287.407 3.950 20 0 CHADLO CC[C@@H](c1nc(C(C)(C)C)no1)[N@@H+](C)Cc1ccccc1 ZINC000581283572 336036068 /nfs/dbraw/zinc/03/60/68/336036068.db2.gz SRWQIXDGDFMZHE-AWEZNQCLSA-N 1 2 287.407 3.950 20 0 CHADLO COc1cccc2c1CCC[C@H]2Nc1ccc(C)[nH+]c1 ZINC000194948515 336040882 /nfs/dbraw/zinc/04/08/82/336040882.db2.gz YXKRQBVGGKAVNO-MRXNPFEDSA-N 1 2 268.360 3.888 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cnc(-c2cccs2)s1 ZINC000581323927 336049663 /nfs/dbraw/zinc/04/96/63/336049663.db2.gz CYLHPRMORIQDBE-UHFFFAOYSA-N 1 2 274.361 3.616 20 0 CHADLO CC[C@@H](C)[C@@H](CNc1[nH+]ccc2c(OC)cccc21)OC ZINC000381126575 336050945 /nfs/dbraw/zinc/05/09/45/336050945.db2.gz GHJDKHWXAFUONV-MLGOLLRUSA-N 1 2 288.391 3.716 20 0 CHADLO CCOc1cc(C)ccc1[NH2+][C@@H](CC)[C@H]1CCOC1 ZINC000381686720 336070825 /nfs/dbraw/zinc/07/08/25/336070825.db2.gz VHPBDUBUQZLVGA-KBPBESRZSA-N 1 2 263.381 3.621 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc2ccccc2s1)C(=O)OC ZINC000381767823 336072755 /nfs/dbraw/zinc/07/27/55/336072755.db2.gz ZXAWFMZDAZPTRO-PWSUYJOCSA-N 1 2 277.389 3.504 20 0 CHADLO Cc1ccc2oc(C[NH2+][C@@H]3C[C@@H](C)n4ccnc43)cc2c1 ZINC000581529027 336095507 /nfs/dbraw/zinc/09/55/07/336095507.db2.gz AASDUGZMRRKBFY-IUODEOHRSA-N 1 2 281.359 3.733 20 0 CHADLO C[NH+](C)[C@@H](c1nc(C(C)(C)C)no1)c1ccc(Cl)cc1 ZINC000581677343 336127342 /nfs/dbraw/zinc/12/73/42/336127342.db2.gz XUGDKFNRHMOMAJ-GFCCVEGCSA-N 1 2 293.798 3.672 20 0 CHADLO c1csc([C@@H]([NH2+]CC2CCCCCC2)c2nnc[nH]2)c1 ZINC000581926405 336169619 /nfs/dbraw/zinc/16/96/19/336169619.db2.gz XPGRZIBIKZOHEQ-CQSZACIVSA-N 1 2 290.436 3.516 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)o1 ZINC000354700006 533744735 /nfs/dbraw/zinc/74/47/35/533744735.db2.gz GWMQMZSEKKXFQU-BGIURUKPSA-N 1 2 287.403 3.546 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)o1 ZINC000354700006 533744738 /nfs/dbraw/zinc/74/47/38/533744738.db2.gz GWMQMZSEKKXFQU-BGIURUKPSA-N 1 2 287.403 3.546 20 0 CHADLO Cc1ccc(CCCNc2cnn([C@H](C)C3CC3)c2)c[nH+]1 ZINC000354635177 533783866 /nfs/dbraw/zinc/78/38/66/533783866.db2.gz QVUPDLAALRHYNA-CQSZACIVSA-N 1 2 284.407 3.602 20 0 CHADLO C[C@H](CCC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1)c1ccccc1 ZINC000347938357 533790033 /nfs/dbraw/zinc/79/00/33/533790033.db2.gz PPYSOCHOFVWTIA-ZBFHGGJFSA-N 1 2 297.402 3.657 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1cccc(C)n1 ZINC000353742488 533848392 /nfs/dbraw/zinc/84/83/92/533848392.db2.gz AJQZKSPNBWJJFU-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1cccc(C)n1 ZINC000353742488 533848400 /nfs/dbraw/zinc/84/84/00/533848400.db2.gz AJQZKSPNBWJJFU-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1ccc(C[N@H+](Cc2cc[nH]n2)Cc2ccccc2)o1 ZINC000353287831 533902033 /nfs/dbraw/zinc/90/20/33/533902033.db2.gz SLQVTEMYDHAQOA-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2cc[nH]n2)Cc2ccccc2)o1 ZINC000353287831 533902046 /nfs/dbraw/zinc/90/20/46/533902046.db2.gz SLQVTEMYDHAQOA-UHFFFAOYSA-N 1 2 281.359 3.514 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N(C)Cc1nccs1 ZINC000302607093 533908279 /nfs/dbraw/zinc/90/82/79/533908279.db2.gz DIJHDTAGXSXTHY-UHFFFAOYSA-N 1 2 299.399 3.645 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N(C)Cc1ccoc1 ZINC000344949759 533908299 /nfs/dbraw/zinc/90/82/99/533908299.db2.gz NYDRVBGZSQOKEL-UHFFFAOYSA-N 1 2 282.343 3.781 20 0 CHADLO COc1cccc2c1[nH+]cc(C)c2N1CCC[C@@H]1C ZINC000302666105 533910042 /nfs/dbraw/zinc/91/00/42/533910042.db2.gz QESGDQDJIFRPOE-LBPRGKRZSA-N 1 2 256.349 3.541 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)Cc1ccc(Cl)c(Cl)c1 ZINC000356090423 533990097 /nfs/dbraw/zinc/99/00/97/533990097.db2.gz RAVIWDCMRKCVIE-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)Cc1ccc(Cl)c(Cl)c1 ZINC000356090423 533990103 /nfs/dbraw/zinc/99/01/03/533990103.db2.gz RAVIWDCMRKCVIE-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](C)Cc1ccc(Cl)c(Cl)c1 ZINC000356090423 533990111 /nfs/dbraw/zinc/99/01/11/533990111.db2.gz RAVIWDCMRKCVIE-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO Cc1c[nH]nc1C[N@H+](C)Cc1ccc(Cl)c(Cl)c1 ZINC000356090423 533990118 /nfs/dbraw/zinc/99/01/18/533990118.db2.gz RAVIWDCMRKCVIE-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)N(C)c1cc[nH+]c(C2CC2)n1 ZINC000112964914 534043203 /nfs/dbraw/zinc/04/32/03/534043203.db2.gz DFPHNVYYJBWXDV-JTQLQIEISA-N 1 2 289.329 3.830 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ncc(Cl)s2)CC12CCC2 ZINC000351973701 534045376 /nfs/dbraw/zinc/04/53/76/534045376.db2.gz FUCVQAGSNJQNLZ-SNVBAGLBSA-N 1 2 270.829 3.809 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ncc(Cl)s2)CC12CCC2 ZINC000351973701 534045381 /nfs/dbraw/zinc/04/53/81/534045381.db2.gz FUCVQAGSNJQNLZ-SNVBAGLBSA-N 1 2 270.829 3.809 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@@H]2CC[C@H](C)C2)c(C)[nH+]1 ZINC000334702976 534074174 /nfs/dbraw/zinc/07/41/74/534074174.db2.gz WEHQPAQGHOYPMB-IINYFYTJSA-N 1 2 275.396 3.659 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CCc3[nH+]c(C(C)C)[nH]c3C2)cc1 ZINC000334685055 534186753 /nfs/dbraw/zinc/18/67/53/534186753.db2.gz WEXBFRSNFAYOCS-CYBMUJFWSA-N 1 2 297.402 3.585 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CCc3[nH]c(C(C)C)[nH+]c3C2)cc1 ZINC000334685055 534186759 /nfs/dbraw/zinc/18/67/59/534186759.db2.gz WEXBFRSNFAYOCS-CYBMUJFWSA-N 1 2 297.402 3.585 20 0 CHADLO CSc1ccc(C)c(N[C@@H](C)c2[nH+]ccn2C)c1 ZINC000347341512 534196778 /nfs/dbraw/zinc/19/67/78/534196778.db2.gz OVXKENVDCVOHKX-NSHDSACASA-N 1 2 261.394 3.624 20 0 CHADLO FC(F)C1CC[NH+](Cc2cncc(C(F)(F)F)c2)CC1 ZINC000361318699 518195974 /nfs/dbraw/zinc/19/59/74/518195974.db2.gz OSZNLJGVKBZLLM-UHFFFAOYSA-N 1 2 294.267 3.578 20 0 CHADLO CC(=O)[C@H](C)SCc1ccc(C(C)C)[nH+]c1C ZINC000292744382 518215416 /nfs/dbraw/zinc/21/54/16/518215416.db2.gz UZWXJTDQQDQDPT-LBPRGKRZSA-N 1 2 251.395 3.724 20 0 CHADLO CC(C)(C)C[C@H](NC(=O)CCn1cc[nH+]c1)c1ccccc1 ZINC000299677978 518688330 /nfs/dbraw/zinc/68/83/30/518688330.db2.gz QWTRVTPXFHFLJP-INIZCTEOSA-N 1 2 299.418 3.567 20 0 CHADLO CC(C)(C)SCCSc1[nH+]cnc2[nH]ccc21 ZINC000278846742 518911829 /nfs/dbraw/zinc/91/18/29/518911829.db2.gz SBXUJZCNPVSNOL-UHFFFAOYSA-N 1 2 267.423 3.582 20 0 CHADLO C=Cc1ccc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC000340881299 519311345 /nfs/dbraw/zinc/31/13/45/519311345.db2.gz ICNDIGZWZNANJN-UHFFFAOYSA-N 1 2 289.338 3.768 20 0 CHADLO CC(C)[N@H+](Cc1cscn1)Cc1cccc(F)c1 ZINC000299210575 519663754 /nfs/dbraw/zinc/66/37/54/519663754.db2.gz TYBFVCVYTNSDDT-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO CC(C)[N@@H+](Cc1cscn1)Cc1cccc(F)c1 ZINC000299210575 519663757 /nfs/dbraw/zinc/66/37/57/519663757.db2.gz TYBFVCVYTNSDDT-UHFFFAOYSA-N 1 2 264.369 3.693 20 0 CHADLO CC(C)Oc1ccc([NH2+]C[C@@H]2CCSC2)cc1 ZINC000224310170 519781088 /nfs/dbraw/zinc/78/10/88/519781088.db2.gz GWGQVLRXLHCOPR-LBPRGKRZSA-N 1 2 251.395 3.639 20 0 CHADLO CC(C)[C@@H](C)N(C)C(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000337907888 519791210 /nfs/dbraw/zinc/79/12/10/519791210.db2.gz WAGUJXUPIQTCMO-CQSZACIVSA-N 1 2 298.386 3.990 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+]Cc2cccnc2)cs1 ZINC000076900369 519867522 /nfs/dbraw/zinc/86/75/22/519867522.db2.gz QLMJVUXSPRWXBR-NSHDSACASA-N 1 2 261.394 3.512 20 0 CHADLO CC(C)c1nc2ccccc2c(SCc2c[nH+]cn2C)n1 ZINC000278387743 519869562 /nfs/dbraw/zinc/86/95/62/519869562.db2.gz RKOHNFNGLQFXMR-UHFFFAOYSA-N 1 2 298.415 3.779 20 0 CHADLO Oc1ccc2c(c1)OCC[N@@H+](Cc1cc(Cl)cs1)C2 ZINC000348874561 534455057 /nfs/dbraw/zinc/45/50/57/534455057.db2.gz INCWJFJKKQXOSV-UHFFFAOYSA-N 1 2 295.791 3.502 20 0 CHADLO Oc1ccc2c(c1)OCC[N@H+](Cc1cc(Cl)cs1)C2 ZINC000348874561 534455066 /nfs/dbraw/zinc/45/50/66/534455066.db2.gz INCWJFJKKQXOSV-UHFFFAOYSA-N 1 2 295.791 3.502 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2C[C@@H](C)[C@H]2c2ccccc2)n1 ZINC000081574613 520002399 /nfs/dbraw/zinc/00/23/99/520002399.db2.gz WNDMFBDBGDPORJ-PBHICJAKSA-N 1 2 269.392 3.657 20 0 CHADLO CC(C)n1ccc(C[N@H+]2C[C@@H](C)[C@H]2c2ccccc2)n1 ZINC000081574613 520002405 /nfs/dbraw/zinc/00/24/05/520002405.db2.gz WNDMFBDBGDPORJ-PBHICJAKSA-N 1 2 269.392 3.657 20 0 CHADLO CC(C)n1cc[nH+]c1CN(C)Cc1c(F)cccc1Cl ZINC000264564663 520022894 /nfs/dbraw/zinc/02/28/94/520022894.db2.gz VPZNITOFVPKAQR-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC1(C)CCC[C@@H]1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000337238189 520346503 /nfs/dbraw/zinc/34/65/03/520346503.db2.gz DATIMLGTSARIAA-CYBMUJFWSA-N 1 2 283.375 3.637 20 0 CHADLO CCCOc1ccc([NH2+][C@H]2CCOC[C@@H]2C)c(C)c1 ZINC000195085573 520402648 /nfs/dbraw/zinc/40/26/48/520402648.db2.gz CZWHAMVJQSHSHY-BBRMVZONSA-N 1 2 263.381 3.621 20 0 CHADLO Cc1ccc2[nH]c(C[NH2+]Cc3ccc([C@H]4C[C@@H]4C)o3)nc2c1 ZINC000344741631 534504008 /nfs/dbraw/zinc/50/40/08/534504008.db2.gz ODCGESCQLDXGMK-JSGCOSHPSA-N 1 2 295.386 3.878 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccc([C@H]4C[C@@H]4C)o3)[nH]c2c1 ZINC000344741631 534504015 /nfs/dbraw/zinc/50/40/15/534504015.db2.gz ODCGESCQLDXGMK-JSGCOSHPSA-N 1 2 295.386 3.878 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@H]3CCOC4(CCC4)C3)c2c1 ZINC000354885540 534509314 /nfs/dbraw/zinc/50/93/14/534509314.db2.gz XLBIVWBNOSMFSB-AWEZNQCLSA-N 1 2 286.350 3.888 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3CCC[C@@H]4OCC[C@H]43)c2c1 ZINC000354841803 534509539 /nfs/dbraw/zinc/50/95/39/534509539.db2.gz HCARHLMCQRQEQJ-IMJJTQAJSA-N 1 2 286.350 3.743 20 0 CHADLO Fc1ccccc1[C@H](F)C1CC[NH+](Cc2ccon2)CC1 ZINC000367137310 534534768 /nfs/dbraw/zinc/53/47/68/534534768.db2.gz HIUGWZUBYJMDKS-MRXNPFEDSA-N 1 2 292.329 3.737 20 0 CHADLO CCC[C@H](CC)[S@](=O)Cc1[nH+]ccn1-c1ccccc1 ZINC000279972839 521087904 /nfs/dbraw/zinc/08/79/04/521087904.db2.gz JYIQEBLETQBJCC-MGPUTAFESA-N 1 2 290.432 3.700 20 0 CHADLO Cc1cnc(C[N@@H+]2CC[C@@H](C(F)(F)F)C3(CCC3)C2)o1 ZINC000334878092 534551008 /nfs/dbraw/zinc/55/10/08/534551008.db2.gz WLBBMCBSYMTWLF-LLVKDONJSA-N 1 2 288.313 3.538 20 0 CHADLO Cc1cnc(C[N@H+]2CC[C@@H](C(F)(F)F)C3(CCC3)C2)o1 ZINC000334878092 534551013 /nfs/dbraw/zinc/55/10/13/534551013.db2.gz WLBBMCBSYMTWLF-LLVKDONJSA-N 1 2 288.313 3.538 20 0 CHADLO Cc1c[nH+]c(N2CCCC[C@H]2C)nc1N1CCCC[C@@H]1C ZINC000301565976 534561130 /nfs/dbraw/zinc/56/11/30/534561130.db2.gz MCJOMKWYYXFLOU-LSDHHAIUSA-N 1 2 288.439 3.543 20 0 CHADLO CC[N@H+](Cc1cscn1)Cc1ccc(Cl)cc1 ZINC000264050967 521444718 /nfs/dbraw/zinc/44/47/18/521444718.db2.gz VDPZFHCBGACXOH-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1cscn1)Cc1ccc(Cl)cc1 ZINC000264050967 521444723 /nfs/dbraw/zinc/44/47/23/521444723.db2.gz VDPZFHCBGACXOH-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@H+](Cc1ncc(C(C)(C)C)s1)Cc1ccccn1 ZINC000279864528 521460377 /nfs/dbraw/zinc/46/03/77/521460377.db2.gz QGUBSLXNICWHCZ-UHFFFAOYSA-N 1 2 289.448 3.858 20 0 CHADLO CC[N@@H+](Cc1ncc(C(C)(C)C)s1)Cc1ccccn1 ZINC000279864528 521460383 /nfs/dbraw/zinc/46/03/83/521460383.db2.gz QGUBSLXNICWHCZ-UHFFFAOYSA-N 1 2 289.448 3.858 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2ccc(COC)o2)on1 ZINC000128806516 521633668 /nfs/dbraw/zinc/63/36/68/521633668.db2.gz RPJSLPCZJPCEHF-UHFFFAOYSA-N 1 2 292.379 3.607 20 0 CHADLO CCC1(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)CCCC1 ZINC000156126745 521672632 /nfs/dbraw/zinc/67/26/32/521672632.db2.gz NMUSBOCMEIQNEC-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO CCC[N@H+](Cc1nnc(C(C)C)o1)Cc1ccc(F)cc1 ZINC000263791523 521696086 /nfs/dbraw/zinc/69/60/86/521696086.db2.gz QUVSWUCGHDUSKC-UHFFFAOYSA-N 1 2 291.370 3.744 20 0 CHADLO CCC[N@@H+](Cc1nnc(C(C)C)o1)Cc1ccc(F)cc1 ZINC000263791523 521696090 /nfs/dbraw/zinc/69/60/90/521696090.db2.gz QUVSWUCGHDUSKC-UHFFFAOYSA-N 1 2 291.370 3.744 20 0 CHADLO CC[C@H](C)Oc1ccc([NH2+][C@@H]2CCOC[C@@H]2C)cc1 ZINC000195059480 521773279 /nfs/dbraw/zinc/77/32/79/521773279.db2.gz LRIGOAOIYCNEOV-HEHGZKQESA-N 1 2 263.381 3.701 20 0 CHADLO CCc1ccccc1CNc1cc[nH+]c(C2CC2)n1 ZINC000156717000 521846340 /nfs/dbraw/zinc/84/63/40/521846340.db2.gz JFUWLFBODMLLBC-UHFFFAOYSA-N 1 2 253.349 3.529 20 0 CHADLO CCc1ccccc1NC1CCN(c2cccc[nH+]2)CC1 ZINC000037213311 521908545 /nfs/dbraw/zinc/90/85/45/521908545.db2.gz DDZRURXWCIDSTB-UHFFFAOYSA-N 1 2 281.403 3.725 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)c2ccccc2F)[nH]1 ZINC000277094937 522056579 /nfs/dbraw/zinc/05/65/79/522056579.db2.gz GQNIHWIOLFFSAD-TUAOUCFPSA-N 1 2 290.386 3.869 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@@H](C)c1ccc(F)cc1 ZINC000265058758 522077841 /nfs/dbraw/zinc/07/78/41/522077841.db2.gz QFGQDYQQZURKHD-GFCCVEGCSA-N 1 2 286.350 3.915 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@@H](C)c1cccc(F)c1 ZINC000265246087 522078291 /nfs/dbraw/zinc/07/82/91/522078291.db2.gz DXGBIFSXHPPIJM-GFCCVEGCSA-N 1 2 286.350 3.915 20 0 CHADLO CCc1c[nH+]ccc1[C@@H](C)[C@@H]1[C@H]2CCO[C@H]2C12CCC2 ZINC000279819485 522088193 /nfs/dbraw/zinc/08/81/93/522088193.db2.gz XZPIQULIDPYEEJ-BASLNEPJSA-N 1 2 271.404 3.953 20 0 CHADLO CN(C)c1ccc(NCc2ncc(C(C)(C)C)s2)[nH+]c1 ZINC000279117872 522235949 /nfs/dbraw/zinc/23/59/49/522235949.db2.gz LFHCXIHVBOTZBQ-UHFFFAOYSA-N 1 2 290.436 3.514 20 0 CHADLO CN(C)c1ccc(NCc2cnc(C(C)(C)C)s2)[nH+]c1 ZINC000150902469 522236688 /nfs/dbraw/zinc/23/66/88/522236688.db2.gz VEYLVXHWJXYNFZ-UHFFFAOYSA-N 1 2 290.436 3.514 20 0 CHADLO CCc1nc(C[N@H+](C(C)C)[C@H](C)c2ccccc2)no1 ZINC000292195905 522287721 /nfs/dbraw/zinc/28/77/21/522287721.db2.gz NLQMVWLGTMJDQP-CYBMUJFWSA-N 1 2 273.380 3.604 20 0 CHADLO CCc1nc(C[N@@H+](C(C)C)[C@H](C)c2ccccc2)no1 ZINC000292195905 522287728 /nfs/dbraw/zinc/28/77/28/522287728.db2.gz NLQMVWLGTMJDQP-CYBMUJFWSA-N 1 2 273.380 3.604 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1ccc(Cl)cn1)c1ccc(C)o1 ZINC000274907673 522378729 /nfs/dbraw/zinc/37/87/29/522378729.db2.gz MMJQIMYOGMOFFL-BXUZGUMPSA-N 1 2 294.782 3.675 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1nccs1)c1ccc(Cl)cc1 ZINC000194032310 522382074 /nfs/dbraw/zinc/38/20/74/522382074.db2.gz QNUNOESJJOOZHY-ZWNOBZJWSA-N 1 2 296.823 3.835 20 0 CHADLO COC1(C[C@H](C)Nc2cccc(-c3[nH+]ccn3C)c2)CCC1 ZINC000278323135 522640301 /nfs/dbraw/zinc/64/03/01/522640301.db2.gz YYHKOTFDNJPRHN-AWEZNQCLSA-N 1 2 299.418 3.847 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(C2CC2)cc1F)c1nccn1C ZINC000293360974 522646487 /nfs/dbraw/zinc/64/64/87/522646487.db2.gz HJFIWYIEBPGBOF-INIZCTEOSA-N 1 2 287.382 3.678 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1ccc(Cl)cn1)c1ccc(C)o1 ZINC000274907676 522765983 /nfs/dbraw/zinc/76/59/83/522765983.db2.gz MMJQIMYOGMOFFL-RISCZKNCSA-N 1 2 294.782 3.675 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(C2CC2)n1)c1ccncc1 ZINC000266231192 522954472 /nfs/dbraw/zinc/95/44/72/522954472.db2.gz JLRBNELAAQJDIH-CQSZACIVSA-N 1 2 268.364 3.621 20 0 CHADLO COCc1ccc([C@H]2CCC[N@@H+]2C/C(Cl)=C/Cl)o1 ZINC000131260713 523019980 /nfs/dbraw/zinc/01/99/80/523019980.db2.gz IBOVTHRTUIPBNH-MQGYJPLLSA-N 1 2 290.190 3.882 20 0 CHADLO COCc1ccc([C@H]2CCC[N@H+]2C/C(Cl)=C/Cl)o1 ZINC000131260713 523019987 /nfs/dbraw/zinc/01/99/87/523019987.db2.gz IBOVTHRTUIPBNH-MQGYJPLLSA-N 1 2 290.190 3.882 20 0 CHADLO COCCCCC[N@@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000103560934 523110520 /nfs/dbraw/zinc/11/05/20/523110520.db2.gz WAAMXLYDEBJYSV-MRXNPFEDSA-N 1 2 297.826 3.530 20 0 CHADLO COCCCCC[N@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000103560934 523110532 /nfs/dbraw/zinc/11/05/32/523110532.db2.gz WAAMXLYDEBJYSV-MRXNPFEDSA-N 1 2 297.826 3.530 20 0 CHADLO CC[C@H]1CCN(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000130382228 523161394 /nfs/dbraw/zinc/16/13/94/523161394.db2.gz MEIODXHJTCXXHL-AWEZNQCLSA-N 1 2 296.370 3.746 20 0 CHADLO C[N@H+](Cc1cc(C(C)(C)C)on1)Cc1ccccc1 ZINC000278711697 523168446 /nfs/dbraw/zinc/16/84/46/523168446.db2.gz UKXSORYZXUZWQM-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO C[N@@H+](Cc1cc(C(C)(C)C)on1)Cc1ccccc1 ZINC000278711697 523168460 /nfs/dbraw/zinc/16/84/60/523168460.db2.gz UKXSORYZXUZWQM-UHFFFAOYSA-N 1 2 258.365 3.604 20 0 CHADLO C[N@H+](Cc1ccc(Cl)s1)Cc1ccc(F)cn1 ZINC000276107357 523235417 /nfs/dbraw/zinc/23/54/17/523235417.db2.gz ABBYZVLEIDJCSZ-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)s1)Cc1ccc(F)cn1 ZINC000276107357 523235422 /nfs/dbraw/zinc/23/54/22/523235422.db2.gz ABBYZVLEIDJCSZ-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@@H+]1Cc1cscc1C(F)(F)F ZINC000356091603 523346505 /nfs/dbraw/zinc/34/65/05/523346505.db2.gz GLNCKIBYJFEWGH-ONGXEEELSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@H+]1Cc1cscc1C(F)(F)F ZINC000356091603 523346512 /nfs/dbraw/zinc/34/65/12/523346512.db2.gz GLNCKIBYJFEWGH-ONGXEEELSA-N 1 2 293.354 3.766 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+][C@@H]2CCCc3occc32)cs1 ZINC000278747771 523473955 /nfs/dbraw/zinc/47/39/55/523473955.db2.gz NSTNCBVRQVVBHO-GXFFZTMASA-N 1 2 292.404 3.611 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+]Cc2ccsc2C)cs1 ZINC000339294864 523474693 /nfs/dbraw/zinc/47/46/93/523474693.db2.gz YQDVMIXNTOXKES-VIFPVBQESA-N 1 2 282.434 3.510 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(SC(F)F)cc1 ZINC000265794867 523481687 /nfs/dbraw/zinc/48/16/87/523481687.db2.gz NAPSVLNTOXMETC-UHFFFAOYSA-N 1 2 284.331 3.621 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(SC(F)F)cc1 ZINC000265794867 523481694 /nfs/dbraw/zinc/48/16/94/523481694.db2.gz NAPSVLNTOXMETC-UHFFFAOYSA-N 1 2 284.331 3.621 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1Cc1cccc(OC)c1 ZINC000281028700 523553366 /nfs/dbraw/zinc/55/33/66/523553366.db2.gz FUBMLFBYHAJDDW-KRWDZBQOSA-N 1 2 299.418 3.639 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1Cc1cccc(OC)c1 ZINC000281028700 523553373 /nfs/dbraw/zinc/55/33/73/523553373.db2.gz FUBMLFBYHAJDDW-KRWDZBQOSA-N 1 2 299.418 3.639 20 0 CHADLO COc1ccc(C(=O)Nc2cc[nH+]cc2C)cc1C1CC1 ZINC000277586884 523760176 /nfs/dbraw/zinc/76/01/76/523760176.db2.gz LCALVINLCJLQPG-UHFFFAOYSA-N 1 2 282.343 3.528 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@@H+]2Cc2cnns2)o1 ZINC000289973963 524034853 /nfs/dbraw/zinc/03/48/53/524034853.db2.gz JGVJLBHICRZODC-AWEZNQCLSA-N 1 2 291.420 3.811 20 0 CHADLO CCc1ccc([C@@H]2CCCCC[N@H+]2Cc2cnns2)o1 ZINC000289973963 524034855 /nfs/dbraw/zinc/03/48/55/524034855.db2.gz JGVJLBHICRZODC-AWEZNQCLSA-N 1 2 291.420 3.811 20 0 CHADLO COc1ccc(CNc2ccc(C)[nH+]c2)cc1OC(F)F ZINC000128014931 524099099 /nfs/dbraw/zinc/09/90/99/524099099.db2.gz RCUHCMARCJWCMG-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO COc1ccc(F)cc1C[NH2+]Cc1nc(C(C)C)cs1 ZINC000291197255 524314764 /nfs/dbraw/zinc/31/47/64/524314764.db2.gz MPUIZBASEAYXHM-UHFFFAOYSA-N 1 2 294.395 3.704 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000162368830 524329910 /nfs/dbraw/zinc/32/99/10/524329910.db2.gz GXTUZXHQHMRSPJ-ZJUUUORDSA-N 1 2 280.368 3.703 20 0 CHADLO C[C@@H]1CCC[C@H](C)N(C(=O)c2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000150800455 524353788 /nfs/dbraw/zinc/35/37/88/524353788.db2.gz BOQGRFCZPJLQQY-CABCVRRESA-N 1 2 297.402 3.523 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2nc(C(C)C)no2)s1 ZINC000076342889 524400835 /nfs/dbraw/zinc/40/08/35/524400835.db2.gz CBMJQCSULSYOEA-LLVKDONJSA-N 1 2 279.409 3.756 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2nc(C(C)C)no2)s1 ZINC000076342889 524400843 /nfs/dbraw/zinc/40/08/43/524400843.db2.gz CBMJQCSULSYOEA-LLVKDONJSA-N 1 2 279.409 3.756 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)ncn1 ZINC000292003611 524667234 /nfs/dbraw/zinc/66/72/34/524667234.db2.gz CBXBMQNWPMGGHO-SJCJKPOMSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)ncn1 ZINC000292003611 524667239 /nfs/dbraw/zinc/66/72/39/524667239.db2.gz CBXBMQNWPMGGHO-SJCJKPOMSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)ncn1 ZINC000292003603 524668426 /nfs/dbraw/zinc/66/84/26/524668426.db2.gz CBXBMQNWPMGGHO-PXAZEXFGSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)ncn1 ZINC000292003603 524668436 /nfs/dbraw/zinc/66/84/36/524668436.db2.gz CBXBMQNWPMGGHO-PXAZEXFGSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1ccc(Cc2noc([C@H]3CCC(C)(C)C3)n2)c[nH+]1 ZINC000356124168 524698206 /nfs/dbraw/zinc/69/82/06/524698206.db2.gz JDTGKTBYZZMIKE-ZDUSSCGKSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)on1 ZINC000044987067 524777734 /nfs/dbraw/zinc/77/77/34/524777734.db2.gz ZUJGZNJFZZYCTJ-SECBINFHSA-N 1 2 268.719 3.626 20 0 CHADLO Cc1cc(CNc2ccc(-n3cc[nH+]c3)cc2)ccc1F ZINC000155940456 524787544 /nfs/dbraw/zinc/78/75/44/524787544.db2.gz HUUCQCLAJJSURE-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO C[C@@H](COCC(F)(F)F)Nc1ccc2ccccc2[nH+]1 ZINC000299345267 524817890 /nfs/dbraw/zinc/81/78/90/524817890.db2.gz PLTVHUJXLHIKRU-JTQLQIEISA-N 1 2 284.281 3.614 20 0 CHADLO COc1ccncc1C[NH2+]Cc1cccc(Cl)c1Cl ZINC000353607985 524856861 /nfs/dbraw/zinc/85/68/61/524856861.db2.gz CPMCXUKCFDXUSN-UHFFFAOYSA-N 1 2 297.185 3.687 20 0 CHADLO COc1cc[nH+]cc1CNC(=O)Nc1ccc(C(C)C)cc1 ZINC000292897278 524857066 /nfs/dbraw/zinc/85/70/66/524857066.db2.gz VVJDSXHPZXMCHG-UHFFFAOYSA-N 1 2 299.374 3.535 20 0 CHADLO COc1cc[nH+]cc1CSCc1csc(C2CC2)n1 ZINC000289412985 524862224 /nfs/dbraw/zinc/86/22/24/524862224.db2.gz OTLHECAJGJXTRK-UHFFFAOYSA-N 1 2 292.429 3.858 20 0 CHADLO Cc1cccc([C@H]2CCCN2c2cc(C)[nH+]c(C3CC3)n2)n1 ZINC000150937622 524897135 /nfs/dbraw/zinc/89/71/35/524897135.db2.gz AWJPBFVEJMMTEF-MRXNPFEDSA-N 1 2 294.402 3.707 20 0 CHADLO Cc1cccc2[nH+]c(CNc3cc(F)cc(F)c3)cn21 ZINC000157263550 524991209 /nfs/dbraw/zinc/99/12/09/524991209.db2.gz MMONBQIJYOJASW-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO Cc1ccc(NC(=O)N2CC(C3CCCCC3)C2)c(C)[nH+]1 ZINC000296756659 525118105 /nfs/dbraw/zinc/11/81/05/525118105.db2.gz YNFXAKVGNSYFFS-UHFFFAOYSA-N 1 2 287.407 3.742 20 0 CHADLO Cc1cc(NC(=O)N(Cc2cccc(F)c2)C2CC2)cc[nH+]1 ZINC000119656444 525172022 /nfs/dbraw/zinc/17/20/22/525172022.db2.gz OOYQOCPJXCPXAL-UHFFFAOYSA-N 1 2 299.349 3.726 20 0 CHADLO Cc1cc(NCc2cc3ccccc3[nH]2)nc(C2CC2)[nH+]1 ZINC000265295133 525278227 /nfs/dbraw/zinc/27/82/27/525278227.db2.gz CAPLFYALSSMLEK-UHFFFAOYSA-N 1 2 278.359 3.756 20 0 CHADLO Cc1ccccc1[C@@H](NC(=O)CCc1[nH]cc[nH+]1)C(C)(C)C ZINC000279299152 525367979 /nfs/dbraw/zinc/36/79/79/525367979.db2.gz ZQDJLMAONSIMMB-QGZVFWFLSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1n[nH]cc1C[N@@H+]1CCCC[C@H]1c1ccc(F)cc1F ZINC000355208412 534852778 /nfs/dbraw/zinc/85/27/78/534852778.db2.gz PRAVQWIEFJGWRI-INIZCTEOSA-N 1 2 291.345 3.724 20 0 CHADLO Cc1n[nH]cc1C[N@H+]1CCCC[C@H]1c1ccc(F)cc1F ZINC000355208412 534852780 /nfs/dbraw/zinc/85/27/80/534852780.db2.gz PRAVQWIEFJGWRI-INIZCTEOSA-N 1 2 291.345 3.724 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1nccs1 ZINC000094966079 525485913 /nfs/dbraw/zinc/48/59/13/525485913.db2.gz JLCWMYWYCYMQSE-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](C)c2nnc(C(C)C)[nH]2)c(C)o1 ZINC000278668071 525526251 /nfs/dbraw/zinc/52/62/51/525526251.db2.gz JBRSNVJNFAKSLQ-QWRGUYRKSA-N 1 2 276.384 3.550 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2CCCOc3ccccc32)no1 ZINC000289629626 525528599 /nfs/dbraw/zinc/52/85/99/525528599.db2.gz HFBQWIYWVKVABB-GXTWGEPZSA-N 1 2 272.348 3.548 20 0 CHADLO Cc1ccn(-c2ccc(NCc3ccc(C)[nH+]c3C)cc2)n1 ZINC000340624338 525560103 /nfs/dbraw/zinc/56/01/03/525560103.db2.gz ILEAKJJHHHQGKR-UHFFFAOYSA-N 1 2 292.386 3.805 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncnn1C)c1cc(Cl)cc(Cl)c1 ZINC000276732802 525624263 /nfs/dbraw/zinc/62/42/63/525624263.db2.gz YNYNDQLCWGRUPC-BDAKNGLRSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1ccccc1)C1CC1)c1nc(C2CC2)no1 ZINC000128095110 525629602 /nfs/dbraw/zinc/62/96/02/525629602.db2.gz IXZRYJVLQRCTGD-ABAIWWIYSA-N 1 2 283.375 3.749 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)c1ccc(Cl)cn1 ZINC000274810826 525653556 /nfs/dbraw/zinc/65/35/56/525653556.db2.gz XVEUEQUDOHHUGX-NSHDSACASA-N 1 2 275.783 3.974 20 0 CHADLO C[C@H](O)CC(C)(C)CNc1[nH+]ccc2ccc(F)cc21 ZINC000354341001 525707605 /nfs/dbraw/zinc/70/76/05/525707605.db2.gz RVEAIOVHHZSLDC-NSHDSACASA-N 1 2 276.355 3.583 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1ccco1 ZINC000036988666 525708479 /nfs/dbraw/zinc/70/84/79/525708479.db2.gz PECRUCAENXATPH-CYBMUJFWSA-N 1 2 271.364 3.838 20 0 CHADLO Cc1ccc([C@@H](C)Nc2ccc(N3CCCC3)[nH+]c2)o1 ZINC000036982240 525752996 /nfs/dbraw/zinc/75/29/96/525752996.db2.gz FEOBMIVENRFWJM-CYBMUJFWSA-N 1 2 271.364 3.756 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1ncc(C(C)(C)C)s1 ZINC000291662212 525804399 /nfs/dbraw/zinc/80/43/99/525804399.db2.gz FGVOUMWSPJOOEM-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C1CCCCC1 ZINC000279833947 525832966 /nfs/dbraw/zinc/83/29/66/525832966.db2.gz BEYCBJUBPWCPKD-UHFFFAOYSA-N 1 2 283.375 3.904 20 0 CHADLO CS[C@@H]1CC[C@@H](Nc2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000226803868 525842536 /nfs/dbraw/zinc/84/25/36/525842536.db2.gz CNNDJLMWKXGRRY-NXEZZACHSA-N 1 2 276.327 3.796 20 0 CHADLO Cc1cn2cc(NC(=O)C(C)(C)c3cccs3)ccc2[nH+]1 ZINC000265242825 525948863 /nfs/dbraw/zinc/94/88/63/525948863.db2.gz XNCRJNBADKBXKH-UHFFFAOYSA-N 1 2 299.399 3.621 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@@H+]2Cc2nc(C3CC3)no2)cc1 ZINC000265975806 525995967 /nfs/dbraw/zinc/99/59/67/525995967.db2.gz BNTNZHCOOBXZAF-MRXNPFEDSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@H+]2Cc2nc(C3CC3)no2)cc1 ZINC000265975806 525995976 /nfs/dbraw/zinc/99/59/76/525995976.db2.gz BNTNZHCOOBXZAF-MRXNPFEDSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@H+]2Cc2ncnn2C(C)C)cc1 ZINC000280062772 525996767 /nfs/dbraw/zinc/99/67/67/525996767.db2.gz PTCUSABXZVWAKP-QGZVFWFLSA-N 1 2 298.434 3.895 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@@H+]2Cc2ncnn2C(C)C)cc1 ZINC000280062772 525996777 /nfs/dbraw/zinc/99/67/77/525996777.db2.gz PTCUSABXZVWAKP-QGZVFWFLSA-N 1 2 298.434 3.895 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2[C@@H](C)c2nc(C3CC3)no2)o1 ZINC000265398810 526013902 /nfs/dbraw/zinc/01/39/02/526013902.db2.gz XIDGMLNFLSHYQZ-WCQYABFASA-N 1 2 287.363 3.747 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2[C@@H](C)c2nc(C3CC3)no2)o1 ZINC000265398810 526013910 /nfs/dbraw/zinc/01/39/10/526013910.db2.gz XIDGMLNFLSHYQZ-WCQYABFASA-N 1 2 287.363 3.747 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@@H](C)C[C@H]2c2cccc(F)c2)nc1 ZINC000340845093 526025164 /nfs/dbraw/zinc/02/51/64/526025164.db2.gz XJVALQCHHGVVQU-LRDDRELGSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@@H](C)C[C@H]2c2cccc(F)c2)nc1 ZINC000340845093 526025176 /nfs/dbraw/zinc/02/51/76/526025176.db2.gz XJVALQCHHGVVQU-LRDDRELGSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2cccc(F)c2)nc1 ZINC000340845090 526025420 /nfs/dbraw/zinc/02/54/20/526025420.db2.gz XJVALQCHHGVVQU-BLLLJJGKSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1cnc(C[N@H+]2C[C@@H](C)C[C@@H]2c2cccc(F)c2)nc1 ZINC000340845090 526025433 /nfs/dbraw/zinc/02/54/33/526025433.db2.gz XJVALQCHHGVVQU-BLLLJJGKSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2ccon2)o1 ZINC000076120559 526031151 /nfs/dbraw/zinc/03/11/51/526031151.db2.gz WJHQMCWOMYSUJM-SMDDNHRTSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2ccon2)o1 ZINC000076120559 526031157 /nfs/dbraw/zinc/03/11/57/526031157.db2.gz WJHQMCWOMYSUJM-SMDDNHRTSA-N 1 2 260.337 3.549 20 0 CHADLO C[C@@H](c1ccc(C(F)(F)F)cc1)[N@H+](C)Cc1ccon1 ZINC000265791984 526038593 /nfs/dbraw/zinc/03/85/93/526038593.db2.gz MLMKFWUSQXWNTI-JTQLQIEISA-N 1 2 284.281 3.886 20 0 CHADLO C[C@@H](c1ccc(C(F)(F)F)cc1)[N@@H+](C)Cc1ccon1 ZINC000265791984 526038601 /nfs/dbraw/zinc/03/86/01/526038601.db2.gz MLMKFWUSQXWNTI-JTQLQIEISA-N 1 2 284.281 3.886 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2ncc(C)cn2)o1 ZINC000340691181 526039525 /nfs/dbraw/zinc/03/95/25/526039525.db2.gz QMVXBWBGLMIOIB-IUODEOHRSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2ncc(C)cn2)o1 ZINC000340691181 526039535 /nfs/dbraw/zinc/03/95/35/526039535.db2.gz QMVXBWBGLMIOIB-IUODEOHRSA-N 1 2 285.391 3.660 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1Cl)N(C)Cc1[nH+]ccn1C ZINC000299539807 526048406 /nfs/dbraw/zinc/04/84/06/526048406.db2.gz OWGKGQUAWANFJX-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1cc(-c2ccco2)on1 ZINC000111240028 526083285 /nfs/dbraw/zinc/08/32/85/526083285.db2.gz FZUBHPRTADLHIW-GFCCVEGCSA-N 1 2 298.342 3.833 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1cc(-c2ccco2)on1 ZINC000111240028 526083286 /nfs/dbraw/zinc/08/32/86/526083286.db2.gz FZUBHPRTADLHIW-GFCCVEGCSA-N 1 2 298.342 3.833 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](Cc1ccnn1C)C1CC1 ZINC000129887740 526102122 /nfs/dbraw/zinc/10/21/22/526102122.db2.gz UNBOVLHPFJMDJE-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](Cc1ccnn1C)C1CC1 ZINC000129887740 526102134 /nfs/dbraw/zinc/10/21/34/526102134.db2.gz UNBOVLHPFJMDJE-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO Cc1ccc2[nH]c([C@H]3CCC[N@@H+]3Cc3ccccn3)nc2c1 ZINC000289478229 526107129 /nfs/dbraw/zinc/10/71/29/526107129.db2.gz ZQBHPGDBDQDYDX-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2[nH]c([C@H]3CCC[N@H+]3Cc3ccccn3)nc2c1 ZINC000289478229 526107134 /nfs/dbraw/zinc/10/71/34/526107134.db2.gz ZQBHPGDBDQDYDX-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2nc([C@H]3CCC[N@@H+]3Cc3ccccn3)[nH]c2c1 ZINC000289478229 526107140 /nfs/dbraw/zinc/10/71/40/526107140.db2.gz ZQBHPGDBDQDYDX-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2nc([C@H]3CCC[N@H+]3Cc3ccccn3)[nH]c2c1 ZINC000289478229 526107145 /nfs/dbraw/zinc/10/71/45/526107145.db2.gz ZQBHPGDBDQDYDX-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+](C)Cc1csc(-c2ccco2)n1 ZINC000127983234 526111394 /nfs/dbraw/zinc/11/13/94/526111394.db2.gz ITVBBDPHPZABON-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+](C)Cc1csc(-c2ccco2)n1 ZINC000127983234 526111400 /nfs/dbraw/zinc/11/14/00/526111400.db2.gz ITVBBDPHPZABON-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1nncn1-c1ccccc1 ZINC000277646705 526146870 /nfs/dbraw/zinc/14/68/70/526146870.db2.gz HXKSGVSGVXRIGT-ZDUSSCGKSA-N 1 2 298.415 3.522 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1nncn1-c1ccccc1 ZINC000277646705 526146879 /nfs/dbraw/zinc/14/68/79/526146879.db2.gz HXKSGVSGVXRIGT-ZDUSSCGKSA-N 1 2 298.415 3.522 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@@H+]1C[C@@H](C)[C@@H]1C ZINC000292958944 526178487 /nfs/dbraw/zinc/17/84/87/526178487.db2.gz JIZMZOSQDILZKF-OUAUKWLOSA-N 1 2 279.334 3.833 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@H+]1C[C@@H](C)[C@@H]1C ZINC000292958944 526178497 /nfs/dbraw/zinc/17/84/97/526178497.db2.gz JIZMZOSQDILZKF-OUAUKWLOSA-N 1 2 279.334 3.833 20 0 CHADLO Cc1c[nH+]cc(NCc2csc(C(C)(C)C)n2)c1 ZINC000119633852 526210620 /nfs/dbraw/zinc/21/06/20/526210620.db2.gz WOEXEFGDXWAVSF-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1csc(-c2ccc(F)cc2)n1 ZINC000293063543 526288817 /nfs/dbraw/zinc/28/88/17/526288817.db2.gz NSZKIRCFTYEUAO-CQSZACIVSA-N 1 2 294.370 3.883 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1csc(-c2ccc(F)cc2)n1 ZINC000293063543 526288819 /nfs/dbraw/zinc/28/88/19/526288819.db2.gz NSZKIRCFTYEUAO-CQSZACIVSA-N 1 2 294.370 3.883 20 0 CHADLO Fc1ccc(Br)cc1CNc1cccc[nH+]1 ZINC000041042998 526323905 /nfs/dbraw/zinc/32/39/05/526323905.db2.gz NWVVFGMSSKHHBG-UHFFFAOYSA-N 1 2 281.128 3.595 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000299394762 526332865 /nfs/dbraw/zinc/33/28/65/526332865.db2.gz SPTYZVPBSSKPMS-SECBINFHSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@H](C)c2ccc(C(F)(F)F)cc2)o1 ZINC000299394762 526332873 /nfs/dbraw/zinc/33/28/73/526332873.db2.gz SPTYZVPBSSKPMS-SECBINFHSA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nnc(C[NH2+][C@]2(c3ccc(Cl)cc3)C[C@H]2C)s1 ZINC000337453665 526344690 /nfs/dbraw/zinc/34/46/90/526344690.db2.gz VLJMIVKPIAULDK-YMTOWFKASA-N 1 2 293.823 3.525 20 0 CHADLO Cc1csc(C[NH2+][C@@H](C)c2nc3c(s2)CCCC3)n1 ZINC000130230151 526359596 /nfs/dbraw/zinc/35/95/96/526359596.db2.gz MFRXLTGAOPCMBX-JTQLQIEISA-N 1 2 293.461 3.638 20 0 CHADLO Fc1cccc(C[NH2+]Cc2ncc(C(F)(F)F)s2)c1 ZINC000278572280 526388780 /nfs/dbraw/zinc/38/87/80/526388780.db2.gz DVPLDQXWGIMHEC-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO c1cc(-c2noc(C[NH2+]C3(c4ccccc4)CC3)n2)cs1 ZINC000264553540 526415638 /nfs/dbraw/zinc/41/56/38/526415638.db2.gz KKRIPKIJVCSVBU-UHFFFAOYSA-N 1 2 297.383 3.577 20 0 CHADLO Cc1csc([C@H]2COCC[N@@H+]2CCCC(C)(C)C)n1 ZINC000337211970 526445947 /nfs/dbraw/zinc/44/59/47/526445947.db2.gz VDHHWAUUUSUTIN-CYBMUJFWSA-N 1 2 282.453 3.651 20 0 CHADLO Cc1csc([C@H]2COCC[N@H+]2CCCC(C)(C)C)n1 ZINC000337211970 526445951 /nfs/dbraw/zinc/44/59/51/526445951.db2.gz VDHHWAUUUSUTIN-CYBMUJFWSA-N 1 2 282.453 3.651 20 0 CHADLO CC(=O)N(C)c1cccc(Nc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000301659977 526484216 /nfs/dbraw/zinc/48/42/16/526484216.db2.gz MKLKOXYGXNJAJB-UHFFFAOYSA-N 1 2 298.390 3.635 20 0 CHADLO c1cn(-c2cccc(-c3nc(-c4ccsc4)no3)c2)c[nH+]1 ZINC000118165710 526523576 /nfs/dbraw/zinc/52/35/76/526523576.db2.gz VMTRJZJQIQLPQO-UHFFFAOYSA-N 1 2 294.339 3.651 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CCSc2cc(C)c(C)cc21 ZINC000275344373 526554100 /nfs/dbraw/zinc/55/41/00/526554100.db2.gz PKADSBRVPMDLQI-OAHLLOKOSA-N 1 2 287.432 3.662 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H](C)c1ccc(SC(F)F)cc1 ZINC000271424516 526556623 /nfs/dbraw/zinc/55/66/23/526556623.db2.gz BALCZTIHBHZXGH-SECBINFHSA-N 1 2 297.374 3.884 20 0 CHADLO c1nnc([C@H]([NH2+]CCC2=CCCCCC2)c2ccccc2)[nH]1 ZINC000290259823 526622168 /nfs/dbraw/zinc/62/21/68/526622168.db2.gz KOFZAQVWNLYNFX-QGZVFWFLSA-N 1 2 296.418 3.764 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2ccc(C)c(F)c2)n1 ZINC000289789075 526650181 /nfs/dbraw/zinc/65/01/81/526650181.db2.gz LTRIHTQEFKKZQS-HNNXBMFYSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2ccc(C)c(F)c2)n1 ZINC000289789075 526650187 /nfs/dbraw/zinc/65/01/87/526650187.db2.gz LTRIHTQEFKKZQS-HNNXBMFYSA-N 1 2 289.354 3.553 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@@H+]2Cc2ccc3occc3c2)n1 ZINC000289784590 526650918 /nfs/dbraw/zinc/65/09/18/526650918.db2.gz LKQVIYRNTUJJBC-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1noc([C@@H]2CCCC[N@H+]2Cc2ccc3occc3c2)n1 ZINC000289784590 526650925 /nfs/dbraw/zinc/65/09/25/526650925.db2.gz LKQVIYRNTUJJBC-HNNXBMFYSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@@H]1CCO[C@@H]1C ZINC000338558621 526668233 /nfs/dbraw/zinc/66/82/33/526668233.db2.gz XGRYXCAEAPFLJW-IUODEOHRSA-N 1 2 265.422 3.924 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1COC(=O)CC[C@@H]1CCCO1 ZINC000293276539 526668951 /nfs/dbraw/zinc/66/89/51/526668951.db2.gz CIADHHAWKXEFPI-HNNXBMFYSA-N 1 2 291.391 3.516 20 0 CHADLO Cc1nonc1C[N@@H+](C)[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000265157858 526701385 /nfs/dbraw/zinc/70/13/85/526701385.db2.gz VGDLRKFJOFYTIY-JTQLQIEISA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nonc1C[N@H+](C)[C@@H](C)c1ccc(C(F)(F)F)cc1 ZINC000265157858 526701388 /nfs/dbraw/zinc/70/13/88/526701388.db2.gz VGDLRKFJOFYTIY-JTQLQIEISA-N 1 2 299.296 3.590 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](C)c1cc(Cl)ccc1Cl ZINC000275082197 526703778 /nfs/dbraw/zinc/70/37/78/526703778.db2.gz DVIUAYLVHMNMHG-ZETCQYMHSA-N 1 2 286.162 3.536 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2ccsc2)cs1 ZINC000076719994 526860484 /nfs/dbraw/zinc/86/04/84/526860484.db2.gz ANBBXVYZZBDFTC-CYBMUJFWSA-N 1 2 264.419 3.850 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2ccsc2)cs1 ZINC000076719994 526860488 /nfs/dbraw/zinc/86/04/88/526860488.db2.gz ANBBXVYZZBDFTC-CYBMUJFWSA-N 1 2 264.419 3.850 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC23CCC3)cs1 ZINC000353669008 526861679 /nfs/dbraw/zinc/86/16/79/526861679.db2.gz HNGIQFJXXNCIGH-UHFFFAOYSA-N 1 2 250.411 3.569 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC23CCC3)cs1 ZINC000353669008 526861684 /nfs/dbraw/zinc/86/16/84/526861684.db2.gz HNGIQFJXXNCIGH-UHFFFAOYSA-N 1 2 250.411 3.569 20 0 CHADLO Cc1nc(C[N@@H+]2CCSC[C@H]2c2ccccc2)cs1 ZINC000076731398 526869713 /nfs/dbraw/zinc/86/97/13/526869713.db2.gz PCNKGVFMXPASBA-HNNXBMFYSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1nc(C[N@H+]2CCSC[C@H]2c2ccccc2)cs1 ZINC000076731398 526869722 /nfs/dbraw/zinc/86/97/22/526869722.db2.gz PCNKGVFMXPASBA-HNNXBMFYSA-N 1 2 290.457 3.742 20 0 CHADLO Clc1cc2c(s1)CC[C@@H]2[NH2+]Cc1cscn1 ZINC000088117301 526931770 /nfs/dbraw/zinc/93/17/70/526931770.db2.gz YYXFFQJDNCGSCA-VIFPVBQESA-N 1 2 270.810 3.635 20 0 CHADLO Clc1ccc([C@H]2CSCC[N@@H+]2Cc2ccno2)cc1 ZINC000265365543 526964214 /nfs/dbraw/zinc/96/42/14/526964214.db2.gz NEDNXYVRLYLZJM-CQSZACIVSA-N 1 2 294.807 3.618 20 0 CHADLO Clc1ccc([C@H]2CSCC[N@H+]2Cc2ccno2)cc1 ZINC000265365543 526964222 /nfs/dbraw/zinc/96/42/22/526964222.db2.gz NEDNXYVRLYLZJM-CQSZACIVSA-N 1 2 294.807 3.618 20 0 CHADLO Clc1cccc2c1CN(c1[nH]c3ccccc3[nH+]1)C2 ZINC000340923738 526983279 /nfs/dbraw/zinc/98/32/79/526983279.db2.gz WUOCDTUZXMLKDB-UHFFFAOYSA-N 1 2 269.735 3.737 20 0 CHADLO Clc1nccc(C[N@@H+]2CCCC3(CC3)C2)c1Cl ZINC000290855504 527009204 /nfs/dbraw/zinc/00/92/04/527009204.db2.gz KAVYZDJRWUSUCD-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO Clc1nccc(C[N@H+]2CCCC3(CC3)C2)c1Cl ZINC000290855504 527009210 /nfs/dbraw/zinc/00/92/10/527009210.db2.gz KAVYZDJRWUSUCD-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccccc2OC(F)F)cs1 ZINC000036915321 527033063 /nfs/dbraw/zinc/03/30/63/527033063.db2.gz IBQHUHXKGNMADO-SECBINFHSA-N 1 2 298.358 3.904 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccc(F)c(F)c2)cs1 ZINC000049577451 527064644 /nfs/dbraw/zinc/06/46/44/527064644.db2.gz UTHFYABFCGMHSF-QMMMGPOBSA-N 1 2 268.332 3.581 20 0 CHADLO Cc1[nH]c(C(=O)N[C@H](CC(C)C)c2ccccc2C)c[nH+]1 ZINC000339234168 527241164 /nfs/dbraw/zinc/24/11/64/527241164.db2.gz HXDXGNYRJPXJEN-OAHLLOKOSA-N 1 2 285.391 3.544 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)cn1 ZINC000339289102 527251174 /nfs/dbraw/zinc/25/11/74/527251174.db2.gz BBLOFSSPPXICNN-LLVKDONJSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@H](C)c2ccccc2Cl)cn1 ZINC000339289102 527251180 /nfs/dbraw/zinc/25/11/80/527251180.db2.gz BBLOFSSPPXICNN-LLVKDONJSA-N 1 2 275.783 3.631 20 0 CHADLO O=C(Nc1cccc(-c2[nH]cc[nH+]2)c1)c1sccc1F ZINC000339898493 527313565 /nfs/dbraw/zinc/31/35/65/527313565.db2.gz UNDQYZJRNAZSCI-UHFFFAOYSA-N 1 2 287.319 3.530 20 0 CHADLO Cn1ccnc1C[N@H+](Cc1ccc2ccccc2c1)C1CC1 ZINC000263804891 527430698 /nfs/dbraw/zinc/43/06/98/527430698.db2.gz PGKUFAOKKQCBPH-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cn1ccnc1C[N@@H+](Cc1ccc2ccccc2c1)C1CC1 ZINC000263804891 527430708 /nfs/dbraw/zinc/43/07/08/527430708.db2.gz PGKUFAOKKQCBPH-UHFFFAOYSA-N 1 2 291.398 3.738 20 0 CHADLO Cn1cc[nH+]c1CSc1nc2ccc(Cl)cc2o1 ZINC000069899047 527437688 /nfs/dbraw/zinc/43/76/88/527437688.db2.gz LRGIAYUKQGSTGH-UHFFFAOYSA-N 1 2 279.752 3.507 20 0 CHADLO FC(F)(F)c1ccc(N2CCCC[C@H]2c2[nH]cc[nH+]2)nc1 ZINC000276560055 527682821 /nfs/dbraw/zinc/68/28/21/527682821.db2.gz GGLLFLXKKUAFSV-NSHDSACASA-N 1 2 296.296 3.555 20 0 CHADLO FC(F)C1([NH2+]Cc2cccc(-c3cccnc3)c2)CC1 ZINC000337307349 527692682 /nfs/dbraw/zinc/69/26/82/527692682.db2.gz SIKRAWRUGHXOGY-UHFFFAOYSA-N 1 2 274.314 3.636 20 0 CHADLO FC(F)O[C@@H]1CCC[C@@H]1Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000336909546 527694857 /nfs/dbraw/zinc/69/48/57/527694857.db2.gz WIFLCQBUEFVZGV-DTWKUNHWSA-N 1 2 296.239 3.673 20 0 CHADLO FC(F)Oc1ccc(N[C@H]2CCn3cc[nH+]c32)cc1Cl ZINC000293857923 527696502 /nfs/dbraw/zinc/69/65/02/527696502.db2.gz DDCAUGISCNVMBL-JTQLQIEISA-N 1 2 299.708 3.695 20 0 CHADLO FC(F)[C@@H]([NH2+]CCCC1CCOCC1)c1ccccc1 ZINC000292799955 527698217 /nfs/dbraw/zinc/69/82/17/527698217.db2.gz RHXZYYLOLZZQNU-HNNXBMFYSA-N 1 2 283.362 3.789 20 0 CHADLO CC(C)CCc1noc(-c2cccc(-n3cc[nH+]c3)c2)n1 ZINC000345040416 527872018 /nfs/dbraw/zinc/87/20/18/527872018.db2.gz SLHZUNSGSXUWSR-UHFFFAOYSA-N 1 2 282.347 3.511 20 0 CHADLO CCCC1(CNC(=O)Nc2c(C)cc[nH+]c2C)CCC1 ZINC000351930929 528054681 /nfs/dbraw/zinc/05/46/81/528054681.db2.gz LXKQJECQXRJQEI-UHFFFAOYSA-N 1 2 275.396 3.790 20 0 CHADLO CC(C)c1ccc(C(=O)N2CCC[C@@H]2c2[nH]cc[nH+]2)cc1 ZINC000336443892 528061765 /nfs/dbraw/zinc/06/17/65/528061765.db2.gz IBVOFHINEQPHQM-OAHLLOKOSA-N 1 2 283.375 3.510 20 0 CHADLO CCCC1(c2nc(Cc3ccc(C)[nH+]c3)no2)CCC1 ZINC000356110831 528063455 /nfs/dbraw/zinc/06/34/55/528063455.db2.gz BEJITUQDHLWGMR-UHFFFAOYSA-N 1 2 271.364 3.586 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc(SC)c[nH+]2)C12CCCC2 ZINC000347257763 528145286 /nfs/dbraw/zinc/14/52/86/528145286.db2.gz MWWDOKHFJRJMHW-KBPBESRZSA-N 1 2 292.448 3.953 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCC[C@H]2C(F)F)c1 ZINC000353169838 528222304 /nfs/dbraw/zinc/22/23/04/528222304.db2.gz JMJYFLNPNLRYER-AWEZNQCLSA-N 1 2 269.335 3.703 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCC[C@H]2C(F)F)c1 ZINC000353169838 528222310 /nfs/dbraw/zinc/22/23/10/528222310.db2.gz JMJYFLNPNLRYER-AWEZNQCLSA-N 1 2 269.335 3.703 20 0 CHADLO CC(C)c1csc(C[NH2+]Cc2ccc(Cl)o2)n1 ZINC000344924395 528234972 /nfs/dbraw/zinc/23/49/72/528234972.db2.gz GHXRSYCFSUSDDM-UHFFFAOYSA-N 1 2 270.785 3.803 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2cc(C)ccn2)c[nH+]1 ZINC000353655760 528313360 /nfs/dbraw/zinc/31/33/60/528313360.db2.gz QEQJGPSTXGDTLF-CQSZACIVSA-N 1 2 284.407 3.804 20 0 CHADLO CC(C)c1ncc(C[N@@H+]2CCc3cc(F)ccc3C2)s1 ZINC000354794633 528349379 /nfs/dbraw/zinc/34/93/79/528349379.db2.gz PVTNEBDLXAAHHG-UHFFFAOYSA-N 1 2 290.407 3.964 20 0 CHADLO CC(C)c1ncc(C[N@H+]2CCc3cc(F)ccc3C2)s1 ZINC000354794633 528349386 /nfs/dbraw/zinc/34/93/86/528349386.db2.gz PVTNEBDLXAAHHG-UHFFFAOYSA-N 1 2 290.407 3.964 20 0 CHADLO CC(C)c1ncc(C[NH2+][C@@H](C)c2c(F)cncc2F)s1 ZINC000353122724 528351834 /nfs/dbraw/zinc/35/18/34/528351834.db2.gz WJMUGUNTVDJNCD-VIFPVBQESA-N 1 2 297.374 3.791 20 0 CHADLO CCCCSCCC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000352167861 528736232 /nfs/dbraw/zinc/73/62/32/528736232.db2.gz NPXBZZFDRACWIY-UHFFFAOYSA-N 1 2 291.420 3.733 20 0 CHADLO CCOc1ccccc1NCCCc1ccc(C)[nH+]c1 ZINC000353828323 528746178 /nfs/dbraw/zinc/74/61/78/528746178.db2.gz ITPJSXGKXBIPQL-UHFFFAOYSA-N 1 2 270.376 3.833 20 0 CHADLO CC(C)[C@@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)CCO1 ZINC000353151851 528748041 /nfs/dbraw/zinc/74/80/41/528748041.db2.gz MKSSLZFNGNCNQJ-HNNXBMFYSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)[C@@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)CCO1 ZINC000353151851 528748048 /nfs/dbraw/zinc/74/80/48/528748048.db2.gz MKSSLZFNGNCNQJ-HNNXBMFYSA-N 1 2 294.464 3.658 20 0 CHADLO CC[N@H+](Cc1nccs1)[C@@H](C)c1ccc(F)cc1 ZINC000352284976 528889861 /nfs/dbraw/zinc/88/98/61/528889861.db2.gz CMBGEMPLMGCROD-NSHDSACASA-N 1 2 264.369 3.865 20 0 CHADLO CC[N@@H+](Cc1nccs1)[C@@H](C)c1ccc(F)cc1 ZINC000352284976 528889866 /nfs/dbraw/zinc/88/98/66/528889866.db2.gz CMBGEMPLMGCROD-NSHDSACASA-N 1 2 264.369 3.865 20 0 CHADLO CCc1cccc(F)c1C[N@@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000353618836 529173905 /nfs/dbraw/zinc/17/39/05/529173905.db2.gz BOXYGHBWBCIMJQ-INIZCTEOSA-N 1 2 287.382 3.838 20 0 CHADLO CCc1cccc(F)c1C[N@H+]1CCCC[C@H]1c1ncc[nH]1 ZINC000353618836 529173906 /nfs/dbraw/zinc/17/39/06/529173906.db2.gz BOXYGHBWBCIMJQ-INIZCTEOSA-N 1 2 287.382 3.838 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H](COC)CC(C)C)c1 ZINC000347208263 529202377 /nfs/dbraw/zinc/20/23/77/529202377.db2.gz UTHAAQHCOSOKSC-AWEZNQCLSA-N 1 2 282.453 3.808 20 0 CHADLO COc1cc[nH+]cc1CSCc1ncc(Cl)s1 ZINC000341991178 537120943 /nfs/dbraw/zinc/12/09/43/537120943.db2.gz BSKXSFXPUJKYAU-UHFFFAOYSA-N 1 2 286.809 3.634 20 0 CHADLO COc1cc[nH+]cc1CSCCOc1ccccc1F ZINC000341977194 537121154 /nfs/dbraw/zinc/12/11/54/537121154.db2.gz ZCDQXTCGDXHEIY-UHFFFAOYSA-N 1 2 293.363 3.542 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(Cl)cc2)oc1C ZINC000049525530 567893542 /nfs/dbraw/zinc/89/35/42/567893542.db2.gz JUYVDPQBRHYWRL-JTQLQIEISA-N 1 2 264.756 3.796 20 0 CHADLO Clc1ccc2c(c1)[C@@H]([NH2+]Cc1cscn1)CCCO2 ZINC000127287117 1125459974 /nfs/dbraw/zinc/45/99/74/1125459974.db2.gz ZPIYTNUUVPCKGP-ZDUSSCGKSA-N 1 2 294.807 3.800 20 0 CHADLO C[C@@H]1C[N@H+](Cc2noc(C3CC3)n2)CC[C@@H]1c1ccccc1 ZINC000129810914 1125477893 /nfs/dbraw/zinc/47/78/93/1125477893.db2.gz CBHDJIOIKDNBGQ-CJNGLKHVSA-N 1 2 297.402 3.573 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2noc(C3CC3)n2)CC[C@@H]1c1ccccc1 ZINC000129810914 1125477897 /nfs/dbraw/zinc/47/78/97/1125477897.db2.gz CBHDJIOIKDNBGQ-CJNGLKHVSA-N 1 2 297.402 3.573 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]Cc2ccc(Cl)cc2)n1 ZINC000724433106 1119000810 /nfs/dbraw/zinc/00/08/10/1119000810.db2.gz FGWKVSNKZKFWTE-UHFFFAOYSA-N 1 2 278.783 3.915 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000112517229 1125486020 /nfs/dbraw/zinc/48/60/20/1125486020.db2.gz FSNZKVBCBWUJOO-VXGBXAGGSA-N 1 2 285.391 3.669 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2cccc(OC(C)C)c2)o1 ZINC000112528281 1125486196 /nfs/dbraw/zinc/48/61/96/1125486196.db2.gz SKNBLQLYDFXXGT-RYUDHWBXSA-N 1 2 289.379 3.577 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2cccnc2)cs1 ZINC000112547082 1125487869 /nfs/dbraw/zinc/48/78/69/1125487869.db2.gz QDIZYGNKUBGNTF-QWRGUYRKSA-N 1 2 261.394 3.512 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2csc(C3CC3)n2)o1 ZINC000113314189 1125494332 /nfs/dbraw/zinc/49/43/32/1125494332.db2.gz MPOBVMGWLSKHDZ-JTQLQIEISA-N 1 2 262.378 3.773 20 0 CHADLO Cc1cccc([C@@H](C)[NH2+][C@H](C)c2nc(C3CC3)no2)c1C ZINC000115603363 1125503696 /nfs/dbraw/zinc/50/36/96/1125503696.db2.gz MMFLZASKSHOEAW-CHWSQXEVSA-N 1 2 285.391 3.976 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@H](C)C(C)(F)F)c(C)c1 ZINC000414152825 1119918821 /nfs/dbraw/zinc/91/88/21/1119918821.db2.gz XJKCVRWGTDGTBO-GHMZBOCLSA-N 1 2 257.324 3.698 20 0 CHADLO CC(C)(C)OC1CC[NH+](Cc2cnc(Cl)s2)CC1 ZINC000162388240 1119994936 /nfs/dbraw/zinc/99/49/36/1119994936.db2.gz HYFZRAXUGHTHMN-UHFFFAOYSA-N 1 2 288.844 3.576 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc2c(c1)COC2 ZINC000672622498 1120335597 /nfs/dbraw/zinc/33/55/97/1120335597.db2.gz ZKJNTMHBAIDLIS-IINYFYTJSA-N 1 2 267.319 3.555 20 0 CHADLO CCCCc1nc(C[N@H+](C)CC2=CCSC2)cs1 ZINC000662271721 1120916759 /nfs/dbraw/zinc/91/67/59/1120916759.db2.gz XIUKKYQCQHFCKI-UHFFFAOYSA-N 1 2 282.478 3.591 20 0 CHADLO CCCCc1nc(C[N@@H+](C)CC2=CCSC2)cs1 ZINC000662271721 1120916764 /nfs/dbraw/zinc/91/67/64/1120916764.db2.gz XIUKKYQCQHFCKI-UHFFFAOYSA-N 1 2 282.478 3.591 20 0 CHADLO CSc1ccccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175344063 1121274649 /nfs/dbraw/zinc/27/46/49/1121274649.db2.gz RVGGDJNZYVCLEG-UHFFFAOYSA-N 1 2 259.378 3.613 20 0 CHADLO C[C@@H]1COCCC[N@@H+]1Cc1c(Cl)cccc1Cl ZINC000676710711 1121458705 /nfs/dbraw/zinc/45/87/05/1121458705.db2.gz CBWSRZWDQUXLOF-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1COCCC[N@H+]1Cc1c(Cl)cccc1Cl ZINC000676710711 1121458708 /nfs/dbraw/zinc/45/87/08/1121458708.db2.gz CBWSRZWDQUXLOF-SNVBAGLBSA-N 1 2 274.191 3.604 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1cccnc1Cl ZINC000711891334 1121586264 /nfs/dbraw/zinc/58/62/64/1121586264.db2.gz DTCAXKPZKZBGLW-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1cccnc1Cl ZINC000711891334 1121586271 /nfs/dbraw/zinc/58/62/71/1121586271.db2.gz DTCAXKPZKZBGLW-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCc3ncsc3C2)c1 ZINC000513011745 1121662739 /nfs/dbraw/zinc/66/27/39/1121662739.db2.gz IYWSMAZKRQUTQH-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC[C@@H](CC(=O)NCc1c[nH]c(C(C)C)[nH+]1)C(C)(C)C ZINC001179092650 1121848786 /nfs/dbraw/zinc/84/87/86/1121848786.db2.gz UOUHNKYCXUQXFG-LBPRGKRZSA-N 1 2 279.428 3.612 20 0 CHADLO CC[C@@H](CC(=O)NCc1c[nH+]c(C(C)C)[nH]1)C(C)(C)C ZINC001179092650 1121848797 /nfs/dbraw/zinc/84/87/97/1121848797.db2.gz UOUHNKYCXUQXFG-LBPRGKRZSA-N 1 2 279.428 3.612 20 0 CHADLO CC(C)[N@H+](Cc1ccon1)[C@@H](C)c1ccsc1 ZINC000421007087 1122061276 /nfs/dbraw/zinc/06/12/76/1122061276.db2.gz KUASBWHOPRTAHM-NSHDSACASA-N 1 2 250.367 3.708 20 0 CHADLO CC(C)[N@@H+](Cc1ccon1)[C@@H](C)c1ccsc1 ZINC000421007087 1122061278 /nfs/dbraw/zinc/06/12/78/1122061278.db2.gz KUASBWHOPRTAHM-NSHDSACASA-N 1 2 250.367 3.708 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)c(C)cc1Br ZINC001201287213 1123082494 /nfs/dbraw/zinc/08/24/94/1123082494.db2.gz ZLVTYXGBRXVBAV-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO CCSCC[C@H](C)Nc1cc(C)[nH+]c(C(C)C)n1 ZINC000125361402 1123122292 /nfs/dbraw/zinc/12/22/92/1123122292.db2.gz RAPOFTAJFRRVOE-NSHDSACASA-N 1 2 267.442 3.852 20 0 CHADLO CC[C@H](CO[NH+]=C(N)Cc1cccc(F)c1)CC(F)F ZINC000853694495 1123447393 /nfs/dbraw/zinc/44/73/93/1123447393.db2.gz LWROSEWMJTXRNA-JTQLQIEISA-N 1 2 288.313 3.548 20 0 CHADLO CC(C)Cc1ccc(C[NH2+][C@H](C)c2cscn2)cn1 ZINC000895338527 1123460965 /nfs/dbraw/zinc/46/09/65/1123460965.db2.gz RQJVGQHYFFGPFF-GFCCVEGCSA-N 1 2 275.421 3.587 20 0 CHADLO CCC[N@@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000444700194 1124055165 /nfs/dbraw/zinc/05/51/65/1124055165.db2.gz FLSXEAPWSLTRNB-BXUZGUMPSA-N 1 2 253.773 3.512 20 0 CHADLO CCC[N@H+]1C[C@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000444700194 1124055168 /nfs/dbraw/zinc/05/51/68/1124055168.db2.gz FLSXEAPWSLTRNB-BXUZGUMPSA-N 1 2 253.773 3.512 20 0 CHADLO c1cn(CCSC2=Nc3ccccc3CS2)c[nH+]1 ZINC000121879596 1124103862 /nfs/dbraw/zinc/10/38/62/1124103862.db2.gz ZVQTYOJILDKOCK-UHFFFAOYSA-N 1 2 275.402 3.551 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cc(C(F)(F)F)co1 ZINC000877988445 1125110467 /nfs/dbraw/zinc/11/04/67/1125110467.db2.gz HTYLILZDWUIUAR-UHFFFAOYSA-N 1 2 259.227 3.523 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3ccsc3[C@H]2C)cs1 ZINC000880375967 1125269454 /nfs/dbraw/zinc/26/94/54/1125269454.db2.gz AUJNBWAYWUTFHT-SECBINFHSA-N 1 2 264.419 3.632 20 0 CHADLO Cc1nc(C[N@H+]2CCc3ccsc3[C@H]2C)cs1 ZINC000880375967 1125269458 /nfs/dbraw/zinc/26/94/58/1125269458.db2.gz AUJNBWAYWUTFHT-SECBINFHSA-N 1 2 264.419 3.632 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1ccc(Br)cc1F ZINC000042205673 1125440710 /nfs/dbraw/zinc/44/07/10/1125440710.db2.gz JCTPQYPFSVGQDJ-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1ccc(Br)cc1F ZINC000042205673 1125440716 /nfs/dbraw/zinc/44/07/16/1125440716.db2.gz JCTPQYPFSVGQDJ-UHFFFAOYSA-N 1 2 298.155 3.813 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(N)c(Br)c2)c1C ZINC001160376851 1131519363 /nfs/dbraw/zinc/51/93/63/1131519363.db2.gz CVIYPSIOSKCFMT-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1c(C[N@@H+]2CC[C@H](F)C2)cccc1C(F)(F)F ZINC001143921474 1131555426 /nfs/dbraw/zinc/55/54/26/1131555426.db2.gz CQBXSSLOUIMAMF-NSHDSACASA-N 1 2 261.262 3.558 20 0 CHADLO Cc1c(C[N@H+]2CC[C@H](F)C2)cccc1C(F)(F)F ZINC001143921474 1131555429 /nfs/dbraw/zinc/55/54/29/1131555429.db2.gz CQBXSSLOUIMAMF-NSHDSACASA-N 1 2 261.262 3.558 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CC[C@]3(CC3(F)F)C2)c1F ZINC001143956779 1131558776 /nfs/dbraw/zinc/55/87/76/1131558776.db2.gz DLNGBKXATSOTEY-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CC[C@]3(CC3(F)F)C2)c1F ZINC001143956779 1131558779 /nfs/dbraw/zinc/55/87/79/1131558779.db2.gz DLNGBKXATSOTEY-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139479513 1131610286 /nfs/dbraw/zinc/61/02/86/1131610286.db2.gz LGJVGFYWVRCXCK-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2c(Cl)ccnc2Cl)C1 ZINC001139479513 1131610289 /nfs/dbraw/zinc/61/02/89/1131610289.db2.gz LGJVGFYWVRCXCK-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)cc1Br ZINC001139728693 1131633626 /nfs/dbraw/zinc/63/36/26/1131633626.db2.gz IEVHZZGKANZUID-SGMGOOAPSA-N 1 2 298.199 3.547 20 0 CHADLO CCCCCC[C@@H](C)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845448020 1131634971 /nfs/dbraw/zinc/63/49/71/1131634971.db2.gz AHZDOTKQKRDJNS-UONOGXRCSA-N 1 2 266.385 3.736 20 0 CHADLO C[N@H+](Cc1sc(NC(C)(C)C)nc1Cl)C1CC1 ZINC001140390097 1131711563 /nfs/dbraw/zinc/71/15/63/1131711563.db2.gz LDRIISHTRJUKAY-UHFFFAOYSA-N 1 2 273.833 3.601 20 0 CHADLO C[N@@H+](Cc1sc(NC(C)(C)C)nc1Cl)C1CC1 ZINC001140390097 1131711564 /nfs/dbraw/zinc/71/15/64/1131711564.db2.gz LDRIISHTRJUKAY-UHFFFAOYSA-N 1 2 273.833 3.601 20 0 CHADLO CC1CC[NH+](Cc2cc(F)c(Cl)cc2F)CC1 ZINC001140699308 1131880354 /nfs/dbraw/zinc/88/03/54/1131880354.db2.gz LHTAGVRLSQJFQE-UHFFFAOYSA-N 1 2 259.727 3.850 20 0 CHADLO CCc1ccccc1C[N@H+]1CC[C@H](C)C(F)(F)C1 ZINC001141224473 1132049358 /nfs/dbraw/zinc/04/93/58/1132049358.db2.gz XOSNVNQBTIHGBG-LBPRGKRZSA-N 1 2 253.336 3.726 20 0 CHADLO Cc1cccc2c1CC[C@H]([N@@H+]1CCCC(F)(F)C1)C2 ZINC001171564444 1132056340 /nfs/dbraw/zinc/05/63/40/1132056340.db2.gz AGGIMKGDUUUQIY-AWEZNQCLSA-N 1 2 265.347 3.583 20 0 CHADLO Cc1cccc2c1CC[C@H]([N@H+]1CCCC(F)(F)C1)C2 ZINC001171564444 1132056347 /nfs/dbraw/zinc/05/63/47/1132056347.db2.gz AGGIMKGDUUUQIY-AWEZNQCLSA-N 1 2 265.347 3.583 20 0 CHADLO C[NH+]1CC(Oc2ccc(-c3cc(=S)ss3)cc2)C1 ZINC001229911367 1132130488 /nfs/dbraw/zinc/13/04/88/1132130488.db2.gz UCLVPRYULDQQGK-UHFFFAOYSA-N 1 2 295.454 3.899 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC001141753980 1132246429 /nfs/dbraw/zinc/24/64/29/1132246429.db2.gz RSSRWBUAFGKDMS-LLVKDONJSA-N 1 2 296.333 3.876 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)CC1 ZINC001141753980 1132246436 /nfs/dbraw/zinc/24/64/36/1132246436.db2.gz RSSRWBUAFGKDMS-LLVKDONJSA-N 1 2 296.333 3.876 20 0 CHADLO Fc1c(Br)ccc(Cl)c1C[NH+]1CCCC1 ZINC001141887360 1132262827 /nfs/dbraw/zinc/26/28/27/1132262827.db2.gz DGLLGFBULGMZFR-UHFFFAOYSA-N 1 2 292.579 3.837 20 0 CHADLO CSc1ccccc1C[N@@H+]1CCC(F)(F)[C@@H](F)C1 ZINC001231781749 1132359008 /nfs/dbraw/zinc/35/90/08/1132359008.db2.gz CVNKQDCIWXGCJP-LBPRGKRZSA-N 1 2 275.339 3.588 20 0 CHADLO CSc1ccccc1C[N@H+]1CCC(F)(F)[C@@H](F)C1 ZINC001231781749 1132359016 /nfs/dbraw/zinc/35/90/16/1132359016.db2.gz CVNKQDCIWXGCJP-LBPRGKRZSA-N 1 2 275.339 3.588 20 0 CHADLO COc1cc(Cl)cc(F)c1C[NH+]1CC2(C1)CCCC2 ZINC001232999518 1132559539 /nfs/dbraw/zinc/55/95/39/1132559539.db2.gz GBDWPAGYLTYKIJ-UHFFFAOYSA-N 1 2 283.774 3.864 20 0 CHADLO COc1cc(Cl)cc(F)c1C[NH+]1CCC(C)(F)CC1 ZINC001233000242 1132561176 /nfs/dbraw/zinc/56/11/76/1132561176.db2.gz DGZRQFJZAUZYRG-UHFFFAOYSA-N 1 2 289.753 3.812 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1ccc(Cl)c(F)c1F ZINC001233208166 1132581243 /nfs/dbraw/zinc/58/12/43/1132581243.db2.gz WQDIGGHQFGHCOF-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1ccc(Cl)c(F)c1F ZINC001233208166 1132581246 /nfs/dbraw/zinc/58/12/46/1132581246.db2.gz WQDIGGHQFGHCOF-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCO[C@@H](C(C)C)C1 ZINC001233353446 1132609967 /nfs/dbraw/zinc/60/99/67/1132609967.db2.gz VZDUZNYTGVKCNU-CQSZACIVSA-N 1 2 299.867 3.919 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCO[C@@H](C(C)C)C1 ZINC001233353446 1132609969 /nfs/dbraw/zinc/60/99/69/1132609969.db2.gz VZDUZNYTGVKCNU-CQSZACIVSA-N 1 2 299.867 3.919 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001233351253 1132608467 /nfs/dbraw/zinc/60/84/67/1132608467.db2.gz LATISQBBLCJDBX-KWBADKCTSA-N 1 2 285.815 3.852 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001233351253 1132608475 /nfs/dbraw/zinc/60/84/75/1132608475.db2.gz LATISQBBLCJDBX-KWBADKCTSA-N 1 2 285.815 3.852 20 0 CHADLO CC1(CNc2ccc(Cl)c(N)[nH+]2)CCC(F)(F)CC1 ZINC001162030346 1133048567 /nfs/dbraw/zinc/04/85/67/1133048567.db2.gz GASURTMZNXHKQD-UHFFFAOYSA-N 1 2 289.757 3.945 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@@H](C(F)F)C2)c1 ZINC001166775909 1133285576 /nfs/dbraw/zinc/28/55/76/1133285576.db2.gz BVYWGIKRAFWAKF-SNVBAGLBSA-N 1 2 272.364 3.675 20 0 CHADLO Cc1c(Nc2[nH+]cccc2CCO)ccc(Cl)c1Cl ZINC001210460542 1134219712 /nfs/dbraw/zinc/21/97/12/1134219712.db2.gz CTTIFHDIEYMFIY-UHFFFAOYSA-N 1 2 297.185 3.975 20 0 CHADLO Cc1cccc(Nc2cc(F)c(O)c(Cl)c2)[nH+]1 ZINC001214477499 1134541929 /nfs/dbraw/zinc/54/19/29/1134541929.db2.gz RLWBBBZOFLVCRZ-UHFFFAOYSA-N 1 2 252.676 3.632 20 0 CHADLO CSc1ncc(Nc2[nH+]c(C)ccc2C)cc1C ZINC001215827164 1134696194 /nfs/dbraw/zinc/69/61/94/1134696194.db2.gz IDUPDASWVYRLRY-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO Nc1cc(CSc2ccc(Cl)cc2)cc[nH+]1 ZINC000052755886 1125518185 /nfs/dbraw/zinc/51/81/85/1125518185.db2.gz LNDHIHWLSHUJAS-UHFFFAOYSA-N 1 2 250.754 3.610 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2coc(-c3cccs3)n2)[C@H]1C ZINC000118418018 1125523322 /nfs/dbraw/zinc/52/33/22/1125523322.db2.gz HGAPEDGWGMOFIM-WDEREUQCSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2coc(-c3cccs3)n2)[C@H]1C ZINC000118418018 1125523327 /nfs/dbraw/zinc/52/33/27/1125523327.db2.gz HGAPEDGWGMOFIM-WDEREUQCSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2cccnc2Cl)o1 ZINC000729677819 1125540356 /nfs/dbraw/zinc/54/03/56/1125540356.db2.gz GRRPDQSLFQTRDM-GXFFZTMASA-N 1 2 276.767 3.741 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+]Cc2cccnc2Cl)o1 ZINC000729677820 1125540673 /nfs/dbraw/zinc/54/06/73/1125540673.db2.gz GRRPDQSLFQTRDM-MFKMUULPSA-N 1 2 276.767 3.741 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2cscn2)c1 ZINC000194071153 1125551534 /nfs/dbraw/zinc/55/15/34/1125551534.db2.gz CHZYDSJRLFXCIK-AWEZNQCLSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2cscn2)c1 ZINC000194071153 1125551540 /nfs/dbraw/zinc/55/15/40/1125551540.db2.gz CHZYDSJRLFXCIK-AWEZNQCLSA-N 1 2 262.353 3.619 20 0 CHADLO Cc1sc(/C=C\c2c[nH+]c3ccccn23)cc1C=O ZINC000760266460 1125554402 /nfs/dbraw/zinc/55/44/02/1125554402.db2.gz UXYCYXYBVKMOBI-WAYWQWQTSA-N 1 2 268.341 3.687 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@@H]2c2nccn2CC)cn1 ZINC000348359396 1125634058 /nfs/dbraw/zinc/63/40/58/1125634058.db2.gz SWJINOAHZKNJDK-QGZVFWFLSA-N 1 2 298.434 3.588 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@@H]2c2nccn2CC)cn1 ZINC000348359396 1125634060 /nfs/dbraw/zinc/63/40/60/1125634060.db2.gz SWJINOAHZKNJDK-QGZVFWFLSA-N 1 2 298.434 3.588 20 0 CHADLO CCOc1cc(Nc2ccc(O)c(F)c2F)cc(C)[nH+]1 ZINC001212003269 1125643643 /nfs/dbraw/zinc/64/36/43/1125643643.db2.gz VHEWZBLSTQSHKN-UHFFFAOYSA-N 1 2 280.274 3.516 20 0 CHADLO CCCOc1ccc(C[NH2+][C@H](C)c2ncco2)c(C)c1 ZINC000886272259 1125665834 /nfs/dbraw/zinc/66/58/34/1125665834.db2.gz LEYFKAWVCRTZOO-CYBMUJFWSA-N 1 2 274.364 3.623 20 0 CHADLO CC(C)([NH2+]Cc1cn(C2CC2)cn1)c1ccc(F)cc1F ZINC000886349873 1125672314 /nfs/dbraw/zinc/67/23/14/1125672314.db2.gz CCTGMMZCFVBQBD-UHFFFAOYSA-N 1 2 291.345 3.521 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCC[C@H]1c1cccc(Cl)c1 ZINC000076292578 1125675485 /nfs/dbraw/zinc/67/54/85/1125675485.db2.gz OCPSENHFYPAREX-HNNXBMFYSA-N 1 2 289.810 3.894 20 0 CHADLO CCn1ccnc1C[N@H+]1CCC[C@H]1c1cccc(Cl)c1 ZINC000076292578 1125675487 /nfs/dbraw/zinc/67/54/87/1125675487.db2.gz OCPSENHFYPAREX-HNNXBMFYSA-N 1 2 289.810 3.894 20 0 CHADLO CC(C)c1nnc(C[N@H+](C2CC2)[C@H]2CCc3ccccc32)o1 ZINC000076292804 1125676370 /nfs/dbraw/zinc/67/63/70/1125676370.db2.gz QLYIKEGIFHQDDY-INIZCTEOSA-N 1 2 297.402 3.845 20 0 CHADLO CC(C)c1nnc(C[N@@H+](C2CC2)[C@H]2CCc3ccccc32)o1 ZINC000076292804 1125676372 /nfs/dbraw/zinc/67/63/72/1125676372.db2.gz QLYIKEGIFHQDDY-INIZCTEOSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1c(Br)cccc1C[NH2+][C@@H](C)c1ncco1 ZINC000886536584 1125685649 /nfs/dbraw/zinc/68/56/49/1125685649.db2.gz LHOHRZDOYSKGPS-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO CCc1nc(C[N@H+](CC2CCC2)[C@@H](C)c2ccccc2)no1 ZINC000077372575 1125692457 /nfs/dbraw/zinc/69/24/57/1125692457.db2.gz LPQDTWVUJWYYOW-AWEZNQCLSA-N 1 2 299.418 3.995 20 0 CHADLO CCc1nc(C[N@@H+](CC2CCC2)[C@@H](C)c2ccccc2)no1 ZINC000077372575 1125692461 /nfs/dbraw/zinc/69/24/61/1125692461.db2.gz LPQDTWVUJWYYOW-AWEZNQCLSA-N 1 2 299.418 3.995 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CCc3cccnc32)c1 ZINC000886578399 1125692480 /nfs/dbraw/zinc/69/24/80/1125692480.db2.gz UTOBPQKTNCBEEL-AWEZNQCLSA-N 1 2 285.416 3.829 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@H]1[NH2+][C@@H]1CCc2cccnc21 ZINC000886651666 1125703923 /nfs/dbraw/zinc/70/39/23/1125703923.db2.gz NVXPLTTTWYAJQA-JFIYKMOQSA-N 1 2 282.362 3.684 20 0 CHADLO CSC1(CNc2cccc(-n3cc[nH+]c3)c2)CCC1 ZINC000336807101 1125739189 /nfs/dbraw/zinc/73/91/89/1125739189.db2.gz FYRDNUIQAFTVIF-UHFFFAOYSA-N 1 2 273.405 3.570 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc3ccc(F)cc3o2)o1 ZINC000162257652 1125744292 /nfs/dbraw/zinc/74/42/92/1125744292.db2.gz UHSZHFUNAIAKMB-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc3ccc(F)cc3o2)o1 ZINC000162257652 1125744297 /nfs/dbraw/zinc/74/42/97/1125744297.db2.gz UHSZHFUNAIAKMB-UHFFFAOYSA-N 1 2 274.295 3.500 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCO[C@@H](C(C)C)C1 ZINC001238682427 1131231529 /nfs/dbraw/zinc/23/15/29/1131231529.db2.gz YFLPTSXWBOCJGI-CQSZACIVSA-N 1 2 299.867 3.919 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCO[C@@H](C(C)C)C1 ZINC001238682427 1131231531 /nfs/dbraw/zinc/23/15/31/1131231531.db2.gz YFLPTSXWBOCJGI-CQSZACIVSA-N 1 2 299.867 3.919 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCOC[C@@H]1C(C)C ZINC001238678044 1131231449 /nfs/dbraw/zinc/23/14/49/1131231449.db2.gz SRRVDTJSMHZLBC-CQSZACIVSA-N 1 2 299.867 3.919 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCOC[C@@H]1C(C)C ZINC001238678044 1131231451 /nfs/dbraw/zinc/23/14/51/1131231451.db2.gz SRRVDTJSMHZLBC-CQSZACIVSA-N 1 2 299.867 3.919 20 0 CHADLO C[N@H+](C/C=C/c1ccccc1)Cc1cnccc1Cl ZINC001137237904 1125754628 /nfs/dbraw/zinc/75/46/28/1125754628.db2.gz KIRZRDOIPTWBFQ-VMPITWQZSA-N 1 2 272.779 3.880 20 0 CHADLO C[N@@H+](C/C=C/c1ccccc1)Cc1cnccc1Cl ZINC001137237904 1125754634 /nfs/dbraw/zinc/75/46/34/1125754634.db2.gz KIRZRDOIPTWBFQ-VMPITWQZSA-N 1 2 272.779 3.880 20 0 CHADLO CCc1noc([C@@H](C)[N@H+]2CC=C(c3cccc(C)c3)CC2)n1 ZINC000092822248 1125762914 /nfs/dbraw/zinc/76/29/14/1125762914.db2.gz RBEPRMDKSXTBLO-CQSZACIVSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1noc([C@@H](C)[N@@H+]2CC=C(c3cccc(C)c3)CC2)n1 ZINC000092822248 1125762916 /nfs/dbraw/zinc/76/29/16/1125762916.db2.gz RBEPRMDKSXTBLO-CQSZACIVSA-N 1 2 297.402 3.791 20 0 CHADLO CC[C@H](C)C[NH2+][C@@H](c1noc(C)n1)c1ccc(Cl)cc1 ZINC000093112215 1125764603 /nfs/dbraw/zinc/76/46/03/1125764603.db2.gz XDGHBDRVGOPDOR-IINYFYTJSA-N 1 2 293.798 3.757 20 0 CHADLO Cc1ncc([C@@H](C)[N@@H+]2CC[C@@H]2c2cccc(F)c2)c(C)n1 ZINC000638128191 1129244761 /nfs/dbraw/zinc/24/47/61/1129244761.db2.gz PYDJTTSEGWHCAM-SJKOYZFVSA-N 1 2 285.366 3.741 20 0 CHADLO Cc1ncc([C@@H](C)[N@H+]2CC[C@@H]2c2cccc(F)c2)c(C)n1 ZINC000638128191 1129244763 /nfs/dbraw/zinc/24/47/63/1129244763.db2.gz PYDJTTSEGWHCAM-SJKOYZFVSA-N 1 2 285.366 3.741 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)O[C@H](c3ccsc3)C2)o1 ZINC000093768840 1125772366 /nfs/dbraw/zinc/77/23/66/1125772366.db2.gz LFUYGXSBMWIEDE-DOMZBBRYSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)O[C@H](c3ccsc3)C2)o1 ZINC000093768840 1125772373 /nfs/dbraw/zinc/77/23/73/1125772373.db2.gz LFUYGXSBMWIEDE-DOMZBBRYSA-N 1 2 277.389 3.612 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+](C)[C@H](C)c1ncccn1 ZINC000929097201 1125778401 /nfs/dbraw/zinc/77/84/01/1125778401.db2.gz LYWPNDBKXJLYKM-CYBMUJFWSA-N 1 2 295.386 3.978 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+](C)[C@H](C)c1ncccn1 ZINC000929097201 1125778410 /nfs/dbraw/zinc/77/84/10/1125778410.db2.gz LYWPNDBKXJLYKM-CYBMUJFWSA-N 1 2 295.386 3.978 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC000508757842 1125789078 /nfs/dbraw/zinc/78/90/78/1125789078.db2.gz OMRZHRBGSBALRG-UHFFFAOYSA-N 1 2 291.398 3.921 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC000508757842 1125789084 /nfs/dbraw/zinc/78/90/84/1125789084.db2.gz OMRZHRBGSBALRG-UHFFFAOYSA-N 1 2 291.398 3.921 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001238696548 1131232590 /nfs/dbraw/zinc/23/25/90/1131232590.db2.gz XHFRDWXGSHMMTP-BXUZGUMPSA-N 1 2 269.335 3.545 20 0 CHADLO CC(C)Oc1cc(F)ccc1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001238696548 1131232597 /nfs/dbraw/zinc/23/25/97/1131232597.db2.gz XHFRDWXGSHMMTP-BXUZGUMPSA-N 1 2 269.335 3.545 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)s1)Cc1nccnc1C ZINC000621709963 1129182952 /nfs/dbraw/zinc/18/29/52/1129182952.db2.gz MPVCASSSPMCJID-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)s1)Cc1nccnc1C ZINC000621709963 1129182956 /nfs/dbraw/zinc/18/29/56/1129182956.db2.gz MPVCASSSPMCJID-UHFFFAOYSA-N 1 2 281.812 3.522 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2ncccn2)c(C)s1 ZINC000930196037 1129953002 /nfs/dbraw/zinc/95/30/02/1129953002.db2.gz VNELKOUARZHHOC-WDEREUQCSA-N 1 2 261.394 3.567 20 0 CHADLO Clc1cccc(C[N@@H+]2CC[C@H]2c2ccccc2)n1 ZINC000748819387 1130248170 /nfs/dbraw/zinc/24/81/70/1130248170.db2.gz GKDFGKNJHXZNEI-AWEZNQCLSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1cccc(C[N@H+]2CC[C@H]2c2ccccc2)n1 ZINC000748819387 1130248172 /nfs/dbraw/zinc/24/81/72/1130248172.db2.gz GKDFGKNJHXZNEI-AWEZNQCLSA-N 1 2 258.752 3.682 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccncc1F)c1nc2c(s1)CCC2 ZINC000338015912 1126710638 /nfs/dbraw/zinc/71/06/38/1126710638.db2.gz XVUHAJLJXUDIKX-VHSXEESVSA-N 1 2 291.395 3.578 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2c(s1)CCC2)c1ccncc1F ZINC000338015915 1126710683 /nfs/dbraw/zinc/71/06/83/1126710683.db2.gz XVUHAJLJXUDIKX-ZJUUUORDSA-N 1 2 291.395 3.578 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2cccc(Cl)c2C)c[nH+]1 ZINC000899917149 1126713405 /nfs/dbraw/zinc/71/34/05/1126713405.db2.gz FTJLNSWGDVKLNM-UHFFFAOYSA-N 1 2 291.782 3.527 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCc3c2c(F)ccc3F)cs1 ZINC000338186403 1126722454 /nfs/dbraw/zinc/72/24/54/1126722454.db2.gz VJBLOEOZJIBWBY-ZDUSSCGKSA-N 1 2 294.370 3.761 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1csc2ccccc12 ZINC000338203246 1126723644 /nfs/dbraw/zinc/72/36/44/1126723644.db2.gz GHSXAAYRBIOKIF-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1csc2ccccc12 ZINC000338203246 1126723648 /nfs/dbraw/zinc/72/36/48/1126723648.db2.gz GHSXAAYRBIOKIF-UHFFFAOYSA-N 1 2 274.414 3.990 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2nnc(C)[nH]2)c(C)s1 ZINC000338334470 1126734958 /nfs/dbraw/zinc/73/49/58/1126734958.db2.gz VUMSRELPRDCKIU-CQSZACIVSA-N 1 2 290.436 3.519 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2nnc(C)[nH]2)c(C)s1 ZINC000338334470 1126734960 /nfs/dbraw/zinc/73/49/60/1126734960.db2.gz VUMSRELPRDCKIU-CQSZACIVSA-N 1 2 290.436 3.519 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+][C@@H](C)c1oc2ccccc2c1C ZINC000924773064 1126740104 /nfs/dbraw/zinc/74/01/04/1126740104.db2.gz LRDPNBGAVMTUOP-JQWIXIFHSA-N 1 2 285.347 3.844 20 0 CHADLO CCn1nc(C[N@@H+]2Cc3ccc(C)cc3C2)c2ccccc21 ZINC000482460996 1126758637 /nfs/dbraw/zinc/75/86/37/1126758637.db2.gz YYZUZMVNXARCFP-UHFFFAOYSA-N 1 2 291.398 3.880 20 0 CHADLO CCn1nc(C[N@H+]2Cc3ccc(C)cc3C2)c2ccccc21 ZINC000482460996 1126758641 /nfs/dbraw/zinc/75/86/41/1126758641.db2.gz YYZUZMVNXARCFP-UHFFFAOYSA-N 1 2 291.398 3.880 20 0 CHADLO COc1cc(CNc2ccc(N3CCCC3)[nH+]c2)ccc1C ZINC000194389860 1126763937 /nfs/dbraw/zinc/76/39/37/1126763937.db2.gz TXRATXUTIPMHEK-UHFFFAOYSA-N 1 2 297.402 3.611 20 0 CHADLO CC1=C(C)C[N@H+](Cc2nc3ccccc3n2C(F)F)CC1 ZINC000483372148 1126783636 /nfs/dbraw/zinc/78/36/36/1126783636.db2.gz HGGVMBCQHNIYDB-UHFFFAOYSA-N 1 2 291.345 3.974 20 0 CHADLO CC1=C(C)C[N@@H+](Cc2nc3ccccc3n2C(F)F)CC1 ZINC000483372148 1126783638 /nfs/dbraw/zinc/78/36/38/1126783638.db2.gz HGGVMBCQHNIYDB-UHFFFAOYSA-N 1 2 291.345 3.974 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CCOC2(CCCC2)C1 ZINC000483485954 1126789014 /nfs/dbraw/zinc/78/90/14/1126789014.db2.gz DENRWURBERWXRJ-LBPRGKRZSA-N 1 2 281.346 3.671 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CCOC2(CCCC2)C1 ZINC000483485954 1126789017 /nfs/dbraw/zinc/78/90/17/1126789017.db2.gz DENRWURBERWXRJ-LBPRGKRZSA-N 1 2 281.346 3.671 20 0 CHADLO Fc1cncc(Br)c1C[N@@H+]1CCC12CCCC2 ZINC000668280735 1126804190 /nfs/dbraw/zinc/80/41/90/1126804190.db2.gz BIDSYIOAIBSVMX-UHFFFAOYSA-N 1 2 299.187 3.502 20 0 CHADLO Fc1cncc(Br)c1C[N@H+]1CCC12CCCC2 ZINC000668280735 1126804192 /nfs/dbraw/zinc/80/41/92/1126804192.db2.gz BIDSYIOAIBSVMX-UHFFFAOYSA-N 1 2 299.187 3.502 20 0 CHADLO CC[N@H+](Cc1nc2ccccc2s1)Cc1ccccn1 ZINC000177772754 1126805058 /nfs/dbraw/zinc/80/50/58/1126805058.db2.gz VILIFHWMBGKGKV-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CC[N@@H+](Cc1nc2ccccc2s1)Cc1ccccn1 ZINC000177772754 1126805059 /nfs/dbraw/zinc/80/50/59/1126805059.db2.gz VILIFHWMBGKGKV-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CC(C)CCOc1ccc([C@H](C)[NH2+]Cc2ccon2)cc1 ZINC000178263627 1126810484 /nfs/dbraw/zinc/81/04/84/1126810484.db2.gz QOIHUEQSDXEBDL-AWEZNQCLSA-N 1 2 288.391 3.950 20 0 CHADLO C[C@@H](C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1)C1CC1 ZINC000178461032 1126814444 /nfs/dbraw/zinc/81/44/44/1126814444.db2.gz VYRJYIMZLQUDLA-SNVBAGLBSA-N 1 2 289.766 3.510 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1ccc(Cl)nc1)c1ccc(C)o1 ZINC000179135444 1126823794 /nfs/dbraw/zinc/82/37/94/1126823794.db2.gz DVSNZGKAKYWBSR-WCQYABFASA-N 1 2 294.782 3.675 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc([C@H]2CCC=CCCC2)n1 ZINC000904265368 1126840383 /nfs/dbraw/zinc/84/03/83/1126840383.db2.gz IIDKPWLYKXOURB-LBPRGKRZSA-N 1 2 284.363 3.626 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc(C2CCC(C3CC3)CC2)n1 ZINC000904262783 1126840631 /nfs/dbraw/zinc/84/06/31/1126840631.db2.gz LAYVFJBVYDYJAA-UHFFFAOYSA-N 1 2 298.390 3.706 20 0 CHADLO CCCC(CCC)c1nc(-c2ccc(N)[nH+]c2C)no1 ZINC000904259474 1126841101 /nfs/dbraw/zinc/84/11/01/1126841101.db2.gz DXZCFHIBAAAPQF-UHFFFAOYSA-N 1 2 274.368 3.706 20 0 CHADLO Cc1cnc(C[N@H+](Cc2cccs2)C2CC2)s1 ZINC000180416596 1126843138 /nfs/dbraw/zinc/84/31/38/1126843138.db2.gz YZZUDOSESQPPDO-UHFFFAOYSA-N 1 2 264.419 3.678 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2cccs2)C2CC2)s1 ZINC000180416596 1126843140 /nfs/dbraw/zinc/84/31/40/1126843140.db2.gz YZZUDOSESQPPDO-UHFFFAOYSA-N 1 2 264.419 3.678 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2cccc(C)c2)C(C)(C)C)o1 ZINC000180796792 1126849696 /nfs/dbraw/zinc/84/96/96/1126849696.db2.gz PNGHKBLUAHYJBS-HNNXBMFYSA-N 1 2 273.380 3.563 20 0 CHADLO Cc1cc(C(F)(F)F)nn1C[N@@H+]1CC[C@H](C)C(C)(C)C1 ZINC000811764417 1130644976 /nfs/dbraw/zinc/64/49/76/1130644976.db2.gz SHWOLSRJVZSWCI-JTQLQIEISA-N 1 2 289.345 3.536 20 0 CHADLO COc1ccc(-c2ccn3cc[nH+]c3c2)c(C)c1C ZINC001240509323 1126859738 /nfs/dbraw/zinc/85/97/38/1126859738.db2.gz WAUWRTPSEKOJFU-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Cc1nc2c(cccc2-c2ccn3cc[nH+]c3c2)s1 ZINC001240511256 1126866551 /nfs/dbraw/zinc/86/65/51/1126866551.db2.gz BRQZAJUVSTYDNG-UHFFFAOYSA-N 1 2 265.341 3.919 20 0 CHADLO CC(C)CC[C@@H](C)[N@H+]1CC[C@@H](N2CCCC2)C(F)(F)C1 ZINC001256375744 1130674442 /nfs/dbraw/zinc/67/44/42/1130674442.db2.gz XOGHJZRQFWPDSS-HUUCEWRRSA-N 1 2 288.426 3.617 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cccc(N3CCCC3)c2)oc1C ZINC000181885129 1126862554 /nfs/dbraw/zinc/86/25/54/1126862554.db2.gz BXHVRCHRRCXRJG-CQSZACIVSA-N 1 2 299.418 3.742 20 0 CHADLO CC(C)c1nn(C)cc1-c1[nH+]cc2ccc(Cl)cn21 ZINC000904759722 1126869102 /nfs/dbraw/zinc/86/91/02/1126869102.db2.gz IFPWDHRFSSJFEM-UHFFFAOYSA-N 1 2 274.755 3.512 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc(-c3ccsc3)no2)cc1 ZINC000182321012 1126869854 /nfs/dbraw/zinc/86/98/54/1126869854.db2.gz PBDBKLJFNROOLS-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO CC(C)n1cc([C@H](C)Nc2ccc([NH+](C)C)cc2)cn1 ZINC000182495020 1126872492 /nfs/dbraw/zinc/87/24/92/1126872492.db2.gz DNUKRFDGRFFQGD-ZDUSSCGKSA-N 1 2 272.396 3.703 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nnc(C)o1)c1cccc(Cl)c1 ZINC000182595482 1126874892 /nfs/dbraw/zinc/87/48/92/1126874892.db2.gz YNIOHJUBZIKDAP-RNCFNFMXSA-N 1 2 279.771 3.833 20 0 CHADLO Cc1[nH+]cc(-c2cccc(F)c2C(F)(F)F)n1C ZINC000904827326 1126875003 /nfs/dbraw/zinc/87/50/03/1126875003.db2.gz VMPCNQXXLUQCIU-UHFFFAOYSA-N 1 2 258.218 3.553 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCC[C@H]1c1ccncc1 ZINC000182664813 1126875444 /nfs/dbraw/zinc/87/54/44/1126875444.db2.gz VRSKKRYXDFTOMC-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCC[C@H]1c1ccncc1 ZINC000182664813 1126875448 /nfs/dbraw/zinc/87/54/48/1126875448.db2.gz VRSKKRYXDFTOMC-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO CC(C)n1cc([C@H](C)Nc2ccc(N3CCCC3)[nH+]c2)cn1 ZINC000183150288 1126883048 /nfs/dbraw/zinc/88/30/48/1126883048.db2.gz RAQDZXMJWVYIGG-AWEZNQCLSA-N 1 2 299.422 3.632 20 0 CHADLO CC(C)n1c[nH+]cc1/C=C/c1ncc(Cl)s1 ZINC000905082906 1126889066 /nfs/dbraw/zinc/88/90/66/1126889066.db2.gz CRYBVBUBONHVRY-ONEGZZNKSA-N 1 2 253.758 3.744 20 0 CHADLO CCC[C@@H]1CCCCN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000183896032 1126893197 /nfs/dbraw/zinc/89/31/97/1126893197.db2.gz NHXHWVUSLPWOSB-QGZVFWFLSA-N 1 2 297.402 3.667 20 0 CHADLO CC(C)c1ccc2c(c1)CN(Cc1c[nH+]c3n1CCC3)CC2 ZINC000668480624 1126911949 /nfs/dbraw/zinc/91/19/49/1126911949.db2.gz PLCPCQINWSMPIT-UHFFFAOYSA-N 1 2 295.430 3.511 20 0 CHADLO Fc1ccc(Cl)c(CSCCn2cc[nH+]c2)c1 ZINC000187549931 1126912223 /nfs/dbraw/zinc/91/22/23/1126912223.db2.gz MMIFTJZFHCSEAM-UHFFFAOYSA-N 1 2 270.760 3.609 20 0 CHADLO CCc1ccc(C[N@@H+]2CCC[C@@H]2c2csc(C)n2)o1 ZINC000189919601 1126936109 /nfs/dbraw/zinc/93/61/09/1126936109.db2.gz YMYXFPBXWMICAV-OAHLLOKOSA-N 1 2 276.405 3.944 20 0 CHADLO CCc1ccc(C[N@H+]2CCC[C@@H]2c2csc(C)n2)o1 ZINC000189919601 1126936111 /nfs/dbraw/zinc/93/61/11/1126936111.db2.gz YMYXFPBXWMICAV-OAHLLOKOSA-N 1 2 276.405 3.944 20 0 CHADLO FC(F)c1cscc1-c1c[nH+]c2c(c1)CCCN2 ZINC000906196052 1126940249 /nfs/dbraw/zinc/94/02/49/1126940249.db2.gz UXJOUYYKUFEHCA-UHFFFAOYSA-N 1 2 266.316 3.749 20 0 CHADLO Cc1nc(-c2ccc(C[NH2+][C@@H](C)c3ncco3)cc2)cs1 ZINC000924809789 1126966422 /nfs/dbraw/zinc/96/64/22/1126966422.db2.gz MCKIBBDXCFIGJA-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO CCC[N@H+](Cc1ncc(C)o1)[C@H](C)c1ccccc1OC ZINC000266563073 1126984012 /nfs/dbraw/zinc/98/40/12/1126984012.db2.gz YIUXAIBYQLMPIU-CQSZACIVSA-N 1 2 288.391 3.965 20 0 CHADLO CCC[N@@H+](Cc1ncc(C)o1)[C@H](C)c1ccccc1OC ZINC000266563073 1126984014 /nfs/dbraw/zinc/98/40/14/1126984014.db2.gz YIUXAIBYQLMPIU-CQSZACIVSA-N 1 2 288.391 3.965 20 0 CHADLO CCC[N@H+](Cc1ncc(C)o1)[C@@H](C)c1ccccc1OC ZINC000266563072 1126984058 /nfs/dbraw/zinc/98/40/58/1126984058.db2.gz YIUXAIBYQLMPIU-AWEZNQCLSA-N 1 2 288.391 3.965 20 0 CHADLO CCC[N@@H+](Cc1ncc(C)o1)[C@@H](C)c1ccccc1OC ZINC000266563072 1126984060 /nfs/dbraw/zinc/98/40/60/1126984060.db2.gz YIUXAIBYQLMPIU-AWEZNQCLSA-N 1 2 288.391 3.965 20 0 CHADLO Cc1[nH+]c[nH]c1CNC(=O)C[C@@H](C)c1ccc(C(C)C)cc1 ZINC000267157305 1126994172 /nfs/dbraw/zinc/99/41/72/1126994172.db2.gz FTXODVYYDONJIM-CYBMUJFWSA-N 1 2 299.418 3.652 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+]Cc2ccc(F)cc2C)cs1 ZINC000267606900 1127003163 /nfs/dbraw/zinc/00/31/63/1127003163.db2.gz GKGAXVFWXTXVOC-NSHDSACASA-N 1 2 294.395 3.588 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2nc(C3CC3)no2)ccc1F ZINC000267704806 1127004631 /nfs/dbraw/zinc/00/46/31/1127004631.db2.gz RZSJLKYPKGWYSC-MNOVXSKESA-N 1 2 289.354 3.806 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000268463483 1127017866 /nfs/dbraw/zinc/01/78/66/1127017866.db2.gz OSRLSFUGXYUADR-WWGRRREGSA-N 1 2 288.416 3.666 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000268463483 1127017868 /nfs/dbraw/zinc/01/78/68/1127017868.db2.gz OSRLSFUGXYUADR-WWGRRREGSA-N 1 2 288.416 3.666 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2cc(Cl)cs2)[nH]1 ZINC000487159762 1127020210 /nfs/dbraw/zinc/02/02/10/1127020210.db2.gz NQUAOQVUDDFALF-IUCAKERBSA-N 1 2 298.843 3.884 20 0 CHADLO C[N@H+](Cc1ccn(C2CCCC2)n1)Cc1ccccc1 ZINC000268661282 1127020237 /nfs/dbraw/zinc/02/02/37/1127020237.db2.gz IMHXSQCHKQIWQQ-UHFFFAOYSA-N 1 2 269.392 3.630 20 0 CHADLO C[N@@H+](Cc1ccn(C2CCCC2)n1)Cc1ccccc1 ZINC000268661282 1127020242 /nfs/dbraw/zinc/02/02/42/1127020242.db2.gz IMHXSQCHKQIWQQ-UHFFFAOYSA-N 1 2 269.392 3.630 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+][C@H](C)c2c(F)cccc2F)c(C)n1 ZINC000268775555 1127023143 /nfs/dbraw/zinc/02/31/43/1127023143.db2.gz GXUFLFIHDNXMSQ-MWLCHTKSSA-N 1 2 291.345 3.783 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+]1CCO[C@H](CC(F)(F)F)C1 ZINC000668768591 1127026906 /nfs/dbraw/zinc/02/69/06/1127026906.db2.gz PUMHUIPXXGANAW-UZGISAJGSA-N 1 2 299.336 3.743 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+]1CCO[C@H](CC(F)(F)F)C1 ZINC000668768591 1127026907 /nfs/dbraw/zinc/02/69/07/1127026907.db2.gz PUMHUIPXXGANAW-UZGISAJGSA-N 1 2 299.336 3.743 20 0 CHADLO Cc1cc2cc([C@H](C)[NH2+]Cc3nccn3C)oc2cc1C ZINC000268944593 1127029564 /nfs/dbraw/zinc/02/95/64/1127029564.db2.gz WDPMPKKHBNYBHY-ZDUSSCGKSA-N 1 2 283.375 3.634 20 0 CHADLO Cc1cc2cc([C@@H](C)[NH2+]Cc3nccn3C)oc2cc1C ZINC000268944591 1127029790 /nfs/dbraw/zinc/02/97/90/1127029790.db2.gz WDPMPKKHBNYBHY-CYBMUJFWSA-N 1 2 283.375 3.634 20 0 CHADLO Clc1ccc(Cl)c(C[NH2+][C@@H]2CCCc3cn[nH]c32)c1 ZINC000269892079 1127047117 /nfs/dbraw/zinc/04/71/17/1127047117.db2.gz WPVQKXIVHFUZRB-CYBMUJFWSA-N 1 2 296.201 3.884 20 0 CHADLO Clc1ccc(Cl)c(C[NH2+][C@@H]2CCCc3c[nH]nc32)c1 ZINC000269892079 1127047122 /nfs/dbraw/zinc/04/71/22/1127047122.db2.gz WPVQKXIVHFUZRB-CYBMUJFWSA-N 1 2 296.201 3.884 20 0 CHADLO CC(C)c1cc(NCc2cccnc2)nc(C(C)C)[nH+]1 ZINC000269997235 1127048998 /nfs/dbraw/zinc/04/89/98/1127048998.db2.gz WUBJKDOGVAFNIH-UHFFFAOYSA-N 1 2 270.380 3.731 20 0 CHADLO CC[N@H+](Cc1ncc(C2CC2)o1)Cc1ccc(OC)cc1 ZINC000270461458 1127055899 /nfs/dbraw/zinc/05/58/99/1127055899.db2.gz AITZFVMXPGUITO-UHFFFAOYSA-N 1 2 286.375 3.583 20 0 CHADLO CC[N@@H+](Cc1ncc(C2CC2)o1)Cc1ccc(OC)cc1 ZINC000270461458 1127055901 /nfs/dbraw/zinc/05/59/01/1127055901.db2.gz AITZFVMXPGUITO-UHFFFAOYSA-N 1 2 286.375 3.583 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ncc(C3CC3)o2)[C@@H]1c1ccccc1 ZINC000270595573 1127057406 /nfs/dbraw/zinc/05/74/06/1127057406.db2.gz KZAXVMFBKBMJCX-PXAZEXFGSA-N 1 2 268.360 3.745 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ncc(C3CC3)o2)[C@@H]1c1ccccc1 ZINC000270595573 1127057411 /nfs/dbraw/zinc/05/74/11/1127057411.db2.gz KZAXVMFBKBMJCX-PXAZEXFGSA-N 1 2 268.360 3.745 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@@H](C)c1ccc(C)cc1C ZINC000271049044 1127066170 /nfs/dbraw/zinc/06/61/70/1127066170.db2.gz NYJMVZKWEQGOTH-AWEZNQCLSA-N 1 2 257.381 3.528 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@@H](C)c1ccc(C)cc1C ZINC000271049044 1127066172 /nfs/dbraw/zinc/06/61/72/1127066172.db2.gz NYJMVZKWEQGOTH-AWEZNQCLSA-N 1 2 257.381 3.528 20 0 CHADLO Cc1nnc(C[N@H+]2CC[C@@H](C)[C@@H]2c2cccc(F)c2)s1 ZINC000271382611 1127077586 /nfs/dbraw/zinc/07/75/86/1127077586.db2.gz DQXRNDRLCKCWHR-MEBBXXQBSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1nnc(C[N@@H+]2CC[C@@H](C)[C@@H]2c2cccc(F)c2)s1 ZINC000271382611 1127077589 /nfs/dbraw/zinc/07/75/89/1127077589.db2.gz DQXRNDRLCKCWHR-MEBBXXQBSA-N 1 2 291.395 3.569 20 0 CHADLO Cc1cc(C)c(C(=O)N2CC3(CC=CC3)[C@H]2C(C)C)c(C)[nH+]1 ZINC000911598697 1127083246 /nfs/dbraw/zinc/08/32/46/1127083246.db2.gz NMXSWSVELNBKAN-QGZVFWFLSA-N 1 2 298.430 3.824 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@@H](CO)c2c(F)cccc2F)c1 ZINC000271525464 1127088718 /nfs/dbraw/zinc/08/87/18/1127088718.db2.gz VRHPLHGOQSQHMP-LRDDRELGSA-N 1 2 291.341 3.657 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2cn[nH]c21)c1ccc(F)cc1Cl ZINC000271565780 1127091774 /nfs/dbraw/zinc/09/17/74/1127091774.db2.gz XRANNGKCGZNPJT-OTYXRUKQSA-N 1 2 293.773 3.930 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCCc2c[nH]nc21)c1ccc(F)cc1Cl ZINC000271565780 1127091776 /nfs/dbraw/zinc/09/17/76/1127091776.db2.gz XRANNGKCGZNPJT-OTYXRUKQSA-N 1 2 293.773 3.930 20 0 CHADLO Cc1cc(C)c(C(=O)N2CCC(c3ccco3)CC2)c(C)[nH+]1 ZINC000912296296 1127095859 /nfs/dbraw/zinc/09/58/59/1127095859.db2.gz LBSSRRROHGPIKV-UHFFFAOYSA-N 1 2 298.386 3.620 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2ncc(C(C)(C)C)o2)cc1 ZINC000271684262 1127096653 /nfs/dbraw/zinc/09/66/53/1127096653.db2.gz PLNJRNRUCZXNHV-LBPRGKRZSA-N 1 2 288.391 3.832 20 0 CHADLO Cc1noc(C[NH2+][C@@H](c2ccccc2C)C(C)(C)C)n1 ZINC000271683809 1127097023 /nfs/dbraw/zinc/09/70/23/1127097023.db2.gz COQQBOVURQKNNR-HNNXBMFYSA-N 1 2 273.380 3.563 20 0 CHADLO c1cc2cc(C3CCN(c4cccc[nH+]4)CC3)ccc2[nH]1 ZINC000912482545 1127102283 /nfs/dbraw/zinc/10/22/83/1127102283.db2.gz OJTYSDBXWBPGSR-UHFFFAOYSA-N 1 2 277.371 3.947 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[N@H+](C)Cc1cccs1 ZINC000274043250 1127105964 /nfs/dbraw/zinc/10/59/64/1127105964.db2.gz FWLACSRVWFZPGE-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[N@@H+](C)Cc1cccs1 ZINC000274043250 1127105965 /nfs/dbraw/zinc/10/59/65/1127105965.db2.gz FWLACSRVWFZPGE-LBPRGKRZSA-N 1 2 299.399 3.991 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H](C)c3ccsc3)cc2[nH+]1 ZINC000348528173 1127121014 /nfs/dbraw/zinc/12/10/14/1127121014.db2.gz ANHPVBUODGNHJR-VIFPVBQESA-N 1 2 285.372 3.675 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCC(C)(C)C)cc2[nH+]1 ZINC000348535341 1127121247 /nfs/dbraw/zinc/12/12/47/1127121247.db2.gz XOMQARXUNWEFGU-UHFFFAOYSA-N 1 2 259.353 3.636 20 0 CHADLO Cc1nc(N(C)Cc2ccccc2Cl)c(C)c(C)[nH+]1 ZINC000348739552 1127126654 /nfs/dbraw/zinc/12/66/54/1127126654.db2.gz BZEASEHQDKAGPY-UHFFFAOYSA-N 1 2 275.783 3.692 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000348739317 1127126909 /nfs/dbraw/zinc/12/69/09/1127126909.db2.gz KDGMSDWINPCARK-ZDUSSCGKSA-N 1 2 291.439 3.578 20 0 CHADLO COc1ccnc([C@@H](C)[NH2+]Cc2c(F)cccc2Cl)c1 ZINC000924856366 1127129170 /nfs/dbraw/zinc/12/91/70/1127129170.db2.gz BVVFDVLHGRMWBS-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO C[C@H]1C[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)CC(C)(C)C1 ZINC000348837433 1127130330 /nfs/dbraw/zinc/13/03/30/1127130330.db2.gz IEKVDFQQBCPESX-HOCLYGCPSA-N 1 2 298.434 3.953 20 0 CHADLO C[C@@H]1CC(C)(C)CC[C@H]1Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348838087 1127130598 /nfs/dbraw/zinc/13/05/98/1127130598.db2.gz MBCANXMRQPUGQE-GDBMZVCRSA-N 1 2 298.434 3.953 20 0 CHADLO c1cn(Cc2ccc(N[C@H]3CCC[C@H](C4CC4)C3)nc2)c[nH+]1 ZINC000348849492 1127131320 /nfs/dbraw/zinc/13/13/20/1127131320.db2.gz RCYCEYIVQGZNAR-IRXDYDNUSA-N 1 2 296.418 3.707 20 0 CHADLO C[C@H](CC1CCCC1)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348839639 1127131505 /nfs/dbraw/zinc/13/15/05/1127131505.db2.gz XDQKBCDPGHRWGP-CQSZACIVSA-N 1 2 284.407 3.707 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000348897621 1127133693 /nfs/dbraw/zinc/13/36/93/1127133693.db2.gz WFJOYUQOILGXCF-ZIAGYGMSSA-N 1 2 291.439 3.578 20 0 CHADLO CCCc1csc(C[N@@H+]2CCC[C@@H]2c2ccncc2)n1 ZINC000348937852 1127135808 /nfs/dbraw/zinc/13/58/08/1127135808.db2.gz WHWFKHBBWPLCBQ-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCCc1csc(C[N@H+]2CCC[C@@H]2c2ccncc2)n1 ZINC000348937852 1127135810 /nfs/dbraw/zinc/13/58/10/1127135810.db2.gz WHWFKHBBWPLCBQ-OAHLLOKOSA-N 1 2 287.432 3.828 20 0 CHADLO CCSc1ccc(C(=O)Nc2cccc3[nH+]ccn32)cc1 ZINC000349194503 1127142678 /nfs/dbraw/zinc/14/26/78/1127142678.db2.gz UFEWGGXFFDZCKU-UHFFFAOYSA-N 1 2 297.383 3.699 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1Oc1cccnc1)c1ccon1 ZINC000349246964 1127144160 /nfs/dbraw/zinc/14/41/60/1127144160.db2.gz TZHZXGWCHKLCIG-CYBMUJFWSA-N 1 2 295.342 3.713 20 0 CHADLO CC[N@H+](Cc1cocn1)Cc1cccc(-c2ccncc2)c1 ZINC000349327011 1127147494 /nfs/dbraw/zinc/14/74/94/1127147494.db2.gz FLGLJDHUIBOKJV-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO CC[N@@H+](Cc1cocn1)Cc1cccc(-c2ccncc2)c1 ZINC000349327011 1127147497 /nfs/dbraw/zinc/14/74/97/1127147497.db2.gz FLGLJDHUIBOKJV-UHFFFAOYSA-N 1 2 293.370 3.759 20 0 CHADLO Cc1ccc(C2=CC[N@H+](Cc3nc(C4CC4)no3)CC2)cc1 ZINC000044714269 1127157788 /nfs/dbraw/zinc/15/77/88/1127157788.db2.gz CDSHULXQXTYUSD-UHFFFAOYSA-N 1 2 295.386 3.545 20 0 CHADLO Cc1ccc(C2=CC[N@@H+](Cc3nc(C4CC4)no3)CC2)cc1 ZINC000044714269 1127157793 /nfs/dbraw/zinc/15/77/93/1127157793.db2.gz CDSHULXQXTYUSD-UHFFFAOYSA-N 1 2 295.386 3.545 20 0 CHADLO FCCCCCn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000283936242 1127165854 /nfs/dbraw/zinc/16/58/54/1127165854.db2.gz FHPJIDFMVNNRPC-UHFFFAOYSA-N 1 2 275.249 3.590 20 0 CHADLO Cc1nc(C(C)C)sc1[C@H](C)[NH2+][C@@H](C)c1ccon1 ZINC000349828584 1127164162 /nfs/dbraw/zinc/16/41/62/1127164162.db2.gz GLIAWFQUWABPER-UWVGGRQHSA-N 1 2 279.409 3.975 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc2ccccc2n1)c1ccon1 ZINC000349837108 1127165035 /nfs/dbraw/zinc/16/50/35/1127165035.db2.gz UKJBLENPDLAROW-VXGBXAGGSA-N 1 2 267.332 3.635 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccc2ccccc2n1)c1ccon1 ZINC000349837105 1127165215 /nfs/dbraw/zinc/16/52/15/1127165215.db2.gz UKJBLENPDLAROW-NWDGAFQWSA-N 1 2 267.332 3.635 20 0 CHADLO CSc1cc(C)ccc1C[NH2+][C@H](C)c1cc(C)on1 ZINC000284113233 1127174285 /nfs/dbraw/zinc/17/42/85/1127174285.db2.gz NJVZEPDAZOAJGS-GFCCVEGCSA-N 1 2 276.405 3.864 20 0 CHADLO Cc1nccc(C[N@H+](Cc2ccco2)Cc2ccccc2)n1 ZINC000350279713 1127175760 /nfs/dbraw/zinc/17/57/60/1127175760.db2.gz QCBWMYLMHMEGLU-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1nccc(C[N@@H+](Cc2ccco2)Cc2ccccc2)n1 ZINC000350279713 1127175766 /nfs/dbraw/zinc/17/57/66/1127175766.db2.gz QCBWMYLMHMEGLU-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1cc(C(=O)C[N@@H+](C)Cc2cc3ccccc3o2)c(C)[nH]1 ZINC000350287043 1127176105 /nfs/dbraw/zinc/17/61/05/1127176105.db2.gz OIVOJYNXUVDFTR-UHFFFAOYSA-N 1 2 296.370 3.692 20 0 CHADLO Cc1cc(C(=O)C[N@H+](C)Cc2cc3ccccc3o2)c(C)[nH]1 ZINC000350287043 1127176107 /nfs/dbraw/zinc/17/61/07/1127176107.db2.gz OIVOJYNXUVDFTR-UHFFFAOYSA-N 1 2 296.370 3.692 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CCc2ccc(O)cc2C1 ZINC000350947048 1127183089 /nfs/dbraw/zinc/18/30/89/1127183089.db2.gz DLWOGYYXPGMVNS-LBPRGKRZSA-N 1 2 294.354 3.653 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CCc2ccc(O)cc2C1 ZINC000350947048 1127183091 /nfs/dbraw/zinc/18/30/91/1127183091.db2.gz DLWOGYYXPGMVNS-LBPRGKRZSA-N 1 2 294.354 3.653 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCc3oc(C)nc3C)c2c1 ZINC000829015253 1127190210 /nfs/dbraw/zinc/19/02/10/1127190210.db2.gz CKAIWNLQTMUQFU-UHFFFAOYSA-N 1 2 298.342 3.736 20 0 CHADLO Clc1ccccc1CNc1ccc(Cn2cc[nH+]c2)cn1 ZINC000351546923 1127192431 /nfs/dbraw/zinc/19/24/31/1127192431.db2.gz TWKVUQFEJFTXKN-UHFFFAOYSA-N 1 2 298.777 3.592 20 0 CHADLO Cc1cc(C)cc(CNc2ccc(Cn3cc[nH+]c3)cn2)c1 ZINC000351567521 1127194244 /nfs/dbraw/zinc/19/42/44/1127194244.db2.gz JZRCQWNWAUQEBQ-UHFFFAOYSA-N 1 2 292.386 3.555 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(F)cc1C(F)(F)F)c1ccon1 ZINC000351579798 1127195186 /nfs/dbraw/zinc/19/51/86/1127195186.db2.gz KDOLVMIWCIEWLB-MRVPVSSYSA-N 1 2 288.244 3.683 20 0 CHADLO COCC[N@H+](Cc1ccc(C)o1)Cc1ccccc1Cl ZINC000351596983 1127197238 /nfs/dbraw/zinc/19/72/38/1127197238.db2.gz HTRMOLLJXKMXDJ-UHFFFAOYSA-N 1 2 293.794 3.890 20 0 CHADLO COCC[N@@H+](Cc1ccc(C)o1)Cc1ccccc1Cl ZINC000351596983 1127197240 /nfs/dbraw/zinc/19/72/40/1127197240.db2.gz HTRMOLLJXKMXDJ-UHFFFAOYSA-N 1 2 293.794 3.890 20 0 CHADLO C[C@@H](CC(=O)Nc1ccn2cc[nH+]c2c1)C1CCCCC1 ZINC001274962056 1127209536 /nfs/dbraw/zinc/20/95/36/1127209536.db2.gz YDAKNRJXGMUIFK-ZDUSSCGKSA-N 1 2 285.391 3.879 20 0 CHADLO CCc1cc(C[N@@H+]2CCCCC23COC3)ccc1Cl ZINC001237740903 1131136677 /nfs/dbraw/zinc/13/66/77/1131136677.db2.gz ZDHVFBAWHAVIAP-UHFFFAOYSA-N 1 2 279.811 3.657 20 0 CHADLO CCc1cc(C[N@H+]2CCCCC23COC3)ccc1Cl ZINC001237740903 1131136681 /nfs/dbraw/zinc/13/66/81/1131136681.db2.gz ZDHVFBAWHAVIAP-UHFFFAOYSA-N 1 2 279.811 3.657 20 0 CHADLO FCCCC[N@@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000285448136 1127228801 /nfs/dbraw/zinc/22/88/01/1127228801.db2.gz XSTJKRJRWGYRBX-CQSZACIVSA-N 1 2 289.753 3.602 20 0 CHADLO FCCCC[N@H+]1CCO[C@@H](c2ccc(F)cc2Cl)C1 ZINC000285448136 1127228802 /nfs/dbraw/zinc/22/88/02/1127228802.db2.gz XSTJKRJRWGYRBX-CQSZACIVSA-N 1 2 289.753 3.602 20 0 CHADLO CCC[NH+](CCC)Cc1cc(F)c(O)c(Cl)c1 ZINC001237991233 1131158516 /nfs/dbraw/zinc/15/85/16/1131158516.db2.gz YKAVWPXKBCCZOY-UHFFFAOYSA-N 1 2 259.752 3.807 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+]1C[C@H](C)[S@](=O)[C@@H](CC)C1 ZINC000352874405 1127247872 /nfs/dbraw/zinc/24/78/72/1127247872.db2.gz HSQQPBUCPOKQKA-NZNSQFJMSA-N 1 2 297.439 3.508 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+]1C[C@H](C)[S@](=O)[C@@H](CC)C1 ZINC000352874405 1127247874 /nfs/dbraw/zinc/24/78/74/1127247874.db2.gz HSQQPBUCPOKQKA-NZNSQFJMSA-N 1 2 297.439 3.508 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+]1C[C@@H](CC)[S@](=O)[C@@H](C)C1 ZINC000352874409 1127248233 /nfs/dbraw/zinc/24/82/33/1127248233.db2.gz HSQQPBUCPOKQKA-SGJCYKPQSA-N 1 2 297.439 3.508 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+]1C[C@@H](CC)[S@](=O)[C@@H](C)C1 ZINC000352874409 1127248235 /nfs/dbraw/zinc/24/82/35/1127248235.db2.gz HSQQPBUCPOKQKA-SGJCYKPQSA-N 1 2 297.439 3.508 20 0 CHADLO C[C@@H](O)C[C@@H]1CCCN1c1cc[nH+]c2c(Cl)cccc12 ZINC000286241269 1127264161 /nfs/dbraw/zinc/26/41/61/1127264161.db2.gz ORTNKOGFQHTLAN-NEPJUHHUSA-N 1 2 290.794 3.628 20 0 CHADLO Cc1ccnc([C@H](C)Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC000286325132 1127265915 /nfs/dbraw/zinc/26/59/15/1127265915.db2.gz YDLLSUBCXHBUGR-AWEZNQCLSA-N 1 2 269.392 3.938 20 0 CHADLO COc1ccc(CNc2ccc([NH+](C)C)cc2C)cc1 ZINC000057667858 1127272337 /nfs/dbraw/zinc/27/23/37/1127272337.db2.gz CHFFXGGUKRMESG-UHFFFAOYSA-N 1 2 270.376 3.682 20 0 CHADLO COc1ccc(C)cc1CNc1ccc([NH+](C)C)cc1C ZINC000057667996 1127272412 /nfs/dbraw/zinc/27/24/12/1127272412.db2.gz JTWUQXUKRBQEBA-UHFFFAOYSA-N 1 2 284.403 3.990 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@H+]1Cc1cccc(F)c1F ZINC000590938980 1127278905 /nfs/dbraw/zinc/27/89/05/1127278905.db2.gz USLKXPDTCVYKKJ-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@H]1c2ccccc2OCC[N@@H+]1Cc1cccc(F)c1F ZINC000590938980 1127278909 /nfs/dbraw/zinc/27/89/09/1127278909.db2.gz USLKXPDTCVYKKJ-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@H+]1Cc1cccc(F)c1F ZINC000590938979 1127279032 /nfs/dbraw/zinc/27/90/32/1127279032.db2.gz USLKXPDTCVYKKJ-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@@H+]1Cc1cccc(F)c1F ZINC000590938979 1127279034 /nfs/dbraw/zinc/27/90/34/1127279034.db2.gz USLKXPDTCVYKKJ-GFCCVEGCSA-N 1 2 289.325 3.920 20 0 CHADLO CCC[N@H+]1CCC[C@H]1C[NH2+][C@H](CC)c1ccc(OC)cc1 ZINC000353973019 1127282644 /nfs/dbraw/zinc/28/26/44/1127282644.db2.gz PCXYSGREXDIMJX-FUHWJXTLSA-N 1 2 290.451 3.610 20 0 CHADLO COc1ccsc1C[N@@H+]1CCc2c(F)cc(F)cc2C1 ZINC000354052538 1127289029 /nfs/dbraw/zinc/28/90/29/1127289029.db2.gz GNTVPXHNYOXNRE-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO COc1ccsc1C[N@H+]1CCc2c(F)cc(F)cc2C1 ZINC000354052538 1127289031 /nfs/dbraw/zinc/28/90/31/1127289031.db2.gz GNTVPXHNYOXNRE-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO COCC[N@H+](Cc1ccc(C)o1)Cc1cc(C)ccc1F ZINC000354110666 1127294071 /nfs/dbraw/zinc/29/40/71/1127294071.db2.gz QGSZWWQCMAEFSJ-UHFFFAOYSA-N 1 2 291.366 3.684 20 0 CHADLO COCC[N@@H+](Cc1ccc(C)o1)Cc1cc(C)ccc1F ZINC000354110666 1127294073 /nfs/dbraw/zinc/29/40/73/1127294073.db2.gz QGSZWWQCMAEFSJ-UHFFFAOYSA-N 1 2 291.366 3.684 20 0 CHADLO c1cc(C[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)no1 ZINC000646117931 1127302240 /nfs/dbraw/zinc/30/22/40/1127302240.db2.gz WOCKYSTUXJFUNU-INIZCTEOSA-N 1 2 266.344 3.568 20 0 CHADLO c1cc(C[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)no1 ZINC000646117931 1127302241 /nfs/dbraw/zinc/30/22/41/1127302241.db2.gz WOCKYSTUXJFUNU-INIZCTEOSA-N 1 2 266.344 3.568 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(F)cccc2Cl)CCC1(F)F ZINC000573253547 1127304423 /nfs/dbraw/zinc/30/44/23/1127304423.db2.gz SRCIYPJAHYSTNG-SECBINFHSA-N 1 2 277.717 3.956 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(F)cccc2Cl)CCC1(F)F ZINC000573253547 1127304425 /nfs/dbraw/zinc/30/44/25/1127304425.db2.gz SRCIYPJAHYSTNG-SECBINFHSA-N 1 2 277.717 3.956 20 0 CHADLO CC(C)(C)C[C@@H](NC(=O)CCc1[nH]cc[nH+]1)c1ccccc1 ZINC000287457817 1127306092 /nfs/dbraw/zinc/30/60/92/1127306092.db2.gz XJBSWRLCBTVESK-OAHLLOKOSA-N 1 2 299.418 3.636 20 0 CHADLO Cc1cccc2c1CC[C@H]2[NH2+]Cc1n[nH]c2ccccc21 ZINC000591725596 1127315874 /nfs/dbraw/zinc/31/58/74/1127315874.db2.gz PNAYZNVGLBFHGW-MRXNPFEDSA-N 1 2 277.371 3.648 20 0 CHADLO Cc1cscc1NC(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000355171219 1127323474 /nfs/dbraw/zinc/32/34/74/1127323474.db2.gz GIWRIMZVFNDZIT-UHFFFAOYSA-N 1 2 297.383 3.554 20 0 CHADLO CCc1nc(N2CCC[C@H]2c2cccc(C)c2)cc(C)[nH+]1 ZINC000573825735 1127330474 /nfs/dbraw/zinc/33/04/74/1127330474.db2.gz UGKXQWOCXFQYEH-INIZCTEOSA-N 1 2 281.403 3.997 20 0 CHADLO COCC[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccco1 ZINC000355348340 1127334566 /nfs/dbraw/zinc/33/45/66/1127334566.db2.gz NCOBIXRLCFBRFK-BXUZGUMPSA-N 1 2 295.329 3.986 20 0 CHADLO CSc1ccc(N(C)Cc2cccc3[nH+]ccn32)cc1 ZINC000355369379 1127336942 /nfs/dbraw/zinc/33/69/42/1127336942.db2.gz QSHHFCQZIPMVCE-UHFFFAOYSA-N 1 2 283.400 3.693 20 0 CHADLO CC1(C)CCC[C@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000355484954 1127344800 /nfs/dbraw/zinc/34/48/00/1127344800.db2.gz LLQXAMRRTOQNGU-HNNXBMFYSA-N 1 2 283.375 3.637 20 0 CHADLO C1=CC[C@@H](Nc2cc(N[C@@H]3CC=CCC3)[nH+]cn2)CC1 ZINC000355494066 1127344891 /nfs/dbraw/zinc/34/48/91/1127344891.db2.gz BPQUNQDOHPVSFI-ZIAGYGMSSA-N 1 2 270.380 3.518 20 0 CHADLO Cc1ccc(CSCCC[C@H]2CCOC2)c(C)[nH+]1 ZINC000592454961 1127350244 /nfs/dbraw/zinc/35/02/44/1127350244.db2.gz SIRWJXSAHDVCCM-AWEZNQCLSA-N 1 2 265.422 3.748 20 0 CHADLO CCCOc1cccc2c(NCCC[C@H](C)O)cc[nH+]c12 ZINC000355686171 1127359092 /nfs/dbraw/zinc/35/90/92/1127359092.db2.gz TYNQOIMRURKGTN-ZDUSSCGKSA-N 1 2 288.391 3.597 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2cc3cccc(F)c3o2)no1 ZINC000355757461 1127362414 /nfs/dbraw/zinc/36/24/14/1127362414.db2.gz MQGSBYRPZSNYPU-JTQLQIEISA-N 1 2 274.295 3.719 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](C)c2cc3cccc(F)c3o2)s1 ZINC000355760390 1127363184 /nfs/dbraw/zinc/36/31/84/1127363184.db2.gz PZHPDOSBSAGWIS-QMMMGPOBSA-N 1 2 291.351 3.583 20 0 CHADLO Cc1nnc(C[NH2+][C@H](C)c2cc3cccc(F)c3o2)s1 ZINC000355760387 1127363341 /nfs/dbraw/zinc/36/33/41/1127363341.db2.gz PZHPDOSBSAGWIS-MRVPVSSYSA-N 1 2 291.351 3.583 20 0 CHADLO CN(c1cccc[nH+]1)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000289180839 1127376830 /nfs/dbraw/zinc/37/68/30/1127376830.db2.gz VVYWZMKPADLBJY-PHIMTYICSA-N 1 2 258.287 3.639 20 0 CHADLO C[C@@H](O)c1ncc(C[N@H+](C)[C@H](C)c2ccccc2F)s1 ZINC000289215243 1127377554 /nfs/dbraw/zinc/37/75/54/1127377554.db2.gz XAAMSZAAPRDNFH-GHMZBOCLSA-N 1 2 294.395 3.529 20 0 CHADLO C[C@@H](O)c1ncc(C[N@@H+](C)[C@H](C)c2ccccc2F)s1 ZINC000289215243 1127377558 /nfs/dbraw/zinc/37/75/58/1127377558.db2.gz XAAMSZAAPRDNFH-GHMZBOCLSA-N 1 2 294.395 3.529 20 0 CHADLO Cc1cnn(C)c1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC000289232309 1127378341 /nfs/dbraw/zinc/37/83/41/1127378341.db2.gz YQYRCEQVALMDRS-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1cnn(C)c1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC000289232309 1127378346 /nfs/dbraw/zinc/37/83/46/1127378346.db2.gz YQYRCEQVALMDRS-GFCCVEGCSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CC[C@H](C)C1 ZINC000357904977 1127395700 /nfs/dbraw/zinc/39/57/00/1127395700.db2.gz SBEOVLRMPLLKGN-SMDDNHRTSA-N 1 2 283.375 3.760 20 0 CHADLO FC(F)(F)c1cc(C[NH2+]Cc2cocn2)ccc1Cl ZINC000594060948 1127408913 /nfs/dbraw/zinc/40/89/13/1127408913.db2.gz DLZRHSCMDSOUAG-UHFFFAOYSA-N 1 2 290.672 3.637 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nnc(C(C)C)s2)cc1F ZINC000358282162 1127412794 /nfs/dbraw/zinc/41/27/94/1127412794.db2.gz BKPYJTKVUCIABC-LLVKDONJSA-N 1 2 293.411 3.960 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@@H](C)c2ccc(F)cc2)s1 ZINC000358282098 1127412852 /nfs/dbraw/zinc/41/28/52/1127412852.db2.gz BGLCHTJYHJYJFR-JTQLQIEISA-N 1 2 279.384 3.651 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CC[C@@H](C(F)(F)F)C2)cs1 ZINC000761054946 1127414007 /nfs/dbraw/zinc/41/40/07/1127414007.db2.gz KXEUXVZHMKSCFD-SECBINFHSA-N 1 2 292.370 3.825 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CC[C@@H](C(F)(F)F)C2)cs1 ZINC000761054946 1127414008 /nfs/dbraw/zinc/41/40/08/1127414008.db2.gz KXEUXVZHMKSCFD-SECBINFHSA-N 1 2 292.370 3.825 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H](Cc3ccccc3)C2)no1 ZINC000358374267 1127418650 /nfs/dbraw/zinc/41/86/50/1127418650.db2.gz FPBGGFZBZFWJCK-INIZCTEOSA-N 1 2 299.418 3.648 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H](Cc3ccccc3)C2)no1 ZINC000358374267 1127418652 /nfs/dbraw/zinc/41/86/52/1127418652.db2.gz FPBGGFZBZFWJCK-INIZCTEOSA-N 1 2 299.418 3.648 20 0 CHADLO CCCCn1cc(C[NH2+]Cc2c(F)cccc2F)c(C)n1 ZINC000358501585 1127424689 /nfs/dbraw/zinc/42/46/89/1127424689.db2.gz AYLYAJJZBWFLHH-UHFFFAOYSA-N 1 2 293.361 3.560 20 0 CHADLO CCSCc1cc[nH+]c(NCc2nccn2C(C)C)c1 ZINC000594734926 1127430670 /nfs/dbraw/zinc/43/06/70/1127430670.db2.gz GQKDEBZDLYSCIG-UHFFFAOYSA-N 1 2 290.436 3.724 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@H+](Cc2ncc(C(C)(C)C)o2)C1 ZINC000358810089 1127434859 /nfs/dbraw/zinc/43/48/59/1127434859.db2.gz OGLPOXBVURJAOE-ZFWWWQNUSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2ncc(C(C)(C)C)o2)C1 ZINC000358810089 1127434861 /nfs/dbraw/zinc/43/48/61/1127434861.db2.gz OGLPOXBVURJAOE-ZFWWWQNUSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@@H](CSCCF)Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000595022713 1127442608 /nfs/dbraw/zinc/44/26/08/1127442608.db2.gz MTIOCNNWZPCHFV-ZDUSSCGKSA-N 1 2 297.443 3.575 20 0 CHADLO c1cn(-c2ccc(CSCCC3CC3)cc2)c[nH+]1 ZINC000359072818 1127447472 /nfs/dbraw/zinc/44/74/72/1127447472.db2.gz NOEAMUDVWQIFKL-UHFFFAOYSA-N 1 2 258.390 3.906 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](C)CSCCF)c1 ZINC000595030295 1127449470 /nfs/dbraw/zinc/44/94/70/1127449470.db2.gz PTMQHRZMPJGTTH-NSHDSACASA-N 1 2 288.457 3.838 20 0 CHADLO C[C@@H](CSCCF)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000595035620 1127450055 /nfs/dbraw/zinc/45/00/55/1127450055.db2.gz YIBIAGVHKIJLOY-ZDUSSCGKSA-N 1 2 297.443 3.575 20 0 CHADLO CC(C)c1occc1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000359149794 1127451598 /nfs/dbraw/zinc/45/15/98/1127451598.db2.gz NZYSQPDTVDSTCR-UHFFFAOYSA-N 1 2 295.342 3.841 20 0 CHADLO CC1(C)CC[C@@H](C(=O)Nc2ccccc2-n2cc[nH+]c2)C1 ZINC000359173259 1127452934 /nfs/dbraw/zinc/45/29/34/1127452934.db2.gz PXZRLZFTKQHXPR-CYBMUJFWSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H](CSCCF)[NH2+]CC(F)(F)c1ccc(F)cc1 ZINC000595094863 1127455571 /nfs/dbraw/zinc/45/55/71/1127455571.db2.gz NCMXLCQTYDGBCJ-SNVBAGLBSA-N 1 2 295.345 3.598 20 0 CHADLO CSCC[N@H+](C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000595515108 1127464807 /nfs/dbraw/zinc/46/48/07/1127464807.db2.gz LTTJJDRMCJITPP-SECBINFHSA-N 1 2 283.828 3.572 20 0 CHADLO CSCC[N@@H+](C)[C@H](C)c1nc2ccc(Cl)cc2[nH]1 ZINC000595515108 1127464810 /nfs/dbraw/zinc/46/48/10/1127464810.db2.gz LTTJJDRMCJITPP-SECBINFHSA-N 1 2 283.828 3.572 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH2+]Cc2c(F)cccc2F)o1 ZINC000069752610 1127467183 /nfs/dbraw/zinc/46/71/83/1127467183.db2.gz TYRCFZBUOBZXDR-ZYHUDNBSSA-N 1 2 277.314 3.971 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1ccsc1Cl)CO2 ZINC000359666694 1127472251 /nfs/dbraw/zinc/47/22/51/1127472251.db2.gz XGWXVLOVBAJPDR-NSHDSACASA-N 1 2 283.755 3.764 20 0 CHADLO Cc1cc(N2CCC[C@H](C(F)(F)F)[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000359695066 1127473609 /nfs/dbraw/zinc/47/36/09/1127473609.db2.gz KZGVWEWAMSCBGB-JQWIXIFHSA-N 1 2 299.340 3.830 20 0 CHADLO COc1cccc2c1CC[N@H+](Cc1cc(F)ccc1F)C2 ZINC000359860569 1127481126 /nfs/dbraw/zinc/48/11/26/1127481126.db2.gz MMEWZQLRIKWBEG-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc2c1CC[N@@H+](Cc1cc(F)ccc1F)C2 ZINC000359860569 1127481127 /nfs/dbraw/zinc/48/11/27/1127481127.db2.gz MMEWZQLRIKWBEG-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CCC1(CNc2c[nH+]c3c(c2)CCCC3)CCOCC1 ZINC000359945752 1127484478 /nfs/dbraw/zinc/48/44/78/1127484478.db2.gz GQTKYAIIPGZUNU-UHFFFAOYSA-N 1 2 274.408 3.579 20 0 CHADLO CC(C)C[C@H](C)CC(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000360010653 1127486197 /nfs/dbraw/zinc/48/61/97/1127486197.db2.gz OPCCULXIXUMADB-HNNXBMFYSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1nc(N[C@@H]2CC[C@@H](c3cccc(F)c3)C2)cc[nH+]1 ZINC000360061855 1127488292 /nfs/dbraw/zinc/48/82/92/1127488292.db2.gz DNPHXTBPLJVPQU-UKRRQHHQSA-N 1 2 271.339 3.672 20 0 CHADLO COc1cc(C)[nH+]c(CSCC[C@@H]2CCCCO2)c1 ZINC000596352237 1127497268 /nfs/dbraw/zinc/49/72/68/1127497268.db2.gz JPLQYSBXROSRGI-AWEZNQCLSA-N 1 2 281.421 3.591 20 0 CHADLO Cc1nc(N2CCC(=Cc3cccc(F)c3)CC2)cc[nH+]1 ZINC000360516801 1127501181 /nfs/dbraw/zinc/50/11/81/1127501181.db2.gz HQKRBNYDJYBGIH-UHFFFAOYSA-N 1 2 283.350 3.608 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3cccc(Cl)c3)CC2)nc1 ZINC000360756253 1127510041 /nfs/dbraw/zinc/51/00/41/1127510041.db2.gz TWLJEPPGOBYJGF-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3cccc(Cl)c3)CC2)nc1 ZINC000360756253 1127510043 /nfs/dbraw/zinc/51/00/43/1127510043.db2.gz TWLJEPPGOBYJGF-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO CC[C@@](C)([NH2+]Cc1cccc(O)c1Cl)c1nccs1 ZINC000293683004 1127513026 /nfs/dbraw/zinc/51/30/26/1127513026.db2.gz VTCVIMNYCLKSMO-CQSZACIVSA-N 1 2 296.823 3.917 20 0 CHADLO CC(C)OCc1nc([C@@H](C)[NH2+][C@@H]2C=CCCCCC2)no1 ZINC000293737021 1127516785 /nfs/dbraw/zinc/51/67/85/1127516785.db2.gz ZUHWDMPZBMJNPH-ZIAGYGMSSA-N 1 2 293.411 3.534 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2)[C@H]1c1ccncc1 ZINC000293818441 1127523232 /nfs/dbraw/zinc/52/32/32/1127523232.db2.gz FQWUGWZMMFILHN-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2)[C@H]1c1ccncc1 ZINC000293818441 1127523233 /nfs/dbraw/zinc/52/32/33/1127523233.db2.gz FQWUGWZMMFILHN-INIZCTEOSA-N 1 2 270.351 3.804 20 0 CHADLO Cc1nc(NCc2cccc(Oc3ccccc3)c2)cc[nH+]1 ZINC000360963594 1127523618 /nfs/dbraw/zinc/52/36/18/1127523618.db2.gz DWGFKGJEBRZZGQ-UHFFFAOYSA-N 1 2 291.354 3.611 20 0 CHADLO COc1cc(CNc2[nH]c3cc(C)ccc3[nH+]2)cc(OC)c1 ZINC001203478660 1127528988 /nfs/dbraw/zinc/52/89/88/1127528988.db2.gz GTEHMJZGAJFKMY-UHFFFAOYSA-N 1 2 297.358 3.501 20 0 CHADLO CS[C@@H]1CC[C@H]1[NH2+]CC(F)(F)c1ccccc1C ZINC000597227656 1127534807 /nfs/dbraw/zinc/53/48/07/1127534807.db2.gz AEOHHQSMLPFYBR-CHWSQXEVSA-N 1 2 271.376 3.570 20 0 CHADLO COC(=O)c1ccc(C[N@@H+]2Cc3ccccc3[C@@H]2C)cc1F ZINC000361063798 1127534813 /nfs/dbraw/zinc/53/48/13/1127534813.db2.gz VSPHORIQXMUILP-LBPRGKRZSA-N 1 2 299.345 3.689 20 0 CHADLO COC(=O)c1ccc(C[N@H+]2Cc3ccccc3[C@@H]2C)cc1F ZINC000361063798 1127534816 /nfs/dbraw/zinc/53/48/16/1127534816.db2.gz VSPHORIQXMUILP-LBPRGKRZSA-N 1 2 299.345 3.689 20 0 CHADLO CS[C@H]1CC[C@H]1[NH2+]CC(F)(F)c1ccccc1C ZINC000597227657 1127534962 /nfs/dbraw/zinc/53/49/62/1127534962.db2.gz AEOHHQSMLPFYBR-OLZOCXBDSA-N 1 2 271.376 3.570 20 0 CHADLO Cc1cc(N2CCC3(C2)CCCCC3)nc(C2CC2)[nH+]1 ZINC000361124456 1127540353 /nfs/dbraw/zinc/54/03/53/1127540353.db2.gz SGJKHWBEQJMZSU-UHFFFAOYSA-N 1 2 271.408 3.823 20 0 CHADLO Cc1nc(N2CCCC3(C2)CCCCCC3)cc[nH+]1 ZINC000361186428 1127545650 /nfs/dbraw/zinc/54/56/50/1127545650.db2.gz LLUVUZJJRLANKV-UHFFFAOYSA-N 1 2 259.397 3.726 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H](C)COC(C)C)cc2)c1C ZINC000361248312 1127549954 /nfs/dbraw/zinc/54/99/54/1127549954.db2.gz BEZAIFOBPAWMBU-ZDUSSCGKSA-N 1 2 287.407 3.715 20 0 CHADLO CCCC[C@@H](C)N(CCCC)C(=O)CCc1[nH]cc[nH+]1 ZINC000294109904 1127550292 /nfs/dbraw/zinc/55/02/92/1127550292.db2.gz OZBZBCOVMMPMEU-CQSZACIVSA-N 1 2 279.428 3.550 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1ccc(CSC(F)F)o1 ZINC000294170693 1127554355 /nfs/dbraw/zinc/55/43/55/1127554355.db2.gz WKAVQHSBNZPISP-VIFPVBQESA-N 1 2 279.327 3.669 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1ccc(CSC(F)F)o1 ZINC000294170693 1127554358 /nfs/dbraw/zinc/55/43/58/1127554358.db2.gz WKAVQHSBNZPISP-VIFPVBQESA-N 1 2 279.327 3.669 20 0 CHADLO FC(F)(F)c1cncc(C[N@@H+]2CCc3ccccc3C2)c1 ZINC000361287889 1127553622 /nfs/dbraw/zinc/55/36/22/1127553622.db2.gz LTBSMMVEIZTIOB-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1cncc(C[N@H+]2CCc3ccccc3C2)c1 ZINC000361287889 1127553626 /nfs/dbraw/zinc/55/36/26/1127553626.db2.gz LTBSMMVEIZTIOB-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cncc(C(F)(F)F)c2)CCC1(F)F ZINC000361373605 1127560238 /nfs/dbraw/zinc/56/02/38/1127560238.db2.gz COALXACKMDLYAC-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cncc(C(F)(F)F)c2)CCC1(F)F ZINC000361373605 1127560240 /nfs/dbraw/zinc/56/02/40/1127560240.db2.gz COALXACKMDLYAC-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO Cc1cccc2nc(C[N@@H+]3CC[C@@H]3c3ccccc3)cn21 ZINC000361400956 1127561201 /nfs/dbraw/zinc/56/12/01/1127561201.db2.gz UAQZGTYTULMBFJ-QGZVFWFLSA-N 1 2 277.371 3.590 20 0 CHADLO Cc1cccc2nc(C[N@H+]3CC[C@@H]3c3ccccc3)cn21 ZINC000361400956 1127561203 /nfs/dbraw/zinc/56/12/03/1127561203.db2.gz UAQZGTYTULMBFJ-QGZVFWFLSA-N 1 2 277.371 3.590 20 0 CHADLO Cc1ccc2c(c1)C[N@H+]([C@H](C)C(=O)Nc1ccccc1C)C2 ZINC000361604867 1127576270 /nfs/dbraw/zinc/57/62/70/1127576270.db2.gz WLPVYNOCFGIKMQ-OAHLLOKOSA-N 1 2 294.398 3.646 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+]([C@H](C)C(=O)Nc1ccccc1C)C2 ZINC000361604867 1127576274 /nfs/dbraw/zinc/57/62/74/1127576274.db2.gz WLPVYNOCFGIKMQ-OAHLLOKOSA-N 1 2 294.398 3.646 20 0 CHADLO C[C@H](c1ccc(C(F)(F)F)cc1)[N@H+](C)Cc1ncccn1 ZINC000361713348 1127583846 /nfs/dbraw/zinc/58/38/46/1127583846.db2.gz YBWJCCBWYABWDJ-LLVKDONJSA-N 1 2 295.308 3.688 20 0 CHADLO C[C@H](c1ccc(C(F)(F)F)cc1)[N@@H+](C)Cc1ncccn1 ZINC000361713348 1127583849 /nfs/dbraw/zinc/58/38/49/1127583849.db2.gz YBWJCCBWYABWDJ-LLVKDONJSA-N 1 2 295.308 3.688 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+](C)Cc1cc(F)ncc1F ZINC000294570840 1127583935 /nfs/dbraw/zinc/58/39/35/1127583935.db2.gz OJFBKBLETLGORB-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1cc(F)ncc1F ZINC000294570840 1127583937 /nfs/dbraw/zinc/58/39/37/1127583937.db2.gz OJFBKBLETLGORB-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1cnc3ccccn13)C2 ZINC000361730514 1127585506 /nfs/dbraw/zinc/58/55/06/1127585506.db2.gz YOHOJNYRZCGNBR-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1cnc3ccccn13)C2 ZINC000361730514 1127585508 /nfs/dbraw/zinc/58/55/08/1127585508.db2.gz YOHOJNYRZCGNBR-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1cc(OC)ccc1Cl ZINC000361864738 1127595389 /nfs/dbraw/zinc/59/53/89/1127595389.db2.gz DRBUBZPDSPJGJK-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1cc(OC)ccc1Cl ZINC000361864738 1127595392 /nfs/dbraw/zinc/59/53/92/1127595392.db2.gz DRBUBZPDSPJGJK-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2CS[C@@H](C)C2)c1 ZINC000602575607 1127595921 /nfs/dbraw/zinc/59/59/21/1127595921.db2.gz GLZOCTCHZZIOOJ-JQWIXIFHSA-N 1 2 268.451 3.641 20 0 CHADLO Fc1cc(C[N@H+](CCC(F)(F)F)CC2CC2)c(F)cn1 ZINC000294995103 1127612631 /nfs/dbraw/zinc/61/26/31/1127612631.db2.gz YJDUQRBCRWOVOL-UHFFFAOYSA-N 1 2 294.267 3.524 20 0 CHADLO Fc1cc(C[N@@H+](CCC(F)(F)F)CC2CC2)c(F)cn1 ZINC000294995103 1127612635 /nfs/dbraw/zinc/61/26/35/1127612635.db2.gz YJDUQRBCRWOVOL-UHFFFAOYSA-N 1 2 294.267 3.524 20 0 CHADLO FCC1CC[NH+](Cc2ccc(Cl)nc2Cl)CC1 ZINC000295095462 1127619688 /nfs/dbraw/zinc/61/96/88/1127619688.db2.gz GLDWJAISJJGYSU-UHFFFAOYSA-N 1 2 277.170 3.570 20 0 CHADLO CC(C)c1cnc(C[NH+]2CC3(C2)CCCC3)s1 ZINC000362318630 1127627568 /nfs/dbraw/zinc/62/75/68/1127627568.db2.gz DQJOHSKFCPFZOY-UHFFFAOYSA-N 1 2 250.411 3.643 20 0 CHADLO Cc1nc(N[C@H]2C[C@H]2c2cccc3ccccc32)cc[nH+]1 ZINC000362373647 1127631083 /nfs/dbraw/zinc/63/10/83/1127631083.db2.gz NRMGOSZAKZQLFS-IRXDYDNUSA-N 1 2 275.355 3.906 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[N@@H+]1CCC[C@@](C)(F)C1 ZINC000295391272 1127640554 /nfs/dbraw/zinc/64/05/54/1127640554.db2.gz LFMHPAPRCHSFNN-BLLLJJGKSA-N 1 2 289.354 3.622 20 0 CHADLO C[C@@H](c1nc(-c2ccccc2)no1)[N@H+]1CCC[C@@](C)(F)C1 ZINC000295391272 1127640555 /nfs/dbraw/zinc/64/05/55/1127640555.db2.gz LFMHPAPRCHSFNN-BLLLJJGKSA-N 1 2 289.354 3.622 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cccc(C3CC3)c2)o1 ZINC000295437208 1127643502 /nfs/dbraw/zinc/64/35/02/1127643502.db2.gz OMCMKCGMVSLKLQ-GFCCVEGCSA-N 1 2 256.349 3.711 20 0 CHADLO Cc1cnc(C[NH2+][C@H]2CCCOc3c(F)cccc32)s1 ZINC000295535606 1127645663 /nfs/dbraw/zinc/64/56/63/1127645663.db2.gz WZIRPDKTVBCAKO-ZDUSSCGKSA-N 1 2 292.379 3.594 20 0 CHADLO CCCCC(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000363096795 1127661280 /nfs/dbraw/zinc/66/12/80/1127661280.db2.gz FBYPKXRSJRGNDR-UHFFFAOYSA-N 1 2 271.364 3.822 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2csc(C)n2)oc1C ZINC000669698730 1127674222 /nfs/dbraw/zinc/67/42/22/1127674222.db2.gz RFRBITBMQDSIRX-SECBINFHSA-N 1 2 250.367 3.512 20 0 CHADLO C[C@H]([NH2+][C@H]1C[C@H](C)n2ccnc21)c1cccc(F)c1F ZINC000296706118 1127681989 /nfs/dbraw/zinc/68/19/89/1127681989.db2.gz HXXDXPRODYLVNQ-KWBADKCTSA-N 1 2 277.318 3.518 20 0 CHADLO Cc1cnc(C[NH2+]Cc2cc(F)c(Cl)cc2F)s1 ZINC000297077117 1127687978 /nfs/dbraw/zinc/68/79/78/1127687978.db2.gz ZVPSTOJPWNKJMR-UHFFFAOYSA-N 1 2 288.750 3.673 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2csc(C)c2)[nH]1 ZINC000297033352 1127688029 /nfs/dbraw/zinc/68/80/29/1127688029.db2.gz XOAQVBNUIDHMNV-GXSJLCMTSA-N 1 2 278.425 3.539 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+]Cc2cc(F)cnc2Cl)cn1 ZINC000297216330 1127690908 /nfs/dbraw/zinc/69/09/08/1127690908.db2.gz HBCLCIXNDITMPU-JTQLQIEISA-N 1 2 296.777 3.502 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C2CC2)ccc1F)c1nccn1C ZINC000297271147 1127692598 /nfs/dbraw/zinc/69/25/98/1127692598.db2.gz KQTSGRJWIWQPNC-MRXNPFEDSA-N 1 2 287.382 3.678 20 0 CHADLO Brc1cncc(C[NH+]2CC3(C2)CCCCC3)c1 ZINC000297351704 1127693968 /nfs/dbraw/zinc/69/39/68/1127693968.db2.gz GBRRWXFMVFVMFM-UHFFFAOYSA-N 1 2 295.224 3.610 20 0 CHADLO C[C@@H](CCC1CCCCC1)NC(=O)CCCn1cc[nH+]c1 ZINC000604247940 1127699075 /nfs/dbraw/zinc/69/90/75/1127699075.db2.gz SFGLOQXMPNBRPZ-HNNXBMFYSA-N 1 2 291.439 3.529 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@H+](C)CC(=O)OC(C)(C)C)c1 ZINC000604492249 1127707839 /nfs/dbraw/zinc/70/78/39/1127707839.db2.gz DUFKIMPUIYAHGZ-CQSZACIVSA-N 1 2 277.408 3.638 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@@H+](C)CC(=O)OC(C)(C)C)c1 ZINC000604492249 1127707840 /nfs/dbraw/zinc/70/78/40/1127707840.db2.gz DUFKIMPUIYAHGZ-CQSZACIVSA-N 1 2 277.408 3.638 20 0 CHADLO C[C@H](CC(=O)Nc1ccc2[nH+]ccn2c1)c1cccc(F)c1 ZINC000604745983 1127713622 /nfs/dbraw/zinc/71/36/22/1127713622.db2.gz ZUDZQRWKUAXRQQ-GFCCVEGCSA-N 1 2 297.333 3.606 20 0 CHADLO Cc1cc(N2CCOc3ccccc3[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000604757055 1127715599 /nfs/dbraw/zinc/71/55/99/1127715599.db2.gz ZDTXHNVJWDLSKQ-ZDUSSCGKSA-N 1 2 295.386 3.622 20 0 CHADLO CCC[N@H+](Cc1ccc(F)cc1)[C@@H](C)c1nnc(C)s1 ZINC000298154649 1127715636 /nfs/dbraw/zinc/71/56/36/1127715636.db2.gz PDGQNBYZVWMYQS-NSHDSACASA-N 1 2 293.411 3.959 20 0 CHADLO CCC[N@@H+](Cc1ccc(F)cc1)[C@@H](C)c1nnc(C)s1 ZINC000298154649 1127715639 /nfs/dbraw/zinc/71/56/39/1127715639.db2.gz PDGQNBYZVWMYQS-NSHDSACASA-N 1 2 293.411 3.959 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCOC[C@@H]2c2ccco2)c(C)c1 ZINC000604886320 1127720817 /nfs/dbraw/zinc/72/08/17/1127720817.db2.gz IKDAYJKDIDANRP-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCOC[C@@H]2c2ccco2)c(C)c1 ZINC000604886320 1127720820 /nfs/dbraw/zinc/72/08/20/1127720820.db2.gz IKDAYJKDIDANRP-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Fc1cc2c(c(F)c1)[C@@H]([NH2+][C@@H]1CCCc3occc31)CO2 ZINC000365493919 1127730929 /nfs/dbraw/zinc/73/09/29/1127730929.db2.gz PKQLUTKJWSYVTF-OLZOCXBDSA-N 1 2 291.297 3.659 20 0 CHADLO CCc1nc([C@H]2CCCC[N@@H+]2Cc2c(C)cccc2C)no1 ZINC000606431616 1127762039 /nfs/dbraw/zinc/76/20/39/1127762039.db2.gz AAWJDCWJKWVBOL-MRXNPFEDSA-N 1 2 299.418 3.976 20 0 CHADLO CCc1nc([C@H]2CCCC[N@H+]2Cc2c(C)cccc2C)no1 ZINC000606431616 1127762041 /nfs/dbraw/zinc/76/20/41/1127762041.db2.gz AAWJDCWJKWVBOL-MRXNPFEDSA-N 1 2 299.418 3.976 20 0 CHADLO CCCC[C@@H](CC)CNC(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606583999 1127768155 /nfs/dbraw/zinc/76/81/55/1127768155.db2.gz WVZIYOOVNBRSSA-CYBMUJFWSA-N 1 2 288.395 3.672 20 0 CHADLO Cc1cc(NC[C@H]2CCCC(F)(F)C2)nc(C(C)C)[nH+]1 ZINC000409663746 1127779162 /nfs/dbraw/zinc/77/91/62/1127779162.db2.gz VNIHGCIGARFRIB-LBPRGKRZSA-N 1 2 283.366 3.568 20 0 CHADLO CCC[C@@H](C(=O)Nc1cccc2[nH+]ccn21)c1ccccc1 ZINC000607714830 1127825300 /nfs/dbraw/zinc/82/53/00/1127825300.db2.gz NLLCQVZQDGARRB-OAHLLOKOSA-N 1 2 293.370 3.857 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@@H+]1Cc1ncc(C)o1 ZINC000608496431 1127869793 /nfs/dbraw/zinc/86/97/93/1127869793.db2.gz PEFGDZPFCPGERF-OAHLLOKOSA-N 1 2 286.375 3.719 20 0 CHADLO COc1ccccc1[C@H]1CCCC[N@H+]1Cc1ncc(C)o1 ZINC000608496431 1127869799 /nfs/dbraw/zinc/86/97/99/1127869799.db2.gz PEFGDZPFCPGERF-OAHLLOKOSA-N 1 2 286.375 3.719 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)CC[N@@H+]1Cc1noc(C2CC2)n1 ZINC000374180342 1127876980 /nfs/dbraw/zinc/87/69/80/1127876980.db2.gz ZUAFSBMOHZZOGF-XJKSGUPXSA-N 1 2 297.402 3.715 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)CC[N@H+]1Cc1noc(C2CC2)n1 ZINC000374180342 1127876983 /nfs/dbraw/zinc/87/69/83/1127876983.db2.gz ZUAFSBMOHZZOGF-XJKSGUPXSA-N 1 2 297.402 3.715 20 0 CHADLO C[C@H]1CC[N@@H+]([C@@H]2CCc3cc(F)ccc3C2)CC1(F)F ZINC001168426501 1127906196 /nfs/dbraw/zinc/90/61/96/1127906196.db2.gz LHYFMBXWZQLRRB-XHDPSFHLSA-N 1 2 283.337 3.660 20 0 CHADLO C[C@H]1CC[N@H+]([C@@H]2CCc3cc(F)ccc3C2)CC1(F)F ZINC001168426501 1127906197 /nfs/dbraw/zinc/90/61/97/1127906197.db2.gz LHYFMBXWZQLRRB-XHDPSFHLSA-N 1 2 283.337 3.660 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CCc2ccsc2C1 ZINC000535576113 1127920989 /nfs/dbraw/zinc/92/09/89/1127920989.db2.gz UBMPGZKIHRHKMP-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CCc2ccsc2C1 ZINC000535576113 1127920991 /nfs/dbraw/zinc/92/09/91/1127920991.db2.gz UBMPGZKIHRHKMP-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO Cc1cc(N[C@H](C)c2ccsc2)nc(C2CC2)[nH+]1 ZINC000669827101 1127925187 /nfs/dbraw/zinc/92/51/87/1127925187.db2.gz NAJVVVWNLUYENN-SNVBAGLBSA-N 1 2 259.378 3.897 20 0 CHADLO CC(C)[C@@H]([NH2+][C@@H](C)Cc1ccsc1)C(=O)OC(C)(C)C ZINC000539681983 1127935554 /nfs/dbraw/zinc/93/55/54/1127935554.db2.gz WYWHDFIBNSMALO-GXTWGEPZSA-N 1 2 297.464 3.635 20 0 CHADLO FCCCCC[N@@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000375328895 1127941699 /nfs/dbraw/zinc/94/16/99/1127941699.db2.gz XAJPMORXULDBKS-ZDUSSCGKSA-N 1 2 281.375 3.614 20 0 CHADLO FCCCCC[N@H+]1CCCC[C@H]1c1noc(C2CC2)n1 ZINC000375328895 1127941702 /nfs/dbraw/zinc/94/17/02/1127941702.db2.gz XAJPMORXULDBKS-ZDUSSCGKSA-N 1 2 281.375 3.614 20 0 CHADLO C[C@H](Nc1cc(NC2CC2)[nH+]cn1)c1cccc(F)c1F ZINC000413220653 1127945229 /nfs/dbraw/zinc/94/52/29/1127945229.db2.gz PZKIGANOSXDGDA-VIFPVBQESA-N 1 2 290.317 3.502 20 0 CHADLO C[C@H](Nc1cc(NC2CC2)nc[nH+]1)c1cccc(F)c1F ZINC000413220653 1127945233 /nfs/dbraw/zinc/94/52/33/1127945233.db2.gz PZKIGANOSXDGDA-VIFPVBQESA-N 1 2 290.317 3.502 20 0 CHADLO Fc1ccc(/C=C/C[N@@H+]2CCOC[C@H]2c2ccccc2)cc1 ZINC000539850947 1127947094 /nfs/dbraw/zinc/94/70/94/1127947094.db2.gz JJJSOTZXDPVZMN-PXPVJAHISA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc(/C=C/C[N@H+]2CCOC[C@H]2c2ccccc2)cc1 ZINC000539850947 1127947098 /nfs/dbraw/zinc/94/70/98/1127947098.db2.gz JJJSOTZXDPVZMN-PXPVJAHISA-N 1 2 297.373 3.912 20 0 CHADLO CC(C)OC[C@H]1C[N@@H+]([C@H](C)c2cccc(Cl)c2)CCO1 ZINC000545324854 1128011506 /nfs/dbraw/zinc/01/15/06/1128011506.db2.gz FUCZRGDOEQDPBC-CZUORRHYSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@H]1C[N@H+]([C@H](C)c2cccc(Cl)c2)CCO1 ZINC000545324854 1128011511 /nfs/dbraw/zinc/01/15/11/1128011511.db2.gz FUCZRGDOEQDPBC-CZUORRHYSA-N 1 2 297.826 3.527 20 0 CHADLO C[C@H](Cc1ccc(Cl)cc1)[NH2+][C@H](C)C(=O)OC(C)(C)C ZINC000470326882 1128068536 /nfs/dbraw/zinc/06/85/36/1128068536.db2.gz GXCLCJNAIJQBME-VXGBXAGGSA-N 1 2 297.826 3.591 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H](C2CC2)O1 ZINC000470908577 1128079801 /nfs/dbraw/zinc/07/98/01/1128079801.db2.gz ZBALDDDHIFTZOR-ABAIWWIYSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@@H](C2CC2)O1 ZINC000470908577 1128079805 /nfs/dbraw/zinc/07/98/05/1128079805.db2.gz ZBALDDDHIFTZOR-ABAIWWIYSA-N 1 2 299.336 3.705 20 0 CHADLO C[C@@H]1C[N@H+](CCc2c(F)cccc2Cl)C[C@H](C2CC2)O1 ZINC000470911313 1128081762 /nfs/dbraw/zinc/08/17/62/1128081762.db2.gz RXQZTAYTMKGOTF-BDJLRTHQSA-N 1 2 297.801 3.521 20 0 CHADLO C[C@@H]1C[N@@H+](CCc2c(F)cccc2Cl)C[C@H](C2CC2)O1 ZINC000470911313 1128081765 /nfs/dbraw/zinc/08/17/65/1128081765.db2.gz RXQZTAYTMKGOTF-BDJLRTHQSA-N 1 2 297.801 3.521 20 0 CHADLO CC(C)OCC[N@@H+]1CC[C@](F)(c2cccc(Cl)c2)C1 ZINC000669942894 1128083092 /nfs/dbraw/zinc/08/30/92/1128083092.db2.gz BKNNGROUKULRJH-OAHLLOKOSA-N 1 2 285.790 3.636 20 0 CHADLO CC(C)OCC[N@H+]1CC[C@](F)(c2cccc(Cl)c2)C1 ZINC000669942894 1128083093 /nfs/dbraw/zinc/08/30/93/1128083093.db2.gz BKNNGROUKULRJH-OAHLLOKOSA-N 1 2 285.790 3.636 20 0 CHADLO C[C@@H](c1ccco1)c1noc([C@@H]2CC[N@@H+]2C2CCCC2)n1 ZINC000924124934 1128090499 /nfs/dbraw/zinc/09/04/99/1128090499.db2.gz OVNAEGCYTRSXTQ-AAEUAGOBSA-N 1 2 287.363 3.504 20 0 CHADLO C[C@@H](c1ccco1)c1noc([C@@H]2CC[N@H+]2C2CCCC2)n1 ZINC000924124934 1128090504 /nfs/dbraw/zinc/09/05/04/1128090504.db2.gz OVNAEGCYTRSXTQ-AAEUAGOBSA-N 1 2 287.363 3.504 20 0 CHADLO CC(C)[C@H]1C[N@@H+]([C@@H](C)c2nc(C(C)(C)C)no2)CCS1 ZINC000174336926 1128100087 /nfs/dbraw/zinc/10/00/87/1128100087.db2.gz PEPNJCLAYAMVHK-NWDGAFQWSA-N 1 2 297.468 3.502 20 0 CHADLO CC(C)[C@H]1C[N@H+]([C@@H](C)c2nc(C(C)(C)C)no2)CCS1 ZINC000174336926 1128100090 /nfs/dbraw/zinc/10/00/90/1128100090.db2.gz PEPNJCLAYAMVHK-NWDGAFQWSA-N 1 2 297.468 3.502 20 0 CHADLO CCC[C@@H]([NH2+]Cc1ccco1)c1ncccc1Cl ZINC000924540278 1128102765 /nfs/dbraw/zinc/10/27/65/1128102765.db2.gz ONKXWLBFELVALF-CYBMUJFWSA-N 1 2 264.756 3.959 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@@H](C)c1ccccc1C(F)(F)F ZINC000924764951 1128112176 /nfs/dbraw/zinc/11/21/76/1128112176.db2.gz CWVNXMJHRYMXSJ-DTWKUNHWSA-N 1 2 299.296 3.809 20 0 CHADLO CCCOc1ccc([C@@H](C)[NH2+][C@H](C)c2ncco2)cc1 ZINC000924858103 1128115142 /nfs/dbraw/zinc/11/51/42/1128115142.db2.gz IBKWMPSVFXGFNK-CHWSQXEVSA-N 1 2 274.364 3.875 20 0 CHADLO CCc1nc([C@@H](C)[NH2+][C@H](C)c2cc(O)cc(F)c2)cs1 ZINC000925032119 1128119228 /nfs/dbraw/zinc/11/92/28/1128119228.db2.gz FKCSJISRDMUGNS-NXEZZACHSA-N 1 2 294.395 3.962 20 0 CHADLO CSc1c(Cl)cccc1OC1C[NH+](C(C)(C)C)C1 ZINC001234247625 1128131107 /nfs/dbraw/zinc/13/11/07/1128131107.db2.gz SCGFQLMCSQQHAT-UHFFFAOYSA-N 1 2 285.840 3.923 20 0 CHADLO COc1cccc(C2([NH2+][C@H]3CCCc4oc(C)nc43)CC2)c1 ZINC000925896888 1128145255 /nfs/dbraw/zinc/14/52/55/1128145255.db2.gz HFQPQTISTFANJL-HNNXBMFYSA-N 1 2 298.386 3.648 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCC(F)(F)C1)C(F)(F)c1ccccc1 ZINC000925928328 1128146791 /nfs/dbraw/zinc/14/67/91/1128146791.db2.gz BDHZFJBLXQWVGW-ZYHUDNBSSA-N 1 2 275.289 3.944 20 0 CHADLO Cc1noc(C)c1[C@@H](C)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000926067557 1128152349 /nfs/dbraw/zinc/15/23/49/1128152349.db2.gz YJNJVHRZSOGFDW-LLVKDONJSA-N 1 2 285.391 3.847 20 0 CHADLO Cc1noc(C)c1[C@@H](C)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000926067557 1128152351 /nfs/dbraw/zinc/15/23/51/1128152351.db2.gz YJNJVHRZSOGFDW-LLVKDONJSA-N 1 2 285.391 3.847 20 0 CHADLO C[C@@H](Cc1ccccn1)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000926099501 1128154453 /nfs/dbraw/zinc/15/44/53/1128154453.db2.gz DJRJLMRPEHPDKB-HNNXBMFYSA-N 1 2 296.418 3.510 20 0 CHADLO CCCOc1cccc2c(NC[C@H](O)CCC)cc[nH+]c12 ZINC000494063159 1128155036 /nfs/dbraw/zinc/15/50/36/1128155036.db2.gz AUDDKOCAKNNBAI-CYBMUJFWSA-N 1 2 288.391 3.597 20 0 CHADLO C[C@H]1CC[N@H+](CCCCOc2ccccc2)CC1(F)F ZINC000494275816 1128168253 /nfs/dbraw/zinc/16/82/53/1128168253.db2.gz CHXFDTKCQSKRKT-AWEZNQCLSA-N 1 2 283.362 3.823 20 0 CHADLO C[C@H]1CC[N@@H+](CCCCOc2ccccc2)CC1(F)F ZINC000494275816 1128168255 /nfs/dbraw/zinc/16/82/55/1128168255.db2.gz CHXFDTKCQSKRKT-AWEZNQCLSA-N 1 2 283.362 3.823 20 0 CHADLO COc1cc([C@H](C)[NH2+]Cc2cc(C3CC3)ccc2F)on1 ZINC000926503566 1128176231 /nfs/dbraw/zinc/17/62/31/1128176231.db2.gz XIWHGNNDLANICO-JTQLQIEISA-N 1 2 290.338 3.551 20 0 CHADLO C[C@H]([NH2+]C1(c2cccc(F)c2)CCC1)c1ncco1 ZINC000926632517 1128184864 /nfs/dbraw/zinc/18/48/64/1128184864.db2.gz GWFBMDOECGXSRI-NSHDSACASA-N 1 2 260.312 3.544 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccccc2Cl)CCC1)c1cn(C)cn1 ZINC000926642756 1128185239 /nfs/dbraw/zinc/18/52/39/1128185239.db2.gz NZRGMVHTCTZALQ-GFCCVEGCSA-N 1 2 289.810 3.804 20 0 CHADLO C[C@@H]([NH2+]C1(c2ncccn2)CCC1)c1cc2ccccc2[nH]1 ZINC000926850886 1128198643 /nfs/dbraw/zinc/19/86/43/1128198643.db2.gz GPVBECWTQMXCOU-CYBMUJFWSA-N 1 2 292.386 3.688 20 0 CHADLO CCn1nc(C)c([C@H](C)[NH2+]Cc2cc3cc(C)ccc3o2)n1 ZINC000926700114 1128188394 /nfs/dbraw/zinc/18/83/94/1128188394.db2.gz COTWLIYNIAZUOU-LBPRGKRZSA-N 1 2 298.390 3.512 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CC[C@H]3SCCS[C@@H]23)cc1 ZINC000777602732 1128190759 /nfs/dbraw/zinc/19/07/59/1128190759.db2.gz VMLOVDLDWUYHQM-KFWWJZLASA-N 1 2 294.489 3.544 20 0 CHADLO Cc1nc2c(o1)CCC[C@H]2[NH2+][C@@H](C)c1cc2cnccc2o1 ZINC000926811046 1128196471 /nfs/dbraw/zinc/19/64/71/1128196471.db2.gz GJZYDLGSXZPTEH-GXFFZTMASA-N 1 2 297.358 3.852 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+]Cc1ccc(C(C)(C)C)s1 ZINC000926827000 1128196758 /nfs/dbraw/zinc/19/67/58/1128196758.db2.gz SVKLVZBHFHROMV-SECBINFHSA-N 1 2 279.409 3.588 20 0 CHADLO Cc1cn(C)nc1C[N@@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000929294193 1128268425 /nfs/dbraw/zinc/26/84/25/1128268425.db2.gz BRSKOSSUTTYDMS-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cn(C)nc1C[N@H+]1CCC[C@@H]1c1ccccc1Cl ZINC000929294193 1128268428 /nfs/dbraw/zinc/26/84/28/1128268428.db2.gz BRSKOSSUTTYDMS-MRXNPFEDSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)c(C)nn1 ZINC000929291668 1128268751 /nfs/dbraw/zinc/26/87/51/1128268751.db2.gz VLIQIHJOFFCXBB-CYBMUJFWSA-N 1 2 289.810 3.940 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2ccccc2Cl)c(C)nn1 ZINC000929291668 1128268753 /nfs/dbraw/zinc/26/87/53/1128268753.db2.gz VLIQIHJOFFCXBB-CYBMUJFWSA-N 1 2 289.810 3.940 20 0 CHADLO O=C1SCCN1C[N@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000914566845 1128211003 /nfs/dbraw/zinc/21/10/03/1128211003.db2.gz KGIOLQTZNZAMEP-CYBMUJFWSA-N 1 2 296.823 3.603 20 0 CHADLO O=C1SCCN1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)cc1 ZINC000914566845 1128211007 /nfs/dbraw/zinc/21/10/07/1128211007.db2.gz KGIOLQTZNZAMEP-CYBMUJFWSA-N 1 2 296.823 3.603 20 0 CHADLO CC[N@H+](C/C=C/c1ccncc1)Cc1c(F)cccc1F ZINC000494957601 1128216125 /nfs/dbraw/zinc/21/61/25/1128216125.db2.gz UUERUKIXYPSKMK-SNAWJCMRSA-N 1 2 288.341 3.895 20 0 CHADLO CC[N@@H+](C/C=C/c1ccncc1)Cc1c(F)cccc1F ZINC000494957601 1128216127 /nfs/dbraw/zinc/21/61/27/1128216127.db2.gz UUERUKIXYPSKMK-SNAWJCMRSA-N 1 2 288.341 3.895 20 0 CHADLO Cc1cccc(C2(Nc3ccc(C4CC4)c[nH+]3)COC2)c1 ZINC001168475424 1128224326 /nfs/dbraw/zinc/22/43/26/1128224326.db2.gz YMCAECVRRNTUPY-UHFFFAOYSA-N 1 2 280.371 3.605 20 0 CHADLO CCCC1(C[NH2+][C@H](c2ncn[nH]2)C2CCCCC2)CC1 ZINC000495080551 1128228736 /nfs/dbraw/zinc/22/87/36/1128228736.db2.gz QXUOLTFDUKVUOK-AWEZNQCLSA-N 1 2 276.428 3.596 20 0 CHADLO CCO[C@@H]1C[C@@H](Nc2ccc([NH+](C)C)c(C)c2)C12CCC2 ZINC000777642930 1128233466 /nfs/dbraw/zinc/23/34/66/1128233466.db2.gz GNBWUAGYXUEVHM-IAGOWNOFSA-N 1 2 288.435 3.821 20 0 CHADLO CC[C@@H]1CCC[C@H]1[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000777692874 1128243883 /nfs/dbraw/zinc/24/38/83/1128243883.db2.gz KKXYPBWOZJUJJN-IIDMSEBBSA-N 1 2 288.435 3.902 20 0 CHADLO CCCC[N@H+](Cc1nncs1)[C@H](C)c1ccccc1 ZINC000929390517 1128273040 /nfs/dbraw/zinc/27/30/40/1128273040.db2.gz KSAYRAGFDNWINP-CYBMUJFWSA-N 1 2 275.421 3.901 20 0 CHADLO CCCC[N@@H+](Cc1nncs1)[C@H](C)c1ccccc1 ZINC000929390517 1128273043 /nfs/dbraw/zinc/27/30/43/1128273043.db2.gz KSAYRAGFDNWINP-CYBMUJFWSA-N 1 2 275.421 3.901 20 0 CHADLO C[C@@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)[C@H]2CCCC[C@@H]12 ZINC000930182618 1128304592 /nfs/dbraw/zinc/30/45/92/1128304592.db2.gz PHYNFTDNGGUWKR-IFIJOSMWSA-N 1 2 297.402 3.604 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ncccn1)c1cccc(C(F)(F)F)c1 ZINC000930182959 1128304684 /nfs/dbraw/zinc/30/46/84/1128304684.db2.gz LSSBFVDKPMQPSY-QWRGUYRKSA-N 1 2 295.308 3.907 20 0 CHADLO C[C@@H]1CCN(C(=O)c2cc3c[nH+]ccc3[nH]2)[C@@H]2CCCC[C@@H]12 ZINC000930182617 1128304893 /nfs/dbraw/zinc/30/48/93/1128304893.db2.gz PHYNFTDNGGUWKR-HACGYAERSA-N 1 2 297.402 3.604 20 0 CHADLO CCCC[C@@H](C(=O)NCc1c[nH+]c(C)cc1C)C(C)C ZINC000622095287 1129227997 /nfs/dbraw/zinc/22/79/97/1129227997.db2.gz IHKITVLVJPAQHH-MRXNPFEDSA-N 1 2 276.424 3.777 20 0 CHADLO Cc1ncc(C[N@@H+](C)Cc2ccc(Cl)cc2F)s1 ZINC000171669442 1128310041 /nfs/dbraw/zinc/31/00/41/1128310041.db2.gz HHRFCCQWUCUVSS-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncc(C[N@H+](C)Cc2ccc(Cl)cc2F)s1 ZINC000171669442 1128310043 /nfs/dbraw/zinc/31/00/43/1128310043.db2.gz HHRFCCQWUCUVSS-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO CSc1ccccc1[C@H](C)[NH2+][C@@H](C)c1ncccn1 ZINC000930322182 1128313444 /nfs/dbraw/zinc/31/34/44/1128313444.db2.gz HQTURHHAHROGOD-RYUDHWBXSA-N 1 2 273.405 3.610 20 0 CHADLO C[N@H+](Cc1c(F)cncc1F)C(C)(C)c1ccccc1 ZINC000930371708 1128316065 /nfs/dbraw/zinc/31/60/65/1128316065.db2.gz VRYINOBDOJNCQZ-UHFFFAOYSA-N 1 2 276.330 3.727 20 0 CHADLO C[N@@H+](Cc1c(F)cncc1F)C(C)(C)c1ccccc1 ZINC000930371708 1128316068 /nfs/dbraw/zinc/31/60/68/1128316068.db2.gz VRYINOBDOJNCQZ-UHFFFAOYSA-N 1 2 276.330 3.727 20 0 CHADLO CCOc1cccc(NC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000236944713 1128320600 /nfs/dbraw/zinc/32/06/00/1128320600.db2.gz SZCUMTNLWBZHBA-UHFFFAOYSA-N 1 2 297.402 3.561 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@]34C[C@H]3CCC4)c2)[nH+]c1C ZINC000930548601 1128324740 /nfs/dbraw/zinc/32/47/40/1128324740.db2.gz FOISNHYARHZKBE-RDTXWAMCSA-N 1 2 295.386 3.822 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1Cc2ccccc2O[C@H](C)C1 ZINC000930555267 1128325141 /nfs/dbraw/zinc/32/51/41/1128325141.db2.gz BGOVUFUAYHHUMO-CHWSQXEVSA-N 1 2 286.350 3.565 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1Cc2ccccc2O[C@H](C)C1 ZINC000930555267 1128325146 /nfs/dbraw/zinc/32/51/46/1128325146.db2.gz BGOVUFUAYHHUMO-CHWSQXEVSA-N 1 2 286.350 3.565 20 0 CHADLO Cc1[nH]c(CSc2nc3cc(C)ccc3[nH]2)c(C)[nH+]1 ZINC000915632004 1128331713 /nfs/dbraw/zinc/33/17/13/1128331713.db2.gz BIQMVUACMJSGQT-UHFFFAOYSA-N 1 2 272.377 3.504 20 0 CHADLO Cc1[nH]c(CSc2nc3ccc(C)cc3[nH]2)c(C)[nH+]1 ZINC000915632004 1128331717 /nfs/dbraw/zinc/33/17/17/1128331717.db2.gz BIQMVUACMJSGQT-UHFFFAOYSA-N 1 2 272.377 3.504 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)C(F)=C1CCCC1 ZINC000763023900 1128345380 /nfs/dbraw/zinc/34/53/80/1128345380.db2.gz WICNMFUOQJEUGR-UHFFFAOYSA-N 1 2 285.322 3.608 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+][C@@H](C)c1nc(C2CC2)no1 ZINC000932556598 1128414572 /nfs/dbraw/zinc/41/45/72/1128414572.db2.gz NLLNFEGOWXYKHD-MNOVXSKESA-N 1 2 289.354 3.806 20 0 CHADLO CCCc1nc(C[N@H+](C2CC2)C2CCC(C)CC2)no1 ZINC000054990099 1128365548 /nfs/dbraw/zinc/36/55/48/1128365548.db2.gz RSHGKIPMTYMZHI-UHFFFAOYSA-N 1 2 277.412 3.565 20 0 CHADLO CCCc1nc(C[N@@H+](C2CC2)C2CCC(C)CC2)no1 ZINC000054990099 1128365553 /nfs/dbraw/zinc/36/55/53/1128365553.db2.gz RSHGKIPMTYMZHI-UHFFFAOYSA-N 1 2 277.412 3.565 20 0 CHADLO Clc1ccc(C[N@@H+]2C[C@@H]3CC[C@H]2c2ccccc23)nn1 ZINC000931705727 1128377520 /nfs/dbraw/zinc/37/75/20/1128377520.db2.gz ORSLLMSGUFWNKU-NHYWBVRUSA-N 1 2 285.778 3.564 20 0 CHADLO Clc1ccc(C[N@H+]2C[C@@H]3CC[C@H]2c2ccccc23)nn1 ZINC000931705727 1128377525 /nfs/dbraw/zinc/37/75/25/1128377525.db2.gz ORSLLMSGUFWNKU-NHYWBVRUSA-N 1 2 285.778 3.564 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncc(C(C)(C)C)s2)CCC1(F)F ZINC000562206957 1128386872 /nfs/dbraw/zinc/38/68/72/1128386872.db2.gz GGRFAIMYAXFBMU-JTQLQIEISA-N 1 2 288.407 3.918 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncc(C(C)(C)C)s2)CCC1(F)F ZINC000562206957 1128386876 /nfs/dbraw/zinc/38/68/76/1128386876.db2.gz GGRFAIMYAXFBMU-JTQLQIEISA-N 1 2 288.407 3.918 20 0 CHADLO C[C@H](CNc1ccc(N2CCCC2)[nH+]c1)c1ccccc1 ZINC000036982080 1128401045 /nfs/dbraw/zinc/40/10/45/1128401045.db2.gz BYADGILCYHKMLZ-OAHLLOKOSA-N 1 2 281.403 3.897 20 0 CHADLO CCc1cnc(C[N@H+](Cc2cccc(F)c2)C2CCC2)o1 ZINC000932405338 1128408585 /nfs/dbraw/zinc/40/85/85/1128408585.db2.gz SMPRBHKPMRYIPC-UHFFFAOYSA-N 1 2 288.366 3.931 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2cccc(F)c2)C2CCC2)o1 ZINC000932405338 1128408588 /nfs/dbraw/zinc/40/85/88/1128408588.db2.gz SMPRBHKPMRYIPC-UHFFFAOYSA-N 1 2 288.366 3.931 20 0 CHADLO Cc1csc(C[C@H]2CC[N@H+](C/C(Cl)=C\Cl)C2)n1 ZINC000933122984 1128430515 /nfs/dbraw/zinc/43/05/15/1128430515.db2.gz JETDWNMSZYFGKN-QZULDAKNSA-N 1 2 291.247 3.635 20 0 CHADLO Cc1csc(C[C@H]2CC[N@@H+](C/C(Cl)=C\Cl)C2)n1 ZINC000933122984 1128430517 /nfs/dbraw/zinc/43/05/17/1128430517.db2.gz JETDWNMSZYFGKN-QZULDAKNSA-N 1 2 291.247 3.635 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2ncc(C(C)C)o2)cc1C ZINC000933484133 1128436410 /nfs/dbraw/zinc/43/64/10/1128436410.db2.gz AJGUQNPDHLINPT-CYBMUJFWSA-N 1 2 288.391 3.966 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC(C2CCCC2)CC1 ZINC000933698077 1128440576 /nfs/dbraw/zinc/44/05/76/1128440576.db2.gz NQPFLOCTWRXVSS-UHFFFAOYSA-N 1 2 297.402 3.605 20 0 CHADLO Clc1nc(C[N@@H+]2CCCSC[C@@H]2C2CC2)cs1 ZINC000933918115 1128446960 /nfs/dbraw/zinc/44/69/60/1128446960.db2.gz QIFFEGQSECNYPL-LLVKDONJSA-N 1 2 288.869 3.514 20 0 CHADLO Clc1nc(C[N@H+]2CCCSC[C@@H]2C2CC2)cs1 ZINC000933918115 1128446961 /nfs/dbraw/zinc/44/69/61/1128446961.db2.gz QIFFEGQSECNYPL-LLVKDONJSA-N 1 2 288.869 3.514 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccoc2)C2CC2)nc1Cl ZINC000934003356 1128449314 /nfs/dbraw/zinc/44/93/14/1128449314.db2.gz HAJVQUWAXZKGQR-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccoc2)C2CC2)nc1Cl ZINC000934003356 1128449315 /nfs/dbraw/zinc/44/93/15/1128449315.db2.gz HAJVQUWAXZKGQR-UHFFFAOYSA-N 1 2 276.767 3.801 20 0 CHADLO Cc1cccc(C[NH2+][C@H](c2ncc[nH]2)c2ccccc2)c1 ZINC000125652402 1128451806 /nfs/dbraw/zinc/45/18/06/1128451806.db2.gz FELWZMAUGISTLB-KRWDZBQOSA-N 1 2 277.371 3.597 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[NH2+][C@@H](C)c1cncs1 ZINC000934203071 1128454556 /nfs/dbraw/zinc/45/45/56/1128454556.db2.gz GHLFZKNKBQNYLM-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO CCCOc1ccc(C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)cc1 ZINC000125503011 1128450297 /nfs/dbraw/zinc/45/02/97/1128450297.db2.gz WAMTUYQGTFGQFH-QGZVFWFLSA-N 1 2 299.418 3.926 20 0 CHADLO CCCOc1ccc(C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)cc1 ZINC000125503011 1128450299 /nfs/dbraw/zinc/45/02/99/1128450299.db2.gz WAMTUYQGTFGQFH-QGZVFWFLSA-N 1 2 299.418 3.926 20 0 CHADLO CC(C)c1ccc([C@@H]2CCCC[N@@H+]2Cc2nncn2C)cc1 ZINC000125873763 1128455473 /nfs/dbraw/zinc/45/54/73/1128455473.db2.gz RNLBBEHVMLLNFS-KRWDZBQOSA-N 1 2 298.434 3.666 20 0 CHADLO CC(C)c1ccc([C@@H]2CCCC[N@H+]2Cc2nncn2C)cc1 ZINC000125873763 1128455477 /nfs/dbraw/zinc/45/54/77/1128455477.db2.gz RNLBBEHVMLLNFS-KRWDZBQOSA-N 1 2 298.434 3.666 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@H+]1Cc2ccccc2[C@H](C)C1 ZINC000917039613 1128468325 /nfs/dbraw/zinc/46/83/25/1128468325.db2.gz KMSLZAZALOCKAK-VXGBXAGGSA-N 1 2 283.375 3.627 20 0 CHADLO C[C@H](c1nc(C2CC2)no1)[N@@H+]1Cc2ccccc2[C@H](C)C1 ZINC000917039613 1128468327 /nfs/dbraw/zinc/46/83/27/1128468327.db2.gz KMSLZAZALOCKAK-VXGBXAGGSA-N 1 2 283.375 3.627 20 0 CHADLO CCC[C@H](C)CC[C@H](C)[N@@H+]1C[C@@H](CO)CC(F)(F)C1 ZINC001257475895 1128479102 /nfs/dbraw/zinc/47/91/02/1128479102.db2.gz IAGCRYSEQSXHJW-IHRRRGAJSA-N 1 2 277.399 3.541 20 0 CHADLO CCC[C@H](C)CC[C@H](C)[N@H+]1C[C@@H](CO)CC(F)(F)C1 ZINC001257475895 1128479104 /nfs/dbraw/zinc/47/91/04/1128479104.db2.gz IAGCRYSEQSXHJW-IHRRRGAJSA-N 1 2 277.399 3.541 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1ncc(-c2ccccc2)o1 ZINC000127575286 1128480264 /nfs/dbraw/zinc/48/02/64/1128480264.db2.gz UXHCHLFHIRXXRX-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1ncc(-c2ccccc2)o1 ZINC000127575286 1128480266 /nfs/dbraw/zinc/48/02/66/1128480266.db2.gz UXHCHLFHIRXXRX-AWEZNQCLSA-N 1 2 293.370 3.930 20 0 CHADLO C[C@@H]1C[C@@H](C)C[NH+](Cc2noc(C3CCCCC3)n2)C1 ZINC000127694391 1128482101 /nfs/dbraw/zinc/48/21/01/1128482101.db2.gz PVELJTFAYIJUNA-CHWSQXEVSA-N 1 2 277.412 3.595 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)C/C=C/c2ccc(Cl)cc2)n1 ZINC000563968867 1128487821 /nfs/dbraw/zinc/48/78/21/1128487821.db2.gz GVHQZHWHXKJSSH-ZWNMCFTASA-N 1 2 291.782 3.738 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)C/C=C/c2ccc(Cl)cc2)n1 ZINC000563968867 1128487823 /nfs/dbraw/zinc/48/78/23/1128487823.db2.gz GVHQZHWHXKJSSH-ZWNMCFTASA-N 1 2 291.782 3.738 20 0 CHADLO Cc1ncncc1[C@H](C)[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000564225237 1128499663 /nfs/dbraw/zinc/49/96/63/1128499663.db2.gz CSMJDDSOVJJOIN-WDEREUQCSA-N 1 2 293.773 3.989 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1C[C@H](C)OC(C)(C)C1 ZINC000068474540 1128513374 /nfs/dbraw/zinc/51/33/74/1128513374.db2.gz HQJLORDBCRORMF-QWRGUYRKSA-N 1 2 269.335 3.525 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1C[C@H](C)OC(C)(C)C1 ZINC000068474540 1128513376 /nfs/dbraw/zinc/51/33/76/1128513376.db2.gz HQJLORDBCRORMF-QWRGUYRKSA-N 1 2 269.335 3.525 20 0 CHADLO Cc1ncc(CNc2[nH+]c3ccccc3n2C2CC2)s1 ZINC000763424855 1128524618 /nfs/dbraw/zinc/52/46/18/1128524618.db2.gz GVSSBSARPNHMAS-UHFFFAOYSA-N 1 2 284.388 3.748 20 0 CHADLO Cc1ccc([C@@H]2[C@@H](C)CCC[N@@H+]2Cc2csnn2)cc1 ZINC000129084199 1128530987 /nfs/dbraw/zinc/53/09/87/1128530987.db2.gz QOQUKZSGYNTLNW-BBRMVZONSA-N 1 2 287.432 3.820 20 0 CHADLO Cc1ccc([C@@H]2[C@@H](C)CCC[N@H+]2Cc2csnn2)cc1 ZINC000129084199 1128530989 /nfs/dbraw/zinc/53/09/89/1128530989.db2.gz QOQUKZSGYNTLNW-BBRMVZONSA-N 1 2 287.432 3.820 20 0 CHADLO CC[C@]1(C)CN(c2[nH+]ccc3c(C)cccc32)CCO1 ZINC000566150189 1128538907 /nfs/dbraw/zinc/53/89/07/1128538907.db2.gz WFFFNEVQNADJEQ-QGZVFWFLSA-N 1 2 270.376 3.549 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]C2CCCCCC2)C2CCCCC2)n1 ZINC000638314879 1128540734 /nfs/dbraw/zinc/54/07/34/1128540734.db2.gz DVSXYMCODZVQDP-HNNXBMFYSA-N 1 2 276.428 3.739 20 0 CHADLO CCCN(C(=O)Nc1c(C)cc(C)[nH+]c1C)C(C)C ZINC000566420320 1128544018 /nfs/dbraw/zinc/54/40/18/1128544018.db2.gz MBBBMVWIQUNWMU-UHFFFAOYSA-N 1 2 263.385 3.659 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(OC)no1)c1cc(F)ccc1F ZINC000925452984 1128546216 /nfs/dbraw/zinc/54/62/16/1128546216.db2.gz KVGDHGFWIDXOQY-NOZJJQNGSA-N 1 2 296.317 3.763 20 0 CHADLO CC1=CC[N@H+](Cc2nc(C(C)C)n3ccccc23)CC1 ZINC000566918078 1128554511 /nfs/dbraw/zinc/55/45/11/1128554511.db2.gz IKXDYMOWZGQUNW-UHFFFAOYSA-N 1 2 269.392 3.610 20 0 CHADLO CC1=CC[N@@H+](Cc2nc(C(C)C)n3ccccc23)CC1 ZINC000566918078 1128554512 /nfs/dbraw/zinc/55/45/12/1128554512.db2.gz IKXDYMOWZGQUNW-UHFFFAOYSA-N 1 2 269.392 3.610 20 0 CHADLO CC(C)Oc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1nccn1C ZINC000070444682 1128564340 /nfs/dbraw/zinc/56/43/40/1128564340.db2.gz FONXSSOBJVYZBZ-KGLIPLIRSA-N 1 2 287.407 3.619 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CCC[N@@H+]1Cc1ccc(Cl)cn1 ZINC000567663083 1128569278 /nfs/dbraw/zinc/56/92/78/1128569278.db2.gz HAQOTEZWENGJJO-SKDRFNHKSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CCC[N@H+]1Cc1ccc(Cl)cn1 ZINC000567663083 1128569281 /nfs/dbraw/zinc/56/92/81/1128569281.db2.gz HAQOTEZWENGJJO-SKDRFNHKSA-N 1 2 292.732 3.898 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCCC[C@@H]2c2ccccc2)on1 ZINC000568166555 1128579832 /nfs/dbraw/zinc/57/98/32/1128579832.db2.gz NORHNRJSHNYUNO-QGZVFWFLSA-N 1 2 284.359 3.604 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCCC[C@@H]2c2ccccc2)on1 ZINC000568166555 1128579834 /nfs/dbraw/zinc/57/98/34/1128579834.db2.gz NORHNRJSHNYUNO-QGZVFWFLSA-N 1 2 284.359 3.604 20 0 CHADLO CSc1cccc(C)c1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000570048208 1128614898 /nfs/dbraw/zinc/61/48/98/1128614898.db2.gz LTWZNVYOOBNUSE-LBPRGKRZSA-N 1 2 289.404 3.503 20 0 CHADLO COc1ccc(CC[C@@H](C)Nc2c[nH+]ccc2C)cc1 ZINC000071413717 1128589843 /nfs/dbraw/zinc/58/98/43/1128589843.db2.gz TVJVOWCAEDTJCW-CQSZACIVSA-N 1 2 270.376 3.832 20 0 CHADLO CC(=O)CCCCCn1c[nH+]c(Cc2ccccc2)c1 ZINC000919149380 1128592098 /nfs/dbraw/zinc/59/20/98/1128592098.db2.gz AVCCQCNZKVWESB-UHFFFAOYSA-N 1 2 270.376 3.623 20 0 CHADLO Cc1ccc([C@H](C)c2noc(C[C@H](C)n3cc[nH+]c3)n2)cc1 ZINC000569007867 1128596178 /nfs/dbraw/zinc/59/61/78/1128596178.db2.gz KAVNDMGGYOJSEX-KBPBESRZSA-N 1 2 296.374 3.530 20 0 CHADLO COc1ccc2oc(C[NH2+][C@@H](C)c3cscn3)cc2c1 ZINC000570176350 1128617975 /nfs/dbraw/zinc/61/79/75/1128617975.db2.gz MPODQFDQMUDXHZ-JTQLQIEISA-N 1 2 288.372 3.749 20 0 CHADLO Clc1ccc([C@@H]2CCCC[N@@H+]2Cc2cnccn2)cc1 ZINC000556787998 1128623242 /nfs/dbraw/zinc/62/32/42/1128623242.db2.gz JOAPUCBGEBWZBV-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1ccc([C@@H]2CCCC[N@H+]2Cc2cnccn2)cc1 ZINC000556787998 1128623244 /nfs/dbraw/zinc/62/32/44/1128623244.db2.gz JOAPUCBGEBWZBV-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccc(OC)cc1F)c1nccs1 ZINC000570453891 1128624370 /nfs/dbraw/zinc/62/43/70/1128624370.db2.gz DLLZSLRFDGMNGX-HNNXBMFYSA-N 1 2 294.395 3.706 20 0 CHADLO Cc1nc(SCc2ccc(-n3cc[nH+]c3)cc2)oc1C ZINC000570617842 1128628237 /nfs/dbraw/zinc/62/82/37/1128628237.db2.gz GFRCDBCRAJHZAE-UHFFFAOYSA-N 1 2 285.372 3.769 20 0 CHADLO CC(C)C[C@@]1(C)CCC[N@@H+]1Cc1nnsc1Cl ZINC000570772040 1128632371 /nfs/dbraw/zinc/63/23/71/1128632371.db2.gz FXQDMAJRWBCFKR-GFCCVEGCSA-N 1 2 273.833 3.592 20 0 CHADLO CC(C)C[C@@]1(C)CCC[N@H+]1Cc1nnsc1Cl ZINC000570772040 1128632372 /nfs/dbraw/zinc/63/23/72/1128632372.db2.gz FXQDMAJRWBCFKR-GFCCVEGCSA-N 1 2 273.833 3.592 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000571725715 1128637921 /nfs/dbraw/zinc/63/79/21/1128637921.db2.gz SDKCKGJVLDSWQK-WPGHFRTFSA-N 1 2 295.373 3.915 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC000571725715 1128637924 /nfs/dbraw/zinc/63/79/24/1128637924.db2.gz SDKCKGJVLDSWQK-WPGHFRTFSA-N 1 2 295.373 3.915 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000571725713 1128638386 /nfs/dbraw/zinc/63/83/86/1128638386.db2.gz SDKCKGJVLDSWQK-DIOULYMOSA-N 1 2 295.373 3.915 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC000571725713 1128638387 /nfs/dbraw/zinc/63/83/87/1128638387.db2.gz SDKCKGJVLDSWQK-DIOULYMOSA-N 1 2 295.373 3.915 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(OC(C)(C)C)cc1 ZINC000157213905 1128650692 /nfs/dbraw/zinc/65/06/92/1128650692.db2.gz JNFRUXBNIUZOON-GFCCVEGCSA-N 1 2 274.364 3.703 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(OC(C)(C)C)cc1 ZINC000157213741 1128650781 /nfs/dbraw/zinc/65/07/81/1128650781.db2.gz JNFRUXBNIUZOON-LBPRGKRZSA-N 1 2 274.364 3.703 20 0 CHADLO C[C@@H]1CCC[C@H](C[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)[C@@H]1C ZINC000573522060 1128655005 /nfs/dbraw/zinc/65/50/05/1128655005.db2.gz XBUCPUKFDKCNGC-MUIFIZLQSA-N 1 2 298.434 3.556 20 0 CHADLO Cc1nn(C(C)(C)C)c(C)c1C[NH2+][C@H](C)c1cscn1 ZINC000573863557 1128659063 /nfs/dbraw/zinc/65/90/63/1128659063.db2.gz SSRITIQXDWLGJW-LLVKDONJSA-N 1 2 292.452 3.562 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1cc(Cl)nc(Cl)c1 ZINC000920087405 1128664146 /nfs/dbraw/zinc/66/41/46/1128664146.db2.gz SJQILVBPUXJPHS-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cc(Cl)nc(Cl)c1 ZINC000920087405 1128664148 /nfs/dbraw/zinc/66/41/48/1128664148.db2.gz SJQILVBPUXJPHS-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO CCc1ccc(C[S@](=O)Cc2ccc(C)[nH+]c2C)cc1 ZINC000600909942 1128686846 /nfs/dbraw/zinc/68/68/46/1128686846.db2.gz QOCYXABGBUNRNZ-FQEVSTJZSA-N 1 2 287.428 3.710 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cn2cc(Cl)cn2)cc1 ZINC000921185844 1128702694 /nfs/dbraw/zinc/70/26/94/1128702694.db2.gz YTHISIODPCCIJE-OAHLLOKOSA-N 1 2 275.783 3.640 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cn2cc(Cl)cn2)cc1 ZINC000921185844 1128702696 /nfs/dbraw/zinc/70/26/96/1128702696.db2.gz YTHISIODPCCIJE-OAHLLOKOSA-N 1 2 275.783 3.640 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(C(C)(C)C)on2)s1 ZINC000578177140 1128710353 /nfs/dbraw/zinc/71/03/53/1128710353.db2.gz CYYCZYLXWJOFTR-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(C(C)(C)C)on2)s1 ZINC000578177140 1128710355 /nfs/dbraw/zinc/71/03/55/1128710355.db2.gz CYYCZYLXWJOFTR-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO CC(=O)c1cc(C[N@@H+]2CCC[C@H]2/C=C\c2ccccc2)on1 ZINC000578334333 1128714420 /nfs/dbraw/zinc/71/44/20/1128714420.db2.gz JMJISPRBRVVXJL-YDZSZYSISA-N 1 2 296.370 3.555 20 0 CHADLO CC(=O)c1cc(C[N@H+]2CCC[C@H]2/C=C\c2ccccc2)on1 ZINC000578334333 1128714421 /nfs/dbraw/zinc/71/44/21/1128714421.db2.gz JMJISPRBRVVXJL-YDZSZYSISA-N 1 2 296.370 3.555 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](c1ccccc1)C1CCOCC1 ZINC000779065507 1128716299 /nfs/dbraw/zinc/71/62/99/1128716299.db2.gz PDKLXRDIFPBRFD-UGSOOPFHSA-N 1 2 299.418 3.537 20 0 CHADLO CC[C@]([NH2+]CC1CCCCC1)(C(=O)OC)c1ccccc1 ZINC000609483577 1128717019 /nfs/dbraw/zinc/71/70/19/1128717019.db2.gz YZBYOOIXRCHHML-GOSISDBHSA-N 1 2 289.419 3.635 20 0 CHADLO Cc1cc(C[NH2+]Cc2nc(C)c3ccccc3n2)cs1 ZINC000578722907 1128727911 /nfs/dbraw/zinc/72/79/11/1128727911.db2.gz AGYJGBSQVUPVLN-UHFFFAOYSA-N 1 2 283.400 3.598 20 0 CHADLO CC(C)Cc1noc(C[N@H+](C)C(C)(C)c2ccccc2)n1 ZINC000671246878 1117785069 /nfs/dbraw/zinc/78/50/69/1117785069.db2.gz QZZXBXFHNYTKRQ-UHFFFAOYSA-N 1 2 287.407 3.635 20 0 CHADLO CC(C)Cc1noc(C[N@@H+](C)C(C)(C)c2ccccc2)n1 ZINC000671246878 1117785071 /nfs/dbraw/zinc/78/50/71/1117785071.db2.gz QZZXBXFHNYTKRQ-UHFFFAOYSA-N 1 2 287.407 3.635 20 0 CHADLO COCc1ccccc1/C=C(/C)CNc1cccc[nH+]1 ZINC000510041682 1117785106 /nfs/dbraw/zinc/78/51/06/1117785106.db2.gz KSNXZTIVLDYABH-KAMYIIQDSA-N 1 2 268.360 3.743 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc(C)o1)c1cccc(Cl)c1F ZINC000631730601 1128739478 /nfs/dbraw/zinc/73/94/78/1128739478.db2.gz YWGYTTWZBKNEDG-AWEZNQCLSA-N 1 2 297.757 3.858 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)[C@@H](C)C(=O)OC(C)(C)C ZINC000610012756 1128735729 /nfs/dbraw/zinc/73/57/29/1128735729.db2.gz DEEOKAIYSJHUBT-LBPRGKRZSA-N 1 2 297.826 3.892 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)[C@@H](C)C(=O)OC(C)(C)C ZINC000610012756 1128735731 /nfs/dbraw/zinc/73/57/31/1128735731.db2.gz DEEOKAIYSJHUBT-LBPRGKRZSA-N 1 2 297.826 3.892 20 0 CHADLO COc1ccc(C[NH2+]Cc2c(F)cc(F)cc2F)cc1F ZINC000339523644 1117824913 /nfs/dbraw/zinc/82/49/13/1117824913.db2.gz OSCXUJHUFZRBAD-UHFFFAOYSA-N 1 2 299.267 3.541 20 0 CHADLO CS[C@@H](C)CC[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001208147660 1117825383 /nfs/dbraw/zinc/82/53/83/1117825383.db2.gz KFOQKSPQVZGDOA-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CS[C@@H](C)CC[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001208147660 1117825389 /nfs/dbraw/zinc/82/53/89/1117825389.db2.gz KFOQKSPQVZGDOA-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CCc1ccc(C[NH2+]Cc2c(F)cc(F)cc2F)o1 ZINC000339524474 1117825553 /nfs/dbraw/zinc/82/55/53/1117825553.db2.gz TVQSZXDUUFXELO-UHFFFAOYSA-N 1 2 269.266 3.549 20 0 CHADLO CC(C)CCN(CCC(C)C)C(=O)CCCn1cc[nH+]c1 ZINC000623251466 1117827231 /nfs/dbraw/zinc/82/72/31/1117827231.db2.gz FLQIQRFCHUWLJA-UHFFFAOYSA-N 1 2 293.455 3.584 20 0 CHADLO CCN(CCn1cccn1)c1cc(C)c2cccc(C)c2[nH+]1 ZINC001167059055 1117829770 /nfs/dbraw/zinc/82/97/70/1117829770.db2.gz GYXUHXXCWJWFIM-UHFFFAOYSA-N 1 2 294.402 3.575 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)cc2C)n(C2CCC2)n1 ZINC001213510982 1117866661 /nfs/dbraw/zinc/86/66/61/1117866661.db2.gz PZLOPTWBJKWMCZ-UHFFFAOYSA-N 1 2 256.353 3.672 20 0 CHADLO c1ccc(-c2cc[nH+]c(N3CC(c4cccnc4)C3)c2)cc1 ZINC001167066979 1117867202 /nfs/dbraw/zinc/86/72/02/1117867202.db2.gz NMFLHTTVTQTUHA-UHFFFAOYSA-N 1 2 287.366 3.747 20 0 CHADLO c1cc([NH+]2CCCCC2)ccc1Nc1ncnc2c1CCC2 ZINC000728199214 1117871084 /nfs/dbraw/zinc/87/10/84/1117871084.db2.gz PVZQTXUDEFYSEH-UHFFFAOYSA-N 1 2 294.402 3.699 20 0 CHADLO CC(=O)Nc1cc(Nc2c[nH+]c(C)cc2C)ccc1C ZINC001213513563 1117873958 /nfs/dbraw/zinc/87/39/58/1117873958.db2.gz VVEAAMZUZLZMPQ-UHFFFAOYSA-N 1 2 269.348 3.709 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccoc1 ZINC000177846706 1117880774 /nfs/dbraw/zinc/88/07/74/1117880774.db2.gz IPYIQOCJQIFRKW-SNVBAGLBSA-N 1 2 264.394 3.884 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3C[C@H](C)[C@@H]3C)cs2)o1 ZINC000339571154 1117884942 /nfs/dbraw/zinc/88/49/42/1117884942.db2.gz BGHWYQRDQATUCI-ONGXEEELSA-N 1 2 262.378 3.552 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3C[C@H](C)[C@@H]3C)cs2)o1 ZINC000339571154 1117884945 /nfs/dbraw/zinc/88/49/45/1117884945.db2.gz BGHWYQRDQATUCI-ONGXEEELSA-N 1 2 262.378 3.552 20 0 CHADLO CCc1ccccc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000178791269 1117895341 /nfs/dbraw/zinc/89/53/41/1117895341.db2.gz HMQJBEBDKRYLMP-UHFFFAOYSA-N 1 2 268.360 3.822 20 0 CHADLO COc1ccc([C@@H](C)Nc2[nH+]c3ccccc3n2C)cc1F ZINC000179279717 1117902938 /nfs/dbraw/zinc/90/29/38/1117902938.db2.gz CLDGPLOFKUEQHR-LLVKDONJSA-N 1 2 299.349 3.894 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+]Cc1cc(C)on1 ZINC000179746260 1117911876 /nfs/dbraw/zinc/91/18/76/1117911876.db2.gz QPRTVCRGOTXBOC-HNNXBMFYSA-N 1 2 274.364 3.623 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1cccnc1 ZINC000179920605 1117916452 /nfs/dbraw/zinc/91/64/52/1117916452.db2.gz KAEBHXURPXJTEO-AWEZNQCLSA-N 1 2 282.391 3.640 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccccc2F)c1F ZINC001137677408 1117928178 /nfs/dbraw/zinc/92/81/78/1117928178.db2.gz QBDPNFZXNQPFGY-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccccc2F)c1F ZINC001137677408 1117928182 /nfs/dbraw/zinc/92/81/82/1117928182.db2.gz QBDPNFZXNQPFGY-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO C(=C/[C@@H]1CCCCC[N@H+]1Cc1csnn1)\c1ccccc1 ZINC000180553947 1117935344 /nfs/dbraw/zinc/93/53/44/1117935344.db2.gz JSZCIYVJOSFBHL-DVQDXYAYSA-N 1 2 299.443 3.996 20 0 CHADLO C(=C/[C@@H]1CCCCC[N@@H+]1Cc1csnn1)\c1ccccc1 ZINC000180553947 1117935348 /nfs/dbraw/zinc/93/53/48/1117935348.db2.gz JSZCIYVJOSFBHL-DVQDXYAYSA-N 1 2 299.443 3.996 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccn1)c1ccc(OC(C)(C)C)cc1 ZINC000579860953 1117937087 /nfs/dbraw/zinc/93/70/87/1117937087.db2.gz YLLMMDWJGVLKEF-CYBMUJFWSA-N 1 2 285.391 3.505 20 0 CHADLO CC1(C)CCC[C@H]([NH2+]CC(F)(F)Br)C1 ZINC000306709410 1117942876 /nfs/dbraw/zinc/94/28/76/1117942876.db2.gz LQZNYEYYDDMYDF-QMMMGPOBSA-N 1 2 270.161 3.533 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1cc(F)ncc1F ZINC000294793696 1117942018 /nfs/dbraw/zinc/94/20/18/1117942018.db2.gz KWDUJGLPYHRKGD-VIFPVBQESA-N 1 2 268.332 3.614 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1cc(F)ncc1F ZINC000294793696 1117942020 /nfs/dbraw/zinc/94/20/20/1117942020.db2.gz KWDUJGLPYHRKGD-VIFPVBQESA-N 1 2 268.332 3.614 20 0 CHADLO CC1=C[C@H](C)C[N@H+](Cc2nc3ccccc3n2C(F)F)C1 ZINC000430260601 1117948733 /nfs/dbraw/zinc/94/87/33/1117948733.db2.gz HDHGGOVCGZETBX-NSHDSACASA-N 1 2 291.345 3.829 20 0 CHADLO CC1=C[C@H](C)C[N@@H+](Cc2nc3ccccc3n2C(F)F)C1 ZINC000430260601 1117948736 /nfs/dbraw/zinc/94/87/36/1117948736.db2.gz HDHGGOVCGZETBX-NSHDSACASA-N 1 2 291.345 3.829 20 0 CHADLO CC1=C[C@@H](C)C[N@H+](Cc2nc3ccccc3n2C(F)F)C1 ZINC000430260600 1117949465 /nfs/dbraw/zinc/94/94/65/1117949465.db2.gz HDHGGOVCGZETBX-LLVKDONJSA-N 1 2 291.345 3.829 20 0 CHADLO CC1=C[C@@H](C)C[N@@H+](Cc2nc3ccccc3n2C(F)F)C1 ZINC000430260600 1117949468 /nfs/dbraw/zinc/94/94/68/1117949468.db2.gz HDHGGOVCGZETBX-LLVKDONJSA-N 1 2 291.345 3.829 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCCC[C@@H]2c2ccc(F)cc2)n1 ZINC000339666082 1117950603 /nfs/dbraw/zinc/95/06/03/1117950603.db2.gz QFILQCMNLVDBOS-MRXNPFEDSA-N 1 2 285.366 3.651 20 0 CHADLO Cc1ccnc(C[N@H+]2CCCC[C@@H]2c2ccc(F)cc2)n1 ZINC000339666082 1117950605 /nfs/dbraw/zinc/95/06/05/1117950605.db2.gz QFILQCMNLVDBOS-MRXNPFEDSA-N 1 2 285.366 3.651 20 0 CHADLO Cc1ccc(Br)cc1[C@@H](C)[NH2+]Cc1cocn1 ZINC000623937626 1117958164 /nfs/dbraw/zinc/95/81/64/1117958164.db2.gz NMIXQVONPWXSOB-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO Fc1ccccc1C1([NH2+][C@H]2CCCc3scnc32)CC1 ZINC000623938333 1117958378 /nfs/dbraw/zinc/95/83/78/1117958378.db2.gz MUBXKFSGTMULCZ-ZDUSSCGKSA-N 1 2 288.391 3.939 20 0 CHADLO Cc1csc([C@H](C)[NH2+]C2(c3ccccc3F)CC2)n1 ZINC000623938340 1117958690 /nfs/dbraw/zinc/95/86/90/1117958690.db2.gz MWULNHZFQBYLMS-NSHDSACASA-N 1 2 276.380 3.931 20 0 CHADLO COc1c(C)cccc1C[NH2+][C@@H]1CCCc2scnc21 ZINC000624008610 1117971909 /nfs/dbraw/zinc/97/19/09/1117971909.db2.gz BKFVWDQKFMKZMA-CYBMUJFWSA-N 1 2 288.416 3.627 20 0 CHADLO C[C@H]1CCN(c2[nH+]ccc3ccccc32)CCS1 ZINC000624157546 1117992927 /nfs/dbraw/zinc/99/29/27/1117992927.db2.gz POSTVGWIJOZMDB-LBPRGKRZSA-N 1 2 258.390 3.567 20 0 CHADLO CCc1nnc(C[N@@H+](CC)[C@@H](C)c2cc3ccccc3o2)[nH]1 ZINC000181656148 1118003810 /nfs/dbraw/zinc/00/38/10/1118003810.db2.gz BBZGDJOKBSQABL-LBPRGKRZSA-N 1 2 298.390 3.696 20 0 CHADLO CCc1nnc(C[N@H+](CC)[C@@H](C)c2cc3ccccc3o2)[nH]1 ZINC000181656148 1118003815 /nfs/dbraw/zinc/00/38/15/1118003815.db2.gz BBZGDJOKBSQABL-LBPRGKRZSA-N 1 2 298.390 3.696 20 0 CHADLO COc1cc(C[NH2+][C@@H](C)c2cscn2)ccc1SC ZINC000579495727 1128749538 /nfs/dbraw/zinc/74/95/38/1128749538.db2.gz JQIGMLSJGBNMCQ-JTQLQIEISA-N 1 2 294.445 3.724 20 0 CHADLO Cc1ccc(C)c(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000047533627 1118035607 /nfs/dbraw/zinc/03/56/07/1118035607.db2.gz WYZGFCKLBWWGKV-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CCC(CC)[C@H](C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000535634324 1118036733 /nfs/dbraw/zinc/03/67/33/1118036733.db2.gz RHFRCMIRCXTINR-JTQLQIEISA-N 1 2 273.380 3.882 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+][C@@H](c1ccccc1)C1CC1)CCC2 ZINC000367601595 1118055426 /nfs/dbraw/zinc/05/54/26/1118055426.db2.gz OOWYJJOXJJNKGF-RDJZCZTQSA-N 1 2 267.376 3.528 20 0 CHADLO CC[C@H]([NH2+]Cc1nc2ccccc2nc1C)c1nccs1 ZINC000185114522 1118060541 /nfs/dbraw/zinc/06/05/41/1118060541.db2.gz PLDSCVWLIPOAIB-LBPRGKRZSA-N 1 2 298.415 3.636 20 0 CHADLO C[N@H+](Cc1cccc(C#N)c1)Cc1cccc(Cl)c1O ZINC000179682057 1128754013 /nfs/dbraw/zinc/75/40/13/1128754013.db2.gz CFZSXMIEVIPGBB-UHFFFAOYSA-N 1 2 286.762 3.549 20 0 CHADLO C[N@@H+](Cc1cccc(C#N)c1)Cc1cccc(Cl)c1O ZINC000179682057 1128754017 /nfs/dbraw/zinc/75/40/17/1128754017.db2.gz CFZSXMIEVIPGBB-UHFFFAOYSA-N 1 2 286.762 3.549 20 0 CHADLO Oc1ccc(C[N@@H+]2CCc3cc(F)ccc3C2)c(Cl)c1 ZINC001140082797 1118095179 /nfs/dbraw/zinc/09/51/79/1118095179.db2.gz ACQBMMYTWGLHFH-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc(C[N@H+]2CCc3cc(F)ccc3C2)c(Cl)c1 ZINC001140082797 1118095182 /nfs/dbraw/zinc/09/51/82/1118095182.db2.gz ACQBMMYTWGLHFH-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1cccc(Cl)c1 ZINC000398245311 1118105184 /nfs/dbraw/zinc/10/51/84/1118105184.db2.gz RVRGKEYFUGNOBG-BDAKNGLRSA-N 1 2 267.785 3.603 20 0 CHADLO CC[N@H+](CCN[C@H](C)c1cccc(C2CC2)c1)C1CC1 ZINC000340223276 1118114202 /nfs/dbraw/zinc/11/42/02/1118114202.db2.gz PXSMISVZOTUJLQ-CQSZACIVSA-N 1 2 272.436 3.699 20 0 CHADLO CC[N@@H+](CCN[C@H](C)c1cccc(C2CC2)c1)C1CC1 ZINC000340223276 1118114203 /nfs/dbraw/zinc/11/42/03/1118114203.db2.gz PXSMISVZOTUJLQ-CQSZACIVSA-N 1 2 272.436 3.699 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1Cc2ccccc2C(C)(C)C1 ZINC000340234852 1118118918 /nfs/dbraw/zinc/11/89/18/1118118918.db2.gz NJCDCOWGOSKZQP-UHFFFAOYSA-N 1 2 283.419 3.757 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1Cc2ccccc2C(C)(C)C1 ZINC000340234852 1118118920 /nfs/dbraw/zinc/11/89/20/1118118920.db2.gz NJCDCOWGOSKZQP-UHFFFAOYSA-N 1 2 283.419 3.757 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NC1CCC2(CC2)CC1 ZINC000764914756 1118126099 /nfs/dbraw/zinc/12/60/99/1118126099.db2.gz QVJXZNBDPBBOOH-UHFFFAOYSA-N 1 2 299.422 3.716 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)c(Br)c1 ZINC001137803124 1118139425 /nfs/dbraw/zinc/13/94/25/1118139425.db2.gz UTEBSFALVQHULM-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)c(Br)c1 ZINC001137803124 1118139427 /nfs/dbraw/zinc/13/94/27/1118139427.db2.gz UTEBSFALVQHULM-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO CCOc1ccc(C(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)cc1 ZINC000010896068 1118159762 /nfs/dbraw/zinc/15/97/62/1118159762.db2.gz SNAZZRIIRCNCEW-UHFFFAOYSA-N 1 2 295.342 3.522 20 0 CHADLO Fc1cccc(Oc2ccc(C[NH2+]Cc3cocn3)cc2)c1 ZINC000683472255 1118164664 /nfs/dbraw/zinc/16/46/64/1118164664.db2.gz JVDRMPZWXHHWFO-UHFFFAOYSA-N 1 2 298.317 3.896 20 0 CHADLO COCC[N@H+](Cc1ccco1)Cc1ccc(Cl)s1 ZINC000046601088 1118188063 /nfs/dbraw/zinc/18/80/63/1118188063.db2.gz MNQCXPGQSPSEOV-UHFFFAOYSA-N 1 2 285.796 3.643 20 0 CHADLO COCC[N@@H+](Cc1ccco1)Cc1ccc(Cl)s1 ZINC000046601088 1118188065 /nfs/dbraw/zinc/18/80/65/1118188065.db2.gz MNQCXPGQSPSEOV-UHFFFAOYSA-N 1 2 285.796 3.643 20 0 CHADLO CC(C)n1cc([C@@H](C)[NH2+][C@H](CF)c2ccc(F)cc2)cn1 ZINC000631795672 1118193924 /nfs/dbraw/zinc/19/39/24/1118193924.db2.gz LXEIRDHSUQBSNL-MLGOLLRUSA-N 1 2 293.361 3.965 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nc(C(C)(C)C)co2)c(C)c1 ZINC000921557212 1118196936 /nfs/dbraw/zinc/19/69/36/1118196936.db2.gz UHMDCRGLIUXQSG-UHFFFAOYSA-N 1 2 272.392 3.879 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1cc(-c2ccccc2)no1 ZINC000027428363 1118211235 /nfs/dbraw/zinc/21/12/35/1118211235.db2.gz HOLCWPBFZCTSLD-CQSZACIVSA-N 1 2 293.370 3.720 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1cc(-c2ccccc2)no1 ZINC000027428363 1118211237 /nfs/dbraw/zinc/21/12/37/1118211237.db2.gz HOLCWPBFZCTSLD-CQSZACIVSA-N 1 2 293.370 3.720 20 0 CHADLO CCc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)s1 ZINC000051717695 1118224249 /nfs/dbraw/zinc/22/42/49/1118224249.db2.gz KSBZIRRHRPUBEK-UHFFFAOYSA-N 1 2 287.432 3.918 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2nc(C(C)(C)C)co2)cc1 ZINC000921623863 1118233929 /nfs/dbraw/zinc/23/39/29/1118233929.db2.gz WGZZFSIDVKKAHS-GFCCVEGCSA-N 1 2 288.391 3.832 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCSCC2)cc1)C1CCC1 ZINC000179899726 1128765163 /nfs/dbraw/zinc/76/51/63/1128765163.db2.gz RNJORRSBSHEUNO-CYBMUJFWSA-N 1 2 276.449 3.840 20 0 CHADLO c1ccc(C2CC2)c(Nc2ccc[nH+]c2N2CCOCC2)c1 ZINC001212358450 1118259480 /nfs/dbraw/zinc/25/94/80/1118259480.db2.gz KTKLPMTVSLMOTI-UHFFFAOYSA-N 1 2 295.386 3.539 20 0 CHADLO CCCc1noc(C[N@@H+](CCC)Cc2ccc(F)cc2)n1 ZINC000349247790 1118264173 /nfs/dbraw/zinc/26/41/73/1118264173.db2.gz LHSCANLMAOAODB-UHFFFAOYSA-N 1 2 291.370 3.573 20 0 CHADLO CCCc1noc(C[N@H+](CCC)Cc2ccc(F)cc2)n1 ZINC000349247790 1118264175 /nfs/dbraw/zinc/26/41/75/1118264175.db2.gz LHSCANLMAOAODB-UHFFFAOYSA-N 1 2 291.370 3.573 20 0 CHADLO CCC(CC)c1noc(-c2ccn3c(C)c[nH+]c3c2)n1 ZINC000655978273 1118298103 /nfs/dbraw/zinc/29/81/03/1118298103.db2.gz PWAYHCWCWJZWDU-UHFFFAOYSA-N 1 2 270.336 3.596 20 0 CHADLO CC(C)COc1ccccc1CNc1cccc[nH+]1 ZINC000060451424 1118299679 /nfs/dbraw/zinc/29/96/79/1118299679.db2.gz PZUSIZLJRLKEHQ-UHFFFAOYSA-N 1 2 256.349 3.729 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1ccc2c(c1)CCC=C2 ZINC000671721055 1118303169 /nfs/dbraw/zinc/30/31/69/1118303169.db2.gz FEDXAICGAPFYRO-UHFFFAOYSA-N 1 2 289.338 3.546 20 0 CHADLO Cc1csc(C2([NH2+][C@H](C)c3ccncc3F)CCC2)n1 ZINC000340519843 1118316337 /nfs/dbraw/zinc/31/63/37/1118316337.db2.gz PYYAATAPTXRLPL-LLVKDONJSA-N 1 2 291.395 3.716 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cccc1Cl)C[C@@H]1CCCO1 ZINC000063753403 1118320651 /nfs/dbraw/zinc/32/06/51/1118320651.db2.gz LPDHFEQEKRPORN-NSHDSACASA-N 1 2 288.218 3.994 20 0 CHADLO CC[N@H+](Cc1c(Cl)cccc1Cl)C[C@@H]1CCCO1 ZINC000063753403 1118320654 /nfs/dbraw/zinc/32/06/54/1118320654.db2.gz LPDHFEQEKRPORN-NSHDSACASA-N 1 2 288.218 3.994 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2C(F)(F)F)CC(C)(C)O1 ZINC000065426295 1118333765 /nfs/dbraw/zinc/33/37/65/1118333765.db2.gz GHUWSDMBGBLWDF-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)CC(C)(C)O1 ZINC000065426295 1118333769 /nfs/dbraw/zinc/33/37/69/1118333769.db2.gz GHUWSDMBGBLWDF-NSHDSACASA-N 1 2 287.325 3.705 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)[C@H](C)c1ccc(F)cc1 ZINC000065433291 1118333843 /nfs/dbraw/zinc/33/38/43/1118333843.db2.gz VFVPCROCCAUANP-GFCCVEGCSA-N 1 2 281.371 3.550 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)[C@H](C)c1ccc(F)cc1 ZINC000065433291 1118333847 /nfs/dbraw/zinc/33/38/47/1118333847.db2.gz VFVPCROCCAUANP-GFCCVEGCSA-N 1 2 281.371 3.550 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@H](C)c2c(F)cncc2F)s1 ZINC000671770910 1118370822 /nfs/dbraw/zinc/37/08/22/1118370822.db2.gz XTMAHUZYLALQIY-JGVFFNPUSA-N 1 2 283.347 3.537 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccc(F)cc1 ZINC000072558001 1118373564 /nfs/dbraw/zinc/37/35/64/1118373564.db2.gz AHDFHHHSJYTEMQ-OAHLLOKOSA-N 1 2 289.354 3.573 20 0 CHADLO CCC(C)(C)CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000073059917 1118378803 /nfs/dbraw/zinc/37/88/03/1118378803.db2.gz FJZVCLVYDCZYHT-UHFFFAOYSA-N 1 2 271.364 3.842 20 0 CHADLO COc1cc(Nc2cc(-c3cccnc3)ccn2)cc(C)[nH+]1 ZINC001210775608 1118381971 /nfs/dbraw/zinc/38/19/71/1118381971.db2.gz HLERSHPORDYQFM-UHFFFAOYSA-N 1 2 292.342 3.599 20 0 CHADLO COc1cc(Nc2cnc(Cl)cc2Cl)cc(C)[nH+]1 ZINC001210775634 1118382215 /nfs/dbraw/zinc/38/22/15/1118382215.db2.gz YJPYXLUDAQTHSK-UHFFFAOYSA-N 1 2 284.146 3.844 20 0 CHADLO Fc1ccc([C@@H]2CCCN(c3cccc[nH+]3)C2)cc1F ZINC000624446803 1118404869 /nfs/dbraw/zinc/40/48/69/1118404869.db2.gz YWNGABIQONHEAE-CYBMUJFWSA-N 1 2 274.314 3.744 20 0 CHADLO CSc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)c(F)c1 ZINC001210874089 1118413666 /nfs/dbraw/zinc/41/36/66/1118413666.db2.gz YNOIIBHCYKOYHB-UHFFFAOYSA-N 1 2 287.363 3.777 20 0 CHADLO CCn1cncc1C[NH2+]Cc1cc(Cl)sc1Cl ZINC000312779440 1118419835 /nfs/dbraw/zinc/41/98/35/1118419835.db2.gz LRBOZQCIGFETBQ-UHFFFAOYSA-N 1 2 290.219 3.561 20 0 CHADLO CSc1cncc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001211070627 1118454932 /nfs/dbraw/zinc/45/49/32/1118454932.db2.gz BEEAVHYQNQEFFQ-UHFFFAOYSA-N 1 2 296.399 3.792 20 0 CHADLO C=CCCC[N@@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC000075023263 1118475394 /nfs/dbraw/zinc/47/53/94/1118475394.db2.gz UQGGOTOSMMDSBK-NSHDSACASA-N 1 2 271.813 3.741 20 0 CHADLO C=CCCC[N@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC000075023263 1118475397 /nfs/dbraw/zinc/47/53/97/1118475397.db2.gz UQGGOTOSMMDSBK-NSHDSACASA-N 1 2 271.813 3.741 20 0 CHADLO Cc1nn(-c2ccc(C)cc2)cc1C[N@H+](C)Cc1ccco1 ZINC000656248333 1118475797 /nfs/dbraw/zinc/47/57/97/1118475797.db2.gz QZCGVLHUNHOYII-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1nn(-c2ccc(C)cc2)cc1C[N@@H+](C)Cc1ccco1 ZINC000656248333 1118475799 /nfs/dbraw/zinc/47/57/99/1118475799.db2.gz QZCGVLHUNHOYII-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@@H+]1Cc1ccncc1O ZINC001140276601 1118477517 /nfs/dbraw/zinc/47/75/17/1118477517.db2.gz HLQUFBUCGTWWTE-DOTOQJQBSA-N 1 2 292.423 3.550 20 0 CHADLO CC[C@H]1CO[C@](C)(CCC(C)C)[N@H+]1Cc1ccncc1O ZINC001140276601 1118477519 /nfs/dbraw/zinc/47/75/19/1118477519.db2.gz HLQUFBUCGTWWTE-DOTOQJQBSA-N 1 2 292.423 3.550 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccccc1N1CCCC1 ZINC000398143604 1118484596 /nfs/dbraw/zinc/48/45/96/1118484596.db2.gz WWSWIRXZVWQYJV-OAHLLOKOSA-N 1 2 280.362 3.564 20 0 CHADLO Cc1cc(C)c(NC(=O)NC2CCC3(CC3)CC2)c(C)[nH+]1 ZINC000580841273 1118513297 /nfs/dbraw/zinc/51/32/97/1118513297.db2.gz UZEUVPFHYFMGMB-UHFFFAOYSA-N 1 2 287.407 3.851 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1ncc(C(C)(C)C)s1 ZINC000684110176 1118521229 /nfs/dbraw/zinc/52/12/29/1118521229.db2.gz OJTIRGOQHYKOMX-SECBINFHSA-N 1 2 293.436 3.896 20 0 CHADLO Oc1ccc(Cl)c(C[N@@H+]2CCCc3occc3C2)c1 ZINC000625431865 1118525484 /nfs/dbraw/zinc/52/54/84/1118525484.db2.gz GYZNGFPCIMXIHK-UHFFFAOYSA-N 1 2 277.751 3.587 20 0 CHADLO Oc1ccc(Cl)c(C[N@H+]2CCCc3occc3C2)c1 ZINC000625431865 1118525486 /nfs/dbraw/zinc/52/54/86/1118525486.db2.gz GYZNGFPCIMXIHK-UHFFFAOYSA-N 1 2 277.751 3.587 20 0 CHADLO COc1ccc(C[N@H+](C)[C@H](C)c2ccccn2)c(Cl)c1 ZINC000127974728 1118536256 /nfs/dbraw/zinc/53/62/56/1118536256.db2.gz DVAQJDAAXDCHIU-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@H](C)c2ccccn2)c(Cl)c1 ZINC000127974728 1118536260 /nfs/dbraw/zinc/53/62/60/1118536260.db2.gz DVAQJDAAXDCHIU-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1ccc(C[C@@H](C)[N@@H+](C)Cc2noc(C(C)C)n2)cc1 ZINC000156877097 1118546578 /nfs/dbraw/zinc/54/65/78/1118546578.db2.gz SNGGCFGECYUQAG-CQSZACIVSA-N 1 2 287.407 3.564 20 0 CHADLO Cc1ccc(C[C@@H](C)[N@H+](C)Cc2noc(C(C)C)n2)cc1 ZINC000156877097 1118546581 /nfs/dbraw/zinc/54/65/81/1118546581.db2.gz SNGGCFGECYUQAG-CQSZACIVSA-N 1 2 287.407 3.564 20 0 CHADLO COc1ccc(CNc2[nH]c3cc(C)ccc3[nH+]2)cc1OC ZINC000096638750 1118547230 /nfs/dbraw/zinc/54/72/30/1118547230.db2.gz ZMVIEPBIKTWBBF-UHFFFAOYSA-N 1 2 297.358 3.501 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2csc(Cl)c2Cl)C[C@H]1CO ZINC000621737372 1118553987 /nfs/dbraw/zinc/55/39/87/1118553987.db2.gz PMEJRNBIMMBLGV-BDAKNGLRSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2csc(Cl)c2Cl)C[C@H]1CO ZINC000621737372 1118553989 /nfs/dbraw/zinc/55/39/89/1118553989.db2.gz PMEJRNBIMMBLGV-BDAKNGLRSA-N 1 2 294.247 3.505 20 0 CHADLO Clc1ccc(C[N@@H+]2C[C@H]3CCCC[C@H]32)c(Cl)n1 ZINC000706885574 1118560686 /nfs/dbraw/zinc/56/06/86/1118560686.db2.gz WADZVWYBMFMDHD-MWLCHTKSSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1ccc(C[N@H+]2C[C@H]3CCCC[C@H]32)c(Cl)n1 ZINC000706885574 1118560689 /nfs/dbraw/zinc/56/06/89/1118560689.db2.gz WADZVWYBMFMDHD-MWLCHTKSSA-N 1 2 271.191 3.763 20 0 CHADLO Fc1cc(Br)ccc1C[N@@H+]1CCC[C@H]2C[C@H]21 ZINC001204556183 1118571081 /nfs/dbraw/zinc/57/10/81/1118571081.db2.gz TZYQLGIBKMZABR-TVQRCGJNSA-N 1 2 284.172 3.573 20 0 CHADLO Fc1cc(Br)ccc1C[N@H+]1CCC[C@H]2C[C@H]21 ZINC001204556183 1118571085 /nfs/dbraw/zinc/57/10/85/1118571085.db2.gz TZYQLGIBKMZABR-TVQRCGJNSA-N 1 2 284.172 3.573 20 0 CHADLO CC[C@@H](C)[C@@H]1CCCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000933220711 1118573634 /nfs/dbraw/zinc/57/36/34/1118573634.db2.gz IUDWPGQGWMMVFP-WBMJQRKESA-N 1 2 285.391 3.604 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@@H]1C[C@H]1c1ccccc1 ZINC000127967314 1118574611 /nfs/dbraw/zinc/57/46/11/1118574611.db2.gz HVZIEIIQVVZVEF-LSDHHAIUSA-N 1 2 268.360 3.837 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2ncc(C3CC3)o2)cc1F ZINC000341010425 1118582273 /nfs/dbraw/zinc/58/22/73/1118582273.db2.gz CLTDUHPGBBSRHH-JTQLQIEISA-N 1 2 290.338 3.551 20 0 CHADLO Cc1nc(N[C@@H]2c3ccccc3O[C@@H]2C)c2c([nH+]1)CCCC2 ZINC000341053261 1118599383 /nfs/dbraw/zinc/59/93/83/1118599383.db2.gz QBZXJCSAPVXBOA-DIFFPNOSSA-N 1 2 295.386 3.598 20 0 CHADLO Fc1ccc(C[N@@H+]2CCSC[C@H]2c2ccccc2)nc1 ZINC000276391110 1118632301 /nfs/dbraw/zinc/63/23/01/1118632301.db2.gz RWVSPFZKKNKGBD-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ccc(C[N@H+]2CCSC[C@H]2c2ccccc2)nc1 ZINC000276391110 1118632304 /nfs/dbraw/zinc/63/23/04/1118632304.db2.gz RWVSPFZKKNKGBD-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO FC1CC2(C1)C[NH+](Cc1cccc(Cl)c1Cl)C2 ZINC000656693878 1118638405 /nfs/dbraw/zinc/63/84/05/1118638405.db2.gz GVGLPTKOXKHBPX-UHFFFAOYSA-N 1 2 274.166 3.927 20 0 CHADLO CC(C)c1cc(N[C@@H](C)c2cccnc2)nc(C(C)C)[nH+]1 ZINC000341261493 1118643332 /nfs/dbraw/zinc/64/33/32/1118643332.db2.gz PRKYEJCBAIHJQN-ZDUSSCGKSA-N 1 2 284.407 3.713 20 0 CHADLO CC(C)n1ccnc1CNc1[nH+]c2ccccc2n1C1CC1 ZINC000656771803 1118654071 /nfs/dbraw/zinc/65/40/71/1118654071.db2.gz FWYSAUWYHPYRGZ-UHFFFAOYSA-N 1 2 295.390 3.761 20 0 CHADLO CC(C)(C)c1ncc(CNc2c[nH+]cc3c2CCCC3)cn1 ZINC000656775827 1118654995 /nfs/dbraw/zinc/65/49/95/1118654995.db2.gz BPKZMNDFWUYCFQ-UHFFFAOYSA-N 1 2 296.418 3.660 20 0 CHADLO CC(C)c1cc(N(C)CCc2ccncc2)nc(C(C)C)[nH+]1 ZINC000341291670 1118658500 /nfs/dbraw/zinc/65/85/00/1118658500.db2.gz VQCBTFZOVASLOP-UHFFFAOYSA-N 1 2 298.434 3.797 20 0 CHADLO Cc1ccc(Cl)nc1C[N@H+](C)Cc1cccc(F)c1F ZINC001140447407 1118680802 /nfs/dbraw/zinc/68/08/02/1118680802.db2.gz WGIBXSPNPVAUQU-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1ccc(Cl)nc1C[N@@H+](C)Cc1cccc(F)c1F ZINC001140447407 1118680805 /nfs/dbraw/zinc/68/08/05/1118680805.db2.gz WGIBXSPNPVAUQU-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1nc(C(C)(C)C)co1 ZINC000891502085 1118696606 /nfs/dbraw/zinc/69/66/06/1118696606.db2.gz ARCWIKCULYTAAF-CQSZACIVSA-N 1 2 287.407 3.648 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1nc(C(C)(C)C)co1 ZINC000891502085 1118696607 /nfs/dbraw/zinc/69/66/07/1118696607.db2.gz ARCWIKCULYTAAF-CQSZACIVSA-N 1 2 287.407 3.648 20 0 CHADLO Fc1ccccc1C[N@H+](Cc1cnn(C2CCC2)c1)C1CC1 ZINC000891515979 1118700418 /nfs/dbraw/zinc/70/04/18/1118700418.db2.gz LDSSDQZXORAXSL-UHFFFAOYSA-N 1 2 299.393 3.912 20 0 CHADLO Fc1ccccc1C[N@@H+](Cc1cnn(C2CCC2)c1)C1CC1 ZINC000891515979 1118700422 /nfs/dbraw/zinc/70/04/22/1118700422.db2.gz LDSSDQZXORAXSL-UHFFFAOYSA-N 1 2 299.393 3.912 20 0 CHADLO Fc1ccc(C2=CC[N@H+](Cc3nccn3C3CC3)CC2)cc1 ZINC000891531932 1118703973 /nfs/dbraw/zinc/70/39/73/1118703973.db2.gz AZDYBXWHCZSYET-UHFFFAOYSA-N 1 2 297.377 3.646 20 0 CHADLO Fc1ccc(C2=CC[N@@H+](Cc3nccn3C3CC3)CC2)cc1 ZINC000891531932 1118703975 /nfs/dbraw/zinc/70/39/75/1118703975.db2.gz AZDYBXWHCZSYET-UHFFFAOYSA-N 1 2 297.377 3.646 20 0 CHADLO Cc1cc(N[C@H]2CCSc3ccccc32)nc(C2CC2)[nH+]1 ZINC000341492550 1118716662 /nfs/dbraw/zinc/71/66/62/1118716662.db2.gz DRTDDEOJJWIKFM-AWEZNQCLSA-N 1 2 297.427 3.733 20 0 CHADLO COc1ccc([C@@H](C)N(C)c2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000341500854 1118717276 /nfs/dbraw/zinc/71/72/76/1118717276.db2.gz OPJVTJYBONPJPC-CYBMUJFWSA-N 1 2 297.402 3.868 20 0 CHADLO COc1ccc(CN(C)c2cc(C)[nH+]c(C3CC3)n2)cc1C ZINC000341504976 1118717675 /nfs/dbraw/zinc/71/76/75/1118717675.db2.gz RYZVWHAVUDQMAR-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1cc(N[C@H](C)c2c(F)cccc2F)nc(C2CC2)[nH+]1 ZINC000341514257 1118717914 /nfs/dbraw/zinc/71/79/14/1118717914.db2.gz VUTLGHRZSJZUTB-SNVBAGLBSA-N 1 2 289.329 3.536 20 0 CHADLO Fc1ccc(NCc2cccc3[nH+]ccn32)cc1C1CC1 ZINC000341509148 1118717921 /nfs/dbraw/zinc/71/79/21/1118717921.db2.gz GQEOXDJEMVBUGX-UHFFFAOYSA-N 1 2 281.334 3.963 20 0 CHADLO Cc1cc(N[C@H](c2ccccc2)C2CC2)nc(C2CC2)[nH+]1 ZINC000341511378 1118718112 /nfs/dbraw/zinc/71/81/12/1118718112.db2.gz QSJZAERUMMETSZ-QGZVFWFLSA-N 1 2 279.387 3.648 20 0 CHADLO C[N@H+](C/C=C\Cl)Cc1csc(Br)c1 ZINC000308502008 1118722325 /nfs/dbraw/zinc/72/23/25/1118722325.db2.gz XIWXGWGSTVYJRM-IHWYPQMZSA-N 1 2 280.618 3.695 20 0 CHADLO C[N@@H+](C/C=C\Cl)Cc1csc(Br)c1 ZINC000308502008 1118722326 /nfs/dbraw/zinc/72/23/26/1118722326.db2.gz XIWXGWGSTVYJRM-IHWYPQMZSA-N 1 2 280.618 3.695 20 0 CHADLO Cc1cccnc1[C@@H]([NH2+]Cc1ncc(Cl)s1)C(C)C ZINC000349561536 1118749850 /nfs/dbraw/zinc/74/98/50/1118749850.db2.gz BHUCWBYIHHYSON-ZDUSSCGKSA-N 1 2 295.839 3.987 20 0 CHADLO Cc1cc(CNC(=O)CC2CCC(F)(F)CC2)cc(C)[nH+]1 ZINC000627786843 1128799626 /nfs/dbraw/zinc/79/96/26/1128799626.db2.gz ARLFXRFGAKQJSZ-UHFFFAOYSA-N 1 2 296.361 3.530 20 0 CHADLO Clc1oc2ccccc2c1C[N@@H+]1CCO[C@H]2CCC[C@@H]21 ZINC000510674548 1118785453 /nfs/dbraw/zinc/78/54/53/1118785453.db2.gz JXALBJKDQDNLDT-ZFWWWQNUSA-N 1 2 291.778 3.840 20 0 CHADLO Clc1oc2ccccc2c1C[N@H+]1CCO[C@H]2CCC[C@@H]21 ZINC000510674548 1118785454 /nfs/dbraw/zinc/78/54/54/1118785454.db2.gz JXALBJKDQDNLDT-ZFWWWQNUSA-N 1 2 291.778 3.840 20 0 CHADLO CC[C@H]([NH2+]Cc1ccn(C)n1)c1ccc(Cl)cc1Cl ZINC000213105897 1118794531 /nfs/dbraw/zinc/79/45/31/1118794531.db2.gz NZYNJXHUHSJRRR-AWEZNQCLSA-N 1 2 298.217 3.968 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(-c2ccccn2)c1)C(F)F ZINC000449364820 1118797359 /nfs/dbraw/zinc/79/73/59/1118797359.db2.gz UKIIEENEXRHVFS-CQSZACIVSA-N 1 2 276.330 3.882 20 0 CHADLO CC(C)c1noc(C[NH2+][C@]2(C)CCCc3ccccc32)n1 ZINC000341690110 1118797920 /nfs/dbraw/zinc/79/79/20/1118797920.db2.gz NBZOQYZUCLDQPK-QGZVFWFLSA-N 1 2 285.391 3.534 20 0 CHADLO C[C@H]1CCCC[N@@H+]1Cc1c(Cl)cncc1Cl ZINC001137603496 1128802217 /nfs/dbraw/zinc/80/22/17/1128802217.db2.gz RPYMEWZXNMJCGF-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H]1CCCC[N@H+]1Cc1c(Cl)cncc1Cl ZINC001137603496 1128802225 /nfs/dbraw/zinc/80/22/25/1128802225.db2.gz RPYMEWZXNMJCGF-VIFPVBQESA-N 1 2 259.180 3.763 20 0 CHADLO C[C@H](F)CC[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000510814042 1118823461 /nfs/dbraw/zinc/82/34/61/1118823461.db2.gz WNSKOGNCDBZPOF-ZOWXZIJZSA-N 1 2 285.790 3.850 20 0 CHADLO C[C@H](F)CC[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@H]1C ZINC000510814042 1118823464 /nfs/dbraw/zinc/82/34/64/1118823464.db2.gz WNSKOGNCDBZPOF-ZOWXZIJZSA-N 1 2 285.790 3.850 20 0 CHADLO C[C@H]([NH2+]C/C=C/c1ccc(F)c(F)c1)C(C)(F)F ZINC000449417442 1118878115 /nfs/dbraw/zinc/87/81/15/1118878115.db2.gz YACVFHNGBQCNIG-NWALNABHSA-N 1 2 261.262 3.611 20 0 CHADLO Cc1cc(C)nc(C[N@H+]2C[C@H](C)OC[C@H]2c2ccccc2)c1 ZINC001167504482 1118944738 /nfs/dbraw/zinc/94/47/38/1118944738.db2.gz LRQSWDLONPKXNV-LPHOPBHVSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cc(C)nc(C[N@@H+]2C[C@H](C)OC[C@H]2c2ccccc2)c1 ZINC001167504482 1118944740 /nfs/dbraw/zinc/94/47/40/1118944740.db2.gz LRQSWDLONPKXNV-LPHOPBHVSA-N 1 2 296.414 3.660 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)CCC(C)C)c(C)[nH+]1 ZINC000653178380 1118962074 /nfs/dbraw/zinc/96/20/74/1118962074.db2.gz IDDDNLIGONXTAY-UHFFFAOYSA-N 1 2 263.385 3.517 20 0 CHADLO C[C@H]([NH2+]Cc1ccn(C(F)F)n1)c1cc2ccccc2o1 ZINC000342296065 1118970234 /nfs/dbraw/zinc/97/02/34/1118970234.db2.gz HBPNNBNIRCBILA-JTQLQIEISA-N 1 2 291.301 3.875 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C(C)C)cc2)[nH]c1C ZINC000628119821 1128812907 /nfs/dbraw/zinc/81/29/07/1128812907.db2.gz MAOBHTVEEXIFIV-UHFFFAOYSA-N 1 2 271.408 3.782 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C(C)C)cc2)[nH]c1C ZINC000628119821 1128812912 /nfs/dbraw/zinc/81/29/12/1128812912.db2.gz MAOBHTVEEXIFIV-UHFFFAOYSA-N 1 2 271.408 3.782 20 0 CHADLO Cc1[nH]c(CN(C)Cc2ccc(C(C)C)cc2)[nH+]c1C ZINC000628119821 1128812916 /nfs/dbraw/zinc/81/29/16/1128812916.db2.gz MAOBHTVEEXIFIV-UHFFFAOYSA-N 1 2 271.408 3.782 20 0 CHADLO COc1ccccc1[C@H](CC(C)C)[NH2+]Cc1nccc(C)n1 ZINC000342330663 1118979413 /nfs/dbraw/zinc/97/94/13/1118979413.db2.gz WVRNMNTZOPHYCX-INIZCTEOSA-N 1 2 299.418 3.671 20 0 CHADLO Cc1cscc1C[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000724387038 1118985472 /nfs/dbraw/zinc/98/54/72/1118985472.db2.gz FFMYFJHZRZYKTG-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO COCCC1(CNc2cc(C(F)(F)F)cc[nH+]2)CCC1 ZINC000151933557 1118986391 /nfs/dbraw/zinc/98/63/91/1118986391.db2.gz NZYYCUJCCRXSNV-UHFFFAOYSA-N 1 2 288.313 3.719 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nc(CC(C)C)no1 ZINC000342353042 1118988616 /nfs/dbraw/zinc/98/86/16/1118988616.db2.gz JMZSSVUUJWTXQV-MRXNPFEDSA-N 1 2 299.418 3.605 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@H+]1Cc1nc(CC(C)C)no1 ZINC000342353042 1118988619 /nfs/dbraw/zinc/98/86/19/1118988619.db2.gz JMZSSVUUJWTXQV-MRXNPFEDSA-N 1 2 299.418 3.605 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H](C)c2ccccc2Cl)[nH+]1 ZINC000348727341 1118991214 /nfs/dbraw/zinc/99/12/14/1118991214.db2.gz CTHYEOVHGKXXBW-SECBINFHSA-N 1 2 286.766 3.515 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@H+](Cc2ccc(Cl)nc2)C1 ZINC000106077497 1118991571 /nfs/dbraw/zinc/99/15/71/1118991571.db2.gz JXSFVTNKTMLIDB-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO FC(F)(F)[C@@H]1CCC[N@@H+](Cc2ccc(Cl)nc2)C1 ZINC000106077497 1118991573 /nfs/dbraw/zinc/99/15/73/1118991573.db2.gz JXSFVTNKTMLIDB-SNVBAGLBSA-N 1 2 278.705 3.509 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2ccc(C(C)(C)C)cc2)[nH]1 ZINC000582048763 1118994681 /nfs/dbraw/zinc/99/46/81/1118994681.db2.gz CPJFGYRFYNYSLR-LBPRGKRZSA-N 1 2 286.423 3.604 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)[nH]1 ZINC000582048763 1118994683 /nfs/dbraw/zinc/99/46/83/1118994683.db2.gz CPJFGYRFYNYSLR-LBPRGKRZSA-N 1 2 286.423 3.604 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCCC[C@H]2c2ncc[nH]2)cc1 ZINC000152061360 1118997673 /nfs/dbraw/zinc/99/76/73/1118997673.db2.gz APWQBQZUTSNFTM-KRWDZBQOSA-N 1 2 299.418 3.924 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCCC[C@H]2c2ncc[nH]2)cc1 ZINC000152061360 1118997675 /nfs/dbraw/zinc/99/76/75/1118997675.db2.gz APWQBQZUTSNFTM-KRWDZBQOSA-N 1 2 299.418 3.924 20 0 CHADLO Cc1[nH]c(CN(Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)[nH+]c1C ZINC000628131405 1128814499 /nfs/dbraw/zinc/81/44/99/1128814499.db2.gz XTJWCCYZPDBCED-BZNIZROVSA-N 1 2 299.418 3.908 20 0 CHADLO CC(C)CO[C@H]1CC[N@H+](Cc2csc(C(C)C)n2)C1 ZINC000119683245 1119001051 /nfs/dbraw/zinc/00/10/51/1119001051.db2.gz FEIVXILIQQTKSX-AWEZNQCLSA-N 1 2 282.453 3.513 20 0 CHADLO CC(C)CO[C@H]1CC[N@@H+](Cc2csc(C(C)C)n2)C1 ZINC000119683245 1119001054 /nfs/dbraw/zinc/00/10/54/1119001054.db2.gz FEIVXILIQQTKSX-AWEZNQCLSA-N 1 2 282.453 3.513 20 0 CHADLO CCc1cccc2cc(C[NH2+]Cc3cc(C)on3)oc21 ZINC000657329333 1119004383 /nfs/dbraw/zinc/00/43/83/1119004383.db2.gz LBNANYBDTPEUGO-UHFFFAOYSA-N 1 2 270.332 3.581 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC1(CCO)CCC1 ZINC000152122796 1119004681 /nfs/dbraw/zinc/00/46/81/1119004681.db2.gz VIJFJRVCJVAHTC-UHFFFAOYSA-N 1 2 270.376 3.508 20 0 CHADLO CCCc1csc(C[NH2+][C@@H]2c3ccccc3O[C@H]2C)n1 ZINC000342413524 1119009861 /nfs/dbraw/zinc/00/98/61/1119009861.db2.gz GFNKJTXEHCJVHC-ZBEGNZNMSA-N 1 2 288.416 3.707 20 0 CHADLO C[C@H]1CSCCC[N@@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000934300442 1119014872 /nfs/dbraw/zinc/01/48/72/1119014872.db2.gz PBFWBGSZJDZICY-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CSCCC[N@H+]1Cc1cc(Cl)nc(Cl)c1 ZINC000934300442 1119014875 /nfs/dbraw/zinc/01/48/75/1119014875.db2.gz PBFWBGSZJDZICY-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cscc2Br)o1 ZINC000308965491 1119016194 /nfs/dbraw/zinc/01/61/94/1119016194.db2.gz MEJPBWYSCPVVGQ-UHFFFAOYSA-N 1 2 286.194 3.702 20 0 CHADLO CCC[N@H+](Cc1nc(C)c[nH]1)[C@H](C)c1ccccc1OC ZINC000628139409 1128816055 /nfs/dbraw/zinc/81/60/55/1128816055.db2.gz FFBDPHWUFFRYFJ-CQSZACIVSA-N 1 2 287.407 3.700 20 0 CHADLO CCC[N@@H+](Cc1nc(C)c[nH]1)[C@H](C)c1ccccc1OC ZINC000628139409 1128816057 /nfs/dbraw/zinc/81/60/57/1128816057.db2.gz FFBDPHWUFFRYFJ-CQSZACIVSA-N 1 2 287.407 3.700 20 0 CHADLO CC(C)[C@H]1C[N@H+](Cc2nc(C(C)(C)C)co2)CCS1 ZINC000892197680 1119020131 /nfs/dbraw/zinc/02/01/31/1119020131.db2.gz VETNDOAATSQYNS-GFCCVEGCSA-N 1 2 282.453 3.546 20 0 CHADLO CC(C)[C@H]1C[N@@H+](Cc2nc(C(C)(C)C)co2)CCS1 ZINC000892197680 1119020134 /nfs/dbraw/zinc/02/01/34/1119020134.db2.gz VETNDOAATSQYNS-GFCCVEGCSA-N 1 2 282.453 3.546 20 0 CHADLO CCCN(Cc1[nH]c(C)c(C)[nH+]1)Cc1ccccc1F ZINC000628139563 1128816152 /nfs/dbraw/zinc/81/61/52/1128816152.db2.gz JMKXFKNDDHAELP-UHFFFAOYSA-N 1 2 275.371 3.578 20 0 CHADLO Cc1c2ccccc2oc1C[NH2+]Cc1nccn1C(C)C ZINC000657362305 1119028272 /nfs/dbraw/zinc/02/82/72/1119028272.db2.gz TXPNEEPIPLFBPU-UHFFFAOYSA-N 1 2 283.375 3.808 20 0 CHADLO COc1c(F)ccc(Nc2[nH+]cc(C)cc2C)c1OC ZINC001216391699 1119043212 /nfs/dbraw/zinc/04/32/12/1119043212.db2.gz PEBBEPMLHYJXID-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO CCCn1nccc1C[NH2+][C@@H](C)c1c(F)cccc1Cl ZINC000657384902 1119045096 /nfs/dbraw/zinc/04/50/96/1119045096.db2.gz SHAHKVFTNASRGN-NSHDSACASA-N 1 2 295.789 3.936 20 0 CHADLO CCC1(CC)CCCN(C(=O)C(C)(C)c2c[nH+]c[nH]2)CC1 ZINC000684835503 1119061497 /nfs/dbraw/zinc/06/14/97/1119061497.db2.gz YXALUHFGDBGSCC-UHFFFAOYSA-N 1 2 291.439 3.506 20 0 CHADLO COc1ncccc1CNc1cc(C)c2ccccc2[nH+]1 ZINC000045026542 1119064477 /nfs/dbraw/zinc/06/44/77/1119064477.db2.gz WBJKUDYRCCQYGU-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO C[N@H+](CC(=O)c1c[nH]c2ccccc21)Cc1ccsc1 ZINC000048777816 1119082758 /nfs/dbraw/zinc/08/27/58/1119082758.db2.gz CCOSLUDSFSTLTE-UHFFFAOYSA-N 1 2 284.384 3.544 20 0 CHADLO C[N@@H+](CC(=O)c1c[nH]c2ccccc21)Cc1ccsc1 ZINC000048777816 1119082761 /nfs/dbraw/zinc/08/27/61/1119082761.db2.gz CCOSLUDSFSTLTE-UHFFFAOYSA-N 1 2 284.384 3.544 20 0 CHADLO C[C@H]1CC[C@H](CC(=O)Nc2ccccc2-n2cc[nH+]c2)C1 ZINC000119879240 1119097445 /nfs/dbraw/zinc/09/74/45/1119097445.db2.gz LVNYZKDLVKIFSW-KBPBESRZSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cc(NCc2ccc(F)c(F)c2)nc(C(C)C)[nH+]1 ZINC000049577907 1119105478 /nfs/dbraw/zinc/10/54/78/1119105478.db2.gz CXZNZJRNYFUKLW-UHFFFAOYSA-N 1 2 277.318 3.799 20 0 CHADLO CCCCc1nc(C[NH+]2CCC3(CCCC3)CC2)no1 ZINC000158443161 1119106101 /nfs/dbraw/zinc/10/61/01/1119106101.db2.gz XIFKKJWLSXCLGB-UHFFFAOYSA-N 1 2 277.412 3.568 20 0 CHADLO CCc1cccc2cc(C[NH2+]Cc3nnc(C(C)C)[nH]3)oc21 ZINC000657478965 1119120157 /nfs/dbraw/zinc/12/01/57/1119120157.db2.gz OTDHGQMAGYSAII-UHFFFAOYSA-N 1 2 298.390 3.527 20 0 CHADLO c1cn(CCCCCCCCCn2cc[nH+]c2)cn1 ZINC000225881435 1119138321 /nfs/dbraw/zinc/13/83/21/1119138321.db2.gz XPSKAOMRFDIGCH-UHFFFAOYSA-N 1 2 260.385 3.511 20 0 CHADLO Cc1cc(C)c(NC(=O)CCCc2ccccc2)c(C)[nH+]1 ZINC000097366544 1119152435 /nfs/dbraw/zinc/15/24/35/1119152435.db2.gz NEXFVDKEHWUVPU-UHFFFAOYSA-N 1 2 282.387 3.968 20 0 CHADLO Cc1c[nH+]c(CN2CCC(=Cc3ccccc3F)CC2)n1C ZINC000342794091 1119172650 /nfs/dbraw/zinc/17/26/50/1119172650.db2.gz SPLCSUXULKWVLT-UHFFFAOYSA-N 1 2 299.393 3.547 20 0 CHADLO Cc1c[nH+]c(CN(C)[C@H](C)c2cc3ccccc3o2)n1C ZINC000342800906 1119176764 /nfs/dbraw/zinc/17/67/64/1119176764.db2.gz UZTSMKBCMRZUCR-CYBMUJFWSA-N 1 2 283.375 3.668 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)n1C ZINC000342800906 1119176766 /nfs/dbraw/zinc/17/67/66/1119176766.db2.gz UZTSMKBCMRZUCR-CYBMUJFWSA-N 1 2 283.375 3.668 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)n1C ZINC000342800906 1119176768 /nfs/dbraw/zinc/17/67/68/1119176768.db2.gz UZTSMKBCMRZUCR-CYBMUJFWSA-N 1 2 283.375 3.668 20 0 CHADLO CC(C)[C@@H]1C[N@H+](Cc2ncc(C(C)(C)C)o2)CCS1 ZINC000076065382 1119182394 /nfs/dbraw/zinc/18/23/94/1119182394.db2.gz GTJNRLCBAWQNQY-LBPRGKRZSA-N 1 2 282.453 3.546 20 0 CHADLO CC(C)[C@@H]1C[N@@H+](Cc2ncc(C(C)(C)C)o2)CCS1 ZINC000076065382 1119182396 /nfs/dbraw/zinc/18/23/96/1119182396.db2.gz GTJNRLCBAWQNQY-LBPRGKRZSA-N 1 2 282.453 3.546 20 0 CHADLO Cc1cc(NC(=O)CCc2cc(Cl)cs2)cc[nH+]1 ZINC000076611423 1119186987 /nfs/dbraw/zinc/18/69/87/1119186987.db2.gz FTFTTWSKIPYFNT-UHFFFAOYSA-N 1 2 280.780 3.676 20 0 CHADLO Cc1cc(C)n(-c2ccc(CCNc3cccc[nH+]3)cc2)n1 ZINC000076775161 1119187703 /nfs/dbraw/zinc/18/77/03/1119187703.db2.gz QERVUGPTNZCBFG-UHFFFAOYSA-N 1 2 292.386 3.539 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2ccc(F)c(F)c2)on1 ZINC000076711849 1119189285 /nfs/dbraw/zinc/18/92/85/1119189285.db2.gz ABEGLYFMZQTIJC-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2ccc(F)c(F)c2)on1 ZINC000076711849 1119189287 /nfs/dbraw/zinc/18/92/87/1119189287.db2.gz ABEGLYFMZQTIJC-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO c1cn(-c2cccc(Oc3ncnc4ccsc43)c2)c[nH+]1 ZINC000077982060 1119196922 /nfs/dbraw/zinc/19/69/22/1119196922.db2.gz RKWXQHCPQCLBLM-UHFFFAOYSA-N 1 2 294.339 3.669 20 0 CHADLO CC(C)(C)c1nsc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)n1 ZINC001213085609 1119219237 /nfs/dbraw/zinc/21/92/37/1119219237.db2.gz NWMAVGKQZNNTEP-UHFFFAOYSA-N 1 2 299.403 3.969 20 0 CHADLO COc1nccc(C)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213085644 1119223072 /nfs/dbraw/zinc/22/30/72/1119223072.db2.gz PFJNSMVJLVZJNE-UHFFFAOYSA-N 1 2 280.331 3.532 20 0 CHADLO C[C@H](CNc1cccc[nH+]1)Oc1ccccc1Cl ZINC000078468314 1119225216 /nfs/dbraw/zinc/22/52/16/1119225216.db2.gz RHYPYAPLCMUOLQ-LLVKDONJSA-N 1 2 262.740 3.614 20 0 CHADLO C[C@H]([NH2+]C(c1ccccc1)c1ccccc1)c1ncc[nH]1 ZINC000138901486 1119249349 /nfs/dbraw/zinc/24/93/49/1119249349.db2.gz IBDLWYURIJCVAU-AWEZNQCLSA-N 1 2 277.371 3.850 20 0 CHADLO Cc1[nH]c(CN2CCC(=Cc3ccccc3F)CC2)[nH+]c1C ZINC000628190191 1119270925 /nfs/dbraw/zinc/27/09/25/1119270925.db2.gz YNJMGQIWULZCQZ-UHFFFAOYSA-N 1 2 299.393 3.845 20 0 CHADLO CCc1nc(C)c([C@@H](C)[NH2+][C@H]2CCCC2(F)F)s1 ZINC000672622490 1119296730 /nfs/dbraw/zinc/29/67/30/1119296730.db2.gz ZJYPQOCYIIOTOY-SCZZXKLOSA-N 1 2 274.380 3.852 20 0 CHADLO COc1ccc([C@@H]([NH2+]Cc2ccno2)c2ccccc2)cc1 ZINC000318812634 1119304765 /nfs/dbraw/zinc/30/47/65/1119304765.db2.gz OUBFDODGDCDXIF-SFHVURJKSA-N 1 2 294.354 3.562 20 0 CHADLO Cc1cccn2cc(C[NH2+]Cc3ccc([C@H]4C[C@@H]4C)o3)nc12 ZINC000124005553 1119307255 /nfs/dbraw/zinc/30/72/55/1119307255.db2.gz MHFZDFKZBHWSPZ-BBRMVZONSA-N 1 2 295.386 3.649 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cnc4ccccc4n3)cc2)[nH]1 ZINC001213087538 1119314845 /nfs/dbraw/zinc/31/48/45/1119314845.db2.gz RSJOEDXSQKDNCU-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO FC(F)C1(CNc2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000343113868 1119316949 /nfs/dbraw/zinc/31/69/49/1119316949.db2.gz ZVJJEMLVCIBZNH-UHFFFAOYSA-N 1 2 266.213 3.558 20 0 CHADLO Clc1ccc([C@@H]2C[N@H+](Cc3ccsc3)CCO2)cc1 ZINC000155263985 1119330240 /nfs/dbraw/zinc/33/02/40/1119330240.db2.gz IFVUFDBXTXIEFO-HNNXBMFYSA-N 1 2 293.819 3.975 20 0 CHADLO Clc1ccc([C@@H]2C[N@@H+](Cc3ccsc3)CCO2)cc1 ZINC000155263985 1119330243 /nfs/dbraw/zinc/33/02/43/1119330243.db2.gz IFVUFDBXTXIEFO-HNNXBMFYSA-N 1 2 293.819 3.975 20 0 CHADLO Cn1nc(C2CCC2)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213087704 1119330619 /nfs/dbraw/zinc/33/06/19/1119330619.db2.gz WGYBUFLVRIEIGE-UHFFFAOYSA-N 1 2 293.374 3.821 20 0 CHADLO Cc1[nH]c(CN2CC[C@@H](C)Sc3ccccc32)[nH+]c1C ZINC000628265145 1128838837 /nfs/dbraw/zinc/83/88/37/1128838837.db2.gz QZYIFWOCWOTDLL-LLVKDONJSA-N 1 2 287.432 3.917 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3snc4cccnc43)cc2)[nH]1 ZINC001213087940 1119343204 /nfs/dbraw/zinc/34/32/04/1119343204.db2.gz IBDJCQMVJMZPAC-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO Fc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001213088065 1119344264 /nfs/dbraw/zinc/34/42/64/1119344264.db2.gz NWEZXXOIDZCOTK-UHFFFAOYSA-N 1 2 253.280 3.959 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(C)(F)F)cc1)c1ncco1 ZINC000926483990 1119347570 /nfs/dbraw/zinc/34/75/70/1119347570.db2.gz WOYFGCQFAKGMCU-JTQLQIEISA-N 1 2 266.291 3.637 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1c(F)cc(F)cc1F ZINC000926490341 1119356204 /nfs/dbraw/zinc/35/62/04/1119356204.db2.gz VDTVNSLWPHRLPV-ZETCQYMHSA-N 1 2 284.281 3.560 20 0 CHADLO Oc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)ccc1F ZINC001213088908 1119372239 /nfs/dbraw/zinc/37/22/39/1119372239.db2.gz KBKMIGCCLVGARL-UHFFFAOYSA-N 1 2 269.279 3.665 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCO[C@H](C(C)(C)C)C2)cc1 ZINC000721529742 1119375539 /nfs/dbraw/zinc/37/55/39/1119375539.db2.gz KJFPSNYTRHDZSX-ZBFHGGJFSA-N 1 2 276.424 3.758 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCO[C@H](C(C)(C)C)C2)cc1 ZINC000721529742 1119375542 /nfs/dbraw/zinc/37/55/42/1119375542.db2.gz KJFPSNYTRHDZSX-ZBFHGGJFSA-N 1 2 276.424 3.758 20 0 CHADLO C=Cn1cc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2F)cn1 ZINC000449731796 1119386709 /nfs/dbraw/zinc/38/67/09/1119386709.db2.gz JMKOTSBBIPVZDL-MRXNPFEDSA-N 1 2 289.329 3.599 20 0 CHADLO C=Cn1cc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2F)cn1 ZINC000449731796 1119386712 /nfs/dbraw/zinc/38/67/12/1119386712.db2.gz JMKOTSBBIPVZDL-MRXNPFEDSA-N 1 2 289.329 3.599 20 0 CHADLO COCc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC001213089832 1119390976 /nfs/dbraw/zinc/39/09/76/1119390976.db2.gz GYSFQDIFIAZUFB-UHFFFAOYSA-N 1 2 279.343 3.967 20 0 CHADLO C[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1coc2ccccc21 ZINC000926522410 1119399639 /nfs/dbraw/zinc/39/96/39/1119399639.db2.gz WFSZQGQDTZTTKC-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO CCOc1cccc(C[N@H+](C)[C@H](C)c2ccccc2F)n1 ZINC000349921374 1119401379 /nfs/dbraw/zinc/40/13/79/1119401379.db2.gz QSYMBPLFSSAWSI-CYBMUJFWSA-N 1 2 288.366 3.812 20 0 CHADLO CCOc1cccc(C[N@@H+](C)[C@H](C)c2ccccc2F)n1 ZINC000349921374 1119401380 /nfs/dbraw/zinc/40/13/80/1119401380.db2.gz QSYMBPLFSSAWSI-CYBMUJFWSA-N 1 2 288.366 3.812 20 0 CHADLO C[C@@H]([NH2+]Cc1c(Cl)oc2ccccc21)c1nccn1C ZINC000657794927 1119414966 /nfs/dbraw/zinc/41/49/66/1119414966.db2.gz ZBKPWPBJINIFGJ-SNVBAGLBSA-N 1 2 289.766 3.671 20 0 CHADLO OCc1ccc(Cl)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213091166 1119426006 /nfs/dbraw/zinc/42/60/06/1119426006.db2.gz ZNVAOYUZSSXYRP-UHFFFAOYSA-N 1 2 299.761 3.966 20 0 CHADLO CC[N@H+](Cc1ccccc1)[C@@H](C)c1nc(C(C)C)no1 ZINC000132089802 1119440942 /nfs/dbraw/zinc/44/09/42/1119440942.db2.gz NHOSHCGEKZLIEU-ZDUSSCGKSA-N 1 2 273.380 3.776 20 0 CHADLO CC[N@@H+](Cc1ccccc1)[C@@H](C)c1nc(C(C)C)no1 ZINC000132089802 1119440944 /nfs/dbraw/zinc/44/09/44/1119440944.db2.gz NHOSHCGEKZLIEU-ZDUSSCGKSA-N 1 2 273.380 3.776 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](CC)Cc2ccc(Cl)cc2)o1 ZINC000343558321 1119453765 /nfs/dbraw/zinc/45/37/65/1119453765.db2.gz AOERKDRDFVSRCS-LLVKDONJSA-N 1 2 293.798 3.869 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](CC)Cc2ccc(Cl)cc2)o1 ZINC000343558321 1119453766 /nfs/dbraw/zinc/45/37/66/1119453766.db2.gz AOERKDRDFVSRCS-LLVKDONJSA-N 1 2 293.798 3.869 20 0 CHADLO Cc1ncc(C[NH2+]C2(c3ccccc3Cl)CCC2)o1 ZINC000628323315 1128847169 /nfs/dbraw/zinc/84/71/69/1128847169.db2.gz MKYPNUPYWNZSOV-UHFFFAOYSA-N 1 2 276.767 3.805 20 0 CHADLO Cc1c[nH]c(C[N@@H+](C)Cc2oc3c(cccc3C)c2C)n1 ZINC000628325213 1128847929 /nfs/dbraw/zinc/84/79/29/1128847929.db2.gz CCNLPEBNSICLPG-UHFFFAOYSA-N 1 2 283.375 3.713 20 0 CHADLO Cc1c[nH]c(C[N@H+](C)Cc2oc3c(cccc3C)c2C)n1 ZINC000628325213 1128847935 /nfs/dbraw/zinc/84/79/35/1128847935.db2.gz CCNLPEBNSICLPG-UHFFFAOYSA-N 1 2 283.375 3.713 20 0 CHADLO c1coc([C@@H]([NH2+][C@H]2CCCn3nccc32)c2ccccc2)c1 ZINC000657826676 1119473011 /nfs/dbraw/zinc/47/30/11/1119473011.db2.gz JLSMHMOCWDMPBO-YJBOKZPZSA-N 1 2 293.370 3.690 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cccc2c[nH]nc21 ZINC000158886357 1119475103 /nfs/dbraw/zinc/47/51/03/1119475103.db2.gz WEWBPKXLSKEFTC-UHFFFAOYSA-N 1 2 291.358 3.545 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1cnn(CC(C)C)c1 ZINC000158880422 1119475573 /nfs/dbraw/zinc/47/55/73/1119475573.db2.gz HBRJPUGAAWORTP-UHFFFAOYSA-N 1 2 297.406 3.521 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1ccc(COC)cc1 ZINC000158909561 1119476538 /nfs/dbraw/zinc/47/65/38/1119476538.db2.gz BWOKLKZHMAHNFJ-UHFFFAOYSA-N 1 2 295.386 3.815 20 0 CHADLO COc1cccc(Cl)c1CNc1ccc(C)[nH+]c1C ZINC000169059706 1119491769 /nfs/dbraw/zinc/49/17/69/1119491769.db2.gz UZAPFDWZXZSKDA-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO CCCC[C@H](CC)CC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000343722643 1119495249 /nfs/dbraw/zinc/49/52/49/1119495249.db2.gz XFXWWECEPMXULO-LSDHHAIUSA-N 1 2 291.439 3.722 20 0 CHADLO C[C@H](c1ccc(F)cc1)[NH+]1CCN(Cc2ccccc2)CC1 ZINC000302736608 1119501591 /nfs/dbraw/zinc/50/15/91/1119501591.db2.gz IDNDKNZPUOZRLF-MRXNPFEDSA-N 1 2 298.405 3.705 20 0 CHADLO COCC[C@H](C)SCc1ccc(-n2cc[nH+]c2)cc1 ZINC000088307651 1119535358 /nfs/dbraw/zinc/53/53/58/1119535358.db2.gz VZWKUWZCTZQZCC-ZDUSSCGKSA-N 1 2 276.405 3.531 20 0 CHADLO CC(C)c1cc(NCc2cccc(CO)c2)nc(C(C)C)[nH+]1 ZINC000090090657 1119545046 /nfs/dbraw/zinc/54/50/46/1119545046.db2.gz NPLQTQYVSIURCK-UHFFFAOYSA-N 1 2 299.418 3.828 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+][C@H](C)c1ccc(F)cn1 ZINC000092753166 1119566643 /nfs/dbraw/zinc/56/66/43/1119566643.db2.gz KYCFPINBCWFKJM-MNOVXSKESA-N 1 2 292.329 3.780 20 0 CHADLO Cc1[nH]ncc1C[N@H+](Cc1ccccc1)CC(C)(C)C ZINC000093056815 1119569040 /nfs/dbraw/zinc/56/90/40/1119569040.db2.gz GCPJIPICHAYJCW-UHFFFAOYSA-N 1 2 271.408 3.766 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](Cc1ccccc1)CC(C)(C)C ZINC000093056815 1119569045 /nfs/dbraw/zinc/56/90/45/1119569045.db2.gz GCPJIPICHAYJCW-UHFFFAOYSA-N 1 2 271.408 3.766 20 0 CHADLO c1cn(Cc2ccc(OCc3ccccc3)cc2)c[nH+]1 ZINC000141602861 1119580833 /nfs/dbraw/zinc/58/08/33/1119580833.db2.gz RIEAYTSMHVOWGI-UHFFFAOYSA-N 1 2 264.328 3.510 20 0 CHADLO C[C@@H]([NH2+][C@@H]1C[C@@H](C)n2ccnc21)c1ccccc1Cl ZINC000379027845 1119593451 /nfs/dbraw/zinc/59/34/51/1119593451.db2.gz VLLUPFXTVHJVDI-JTNHKYCSSA-N 1 2 275.783 3.893 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(Cl)cc2F)CCC1(F)F ZINC000685591313 1119635817 /nfs/dbraw/zinc/63/58/17/1119635817.db2.gz FAHRYYIZGOMUNK-SECBINFHSA-N 1 2 277.717 3.956 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2F)CCC1(F)F ZINC000685591313 1119635819 /nfs/dbraw/zinc/63/58/19/1119635819.db2.gz FAHRYYIZGOMUNK-SECBINFHSA-N 1 2 277.717 3.956 20 0 CHADLO C[C@H]([NH2+]C/C=C/Cl)c1nc(C(F)(F)F)cs1 ZINC000279048123 1119637897 /nfs/dbraw/zinc/63/78/97/1119637897.db2.gz YESPKMPTPUJLDE-SZKDQXIBSA-N 1 2 270.707 3.565 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(CC(C)C)cc2)no1 ZINC000101544291 1119656081 /nfs/dbraw/zinc/65/60/81/1119656081.db2.gz HLQJRQIWGRAMAG-UHFFFAOYSA-N 1 2 272.392 3.814 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(CC(C)C)cc2)no1 ZINC000101544291 1119656083 /nfs/dbraw/zinc/65/60/83/1119656083.db2.gz HLQJRQIWGRAMAG-UHFFFAOYSA-N 1 2 272.392 3.814 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000628432055 1128860552 /nfs/dbraw/zinc/86/05/52/1128860552.db2.gz ONUDBAKHIJXDEB-ZFWWWQNUSA-N 1 2 297.402 3.598 20 0 CHADLO C[C@@H]([NH2+]C1(C(F)F)CC1)c1cc2ccncc2s1 ZINC000658003973 1119665080 /nfs/dbraw/zinc/66/50/80/1119665080.db2.gz NCISFHIUADJOEA-MRVPVSSYSA-N 1 2 268.332 3.745 20 0 CHADLO CCn1nc(C)c([C@@H](C)[NH2+][C@@H](C)c2ccccc2Cl)n1 ZINC000924527366 1119710993 /nfs/dbraw/zinc/71/09/93/1119710993.db2.gz XWDAKAGSVXUWER-WDEREUQCSA-N 1 2 292.814 3.672 20 0 CHADLO Cc1ncc(C[NH2+]C(C)(C)c2cccc(Cl)c2F)o1 ZINC000628239964 1119717499 /nfs/dbraw/zinc/71/74/99/1119717499.db2.gz LCDQMJGRUBRDHB-UHFFFAOYSA-N 1 2 282.746 3.800 20 0 CHADLO Cc1cncc([C@@H](C)[NH2+]CC(F)(F)C(C)(C)C)c1 ZINC000658337618 1119727157 /nfs/dbraw/zinc/72/71/57/1119727157.db2.gz TZZGCAPRNORMAG-LLVKDONJSA-N 1 2 256.340 3.722 20 0 CHADLO C[C@@H](CC(=O)Oc1c(Cl)cccc1Cl)n1cc[nH+]c1 ZINC001522132630 1128865560 /nfs/dbraw/zinc/86/55/60/1128865560.db2.gz KRMFBZHOHIASLH-VIFPVBQESA-N 1 2 299.157 3.747 20 0 CHADLO c1csc(CC2C[NH+](Cc3csc(C4CC4)n3)C2)c1 ZINC000628478751 1128865600 /nfs/dbraw/zinc/86/56/00/1128865600.db2.gz OIGCRRNSNMVUDR-UHFFFAOYSA-N 1 2 290.457 3.757 20 0 CHADLO Cl/C=C\C[NH2+][C@H](c1nccs1)c1ccccc1 ZINC000255761967 1119740905 /nfs/dbraw/zinc/74/09/05/1119740905.db2.gz XDVKXMYJHZWXPM-KMSCOEIWSA-N 1 2 264.781 3.575 20 0 CHADLO CCCCN(C(=O)CCc1c[nH]c[nH+]1)[C@@H]1CCC[C@H](C)C1 ZINC000359272452 1119747714 /nfs/dbraw/zinc/74/77/14/1119747714.db2.gz ZDBKMKFJSBURBF-GOEBONIOSA-N 1 2 291.439 3.550 20 0 CHADLO CCCCN(C(=O)CCc1c[nH+]c[nH]1)[C@@H]1CCC[C@H](C)C1 ZINC000359272452 1119747718 /nfs/dbraw/zinc/74/77/18/1119747718.db2.gz ZDBKMKFJSBURBF-GOEBONIOSA-N 1 2 291.439 3.550 20 0 CHADLO C[C@H](c1ccc(OC(F)(F)F)cc1)[N@H+](C)Cc1ncc[nH]1 ZINC000677803033 1119749532 /nfs/dbraw/zinc/74/95/32/1119749532.db2.gz WPVOESXPEGNAJH-SNVBAGLBSA-N 1 2 299.296 3.501 20 0 CHADLO C[C@H](c1ccc(OC(F)(F)F)cc1)[N@@H+](C)Cc1ncc[nH]1 ZINC000677803033 1119749535 /nfs/dbraw/zinc/74/95/35/1119749535.db2.gz WPVOESXPEGNAJH-SNVBAGLBSA-N 1 2 299.296 3.501 20 0 CHADLO CO[C@@H]1C[C@H](c2ccccc2)CC[C@@H]1Nc1cc[nH+]c(C)n1 ZINC000343810522 1119754925 /nfs/dbraw/zinc/75/49/25/1119754925.db2.gz OWJIBPDENOMGFA-IXDOHACOSA-N 1 2 297.402 3.548 20 0 CHADLO CO[C@@H]1C[C@@H](c2ccccc2)CC[C@H]1Nc1cc[nH+]c(C)n1 ZINC000343810518 1119754986 /nfs/dbraw/zinc/75/49/86/1119754986.db2.gz OWJIBPDENOMGFA-GVDBMIGSSA-N 1 2 297.402 3.548 20 0 CHADLO Clc1ccc(C[NH2+]Cc2ccsc2Cl)o1 ZINC000308891232 1119782584 /nfs/dbraw/zinc/78/25/84/1119782584.db2.gz WZLQDDXCGZSZEY-UHFFFAOYSA-N 1 2 262.161 3.938 20 0 CHADLO Cc1ncc(C[N@@H+]2CC[C@@](F)(c3cc(C)cc(F)c3)C2)o1 ZINC000628262924 1119791341 /nfs/dbraw/zinc/79/13/41/1119791341.db2.gz DLHMBCLVNHYZAN-INIZCTEOSA-N 1 2 292.329 3.501 20 0 CHADLO Cc1ncc(C[N@H+]2CC[C@@](F)(c3cc(C)cc(F)c3)C2)o1 ZINC000628262924 1119791343 /nfs/dbraw/zinc/79/13/43/1119791343.db2.gz DLHMBCLVNHYZAN-INIZCTEOSA-N 1 2 292.329 3.501 20 0 CHADLO CCC(CC)c1noc([C@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923881362 1119800027 /nfs/dbraw/zinc/80/00/27/1119800027.db2.gz GSPWBYKKSCFSTJ-ZDUSSCGKSA-N 1 2 276.384 3.805 20 0 CHADLO COc1cc([C@H](C)[NH2+]Cc2cc3cc(C)ccc3o2)on1 ZINC000926704558 1119830748 /nfs/dbraw/zinc/83/07/48/1119830748.db2.gz ZMSXGXLRKCFCRG-NSHDSACASA-N 1 2 286.331 3.589 20 0 CHADLO CC[C@H](C)c1ccc(O[C@@H]2CC[NH2+]CC2(F)F)cc1 ZINC001218008772 1119853370 /nfs/dbraw/zinc/85/33/70/1119853370.db2.gz WGDQGNFLPCPMHL-SMDDNHRTSA-N 1 2 269.335 3.576 20 0 CHADLO Cc1cc(NCCCc2nccs2)nc(C2CCC2)[nH+]1 ZINC000892521095 1119860783 /nfs/dbraw/zinc/86/07/83/1119860783.db2.gz JALYTWOYRQGWEU-UHFFFAOYSA-N 1 2 288.420 3.554 20 0 CHADLO Cc1noc(C)c1[C@H](C)Nc1cc(C)[nH+]c(C2CCC2)n1 ZINC000892528166 1119877124 /nfs/dbraw/zinc/87/71/24/1119877124.db2.gz RHTAALPHWNDUAI-JTQLQIEISA-N 1 2 286.379 3.830 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(F)cn1)c1nc2c(s1)CCC2 ZINC000414133013 1119910720 /nfs/dbraw/zinc/91/07/20/1119910720.db2.gz AETREFAKUAUYGN-UWVGGRQHSA-N 1 2 291.395 3.578 20 0 CHADLO CN(C)c1ccc([NH2+][C@@H]2CCCC23CCOCC3)cc1 ZINC000282488718 1119921046 /nfs/dbraw/zinc/92/10/46/1119921046.db2.gz HPNGOVOZUJYESK-MRXNPFEDSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@H]1CSCC[N@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000282504494 1119924320 /nfs/dbraw/zinc/92/43/20/1119924320.db2.gz NUOXYCLPDZLPSG-SECBINFHSA-N 1 2 291.247 3.573 20 0 CHADLO C[C@H]1CSCC[N@@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000282504494 1119924323 /nfs/dbraw/zinc/92/43/23/1119924323.db2.gz NUOXYCLPDZLPSG-SECBINFHSA-N 1 2 291.247 3.573 20 0 CHADLO C/C=C\C[C@H]([NH2+][C@@H](CC)Cc1cccs1)C(=O)OCC ZINC000617856402 1128878299 /nfs/dbraw/zinc/87/82/99/1128878299.db2.gz GTFSICYSOZRKMN-MFOKFAIFSA-N 1 2 295.448 3.557 20 0 CHADLO Cc1cc([NH2+]C2CCC3(CCCO3)CC2)ccc1N(C)C ZINC000376407130 1119974385 /nfs/dbraw/zinc/97/43/85/1119974385.db2.gz GYRRNCKHHYADRT-UHFFFAOYSA-N 1 2 288.435 3.965 20 0 CHADLO Cc1cc(NC2CCC3(CCCO3)CC2)ccc1[NH+](C)C ZINC000376407130 1119974388 /nfs/dbraw/zinc/97/43/88/1119974388.db2.gz GYRRNCKHHYADRT-UHFFFAOYSA-N 1 2 288.435 3.965 20 0 CHADLO CC[N@H+](Cc1cscn1)[C@H](C)c1ccc(F)cc1 ZINC000102949710 1119992219 /nfs/dbraw/zinc/99/22/19/1119992219.db2.gz OEYQFUXBEJCCJS-LLVKDONJSA-N 1 2 264.369 3.865 20 0 CHADLO CC[N@@H+](Cc1cscn1)[C@H](C)c1ccc(F)cc1 ZINC000102949710 1119992222 /nfs/dbraw/zinc/99/22/22/1119992222.db2.gz OEYQFUXBEJCCJS-LLVKDONJSA-N 1 2 264.369 3.865 20 0 CHADLO Clc1cccc2c(N3CCC4(CC4)C3)cc[nH+]c12 ZINC000685918185 1119997462 /nfs/dbraw/zinc/99/74/62/1119997462.db2.gz GMDUJRLEZCJQRR-UHFFFAOYSA-N 1 2 258.752 3.879 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)nn1 ZINC000414374173 1120004738 /nfs/dbraw/zinc/00/47/38/1120004738.db2.gz VDXINAAMKYQQEG-PXAZEXFGSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)nn1 ZINC000414374173 1120004742 /nfs/dbraw/zinc/00/47/42/1120004742.db2.gz VDXINAAMKYQQEG-PXAZEXFGSA-N 1 2 297.402 3.666 20 0 CHADLO Fc1cccc([C@H]([NH2+]Cc2ccoc2)c2ccccn2)c1 ZINC000765797821 1120017078 /nfs/dbraw/zinc/01/70/78/1120017078.db2.gz SBJRYZQFPCCYIY-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO CCCC[N@H+](Cc1nccn1C)[C@H](C)c1ccc(C)o1 ZINC000588236599 1120050629 /nfs/dbraw/zinc/05/06/29/1120050629.db2.gz MOEYISSNEYEEJL-CQSZACIVSA-N 1 2 275.396 3.685 20 0 CHADLO CCCC[N@@H+](Cc1nccn1C)[C@H](C)c1ccc(C)o1 ZINC000588236599 1120050634 /nfs/dbraw/zinc/05/06/34/1120050634.db2.gz MOEYISSNEYEEJL-CQSZACIVSA-N 1 2 275.396 3.685 20 0 CHADLO CC(C)n1cc2c(n1)[C@@H]([NH2+][C@H](C)c1ccccn1)CCC2 ZINC000765815329 1120055042 /nfs/dbraw/zinc/05/50/42/1120055042.db2.gz GWMVEWAHCJYUCA-CJNGLKHVSA-N 1 2 284.407 3.587 20 0 CHADLO Nc1cc(-c2ccc(F)cc2F)[nH+]cc1C(F)(F)F ZINC001239675796 1120069627 /nfs/dbraw/zinc/06/96/27/1120069627.db2.gz MTUOKUWHENEZME-UHFFFAOYSA-N 1 2 274.192 3.628 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@@H](c2cccnc2)C2CCC2)n1 ZINC000903313720 1120081712 /nfs/dbraw/zinc/08/17/12/1120081712.db2.gz XNXSVUUTLMKDFQ-QGZVFWFLSA-N 1 2 299.418 3.998 20 0 CHADLO COc1c(C)cccc1C[NH2+][C@H](C)c1nc(C)sc1C ZINC000655810532 1120093194 /nfs/dbraw/zinc/09/31/94/1120093194.db2.gz FMOIKMZOYOBTCV-LLVKDONJSA-N 1 2 290.432 3.928 20 0 CHADLO COc1c(C)cccc1C[NH2+]Cc1cccc(O)c1Cl ZINC000655833877 1120096482 /nfs/dbraw/zinc/09/64/82/1120096482.db2.gz XBWQGHYOWVTKLF-UHFFFAOYSA-N 1 2 291.778 3.652 20 0 CHADLO C[C@H]([NH2+]C/C(Cl)=C/Cl)c1ccc(F)cc1F ZINC000181577019 1128890326 /nfs/dbraw/zinc/89/03/26/1128890326.db2.gz KAORKIKJMRBTDX-BJMRUTCWSA-N 1 2 266.118 3.934 20 0 CHADLO Clc1ccc(Cl)c(C[N@@H+]2CCCC3(CC3)C2)n1 ZINC000695139119 1120113147 /nfs/dbraw/zinc/11/31/47/1120113147.db2.gz NZGWLYNAZHAXDA-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO Clc1ccc(Cl)c(C[N@H+]2CCCC3(CC3)C2)n1 ZINC000695139119 1120113150 /nfs/dbraw/zinc/11/31/50/1120113150.db2.gz NZGWLYNAZHAXDA-UHFFFAOYSA-N 1 2 271.191 3.764 20 0 CHADLO CC[C@@H](C)O[C@H]1CCC[N@H+](Cc2cnc(Cl)s2)C1 ZINC001204630455 1120114715 /nfs/dbraw/zinc/11/47/15/1120114715.db2.gz RAJHKUTVRQXEMI-MNOVXSKESA-N 1 2 288.844 3.576 20 0 CHADLO CC[C@@H](C)O[C@H]1CCC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC001204630455 1120114718 /nfs/dbraw/zinc/11/47/18/1120114718.db2.gz RAJHKUTVRQXEMI-MNOVXSKESA-N 1 2 288.844 3.576 20 0 CHADLO CCSc1cc[nH+]c(NC[C@H]2CCOC(C)(C)C2)c1 ZINC001161430350 1120114846 /nfs/dbraw/zinc/11/48/46/1120114846.db2.gz RONXISPDZHWWEF-LBPRGKRZSA-N 1 2 280.437 3.811 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(Cl)s1)c1cnn(C2CCC2)c1 ZINC000926829942 1120119354 /nfs/dbraw/zinc/11/93/54/1120119354.db2.gz RELSPIGPDSGCRY-VIFPVBQESA-N 1 2 296.827 3.569 20 0 CHADLO Cc1nc(N[C@H]2c3cccc(F)c3CC[C@@H]2C)cc[nH+]1 ZINC000431837511 1120132857 /nfs/dbraw/zinc/13/28/57/1120132857.db2.gz LZMFRSFYOZLYAD-MGPLVRAMSA-N 1 2 271.339 3.660 20 0 CHADLO Cc1cc(N[C@H](CO)c2ccccc2C)nc(C2CCC2)[nH+]1 ZINC000892771017 1120139314 /nfs/dbraw/zinc/13/93/14/1120139314.db2.gz FBQPHNVBGGPDNO-MRXNPFEDSA-N 1 2 297.402 3.506 20 0 CHADLO CCc1[nH]nc(Cl)c1C[NH2+][C@@H](CC)c1nc(C)cs1 ZINC000921697948 1120140727 /nfs/dbraw/zinc/14/07/27/1120140727.db2.gz JCJPVBYMACAZKV-NSHDSACASA-N 1 2 298.843 3.631 20 0 CHADLO Cc1ccc(-c2nc(C[NH+]3CC4(CC4)C3)cs2)cc1 ZINC000449369588 1128894840 /nfs/dbraw/zinc/89/48/40/1128894840.db2.gz FAAVTGVPTLVPPJ-UHFFFAOYSA-N 1 2 270.401 3.714 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1cc(F)c(O)c(F)c1 ZINC001140988231 1120162523 /nfs/dbraw/zinc/16/25/23/1120162523.db2.gz SVUBCRQGXRDSKL-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1cc(F)c(O)c(F)c1 ZINC001140988231 1120162525 /nfs/dbraw/zinc/16/25/25/1120162525.db2.gz SVUBCRQGXRDSKL-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO CC(C)Oc1ncccc1C[NH2+]Cc1ccsc1Cl ZINC000312562379 1120168396 /nfs/dbraw/zinc/16/83/96/1120168396.db2.gz QKGXIMFALWQZDO-UHFFFAOYSA-N 1 2 296.823 3.874 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2ccon2)o1 ZINC000174448862 1120169373 /nfs/dbraw/zinc/16/93/73/1120169373.db2.gz VNBDGXOBQQKZMA-IUODEOHRSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2ccon2)o1 ZINC000174448862 1120169375 /nfs/dbraw/zinc/16/93/75/1120169375.db2.gz VNBDGXOBQQKZMA-IUODEOHRSA-N 1 2 274.364 3.803 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1noc2c1CCCC2 ZINC000108854448 1120172798 /nfs/dbraw/zinc/17/27/98/1120172798.db2.gz XCOLNPHEJVHDPL-LLVKDONJSA-N 1 2 276.405 3.808 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1noc2c1CCCC2 ZINC000108854448 1120172800 /nfs/dbraw/zinc/17/28/00/1120172800.db2.gz XCOLNPHEJVHDPL-LLVKDONJSA-N 1 2 276.405 3.808 20 0 CHADLO Cc1cc([C@H]2CCC[N@@H+]2Cc2nsc3ccccc23)on1 ZINC000659846134 1120179248 /nfs/dbraw/zinc/17/92/48/1120179248.db2.gz NEQIJEVJGHIPLD-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cc([C@H]2CCC[N@H+]2Cc2nsc3ccccc23)on1 ZINC000659846134 1120179250 /nfs/dbraw/zinc/17/92/50/1120179250.db2.gz NEQIJEVJGHIPLD-CQSZACIVSA-N 1 2 299.399 3.930 20 0 CHADLO CCOc1ccc(C[NH2+]C(C)(C)c2nc(C)c(C)s2)o1 ZINC000892955632 1120180923 /nfs/dbraw/zinc/18/09/23/1120180923.db2.gz KOBXOILORCYSFI-UHFFFAOYSA-N 1 2 294.420 3.777 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1csc(-c2ccsc2)n1 ZINC000172593112 1120180949 /nfs/dbraw/zinc/18/09/49/1120180949.db2.gz RCKQHXCFSAAZFO-JTQLQIEISA-N 1 2 296.486 3.809 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1csc(-c2ccsc2)n1 ZINC000172593112 1120180953 /nfs/dbraw/zinc/18/09/53/1120180953.db2.gz RCKQHXCFSAAZFO-JTQLQIEISA-N 1 2 296.486 3.809 20 0 CHADLO COc1cc(Br)ccc1C[N@H+]1CC=C(C)CC1 ZINC000542852388 1120181037 /nfs/dbraw/zinc/18/10/37/1120181037.db2.gz AZVICFRJSCWEQG-UHFFFAOYSA-N 1 2 296.208 3.610 20 0 CHADLO COc1cc(Br)ccc1C[N@@H+]1CC=C(C)CC1 ZINC000542852388 1120181040 /nfs/dbraw/zinc/18/10/40/1120181040.db2.gz AZVICFRJSCWEQG-UHFFFAOYSA-N 1 2 296.208 3.610 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2cccc(C(F)(F)F)c2Cl)C1 ZINC001205038106 1120195626 /nfs/dbraw/zinc/19/56/26/1120195626.db2.gz ZRXMPNXOTCJHJL-SNVBAGLBSA-N 1 2 293.716 3.580 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2cccc(C(F)(F)F)c2Cl)C1 ZINC001205038106 1120195630 /nfs/dbraw/zinc/19/56/30/1120195630.db2.gz ZRXMPNXOTCJHJL-SNVBAGLBSA-N 1 2 293.716 3.580 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccc(F)cc2)c(F)cc1F ZINC001236817411 1128898955 /nfs/dbraw/zinc/89/89/55/1128898955.db2.gz MBNVFRBUMHAYLR-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO CCCc1nc(C[NH+]2CCC3(CCCCC3)CC2)no1 ZINC000338724132 1120213824 /nfs/dbraw/zinc/21/38/24/1120213824.db2.gz HJRPBIWQWQDRSC-UHFFFAOYSA-N 1 2 277.412 3.568 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccc(F)cc2)c(F)cc1F ZINC001236817411 1128898959 /nfs/dbraw/zinc/89/89/59/1128898959.db2.gz MBNVFRBUMHAYLR-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO Cc1cc(N[C@@H]2CCOc3ccccc32)nc(C(C)C)[nH+]1 ZINC000053674396 1120246986 /nfs/dbraw/zinc/24/69/86/1120246986.db2.gz BTNRVVXMJRXTIB-CQSZACIVSA-N 1 2 283.375 3.844 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(CNc2cc3cc[nH]c3c[nH+]2)o1 ZINC000672168196 1120249110 /nfs/dbraw/zinc/24/91/10/1120249110.db2.gz AJXSJMBKSUCCFK-ZWNOBZJWSA-N 1 2 267.332 3.891 20 0 CHADLO CC(C)c1nnc2n1CC[N@@H+](CCC1=CCCCC1)[C@@H]2C ZINC000450834284 1120252699 /nfs/dbraw/zinc/25/26/99/1120252699.db2.gz ZELQOQQJVYEYMY-CQSZACIVSA-N 1 2 288.439 3.669 20 0 CHADLO CC(C)c1nnc2n1CC[N@H+](CCC1=CCCCC1)[C@@H]2C ZINC000450834284 1120252702 /nfs/dbraw/zinc/25/27/02/1120252702.db2.gz ZELQOQQJVYEYMY-CQSZACIVSA-N 1 2 288.439 3.669 20 0 CHADLO CCc1nc(N2[C@H](C)C[C@@H]3CCCC[C@H]32)cc(C)[nH+]1 ZINC000672224367 1120258101 /nfs/dbraw/zinc/25/81/01/1120258101.db2.gz SJWQIEHLMISWNV-HZSPNIEDSA-N 1 2 259.397 3.505 20 0 CHADLO CN(CCOCC1CC1)c1cc[nH+]c2c(Cl)cccc12 ZINC000672234564 1120262106 /nfs/dbraw/zinc/26/21/06/1120262106.db2.gz IMMJASSOIGXRRZ-UHFFFAOYSA-N 1 2 290.794 3.751 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1cc(C(=O)OC)cc2[nH+]c[nH]c21 ZINC001168691370 1120263516 /nfs/dbraw/zinc/26/35/16/1120263516.db2.gz UQSCXHOQFYALJY-GHMZBOCLSA-N 1 2 289.379 3.586 20 0 CHADLO c1cc2cc(NCc3cccc4cc[nH]c43)[nH+]cc2[nH]1 ZINC000672262318 1120270163 /nfs/dbraw/zinc/27/01/63/1120270163.db2.gz SZEMULYBORBLEN-UHFFFAOYSA-N 1 2 262.316 3.656 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+]Cc1cccc2ccccc21 ZINC000180329592 1120271857 /nfs/dbraw/zinc/27/18/57/1120271857.db2.gz AAEZOFUVZJKASM-GFCCVEGCSA-N 1 2 265.360 3.722 20 0 CHADLO C[C@@H](CC(=O)N1CCC(C)(C)CC(C)(C)C1)n1cc[nH+]c1 ZINC000618281391 1128903841 /nfs/dbraw/zinc/90/38/41/1128903841.db2.gz CYUTYMWQFGAFJY-AWEZNQCLSA-N 1 2 291.439 3.509 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@@H+]1Cc1coc(C)n1 ZINC000659904984 1120276409 /nfs/dbraw/zinc/27/64/09/1120276409.db2.gz RYHQSNQOKAAIDT-INIZCTEOSA-N 1 2 286.375 3.719 20 0 CHADLO COc1ccccc1[C@@H]1CCCC[N@H+]1Cc1coc(C)n1 ZINC000659904984 1120276410 /nfs/dbraw/zinc/27/64/10/1120276410.db2.gz RYHQSNQOKAAIDT-INIZCTEOSA-N 1 2 286.375 3.719 20 0 CHADLO CC[N@H+](CCc1nccs1)Cc1cccc(Cl)c1O ZINC000180539740 1120277672 /nfs/dbraw/zinc/27/76/72/1120277672.db2.gz NHMGDWPKSYCPDA-UHFFFAOYSA-N 1 2 296.823 3.567 20 0 CHADLO CC[N@@H+](CCc1nccs1)Cc1cccc(Cl)c1O ZINC000180539740 1120277675 /nfs/dbraw/zinc/27/76/75/1120277675.db2.gz NHMGDWPKSYCPDA-UHFFFAOYSA-N 1 2 296.823 3.567 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ncc(C)s1)c1nc(C)cs1 ZINC000180598019 1120279147 /nfs/dbraw/zinc/27/91/47/1120279147.db2.gz KZPKJGSCNBSUSW-CYBMUJFWSA-N 1 2 281.450 3.631 20 0 CHADLO Oc1ccc([C@H]2CCC[N@@H+]2C/C(Cl)=C/Cl)cc1 ZINC000763499908 1128904301 /nfs/dbraw/zinc/90/43/01/1128904301.db2.gz JGELWRKLLORGHZ-BIRHUZIMSA-N 1 2 272.175 3.848 20 0 CHADLO Oc1ccc([C@H]2CCC[N@H+]2C/C(Cl)=C/Cl)cc1 ZINC000763499908 1128904302 /nfs/dbraw/zinc/90/43/02/1128904302.db2.gz JGELWRKLLORGHZ-BIRHUZIMSA-N 1 2 272.175 3.848 20 0 CHADLO Oc1ccc([C@@H]2CCC[N@@H+]2C/C(Cl)=C/Cl)cc1 ZINC000763499916 1128904467 /nfs/dbraw/zinc/90/44/67/1128904467.db2.gz JGELWRKLLORGHZ-ZWXCPPHNSA-N 1 2 272.175 3.848 20 0 CHADLO Oc1ccc([C@@H]2CCC[N@H+]2C/C(Cl)=C/Cl)cc1 ZINC000763499916 1128904469 /nfs/dbraw/zinc/90/44/69/1128904469.db2.gz JGELWRKLLORGHZ-ZWXCPPHNSA-N 1 2 272.175 3.848 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ncc[nH]1)c1ccc(Cl)cc1F ZINC000181009303 1120286660 /nfs/dbraw/zinc/28/66/60/1120286660.db2.gz LJYDJSHUIXZWGW-AWEZNQCLSA-N 1 2 281.762 3.689 20 0 CHADLO Clc1cccc2c(N3CCC[C@H]4C[C@H]43)cc[nH+]c12 ZINC000672323881 1120290286 /nfs/dbraw/zinc/29/02/86/1120290286.db2.gz VTQHYNUJJOXNIN-IINYFYTJSA-N 1 2 258.752 3.877 20 0 CHADLO Cc1nnc(C[NH2+][C@@H](c2ccccc2F)C2CCCC2)o1 ZINC000181496054 1120297418 /nfs/dbraw/zinc/29/74/18/1120297418.db2.gz WKXQYFVARGJXLK-MRXNPFEDSA-N 1 2 289.354 3.538 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2c(O)ccc(Cl)c2F)o1 ZINC000191175492 1128907355 /nfs/dbraw/zinc/90/73/55/1128907355.db2.gz LNZWCHXPCMIOMZ-UHFFFAOYSA-N 1 2 283.730 3.718 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2c(O)ccc(Cl)c2F)o1 ZINC000191175492 1128907357 /nfs/dbraw/zinc/90/73/57/1128907357.db2.gz LNZWCHXPCMIOMZ-UHFFFAOYSA-N 1 2 283.730 3.718 20 0 CHADLO Cc1ccc(C)c(N(C(=O)CCCn2cc[nH+]c2)C(C)C)c1 ZINC000590436109 1120330680 /nfs/dbraw/zinc/33/06/80/1120330680.db2.gz CPNPUVCQGCNDAP-UHFFFAOYSA-N 1 2 299.418 3.722 20 0 CHADLO C[NH2+]c1ccc(NCc2cnc(C3CC3)s2)cc1 ZINC000629387826 1128908782 /nfs/dbraw/zinc/90/87/82/1128908782.db2.gz GCPXGMPTLBEHEW-UHFFFAOYSA-N 1 2 259.378 3.674 20 0 CHADLO CC(C)([NH2+]Cc1cc2ccccc2o1)c1nccs1 ZINC000116380508 1120332844 /nfs/dbraw/zinc/33/28/44/1120332844.db2.gz OUAGNKWAVOCWCT-UHFFFAOYSA-N 1 2 272.373 3.914 20 0 CHADLO COc1cc2c(cc1F)CC[C@@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000672621910 1120336464 /nfs/dbraw/zinc/33/64/64/1120336464.db2.gz NUINDYFDLVWMCK-GXTWGEPZSA-N 1 2 285.309 3.599 20 0 CHADLO Fc1ccc2c(c1)CCC[C@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000672621973 1120336613 /nfs/dbraw/zinc/33/66/13/1120336613.db2.gz PTHDOFYSDVIIPF-ZIAGYGMSSA-N 1 2 269.310 3.981 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H]2CCCC2(F)F)c(C)c1OC ZINC000672621955 1120336892 /nfs/dbraw/zinc/33/68/92/1120336892.db2.gz PGXFJJWGMNYZMV-FZMZJTMJSA-N 1 2 299.361 3.851 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191227028 1128910160 /nfs/dbraw/zinc/91/01/60/1128910160.db2.gz ZRLZVYAQKBCITL-SECBINFHSA-N 1 2 283.730 3.971 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1c(O)ccc(Cl)c1F ZINC000191227028 1128910165 /nfs/dbraw/zinc/91/01/65/1128910165.db2.gz ZRLZVYAQKBCITL-SECBINFHSA-N 1 2 283.730 3.971 20 0 CHADLO COC1(CCNc2[nH+]c3ccccc3cc2C)CCC1 ZINC000312415501 1120360983 /nfs/dbraw/zinc/36/09/83/1120360983.db2.gz OAWYJUDKCYLVBY-UHFFFAOYSA-N 1 2 270.376 3.914 20 0 CHADLO c1[nH+]cn2c1c(Nc1ccccc1)nc1ccccc12 ZINC000013441469 1120365105 /nfs/dbraw/zinc/36/51/05/1120365105.db2.gz WKJCHOQQNKWMOD-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO COc1c(F)ccc(Nc2cc(C)cc(C)[nH+]2)c1F ZINC001212493297 1120384002 /nfs/dbraw/zinc/38/40/02/1120384002.db2.gz YMLHBFRGDOAYSV-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO FC(F)Oc1cccc(Cl)c1CNc1cccc[nH+]1 ZINC000157421887 1120396296 /nfs/dbraw/zinc/39/62/96/1120396296.db2.gz UOBRHKWTIANFGG-UHFFFAOYSA-N 1 2 284.693 3.949 20 0 CHADLO Cc1nc(C[N@H+]2[C@H](C)c3ccc(F)cc3C[C@@H]2C)c(C)o1 ZINC000660163386 1120407476 /nfs/dbraw/zinc/40/74/76/1120407476.db2.gz GPIDZWGDRRVMQI-WDEREUQCSA-N 1 2 288.366 3.938 20 0 CHADLO Cc1nc(C[N@@H+]2[C@H](C)c3ccc(F)cc3C[C@@H]2C)c(C)o1 ZINC000660163386 1120407479 /nfs/dbraw/zinc/40/74/79/1120407479.db2.gz GPIDZWGDRRVMQI-WDEREUQCSA-N 1 2 288.366 3.938 20 0 CHADLO CC[C@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@H]1CCOC1 ZINC000312420664 1120409494 /nfs/dbraw/zinc/40/94/94/1120409494.db2.gz YMPUCZPPTBTGAQ-PBHICJAKSA-N 1 2 274.408 3.514 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCCC2)cc1)[C@@H]1CCOC1 ZINC000312420664 1120409497 /nfs/dbraw/zinc/40/94/97/1120409497.db2.gz YMPUCZPPTBTGAQ-PBHICJAKSA-N 1 2 274.408 3.514 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cccc(C(F)(F)F)c2)co1 ZINC000660173893 1120413783 /nfs/dbraw/zinc/41/37/83/1120413783.db2.gz CLSIPUZCYCAIDF-VIFPVBQESA-N 1 2 284.281 3.853 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2c(F)cccc2Cl)nc(C)n1 ZINC000660200719 1120437278 /nfs/dbraw/zinc/43/72/78/1120437278.db2.gz JGAPHAFPGDBJDJ-SNVBAGLBSA-N 1 2 293.773 3.737 20 0 CHADLO Cc1cc([NH2+][C@H](C)CCCC(C)(C)O)ccc1N(C)C ZINC001168709689 1120452946 /nfs/dbraw/zinc/45/29/46/1120452946.db2.gz YDAGJSHKSLZSLP-CQSZACIVSA-N 1 2 278.440 3.803 20 0 CHADLO Cc1cc(N[C@H](C)CCCC(C)(C)O)ccc1[NH+](C)C ZINC001168709689 1120452951 /nfs/dbraw/zinc/45/29/51/1120452951.db2.gz YDAGJSHKSLZSLP-CQSZACIVSA-N 1 2 278.440 3.803 20 0 CHADLO C[NH+](C)[C@H](c1nc(CC2(C)CCCC2)no1)c1ccccc1 ZINC000584868213 1120473073 /nfs/dbraw/zinc/47/30/73/1120473073.db2.gz YSENZNVDAXULBT-INIZCTEOSA-N 1 2 299.418 3.843 20 0 CHADLO CCn1cncc1C[N@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000417700907 1120474076 /nfs/dbraw/zinc/47/40/76/1120474076.db2.gz LVASCBLXLZEZHX-CXAGYDPISA-N 1 2 299.418 3.784 20 0 CHADLO CCn1cncc1C[N@@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000417700907 1120474079 /nfs/dbraw/zinc/47/40/79/1120474079.db2.gz LVASCBLXLZEZHX-CXAGYDPISA-N 1 2 299.418 3.784 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2csc(-c3ccccc3)n2)C1 ZINC001141209847 1120476984 /nfs/dbraw/zinc/47/69/84/1120476984.db2.gz KBYHETAVQAVIKD-WFASDCNBSA-N 1 2 290.407 3.990 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2csc(-c3ccccc3)n2)C1 ZINC001141209847 1120476986 /nfs/dbraw/zinc/47/69/86/1120476986.db2.gz KBYHETAVQAVIKD-WFASDCNBSA-N 1 2 290.407 3.990 20 0 CHADLO Cc1ccc([C@@H](OCCn2cc[nH+]c2)C(F)(F)F)cc1 ZINC001222134755 1120491813 /nfs/dbraw/zinc/49/18/13/1120491813.db2.gz NBURCXUNYBCORL-CYBMUJFWSA-N 1 2 284.281 3.512 20 0 CHADLO Fc1ccc([C@@H]2CC[N@@H+]2Cc2cnc(C3CC3)o2)cc1 ZINC000930970142 1120492012 /nfs/dbraw/zinc/49/20/12/1120492012.db2.gz WCZLMQAKDDMZRW-HNNXBMFYSA-N 1 2 272.323 3.638 20 0 CHADLO Fc1ccc([C@@H]2CC[N@H+]2Cc2cnc(C3CC3)o2)cc1 ZINC000930970142 1120492015 /nfs/dbraw/zinc/49/20/15/1120492015.db2.gz WCZLMQAKDDMZRW-HNNXBMFYSA-N 1 2 272.323 3.638 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000053425401 1120494681 /nfs/dbraw/zinc/49/46/81/1120494681.db2.gz IVHBLCKKYMMKMR-IINYFYTJSA-N 1 2 274.364 3.640 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000053425401 1120494683 /nfs/dbraw/zinc/49/46/83/1120494683.db2.gz IVHBLCKKYMMKMR-IINYFYTJSA-N 1 2 274.364 3.640 20 0 CHADLO COc1cc(C)cc(OC)c1C[N@H+](C)Cc1ccsc1 ZINC001143142474 1120499440 /nfs/dbraw/zinc/49/94/40/1120499440.db2.gz DNLRCJFZDYDSRU-UHFFFAOYSA-N 1 2 291.416 3.706 20 0 CHADLO COc1cc(C)cc(OC)c1C[N@@H+](C)Cc1ccsc1 ZINC001143142474 1120499445 /nfs/dbraw/zinc/49/94/45/1120499445.db2.gz DNLRCJFZDYDSRU-UHFFFAOYSA-N 1 2 291.416 3.706 20 0 CHADLO C[NH+](C)c1ccc(NCc2nccn2-c2ccccc2)cc1 ZINC000191368654 1128920529 /nfs/dbraw/zinc/92/05/29/1128920529.db2.gz IUEUCGANSLBHCU-UHFFFAOYSA-N 1 2 292.386 3.550 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1ccnn1C1CCC1 ZINC000922336562 1120520225 /nfs/dbraw/zinc/52/02/25/1120520225.db2.gz ZDOUEVFZVRBLPM-MSOLQXFVSA-N 1 2 299.393 3.723 20 0 CHADLO c1cc(C[NH2+]Cc2nsc3ccccc32)n(C2CCC2)n1 ZINC000922339636 1120520507 /nfs/dbraw/zinc/52/05/07/1120520507.db2.gz USWIYGJDZBWAHA-UHFFFAOYSA-N 1 2 298.415 3.508 20 0 CHADLO CCOc1ccc([C@H](C)[NH2+][C@@H]2CCCC2(F)F)cc1 ZINC000397969928 1120538301 /nfs/dbraw/zinc/53/83/01/1120538301.db2.gz ARRHEGCAIZJVGD-SMDDNHRTSA-N 1 2 269.335 3.924 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)cs1 ZINC000922521750 1120547230 /nfs/dbraw/zinc/54/72/30/1120547230.db2.gz MXAKKFHMRZBCPI-UKRRQHHQSA-N 1 2 277.364 3.618 20 0 CHADLO COc1cccc([C@H](C)[NH2+][C@H]2CCCC2(F)F)c1 ZINC000398001178 1120548437 /nfs/dbraw/zinc/54/84/37/1120548437.db2.gz FAFOZWYWPWFNHW-GWCFXTLKSA-N 1 2 255.308 3.534 20 0 CHADLO Fc1cc(C[NH2+][C@H](c2ncccn2)C2CC2)ccc1Cl ZINC000922582361 1120556102 /nfs/dbraw/zinc/55/61/02/1120556102.db2.gz ZZELCEPLCTUTAQ-AWEZNQCLSA-N 1 2 291.757 3.510 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)[C@@H](C)c2ccccc2)c1 ZINC000652068724 1120578013 /nfs/dbraw/zinc/57/80/13/1120578013.db2.gz MUGPTZBJMRDKGG-KGLIPLIRSA-N 1 2 270.376 3.832 20 0 CHADLO COc1cc(C[NH2+][C@H]2CCCC2(F)F)ccc1SC ZINC000673618610 1120603238 /nfs/dbraw/zinc/60/32/38/1120603238.db2.gz VAVGVSBPNOAOKV-ZDUSSCGKSA-N 1 2 287.375 3.695 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCn2cccc2[C@@H]1C ZINC000338891685 1120624650 /nfs/dbraw/zinc/62/46/50/1120624650.db2.gz HFLXXJYNJKRZPE-HNNXBMFYSA-N 1 2 283.419 3.892 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCn2cccc2[C@@H]1C ZINC000338891685 1120624653 /nfs/dbraw/zinc/62/46/53/1120624653.db2.gz HFLXXJYNJKRZPE-HNNXBMFYSA-N 1 2 283.419 3.892 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2[C@@H]3c4ccccc4C[C@H]23)c(C)[nH+]1 ZINC000636581556 1120645174 /nfs/dbraw/zinc/64/51/74/1120645174.db2.gz FESIVHVOXZDFFM-GVDBMIGSSA-N 1 2 292.382 3.531 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(C(F)F)o2)c(C)[nH+]1 ZINC000636582009 1120646400 /nfs/dbraw/zinc/64/64/00/1120646400.db2.gz RCIODLHLVMFRFO-UHFFFAOYSA-N 1 2 280.274 3.790 20 0 CHADLO CCCCc1noc(C[N@@H+]2Cc3ccccc3C[C@@H]2CC)n1 ZINC000466932530 1120647303 /nfs/dbraw/zinc/64/73/03/1120647303.db2.gz JXWATSZGCMBXER-INIZCTEOSA-N 1 2 299.418 3.749 20 0 CHADLO CCCCc1noc(C[N@H+]2Cc3ccccc3C[C@@H]2CC)n1 ZINC000466932530 1120647305 /nfs/dbraw/zinc/64/73/05/1120647305.db2.gz JXWATSZGCMBXER-INIZCTEOSA-N 1 2 299.418 3.749 20 0 CHADLO CC(C)C[C@H]1CCC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625228582 1120651362 /nfs/dbraw/zinc/65/13/62/1120651362.db2.gz SROUVDJAQZHVHX-CYBMUJFWSA-N 1 2 277.412 3.595 20 0 CHADLO CC(C)C[C@H]1CCC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000625228582 1120651364 /nfs/dbraw/zinc/65/13/64/1120651364.db2.gz SROUVDJAQZHVHX-CYBMUJFWSA-N 1 2 277.412 3.595 20 0 CHADLO CCC(CC)[N@H+](CC)Cc1ncsc1Br ZINC000660560448 1120658087 /nfs/dbraw/zinc/65/80/87/1120658087.db2.gz KVBLSGDCMCBBLU-UHFFFAOYSA-N 1 2 291.258 3.916 20 0 CHADLO CCC(CC)[N@@H+](CC)Cc1ncsc1Br ZINC000660560448 1120658091 /nfs/dbraw/zinc/65/80/91/1120658091.db2.gz KVBLSGDCMCBBLU-UHFFFAOYSA-N 1 2 291.258 3.916 20 0 CHADLO C[C@H](c1ccc(Cl)c(Cl)c1)N1CCn2c[nH+]cc2C1 ZINC000660577050 1120664227 /nfs/dbraw/zinc/66/42/27/1120664227.db2.gz DCLACIHUYWTCFZ-SNVBAGLBSA-N 1 2 296.201 3.767 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1cc(O)ccc1Cl ZINC000625304342 1120666450 /nfs/dbraw/zinc/66/64/50/1120666450.db2.gz VXPCUWHKQNOQBB-MRVPVSSYSA-N 1 2 280.755 3.501 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cccc(C)c2C)c(C)o1 ZINC000660608604 1120667826 /nfs/dbraw/zinc/66/78/26/1120667826.db2.gz PDLHEZSEPHOTGU-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cccc(C)c2C)c(C)o1 ZINC000660608604 1120667828 /nfs/dbraw/zinc/66/78/28/1120667828.db2.gz PDLHEZSEPHOTGU-UHFFFAOYSA-N 1 2 258.365 3.540 20 0 CHADLO CC[C@H]1C[N@H+](CC(F)F)CC[C@@H]1c1ccccc1 ZINC000673755222 1120668734 /nfs/dbraw/zinc/66/87/34/1120668734.db2.gz XSTZVSVTNPJZTA-JSGCOSHPSA-N 1 2 253.336 3.767 20 0 CHADLO CC[C@H]1C[N@@H+](CC(F)F)CC[C@@H]1c1ccccc1 ZINC000673755222 1120668739 /nfs/dbraw/zinc/66/87/39/1120668739.db2.gz XSTZVSVTNPJZTA-JSGCOSHPSA-N 1 2 253.336 3.767 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccncc2Cl)Cc2ccccc2O1 ZINC000429135914 1120672838 /nfs/dbraw/zinc/67/28/38/1120672838.db2.gz OMTCRFRZEHYPPK-LBPRGKRZSA-N 1 2 288.778 3.518 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccncc2Cl)Cc2ccccc2O1 ZINC000429135914 1120672840 /nfs/dbraw/zinc/67/28/40/1120672840.db2.gz OMTCRFRZEHYPPK-LBPRGKRZSA-N 1 2 288.778 3.518 20 0 CHADLO Fc1ccc2c[nH+]c(NC(C3CC3)C3CC3)cc2c1 ZINC001168742172 1120673730 /nfs/dbraw/zinc/67/37/30/1120673730.db2.gz DEJSEJZSISTAEV-UHFFFAOYSA-N 1 2 256.324 3.974 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C[N@H+](C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660768694 1120685873 /nfs/dbraw/zinc/68/58/73/1120685873.db2.gz UBIBXKOIWGLDHC-OIISXLGYSA-N 1 2 298.434 3.652 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C[N@@H+](C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000660768694 1120685876 /nfs/dbraw/zinc/68/58/76/1120685876.db2.gz UBIBXKOIWGLDHC-OIISXLGYSA-N 1 2 298.434 3.652 20 0 CHADLO Cc1nc(C[NH2+]C2(c3cccc(F)c3)CCC2)c(C)o1 ZINC000660899713 1120697778 /nfs/dbraw/zinc/69/77/78/1120697778.db2.gz MEYFTQLNKPFZAY-UHFFFAOYSA-N 1 2 274.339 3.600 20 0 CHADLO CCOC1CCC(Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000657961642 1120701634 /nfs/dbraw/zinc/70/16/34/1120701634.db2.gz SLYGKOLGSFMDFE-UHFFFAOYSA-N 1 2 285.391 3.632 20 0 CHADLO Fc1cc(N[C@@H]2CCCSCC2)ccc1-n1cc[nH+]c1 ZINC000657960574 1120701820 /nfs/dbraw/zinc/70/18/20/1120701820.db2.gz VQVNOEFKUVBPDS-GFCCVEGCSA-N 1 2 291.395 3.709 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1Cl)[N@@H+]1C[C@@H]2CCCC[C@@H]21 ZINC000660929213 1120702233 /nfs/dbraw/zinc/70/22/33/1120702233.db2.gz WCXBUVXPHKLNRR-XUJVJEKNSA-N 1 2 292.810 3.541 20 0 CHADLO C[C@H](C(=O)Nc1ccccc1Cl)[N@H+]1C[C@@H]2CCCC[C@@H]21 ZINC000660929213 1120702234 /nfs/dbraw/zinc/70/22/34/1120702234.db2.gz WCXBUVXPHKLNRR-XUJVJEKNSA-N 1 2 292.810 3.541 20 0 CHADLO C[C@@H](c1ccc(C[N@H+](C)Cc2cnc[nH]2)cc1)C(F)(F)F ZINC000661091543 1120716387 /nfs/dbraw/zinc/71/63/87/1120716387.db2.gz ZJYCLLLDIRLXJU-NSHDSACASA-N 1 2 297.324 3.708 20 0 CHADLO C[C@@H](c1ccc(C[N@@H+](C)Cc2cnc[nH]2)cc1)C(F)(F)F ZINC000661091543 1120716393 /nfs/dbraw/zinc/71/63/93/1120716393.db2.gz ZJYCLLLDIRLXJU-NSHDSACASA-N 1 2 297.324 3.708 20 0 CHADLO C[C@@H](c1ccc(C[N@H+](C)Cc2c[nH]cn2)cc1)C(F)(F)F ZINC000661091543 1120716400 /nfs/dbraw/zinc/71/64/00/1120716400.db2.gz ZJYCLLLDIRLXJU-NSHDSACASA-N 1 2 297.324 3.708 20 0 CHADLO C[C@@H](c1ccc(C[N@@H+](C)Cc2c[nH]cn2)cc1)C(F)(F)F ZINC000661091543 1120716405 /nfs/dbraw/zinc/71/64/05/1120716405.db2.gz ZJYCLLLDIRLXJU-NSHDSACASA-N 1 2 297.324 3.708 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cc3cnccc3o2)cs1 ZINC000651716864 1120721815 /nfs/dbraw/zinc/72/18/15/1120721815.db2.gz KZQAZTRFOPWQTK-SNVBAGLBSA-N 1 2 287.388 3.698 20 0 CHADLO COc1cccc(C[NH2+][C@@H](C)c2c(F)cccc2F)c1F ZINC000800223886 1120728253 /nfs/dbraw/zinc/72/82/53/1120728253.db2.gz JWXIPAFQVHWGHI-JTQLQIEISA-N 1 2 295.304 3.963 20 0 CHADLO CC(C)c1[nH+]c(CN[C@H]2CCC(F)(F)C2)c2ccccn21 ZINC000651737965 1120732627 /nfs/dbraw/zinc/73/26/27/1120732627.db2.gz WXLGFERJEJLUOJ-LBPRGKRZSA-N 1 2 293.361 3.735 20 0 CHADLO Cc1ncc(C[NH2+][C@H]2CCc3ccc(F)c(Cl)c32)o1 ZINC000651829532 1120757023 /nfs/dbraw/zinc/75/70/23/1120757023.db2.gz FNHIQCPOKIHVPP-LBPRGKRZSA-N 1 2 280.730 3.553 20 0 CHADLO Cc1ncc(C[NH2+][C@@H]2CCc3ccc(F)c(Cl)c32)o1 ZINC000651829531 1120757164 /nfs/dbraw/zinc/75/71/64/1120757164.db2.gz FNHIQCPOKIHVPP-GFCCVEGCSA-N 1 2 280.730 3.553 20 0 CHADLO Cc1noc([C@H](C)[N@@H+]2CCc3ccc(C(C)C)cc3C2)n1 ZINC000661375208 1120759178 /nfs/dbraw/zinc/75/91/78/1120759178.db2.gz VOYGZONPMHGGOF-LBPRGKRZSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1noc([C@H](C)[N@H+]2CCc3ccc(C(C)C)cc3C2)n1 ZINC000661375208 1120759183 /nfs/dbraw/zinc/75/91/83/1120759183.db2.gz VOYGZONPMHGGOF-LBPRGKRZSA-N 1 2 285.391 3.621 20 0 CHADLO CC1(C[N@@H+]2CCC[C@H]2c2nccc(C(F)(F)F)n2)CCC1 ZINC000661534365 1120775584 /nfs/dbraw/zinc/77/55/84/1120775584.db2.gz FUROPBZBWBVMMY-NSHDSACASA-N 1 2 299.340 3.823 20 0 CHADLO CC1(C[N@H+]2CCC[C@H]2c2nccc(C(F)(F)F)n2)CCC1 ZINC000661534365 1120775585 /nfs/dbraw/zinc/77/55/85/1120775585.db2.gz FUROPBZBWBVMMY-NSHDSACASA-N 1 2 299.340 3.823 20 0 CHADLO Cc1ccc(C)c(NC(=O)c2cccc(-n3cc[nH+]c3)c2)c1 ZINC000117559137 1120805926 /nfs/dbraw/zinc/80/59/26/1120805926.db2.gz OSKZIFDCVMXJCH-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CC[C@H](C[N@@H+]1CCO[C@H](c2cccc(Cl)c2)[C@H]1C)OC ZINC000661798167 1120816439 /nfs/dbraw/zinc/81/64/39/1120816439.db2.gz LHHJQIZGRFXBHG-WQVCFCJDSA-N 1 2 297.826 3.527 20 0 CHADLO CC[C@H](C[N@H+]1CCO[C@H](c2cccc(Cl)c2)[C@H]1C)OC ZINC000661798167 1120816444 /nfs/dbraw/zinc/81/64/44/1120816444.db2.gz LHHJQIZGRFXBHG-WQVCFCJDSA-N 1 2 297.826 3.527 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccccc2C)c1 ZINC000652330824 1120828657 /nfs/dbraw/zinc/82/86/57/1120828657.db2.gz QKDMLELUXCLXLK-CYBMUJFWSA-N 1 2 256.349 3.710 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCc3c2cccc3C)c1 ZINC000652330686 1120828677 /nfs/dbraw/zinc/82/86/77/1120828677.db2.gz MQZARAXPCNWARR-MRXNPFEDSA-N 1 2 268.360 3.636 20 0 CHADLO Cc1sc(Cn2cc[nH+]c2)nc1-c1ccc2c(c1)CCC2 ZINC000663218562 1120892526 /nfs/dbraw/zinc/89/25/26/1120892526.db2.gz AKOSQPMIXTWIAL-UHFFFAOYSA-N 1 2 295.411 3.852 20 0 CHADLO Cc1cc(C)cc([C@@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)c1 ZINC000336379730 1120897367 /nfs/dbraw/zinc/89/73/67/1120897367.db2.gz DOXUPUPTFGOFJE-CJNGLKHVSA-N 1 2 269.392 3.755 20 0 CHADLO FC(F)(F)C[C@H]1C[N@H+](Cc2ccccc2C2CC2)CCO1 ZINC000662223194 1120905567 /nfs/dbraw/zinc/90/55/67/1120905567.db2.gz NJNYDEVGSMPBBI-AWEZNQCLSA-N 1 2 299.336 3.717 20 0 CHADLO FC(F)(F)C[C@H]1C[N@@H+](Cc2ccccc2C2CC2)CCO1 ZINC000662223194 1120905570 /nfs/dbraw/zinc/90/55/70/1120905570.db2.gz NJNYDEVGSMPBBI-AWEZNQCLSA-N 1 2 299.336 3.717 20 0 CHADLO CC1(C)C[C@]1([NH2+]Cc1ncc(C2CC2)o1)c1ccccc1 ZINC000662343966 1120927967 /nfs/dbraw/zinc/92/79/67/1120927967.db2.gz WAFDDDPFSQJGAQ-SFHVURJKSA-N 1 2 282.387 3.967 20 0 CHADLO C[C@]1(C(F)F)C[N@@H+]([C@@H]2CCCc3ccc(F)cc32)CCO1 ZINC000662370984 1120931641 /nfs/dbraw/zinc/93/16/41/1120931641.db2.gz GAWKQVGBTITTJZ-GDBMZVCRSA-N 1 2 299.336 3.559 20 0 CHADLO C[C@]1(C(F)F)C[N@H+]([C@@H]2CCCc3ccc(F)cc32)CCO1 ZINC000662370984 1120931643 /nfs/dbraw/zinc/93/16/43/1120931643.db2.gz GAWKQVGBTITTJZ-GDBMZVCRSA-N 1 2 299.336 3.559 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@H+](Cc2nc(C(C)(C)C)co2)C1 ZINC000893571107 1120935649 /nfs/dbraw/zinc/93/56/49/1120935649.db2.gz JOOJBSRQIPAHIH-ZFWWWQNUSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2nc(C(C)(C)C)co2)C1 ZINC000893571107 1120935652 /nfs/dbraw/zinc/93/56/52/1120935652.db2.gz JOOJBSRQIPAHIH-ZFWWWQNUSA-N 1 2 299.418 3.950 20 0 CHADLO CCOc1cc(Nc2ccc(C)c(O)c2)cc(C)[nH+]1 ZINC001211693473 1120936953 /nfs/dbraw/zinc/93/69/53/1120936953.db2.gz WXXUEUNADIZPAU-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO CC[C@H]1C[C@@H](Nc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC000078608898 1128950907 /nfs/dbraw/zinc/95/09/07/1128950907.db2.gz SRNNYUGQZUSTMT-RDJZCZTQSA-N 1 2 274.408 3.656 20 0 CHADLO CC[C@H]1C[C@@H]([NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC000078608898 1128950909 /nfs/dbraw/zinc/95/09/09/1128950909.db2.gz SRNNYUGQZUSTMT-RDJZCZTQSA-N 1 2 274.408 3.656 20 0 CHADLO C[NH+](C)c1ccc(NCc2c(F)cccc2F)cc1 ZINC000057611167 1120997855 /nfs/dbraw/zinc/99/78/55/1120997855.db2.gz KZEMBJJUXFYUNT-UHFFFAOYSA-N 1 2 262.303 3.643 20 0 CHADLO CCn1cc(CNc2cc[nH+]c3c(Cl)cccc23)cn1 ZINC000343965265 1121002668 /nfs/dbraw/zinc/00/26/68/1121002668.db2.gz ROTLXEKFASQXOU-UHFFFAOYSA-N 1 2 286.766 3.717 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(F)(F)F)ccc1OC ZINC001174774557 1121027268 /nfs/dbraw/zinc/02/72/68/1121027268.db2.gz FIKCNNUPOGDDGC-UHFFFAOYSA-N 1 2 298.264 3.861 20 0 CHADLO c1ccc([C@H]2CC[N@H+]2Cc2noc3c2CCCC3)cc1 ZINC000674005828 1121032671 /nfs/dbraw/zinc/03/26/71/1121032671.db2.gz PXASMMAUYPZWOH-MRXNPFEDSA-N 1 2 268.360 3.500 20 0 CHADLO c1ccc([C@H]2CC[N@@H+]2Cc2noc3c2CCCC3)cc1 ZINC000674005828 1121032679 /nfs/dbraw/zinc/03/26/79/1121032679.db2.gz PXASMMAUYPZWOH-MRXNPFEDSA-N 1 2 268.360 3.500 20 0 CHADLO c1ccc([C@@H]2CC[N@H+]2Cc2noc3c2CCCC3)cc1 ZINC000674005826 1121034050 /nfs/dbraw/zinc/03/40/50/1121034050.db2.gz PXASMMAUYPZWOH-INIZCTEOSA-N 1 2 268.360 3.500 20 0 CHADLO c1ccc([C@@H]2CC[N@@H+]2Cc2noc3c2CCCC3)cc1 ZINC000674005826 1121034058 /nfs/dbraw/zinc/03/40/58/1121034058.db2.gz PXASMMAUYPZWOH-INIZCTEOSA-N 1 2 268.360 3.500 20 0 CHADLO Cc1c(C)n(-c2ccc([NH+](C)C)cc2)c2c1cccc2N ZINC001174884817 1121059302 /nfs/dbraw/zinc/05/93/02/1121059302.db2.gz YHKSGVLFMFFRMN-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO CNc1ccc(Nc2ccc3cc(C)ccc3n2)c[nH+]1 ZINC001203448940 1121067465 /nfs/dbraw/zinc/06/74/65/1121067465.db2.gz RRNXXPCZNZPQIJ-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO CCOc1cc(Nc2ccc(N(C)C)cc2)cc(C)[nH+]1 ZINC001174877585 1121078328 /nfs/dbraw/zinc/07/83/28/1121078328.db2.gz JLAUTRUXKJGNIZ-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CN(C)c1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1 ZINC001174880255 1121079380 /nfs/dbraw/zinc/07/93/80/1121079380.db2.gz IIFDCXFRVRXXEH-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO C[C@@H](c1ccc(F)c(Cl)c1)[NH+]1CCSCC1 ZINC000050070723 1121081682 /nfs/dbraw/zinc/08/16/82/1121081682.db2.gz LRNOMZFZTCBWCU-VIFPVBQESA-N 1 2 259.777 3.589 20 0 CHADLO CC(C)(C)OCc1ccc(Nc2[nH+]cccc2N)cc1 ZINC001174910706 1121091109 /nfs/dbraw/zinc/09/11/09/1121091109.db2.gz DKOSVSQSLXYRON-UHFFFAOYSA-N 1 2 271.364 3.723 20 0 CHADLO COCc1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1 ZINC001174920490 1121095416 /nfs/dbraw/zinc/09/54/16/1121095416.db2.gz YONJQWXDLAZFIB-UHFFFAOYSA-N 1 2 297.402 3.962 20 0 CHADLO COc1cccc2cc(C[NH2+][C@@H](C)c3cscn3)oc21 ZINC000700442276 1121101345 /nfs/dbraw/zinc/10/13/45/1121101345.db2.gz FNTDWRPRESQLQO-JTQLQIEISA-N 1 2 288.372 3.749 20 0 CHADLO Cc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1N ZINC001174935073 1121103729 /nfs/dbraw/zinc/10/37/29/1121103729.db2.gz JQEGUSIOZZQUFD-UHFFFAOYSA-N 1 2 278.359 3.566 20 0 CHADLO C[C@@H]1CCC[C@@H](NC(=O)c2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000344183671 1121112714 /nfs/dbraw/zinc/11/27/14/1121112714.db2.gz SJCBDKKUOSQBBB-GDBMZVCRSA-N 1 2 297.402 3.571 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc(F)c(C(F)F)c1 ZINC001203421698 1121118576 /nfs/dbraw/zinc/11/85/76/1121118576.db2.gz CBPSUNADILSTLG-UHFFFAOYSA-N 1 2 283.297 3.982 20 0 CHADLO COc1cccc(F)c1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001174990584 1121120021 /nfs/dbraw/zinc/12/00/21/1121120021.db2.gz AQIBIKWELQNFFH-UHFFFAOYSA-N 1 2 291.713 3.879 20 0 CHADLO CCN(Cc1ccc(-n2cc[nH+]c2)cc1)[C@@H](C)C(F)(F)F ZINC001174994890 1121123544 /nfs/dbraw/zinc/12/35/44/1121123544.db2.gz YKDPYHHWKLWJPU-LBPRGKRZSA-N 1 2 297.324 3.645 20 0 CHADLO c1nc(N2CCCCC2)cc(NCCC2=CCCCC2)[nH+]1 ZINC000244049378 1121129433 /nfs/dbraw/zinc/12/94/33/1121129433.db2.gz TUNIAOKWBIQTNJ-UHFFFAOYSA-N 1 2 286.423 3.769 20 0 CHADLO c1nc(NCCC2=CCCCC2)cc(N2CCCCC2)[nH+]1 ZINC000244049378 1121129435 /nfs/dbraw/zinc/12/94/35/1121129435.db2.gz TUNIAOKWBIQTNJ-UHFFFAOYSA-N 1 2 286.423 3.769 20 0 CHADLO COc1cc(F)cc(Nc2cccc3[nH+]c(C)cn32)c1 ZINC001175006187 1121130056 /nfs/dbraw/zinc/13/00/56/1121130056.db2.gz YGZUBIFANKBCRQ-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CC1CCC(=CC(=O)Nc2ccccc2-n2cc[nH+]c2)CC1 ZINC000261440549 1121133882 /nfs/dbraw/zinc/13/38/82/1121133882.db2.gz BOFSNXSRJNZPFX-UHFFFAOYSA-N 1 2 295.386 3.947 20 0 CHADLO Cc1cc(C)c(Nc2c(F)ccc(F)c2F)c[nH+]1 ZINC001175026409 1121136678 /nfs/dbraw/zinc/13/66/78/1121136678.db2.gz VHXTVKJSLXEQOU-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2[C@H](C)c2noc(C)n2)s1 ZINC000351517153 1121140713 /nfs/dbraw/zinc/14/07/13/1121140713.db2.gz IPTFRJSRCBFXRB-ZYHUDNBSSA-N 1 2 277.393 3.646 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2[C@H](C)c2noc(C)n2)s1 ZINC000351517153 1121140716 /nfs/dbraw/zinc/14/07/16/1121140716.db2.gz IPTFRJSRCBFXRB-ZYHUDNBSSA-N 1 2 277.393 3.646 20 0 CHADLO Oc1cccc2c1CCC[C@H]2[NH2+][C@H]1CCCC1(F)F ZINC000643317257 1121143786 /nfs/dbraw/zinc/14/37/86/1121143786.db2.gz ZSWSCVMOQXIDPN-OCCSQVGLSA-N 1 2 267.319 3.547 20 0 CHADLO CCOc1cc(Nc2cccc(CC)[nH+]2)ccc1F ZINC001175050666 1121160254 /nfs/dbraw/zinc/16/02/54/1121160254.db2.gz XDSIQJHBBIBFIV-UHFFFAOYSA-N 1 2 260.312 3.925 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2cccs2)nc(C)[nH+]1 ZINC000172711057 1121161381 /nfs/dbraw/zinc/16/13/81/1121161381.db2.gz KJPQDAKPTKMDBA-CYBMUJFWSA-N 1 2 273.405 3.750 20 0 CHADLO Cc1nc(N2Cc3ccccc3[C@@H]2C)c2c([nH+]1)CCCC2 ZINC000359723081 1121162731 /nfs/dbraw/zinc/16/27/31/1121162731.db2.gz CCWVZESGXHCYBL-LBPRGKRZSA-N 1 2 279.387 3.745 20 0 CHADLO Oc1cc(F)c(Nc2cccc3cc[nH+]cc32)c(F)c1 ZINC001175100387 1121165185 /nfs/dbraw/zinc/16/51/85/1121165185.db2.gz RJHNGSXIFPLZND-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO COc1cc(Nc2cc[nH+]c(SC)c2)cc(OC)c1 ZINC001175107345 1121167342 /nfs/dbraw/zinc/16/73/42/1121167342.db2.gz HGYGAVHRZNLFJK-UHFFFAOYSA-N 1 2 276.361 3.564 20 0 CHADLO COc1cc(C)c(Nc2ccn3cc[nH+]c3c2)cc1C ZINC001175109919 1121168711 /nfs/dbraw/zinc/16/87/11/1121168711.db2.gz LHUDNMRLVVTZKM-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CCOc1ccc(F)cc1Nc1cccc2[nH+]ccn21 ZINC001175078039 1121174268 /nfs/dbraw/zinc/17/42/68/1121174268.db2.gz ZRLNHWZFUWDVFC-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO Cc1cc(N[C@H](c2cnn(C)c2)C(C)(C)C)nc(C2CC2)[nH+]1 ZINC000674747260 1121174361 /nfs/dbraw/zinc/17/43/61/1121174361.db2.gz NKFBCOZRTRKJGG-OAHLLOKOSA-N 1 2 299.422 3.595 20 0 CHADLO Cc1ccc(-c2ncc(C[NH2+][C@@H](C)c3ccon3)s2)o1 ZINC000351580324 1121178594 /nfs/dbraw/zinc/17/85/94/1121178594.db2.gz LMTQNVJLSVPKQL-JTQLQIEISA-N 1 2 289.360 3.550 20 0 CHADLO C[C@H]1C[N@H+](CCC(=O)C(C)(C)C)C[C@H](c2ccsc2)O1 ZINC000753739496 1121183273 /nfs/dbraw/zinc/18/32/73/1121183273.db2.gz UIWKZNGQRQYVKH-GXTWGEPZSA-N 1 2 295.448 3.515 20 0 CHADLO C[C@H]1C[N@@H+](CCC(=O)C(C)(C)C)C[C@H](c2ccsc2)O1 ZINC000753739496 1121183277 /nfs/dbraw/zinc/18/32/77/1121183277.db2.gz UIWKZNGQRQYVKH-GXTWGEPZSA-N 1 2 295.448 3.515 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc4c(c3)CCCC4=O)ccc21 ZINC001213105011 1121184572 /nfs/dbraw/zinc/18/45/72/1121184572.db2.gz SGIVNTFZULKBST-UHFFFAOYSA-N 1 2 291.354 3.836 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CC=C(C(F)(F)F)CC1 ZINC000663749691 1121184773 /nfs/dbraw/zinc/18/47/73/1121184773.db2.gz ZBYDNDLGDFLEII-UHFFFAOYSA-N 1 2 293.292 3.516 20 0 CHADLO CCCc1nc(C[N@@H+]2C[C@H](C)CC[C@@H]2c2ccccc2)no1 ZINC000512197964 1121188122 /nfs/dbraw/zinc/18/81/22/1121188122.db2.gz RVDUOPDUAUEHHA-GDBMZVCRSA-N 1 2 299.418 3.995 20 0 CHADLO CCCc1nc(C[N@H+]2C[C@H](C)CC[C@@H]2c2ccccc2)no1 ZINC000512197964 1121188128 /nfs/dbraw/zinc/18/81/28/1121188128.db2.gz RVDUOPDUAUEHHA-GDBMZVCRSA-N 1 2 299.418 3.995 20 0 CHADLO CCN(C)c1ccc(Nc2c(F)ccc(OC)c2F)c[nH+]1 ZINC001175121503 1121190161 /nfs/dbraw/zinc/19/01/61/1121190161.db2.gz WOKJFIDNJRUKAS-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO CC[C@@H](NC(=O)c1c(C)cc(C)[nH+]c1C)C1CCCC1 ZINC001128865718 1121191559 /nfs/dbraw/zinc/19/15/59/1121191559.db2.gz ATHMFENOUOHMCY-OAHLLOKOSA-N 1 2 274.408 3.705 20 0 CHADLO CCOC(=O)Cc1cccc(Nc2[nH+]cccc2C2CC2)c1 ZINC001212525183 1121198357 /nfs/dbraw/zinc/19/83/57/1121198357.db2.gz VKPLRSZRHFZORL-UHFFFAOYSA-N 1 2 296.370 3.808 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)cc(F)cc1F ZINC001175144446 1121200579 /nfs/dbraw/zinc/20/05/79/1121200579.db2.gz QEUINAWNLNTXIA-UHFFFAOYSA-N 1 2 268.238 3.641 20 0 CHADLO Fc1ccc(F)c(C[NH2+][C@@H]2COc3c2cccc3Cl)c1 ZINC000675103384 1121216843 /nfs/dbraw/zinc/21/68/43/1121216843.db2.gz QTZMIEXEDABKRH-CQSZACIVSA-N 1 2 295.716 3.842 20 0 CHADLO CCCOCCNc1cc([NH+](CC)CC)ccc1C ZINC001167879172 1121217389 /nfs/dbraw/zinc/21/73/89/1121217389.db2.gz HFOSCILTZGXZJR-UHFFFAOYSA-N 1 2 264.413 3.680 20 0 CHADLO COc1ccc(CNc2ccc(C)[nH+]c2C)cc1C ZINC000094664163 1121218656 /nfs/dbraw/zinc/21/86/56/1121218656.db2.gz AWWWEVAUAIYTBH-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO CC(=O)c1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC001175229698 1121233626 /nfs/dbraw/zinc/23/36/26/1121233626.db2.gz AQTGTGZTNIQXIS-UHFFFAOYSA-N 1 2 295.317 3.958 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc(F)c1OCC ZINC001212534132 1121249752 /nfs/dbraw/zinc/24/97/52/1121249752.db2.gz AAFQZMDSQLNXOH-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO CSc1ccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)o1 ZINC000112280984 1121253016 /nfs/dbraw/zinc/25/30/16/1121253016.db2.gz RQRILCMEBYLMFK-UHFFFAOYSA-N 1 2 299.355 3.644 20 0 CHADLO CC(C)OC(=O)c1ccc(Nc2cccn3cc[nH+]c23)cc1 ZINC001175258324 1121264174 /nfs/dbraw/zinc/26/41/74/1121264174.db2.gz MRKBOGAOEBFGNG-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO CCOc1cc(Nc2c[nH+]c(C)cc2C)ccc1OC ZINC001212539919 1121268979 /nfs/dbraw/zinc/26/89/79/1121268979.db2.gz YEVBYVPUGRRVOJ-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2cccn3cc(C)[nH+]c23)c1 ZINC001175270240 1121271449 /nfs/dbraw/zinc/27/14/49/1121271449.db2.gz CUAYWGMKXIMHEW-UHFFFAOYSA-N 1 2 283.306 3.728 20 0 CHADLO Cc1cc(Nc2ccc(N3CCCC3)[nH+]c2)n(C(C)(C)C)n1 ZINC001175346329 1121273694 /nfs/dbraw/zinc/27/36/94/1121273694.db2.gz PZXWFVBSVMHDTN-UHFFFAOYSA-N 1 2 299.422 3.685 20 0 CHADLO Cc1ccc2cccnc2c1Nc1ccc(N(C)C)[nH+]c1 ZINC001175344062 1121273712 /nfs/dbraw/zinc/27/37/12/1121273712.db2.gz RVAPCZPERXFANC-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO CN(C)c1ccc(Nc2cccc3ccc(O)cc32)c[nH+]1 ZINC001175345628 1121274199 /nfs/dbraw/zinc/27/41/99/1121274199.db2.gz ZCTKYNNIFZXWQG-UHFFFAOYSA-N 1 2 279.343 3.750 20 0 CHADLO COc1cccc(Cl)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001175345600 1121274206 /nfs/dbraw/zinc/27/42/06/1121274206.db2.gz XXAMWJPXBKKWSS-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO CN(C)c1ccc(Nc2ccc3sncc3c2)c[nH+]1 ZINC001175345127 1121274269 /nfs/dbraw/zinc/27/42/69/1121274269.db2.gz LLGWCRZCHBTTEX-UHFFFAOYSA-N 1 2 270.361 3.501 20 0 CHADLO CN(C)c1ccc(Nc2ccc(C3CC3)cc2)c[nH+]1 ZINC001175344852 1121274497 /nfs/dbraw/zinc/27/44/97/1121274497.db2.gz JSOOMVIXHDIBCK-UHFFFAOYSA-N 1 2 253.349 3.769 20 0 CHADLO Cc1ccc(Nc2[nH+]c3ccccc3n2C)c(F)c1 ZINC001175320059 1121281677 /nfs/dbraw/zinc/28/16/77/1121281677.db2.gz AMHVXYULDVPGQU-UHFFFAOYSA-N 1 2 255.296 3.764 20 0 CHADLO CN(C)c1ccc(Nc2cc(C3CCCC3)no2)c[nH+]1 ZINC001175337276 1121289605 /nfs/dbraw/zinc/28/96/05/1121289605.db2.gz AJYHXUHGZRXBRY-UHFFFAOYSA-N 1 2 272.352 3.537 20 0 CHADLO CN(C)c1ccc(Nc2cc(C3CCCCC3)no2)c[nH+]1 ZINC001175338836 1121290617 /nfs/dbraw/zinc/29/06/17/1121290617.db2.gz NBMXSKPNUDKVLN-UHFFFAOYSA-N 1 2 286.379 3.927 20 0 CHADLO C[C@H](C1CC1)[N@H+](CC1CCOCC1)c1ccccc1 ZINC001175352795 1121293855 /nfs/dbraw/zinc/29/38/55/1121293855.db2.gz RVYPFVDNRRIEMI-CQSZACIVSA-N 1 2 259.393 3.718 20 0 CHADLO C[C@H](C1CC1)[N@@H+](CC1CCOCC1)c1ccccc1 ZINC001175352795 1121293863 /nfs/dbraw/zinc/29/38/63/1121293863.db2.gz RVYPFVDNRRIEMI-CQSZACIVSA-N 1 2 259.393 3.718 20 0 CHADLO CC(C)c1cncc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175351899 1121294369 /nfs/dbraw/zinc/29/43/69/1121294369.db2.gz XHKNUTXVEPEUHD-UHFFFAOYSA-N 1 2 282.391 3.944 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnc2cccnc2c1 ZINC001175356203 1121295091 /nfs/dbraw/zinc/29/50/91/1121295091.db2.gz NVSDDHCEHXQXTI-UHFFFAOYSA-N 1 2 264.332 3.639 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nccnc1C1CC1 ZINC001213489557 1121341356 /nfs/dbraw/zinc/34/13/56/1121341356.db2.gz RQFPFYZFYQEHEQ-UHFFFAOYSA-N 1 2 266.348 3.678 20 0 CHADLO Cn1cc2cccc(Nc3ccccc3-n3cc[nH+]c3)c2n1 ZINC001175457734 1121347149 /nfs/dbraw/zinc/34/71/49/1121347149.db2.gz CHWMIPZNEUMQAZ-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1cc(OCC2CC(F)(F)C2)c2ccccc2[nH+]1 ZINC000418962714 1121350021 /nfs/dbraw/zinc/35/00/21/1121350021.db2.gz LGRLSFWGKQNAKT-UHFFFAOYSA-N 1 2 263.287 3.967 20 0 CHADLO COC(=O)[C@@H]1CCCCC[N@@H+]1Cc1cccc(C(F)F)c1 ZINC000619273022 1128979565 /nfs/dbraw/zinc/97/95/65/1128979565.db2.gz XFYPDSJZEPFBQF-AWEZNQCLSA-N 1 2 297.345 3.542 20 0 CHADLO COC(=O)[C@@H]1CCCCC[N@H+]1Cc1cccc(C(F)F)c1 ZINC000619273022 1128979568 /nfs/dbraw/zinc/97/95/68/1128979568.db2.gz XFYPDSJZEPFBQF-AWEZNQCLSA-N 1 2 297.345 3.542 20 0 CHADLO CSc1cc(Nc2c3c[nH]nc3ccc2C)cc[nH+]1 ZINC001175522901 1121362272 /nfs/dbraw/zinc/36/22/72/1121362272.db2.gz ABQPAYUZYBCLNU-UHFFFAOYSA-N 1 2 270.361 3.732 20 0 CHADLO Cc1ccc2n[nH]cc2c1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001175523408 1121362721 /nfs/dbraw/zinc/36/27/21/1121362721.db2.gz JOPXTWCVBRADNC-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(OC(F)F)c(F)c1 ZINC000090208584 1121373945 /nfs/dbraw/zinc/37/39/45/1121373945.db2.gz TWTAWZYWBKNWSY-UHFFFAOYSA-N 1 2 299.296 3.817 20 0 CHADLO COc1cccc(/C=[NH+]/C[C@@H]2CCCc3ccccc32)c1O ZINC000028185403 1121375419 /nfs/dbraw/zinc/37/54/19/1121375419.db2.gz BRZVOUJMFCAPRS-NPRIEWAGSA-N 1 2 295.382 3.940 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc3nn(C)cc3c2)cc1 ZINC001175624826 1121400239 /nfs/dbraw/zinc/40/02/39/1121400239.db2.gz DTCURWLMCIGESO-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc3nn(C)cc3c2)cc1 ZINC001175624826 1121400247 /nfs/dbraw/zinc/40/02/47/1121400247.db2.gz DTCURWLMCIGESO-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCC1CCC(O)CC1 ZINC000227708118 1121405803 /nfs/dbraw/zinc/40/58/03/1121405803.db2.gz TXLMFCRQQZWTJU-UHFFFAOYSA-N 1 2 270.376 3.506 20 0 CHADLO CSc1ncc(Nc2ccc3c(C)cc[nH+]c3c2)cn1 ZINC001175649698 1121407808 /nfs/dbraw/zinc/40/78/08/1121407808.db2.gz KQDRXSMEASXUAT-UHFFFAOYSA-N 1 2 282.372 3.799 20 0 CHADLO Cn1cc2cc(Nc3ccccc3-n3cc[nH+]c3)ccc2n1 ZINC001175620166 1121414853 /nfs/dbraw/zinc/41/48/53/1121414853.db2.gz YJCJROFKHOLASZ-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1cn2cccc(Nc3ccc4[nH]nc(C)c4c3)c2[nH+]1 ZINC001175696102 1121416996 /nfs/dbraw/zinc/41/69/96/1121416996.db2.gz ROMULWHYSJJDKV-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1cn2cccc(Nc3ccc4n[nH]c(C)c4c3)c2[nH+]1 ZINC001175696102 1121417000 /nfs/dbraw/zinc/41/70/00/1121417000.db2.gz ROMULWHYSJJDKV-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO C[C@@H]1CC2(CCC2)CN1c1[nH+]ccc2c(N)cccc21 ZINC000663849174 1121423874 /nfs/dbraw/zinc/42/38/74/1121423874.db2.gz PRBRWEKMGFLPQU-GFCCVEGCSA-N 1 2 267.376 3.586 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(C)n[nH]c3c2)c1 ZINC001175661762 1121426194 /nfs/dbraw/zinc/42/61/94/1121426194.db2.gz QMUNSMGCHBOEBM-UHFFFAOYSA-N 1 2 266.348 3.962 20 0 CHADLO COc1ccc([C@H]2CCC[N@@H+]2Cc2nc(C(C)C)c[nH]2)cc1 ZINC000893863774 1121433312 /nfs/dbraw/zinc/43/33/12/1121433312.db2.gz NVBLGZJRHRLDFZ-QGZVFWFLSA-N 1 2 299.418 3.879 20 0 CHADLO COc1ccc([C@H]2CCC[N@H+]2Cc2nc(C(C)C)c[nH]2)cc1 ZINC000893863774 1121433315 /nfs/dbraw/zinc/43/33/15/1121433315.db2.gz NVBLGZJRHRLDFZ-QGZVFWFLSA-N 1 2 299.418 3.879 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2ccc3c(c2)CCC3)c[nH+]1 ZINC001175711948 1121435061 /nfs/dbraw/zinc/43/50/61/1121435061.db2.gz BJZXPXIAEYWWDV-UHFFFAOYSA-N 1 2 293.292 3.915 20 0 CHADLO Nc1cc(C(F)(F)F)c(Nc2cccc(F)c2)c[nH+]1 ZINC001175712473 1121435200 /nfs/dbraw/zinc/43/52/00/1121435200.db2.gz MNBHICFLTLPCBN-UHFFFAOYSA-N 1 2 271.217 3.565 20 0 CHADLO COc1cc(C)cc(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175717241 1121436471 /nfs/dbraw/zinc/43/64/71/1121436471.db2.gz GRQHCXIHYPMYKZ-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO COc1cc(F)c(Nc2ccc3c(c2)[nH+]cn3C)c(F)c1 ZINC001175721470 1121438689 /nfs/dbraw/zinc/43/86/89/1121438689.db2.gz FDKUIFFASHHABB-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccsc2)c(F)c1OC ZINC000893911604 1121439117 /nfs/dbraw/zinc/43/91/17/1121439117.db2.gz POASYLOFOXQBQW-UHFFFAOYSA-N 1 2 295.379 3.536 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccsc2)c(F)c1OC ZINC000893911604 1121439120 /nfs/dbraw/zinc/43/91/20/1121439120.db2.gz POASYLOFOXQBQW-UHFFFAOYSA-N 1 2 295.379 3.536 20 0 CHADLO Clc1ccc(Cl)c(C[N@@H+]2C[C@@H]3CCCC[C@@H]32)n1 ZINC000706760336 1121439346 /nfs/dbraw/zinc/43/93/46/1121439346.db2.gz QVRFQZBYIBCXPY-CABZTGNLSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1ccc(Cl)c(C[N@H+]2C[C@@H]3CCCC[C@@H]32)n1 ZINC000706760336 1121439352 /nfs/dbraw/zinc/43/93/52/1121439352.db2.gz QVRFQZBYIBCXPY-CABZTGNLSA-N 1 2 271.191 3.763 20 0 CHADLO Cc1coc(C[N@H+](C)Cc2ccc(Br)o2)c1 ZINC000893928910 1121441687 /nfs/dbraw/zinc/44/16/87/1121441687.db2.gz IEIPEBOTSHWNRJ-UHFFFAOYSA-N 1 2 284.153 3.576 20 0 CHADLO Cc1coc(C[N@@H+](C)Cc2ccc(Br)o2)c1 ZINC000893928910 1121441690 /nfs/dbraw/zinc/44/16/90/1121441690.db2.gz IEIPEBOTSHWNRJ-UHFFFAOYSA-N 1 2 284.153 3.576 20 0 CHADLO Cc1n[nH]c(C[N@H+](Cc2ccccc2F)C(C)C)c1C ZINC000893945944 1121445413 /nfs/dbraw/zinc/44/54/13/1121445413.db2.gz OYHHIRDCZRJTEO-UHFFFAOYSA-N 1 2 275.371 3.576 20 0 CHADLO Cc1n[nH]c(C[N@@H+](Cc2ccccc2F)C(C)C)c1C ZINC000893945944 1121445416 /nfs/dbraw/zinc/44/54/16/1121445416.db2.gz OYHHIRDCZRJTEO-UHFFFAOYSA-N 1 2 275.371 3.576 20 0 CHADLO FCc1ccc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)cc1 ZINC001175742309 1121445452 /nfs/dbraw/zinc/44/54/52/1121445452.db2.gz XDTABIXLZIKACQ-UHFFFAOYSA-N 1 2 291.316 3.993 20 0 CHADLO FCc1ccc(C[N@H+]2CCc3cc(F)c(F)cc3C2)cc1 ZINC001175742309 1121445456 /nfs/dbraw/zinc/44/54/56/1121445456.db2.gz XDTABIXLZIKACQ-UHFFFAOYSA-N 1 2 291.316 3.993 20 0 CHADLO Fc1cccc(/C=C\C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)c1 ZINC000893987937 1121452423 /nfs/dbraw/zinc/45/24/23/1121452423.db2.gz WSMJWRZTEHACHM-DQOPKJQZSA-N 1 2 285.366 3.789 20 0 CHADLO Fc1cccc(/C=C\C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)c1 ZINC000893987937 1121452424 /nfs/dbraw/zinc/45/24/24/1121452424.db2.gz WSMJWRZTEHACHM-DQOPKJQZSA-N 1 2 285.366 3.789 20 0 CHADLO c1cn(-c2ccccc2Nc2cnn(C3CCC3)c2)c[nH+]1 ZINC001175757186 1121453451 /nfs/dbraw/zinc/45/34/51/1121453451.db2.gz DBUUTJQKDHLUFA-UHFFFAOYSA-N 1 2 279.347 3.537 20 0 CHADLO CC(C)c1cccc(Nc2ccc[nH+]c2N2CCOCC2)c1 ZINC001175773942 1121461689 /nfs/dbraw/zinc/46/16/89/1121461689.db2.gz NNTNZMKKFGXSOI-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO CC(C)OCCC[N@@H+]1Cc2ccc(Br)cc2C1 ZINC000707926902 1121462556 /nfs/dbraw/zinc/46/25/56/1121462556.db2.gz YJPIXOXKMVOGMT-UHFFFAOYSA-N 1 2 298.224 3.580 20 0 CHADLO CC(C)OCCC[N@H+]1Cc2ccc(Br)cc2C1 ZINC000707926902 1121462559 /nfs/dbraw/zinc/46/25/59/1121462559.db2.gz YJPIXOXKMVOGMT-UHFFFAOYSA-N 1 2 298.224 3.580 20 0 CHADLO FCCCCC[N@@H+]1Cc2ccc(Br)cc2C1 ZINC000707997492 1121463357 /nfs/dbraw/zinc/46/33/57/1121463357.db2.gz SCWKSWFEOATMIP-UHFFFAOYSA-N 1 2 286.188 3.905 20 0 CHADLO FCCCCC[N@H+]1Cc2ccc(Br)cc2C1 ZINC000707997492 1121463358 /nfs/dbraw/zinc/46/33/58/1121463358.db2.gz SCWKSWFEOATMIP-UHFFFAOYSA-N 1 2 286.188 3.905 20 0 CHADLO Cc1coc(C[N@H+]2C[C@H](C)O[C@@H](c3ccsc3)C2)c1 ZINC000894072332 1121466425 /nfs/dbraw/zinc/46/64/25/1121466425.db2.gz OPRCYGSVBGOETK-SWLSCSKDSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1coc(C[N@@H+]2C[C@H](C)O[C@@H](c3ccsc3)C2)c1 ZINC000894072332 1121466428 /nfs/dbraw/zinc/46/64/28/1121466428.db2.gz OPRCYGSVBGOETK-SWLSCSKDSA-N 1 2 277.389 3.612 20 0 CHADLO Cc1[nH]c(CNc2cccc(SC(F)F)c2)[nH+]c1C ZINC000708961304 1121467326 /nfs/dbraw/zinc/46/73/26/1121467326.db2.gz KVJAGEQLQVQWGF-UHFFFAOYSA-N 1 2 283.347 3.953 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCCCCCC1 ZINC000709932613 1121471522 /nfs/dbraw/zinc/47/15/22/1121471522.db2.gz UNBUYWXXJFBWPO-UHFFFAOYSA-N 1 2 255.365 3.588 20 0 CHADLO CCCn1nc(C)cc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001175802914 1121472128 /nfs/dbraw/zinc/47/21/28/1121472128.db2.gz ATEPSAIMLQHIOL-UHFFFAOYSA-N 1 2 281.363 3.531 20 0 CHADLO OCCC1(CNc2cc3ccccc3c[nH+]2)CCCC1 ZINC000631139021 1128986949 /nfs/dbraw/zinc/98/69/49/1128986949.db2.gz CUFTVAIOXJBZMJ-UHFFFAOYSA-N 1 2 270.376 3.590 20 0 CHADLO C[C@H](c1ccc2c(c1)CCC2)[N@@H+]1Cc2cccnc2C1 ZINC000644932797 1121491725 /nfs/dbraw/zinc/49/17/25/1121491725.db2.gz IBWCXXUZSBMEKY-CYBMUJFWSA-N 1 2 264.372 3.647 20 0 CHADLO C[C@H](c1ccc2c(c1)CCC2)[N@H+]1Cc2cccnc2C1 ZINC000644932797 1121491729 /nfs/dbraw/zinc/49/17/29/1121491729.db2.gz IBWCXXUZSBMEKY-CYBMUJFWSA-N 1 2 264.372 3.647 20 0 CHADLO COc1ccc(Nc2ccc([NH+]3CCCC3)cc2)cc1CO ZINC001175986251 1121513891 /nfs/dbraw/zinc/51/38/91/1121513891.db2.gz HPHRKJQTERJIND-UHFFFAOYSA-N 1 2 298.386 3.531 20 0 CHADLO c1ccc(-c2cc(Nc3ccc4[nH]nnc4c3)cc[nH+]2)cc1 ZINC001175998822 1121518734 /nfs/dbraw/zinc/51/87/34/1121518734.db2.gz IFHGSPKTBUXHES-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO c1ccc(-c2cc(Nc3ccc4nn[nH]c4c3)cc[nH+]2)cc1 ZINC001175998822 1121518739 /nfs/dbraw/zinc/51/87/39/1121518739.db2.gz IFHGSPKTBUXHES-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO CCCCc1nc(C[NH+](C2CC2)C2CC2)cs1 ZINC000625837263 1121521663 /nfs/dbraw/zinc/52/16/63/1121521663.db2.gz GUVHOQPDEFLWOO-UHFFFAOYSA-N 1 2 250.411 3.613 20 0 CHADLO Cc1ccc2ncc(C[N@@H+]3CCn4cccc4[C@H]3C)cc2c1 ZINC000411146279 1121524887 /nfs/dbraw/zinc/52/48/87/1121524887.db2.gz NHOLTAZNGYICRR-OAHLLOKOSA-N 1 2 291.398 3.922 20 0 CHADLO Cc1ccc2ncc(C[N@H+]3CCn4cccc4[C@H]3C)cc2c1 ZINC000411146279 1121524891 /nfs/dbraw/zinc/52/48/91/1121524891.db2.gz NHOLTAZNGYICRR-OAHLLOKOSA-N 1 2 291.398 3.922 20 0 CHADLO CC[N@H+](Cc1ccc2ccccc2c1)[C@@H](C)c1nnc(C)[nH]1 ZINC000411186344 1121532587 /nfs/dbraw/zinc/53/25/87/1121532587.db2.gz QGPOTUSAPDDOAN-ZDUSSCGKSA-N 1 2 294.402 3.849 20 0 CHADLO CC[N@@H+](Cc1ccc2ccccc2c1)[C@@H](C)c1nnc(C)[nH]1 ZINC000411186344 1121532591 /nfs/dbraw/zinc/53/25/91/1121532591.db2.gz QGPOTUSAPDDOAN-ZDUSSCGKSA-N 1 2 294.402 3.849 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000711470014 1121535967 /nfs/dbraw/zinc/53/59/67/1121535967.db2.gz JLLROBUTXXWIQN-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2ccc(Cl)nc2Cl)C1 ZINC000711470014 1121535971 /nfs/dbraw/zinc/53/59/71/1121535971.db2.gz JLLROBUTXXWIQN-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO Cc1nocc1C[NH2+]Cc1csc(-c2ccc(C)cc2)n1 ZINC000631209236 1128992467 /nfs/dbraw/zinc/99/24/67/1128992467.db2.gz SWUURGMQSRLYSQ-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2cccccc-2c1 ZINC001176119013 1121556483 /nfs/dbraw/zinc/55/64/83/1121556483.db2.gz SDTRCDSKJJLNKP-UHFFFAOYSA-N 1 2 250.301 3.939 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc2cc(C)ccc2n1 ZINC001176116824 1121556748 /nfs/dbraw/zinc/55/67/48/1121556748.db2.gz LPDCTQODIBWABC-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Br)ccc1F ZINC001176120653 1121556883 /nfs/dbraw/zinc/55/68/83/1121556883.db2.gz HQPAIDKNSMFIPD-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(CCc2ccccc2)no1 ZINC001176118802 1121557147 /nfs/dbraw/zinc/55/71/47/1121557147.db2.gz IOFMFFPEAQGZBA-UHFFFAOYSA-N 1 2 295.342 3.607 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1ncccc1C(F)(F)F ZINC000429390737 1121560018 /nfs/dbraw/zinc/56/00/18/1121560018.db2.gz WLZPGPHUQXWAAH-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1ncccc1C(F)(F)F ZINC000429390737 1121560025 /nfs/dbraw/zinc/56/00/25/1121560025.db2.gz WLZPGPHUQXWAAH-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(O)cc1C(F)(F)F ZINC001176125011 1121560328 /nfs/dbraw/zinc/56/03/28/1121560328.db2.gz USBZBLUZTAKZLC-UHFFFAOYSA-N 1 2 284.237 3.558 20 0 CHADLO COc1cc[nH+]cc1Nc1cc2scnc2c(C)c1 ZINC001176124610 1121560657 /nfs/dbraw/zinc/56/06/57/1121560657.db2.gz RYYLSEHLGPVZQW-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1cccc(F)c1F ZINC000625979227 1121565534 /nfs/dbraw/zinc/56/55/34/1121565534.db2.gz YURWMRABQMXSAH-VIFPVBQESA-N 1 2 261.262 3.584 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1cccc(F)c1F ZINC000625979227 1121565539 /nfs/dbraw/zinc/56/55/39/1121565539.db2.gz YURWMRABQMXSAH-VIFPVBQESA-N 1 2 261.262 3.584 20 0 CHADLO COCOc1ccc(Nc2ccc(C)[nH+]c2C)cc1F ZINC001176187043 1121567039 /nfs/dbraw/zinc/56/70/39/1121567039.db2.gz JUFMSTNNQYUVEC-UHFFFAOYSA-N 1 2 276.311 3.564 20 0 CHADLO Cc1[nH]c(-c2ccc(NC(=O)c3ccco3)cc2)[nH+]c1C ZINC001176211339 1121575501 /nfs/dbraw/zinc/57/55/01/1121575501.db2.gz PHIAGDVONKKLRZ-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1csc(C)c1)C2 ZINC000626024059 1121583720 /nfs/dbraw/zinc/58/37/20/1121583720.db2.gz ZSEDTRNJSCAMJY-UHFFFAOYSA-N 1 2 259.374 3.581 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1csc(C)c1)C2 ZINC000626024059 1121583725 /nfs/dbraw/zinc/58/37/25/1121583725.db2.gz ZSEDTRNJSCAMJY-UHFFFAOYSA-N 1 2 259.374 3.581 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1cccc(Cl)n1 ZINC000711894444 1121586582 /nfs/dbraw/zinc/58/65/82/1121586582.db2.gz PFQPALHQABTIQU-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1cccc(Cl)n1 ZINC000711894444 1121586588 /nfs/dbraw/zinc/58/65/88/1121586588.db2.gz PFQPALHQABTIQU-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1cccc(Cl)n1 ZINC000711894446 1121586772 /nfs/dbraw/zinc/58/67/72/1121586772.db2.gz PFQPALHQABTIQU-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1cccc(Cl)n1 ZINC000711894446 1121586777 /nfs/dbraw/zinc/58/67/77/1121586777.db2.gz PFQPALHQABTIQU-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO COc1ccc(Nc2cccc(C3CCC3)[nH+]2)cc1O ZINC001176256807 1121594220 /nfs/dbraw/zinc/59/42/20/1121594220.db2.gz XSQKGSSTDQOEIE-UHFFFAOYSA-N 1 2 270.332 3.807 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2C(C)C)cc1O ZINC001176256996 1121594225 /nfs/dbraw/zinc/59/42/25/1121594225.db2.gz LTJVVTSPIUOPGK-UHFFFAOYSA-N 1 2 258.321 3.663 20 0 CHADLO FC[C@@H]([NH2+]Cc1ccc(C(F)F)nc1)c1ccc(F)cc1 ZINC000631284756 1128996572 /nfs/dbraw/zinc/99/65/72/1128996572.db2.gz UASLYNDNPRPAQG-CQSZACIVSA-N 1 2 298.283 3.959 20 0 CHADLO Cc1nc(COc2ccc3c(c2)[nH+]c(C)n3C)sc1C ZINC000664046644 1121606465 /nfs/dbraw/zinc/60/64/65/1121606465.db2.gz KBPVAUKNCPGLJT-UHFFFAOYSA-N 1 2 287.388 3.534 20 0 CHADLO Cc1occc1C[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000631284887 1128996700 /nfs/dbraw/zinc/99/67/00/1128996700.db2.gz UPIQMRWBBPARDK-AWEZNQCLSA-N 1 2 251.276 3.528 20 0 CHADLO CCc1cc(Nc2[nH+]cccc2CCO)ccc1Cl ZINC001176392075 1121611491 /nfs/dbraw/zinc/61/14/91/1121611491.db2.gz ZNXLARVXJGXFHV-UHFFFAOYSA-N 1 2 276.767 3.576 20 0 CHADLO CCSCc1cc[nH+]c(NCc2n[nH]c3ccccc23)c1 ZINC000611183339 1121629658 /nfs/dbraw/zinc/62/96/58/1121629658.db2.gz KXDWBBDLSMUUGP-UHFFFAOYSA-N 1 2 298.415 3.823 20 0 CHADLO CC[NH+]1CC(Oc2ccc(Oc3ccccc3)cc2)C1 ZINC001225250821 1121635661 /nfs/dbraw/zinc/63/56/61/1121635661.db2.gz OKFWIGGZKDWCQZ-UHFFFAOYSA-N 1 2 269.344 3.562 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(O)ccc2OC)cc1 ZINC001176581491 1121646874 /nfs/dbraw/zinc/64/68/74/1121646874.db2.gz GOXJDXIHHAMEMU-UHFFFAOYSA-N 1 2 272.348 3.601 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(O)ccc2OC)cc1 ZINC001176581491 1121646880 /nfs/dbraw/zinc/64/68/80/1121646880.db2.gz GOXJDXIHHAMEMU-UHFFFAOYSA-N 1 2 272.348 3.601 20 0 CHADLO c1cn(-c2ccc(CSCc3ccccn3)cc2)c[nH+]1 ZINC000611470484 1121650465 /nfs/dbraw/zinc/65/04/65/1121650465.db2.gz OUZROWJIMGBHNG-UHFFFAOYSA-N 1 2 281.384 3.701 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H](CC)C2CC2)c1 ZINC000611476815 1121651677 /nfs/dbraw/zinc/65/16/77/1121651677.db2.gz HVWVJSLBCKRMHK-ZDUSSCGKSA-N 1 2 250.411 3.935 20 0 CHADLO CC[NH+](CC)Cc1csc(NC(=O)C(C)(CC)CC)n1 ZINC001163770632 1121658111 /nfs/dbraw/zinc/65/81/11/1121658111.db2.gz QKSMDBBXEGFBDH-UHFFFAOYSA-N 1 2 297.468 3.750 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCc3ncsc3C2)c1 ZINC000513011745 1121662738 /nfs/dbraw/zinc/66/27/38/1121662738.db2.gz IYWSMAZKRQUTQH-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@@H]2c2ccco2)nc(C2CC2)[nH+]1 ZINC000631420615 1129000804 /nfs/dbraw/zinc/00/08/04/1129000804.db2.gz GXSKYXRNMMFEEY-HNNXBMFYSA-N 1 2 283.375 3.843 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc(-c2ccncc2)cc1 ZINC001176695619 1121675005 /nfs/dbraw/zinc/67/50/05/1121675005.db2.gz IFEIDJDIYWEZAL-UHFFFAOYSA-N 1 2 290.370 3.953 20 0 CHADLO Cc1[nH+]c2ccccn2c1Nc1c(F)cc(F)c(O)c1F ZINC001176663084 1121678572 /nfs/dbraw/zinc/67/85/72/1121678572.db2.gz AZTBURQTWCDSJX-UHFFFAOYSA-N 1 2 293.248 3.509 20 0 CHADLO CC(C)Cn1ncnc1C[N@@H+]1CCCC[C@H]1c1ccccc1 ZINC000273833247 1121681166 /nfs/dbraw/zinc/68/11/66/1121681166.db2.gz HVSPBZKUCGALDX-KRWDZBQOSA-N 1 2 298.434 3.661 20 0 CHADLO CC(C)Cn1ncnc1C[N@H+]1CCCC[C@H]1c1ccccc1 ZINC000273833247 1121681170 /nfs/dbraw/zinc/68/11/70/1121681170.db2.gz HVSPBZKUCGALDX-KRWDZBQOSA-N 1 2 298.434 3.661 20 0 CHADLO CC(C)Oc1ncccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001176732254 1121684226 /nfs/dbraw/zinc/68/42/26/1121684226.db2.gz LUWKGCXCNALTMP-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO O=C(Nc1cccc(CNc2cccc[nH+]2)c1)C1CCCC1 ZINC000052489404 1121688438 /nfs/dbraw/zinc/68/84/38/1121688438.db2.gz TXNLXTSZPQGXGC-UHFFFAOYSA-N 1 2 295.386 3.822 20 0 CHADLO CCC(C)(C)NC(=O)C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000052162764 1121692272 /nfs/dbraw/zinc/69/22/72/1121692272.db2.gz MYCXGLCPHWAIMA-LBPRGKRZSA-N 1 2 296.842 3.638 20 0 CHADLO CCC(C)(C)NC(=O)C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000052162764 1121692277 /nfs/dbraw/zinc/69/22/77/1121692277.db2.gz MYCXGLCPHWAIMA-LBPRGKRZSA-N 1 2 296.842 3.638 20 0 CHADLO CCCCCC[N@H+](Cc1ccco1)[C@H](C)C(=O)OCC ZINC001176823340 1121695376 /nfs/dbraw/zinc/69/53/76/1121695376.db2.gz AYMICJPHADDWHI-CQSZACIVSA-N 1 2 281.396 3.614 20 0 CHADLO CCCCCC[N@@H+](Cc1ccco1)[C@H](C)C(=O)OCC ZINC001176823340 1121695377 /nfs/dbraw/zinc/69/53/77/1121695377.db2.gz AYMICJPHADDWHI-CQSZACIVSA-N 1 2 281.396 3.614 20 0 CHADLO Cc1ccccc1-c1cccc(NC(=O)Cc2c[nH]c[nH+]2)c1 ZINC001176832569 1121697052 /nfs/dbraw/zinc/69/70/52/1121697052.db2.gz VURPZIPUTLHKDZ-UHFFFAOYSA-N 1 2 291.354 3.566 20 0 CHADLO C[C@@H]1CCC(F)(F)C[N@@H+]1CC[C@@H]1CCCC1(F)F ZINC001176896242 1121701735 /nfs/dbraw/zinc/70/17/35/1121701735.db2.gz FMXSDSPCSAAAFT-MNOVXSKESA-N 1 2 267.310 3.932 20 0 CHADLO C[C@@H]1CCC(F)(F)C[N@H+]1CC[C@@H]1CCCC1(F)F ZINC001176896242 1121701739 /nfs/dbraw/zinc/70/17/39/1121701739.db2.gz FMXSDSPCSAAAFT-MNOVXSKESA-N 1 2 267.310 3.932 20 0 CHADLO C[C@H](CC1CCC1)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000165317302 1121704809 /nfs/dbraw/zinc/70/48/09/1121704809.db2.gz KZMBISFCEWOGKY-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@@H+](Cc1scnc1Cl)C2 ZINC001177033489 1121706899 /nfs/dbraw/zinc/70/68/99/1121706899.db2.gz GDAOQENZZYJBPT-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1cc(C)c2c(c1)CC[N@H+](Cc1scnc1Cl)C2 ZINC001177033489 1121706906 /nfs/dbraw/zinc/70/69/06/1121706906.db2.gz GDAOQENZZYJBPT-UHFFFAOYSA-N 1 2 292.835 3.972 20 0 CHADLO Cc1ncc([C@H](C)Nc2ccc([NH+](C)C)c(C)c2)s1 ZINC000182848740 1129004562 /nfs/dbraw/zinc/00/45/62/1129004562.db2.gz VTCDZWDRNHKNHN-NSHDSACASA-N 1 2 275.421 3.999 20 0 CHADLO CC[C@H](CC(=O)Nc1ccccc1-n1cc[nH+]c1)C(C)C ZINC000521444409 1121721436 /nfs/dbraw/zinc/72/14/36/1121721436.db2.gz ACPWWMRQQGVBGN-CQSZACIVSA-N 1 2 285.391 3.883 20 0 CHADLO Nc1ccc(Nc2[nH+]cccc2C2CC2)c(Cl)c1 ZINC001249730557 1121724494 /nfs/dbraw/zinc/72/44/94/1121724494.db2.gz FFYYRWCFRGCBBF-UHFFFAOYSA-N 1 2 259.740 3.938 20 0 CHADLO CC[C@@H]1C[C@H](C[NH2+]c2ccc(N(C)C)cc2C)CCO1 ZINC001177362860 1121727846 /nfs/dbraw/zinc/72/78/46/1121727846.db2.gz HBFSXSFUUPEWHD-GDBMZVCRSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@@H]1C[C@H](CNc2ccc([NH+](C)C)cc2C)CCO1 ZINC001177362860 1121727850 /nfs/dbraw/zinc/72/78/50/1121727850.db2.gz HBFSXSFUUPEWHD-GDBMZVCRSA-N 1 2 276.424 3.678 20 0 CHADLO CCCn1c[nH+]cc1CNc1ccc(CSC)cc1 ZINC001178055281 1121764251 /nfs/dbraw/zinc/76/42/51/1121764251.db2.gz BZFRKXFYDVPABH-UHFFFAOYSA-N 1 2 275.421 3.768 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3c(F)cccc3F)ccc21 ZINC001178114205 1121767166 /nfs/dbraw/zinc/76/71/66/1121767166.db2.gz DXFPYPSPRRWFPE-UHFFFAOYSA-N 1 2 288.341 3.959 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3c(F)cccc3F)ccc21 ZINC001178114205 1121767174 /nfs/dbraw/zinc/76/71/74/1121767174.db2.gz DXFPYPSPRRWFPE-UHFFFAOYSA-N 1 2 288.341 3.959 20 0 CHADLO Cl/C=C(\Cl)C[NH+]1CCC2(CC1)OCc1ccccc12 ZINC000763587694 1129008050 /nfs/dbraw/zinc/00/80/50/1129008050.db2.gz HRVWLBIOJLZHPT-LCYFTJDESA-N 1 2 298.213 3.827 20 0 CHADLO C[C@@H]1C[C@H](Nc2cc[nH+]c3c(Cl)cccc23)c2ncnn21 ZINC000664185670 1121815714 /nfs/dbraw/zinc/81/57/14/1121815714.db2.gz KHXFSOCCCZDDOV-RNCFNFMXSA-N 1 2 299.765 3.598 20 0 CHADLO CCCn1cncc1CNc1[nH+]c2ccccc2n1C(C)C ZINC001179478821 1121884143 /nfs/dbraw/zinc/88/41/43/1121884143.db2.gz GFEPIJPLTKSYFW-UHFFFAOYSA-N 1 2 297.406 3.836 20 0 CHADLO Cn1c2ccc(Cl)cc2[nH+]c1NCC1(C)CCC1 ZINC001179810799 1121912626 /nfs/dbraw/zinc/91/26/26/1121912626.db2.gz QTEKIFAWEUPPQW-UHFFFAOYSA-N 1 2 263.772 3.829 20 0 CHADLO C[N@H+](Cc1ccc(F)cn1)Cc1ccccc1Cl ZINC000345809802 1121917514 /nfs/dbraw/zinc/91/75/14/1121917514.db2.gz KHSPXRWSHCIWHM-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccc(F)cn1)Cc1ccccc1Cl ZINC000345809802 1121917519 /nfs/dbraw/zinc/91/75/19/1121917519.db2.gz KHSPXRWSHCIWHM-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(O)c(F)c2F)[C@@H]1c1ccccc1 ZINC001180141594 1121949552 /nfs/dbraw/zinc/94/95/52/1121949552.db2.gz GWPDBBHYRZYHNL-GTNSWQLSSA-N 1 2 289.325 3.863 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(O)c(F)c2F)[C@@H]1c1ccccc1 ZINC001180141594 1121949558 /nfs/dbraw/zinc/94/95/58/1121949558.db2.gz GWPDBBHYRZYHNL-GTNSWQLSSA-N 1 2 289.325 3.863 20 0 CHADLO Cc1ccccc1-c1noc([C@@H](C)[N@@H+]2CC[C@](C)(F)C2)n1 ZINC000501396040 1121973946 /nfs/dbraw/zinc/97/39/46/1121973946.db2.gz UMQGNSIZVMSOAL-WBMJQRKESA-N 1 2 289.354 3.540 20 0 CHADLO Cc1ccccc1-c1noc([C@@H](C)[N@H+]2CC[C@](C)(F)C2)n1 ZINC000501396040 1121973950 /nfs/dbraw/zinc/97/39/50/1121973950.db2.gz UMQGNSIZVMSOAL-WBMJQRKESA-N 1 2 289.354 3.540 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2ncc(-c3ccccc3)n2C)o1 ZINC000631730024 1129025141 /nfs/dbraw/zinc/02/51/41/1129025141.db2.gz MIWYDSQGHBIFEQ-AWEZNQCLSA-N 1 2 295.386 3.839 20 0 CHADLO Cc1cc(CNc2ncccc2C(F)(F)F)cc(C)[nH+]1 ZINC000420658350 1122027863 /nfs/dbraw/zinc/02/78/63/1122027863.db2.gz YJZHTYXSXGTTMH-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+]Cc1nn(C)cc1Cl ZINC000420921078 1122043023 /nfs/dbraw/zinc/04/30/23/1122043023.db2.gz LCZBEEDQABVOFA-LBPRGKRZSA-N 1 2 277.799 3.541 20 0 CHADLO C[C@@H]1C[C@@H](CO)C[N@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000420935146 1122045094 /nfs/dbraw/zinc/04/50/94/1122045094.db2.gz HMLXPWJDWNLRHS-RKDXNWHRSA-N 1 2 294.247 3.505 20 0 CHADLO C[C@@H]1C[C@@H](CO)C[N@@H+](Cc2cc(Cl)sc2Cl)C1 ZINC000420935146 1122045102 /nfs/dbraw/zinc/04/51/02/1122045102.db2.gz HMLXPWJDWNLRHS-RKDXNWHRSA-N 1 2 294.247 3.505 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(F)cc1 ZINC000420938846 1122046538 /nfs/dbraw/zinc/04/65/38/1122046538.db2.gz PWBDGLOWDUDFQK-HNNXBMFYSA-N 1 2 295.789 3.700 20 0 CHADLO CSCC[C@H](C)[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001258224001 1129028246 /nfs/dbraw/zinc/02/82/46/1129028246.db2.gz IZJDQBJTXWBFLU-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO CSCC[C@H](C)[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001258224001 1129028249 /nfs/dbraw/zinc/02/82/49/1129028249.db2.gz IZJDQBJTXWBFLU-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO Cc1ccc(Nc2cc(Br)cnc2C)c(C)[nH+]1 ZINC001203367140 1122055999 /nfs/dbraw/zinc/05/59/99/1122055999.db2.gz IAOHIWKFZJHFFF-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CC[C@@](C)(C[NH2+]CC(F)(F)c1ccc(Cl)cc1)OC ZINC001181622769 1122067259 /nfs/dbraw/zinc/06/72/59/1122067259.db2.gz YRLXJZINARZGKS-ZDUSSCGKSA-N 1 2 291.769 3.837 20 0 CHADLO Cc1ccc(Nc2cc(Br)ncc2C)c(C)[nH+]1 ZINC001203367805 1122071032 /nfs/dbraw/zinc/07/10/32/1122071032.db2.gz PFTVEJBSEXYREP-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CC[C@H](F)CSCc1cccc(C[NH+]2CCOCC2)c1 ZINC000421229189 1122085033 /nfs/dbraw/zinc/08/50/33/1122085033.db2.gz SNBRELRVWMMJMC-INIZCTEOSA-N 1 2 297.439 3.500 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000153262120 1129030585 /nfs/dbraw/zinc/03/05/85/1129030585.db2.gz ROJVWVOATFMTNC-DTORHVGOSA-N 1 2 278.343 3.925 20 0 CHADLO C[C@@H]1CCC[C@H](C)[N@@H+]1Cc1nc(C(F)(F)F)cs1 ZINC000153262120 1129030590 /nfs/dbraw/zinc/03/05/90/1129030590.db2.gz ROJVWVOATFMTNC-DTORHVGOSA-N 1 2 278.343 3.925 20 0 CHADLO Cc1ccc(Nc2cc(C(F)(F)F)cnc2F)c(C)[nH+]1 ZINC001203368555 1122101212 /nfs/dbraw/zinc/10/12/12/1122101212.db2.gz ACXGGEFMGWVMKK-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO c1cc(C[NH2+]Cc2nnc(C3CC3)s2)cc(C2CC2)c1 ZINC000421379049 1122105248 /nfs/dbraw/zinc/10/52/48/1122105248.db2.gz IEALSIQGUUGZGB-UHFFFAOYSA-N 1 2 285.416 3.583 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2ccc(CF)cc2)c(C)[nH+]1 ZINC000773994865 1122117830 /nfs/dbraw/zinc/11/78/30/1122117830.db2.gz PKFWSSHCPDPLHE-HXUWFJFHSA-N 1 2 291.391 3.617 20 0 CHADLO Cc1ccc(Nc2cc(Cl)c3nccn3c2)c(C)[nH+]1 ZINC001203370450 1122120220 /nfs/dbraw/zinc/12/02/20/1122120220.db2.gz LJJBSPBZRDKGPM-UHFFFAOYSA-N 1 2 272.739 3.743 20 0 CHADLO CC[C@H](C)NC(=O)[C@H](c1ccccc1Cl)[NH+](CC)CC ZINC001182223030 1122122822 /nfs/dbraw/zinc/12/28/22/1122122822.db2.gz INYWREPFNFMJCR-WFASDCNBSA-N 1 2 296.842 3.638 20 0 CHADLO CCC[C@@H](C(=O)NCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC000421564642 1122134050 /nfs/dbraw/zinc/13/40/50/1122134050.db2.gz ZWQKFPJWXMKCAD-GOSISDBHSA-N 1 2 296.414 3.899 20 0 CHADLO Cc1c(C)c(N(C)c2[nH+]cccc2N)ccc1Cl ZINC001250044478 1122135215 /nfs/dbraw/zinc/13/52/15/1122135215.db2.gz RQMBBTJWBXVUQY-UHFFFAOYSA-N 1 2 261.756 3.702 20 0 CHADLO Cc1cc(C)cc(CCC(=O)NCc2cc(C)[nH+]c(C)c2)c1 ZINC000421574750 1122138592 /nfs/dbraw/zinc/13/85/92/1122138592.db2.gz HIPYRSIYWKICIA-UHFFFAOYSA-N 1 2 296.414 3.564 20 0 CHADLO Cc1cc(CNC(=O)CC2(C)CCCCC2)cc(C)[nH+]1 ZINC000421579191 1122139588 /nfs/dbraw/zinc/13/95/88/1122139588.db2.gz SSWHUSJDUUCXDO-UHFFFAOYSA-N 1 2 274.408 3.675 20 0 CHADLO COc1ccc([C@H](C)C[N@@H+]2CCCC[C@@H]2C(F)F)cc1 ZINC000503084643 1122166178 /nfs/dbraw/zinc/16/61/78/1122166178.db2.gz LRQACJQGMVXVJK-IUODEOHRSA-N 1 2 283.362 3.918 20 0 CHADLO COc1ccc([C@H](C)C[N@H+]2CCCC[C@@H]2C(F)F)cc1 ZINC000503084643 1122166182 /nfs/dbraw/zinc/16/61/82/1122166182.db2.gz LRQACJQGMVXVJK-IUODEOHRSA-N 1 2 283.362 3.918 20 0 CHADLO CC[C@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1ccsc1C ZINC001182665612 1122181752 /nfs/dbraw/zinc/18/17/52/1122181752.db2.gz HTXCWNRJUOZZKH-CYBMUJFWSA-N 1 2 283.437 3.609 20 0 CHADLO CC[C@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1ccsc1C ZINC001182665612 1122181754 /nfs/dbraw/zinc/18/17/54/1122181754.db2.gz HTXCWNRJUOZZKH-CYBMUJFWSA-N 1 2 283.437 3.609 20 0 CHADLO Cc1ncc([C@@H](C)Nc2ccc([NH+](C)C)cc2C)c(C)n1 ZINC000271958948 1122184653 /nfs/dbraw/zinc/18/46/53/1122184653.db2.gz QTKXRNYTHUVMMS-CYBMUJFWSA-N 1 2 284.407 3.641 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2csc(C)n2)c1 ZINC000272000451 1122185846 /nfs/dbraw/zinc/18/58/46/1122185846.db2.gz DBZJJIGDOGIJLF-UHFFFAOYSA-N 1 2 280.418 3.597 20 0 CHADLO CC[C@@H](CCc1ccccc1)[NH2+][C@H](C)C(=O)OC(C)(C)C ZINC001182843693 1122190646 /nfs/dbraw/zinc/19/06/46/1122190646.db2.gz FVVVBQAAGFCCHE-ZBFHGGJFSA-N 1 2 291.435 3.718 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCOCC3)c(C)c2)c(C)[nH+]1 ZINC001203374176 1122195066 /nfs/dbraw/zinc/19/50/66/1122195066.db2.gz GMEGAQOZYKLKFK-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO COc1c(O)cccc1C[N@H+](C)Cc1ccc(Cl)s1 ZINC000272217465 1122195286 /nfs/dbraw/zinc/19/52/86/1122195286.db2.gz BLLOXRVXEBCUAT-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO COc1c(O)cccc1C[N@@H+](C)Cc1ccc(Cl)s1 ZINC000272217465 1122195289 /nfs/dbraw/zinc/19/52/89/1122195289.db2.gz BLLOXRVXEBCUAT-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO C[C@@H](Nc1cccc2c1CN(c1cccc[nH+]1)C2)C1CC1 ZINC000272301770 1122197835 /nfs/dbraw/zinc/19/78/35/1122197835.db2.gz RKYWPOGBLVGSIV-CYBMUJFWSA-N 1 2 279.387 3.812 20 0 CHADLO CC(C)Cn1ncnc1C[N@H+]([C@H](C)c1ccccc1)C1CC1 ZINC000272346979 1122198500 /nfs/dbraw/zinc/19/85/00/1122198500.db2.gz PWVXLLGMRXJSSS-OAHLLOKOSA-N 1 2 298.434 3.660 20 0 CHADLO CC(C)Cn1ncnc1C[N@@H+]([C@H](C)c1ccccc1)C1CC1 ZINC000272346979 1122198503 /nfs/dbraw/zinc/19/85/03/1122198503.db2.gz PWVXLLGMRXJSSS-OAHLLOKOSA-N 1 2 298.434 3.660 20 0 CHADLO C/C(=C\C(=O)Nc1ccccc1-n1cc[nH+]c1)C(C)(C)C ZINC000079516938 1129038693 /nfs/dbraw/zinc/03/86/93/1129038693.db2.gz SZLXHYONMAKDRL-ACCUITESSA-N 1 2 283.375 3.803 20 0 CHADLO Cc1c[nH+]cc(CCCOc2ccc(F)cc2)c1C ZINC001250116761 1122228858 /nfs/dbraw/zinc/22/88/58/1122228858.db2.gz SKUCDVJMSODNAW-UHFFFAOYSA-N 1 2 259.324 3.849 20 0 CHADLO COc1ccccc1[C@@H](C)SCCCn1cc[nH+]c1 ZINC000273510965 1122236291 /nfs/dbraw/zinc/23/62/91/1122236291.db2.gz WZNKRHUTPQQFIH-CYBMUJFWSA-N 1 2 276.405 3.776 20 0 CHADLO C=Cc1ccc(NC(=O)[C@H]2CC[N@@H+]2Cc2ccccc2)cc1 ZINC001183752931 1122237283 /nfs/dbraw/zinc/23/72/83/1122237283.db2.gz OZKLOARGAKTHJA-GOSISDBHSA-N 1 2 292.382 3.543 20 0 CHADLO C=Cc1ccc(NC(=O)[C@H]2CC[N@H+]2Cc2ccccc2)cc1 ZINC001183752931 1122237286 /nfs/dbraw/zinc/23/72/86/1122237286.db2.gz OZKLOARGAKTHJA-GOSISDBHSA-N 1 2 292.382 3.543 20 0 CHADLO Fc1ccccc1C[NH2+]Cc1c(F)cccc1OC(F)F ZINC000072927020 1122243464 /nfs/dbraw/zinc/24/34/64/1122243464.db2.gz JADZXEJYEFJIAC-UHFFFAOYSA-N 1 2 299.267 3.856 20 0 CHADLO C(=C\[C@H]1CCCN1c1cccc[nH+]1)\c1ccccc1 ZINC000503282239 1122254666 /nfs/dbraw/zinc/25/46/66/1122254666.db2.gz NBWFSGHTSITDEP-YUQCYMQKSA-N 1 2 250.345 3.764 20 0 CHADLO Cc1cc(F)c(C[NH+]2CC(Cc3ccoc3)C2)c(F)c1 ZINC001184196046 1122263952 /nfs/dbraw/zinc/26/39/52/1122263952.db2.gz DJICWWKCHWZFQV-UHFFFAOYSA-N 1 2 277.314 3.541 20 0 CHADLO CCCOc1cccc2c(N[C@H](C)C[C@@H](C)O)cc[nH+]c12 ZINC000503611424 1122271470 /nfs/dbraw/zinc/27/14/70/1122271470.db2.gz ILROIFUDSODERR-CHWSQXEVSA-N 1 2 288.391 3.595 20 0 CHADLO CO[C@@H](CNc1[nH+]c2ccccc2n1C1CCCC1)C1CC1 ZINC001184461516 1122278054 /nfs/dbraw/zinc/27/80/54/1122278054.db2.gz BXCXRCDIGISPIE-KRWDZBQOSA-N 1 2 299.418 3.988 20 0 CHADLO Nc1cc(C(F)(F)F)c(Cc2cccc(F)c2F)c[nH+]1 ZINC001250151582 1122278207 /nfs/dbraw/zinc/27/82/07/1122278207.db2.gz AZLNSSYOBXJCKT-UHFFFAOYSA-N 1 2 288.219 3.552 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)c(C)cc1N ZINC001184968024 1122304688 /nfs/dbraw/zinc/30/46/88/1122304688.db2.gz KDZKVNYKUSHBRW-UHFFFAOYSA-N 1 2 282.391 3.624 20 0 CHADLO Cc1nc(N(C)[C@@H](C)C(C)(C)C)c2c([nH+]1)CCCC2 ZINC001185129820 1122320990 /nfs/dbraw/zinc/32/09/90/1122320990.db2.gz ZCTRPHKCSQNZOK-NSHDSACASA-N 1 2 261.413 3.535 20 0 CHADLO C[C@@]1(CNc2[nH+]cnc3[nH]c4ccccc4c32)CC1(F)F ZINC000664395721 1122321512 /nfs/dbraw/zinc/32/15/12/1122321512.db2.gz GIDDZWYJJDGWKF-AWEZNQCLSA-N 1 2 288.301 3.568 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2ncc(C(C)C)o2)cc1 ZINC000774843913 1122326731 /nfs/dbraw/zinc/32/67/31/1122326731.db2.gz POZANSFZPNWFGF-ZDUSSCGKSA-N 1 2 258.365 3.957 20 0 CHADLO CCc1cc(N(C)[C@@H](C)Cc2ccc(C)cc2)nc(CC)[nH+]1 ZINC001185438426 1122340610 /nfs/dbraw/zinc/34/06/10/1122340610.db2.gz HZRCOBBZWOODCN-HNNXBMFYSA-N 1 2 297.446 3.977 20 0 CHADLO C[C@H]1CCc2nc(C[N@@H+]3CCc4ccccc4C3)sc2C1 ZINC000505241715 1122353225 /nfs/dbraw/zinc/35/32/25/1122353225.db2.gz AIHGHPSAKFXKRW-ZDUSSCGKSA-N 1 2 298.455 3.826 20 0 CHADLO C[C@H]1CCc2nc(C[N@H+]3CCc4ccccc4C3)sc2C1 ZINC000505241715 1122353228 /nfs/dbraw/zinc/35/32/28/1122353228.db2.gz AIHGHPSAKFXKRW-ZDUSSCGKSA-N 1 2 298.455 3.826 20 0 CHADLO Cc1cccc(N(Cc2c[nH+]cn2C)Cc2ccccc2)c1 ZINC000434478978 1122370760 /nfs/dbraw/zinc/37/07/60/1122370760.db2.gz IQBRVJWGHXYBBN-UHFFFAOYSA-N 1 2 291.398 3.935 20 0 CHADLO Cc1nc(N2CC=C(C(C)(C)C)CC2)c2c([nH+]1)CCCC2 ZINC001186014804 1122371530 /nfs/dbraw/zinc/37/15/30/1122371530.db2.gz UDVHHVVNYCGSDA-UHFFFAOYSA-N 1 2 285.435 3.846 20 0 CHADLO C[C@H](CCc1ccccc1)C(=O)Nc1ccn2cc[nH+]c2c1 ZINC001186014236 1122371650 /nfs/dbraw/zinc/37/16/50/1122371650.db2.gz DQEFJZAKTOOZLG-CQSZACIVSA-N 1 2 293.370 3.542 20 0 CHADLO Cc1[nH]ncc1C[NH+]1CCC(Cc2ccc(C)c(C)c2)CC1 ZINC000430100959 1122404744 /nfs/dbraw/zinc/40/47/44/1122404744.db2.gz BRCXCNHVZCJSMV-UHFFFAOYSA-N 1 2 297.446 3.790 20 0 CHADLO Cc1cc(N2CC(c3ccccc3)C2)nc(C2CCC2)[nH+]1 ZINC001187297405 1122426021 /nfs/dbraw/zinc/42/60/21/1122426021.db2.gz OAHPWIXAXFSXIG-UHFFFAOYSA-N 1 2 279.387 3.656 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3nc(C(C)(C)C)nn3c2)c1 ZINC001203660125 1122426606 /nfs/dbraw/zinc/42/66/06/1122426606.db2.gz DHSIYLYALRLQOY-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc(-n3ccnc3)c2)c1 ZINC001203660462 1122427233 /nfs/dbraw/zinc/42/72/33/1122427233.db2.gz KQQLDCYOTLPFOA-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@@H+](Cc1ccco1)CC2 ZINC001203278533 1122428192 /nfs/dbraw/zinc/42/81/92/1122428192.db2.gz WGJDDCUXEVIKAF-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO FC(F)(F)c1ccc2c(c1)C[N@H+](Cc1ccco1)CC2 ZINC001203278533 1122428193 /nfs/dbraw/zinc/42/81/93/1122428193.db2.gz WGJDDCUXEVIKAF-UHFFFAOYSA-N 1 2 281.277 3.857 20 0 CHADLO Cc1nc(N2CC([C@@H]3CCC[C@H](C)C3)C2)c2c([nH+]1)CCCC2 ZINC001187391841 1122428263 /nfs/dbraw/zinc/42/82/63/1122428263.db2.gz PVUWEBSOSDAWCD-DZGCQCFKSA-N 1 2 299.462 3.926 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@@H+]2C[C@H](C)CC[C@H]2C)no1 ZINC000435035491 1122428689 /nfs/dbraw/zinc/42/86/89/1122428689.db2.gz QDRDFINDNRYKKV-UKRRQHHQSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1ccccc1Cc1nc(C[N@H+]2C[C@H](C)CC[C@H]2C)no1 ZINC000435035491 1122428692 /nfs/dbraw/zinc/42/86/92/1122428692.db2.gz QDRDFINDNRYKKV-UKRRQHHQSA-N 1 2 299.418 3.589 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Cl)cc(CO)c2)c1 ZINC001203663815 1122430993 /nfs/dbraw/zinc/43/09/93/1122430993.db2.gz SPGLSQMEBLWJAB-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)NC(=O)C3(C)C)c1 ZINC001203664123 1122431696 /nfs/dbraw/zinc/43/16/96/1122431696.db2.gz ZJXLHYBFSGFCIY-UHFFFAOYSA-N 1 2 281.359 3.672 20 0 CHADLO C[C@@H](CNc1nc2ccccc2n2c[nH+]cc12)C(C)(C)C ZINC001187633730 1122435351 /nfs/dbraw/zinc/43/53/51/1122435351.db2.gz UFHJYNIGBCFHKW-LBPRGKRZSA-N 1 2 282.391 3.977 20 0 CHADLO CC[C@H]1C[C@H](C)C[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435131327 1122436748 /nfs/dbraw/zinc/43/67/48/1122436748.db2.gz YVIVWMRMXFCUPF-IUCAKERBSA-N 1 2 278.343 3.782 20 0 CHADLO CC[C@H]1C[C@H](C)C[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000435131327 1122436750 /nfs/dbraw/zinc/43/67/50/1122436750.db2.gz YVIVWMRMXFCUPF-IUCAKERBSA-N 1 2 278.343 3.782 20 0 CHADLO COC(=O)c1c(C)ccc(Nc2cc(C)c[nH+]c2C)c1F ZINC001203668233 1122441214 /nfs/dbraw/zinc/44/12/14/1122441214.db2.gz CLZKXSOLQDAMFG-UHFFFAOYSA-N 1 2 288.322 3.676 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)c[nH+]c2C)cc1 ZINC001203670024 1122443964 /nfs/dbraw/zinc/44/39/64/1122443964.db2.gz YKFAGENUJFBKAX-UHFFFAOYSA-N 1 2 255.365 3.898 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2c(F)cc(C)cc2F)on1 ZINC000425321265 1122454043 /nfs/dbraw/zinc/45/40/43/1122454043.db2.gz DZSYAUYZOSCZJG-HNNXBMFYSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2c(F)cc(C)cc2F)on1 ZINC000425321265 1122454049 /nfs/dbraw/zinc/45/40/49/1122454049.db2.gz DZSYAUYZOSCZJG-HNNXBMFYSA-N 1 2 292.329 3.907 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1ccccc1C(F)F ZINC001188265002 1122461275 /nfs/dbraw/zinc/46/12/75/1122461275.db2.gz MVMCJVSNIVJHSI-UHFFFAOYSA-N 1 2 287.269 3.524 20 0 CHADLO FC(F)(F)c1ccccc1C[N@@H+]1CCc2cccnc2C1 ZINC001203693645 1122482081 /nfs/dbraw/zinc/48/20/81/1122482081.db2.gz HSXVJVVBIRXZHQ-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO FC(F)(F)c1ccccc1C[N@H+]1CCc2cccnc2C1 ZINC001203693645 1122482084 /nfs/dbraw/zinc/48/20/84/1122482084.db2.gz HSXVJVVBIRXZHQ-UHFFFAOYSA-N 1 2 292.304 3.659 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CCC3)s2)CC2(CCC2)O1 ZINC000515301140 1122490908 /nfs/dbraw/zinc/49/09/08/1122490908.db2.gz XFBZKBGOGHYPHX-GFCCVEGCSA-N 1 2 292.448 3.554 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CCC3)s2)CC2(CCC2)O1 ZINC000515301140 1122490910 /nfs/dbraw/zinc/49/09/10/1122490910.db2.gz XFBZKBGOGHYPHX-GFCCVEGCSA-N 1 2 292.448 3.554 20 0 CHADLO CC(C)Oc1cc(F)c(CCn2cc[nH+]c2)cc1Cl ZINC001250320227 1122492864 /nfs/dbraw/zinc/49/28/64/1122492864.db2.gz JGZRUIWZOSDOSD-UHFFFAOYSA-N 1 2 282.746 3.706 20 0 CHADLO C[C@]1(c2ccc(Cl)cc2)C[N@H+](Cc2ccco2)CCO1 ZINC001203285593 1122513028 /nfs/dbraw/zinc/51/30/28/1122513028.db2.gz PNGKKJZNHAKGTD-MRXNPFEDSA-N 1 2 291.778 3.681 20 0 CHADLO C[C@]1(c2ccc(Cl)cc2)C[N@@H+](Cc2ccco2)CCO1 ZINC001203285593 1122513029 /nfs/dbraw/zinc/51/30/29/1122513029.db2.gz PNGKKJZNHAKGTD-MRXNPFEDSA-N 1 2 291.778 3.681 20 0 CHADLO CCCCC[C@H]1CCCCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000620565919 1129064272 /nfs/dbraw/zinc/06/42/72/1129064272.db2.gz BPSNDUYTJMEUMG-HOTGVXAUSA-N 1 2 291.439 3.796 20 0 CHADLO CCc1cn(Cc2cc(Cl)cc(Cl)c2)c[nH+]1 ZINC001190102643 1122524601 /nfs/dbraw/zinc/52/46/01/1122524601.db2.gz ABHJCZBGPYNOPC-UHFFFAOYSA-N 1 2 255.148 3.801 20 0 CHADLO COc1cccc2c(N[C@H]3CC=CCC3)cc[nH+]c12 ZINC000436437447 1122548851 /nfs/dbraw/zinc/54/88/51/1122548851.db2.gz YDWPDRVNGAIFJQ-LBPRGKRZSA-N 1 2 254.333 3.764 20 0 CHADLO CN(c1ccc(C(C)(C)C)cc1)c1[nH+]cccc1N ZINC000077610843 1122551866 /nfs/dbraw/zinc/55/18/66/1122551866.db2.gz FDTQVOGGECPMGR-UHFFFAOYSA-N 1 2 255.365 3.729 20 0 CHADLO Cc1c[nH+]c2cc(-c3nc([C@@H](C)C(C)(C)C)no3)ccn12 ZINC000516193174 1122575837 /nfs/dbraw/zinc/57/58/37/1122575837.db2.gz HCURWJVMNZITKZ-LLVKDONJSA-N 1 2 284.363 3.842 20 0 CHADLO CCCCCCc1[nH]c2cc(F)c(OC)cc2[nH+]1 ZINC001250427161 1122605490 /nfs/dbraw/zinc/60/54/90/1122605490.db2.gz WNUZHKHEHPMFNA-UHFFFAOYSA-N 1 2 250.317 3.833 20 0 CHADLO CCCCCCc1[nH]c2cc(OC)c(F)cc2[nH+]1 ZINC001250427161 1122605492 /nfs/dbraw/zinc/60/54/92/1122605492.db2.gz WNUZHKHEHPMFNA-UHFFFAOYSA-N 1 2 250.317 3.833 20 0 CHADLO CC[N@H+](C[C@H]1CCO[C@H](C)C1)c1cc(C)ccc1C ZINC001191635300 1122607479 /nfs/dbraw/zinc/60/74/79/1122607479.db2.gz GXTCHIQYOUECSE-CVEARBPZSA-N 1 2 261.409 3.945 20 0 CHADLO CC[N@@H+](C[C@H]1CCO[C@H](C)C1)c1cc(C)ccc1C ZINC001191635300 1122607481 /nfs/dbraw/zinc/60/74/81/1122607481.db2.gz GXTCHIQYOUECSE-CVEARBPZSA-N 1 2 261.409 3.945 20 0 CHADLO CCN(Cc1cc(OC)cc(C)[nH+]1)c1cccc(C)c1 ZINC001191655398 1122609211 /nfs/dbraw/zinc/60/92/11/1122609211.db2.gz OHPLHPKSZXGCIE-UHFFFAOYSA-N 1 2 270.376 3.734 20 0 CHADLO Cc1cccc2[nH+]c(CC3CCOCC3)n(C3CC3)c21 ZINC001250431881 1122609747 /nfs/dbraw/zinc/60/97/47/1122609747.db2.gz UXQDCWCEXNURDG-UHFFFAOYSA-N 1 2 270.376 3.649 20 0 CHADLO CCCc1cc(C(=O)Nc2cccc3[nH+]ccn32)ccc1F ZINC001191974996 1122625129 /nfs/dbraw/zinc/62/51/29/1122625129.db2.gz OYKNAPAFWIGMEA-UHFFFAOYSA-N 1 2 297.333 3.678 20 0 CHADLO CCCOC1C[NH+](Cc2cccc3c(F)cccc23)C1 ZINC001237515747 1129067925 /nfs/dbraw/zinc/06/79/25/1129067925.db2.gz NYPANZJPZLSDHL-UHFFFAOYSA-N 1 2 273.351 3.590 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCS[C@@H](CC)C2)n1 ZINC000437580242 1122643568 /nfs/dbraw/zinc/64/35/68/1122643568.db2.gz TXIPRFVQVQAPAJ-HNNXBMFYSA-N 1 2 281.469 3.572 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCS[C@@H](CC)C2)n1 ZINC000437580242 1122643573 /nfs/dbraw/zinc/64/35/73/1122643573.db2.gz TXIPRFVQVQAPAJ-HNNXBMFYSA-N 1 2 281.469 3.572 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3ccc(Cl)nc3C)n2c1 ZINC000193341672 1129068966 /nfs/dbraw/zinc/06/89/66/1129068966.db2.gz OXZRJUYWTWVQLB-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO C[C@H]1CCOCC[N@@H+]1Cc1c(Cl)cccc1Cl ZINC000775570401 1122677511 /nfs/dbraw/zinc/67/75/11/1122677511.db2.gz KZFDIGWHULVFKG-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@H]1CCOCC[N@H+]1Cc1c(Cl)cccc1Cl ZINC000775570401 1122677512 /nfs/dbraw/zinc/67/75/12/1122677512.db2.gz KZFDIGWHULVFKG-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@H]([NH2+]Cc1cscn1)c1cc2ccccc2o1 ZINC000040421069 1122685529 /nfs/dbraw/zinc/68/55/29/1122685529.db2.gz KLOIIZUPQCVBBO-JTQLQIEISA-N 1 2 258.346 3.740 20 0 CHADLO Cc1sccc1C(=O)Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001193378695 1122687594 /nfs/dbraw/zinc/68/75/94/1122687594.db2.gz ATZSPRHTYVAZBN-UHFFFAOYSA-N 1 2 297.383 3.554 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CCOC[C@H]1CC(C)C ZINC000506214113 1122694824 /nfs/dbraw/zinc/69/48/24/1122694824.db2.gz HIFDJAINJSOWSB-OAHLLOKOSA-N 1 2 297.826 3.596 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CCOC[C@H]1CC(C)C ZINC000506214113 1122694826 /nfs/dbraw/zinc/69/48/26/1122694826.db2.gz HIFDJAINJSOWSB-OAHLLOKOSA-N 1 2 297.826 3.596 20 0 CHADLO Cc1nc2[nH]ccc2c(NCC(C)(C)CC(F)(F)F)[nH+]1 ZINC000664694765 1122699817 /nfs/dbraw/zinc/69/98/17/1122699817.db2.gz FAJOXMARMOIUCY-UHFFFAOYSA-N 1 2 286.301 3.657 20 0 CHADLO CCCCCOC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001193768599 1122719254 /nfs/dbraw/zinc/71/92/54/1122719254.db2.gz OIYKQIHTRHLBCJ-UHFFFAOYSA-N 1 2 273.336 3.611 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+](C)Cc1ccccn1 ZINC000426169995 1122728075 /nfs/dbraw/zinc/72/80/75/1122728075.db2.gz KYOKPFACEPSREP-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+](C)Cc1ccccn1 ZINC000426169995 1122728078 /nfs/dbraw/zinc/72/80/78/1122728078.db2.gz KYOKPFACEPSREP-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO C[C@H]1C[C@H]1c1ccc(C[NH2+]Cc2cc(F)cnc2Cl)o1 ZINC000294681791 1122733553 /nfs/dbraw/zinc/73/35/53/1122733553.db2.gz BGKOQJZXILGRAC-TVQRCGJNSA-N 1 2 294.757 3.880 20 0 CHADLO Cc1csc(C[NH2+]Cc2cc(C3CC3)ccc2F)n1 ZINC000295165873 1122743550 /nfs/dbraw/zinc/74/35/50/1122743550.db2.gz SSYUQBBHAANFRM-UHFFFAOYSA-N 1 2 276.380 3.758 20 0 CHADLO CCCc1nc(C[N@@H+]2CC[C@@H](c3ccccc3)C[C@H]2C)no1 ZINC000439331043 1122773641 /nfs/dbraw/zinc/77/36/41/1122773641.db2.gz RBNVUEUBJYZIIQ-GDBMZVCRSA-N 1 2 299.418 3.790 20 0 CHADLO CCCc1nc(C[N@H+]2CC[C@@H](c3ccccc3)C[C@H]2C)no1 ZINC000439331043 1122773642 /nfs/dbraw/zinc/77/36/42/1122773642.db2.gz RBNVUEUBJYZIIQ-GDBMZVCRSA-N 1 2 299.418 3.790 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1c(Cl)cnn1C ZINC000426380486 1122778303 /nfs/dbraw/zinc/77/83/03/1122778303.db2.gz WESXGUCFGAAFTM-LCYFTJDESA-N 1 2 289.810 3.609 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1c(Cl)cnn1C ZINC000426380486 1122778306 /nfs/dbraw/zinc/77/83/06/1122778306.db2.gz WESXGUCFGAAFTM-LCYFTJDESA-N 1 2 289.810 3.609 20 0 CHADLO CCC[C@@H]([NH2+]CC(C)(C)c1ccc(C)cc1)C(=O)OCC ZINC000439607706 1122794293 /nfs/dbraw/zinc/79/42/93/1122794293.db2.gz PYNNXXZRKVXMJF-MRXNPFEDSA-N 1 2 291.435 3.594 20 0 CHADLO Cc1cc(N2CCC[C@@]3(CC3(F)F)C2)nc(C2CCC2)[nH+]1 ZINC000894408345 1122844037 /nfs/dbraw/zinc/84/40/37/1122844037.db2.gz DZERFPCSSGTOQV-OAHLLOKOSA-N 1 2 293.361 3.678 20 0 CHADLO CC1(C)CCC[C@H]1[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1 ZINC000638720703 1122853786 /nfs/dbraw/zinc/85/37/86/1122853786.db2.gz HQYORQKUMDPQPS-ZIAGYGMSSA-N 1 2 276.428 3.594 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2C[C@@H](C)C[C@@H]2c2cccnc2)n1 ZINC000894462568 1122858602 /nfs/dbraw/zinc/85/86/02/1122858602.db2.gz YBRACUIFKWFLOH-XJKSGUPXSA-N 1 2 284.407 3.511 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2C[C@@H](C)C[C@@H]2c2cccnc2)n1 ZINC000894462568 1122858609 /nfs/dbraw/zinc/85/86/09/1122858609.db2.gz YBRACUIFKWFLOH-XJKSGUPXSA-N 1 2 284.407 3.511 20 0 CHADLO Cc1ccc([N@@H+](CCC2(O)CCC2)CC(C)C)cc1 ZINC001195279589 1122860200 /nfs/dbraw/zinc/86/02/00/1122860200.db2.gz OOIRCVRSGUIHLY-UHFFFAOYSA-N 1 2 261.409 3.763 20 0 CHADLO Cc1ccc([N@H+](CCC2(O)CCC2)CC(C)C)cc1 ZINC001195279589 1122860202 /nfs/dbraw/zinc/86/02/02/1122860202.db2.gz OOIRCVRSGUIHLY-UHFFFAOYSA-N 1 2 261.409 3.763 20 0 CHADLO Cc1cc(NC[C@H](C)c2ccccn2)nc(C2CCC2)[nH+]1 ZINC000894476199 1122860623 /nfs/dbraw/zinc/86/06/23/1122860623.db2.gz DLTGJTAHUYIGPL-LBPRGKRZSA-N 1 2 282.391 3.663 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(F)cc2Cl)C[C@H](CC)O1 ZINC001195299552 1122861419 /nfs/dbraw/zinc/86/14/19/1122861419.db2.gz OXONSKLLRIYRLW-OKILXGFUSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(F)cc2Cl)C[C@H](CC)O1 ZINC001195299552 1122861425 /nfs/dbraw/zinc/86/14/25/1122861425.db2.gz OXONSKLLRIYRLW-OKILXGFUSA-N 1 2 285.790 3.869 20 0 CHADLO COc1cccnc1C[NH2+]Cc1cc(C(C)(C)C)oc1C ZINC000894777691 1122904895 /nfs/dbraw/zinc/90/48/95/1122904895.db2.gz IWVMQRSMLIOKJY-UHFFFAOYSA-N 1 2 288.391 3.579 20 0 CHADLO CCc1ccccc1C[N@@H+]1CCOC[C@@H]1c1cccc(F)c1 ZINC001196141844 1122906984 /nfs/dbraw/zinc/90/69/84/1122906984.db2.gz FBLLFTDXPCGKFZ-LJQANCHMSA-N 1 2 299.389 3.962 20 0 CHADLO CCc1ccccc1C[N@H+]1CCOC[C@@H]1c1cccc(F)c1 ZINC001196141844 1122906986 /nfs/dbraw/zinc/90/69/86/1122906986.db2.gz FBLLFTDXPCGKFZ-LJQANCHMSA-N 1 2 299.389 3.962 20 0 CHADLO Fc1ccc([C@@H]2C[N@H+](C/C=C/c3ccccc3)CCO2)cc1 ZINC000507356608 1122949947 /nfs/dbraw/zinc/94/99/47/1122949947.db2.gz HTIGBKCDUSQHRL-QTWIXLPOSA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc([C@@H]2C[N@@H+](C/C=C/c3ccccc3)CCO2)cc1 ZINC000507356608 1122949949 /nfs/dbraw/zinc/94/99/49/1122949949.db2.gz HTIGBKCDUSQHRL-QTWIXLPOSA-N 1 2 297.373 3.912 20 0 CHADLO CC(C)C(=O)Nc1ccc(Nc2cccc3[nH+]ccn32)cc1 ZINC001197501753 1122959146 /nfs/dbraw/zinc/95/91/46/1122959146.db2.gz WKTBPJBGZKPMHP-UHFFFAOYSA-N 1 2 294.358 3.672 20 0 CHADLO CC1(C)C[C@@H]([NH2+]Cc2cscn2)c2ccc(F)cc2O1 ZINC000334299988 1122981105 /nfs/dbraw/zinc/98/11/05/1122981105.db2.gz SIHSEMSSHJQBKK-CYBMUJFWSA-N 1 2 292.379 3.674 20 0 CHADLO Cc1ccc(C(=O)Nc2cccc3[nH+]ccn32)c(C)c1Cl ZINC001198202365 1122982487 /nfs/dbraw/zinc/98/24/87/1122982487.db2.gz BXSCLFGQIFWFFY-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO Cc1cccc(C)c1NC(=S)Nc1ccc2[nH+]ccn2c1 ZINC001198330392 1122987884 /nfs/dbraw/zinc/98/78/84/1122987884.db2.gz MSHJMIVMZDQSDO-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@@H+]1CCC[C@H](F)C1 ZINC001198466714 1122993497 /nfs/dbraw/zinc/99/34/97/1122993497.db2.gz CXJBNCJGDILRTM-QWRGUYRKSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@@H](c1ccccc1Br)[N@H+]1CCC[C@H](F)C1 ZINC001198466714 1122993498 /nfs/dbraw/zinc/99/34/98/1122993498.db2.gz CXJBNCJGDILRTM-QWRGUYRKSA-N 1 2 286.188 3.944 20 0 CHADLO CCc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)cn1)C2 ZINC001198820906 1123012718 /nfs/dbraw/zinc/01/27/18/1123012718.db2.gz NPAJJQXMYASYDN-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)cn1)C2 ZINC001198820906 1123012720 /nfs/dbraw/zinc/01/27/20/1123012720.db2.gz NPAJJQXMYASYDN-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc2c(c1)ncn2C ZINC001199472441 1123030156 /nfs/dbraw/zinc/03/01/56/1123030156.db2.gz MBCYGYIQALPHIB-UHFFFAOYSA-N 1 2 294.402 3.560 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+]1CCOCC2(CCC2)C1 ZINC000334491216 1123057202 /nfs/dbraw/zinc/05/72/02/1123057202.db2.gz YLQUTDQHDVVHCH-ZDUSSCGKSA-N 1 2 292.423 3.536 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+]1CCOCC2(CCC2)C1 ZINC000334491216 1123057205 /nfs/dbraw/zinc/05/72/05/1123057205.db2.gz YLQUTDQHDVVHCH-ZDUSSCGKSA-N 1 2 292.423 3.536 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H]2CCc3ccc(Cl)cc32)o1 ZINC000334492680 1123059557 /nfs/dbraw/zinc/05/95/57/1123059557.db2.gz ZEMNGTAJYHTHKB-QMTHXVAHSA-N 1 2 276.767 3.975 20 0 CHADLO Cc1cc(C(N)=[NH+]OCc2ccc(Cl)s2)ccc1F ZINC000049066028 1123074581 /nfs/dbraw/zinc/07/45/81/1123074581.db2.gz YHWUQZALXLGVIY-UHFFFAOYSA-N 1 2 298.770 3.686 20 0 CHADLO COc1cc(Cl)c(Cl)cc1Nc1ccc(N)[nH+]c1 ZINC001201291200 1123082753 /nfs/dbraw/zinc/08/27/53/1123082753.db2.gz FSVSPOLRDAIEIG-UHFFFAOYSA-N 1 2 284.146 3.723 20 0 CHADLO Cc1cc(C)c(Nc2ccc(N)[nH+]c2)c(Br)c1 ZINC001201293093 1123084251 /nfs/dbraw/zinc/08/42/51/1123084251.db2.gz XQRPWKUHVKRPRQ-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO Cc1ncc([C@H](C)Nc2cc(C)[nH+]c(C3CCC3)n2)s1 ZINC000894924440 1123089538 /nfs/dbraw/zinc/08/95/38/1123089538.db2.gz TWCWYSXXGWPFQB-JTQLQIEISA-N 1 2 288.420 3.991 20 0 CHADLO COc1nc(C(C)C)ccc1Nc1cccc2[nH+]ccn21 ZINC001201431270 1123100264 /nfs/dbraw/zinc/10/02/64/1123100264.db2.gz JGDHDCXMSBHITM-UHFFFAOYSA-N 1 2 282.347 3.605 20 0 CHADLO Cc1cc2cc(N)cnc2n1-c1ccc([NH2+]C(C)C)cc1 ZINC001201489503 1123108557 /nfs/dbraw/zinc/10/85/57/1123108557.db2.gz QSVHIEOFISQAAR-UHFFFAOYSA-N 1 2 280.375 3.736 20 0 CHADLO COc1cncc(Nc2ccc([NH2+]C(C)C)cc2)c1 ZINC001201489507 1123108647 /nfs/dbraw/zinc/10/86/47/1123108647.db2.gz QYOLOTBZUCBMHJ-UHFFFAOYSA-N 1 2 257.337 3.654 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(Cl)cnc1OCC ZINC001201507631 1123112971 /nfs/dbraw/zinc/11/29/71/1123112971.db2.gz PTYXVLMTOCJFIJ-UHFFFAOYSA-N 1 2 293.754 3.671 20 0 CHADLO CC(C)Oc1cncc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001201541026 1123116504 /nfs/dbraw/zinc/11/65/04/1123116504.db2.gz KGWSASXSXDCVBO-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1CCOC2(CCC2)C1 ZINC000154255422 1123168453 /nfs/dbraw/zinc/16/84/53/1123168453.db2.gz IXOZKARXRWOIEZ-HNNXBMFYSA-N 1 2 281.346 3.671 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1CCOC2(CCC2)C1 ZINC000154255422 1123168454 /nfs/dbraw/zinc/16/84/54/1123168454.db2.gz IXOZKARXRWOIEZ-HNNXBMFYSA-N 1 2 281.346 3.671 20 0 CHADLO O=C(Nc1ccc(F)cc1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001202354984 1123200894 /nfs/dbraw/zinc/20/08/94/1123200894.db2.gz OGOVVOFSGCSIFS-UHFFFAOYSA-N 1 2 296.305 3.655 20 0 CHADLO CC(C)(C)OC(=O)[C@H]1CCCC[N@@H+]1CCCC(F)(F)F ZINC001202424725 1123205826 /nfs/dbraw/zinc/20/58/26/1123205826.db2.gz NKAHDLWCKPBTKU-LLVKDONJSA-N 1 2 295.345 3.525 20 0 CHADLO CC(C)(C)OC(=O)[C@H]1CCCC[N@H+]1CCCC(F)(F)F ZINC001202424725 1123205830 /nfs/dbraw/zinc/20/58/30/1123205830.db2.gz NKAHDLWCKPBTKU-LLVKDONJSA-N 1 2 295.345 3.525 20 0 CHADLO CCCCC[C@H](C)NC(=O)Nc1ccc(C)[nH+]c1N(C)C ZINC001202395496 1123206390 /nfs/dbraw/zinc/20/63/90/1123206390.db2.gz KVULBWVHLPWALF-LBPRGKRZSA-N 1 2 292.427 3.546 20 0 CHADLO C[C@H]([NH2+]C[C@H](O)c1ccsc1)C(F)(F)c1ccccc1 ZINC000925112195 1123232576 /nfs/dbraw/zinc/23/25/76/1123232576.db2.gz TXXJVJGPBQGNEY-FZMZJTMJSA-N 1 2 297.370 3.552 20 0 CHADLO Cc1nc2cc(NCc3[nH]c(C)c(C)[nH+]3)ccc2s1 ZINC000850242402 1123248922 /nfs/dbraw/zinc/24/89/22/1123248922.db2.gz RXIFLRMDQNNEOA-UHFFFAOYSA-N 1 2 272.377 3.557 20 0 CHADLO Cc1nc(C)c(C[NH2+]CC(F)(F)C(C)(C)C)s1 ZINC000850410210 1123264607 /nfs/dbraw/zinc/26/46/07/1123264607.db2.gz PQPPFAGYIZQHSI-UHFFFAOYSA-N 1 2 262.369 3.531 20 0 CHADLO CCc1cnc(C[NH2+]C2(c3ccccc3F)CC2)s1 ZINC000344217599 1123266046 /nfs/dbraw/zinc/26/60/46/1123266046.db2.gz WUOUVEQDBKAGTD-UHFFFAOYSA-N 1 2 276.380 3.624 20 0 CHADLO FCC1(CF)CC(Nc2ccc([NH+]3CCCC3)cc2)C1 ZINC000850448890 1123268829 /nfs/dbraw/zinc/26/88/29/1123268829.db2.gz CNQWRYLPFSVLOM-UHFFFAOYSA-N 1 2 280.362 3.787 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(-c2cccs2)n1)c1ccccn1 ZINC000156252171 1129110783 /nfs/dbraw/zinc/11/07/83/1129110783.db2.gz HUSDFRRMUUEKOH-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO Cn1ccnc1[C@@H](Nc1ccc([NH+]2CCCC2)cc1)C1CC1 ZINC000776482172 1123297050 /nfs/dbraw/zinc/29/70/50/1123297050.db2.gz HZZPUDBTSJKMLM-KRWDZBQOSA-N 1 2 296.418 3.584 20 0 CHADLO CCCc1csc(C[N@@H+]2CC(C)(C)O[C@@H]3CCC[C@H]32)n1 ZINC000442965411 1123349860 /nfs/dbraw/zinc/34/98/60/1123349860.db2.gz KSQMIOIRBFCNGZ-ZIAGYGMSSA-N 1 2 294.464 3.628 20 0 CHADLO CCCc1csc(C[N@H+]2CC(C)(C)O[C@@H]3CCC[C@H]32)n1 ZINC000442965411 1123349863 /nfs/dbraw/zinc/34/98/63/1123349863.db2.gz KSQMIOIRBFCNGZ-ZIAGYGMSSA-N 1 2 294.464 3.628 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2cc(Cl)cs2)cn1 ZINC000443066223 1123354238 /nfs/dbraw/zinc/35/42/38/1123354238.db2.gz JLDMORHMOWKFPA-UHFFFAOYSA-N 1 2 295.839 3.947 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2cc(Cl)cs2)cn1 ZINC000443066223 1123354241 /nfs/dbraw/zinc/35/42/41/1123354241.db2.gz JLDMORHMOWKFPA-UHFFFAOYSA-N 1 2 295.839 3.947 20 0 CHADLO CC[N@H+](Cc1cnon1)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000851739825 1123376626 /nfs/dbraw/zinc/37/66/26/1123376626.db2.gz PNWPAYVGGXICJA-JTQLQIEISA-N 1 2 299.296 3.672 20 0 CHADLO CC[N@@H+](Cc1cnon1)[C@@H](C)c1cccc(C(F)(F)F)c1 ZINC000851739825 1123376630 /nfs/dbraw/zinc/37/66/30/1123376630.db2.gz PNWPAYVGGXICJA-JTQLQIEISA-N 1 2 299.296 3.672 20 0 CHADLO Fc1cccc(C[N@@H+]2CCSC[C@@H]2c2ccccc2)n1 ZINC000851775574 1123378794 /nfs/dbraw/zinc/37/87/94/1123378794.db2.gz VORYEAABKIDXCB-OAHLLOKOSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1cccc(C[N@H+]2CCSC[C@@H]2c2ccccc2)n1 ZINC000851775574 1123378797 /nfs/dbraw/zinc/37/87/97/1123378797.db2.gz VORYEAABKIDXCB-OAHLLOKOSA-N 1 2 288.391 3.511 20 0 CHADLO COCc1ccc([C@H]2CCC[N@@H+]2CC=C(Cl)Cl)o1 ZINC000851941520 1123391471 /nfs/dbraw/zinc/39/14/71/1123391471.db2.gz KNUMEVCJQMLCRH-LLVKDONJSA-N 1 2 290.190 3.882 20 0 CHADLO COCc1ccc([C@H]2CCC[N@H+]2CC=C(Cl)Cl)o1 ZINC000851941520 1123391473 /nfs/dbraw/zinc/39/14/73/1123391473.db2.gz KNUMEVCJQMLCRH-LLVKDONJSA-N 1 2 290.190 3.882 20 0 CHADLO FC1(c2ccccc2)CC[NH+](Cc2cscn2)CC1 ZINC000335697538 1123397297 /nfs/dbraw/zinc/39/72/97/1123397297.db2.gz JHLFLCVMXDUEPN-UHFFFAOYSA-N 1 2 276.380 3.604 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2nsc3ccccc32)C1 ZINC000852097295 1123397361 /nfs/dbraw/zinc/39/73/61/1123397361.db2.gz UTBOKTDHSRDXBJ-AWEZNQCLSA-N 1 2 264.369 3.620 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2nsc3ccccc32)C1 ZINC000852097295 1123397365 /nfs/dbraw/zinc/39/73/65/1123397365.db2.gz UTBOKTDHSRDXBJ-AWEZNQCLSA-N 1 2 264.369 3.620 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc2c(c1)CCCC2)c1csnn1 ZINC000398438402 1123405966 /nfs/dbraw/zinc/40/59/66/1123405966.db2.gz WRFMRTDVPSCKJM-RYUDHWBXSA-N 1 2 287.432 3.829 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](C)c2csnn2)cc1C ZINC000398468240 1123406300 /nfs/dbraw/zinc/40/63/00/1123406300.db2.gz MGGBHLSHJQUUSW-NWDGAFQWSA-N 1 2 261.394 3.567 20 0 CHADLO COc1cc([NH2+]CC[C@H](C)c2ccccc2)ccc1N ZINC000111055903 1123423195 /nfs/dbraw/zinc/42/31/95/1123423195.db2.gz BGYWWGORQJNCMV-ZDUSSCGKSA-N 1 2 270.376 3.883 20 0 CHADLO Cc1cccc(NC(=O)[C@@H]2CCc3[nH+]c(C(C)C)[nH]c3C2)c1 ZINC000335884284 1123457914 /nfs/dbraw/zinc/45/79/14/1123457914.db2.gz KAIUQMIAVPWBOY-CYBMUJFWSA-N 1 2 297.402 3.585 20 0 CHADLO Cc1cccc(NC(=O)[C@@H]2CCc3[nH]c(C(C)C)[nH+]c3C2)c1 ZINC000335884284 1123457918 /nfs/dbraw/zinc/45/79/18/1123457918.db2.gz KAIUQMIAVPWBOY-CYBMUJFWSA-N 1 2 297.402 3.585 20 0 CHADLO C[N@H+](Cc1ccns1)Cc1ccccc1OCC1CC1 ZINC000895453818 1123480418 /nfs/dbraw/zinc/48/04/18/1123480418.db2.gz PNVJNUPSVJWJNM-UHFFFAOYSA-N 1 2 288.416 3.564 20 0 CHADLO C[N@@H+](Cc1ccns1)Cc1ccccc1OCC1CC1 ZINC000895453818 1123480421 /nfs/dbraw/zinc/48/04/21/1123480421.db2.gz PNVJNUPSVJWJNM-UHFFFAOYSA-N 1 2 288.416 3.564 20 0 CHADLO C[N@@H+](CCCOc1cccc(Cl)c1)Cc1cscn1 ZINC000052458049 1123483518 /nfs/dbraw/zinc/48/35/18/1123483518.db2.gz PKKUUXXDPZZUDJ-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO C[N@H+](CCCOc1cccc(Cl)c1)Cc1cscn1 ZINC000052458049 1123483515 /nfs/dbraw/zinc/48/35/15/1123483515.db2.gz PKKUUXXDPZZUDJ-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](C)c2ccc(Cl)s2)s1 ZINC000273382907 1129124994 /nfs/dbraw/zinc/12/49/94/1129124994.db2.gz HPMJTIZWUMEXOZ-ZETCQYMHSA-N 1 2 287.841 3.666 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2ccnc(Cl)c2Cl)C1 ZINC000895543639 1123490141 /nfs/dbraw/zinc/49/01/41/1123490141.db2.gz DNFYUCLTQAOVCF-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2ccnc(Cl)c2Cl)C1 ZINC000895543639 1123490142 /nfs/dbraw/zinc/49/01/42/1123490142.db2.gz DNFYUCLTQAOVCF-VIFPVBQESA-N 1 2 277.170 3.570 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1cc(F)cc(F)c1)[C@H]1CC1(F)F ZINC000895605004 1123496219 /nfs/dbraw/zinc/49/62/19/1123496219.db2.gz MHSBWAFFKSLXRM-WRWORJQWSA-N 1 2 297.242 3.690 20 0 CHADLO Fc1cc(F)cc(C(F)(F)C[NH2+]C2CC(C(F)F)C2)c1 ZINC000895605668 1123498085 /nfs/dbraw/zinc/49/80/85/1123498085.db2.gz SJKIWASFCPYFHS-UHFFFAOYSA-N 1 2 297.242 3.690 20 0 CHADLO CCCC[C@H](COC)Nc1ccc([NH+](C)C)cc1C ZINC000776765643 1123500791 /nfs/dbraw/zinc/50/07/91/1123500791.db2.gz ICDMOCFRDCFVOH-CQSZACIVSA-N 1 2 264.413 3.678 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@@H+]1CCc2c(F)cccc2C1 ZINC000428295714 1123515252 /nfs/dbraw/zinc/51/52/52/1123515252.db2.gz LXLACETVMZRFEB-UHFFFAOYSA-N 1 2 288.366 3.804 20 0 CHADLO Cc1nc(C(C)C)oc1C[N@H+]1CCc2c(F)cccc2C1 ZINC000428295714 1123515254 /nfs/dbraw/zinc/51/52/54/1123515254.db2.gz LXLACETVMZRFEB-UHFFFAOYSA-N 1 2 288.366 3.804 20 0 CHADLO CCCc1nc(C)c(C[N@H+]2CC=C(C(F)(F)F)CC2)o1 ZINC000428294941 1123517077 /nfs/dbraw/zinc/51/70/77/1123517077.db2.gz OOIAIFQISYPFEA-UHFFFAOYSA-N 1 2 288.313 3.630 20 0 CHADLO CCCc1nc(C)c(C[N@@H+]2CC=C(C(F)(F)F)CC2)o1 ZINC000428294941 1123517081 /nfs/dbraw/zinc/51/70/81/1123517081.db2.gz OOIAIFQISYPFEA-UHFFFAOYSA-N 1 2 288.313 3.630 20 0 CHADLO CCc1ccc(OCC(=O)Nc2c(C)cc(C)[nH+]c2C)cc1 ZINC000097040033 1123529851 /nfs/dbraw/zinc/52/98/51/1123529851.db2.gz ZDCRAPGOXKVUCB-UHFFFAOYSA-N 1 2 298.386 3.587 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2nc(C(C)(C)C)no2)cc1 ZINC000097091425 1123533298 /nfs/dbraw/zinc/53/32/98/1123533298.db2.gz NEUNQEXETXMTNJ-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2nc(C(C)(C)C)no2)cc1 ZINC000097091425 1123533305 /nfs/dbraw/zinc/53/33/05/1123533305.db2.gz NEUNQEXETXMTNJ-CYBMUJFWSA-N 1 2 287.407 3.869 20 0 CHADLO CCCCC[N@H+](C)Cc1csc(C(F)(F)F)n1 ZINC001141870338 1123551073 /nfs/dbraw/zinc/55/10/73/1123551073.db2.gz ZMQCPEFPUOZIGD-UHFFFAOYSA-N 1 2 266.332 3.784 20 0 CHADLO CCCCC[N@@H+](C)Cc1csc(C(F)(F)F)n1 ZINC001141870338 1123551076 /nfs/dbraw/zinc/55/10/76/1123551076.db2.gz ZMQCPEFPUOZIGD-UHFFFAOYSA-N 1 2 266.332 3.784 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccnnc1)c1c(F)cccc1Cl ZINC000925490307 1123561361 /nfs/dbraw/zinc/56/13/61/1123561361.db2.gz SHAWEVPJXPQRDA-VHSXEESVSA-N 1 2 279.746 3.681 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cn(C)nc2Cl)[C@H](c2ccco2)C1 ZINC000857022438 1123578846 /nfs/dbraw/zinc/57/88/46/1123578846.db2.gz CYGWVZRIKBKGJQ-YPMHNXCESA-N 1 2 293.798 3.640 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cn(C)nc2Cl)[C@H](c2ccco2)C1 ZINC000857022438 1123578849 /nfs/dbraw/zinc/57/88/49/1123578849.db2.gz CYGWVZRIKBKGJQ-YPMHNXCESA-N 1 2 293.798 3.640 20 0 CHADLO Cc1nc(N2CC[C@@H](Cc3ccc(F)cc3)C2)c(C)c(C)[nH+]1 ZINC000474781462 1123585617 /nfs/dbraw/zinc/58/56/17/1123585617.db2.gz YUOWKYJVKRUXNC-INIZCTEOSA-N 1 2 299.393 3.610 20 0 CHADLO Clc1ccccc1C1([NH2+][C@H]2CCCc3cn[nH]c32)CC1 ZINC000857872265 1123624316 /nfs/dbraw/zinc/62/43/16/1123624316.db2.gz JQRWECJYZOXQNQ-AWEZNQCLSA-N 1 2 287.794 3.719 20 0 CHADLO Clc1ccccc1C1([NH2+][C@H]2CCCc3c[nH]nc32)CC1 ZINC000857872265 1123624318 /nfs/dbraw/zinc/62/43/18/1123624318.db2.gz JQRWECJYZOXQNQ-AWEZNQCLSA-N 1 2 287.794 3.719 20 0 CHADLO CCCCCC[C@@](C)(CC)C(=O)N[C@H]1CCn2c[nH+]cc21 ZINC000857983725 1123627590 /nfs/dbraw/zinc/62/75/90/1123627590.db2.gz CPFOWOQMBZLBDF-WMLDXEAASA-N 1 2 291.439 3.831 20 0 CHADLO CCCOc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1C ZINC000858309803 1123643135 /nfs/dbraw/zinc/64/31/35/1123643135.db2.gz ZAIGCMNAOCKRSO-UHFFFAOYSA-N 1 2 273.380 3.736 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@H](C)c3ccns3)co2)cc1 ZINC000858316815 1123644385 /nfs/dbraw/zinc/64/43/85/1123644385.db2.gz NUBXNISUZMGVDK-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO CCc1nc(C[NH2+][C@H]2CCc3cc(F)c(Cl)cc32)co1 ZINC000858424078 1123652983 /nfs/dbraw/zinc/65/29/83/1123652983.db2.gz SXUYVSJAMWNFNE-AWEZNQCLSA-N 1 2 294.757 3.807 20 0 CHADLO Clc1ccc2c(c1)[C@H]([NH2+][C@@H]1CCCc3[nH]ncc31)CC2 ZINC000858582442 1123665520 /nfs/dbraw/zinc/66/55/20/1123665520.db2.gz QTXQROCUJWYROH-HUUCEWRRSA-N 1 2 287.794 3.718 20 0 CHADLO Cc1nc(N[C@H]2CCc3ccc(Cl)cc32)cc[nH+]1 ZINC000858835746 1123673749 /nfs/dbraw/zinc/67/37/49/1123673749.db2.gz PEDLOFFEVJVGKO-ZDUSSCGKSA-N 1 2 259.740 3.538 20 0 CHADLO CC1=C(Br)C[N@H+]([C@@H](C)c2ccccn2)CC1 ZINC000281266581 1123691392 /nfs/dbraw/zinc/69/13/92/1123691392.db2.gz VPQONQJGUOBDSD-NSHDSACASA-N 1 2 281.197 3.517 20 0 CHADLO CC1=C(Br)C[N@@H+]([C@@H](C)c2ccccn2)CC1 ZINC000281266581 1123691394 /nfs/dbraw/zinc/69/13/94/1123691394.db2.gz VPQONQJGUOBDSD-NSHDSACASA-N 1 2 281.197 3.517 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2cc(Cl)n(C)n2)c1 ZINC000859088721 1123683437 /nfs/dbraw/zinc/68/34/37/1123683437.db2.gz WBHHDHIYDOMJSG-OAHLLOKOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2cc(Cl)n(C)n2)c1 ZINC000859088721 1123683439 /nfs/dbraw/zinc/68/34/39/1123683439.db2.gz WBHHDHIYDOMJSG-OAHLLOKOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1ccc([NH+](C)C)cc1C ZINC000281151815 1123685222 /nfs/dbraw/zinc/68/52/22/1123685222.db2.gz BCAUFRNSCWMKAL-UHFFFAOYSA-N 1 2 286.423 3.759 20 0 CHADLO CCOc1cc(CCCNc2cccc[nH+]2)ccc1OC ZINC000346419479 1123685585 /nfs/dbraw/zinc/68/55/85/1123685585.db2.gz FVIPDFMKZPOSJJ-UHFFFAOYSA-N 1 2 286.375 3.534 20 0 CHADLO Fc1cc(C[N@@H+]2CCSC[C@@H]2c2ccccc2)ccn1 ZINC000859143631 1123687018 /nfs/dbraw/zinc/68/70/18/1123687018.db2.gz WVZORSFXCMGQHD-OAHLLOKOSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1cc(C[N@H+]2CCSC[C@@H]2c2ccccc2)ccn1 ZINC000859143631 1123687022 /nfs/dbraw/zinc/68/70/22/1123687022.db2.gz WVZORSFXCMGQHD-OAHLLOKOSA-N 1 2 288.391 3.511 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000859300884 1123694495 /nfs/dbraw/zinc/69/44/95/1123694495.db2.gz FJGCQLLZSYTFPP-CZUORRHYSA-N 1 2 297.402 3.920 20 0 CHADLO C[C@@H]1C[C@H](c2ccccc2)[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000859300884 1123694497 /nfs/dbraw/zinc/69/44/97/1123694497.db2.gz FJGCQLLZSYTFPP-CZUORRHYSA-N 1 2 297.402 3.920 20 0 CHADLO COc1c(C)c[nH+]c(CSCCCSC)c1C ZINC000346571228 1123694582 /nfs/dbraw/zinc/69/45/82/1123694582.db2.gz WTVIHVHEAWDFAI-UHFFFAOYSA-N 1 2 271.451 3.693 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(F)cn1)c1nc(C(C)(C)C)cs1 ZINC000346589949 1123695815 /nfs/dbraw/zinc/69/58/15/1123695815.db2.gz XLDAOSOQXQRDMJ-SNVBAGLBSA-N 1 2 293.411 3.826 20 0 CHADLO Cc1cc(N[C@H](C)c2cccc(Cl)c2)nc(C2CC2)[nH+]1 ZINC000346643855 1123704509 /nfs/dbraw/zinc/70/45/09/1123704509.db2.gz ABMWQYFJNYUCQZ-LLVKDONJSA-N 1 2 287.794 3.911 20 0 CHADLO CCc1ccc(CN(C)c2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000346674990 1123706717 /nfs/dbraw/zinc/70/67/17/1123706717.db2.gz PLFOOHHFFFUUDZ-UHFFFAOYSA-N 1 2 281.403 3.861 20 0 CHADLO COc1cccc2c1C[N@H+](CC[C@H]1CC1(Cl)Cl)C2 ZINC000859939871 1123716725 /nfs/dbraw/zinc/71/67/25/1123716725.db2.gz RWOHZRGIZLXIIX-NSHDSACASA-N 1 2 286.202 3.595 20 0 CHADLO COc1cccc2c1C[N@@H+](CC[C@H]1CC1(Cl)Cl)C2 ZINC000859939871 1123716726 /nfs/dbraw/zinc/71/67/26/1123716726.db2.gz RWOHZRGIZLXIIX-NSHDSACASA-N 1 2 286.202 3.595 20 0 CHADLO Cc1oc(-c2ccccc2)nc1C[NH2+][C@@H](C)c1ccns1 ZINC000860051139 1123719182 /nfs/dbraw/zinc/71/91/82/1123719182.db2.gz FHKDIOXLEBTKPF-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO CC(C)([NH2+]Cc1cnsn1)c1ccc2ccccc2c1 ZINC000860076657 1123720069 /nfs/dbraw/zinc/72/00/69/1123720069.db2.gz GCCSVUVNRTYCLQ-UHFFFAOYSA-N 1 2 283.400 3.716 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(-c2ccncc2)cc1 ZINC000158330259 1129143083 /nfs/dbraw/zinc/14/30/83/1129143083.db2.gz WXWJRAKGAWLPRT-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1[nH]c(CNC(=O)c2ccc(C3CCCC3)cc2)[nH+]c1C ZINC000896910260 1123773216 /nfs/dbraw/zinc/77/32/16/1123773216.db2.gz NQDGMOSOHKJTGR-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO Fc1ccc(-c2nc(C[NH+]3CC4(CC4)C3)cs2)cc1 ZINC000334743546 1123774265 /nfs/dbraw/zinc/77/42/65/1123774265.db2.gz VRIGINYPOFMHKJ-UHFFFAOYSA-N 1 2 274.364 3.545 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)Cc2cccc(C)c2C)c(C)[nH+]1 ZINC001273965700 1123781509 /nfs/dbraw/zinc/78/15/09/1123781509.db2.gz FRTFXUYTQXMUIJ-UHFFFAOYSA-N 1 2 296.414 3.896 20 0 CHADLO Cc1c(C[N@@H+]2CC[C@H]2c2cccc(F)c2)ccnc1F ZINC000862249457 1123795442 /nfs/dbraw/zinc/79/54/42/1123795442.db2.gz PSNYCYCOHORBFV-HNNXBMFYSA-N 1 2 274.314 3.615 20 0 CHADLO Cc1c(C[N@H+]2CC[C@H]2c2cccc(F)c2)ccnc1F ZINC000862249457 1123795446 /nfs/dbraw/zinc/79/54/46/1123795446.db2.gz PSNYCYCOHORBFV-HNNXBMFYSA-N 1 2 274.314 3.615 20 0 CHADLO C[C@H](Nc1ccc([NH+](C)C)cc1)C1CC(F)(F)C1 ZINC000862257942 1123795663 /nfs/dbraw/zinc/79/56/63/1123795663.db2.gz JBCJFVXQLHUPQF-JTQLQIEISA-N 1 2 254.324 3.598 20 0 CHADLO C[C@H]([NH2+]c1ccc(N(C)C)cc1)C1CC(F)(F)C1 ZINC000862257942 1123795668 /nfs/dbraw/zinc/79/56/68/1123795668.db2.gz JBCJFVXQLHUPQF-JTQLQIEISA-N 1 2 254.324 3.598 20 0 CHADLO COc1cccc2c(NC3CC4(C3)CO[C@H](C)C4)cc[nH+]c12 ZINC000897044578 1123803023 /nfs/dbraw/zinc/80/30/23/1123803023.db2.gz HNIRJHYUCDPPCK-WHENESEISA-N 1 2 298.386 3.613 20 0 CHADLO COc1ccc(CNc2[nH]c3ccc(Cl)cc3[nH+]2)cc1 ZINC000082739912 1129146850 /nfs/dbraw/zinc/14/68/50/1129146850.db2.gz PVFAMWLJVQQAAN-UHFFFAOYSA-N 1 2 287.750 3.837 20 0 CHADLO COc1ccccc1C(C)(C)[NH2+]Cc1c(F)cccc1F ZINC000182596070 1123841621 /nfs/dbraw/zinc/84/16/21/1123841621.db2.gz ZLVMQPMWMSRXCU-UHFFFAOYSA-N 1 2 291.341 3.998 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2nc(Cl)ccc2Cl)CCS1 ZINC000276927679 1129148899 /nfs/dbraw/zinc/14/88/99/1129148899.db2.gz LVCYKQUCWBIQAO-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2nc(Cl)ccc2Cl)CCS1 ZINC000276927679 1129148902 /nfs/dbraw/zinc/14/89/02/1129148902.db2.gz LVCYKQUCWBIQAO-SECBINFHSA-N 1 2 291.247 3.716 20 0 CHADLO C/C=C/C[C@H]1CCC[N@H+](Cn2nc(C)sc2=S)C1 ZINC000863339718 1123860922 /nfs/dbraw/zinc/86/09/22/1123860922.db2.gz GWXKTUITNKATGH-PCAWENJQSA-N 1 2 283.466 3.618 20 0 CHADLO C/C=C/C[C@H]1CCC[N@@H+](Cn2nc(C)sc2=S)C1 ZINC000863339718 1123860927 /nfs/dbraw/zinc/86/09/27/1123860927.db2.gz GWXKTUITNKATGH-PCAWENJQSA-N 1 2 283.466 3.618 20 0 CHADLO Cc1cc(NCCCOC2CCCC2)nc(C(C)C)[nH+]1 ZINC000301111946 1123875064 /nfs/dbraw/zinc/87/50/64/1123875064.db2.gz NMOROPBTQHCEER-UHFFFAOYSA-N 1 2 277.412 3.670 20 0 CHADLO CN(Cc1csc(Br)c1)c1cccc[nH+]1 ZINC000301104154 1123875537 /nfs/dbraw/zinc/87/55/37/1123875537.db2.gz PMCGBIGAZBCDSK-UHFFFAOYSA-N 1 2 283.194 3.542 20 0 CHADLO Cc1ccccc1C1CCN(c2cccc[nH+]2)CC1 ZINC000301206976 1123880609 /nfs/dbraw/zinc/88/06/09/1123880609.db2.gz TWNGDCBPUBPTQA-UHFFFAOYSA-N 1 2 252.361 3.774 20 0 CHADLO CC[NH2+]Cc1noc(C2(c3ccccc3)CCCCCC2)n1 ZINC000863656262 1123885512 /nfs/dbraw/zinc/88/55/12/1123885512.db2.gz LWBRYNJENSVTFG-UHFFFAOYSA-N 1 2 299.418 3.819 20 0 CHADLO Cc1cc(C[NH2+]Cc2cc(-c3ccccc3)on2)c(C)o1 ZINC000119579226 1123887619 /nfs/dbraw/zinc/88/76/19/1123887619.db2.gz TUXMYLZXIPCLOL-UHFFFAOYSA-N 1 2 282.343 3.841 20 0 CHADLO Cc1nc(N(C)Cc2ccc(Cl)s2)c(C)c(C)[nH+]1 ZINC000301451400 1123901753 /nfs/dbraw/zinc/90/17/53/1123901753.db2.gz TZTIVGJXAQJZSF-UHFFFAOYSA-N 1 2 281.812 3.753 20 0 CHADLO Cc1nc(N2CCC(c3ccccc3)CC2)c(C)c(C)[nH+]1 ZINC000301456147 1123902031 /nfs/dbraw/zinc/90/20/31/1123902031.db2.gz WUPNZJJNNHLQIJ-UHFFFAOYSA-N 1 2 281.403 3.786 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)c1cccc2c1OCO2 ZINC000863988223 1123907506 /nfs/dbraw/zinc/90/75/06/1123907506.db2.gz GALITFHHPJSPQQ-CYBMUJFWSA-N 1 2 298.386 3.963 20 0 CHADLO Fc1c(Cl)cc(Cl)cc1C[N@@H+]1CC[C@@H]2C[C@@H]21 ZINC000863995875 1123907860 /nfs/dbraw/zinc/90/78/60/1123907860.db2.gz IXAQKUUDVPECRL-HQJQHLMTSA-N 1 2 260.139 3.727 20 0 CHADLO Fc1c(Cl)cc(Cl)cc1C[N@H+]1CC[C@@H]2C[C@@H]21 ZINC000863995875 1123907862 /nfs/dbraw/zinc/90/78/62/1123907862.db2.gz IXAQKUUDVPECRL-HQJQHLMTSA-N 1 2 260.139 3.727 20 0 CHADLO c1ccc(N2CCC[C@H](c3nc4ccccc4o3)C2)[nH+]c1 ZINC000301539192 1123910000 /nfs/dbraw/zinc/91/00/00/1123910000.db2.gz RPHWMNZZPWATHE-ZDUSSCGKSA-N 1 2 279.343 3.607 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H](O)c1ccc(F)cc1 ZINC000301569981 1123913911 /nfs/dbraw/zinc/91/39/11/1123913911.db2.gz NNZQBDXTUWFWHP-QGZVFWFLSA-N 1 2 296.345 3.828 20 0 CHADLO Cc1nc(N2CC[C@H](c3ccc(F)cc3)C2)c(C)c(C)[nH+]1 ZINC000301643196 1123920334 /nfs/dbraw/zinc/92/03/34/1123920334.db2.gz BXBGZMPNOUAVDR-HNNXBMFYSA-N 1 2 285.366 3.535 20 0 CHADLO Cc1nc(N2CCC[C@]3(CC=CCC3)C2)c(C)c(C)[nH+]1 ZINC000301681150 1123923374 /nfs/dbraw/zinc/92/33/74/1123923374.db2.gz JREMEXHKPJYSNB-QGZVFWFLSA-N 1 2 271.408 3.729 20 0 CHADLO Cc1cc(NCc2c(F)cccc2F)nc(C(C)C)[nH+]1 ZINC000301676818 1123923539 /nfs/dbraw/zinc/92/35/39/1123923539.db2.gz QTGGTXZGLXOHOJ-UHFFFAOYSA-N 1 2 277.318 3.799 20 0 CHADLO Cc1nc(N2C[C@H](c3ccc(F)cc3)C[C@H]2C)c(C)c(C)[nH+]1 ZINC000301715346 1123925918 /nfs/dbraw/zinc/92/59/18/1123925918.db2.gz ZXQKPVDGZIHVFP-BDJLRTHQSA-N 1 2 299.393 3.923 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H](CCO)CC(C)C ZINC000301720040 1123926753 /nfs/dbraw/zinc/92/67/53/1123926753.db2.gz MGPXTWFZTDDWFF-OAHLLOKOSA-N 1 2 286.419 4.000 20 0 CHADLO Cc1ccc(C[S@](=O)C[C@@H](C)C(C)(C)C)c(C)[nH+]1 ZINC000864473531 1123930818 /nfs/dbraw/zinc/93/08/18/1123930818.db2.gz CATHUUDVKROOHJ-ADLMAVQZSA-N 1 2 267.438 3.629 20 0 CHADLO COC[C@H]1CCCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000301809912 1123934721 /nfs/dbraw/zinc/93/47/21/1123934721.db2.gz GQGMZOXIWKOPTJ-AWEZNQCLSA-N 1 2 291.439 3.586 20 0 CHADLO c1ccc([C@@H](Nc2cc(NCC3CC3)[nH+]cn2)C2CC2)cc1 ZINC000301824591 1123936370 /nfs/dbraw/zinc/93/63/70/1123936370.db2.gz LXUBXKWNHCNRMO-GOSISDBHSA-N 1 2 294.402 3.862 20 0 CHADLO c1ccc([C@@H](Nc2cc(NCC3CC3)nc[nH+]2)C2CC2)cc1 ZINC000301824591 1123936372 /nfs/dbraw/zinc/93/63/72/1123936372.db2.gz LXUBXKWNHCNRMO-GOSISDBHSA-N 1 2 294.402 3.862 20 0 CHADLO CC(C)c1cc(NC[C@](C)(O)C(C)C)nc(C(C)C)[nH+]1 ZINC000301848274 1123938060 /nfs/dbraw/zinc/93/80/60/1123938060.db2.gz CLNQKGNUUUDHCR-INIZCTEOSA-N 1 2 279.428 3.542 20 0 CHADLO CC[C@@H](C)[C@H](O)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301867825 1123939041 /nfs/dbraw/zinc/93/90/41/1123939041.db2.gz NUTVSRXBXCEDMR-TZMCWYRMSA-N 1 2 279.428 3.542 20 0 CHADLO CC(C)C[C@H](O)CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301871428 1123939691 /nfs/dbraw/zinc/93/96/91/1123939691.db2.gz PUMSNEQVUKDCJW-ZDUSSCGKSA-N 1 2 279.428 3.542 20 0 CHADLO Cc1c[nH+]c(N2[C@H](C)CC[C@H]2C)nc1N1[C@H](C)CC[C@@H]1C ZINC000301876856 1123940052 /nfs/dbraw/zinc/94/00/52/1123940052.db2.gz HAWBFOQSTURJSE-LXTVHRRPSA-N 1 2 288.439 3.539 20 0 CHADLO c1cn(CCCNc2ncc(-c3ccccc3)s2)c[nH+]1 ZINC000301981122 1123948090 /nfs/dbraw/zinc/94/80/90/1123948090.db2.gz ITRAPDOSAADFIN-UHFFFAOYSA-N 1 2 284.388 3.509 20 0 CHADLO CC[C@H](Nc1nc(C)[nH+]c2c1CCCC2)c1nccs1 ZINC000302053695 1123952227 /nfs/dbraw/zinc/95/22/27/1123952227.db2.gz PJQLJWHBDLXPGZ-LBPRGKRZSA-N 1 2 288.420 3.684 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2ccccc2OC)nc(C)[nH+]1 ZINC000302069855 1123952916 /nfs/dbraw/zinc/95/29/16/1123952916.db2.gz XVGBBVHQPXNAQT-MRXNPFEDSA-N 1 2 297.402 3.698 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1nc(C)[nH+]c3c1CCCC3)CO2 ZINC000302062536 1123953293 /nfs/dbraw/zinc/95/32/93/1123953293.db2.gz CAEYLSFDUOAROQ-MRXNPFEDSA-N 1 2 295.386 3.518 20 0 CHADLO Cc1cc(N2C[C@H](C)C[C@@H]2c2cccnc2)nc(C(C)C)[nH+]1 ZINC000302143147 1123957933 /nfs/dbraw/zinc/95/79/33/1123957933.db2.gz PVUAESNZTBAOIB-CZUORRHYSA-N 1 2 296.418 3.891 20 0 CHADLO CC[C@@H](C)Oc1cccc(NCc2c[nH+]cn2C(C)C)n1 ZINC000865295578 1123970738 /nfs/dbraw/zinc/97/07/38/1123970738.db2.gz IXTVKGLXWNNYQJ-CYBMUJFWSA-N 1 2 288.395 3.648 20 0 CHADLO COc1cccc2c(N[C@@H]3CCC[C@H]4OCC[C@H]43)cc[nH+]c12 ZINC000302530314 1123970986 /nfs/dbraw/zinc/97/09/86/1123970986.db2.gz LEACYERFPUEQMK-JGGQBBKZSA-N 1 2 298.386 3.613 20 0 CHADLO COc1cccc2c(NC3C[C@H](C)O[C@@H](C)C3)cc[nH+]c12 ZINC000302534093 1123971086 /nfs/dbraw/zinc/97/10/86/1123971086.db2.gz MAFYDLMXVZMXLQ-RYUDHWBXSA-N 1 2 286.375 3.611 20 0 CHADLO COc1cccc2c(NCc3ccc(Cl)cn3)cc[nH+]c12 ZINC000302515063 1123971118 /nfs/dbraw/zinc/97/11/18/1123971118.db2.gz HBQBEYGDTBODOH-UHFFFAOYSA-N 1 2 299.761 3.904 20 0 CHADLO COc1cccc2c(N(C)Cc3ccc(C)cn3)cc[nH+]c12 ZINC000302646548 1123975501 /nfs/dbraw/zinc/97/55/01/1123975501.db2.gz FSOJISGHFDGTKR-UHFFFAOYSA-N 1 2 293.370 3.583 20 0 CHADLO Clc1ccccc1O[C@@H]1CCCN(c2cccc[nH+]2)C1 ZINC000302656507 1123976218 /nfs/dbraw/zinc/97/62/18/1123976218.db2.gz KVXCNIGZSDLXMU-CYBMUJFWSA-N 1 2 288.778 3.783 20 0 CHADLO CCCc1nc(Cn2c[nH+]c(C(C)(C)C)c2)cs1 ZINC000865376057 1123976300 /nfs/dbraw/zinc/97/63/00/1123976300.db2.gz XHZMQTUFQDLKQJ-UHFFFAOYSA-N 1 2 263.410 3.638 20 0 CHADLO COc1cncc(/C=C/CCn2c[nH+]c(C(C)(C)C)c2)c1 ZINC000865376301 1123976724 /nfs/dbraw/zinc/97/67/24/1123976724.db2.gz IEGABIAIJDDKQZ-FNORWQNLSA-N 1 2 285.391 3.688 20 0 CHADLO CC(C)c1cc(N(C)CC[C@H]2CCCO2)nc(C(C)C)[nH+]1 ZINC000302702219 1123978789 /nfs/dbraw/zinc/97/87/89/1123978789.db2.gz IQZVIAYUNCRLTA-CQSZACIVSA-N 1 2 291.439 3.729 20 0 CHADLO COc1cccc2c(NCc3ccco3)c(C)c[nH+]c12 ZINC000302731148 1123979909 /nfs/dbraw/zinc/97/99/09/1123979909.db2.gz WCJQHOMQHASOJL-UHFFFAOYSA-N 1 2 268.316 3.757 20 0 CHADLO Clc1ccc(C[NH2+]Cc2cn(C3CC3)cn2)c(Cl)c1 ZINC000865398471 1123980349 /nfs/dbraw/zinc/98/03/49/1123980349.db2.gz YAQXEOZNTIOWSA-UHFFFAOYSA-N 1 2 296.201 3.815 20 0 CHADLO Cc1[nH]c(CNc2cccc3c2OC(C)(C)C=C3)[nH+]c1C ZINC000865736993 1124009915 /nfs/dbraw/zinc/00/99/15/1124009915.db2.gz YBGMYSBKAJIQNX-UHFFFAOYSA-N 1 2 283.375 3.823 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]Cc2cccnc2Cl)o1 ZINC000865814267 1124016232 /nfs/dbraw/zinc/01/62/32/1124016232.db2.gz HNKISHSPDRTCRZ-UHFFFAOYSA-N 1 2 278.783 3.915 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@H](C)c2ccc(C(C)C)cc2)n1 ZINC000621289242 1129161023 /nfs/dbraw/zinc/16/10/23/1129161023.db2.gz HNEXQCGAAWPMBX-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1noc(C[N@H+](C)[C@H](C)c2ccc(C(C)C)cc2)n1 ZINC000621289242 1129161026 /nfs/dbraw/zinc/16/10/26/1129161026.db2.gz HNEXQCGAAWPMBX-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2c(F)cccc2F)o1 ZINC000034993671 1124020168 /nfs/dbraw/zinc/02/01/68/1124020168.db2.gz MXCPFKGOAGHKKI-JTQLQIEISA-N 1 2 251.276 3.717 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@H](C)c2ccc(C(C)C)cc2)o1 ZINC000621289250 1129161239 /nfs/dbraw/zinc/16/12/39/1129161239.db2.gz MQCPOPQRYXITQC-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@H](C)c2ccc(C(C)C)cc2)o1 ZINC000621289250 1129161240 /nfs/dbraw/zinc/16/12/40/1129161240.db2.gz MQCPOPQRYXITQC-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO COc1ccc2c(c1)[C@@H]([NH2+][C@H](C)C(C)(F)F)CCC2 ZINC000389446603 1124023961 /nfs/dbraw/zinc/02/39/61/1124023961.db2.gz NXXZLUGVDFFYQS-YGRLFVJLSA-N 1 2 269.335 3.706 20 0 CHADLO CCc1nc(C[NH2+]Cc2sccc2Cl)cs1 ZINC000193094903 1124036592 /nfs/dbraw/zinc/03/65/92/1124036592.db2.gz DHRCRBVDYKPXDB-UHFFFAOYSA-N 1 2 272.826 3.710 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)cc1F ZINC000866221436 1124038354 /nfs/dbraw/zinc/03/83/54/1124038354.db2.gz RDTBIGSJLNXAPH-DOTOQJQBSA-N 1 2 289.325 3.696 20 0 CHADLO Cc1cccc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)c1 ZINC000866221857 1124038505 /nfs/dbraw/zinc/03/85/05/1124038505.db2.gz UMOAISSOFBVHKW-DOTOQJQBSA-N 1 2 271.335 3.556 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2c3ccccc3OC[C@H]2F)cc1F ZINC000866221438 1124038897 /nfs/dbraw/zinc/03/88/97/1124038897.db2.gz RDTBIGSJLNXAPH-NVXWUHKLSA-N 1 2 289.325 3.696 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+][C@H]1c2ccccc2OC[C@H]1F ZINC000866289341 1124048391 /nfs/dbraw/zinc/04/83/91/1124048391.db2.gz ZRAVIXXIOBNYRI-MJEQTWJJSA-N 1 2 286.350 3.512 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)[C@H](C)c2nc(C3CC3)no2)c1 ZINC000444705429 1124054961 /nfs/dbraw/zinc/05/49/61/1124054961.db2.gz BFIGLDAZYZMXDV-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)[C@H](C)c2nc(C3CC3)no2)c1 ZINC000444705429 1124054965 /nfs/dbraw/zinc/05/49/65/1124054965.db2.gz BFIGLDAZYZMXDV-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1cscc1C[NH2+][C@H]1c2ccccc2OC[C@H]1F ZINC000866349020 1124055534 /nfs/dbraw/zinc/05/55/34/1124055534.db2.gz FXTKKQNRKGPPNJ-HIFRSBDPSA-N 1 2 277.364 3.618 20 0 CHADLO c1[nH+]cn(Cc2ccccc2)c1CSc1ccccn1 ZINC000445608680 1124093157 /nfs/dbraw/zinc/09/31/57/1124093157.db2.gz RPSUEAIKEYPKCB-UHFFFAOYSA-N 1 2 281.384 3.619 20 0 CHADLO CCC[C@H]([NH2+]Cc1nc2cc(F)ccc2o1)c1ccccn1 ZINC000634958877 1129167354 /nfs/dbraw/zinc/16/73/54/1129167354.db2.gz BOMWHZUSIQZARA-ZDUSSCGKSA-N 1 2 299.349 3.993 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@H](C)C[C@H]2c2ccco2)o1 ZINC000525268189 1124120577 /nfs/dbraw/zinc/12/05/77/1124120577.db2.gz WDFOOABTCRGQPE-JSGCOSHPSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@H](C)C[C@H]2c2ccco2)o1 ZINC000525268189 1124120578 /nfs/dbraw/zinc/12/05/78/1124120578.db2.gz WDFOOABTCRGQPE-JSGCOSHPSA-N 1 2 274.364 3.803 20 0 CHADLO COc1ccc(C)cc1C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC000525269302 1124121191 /nfs/dbraw/zinc/12/11/91/1124121191.db2.gz HKXHGXBUTLKLRK-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO COc1ccc(C)cc1C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC000525269302 1124121193 /nfs/dbraw/zinc/12/11/93/1124121193.db2.gz HKXHGXBUTLKLRK-GOSISDBHSA-N 1 2 297.398 3.577 20 0 CHADLO CCn1cncc1C[N@@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000418110872 1124122837 /nfs/dbraw/zinc/12/28/37/1124122837.db2.gz FZIFWNFISDIEBR-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cncc1C[N@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000418110872 1124122838 /nfs/dbraw/zinc/12/28/38/1124122838.db2.gz FZIFWNFISDIEBR-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO C[C@@H]1C[C@@H]1CNc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000446900520 1124128041 /nfs/dbraw/zinc/12/80/41/1124128041.db2.gz ZCENIEKJOICUKB-UKRRQHHQSA-N 1 2 281.403 3.954 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1ccc(F)c(-c2cccs2)c1 ZINC000446908846 1124128162 /nfs/dbraw/zinc/12/81/62/1124128162.db2.gz RJGRKAMLEQHFAA-GFCCVEGCSA-N 1 2 291.391 3.775 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1ccc(F)c(-c2cccs2)c1 ZINC000446908846 1124128163 /nfs/dbraw/zinc/12/81/63/1124128163.db2.gz RJGRKAMLEQHFAA-GFCCVEGCSA-N 1 2 291.391 3.775 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccccc2Cl)cn1 ZINC000428468227 1124131981 /nfs/dbraw/zinc/13/19/81/1124131981.db2.gz NGNMDCWQVZZMMU-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccccc2Cl)cn1 ZINC000428468227 1124131982 /nfs/dbraw/zinc/13/19/82/1124131982.db2.gz NGNMDCWQVZZMMU-GFCCVEGCSA-N 1 2 275.783 3.631 20 0 CHADLO CCSCc1ccc(NCc2c[nH+]cn2CC)cc1 ZINC000418112994 1124133377 /nfs/dbraw/zinc/13/33/77/1124133377.db2.gz IRNHEIKMBFGPTN-UHFFFAOYSA-N 1 2 275.421 3.768 20 0 CHADLO CCc1nc(C)c(C[N@H+](C)Cc2ccccc2Cl)o1 ZINC000428469160 1124133981 /nfs/dbraw/zinc/13/39/81/1124133981.db2.gz SGFDCDAVBCWVMP-UHFFFAOYSA-N 1 2 278.783 3.831 20 0 CHADLO CCc1nc(C)c(C[N@@H+](C)Cc2ccccc2Cl)o1 ZINC000428469160 1124133982 /nfs/dbraw/zinc/13/39/82/1124133982.db2.gz SGFDCDAVBCWVMP-UHFFFAOYSA-N 1 2 278.783 3.831 20 0 CHADLO Cc1nocc1C[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000293643029 1129172069 /nfs/dbraw/zinc/17/20/69/1129172069.db2.gz RVLRXESURBULOB-SECBINFHSA-N 1 2 268.719 3.626 20 0 CHADLO CCc1ccccc1NC(=O)[C@@H](CC(C)C)n1cc[nH+]c1 ZINC000635184270 1129175364 /nfs/dbraw/zinc/17/53/64/1129175364.db2.gz CSSMGYDQIVTLSY-MRXNPFEDSA-N 1 2 285.391 3.671 20 0 CHADLO Cc1cccc(-c2noc(Cn3c[nH+]c(C(C)(C)C)c3)n2)c1 ZINC001118986265 1131244852 /nfs/dbraw/zinc/24/48/52/1131244852.db2.gz HTYUQZOOWMXYQW-UHFFFAOYSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001238781307 1131246048 /nfs/dbraw/zinc/24/60/48/1131246048.db2.gz QOVXAAKEBFLKHH-CHIMOYNISA-N 1 2 271.738 3.577 20 0 CHADLO Cc1cc(F)cc(Cl)c1C[N@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001238781307 1131246052 /nfs/dbraw/zinc/24/60/52/1131246052.db2.gz QOVXAAKEBFLKHH-CHIMOYNISA-N 1 2 271.738 3.577 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]Cc1nc2ccccc2[nH]1 ZINC001119349843 1131252950 /nfs/dbraw/zinc/25/29/50/1131252950.db2.gz OEAGYGYYMINELN-NSHDSACASA-N 1 2 299.349 3.561 20 0 CHADLO CCCCCC(=O)Nc1nc(C[NH+](CC)CC)cs1 ZINC001119574723 1131258894 /nfs/dbraw/zinc/25/88/94/1131258894.db2.gz LVFKMYUPZUPHHO-UHFFFAOYSA-N 1 2 283.441 3.504 20 0 CHADLO Cc1nc(N(C)Cc2ccc(C(C)(C)C)cc2)cc[nH+]1 ZINC000525707457 1124459486 /nfs/dbraw/zinc/45/94/86/1124459486.db2.gz OSQLUKICEQANBW-UHFFFAOYSA-N 1 2 269.392 3.719 20 0 CHADLO Cc1cn2cc(NC(=O)[C@@H]3CCCCC3(C)C)ccc2[nH+]1 ZINC000447448631 1124476379 /nfs/dbraw/zinc/47/63/79/1124476379.db2.gz GOFFXPUJNMZPFR-AWEZNQCLSA-N 1 2 285.391 3.798 20 0 CHADLO Cc1cn2c(cccc2-c2cc(OC(C)C)cnc2F)[nH+]1 ZINC001238892089 1131266637 /nfs/dbraw/zinc/26/66/37/1131266637.db2.gz BBNFSTCAMFORMR-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCCCC(F)(F)F ZINC001119939745 1131266854 /nfs/dbraw/zinc/26/68/54/1131266854.db2.gz YQRNJLCQANRDHQ-UHFFFAOYSA-N 1 2 260.303 3.815 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(-c2ccco2)cc1F)c1nccn1C ZINC000448021389 1124553305 /nfs/dbraw/zinc/55/33/05/1124553305.db2.gz IHEGYXZSSIRPBI-LBPRGKRZSA-N 1 2 299.349 3.670 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1ccnn1-c1ccccc1 ZINC000448225383 1124574582 /nfs/dbraw/zinc/57/45/82/1124574582.db2.gz VTUHWLAUCJBHIR-CQSZACIVSA-N 1 2 281.359 3.658 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1ccnn1-c1ccccc1 ZINC000448225383 1124574590 /nfs/dbraw/zinc/57/45/90/1124574590.db2.gz VTUHWLAUCJBHIR-CQSZACIVSA-N 1 2 281.359 3.658 20 0 CHADLO CC(C)(C)C[C@H]1CC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000448553744 1124584666 /nfs/dbraw/zinc/58/46/66/1124584666.db2.gz DGJUJCSIHSSLFR-GFCCVEGCSA-N 1 2 279.428 3.625 20 0 CHADLO CC(C)(C)C[C@H]1CC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000448553744 1124584675 /nfs/dbraw/zinc/58/46/75/1124584675.db2.gz DGJUJCSIHSSLFR-GFCCVEGCSA-N 1 2 279.428 3.625 20 0 CHADLO CC[C@H](CC(F)(F)F)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000448623280 1124599493 /nfs/dbraw/zinc/59/94/93/1124599493.db2.gz CURYCYDDLGGNCS-SECBINFHSA-N 1 2 299.296 3.788 20 0 CHADLO CC[C@H]1CCC[C@@H]1C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000448622692 1124600104 /nfs/dbraw/zinc/60/01/04/1124600104.db2.gz AWSAWZIKWDKVKZ-AAEUAGOBSA-N 1 2 271.364 3.636 20 0 CHADLO CC(C)(C(=O)Nc1ccc(-n2cc[nH+]c2)cc1)C1CCC1 ZINC000448646203 1124602785 /nfs/dbraw/zinc/60/27/85/1124602785.db2.gz GHPFDZSPHPMFIF-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cc(NCc2ccc(Cl)cn2)nc(C2CCC2)[nH+]1 ZINC001120032646 1131272008 /nfs/dbraw/zinc/27/20/08/1131272008.db2.gz ZFBXBLBPTBERSG-UHFFFAOYSA-N 1 2 288.782 3.713 20 0 CHADLO CC(C)(C)c1cn(C[C@@H](O)c2cc3ccccc3o2)c[nH+]1 ZINC000871989568 1124655792 /nfs/dbraw/zinc/65/57/92/1124655792.db2.gz PBSCGDYEPYCCMZ-CYBMUJFWSA-N 1 2 284.359 3.660 20 0 CHADLO COc1cc(C)ccc1CNc1ccc(C)[nH+]c1C ZINC000449214164 1124670612 /nfs/dbraw/zinc/67/06/12/1124670612.db2.gz WEWPZTHWTOBIGI-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000528517746 1124681556 /nfs/dbraw/zinc/68/15/56/1124681556.db2.gz IAKZLOVEBALWOY-PTJCHJMSSA-N 1 2 251.757 3.949 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000528517746 1124681559 /nfs/dbraw/zinc/68/15/59/1124681559.db2.gz IAKZLOVEBALWOY-PTJCHJMSSA-N 1 2 251.757 3.949 20 0 CHADLO COc1ccsc1[C@@H](C)[NH2+]Cc1ncc(Cl)s1 ZINC000872115393 1124686921 /nfs/dbraw/zinc/68/69/21/1124686921.db2.gz KITXJCFXABMNJW-SSDOTTSWSA-N 1 2 288.825 3.717 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2ccc(C(F)(F)F)nc2)no1 ZINC000872129610 1124690408 /nfs/dbraw/zinc/69/04/08/1124690408.db2.gz CUOZNKMZXQFHJG-ZJUUUORDSA-N 1 2 299.296 3.809 20 0 CHADLO C[C@@H]1CC[C@@H](C)[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000449319566 1124693377 /nfs/dbraw/zinc/69/33/77/1124693377.db2.gz KQQXRRMHFZBFPC-IIAWOOMASA-N 1 2 284.407 3.563 20 0 CHADLO COc1cc(C)ccc1C[NH2+][C@@H](C)c1c(F)cncc1F ZINC000449321313 1124693560 /nfs/dbraw/zinc/69/35/60/1124693560.db2.gz SIKAEUAXHLYOIA-NSHDSACASA-N 1 2 292.329 3.528 20 0 CHADLO CCc1c2cc(C)ccc2oc1[C@@H](C)[NH2+]Cc1nonc1C ZINC000872137937 1124694978 /nfs/dbraw/zinc/69/49/78/1124694978.db2.gz XZXNNPTVXCRAPY-GFCCVEGCSA-N 1 2 299.374 3.846 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(-c2ccccc2F)s1 ZINC000449372865 1124705078 /nfs/dbraw/zinc/70/50/78/1124705078.db2.gz LTXWDAYLIVGGPG-UHFFFAOYSA-N 1 2 285.334 3.951 20 0 CHADLO C[C@@H](COc1ccc(Cl)c(Cl)c1)[NH2+]C(CF)CF ZINC000449378183 1124715421 /nfs/dbraw/zinc/71/54/21/1124715421.db2.gz CSHWYWJRIWSKBP-QMMMGPOBSA-N 1 2 298.160 3.658 20 0 CHADLO CC(=O)C1CCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000872667400 1124725658 /nfs/dbraw/zinc/72/56/58/1124725658.db2.gz BOAGQJQECPRZBM-UHFFFAOYSA-N 1 2 288.778 3.694 20 0 CHADLO Cc1cc(NCc2cccc3ncccc23)nc(C2CC2)[nH+]1 ZINC000872714616 1124726142 /nfs/dbraw/zinc/72/61/42/1124726142.db2.gz OQLIRXGWSFBLHD-UHFFFAOYSA-N 1 2 290.370 3.823 20 0 CHADLO Cc1[nH]c(CNc2nc3cccc(C)c3s2)[nH+]c1C ZINC001120875582 1131285489 /nfs/dbraw/zinc/28/54/89/1131285489.db2.gz WYZRMEHGNXWODH-UHFFFAOYSA-N 1 2 272.377 3.557 20 0 CHADLO Cc1cn2cc(-c3ccc(-c4nnc(C)o4)cc3)ccc2[nH+]1 ZINC001239018956 1131291136 /nfs/dbraw/zinc/29/11/36/1131291136.db2.gz DKFVCYAOFYJVPC-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO Cn1c[nH+]cc1COC(=O)c1ccc(-c2ccccc2)s1 ZINC001122712149 1131302179 /nfs/dbraw/zinc/30/21/79/1131302179.db2.gz HQLXAGIBZRNXKI-UHFFFAOYSA-N 1 2 298.367 3.506 20 0 CHADLO CCCn1cc(C[NH+]2CC(c3cccc(Cl)c3)C2)cn1 ZINC000449558113 1124801703 /nfs/dbraw/zinc/80/17/03/1124801703.db2.gz VTVGHODAARKPQW-UHFFFAOYSA-N 1 2 289.810 3.546 20 0 CHADLO Oc1cccc(C[N@@H+]2CCOCC23CCCCC3)c1Cl ZINC000449625659 1124826598 /nfs/dbraw/zinc/82/65/98/1124826598.db2.gz SPRITZIUCNGNCT-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO Oc1cccc(C[N@H+]2CCOCC23CCCCC3)c1Cl ZINC000449625659 1124826605 /nfs/dbraw/zinc/82/66/05/1124826605.db2.gz SPRITZIUCNGNCT-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO FC(F)(F)c1cccc(C2C[NH+](Cc3cscn3)C2)c1 ZINC000449663579 1124834306 /nfs/dbraw/zinc/83/43/06/1124834306.db2.gz JXGQKRVPKBSURD-UHFFFAOYSA-N 1 2 298.333 3.761 20 0 CHADLO Fc1ccc(Cl)cc1C[NH2+]Cc1cnc(C2CC2)o1 ZINC000449694460 1124839363 /nfs/dbraw/zinc/83/93/63/1124839363.db2.gz BTBUELPPORQNLY-UHFFFAOYSA-N 1 2 280.730 3.634 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2ccccn2)c(F)c1 ZINC000449732992 1124849915 /nfs/dbraw/zinc/84/99/15/1124849915.db2.gz NHRQEQMGYNETPS-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2ccccn2)c(F)c1 ZINC000449732992 1124849926 /nfs/dbraw/zinc/84/99/26/1124849926.db2.gz NHRQEQMGYNETPS-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO CC[N@H+](Cc1ccoc1)Cc1nc2cc(F)ccc2o1 ZINC000150963980 1124940062 /nfs/dbraw/zinc/94/00/62/1124940062.db2.gz PIZBGDSSTAZMSN-UHFFFAOYSA-N 1 2 274.295 3.582 20 0 CHADLO CC[N@@H+](Cc1ccoc1)Cc1nc2cc(F)ccc2o1 ZINC000150963980 1124940070 /nfs/dbraw/zinc/94/00/70/1124940070.db2.gz PIZBGDSSTAZMSN-UHFFFAOYSA-N 1 2 274.295 3.582 20 0 CHADLO Cc1cc(CNC(=O)[C@H](C)Cc2ccccc2C)cc(C)[nH+]1 ZINC000529497892 1124971688 /nfs/dbraw/zinc/97/16/88/1124971688.db2.gz OQZVCZCICSCBLS-CQSZACIVSA-N 1 2 296.414 3.502 20 0 CHADLO C[C@H]1CN(c2cc[nH+]c3cc(F)c(Cl)cc32)CCCO1 ZINC000450303573 1124972875 /nfs/dbraw/zinc/97/28/75/1124972875.db2.gz JPGDIXOLUSODAM-JTQLQIEISA-N 1 2 294.757 3.643 20 0 CHADLO Clc1csc(C[N@@H+]2CCS[C@H]3CCCC[C@H]32)n1 ZINC000876771293 1124976436 /nfs/dbraw/zinc/97/64/36/1124976436.db2.gz PREDVEPXMATZSA-ZJUUUORDSA-N 1 2 288.869 3.657 20 0 CHADLO Clc1csc(C[N@H+]2CCS[C@H]3CCCC[C@H]32)n1 ZINC000876771293 1124976438 /nfs/dbraw/zinc/97/64/38/1124976438.db2.gz PREDVEPXMATZSA-ZJUUUORDSA-N 1 2 288.869 3.657 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H](C)[C@@H]1CC1(F)F ZINC000876817957 1124979163 /nfs/dbraw/zinc/97/91/63/1124979163.db2.gz HVZNIYQMNJMFMC-PWSUYJOCSA-N 1 2 254.324 3.517 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1ccsc1C(F)(F)F ZINC000529528325 1124980413 /nfs/dbraw/zinc/98/04/13/1124980413.db2.gz YWAVZFVYLSIFFQ-UHFFFAOYSA-N 1 2 275.295 3.992 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1ccsc1C(F)(F)F ZINC000529528325 1124980417 /nfs/dbraw/zinc/98/04/17/1124980417.db2.gz YWAVZFVYLSIFFQ-UHFFFAOYSA-N 1 2 275.295 3.992 20 0 CHADLO CO[C@](C)(CNc1ccc([NH+]2CCCC2)cc1)C1CC1 ZINC000876820200 1124980617 /nfs/dbraw/zinc/98/06/17/1124980617.db2.gz MDCWBCLWXGQSPM-QGZVFWFLSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)[C@@H]1CC1(F)F ZINC000876820748 1124980951 /nfs/dbraw/zinc/98/09/51/1124980951.db2.gz SMSPMANDFUMTTQ-RISCZKNCSA-N 1 2 266.335 3.742 20 0 CHADLO CCC(C)(C)OC1C[NH+](Cc2nccc3ccccc32)C1 ZINC000529640782 1125027151 /nfs/dbraw/zinc/02/71/51/1125027151.db2.gz JVBVGSWGHRZTDP-UHFFFAOYSA-N 1 2 284.403 3.624 20 0 CHADLO CO[C@H](C)[C@H](C)Nc1[nH+]ccc2cc(C(F)(F)F)ccc21 ZINC000450468272 1125038966 /nfs/dbraw/zinc/03/89/66/1125038966.db2.gz LLSFVVJCXXSDGB-VHSXEESVSA-N 1 2 298.308 3.511 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]Cc2cnc(C3CC3)o2)o1 ZINC000877735430 1125069577 /nfs/dbraw/zinc/06/95/77/1125069577.db2.gz UESKDFYUYILCCB-UHFFFAOYSA-N 1 2 274.364 3.732 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1cccc(Cl)c1 ZINC000450663603 1125081406 /nfs/dbraw/zinc/08/14/06/1125081406.db2.gz SFFUKHMBUHGMPM-SECBINFHSA-N 1 2 293.823 3.920 20 0 CHADLO CS[C@@H]1CCN(c2cc[nH+]c3cc(F)c(Cl)cc32)C1 ZINC000450683050 1125085024 /nfs/dbraw/zinc/08/50/24/1125085024.db2.gz PVTILZHGDRHHIP-SECBINFHSA-N 1 2 296.798 3.969 20 0 CHADLO FC(F)(F)[C@H]1CC[N@@H+](Cc2ccc(Cl)s2)CCO1 ZINC000877822064 1125088134 /nfs/dbraw/zinc/08/81/34/1125088134.db2.gz QCFDMAFIQBHBJD-SECBINFHSA-N 1 2 299.745 3.555 20 0 CHADLO FC(F)(F)[C@H]1CC[N@H+](Cc2ccc(Cl)s2)CCO1 ZINC000877822064 1125088126 /nfs/dbraw/zinc/08/81/26/1125088126.db2.gz QCFDMAFIQBHBJD-SECBINFHSA-N 1 2 299.745 3.555 20 0 CHADLO CO[C@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C[C@@H]1C ZINC000450757128 1125103523 /nfs/dbraw/zinc/10/35/23/1125103523.db2.gz KSZIUJVTWNJWDY-ZFWWWQNUSA-N 1 2 291.439 3.585 20 0 CHADLO C[N@H+](Cc1scnc1Cl)[C@@H]1CCc2ccccc21 ZINC000877951888 1125105633 /nfs/dbraw/zinc/10/56/33/1125105633.db2.gz TXBMYOCULRDMID-GFCCVEGCSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1scnc1Cl)[C@@H]1CCc2ccccc21 ZINC000877951888 1125105635 /nfs/dbraw/zinc/10/56/35/1125105635.db2.gz TXBMYOCULRDMID-GFCCVEGCSA-N 1 2 278.808 3.916 20 0 CHADLO Cc1conc1C[N@H+](C)Cc1csc2ccccc12 ZINC000877960829 1125106908 /nfs/dbraw/zinc/10/69/08/1125106908.db2.gz DEMJJJPPYDHMIH-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1conc1C[N@@H+](C)Cc1csc2ccccc12 ZINC000877960829 1125106911 /nfs/dbraw/zinc/10/69/11/1125106911.db2.gz DEMJJJPPYDHMIH-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1cc(C)c(NC(=O)C2CCC(F)(F)CC2)c(C)[nH+]1 ZINC000121372053 1125109700 /nfs/dbraw/zinc/10/97/00/1125109700.db2.gz BVOHIJSCAFVCPO-UHFFFAOYSA-N 1 2 282.334 3.771 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1cc(C(F)(F)F)co1 ZINC000877988445 1125110461 /nfs/dbraw/zinc/11/04/61/1125110461.db2.gz HTYLILZDWUIUAR-UHFFFAOYSA-N 1 2 259.227 3.523 20 0 CHADLO Cc1nc(C[N@@H+]2CCCC2(C)C)sc1Br ZINC000878049637 1125115187 /nfs/dbraw/zinc/11/51/87/1125115187.db2.gz ZJHZWFUVXVTMSO-UHFFFAOYSA-N 1 2 289.242 3.588 20 0 CHADLO Cc1nc(C[N@H+]2CCCC2(C)C)sc1Br ZINC000878049637 1125115188 /nfs/dbraw/zinc/11/51/88/1125115188.db2.gz ZJHZWFUVXVTMSO-UHFFFAOYSA-N 1 2 289.242 3.588 20 0 CHADLO Cc1conc1C[N@H+](Cc1ccc(C)c(C)c1)C1CC1 ZINC000878126544 1125121897 /nfs/dbraw/zinc/12/18/97/1125121897.db2.gz RIEWETUIQZKVEU-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cc1conc1C[N@@H+](Cc1ccc(C)c(C)c1)C1CC1 ZINC000878126544 1125121899 /nfs/dbraw/zinc/12/18/99/1125121899.db2.gz RIEWETUIQZKVEU-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@@H+]1Cc1scnc1Cl ZINC000878158131 1125125072 /nfs/dbraw/zinc/12/50/72/1125125072.db2.gz VAEZJMRMUWZRSC-LBPRGKRZSA-N 1 2 295.839 3.862 20 0 CHADLO Cn1cccc1[C@@H]1CCCC[N@H+]1Cc1scnc1Cl ZINC000878158131 1125125075 /nfs/dbraw/zinc/12/50/75/1125125075.db2.gz VAEZJMRMUWZRSC-LBPRGKRZSA-N 1 2 295.839 3.862 20 0 CHADLO C[C@@H]1C[N@H+](Cc2scnc2Cl)[C@@H]1c1ccccc1 ZINC000878165756 1125127291 /nfs/dbraw/zinc/12/72/91/1125127291.db2.gz DOFAUNMEFATJTL-MFKMUULPSA-N 1 2 278.808 3.990 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2scnc2Cl)[C@@H]1c1ccccc1 ZINC000878165756 1125127294 /nfs/dbraw/zinc/12/72/94/1125127294.db2.gz DOFAUNMEFATJTL-MFKMUULPSA-N 1 2 278.808 3.990 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc3c(o2)CCCC3)[C@H]1c1ccccc1 ZINC000878166609 1125127954 /nfs/dbraw/zinc/12/79/54/1125127954.db2.gz ZKFWAPSNNWPEQX-SCLBCKFNSA-N 1 2 282.387 3.746 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc3c(o2)CCCC3)[C@H]1c1ccccc1 ZINC000878166609 1125127955 /nfs/dbraw/zinc/12/79/55/1125127955.db2.gz ZKFWAPSNNWPEQX-SCLBCKFNSA-N 1 2 282.387 3.746 20 0 CHADLO Cc1conc1C[NH2+][C@@H](C)c1ccccc1OC(C)C ZINC000878352763 1125143640 /nfs/dbraw/zinc/14/36/40/1125143640.db2.gz NMMBDMHSPZXDBZ-ZDUSSCGKSA-N 1 2 274.364 3.621 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@@H+]1Cc1scnc1Cl ZINC000878393042 1125147500 /nfs/dbraw/zinc/14/75/00/1125147500.db2.gz UQHXIUWHMIFMCE-SFYZADRCSA-N 1 2 298.761 3.959 20 0 CHADLO C[C@@H]1CC[C@H](C(F)(F)F)C[N@H+]1Cc1scnc1Cl ZINC000878393042 1125147505 /nfs/dbraw/zinc/14/75/05/1125147505.db2.gz UQHXIUWHMIFMCE-SFYZADRCSA-N 1 2 298.761 3.959 20 0 CHADLO CCc1cnc(C[N@H+](C)C[C@@H]2CCC3(CCCC3)O2)s1 ZINC000530411827 1125151404 /nfs/dbraw/zinc/15/14/04/1125151404.db2.gz MFQPYGMESDHBDW-ZDUSSCGKSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1cnc(C[N@@H+](C)C[C@@H]2CCC3(CCCC3)O2)s1 ZINC000530411827 1125151415 /nfs/dbraw/zinc/15/14/15/1125151415.db2.gz MFQPYGMESDHBDW-ZDUSSCGKSA-N 1 2 294.464 3.629 20 0 CHADLO C[C@@H](C[NH2+][C@H](c1nnc[nH]1)c1ccccc1)CC(C)(C)C ZINC000878484411 1125155910 /nfs/dbraw/zinc/15/59/10/1125155910.db2.gz XPSWZQICQVUPPS-HIFRSBDPSA-N 1 2 286.423 3.556 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1OC(F)F)c1ccoc1 ZINC000530476712 1125157501 /nfs/dbraw/zinc/15/75/01/1125157501.db2.gz UOULMFXQRMIUOW-SECBINFHSA-N 1 2 285.265 3.871 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1scnc1Cl ZINC000878535416 1125160032 /nfs/dbraw/zinc/16/00/32/1125160032.db2.gz YVVFKCSICFVJBQ-NKWVEPMBSA-N 1 2 284.734 3.569 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1scnc1Cl ZINC000878535416 1125160035 /nfs/dbraw/zinc/16/00/35/1125160035.db2.gz YVVFKCSICFVJBQ-NKWVEPMBSA-N 1 2 284.734 3.569 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@H+](C)Cc1nocc1C ZINC000878619209 1125166527 /nfs/dbraw/zinc/16/65/27/1125166527.db2.gz BKFWXLGGDQKKBW-OAHLLOKOSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@H](c1ccccc1OC)[N@@H+](C)Cc1nocc1C ZINC000878619209 1125166529 /nfs/dbraw/zinc/16/65/29/1125166529.db2.gz BKFWXLGGDQKKBW-OAHLLOKOSA-N 1 2 274.364 3.575 20 0 CHADLO Cc1cccc2c1CC[C@H]2Nc1[nH+]c(C)nc2[nH]ccc21 ZINC000530717725 1125175143 /nfs/dbraw/zinc/17/51/43/1125175143.db2.gz ODEKAEUQIHIQGD-OAHLLOKOSA-N 1 2 278.359 3.674 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@H]2c2ccccn2)c1F ZINC000530962405 1125190115 /nfs/dbraw/zinc/19/01/15/1125190115.db2.gz BMSLLKHXRMYCGB-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@H]2c2ccccn2)c1F ZINC000530962405 1125190116 /nfs/dbraw/zinc/19/01/16/1125190116.db2.gz BMSLLKHXRMYCGB-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H]2c2ccccn2)c(F)c1 ZINC000531249680 1125205005 /nfs/dbraw/zinc/20/50/05/1125205005.db2.gz JCZNYJAHBUDFPQ-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H]2c2ccccn2)c(F)c1 ZINC000531249680 1125205010 /nfs/dbraw/zinc/20/50/10/1125205010.db2.gz JCZNYJAHBUDFPQ-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO CCC1(CC)CCCN1C(=O)Nc1ccn2cc[nH+]c2c1 ZINC000879448670 1125213742 /nfs/dbraw/zinc/21/37/42/1125213742.db2.gz BAAQVJIRPJAZRB-UHFFFAOYSA-N 1 2 286.379 3.521 20 0 CHADLO CSc1cc(Nc2cc(C)c(O)c(C)c2)cc[nH+]1 ZINC001211909019 1125222450 /nfs/dbraw/zinc/22/24/50/1125222450.db2.gz RUYVZECLAZJPGW-UHFFFAOYSA-N 1 2 260.362 3.870 20 0 CHADLO Cc1c(Cl)ccc2c1C[N@H+]([C@H](C)c1cnccn1)CC2 ZINC000879769956 1125233358 /nfs/dbraw/zinc/23/33/58/1125233358.db2.gz XZBFOLZCSQMOKR-GFCCVEGCSA-N 1 2 287.794 3.558 20 0 CHADLO Cc1c(Cl)ccc2c1C[N@@H+]([C@H](C)c1cnccn1)CC2 ZINC000879769956 1125233364 /nfs/dbraw/zinc/23/33/64/1125233364.db2.gz XZBFOLZCSQMOKR-GFCCVEGCSA-N 1 2 287.794 3.558 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2CC[C@@H]2C)c(Cl)c1 ZINC001142277094 1125242550 /nfs/dbraw/zinc/24/25/50/1125242550.db2.gz NXJUVVCZYLOGSY-QMMMGPOBSA-N 1 2 260.164 3.596 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2CC[C@@H]2C)c(Cl)c1 ZINC001142277094 1125242554 /nfs/dbraw/zinc/24/25/54/1125242554.db2.gz NXJUVVCZYLOGSY-QMMMGPOBSA-N 1 2 260.164 3.596 20 0 CHADLO CC(C)OC(=O)[C@H](C)[N@H+](C)Cc1ccc2ccccc2c1 ZINC000532352851 1125251133 /nfs/dbraw/zinc/25/11/33/1125251133.db2.gz BRSVTBPVHULMRP-AWEZNQCLSA-N 1 2 285.387 3.612 20 0 CHADLO CC(C)OC(=O)[C@H](C)[N@@H+](C)Cc1ccc2ccccc2c1 ZINC000532352851 1125251139 /nfs/dbraw/zinc/25/11/39/1125251139.db2.gz BRSVTBPVHULMRP-AWEZNQCLSA-N 1 2 285.387 3.612 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2nc3ccccc3n2C(F)F)C1 ZINC000880233052 1125258367 /nfs/dbraw/zinc/25/83/67/1125258367.db2.gz AHXTXDHLNRRAGV-NSHDSACASA-N 1 2 297.324 3.613 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2nc3ccccc3n2C(F)F)C1 ZINC000880233052 1125258371 /nfs/dbraw/zinc/25/83/71/1125258371.db2.gz AHXTXDHLNRRAGV-NSHDSACASA-N 1 2 297.324 3.613 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3ccsc3[C@H]2C)n1 ZINC000880376518 1125269354 /nfs/dbraw/zinc/26/93/54/1125269354.db2.gz IGZPTAJICUYFTG-SNVBAGLBSA-N 1 2 264.419 3.632 20 0 CHADLO Cc1csc(C[N@H+]2CCc3ccsc3[C@H]2C)n1 ZINC000880376518 1125269356 /nfs/dbraw/zinc/26/93/56/1125269356.db2.gz IGZPTAJICUYFTG-SNVBAGLBSA-N 1 2 264.419 3.632 20 0 CHADLO Cc1cccc(-c2cc(C[NH+]3CCOCC3)ccc2F)c1 ZINC001239489877 1131343798 /nfs/dbraw/zinc/34/37/98/1131343798.db2.gz TYBXIJAKCSEKKI-UHFFFAOYSA-N 1 2 285.362 3.633 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H]3CC[C@H]2c2ccccc23)cs1 ZINC000880537304 1125278774 /nfs/dbraw/zinc/27/87/74/1125278774.db2.gz SKVJPFHTDXZPLV-LRDDRELGSA-N 1 2 270.401 3.886 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H]3CC[C@H]2c2ccccc23)cs1 ZINC000880537304 1125278776 /nfs/dbraw/zinc/27/87/76/1125278776.db2.gz SKVJPFHTDXZPLV-LRDDRELGSA-N 1 2 270.401 3.886 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@@H]3CC[C@H]2c2ccccc23)o1 ZINC000880539541 1125278884 /nfs/dbraw/zinc/27/88/84/1125278884.db2.gz YHLOKJQKTLTPIQ-LRDDRELGSA-N 1 2 268.360 3.671 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@@H]3CC[C@H]2c2ccccc23)o1 ZINC000880539541 1125278887 /nfs/dbraw/zinc/27/88/87/1125278887.db2.gz YHLOKJQKTLTPIQ-LRDDRELGSA-N 1 2 268.360 3.671 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CCc2ncncc2C1 ZINC000880594443 1125281802 /nfs/dbraw/zinc/28/18/02/1125281802.db2.gz DEKLHBOKJXVMDM-MRXNPFEDSA-N 1 2 287.794 3.639 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CCc2ncncc2C1 ZINC000880594443 1125281806 /nfs/dbraw/zinc/28/18/06/1125281806.db2.gz DEKLHBOKJXVMDM-MRXNPFEDSA-N 1 2 287.794 3.639 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1cn(C)cn1)c1ccc(F)cc1F ZINC000925264744 1125302451 /nfs/dbraw/zinc/30/24/51/1125302451.db2.gz NNCXUWXLASCRDJ-XHDPSFHLSA-N 1 2 293.361 3.890 20 0 CHADLO Cc1cccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001239492230 1131346371 /nfs/dbraw/zinc/34/63/71/1131346371.db2.gz YFYPXOMLNCEXDE-UHFFFAOYSA-N 1 2 252.292 3.987 20 0 CHADLO CC(C)c1ccc(NC(=O)Cc2[nH]cc[nH+]2)c(C(C)C)c1 ZINC000821790644 1131346391 /nfs/dbraw/zinc/34/63/91/1131346391.db2.gz DGWASVHPJLJSDV-UHFFFAOYSA-N 1 2 285.391 3.838 20 0 CHADLO Cc1cc(CSCc2ccc(-n3cc[nH+]c3)cc2C)no1 ZINC000190891228 1125319862 /nfs/dbraw/zinc/31/98/62/1125319862.db2.gz ARQSQNHIVKMRMG-UHFFFAOYSA-N 1 2 299.399 3.911 20 0 CHADLO C[C@H]([NH2+]Cc1ncccn1)c1ccc(C(C)(C)C)cc1 ZINC000192149914 1125331142 /nfs/dbraw/zinc/33/11/42/1125331142.db2.gz AJBOWVXOQJYTBI-ZDUSSCGKSA-N 1 2 269.392 3.625 20 0 CHADLO CN(C)c1cccc([C@@H]2CCCN2c2cc[nH+]cc2F)c1 ZINC000881994383 1125331562 /nfs/dbraw/zinc/33/15/62/1125331562.db2.gz WRQYNUGUNCYNNU-INIZCTEOSA-N 1 2 285.366 3.628 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CC(C)(C)[C@@H]1c1ccco1 ZINC000639926329 1125335602 /nfs/dbraw/zinc/33/56/02/1125335602.db2.gz LIKHSOFJTUKZFZ-HNNXBMFYSA-N 1 2 274.364 3.722 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CC(C)(C)[C@@H]1c1ccco1 ZINC000639926329 1125335604 /nfs/dbraw/zinc/33/56/04/1125335604.db2.gz LIKHSOFJTUKZFZ-HNNXBMFYSA-N 1 2 274.364 3.722 20 0 CHADLO Fc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)c(F)c1 ZINC000036982109 1125364262 /nfs/dbraw/zinc/36/42/62/1125364262.db2.gz LYYRKZUVEFAQJA-UHFFFAOYSA-N 1 2 289.329 3.572 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2ccc(C(C)(C)C)o2)n1 ZINC000883199897 1125376606 /nfs/dbraw/zinc/37/66/06/1125376606.db2.gz SSOQKAYTZXIWGB-UHFFFAOYSA-N 1 2 275.396 3.713 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCn2ccnc21)c1sccc1Cl ZINC000883225809 1125379598 /nfs/dbraw/zinc/37/95/98/1125379598.db2.gz NJNPIAOOBRYSNX-GHMZBOCLSA-N 1 2 281.812 3.784 20 0 CHADLO CCSc1ccc(C[NH2+][C@H]2CCCc3[nH]ncc32)cc1 ZINC000883244997 1125382978 /nfs/dbraw/zinc/38/29/78/1125382978.db2.gz BLVNOHICXPWKDM-HNNXBMFYSA-N 1 2 287.432 3.689 20 0 CHADLO CCC[C@H]1C[N@H+](Cc2ccc(Cl)cc2C)CCO1 ZINC001168199671 1125391997 /nfs/dbraw/zinc/39/19/97/1125391997.db2.gz AQOWBZQSACHQAJ-HNNXBMFYSA-N 1 2 267.800 3.649 20 0 CHADLO CCC[C@H]1C[N@@H+](Cc2ccc(Cl)cc2C)CCO1 ZINC001168199671 1125392000 /nfs/dbraw/zinc/39/20/00/1125392000.db2.gz AQOWBZQSACHQAJ-HNNXBMFYSA-N 1 2 267.800 3.649 20 0 CHADLO CC[C@H]([NH2+]C1(c2ncccn2)CCC1)c1ccc(F)cc1 ZINC000883313491 1125393746 /nfs/dbraw/zinc/39/37/46/1125393746.db2.gz FPGQLJRDJCCQIG-HNNXBMFYSA-N 1 2 285.366 3.736 20 0 CHADLO Cc1cc(NCc2csc(C3CC3)n2)c[nH+]c1C ZINC000883341970 1125398710 /nfs/dbraw/zinc/39/87/10/1125398710.db2.gz GCMPSWHFXYDTSK-UHFFFAOYSA-N 1 2 259.378 3.644 20 0 CHADLO Cc1ccccc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001239504900 1131352597 /nfs/dbraw/zinc/35/25/97/1131352597.db2.gz YXXFYJMOZMAFSG-UHFFFAOYSA-N 1 2 252.292 3.987 20 0 CHADLO F[C@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1cc2cnccc2o1 ZINC000883381220 1125406029 /nfs/dbraw/zinc/40/60/29/1125406029.db2.gz IXMVZDPUOCDYQD-WMZOPIPTSA-N 1 2 296.345 3.943 20 0 CHADLO CC(C)n1nccc1C[NH2+][C@H]1c2ccccc2CC[C@H]1F ZINC000883380834 1125406433 /nfs/dbraw/zinc/40/64/33/1125406433.db2.gz BCKSXIDDQUVMNM-SJORKVTESA-N 1 2 287.382 3.579 20 0 CHADLO Cc1noc(C)c1C[NH2+]C1(c2c(F)cccc2F)CCC1 ZINC000626178340 1125419960 /nfs/dbraw/zinc/41/99/60/1125419960.db2.gz QMDZRILEMGWTHB-UHFFFAOYSA-N 1 2 292.329 3.739 20 0 CHADLO Cc1ccc(NCc2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC000035040815 1125423168 /nfs/dbraw/zinc/42/31/68/1125423168.db2.gz FKWLYDAQRKYEIU-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C)cs1)c1cc(F)ccc1F ZINC000123952029 1125433582 /nfs/dbraw/zinc/43/35/82/1125433582.db2.gz PMIVKUJVDRDXDQ-CYBMUJFWSA-N 1 2 282.359 3.971 20 0 CHADLO COC1CCC(CNc2[nH+]c3ccccc3cc2C)CC1 ZINC000532640131 1125436065 /nfs/dbraw/zinc/43/60/65/1125436065.db2.gz POZWUSWLFXUSAY-UHFFFAOYSA-N 1 2 284.403 3.582 20 0 CHADLO Cc1ccc2c(Nc3cc(C(N)=O)ccc3C)cccc2[nH+]1 ZINC001212797842 1125445446 /nfs/dbraw/zinc/44/54/46/1125445446.db2.gz NBDVZCZRSQCQHH-UHFFFAOYSA-N 1 2 291.354 3.694 20 0 CHADLO CCCCc1noc([C@@H](C)[NH2+][C@@H](C)c2ccccc2)n1 ZINC000107070298 1125445846 /nfs/dbraw/zinc/44/58/46/1125445846.db2.gz SDBPSHOLNDFHIB-QWHCGFSZSA-N 1 2 273.380 3.824 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@H](C)c2ccon2)cc1F ZINC000348256257 1125445886 /nfs/dbraw/zinc/44/58/86/1125445886.db2.gz WCEMDWZTGVRIPL-NXEZZACHSA-N 1 2 280.368 3.947 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)[C@@H]1C[C@H]1c1c[nH]c[nH+]1 ZINC000635450612 1129182475 /nfs/dbraw/zinc/18/24/75/1129182475.db2.gz UPTMIOXGAOMBTE-HUUCEWRRSA-N 1 2 297.402 3.741 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)[C@@H]1C[C@H]1c1c[nH+]c[nH]1 ZINC000635450612 1129182479 /nfs/dbraw/zinc/18/24/79/1129182479.db2.gz UPTMIOXGAOMBTE-HUUCEWRRSA-N 1 2 297.402 3.741 20 0 CHADLO CCC(CC)(CC)NC(=O)[C@H](CC(C)C)n1cc[nH+]c1 ZINC000635608770 1129186885 /nfs/dbraw/zinc/18/68/85/1129186885.db2.gz ZCXDSTYHZNJQNA-AWEZNQCLSA-N 1 2 279.428 3.555 20 0 CHADLO CCCc1ccc(C[N@H+](C)[C@@H](C)c2nc(C)no2)s1 ZINC000621732132 1129188161 /nfs/dbraw/zinc/18/81/61/1129188161.db2.gz PHDLCTQQSCRIFL-JTQLQIEISA-N 1 2 279.409 3.585 20 0 CHADLO CCCc1ccc(C[N@@H+](C)[C@@H](C)c2nc(C)no2)s1 ZINC000621732132 1129188163 /nfs/dbraw/zinc/18/81/63/1129188163.db2.gz PHDLCTQQSCRIFL-JTQLQIEISA-N 1 2 279.409 3.585 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1c2c(nn1C)CCCC2)C1CC1 ZINC000637970646 1129238836 /nfs/dbraw/zinc/23/88/36/1129238836.db2.gz HEVQBTMWDDIEDI-CYBMUJFWSA-N 1 2 299.418 3.618 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1c2c(nn1C)CCCC2)C1CC1 ZINC000637970646 1129238837 /nfs/dbraw/zinc/23/88/37/1129238837.db2.gz HEVQBTMWDDIEDI-CYBMUJFWSA-N 1 2 299.418 3.618 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nnc[nH]1)C1CCCCC1)c1cccs1 ZINC000638292078 1129252550 /nfs/dbraw/zinc/25/25/50/1129252550.db2.gz BJWWKZGAGFDXJT-BXUZGUMPSA-N 1 2 290.436 3.838 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2cc(C3CC3)no2)o1 ZINC000638385604 1129256962 /nfs/dbraw/zinc/25/69/62/1129256962.db2.gz LXGNHSBHIREXKW-HZMBPMFUSA-N 1 2 272.348 3.558 20 0 CHADLO Cc1ccc(C2=CC[N@H+](Cc3cscn3)CC2)cc1 ZINC000795393485 1129260125 /nfs/dbraw/zinc/26/01/25/1129260125.db2.gz XAVWOFUTIZLNTC-UHFFFAOYSA-N 1 2 270.401 3.741 20 0 CHADLO Cc1ccc(C2=CC[N@@H+](Cc3cscn3)CC2)cc1 ZINC000795393485 1129260127 /nfs/dbraw/zinc/26/01/27/1129260127.db2.gz XAVWOFUTIZLNTC-UHFFFAOYSA-N 1 2 270.401 3.741 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCO[C@@H](C)C2)cc1)C(C)C ZINC000246212407 1129262027 /nfs/dbraw/zinc/26/20/27/1129262027.db2.gz XLLSHOXIPNNZHX-YOEHRIQHSA-N 1 2 276.424 3.758 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(OC(C)C)cc1C ZINC000090172417 1129272409 /nfs/dbraw/zinc/27/24/09/1129272409.db2.gz NSQOOPXTFYAKNT-UHFFFAOYSA-N 1 2 273.380 3.611 20 0 CHADLO Fc1cc(Cl)ccc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000639066962 1129279953 /nfs/dbraw/zinc/27/99/53/1129279953.db2.gz GPBVFYNXGMOCHZ-UHFFFAOYSA-N 1 2 280.730 3.634 20 0 CHADLO Cc1noc([C@H](C)[NH2+]C/C=C/c2c(C)cc(C)cc2C)n1 ZINC000639098498 1129281903 /nfs/dbraw/zinc/28/19/03/1129281903.db2.gz PYHNADZKULGJBV-UZYOAWRESA-N 1 2 285.391 3.667 20 0 CHADLO C[C@H]([NH2+][C@H](c1nnc[nH]1)C1CCCCC1)c1ccsc1 ZINC000639142168 1129286933 /nfs/dbraw/zinc/28/69/33/1129286933.db2.gz VUFCIQQDMAQYDG-FZMZJTMJSA-N 1 2 290.436 3.838 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H](C)[C@@H]3CC3(F)F)cc2)c1C ZINC000639198072 1129291475 /nfs/dbraw/zinc/29/14/75/1129291475.db2.gz NGVLDVWRSUXICR-NHYWBVRUSA-N 1 2 291.345 3.945 20 0 CHADLO c1n[nH]c([C@H]([NH2+]C23CCC(CC2)CC3)C2CCCCC2)n1 ZINC000639236972 1129296446 /nfs/dbraw/zinc/29/64/46/1129296446.db2.gz UXNXPDJFUBOEGL-GNHJJJEISA-N 1 2 288.439 3.739 20 0 CHADLO CC[N@H+](Cc1nonc1C)Cc1ccc2ccccc2c1 ZINC000734146776 1129296815 /nfs/dbraw/zinc/29/68/15/1129296815.db2.gz NSMGSFVWGLZBBW-UHFFFAOYSA-N 1 2 281.359 3.553 20 0 CHADLO CC[N@@H+](Cc1nonc1C)Cc1ccc2ccccc2c1 ZINC000734146776 1129296818 /nfs/dbraw/zinc/29/68/18/1129296818.db2.gz NSMGSFVWGLZBBW-UHFFFAOYSA-N 1 2 281.359 3.553 20 0 CHADLO C[C@H]1C[N@H+](Cc2noc3c2CCCC3)[C@@H]1c1ccccc1 ZINC000177826650 1129298823 /nfs/dbraw/zinc/29/88/23/1129298823.db2.gz QRULRFLZQGFUKZ-UGSOOPFHSA-N 1 2 282.387 3.746 20 0 CHADLO C[C@H]1C[N@@H+](Cc2noc3c2CCCC3)[C@@H]1c1ccccc1 ZINC000177826650 1129298825 /nfs/dbraw/zinc/29/88/25/1129298825.db2.gz QRULRFLZQGFUKZ-UGSOOPFHSA-N 1 2 282.387 3.746 20 0 CHADLO Nc1cc(Cl)[nH+]c(N[C@H](c2ccccc2)C2CC2)c1 ZINC001154642098 1129299319 /nfs/dbraw/zinc/29/93/19/1129299319.db2.gz LUVCAQPOVSZGJL-OAHLLOKOSA-N 1 2 273.767 3.880 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1cccc(F)c1)[C@H]1CC1(F)F ZINC000639307325 1129301727 /nfs/dbraw/zinc/30/17/27/1129301727.db2.gz VCZJRXMMEMBKRV-LDYMZIIASA-N 1 2 279.252 3.551 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1nc(C2CCC2)no1 ZINC000639441268 1129315054 /nfs/dbraw/zinc/31/50/54/1129315054.db2.gz ILXNMYXNWOOTOJ-LBPRGKRZSA-N 1 2 271.364 3.530 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1nc(C2CCC2)no1 ZINC000639441268 1129315059 /nfs/dbraw/zinc/31/50/59/1129315059.db2.gz ILXNMYXNWOOTOJ-LBPRGKRZSA-N 1 2 271.364 3.530 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2nc(CC3CC3)no2)C2CCC2)cc1 ZINC000639529368 1129322255 /nfs/dbraw/zinc/32/22/55/1129322255.db2.gz DODQHMOQNHWUHL-GOSISDBHSA-N 1 2 297.402 3.653 20 0 CHADLO Cc1ccc(OC[C@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000734835379 1129323918 /nfs/dbraw/zinc/32/39/18/1129323918.db2.gz UUTHHSJMMDTYJU-AWEZNQCLSA-N 1 2 297.402 3.850 20 0 CHADLO Cc1cc(N[C@@H](C)COc2ccccc2)nc(C2CC2)[nH+]1 ZINC000734834902 1129324518 /nfs/dbraw/zinc/32/45/18/1129324518.db2.gz MDQQZIMBQMVLIW-ZDUSSCGKSA-N 1 2 283.375 3.542 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H](C)c1ccc2ncsc2c1 ZINC000639595968 1129325754 /nfs/dbraw/zinc/32/57/54/1129325754.db2.gz PWCNYJMFJHRCKJ-VIFPVBQESA-N 1 2 270.348 3.992 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC(C)(C)[C@H]2c2ccco2)c1 ZINC000639929745 1129340485 /nfs/dbraw/zinc/34/04/85/1129340485.db2.gz PCORUBDXLKMCMS-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO Cc1ccnc(C[N@H+]2CC(C)(C)[C@H]2c2ccco2)c1 ZINC000639929745 1129340488 /nfs/dbraw/zinc/34/04/88/1129340488.db2.gz PCORUBDXLKMCMS-OAHLLOKOSA-N 1 2 256.349 3.566 20 0 CHADLO CC[C@](C)([NH2+]Cc1nc(C)c(C)o1)c1ccc(F)cc1 ZINC000639929454 1129340645 /nfs/dbraw/zinc/34/06/45/1129340645.db2.gz VHYBTCUIDLTLEL-INIZCTEOSA-N 1 2 276.355 3.846 20 0 CHADLO Cc1n[nH]cc1C[N@@H+](C)[C@@H](C)c1cccc(Cl)c1F ZINC000639971645 1129342870 /nfs/dbraw/zinc/34/28/70/1129342870.db2.gz TVHRKLGAKSVBIJ-JTQLQIEISA-N 1 2 281.762 3.704 20 0 CHADLO Cc1n[nH]cc1C[N@H+](C)[C@@H](C)c1cccc(Cl)c1F ZINC000639971645 1129342874 /nfs/dbraw/zinc/34/28/74/1129342874.db2.gz TVHRKLGAKSVBIJ-JTQLQIEISA-N 1 2 281.762 3.704 20 0 CHADLO Cc1ncc([C@H](C)[NH2+]Cc2ncc(-c3ccccc3)o2)s1 ZINC000639995124 1129344227 /nfs/dbraw/zinc/34/42/27/1129344227.db2.gz REMLYZXSXHGGAH-NSHDSACASA-N 1 2 299.399 3.957 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@H](C)c2cnc(C)s2)n1 ZINC000639994863 1129344432 /nfs/dbraw/zinc/34/44/32/1129344432.db2.gz FXUPGOSQTPUQMP-LLVKDONJSA-N 1 2 292.452 3.860 20 0 CHADLO Cc1ncc([C@@H](C)[NH2+]Cc2cc(-c3ccco3)on2)s1 ZINC000639994382 1129344494 /nfs/dbraw/zinc/34/44/94/1129344494.db2.gz IZKKJJFGNCDVBA-SECBINFHSA-N 1 2 289.360 3.550 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2c(s1)CCC2)c1ccc(Cl)cn1 ZINC000719562945 1129345125 /nfs/dbraw/zinc/34/51/25/1129345125.db2.gz WNOPLAKVYIMXER-SECBINFHSA-N 1 2 293.823 3.531 20 0 CHADLO Cc1cn2cc(NC(=O)/C=C\C3CCCCC3)ccc2[nH+]1 ZINC000735437500 1129346209 /nfs/dbraw/zinc/34/62/09/1129346209.db2.gz NIQOIOLOWHTQRR-YFHOEESVSA-N 1 2 283.375 3.718 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(-c2ccccc2)on1)c1ccoc1 ZINC000178415537 1129355856 /nfs/dbraw/zinc/35/58/56/1129355856.db2.gz UKZKTSWGUSHWAW-GFCCVEGCSA-N 1 2 268.316 3.785 20 0 CHADLO C[C@@H](C[N@H+](C)Cc1nnc(C(F)(F)F)s1)C(C)(C)C ZINC000640346696 1129356044 /nfs/dbraw/zinc/35/60/44/1129356044.db2.gz CHENMSWDVNKGTL-QMMMGPOBSA-N 1 2 295.374 3.671 20 0 CHADLO C[C@@H](C[N@@H+](C)Cc1nnc(C(F)(F)F)s1)C(C)(C)C ZINC000640346696 1129356047 /nfs/dbraw/zinc/35/60/47/1129356047.db2.gz CHENMSWDVNKGTL-QMMMGPOBSA-N 1 2 295.374 3.671 20 0 CHADLO Cc1cc(N2CCSC(C)(C)C2)[nH+]c2ccccc12 ZINC001323595717 1129357346 /nfs/dbraw/zinc/35/73/46/1129357346.db2.gz CQXBKEGYEWUUQI-UHFFFAOYSA-N 1 2 272.417 3.875 20 0 CHADLO Cc1oncc1CNc1cc(C)c2cccc(C)c2[nH+]1 ZINC001154881384 1129366873 /nfs/dbraw/zinc/36/68/73/1129366873.db2.gz VXCRPKUBKHKJSQ-UHFFFAOYSA-N 1 2 267.332 3.760 20 0 CHADLO Fc1c[nH+]ccc1N1CCC(c2ccsc2)CC1 ZINC000641500083 1129382911 /nfs/dbraw/zinc/38/29/11/1129382911.db2.gz CNXVNYFEMAKHMA-UHFFFAOYSA-N 1 2 262.353 3.666 20 0 CHADLO OCc1cc[nH+]c(N[C@H]2CCCc3sc(Cl)cc32)c1 ZINC000641607845 1129384954 /nfs/dbraw/zinc/38/49/54/1129384954.db2.gz UNTDXNKKILDOKW-NSHDSACASA-N 1 2 294.807 3.778 20 0 CHADLO CN(c1cc[nH+]cc1F)[C@H]1CC[C@@H](C(F)(F)F)CC1 ZINC000641601163 1129385304 /nfs/dbraw/zinc/38/53/04/1129385304.db2.gz BWPOQAFYBUMHGC-AOOOYVTPSA-N 1 2 276.277 3.778 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(SC)o1)c1nccs1 ZINC000641724378 1129389182 /nfs/dbraw/zinc/38/91/82/1129389182.db2.gz BJYMXAMFDIHGOP-JTQLQIEISA-N 1 2 268.407 3.699 20 0 CHADLO COc1ccccc1C1([NH2+]Cc2ccc(SC)o2)CC1 ZINC000641765356 1129390540 /nfs/dbraw/zinc/39/05/40/1129390540.db2.gz YLNHUGKWMLNFHD-UHFFFAOYSA-N 1 2 289.400 3.789 20 0 CHADLO CC(C)c1ccccc1NC(=O)C[C@@H](C)[NH2+][C@H](C)C(F)F ZINC000641789893 1129392745 /nfs/dbraw/zinc/39/27/45/1129392745.db2.gz DIXZNFXKBFAXQJ-VXGBXAGGSA-N 1 2 298.377 3.770 20 0 CHADLO Cc1ccc(C(C)(C)C[NH2+][C@@H](C)C(=O)OC(C)(C)C)cc1 ZINC000738417060 1129393448 /nfs/dbraw/zinc/39/34/48/1129393448.db2.gz UYZSRLDXBRRULP-AWEZNQCLSA-N 1 2 291.435 3.592 20 0 CHADLO C[C@@H]([NH2+]CC(C)(C)Cc1ccccc1)C(=O)OC(C)(C)C ZINC000738425733 1129394267 /nfs/dbraw/zinc/39/42/67/1129394267.db2.gz QTXSUEBCWOSRKZ-CQSZACIVSA-N 1 2 291.435 3.575 20 0 CHADLO Cc1nc2[nH]ccc2c(NC[C@H]2CCCC[C@H]2C)[nH+]1 ZINC001155072698 1129416875 /nfs/dbraw/zinc/41/68/75/1129416875.db2.gz MVIFOBKEWYOBHU-ZYHUDNBSSA-N 1 2 258.369 3.505 20 0 CHADLO C[C@@H]1C[C@@H](C)[N@H+](Cn2nc(-c3ccccc3)oc2=S)C1 ZINC000740860508 1129420835 /nfs/dbraw/zinc/42/08/35/1129420835.db2.gz PYFLYAPKKUZUIS-VXGBXAGGSA-N 1 2 289.404 3.560 20 0 CHADLO C[C@@H]1C[C@@H](C)[N@@H+](Cn2nc(-c3ccccc3)oc2=S)C1 ZINC000740860508 1129420836 /nfs/dbraw/zinc/42/08/36/1129420836.db2.gz PYFLYAPKKUZUIS-VXGBXAGGSA-N 1 2 289.404 3.560 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)OCc1ccc(C)cc1)c1ccccc1 ZINC000741150197 1129430849 /nfs/dbraw/zinc/43/08/49/1129430849.db2.gz ABZXBOPTQCAZTB-GOSISDBHSA-N 1 2 297.398 3.731 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)OCc1ccc(C)cc1)c1ccccc1 ZINC000741150197 1129430851 /nfs/dbraw/zinc/43/08/51/1129430851.db2.gz ABZXBOPTQCAZTB-GOSISDBHSA-N 1 2 297.398 3.731 20 0 CHADLO CO[C@@H]1CCC[C@H](Nc2ccc(-n3c[nH+]c(C)c3C)cc2)C1 ZINC000643354281 1129440089 /nfs/dbraw/zinc/44/00/89/1129440089.db2.gz ILQOQJOLOXJRFT-FUHWJXTLSA-N 1 2 299.418 3.859 20 0 CHADLO Cc1n[nH]cc1[C@@H](C)[NH2+][C@@H](c1ccco1)c1ccccc1 ZINC000180020903 1129444413 /nfs/dbraw/zinc/44/44/13/1129444413.db2.gz SWKAWMWGPICUSV-SJKOYZFVSA-N 1 2 281.359 3.751 20 0 CHADLO COc1ccccc1-c1cccc(-c2c[nH+]cn2C)c1 ZINC001239541900 1131375786 /nfs/dbraw/zinc/37/57/86/1131375786.db2.gz XQGWWOYQGZUELH-UHFFFAOYSA-N 1 2 264.328 3.763 20 0 CHADLO FCC[N@@H+](Cc1ccc(F)cc1Cl)CC1CC1 ZINC000796121468 1129468164 /nfs/dbraw/zinc/46/81/64/1129468164.db2.gz AGEOZOBTNZDOGV-UHFFFAOYSA-N 1 2 259.727 3.661 20 0 CHADLO FCC[N@H+](Cc1ccc(F)cc1Cl)CC1CC1 ZINC000796121468 1129468167 /nfs/dbraw/zinc/46/81/67/1129468167.db2.gz AGEOZOBTNZDOGV-UHFFFAOYSA-N 1 2 259.727 3.661 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2cccnc2Cl)C2CC2)n1 ZINC000742376871 1129476307 /nfs/dbraw/zinc/47/63/07/1129476307.db2.gz BKOXJVBTZWDXAH-GFCCVEGCSA-N 1 2 293.823 3.741 20 0 CHADLO CC[N@H+](CC(=O)OC)Cc1ccc2oc3ccccc3c2c1 ZINC000742594108 1129489887 /nfs/dbraw/zinc/48/98/87/1129489887.db2.gz MEJFGBIFBQIJJJ-UHFFFAOYSA-N 1 2 297.354 3.581 20 0 CHADLO CC[N@@H+](CC(=O)OC)Cc1ccc2oc3ccccc3c2c1 ZINC000742594108 1129489888 /nfs/dbraw/zinc/48/98/88/1129489888.db2.gz MEJFGBIFBQIJJJ-UHFFFAOYSA-N 1 2 297.354 3.581 20 0 CHADLO CCCCC[C@@H](C)CC(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000742598462 1129490024 /nfs/dbraw/zinc/49/00/24/1129490024.db2.gz BDYFDVVQOYJHLU-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1sccc1C[NH2+][C@H]1COc2cc(F)cc(F)c21 ZINC000645171714 1129490058 /nfs/dbraw/zinc/49/00/58/1129490058.db2.gz BVDAJDWPJFBSDT-LBPRGKRZSA-N 1 2 281.327 3.558 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2CF)cc1Br ZINC000645241558 1129492624 /nfs/dbraw/zinc/49/26/24/1129492624.db2.gz SRKZDCOSRBKBJV-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2CF)cc1Br ZINC000645241558 1129492625 /nfs/dbraw/zinc/49/26/25/1129492625.db2.gz SRKZDCOSRBKBJV-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1nnc([C@H]2Cc3ccccc3C[N@@H+]2CC2=CCCC2)o1 ZINC000645779560 1129506107 /nfs/dbraw/zinc/50/61/07/1129506107.db2.gz NMUNINXATXOLIC-QGZVFWFLSA-N 1 2 295.386 3.588 20 0 CHADLO Cc1nnc([C@H]2Cc3ccccc3C[N@H+]2CC2=CCCC2)o1 ZINC000645779560 1129506111 /nfs/dbraw/zinc/50/61/11/1129506111.db2.gz NMUNINXATXOLIC-QGZVFWFLSA-N 1 2 295.386 3.588 20 0 CHADLO Cc1cnc(C[NH2+]C2(c3ccccc3Cl)CC2)s1 ZINC000645978222 1129515147 /nfs/dbraw/zinc/51/51/47/1129515147.db2.gz YDLZDIRPGQIGSZ-UHFFFAOYSA-N 1 2 278.808 3.884 20 0 CHADLO COc1cccc(C[NH2+]C2(c3ccccc3Cl)CC2)n1 ZINC000645977049 1129515386 /nfs/dbraw/zinc/51/53/86/1129515386.db2.gz PPUYHESXXWPUEG-UHFFFAOYSA-N 1 2 288.778 3.523 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3nc(C(C)(C)C)no3)CCC2)c1 ZINC000646021319 1129518549 /nfs/dbraw/zinc/51/85/49/1129518549.db2.gz VMHVFMBNOCGXFE-UHFFFAOYSA-N 1 2 299.418 3.845 20 0 CHADLO CC1(C)C[N@H+](Cc2[nH]nc3ccccc32)[C@@H]1c1ccncc1 ZINC000646216501 1129528406 /nfs/dbraw/zinc/52/84/06/1129528406.db2.gz ZDQQHVLOAGSDON-QGZVFWFLSA-N 1 2 292.386 3.541 20 0 CHADLO CC1(C)C[N@@H+](Cc2[nH]nc3ccccc32)[C@@H]1c1ccncc1 ZINC000646216501 1129528410 /nfs/dbraw/zinc/52/84/10/1129528410.db2.gz ZDQQHVLOAGSDON-QGZVFWFLSA-N 1 2 292.386 3.541 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H](C[C@@H]1CCOC1)c1ccccc1 ZINC000181012752 1129529887 /nfs/dbraw/zinc/52/98/87/1129529887.db2.gz WXPZZNVWHWRBHM-YEWWUXTCSA-N 1 2 299.418 3.537 20 0 CHADLO [NH3+][C@@H](c1nc(C2CCCCC2)no1)c1cccc(Cl)c1 ZINC000647120160 1129551176 /nfs/dbraw/zinc/55/11/76/1129551176.db2.gz HINPUELFZHRRQA-CYBMUJFWSA-N 1 2 291.782 3.819 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2coc(-c3ccccc3)n2)C1 ZINC000648058405 1129594013 /nfs/dbraw/zinc/59/40/13/1129594013.db2.gz IPZJKYLFAUVQEH-UHFFFAOYSA-N 1 2 292.329 3.819 20 0 CHADLO CC[N@H+](C)[C@H](C(=O)OCCC(C)(C)C)c1ccccc1 ZINC000745102513 1129595353 /nfs/dbraw/zinc/59/53/53/1129595353.db2.gz WBXPPHSKOAWACP-HNNXBMFYSA-N 1 2 277.408 3.659 20 0 CHADLO CC[N@@H+](C)[C@H](C(=O)OCCC(C)(C)C)c1ccccc1 ZINC000745102513 1129595358 /nfs/dbraw/zinc/59/53/58/1129595358.db2.gz WBXPPHSKOAWACP-HNNXBMFYSA-N 1 2 277.408 3.659 20 0 CHADLO CCOc1ccccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001239559030 1131385498 /nfs/dbraw/zinc/38/54/98/1131385498.db2.gz GJEIFIHGTUQFSC-UHFFFAOYSA-N 1 2 264.328 3.938 20 0 CHADLO CCC[N@@H+](Cc1ccccc1F)Cn1cccnc1=S ZINC000746037059 1129622860 /nfs/dbraw/zinc/62/28/60/1129622860.db2.gz CSUYZCFXVTZKQX-UHFFFAOYSA-N 1 2 291.395 3.621 20 0 CHADLO CCC[N@H+](Cc1ccccc1F)Cn1cccnc1=S ZINC000746037059 1129622862 /nfs/dbraw/zinc/62/28/62/1129622862.db2.gz CSUYZCFXVTZKQX-UHFFFAOYSA-N 1 2 291.395 3.621 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cccc3ncccc23)CC1(F)F ZINC001137624090 1131386875 /nfs/dbraw/zinc/38/68/75/1131386875.db2.gz HBTKPHYYZYQQLP-LBPRGKRZSA-N 1 2 276.330 3.712 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cccc3ncccc23)CC1(F)F ZINC001137624090 1131386878 /nfs/dbraw/zinc/38/68/78/1131386878.db2.gz HBTKPHYYZYQQLP-LBPRGKRZSA-N 1 2 276.330 3.712 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000648912159 1129630030 /nfs/dbraw/zinc/63/00/30/1129630030.db2.gz SNFMPRPEFJLJTQ-JKSUJKDBSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](C)CSc1ccc(C)cc1 ZINC000186472959 1129633428 /nfs/dbraw/zinc/63/34/28/1129633428.db2.gz DVRASRFURCPDFX-OLZOCXBDSA-N 1 2 289.448 3.858 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1ccc(Br)cc1 ZINC000379440059 1129636954 /nfs/dbraw/zinc/63/69/54/1129636954.db2.gz JKWCLCRPXBDNFR-NSHDSACASA-N 1 2 290.151 3.727 20 0 CHADLO C(c1nnc(C2CC2)s1)[NH+]1CCC(C2CCCC2)CC1 ZINC000649325635 1129640563 /nfs/dbraw/zinc/64/05/63/1129640563.db2.gz INYGOHVRFKHXAR-UHFFFAOYSA-N 1 2 291.464 3.818 20 0 CHADLO C(=C/c1ccccc1)\CC[N@@H+]1CCOC[C@@H]1c1ccco1 ZINC000649315600 1129640569 /nfs/dbraw/zinc/64/05/69/1129640569.db2.gz IUMARWCVYSGIJC-MDGVGCGJSA-N 1 2 283.371 3.756 20 0 CHADLO C(=C/c1ccccc1)\CC[N@H+]1CCOC[C@@H]1c1ccco1 ZINC000649315600 1129640572 /nfs/dbraw/zinc/64/05/72/1129640572.db2.gz IUMARWCVYSGIJC-MDGVGCGJSA-N 1 2 283.371 3.756 20 0 CHADLO CSc1ccc(C[NH2+][C@H]2CCCC2(F)F)s1 ZINC000381609291 1129644944 /nfs/dbraw/zinc/64/49/44/1129644944.db2.gz RMXIZNTWITXQRK-VIFPVBQESA-N 1 2 263.378 3.747 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2cc3n(n2)CCCC3)cc1 ZINC000649477578 1129651758 /nfs/dbraw/zinc/65/17/58/1129651758.db2.gz VQGQUJUOXNOMQR-GOSISDBHSA-N 1 2 299.393 3.696 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2cc3n(n2)CCCC3)cc1 ZINC000649477578 1129651760 /nfs/dbraw/zinc/65/17/60/1129651760.db2.gz VQGQUJUOXNOMQR-GOSISDBHSA-N 1 2 299.393 3.696 20 0 CHADLO CCOc1cc(Nc2c[nH+]ccc2OC)ccc1Cl ZINC001212577987 1129652764 /nfs/dbraw/zinc/65/27/64/1129652764.db2.gz GRYYGLRCAMUCRX-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO CC1(C)C[N@H+](Cc2cc3n(n2)CCCC3)[C@@H]1c1ccccc1 ZINC000649562603 1129658552 /nfs/dbraw/zinc/65/85/52/1129658552.db2.gz NQGSJGIYAYVDMI-GOSISDBHSA-N 1 2 295.430 3.803 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc3n(n2)CCCC3)[C@@H]1c1ccccc1 ZINC000649562603 1129658555 /nfs/dbraw/zinc/65/85/55/1129658555.db2.gz NQGSJGIYAYVDMI-GOSISDBHSA-N 1 2 295.430 3.803 20 0 CHADLO CC[C@@H]1CCC[C@H]1Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000766427881 1129665952 /nfs/dbraw/zinc/66/59/52/1129665952.db2.gz LPLWQPUQAOQTJC-DGCLKSJQSA-N 1 2 287.411 3.572 20 0 CHADLO Clc1ccc(C[N@@H+]2CC[C@@H]2c2ccccc2)cn1 ZINC000746456640 1129666122 /nfs/dbraw/zinc/66/61/22/1129666122.db2.gz BUUNQGHKNYASSG-CQSZACIVSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1ccc(C[N@H+]2CC[C@@H]2c2ccccc2)cn1 ZINC000746456640 1129666125 /nfs/dbraw/zinc/66/61/25/1129666125.db2.gz BUUNQGHKNYASSG-CQSZACIVSA-N 1 2 258.752 3.682 20 0 CHADLO CC(C)(C(=O)Nc1ccc2[nH+]ccn2c1)C1CCCCC1 ZINC000766452590 1129667219 /nfs/dbraw/zinc/66/72/19/1129667219.db2.gz BZXUNBRYDDZRPU-UHFFFAOYSA-N 1 2 285.391 3.879 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](C)c2cccc(C3CC3)c2)n1 ZINC000649687296 1129669944 /nfs/dbraw/zinc/66/99/44/1129669944.db2.gz XJZAUFLRTKYOFC-WDEREUQCSA-N 1 2 271.364 3.667 20 0 CHADLO CC(C)Oc1cc(NCCCCc2ccccn2)cc[nH+]1 ZINC001168794631 1129670197 /nfs/dbraw/zinc/67/01/97/1129670197.db2.gz APKKYJCFIMRMLD-UHFFFAOYSA-N 1 2 285.391 3.699 20 0 CHADLO CCOc1ccc(-c2cn3cc[nH+]c3cc2C)cc1 ZINC001239564852 1131389812 /nfs/dbraw/zinc/38/98/12/1131389812.db2.gz OEQJCOUAOLXCII-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccc(Cl)s2)C2(CCC2)CO1 ZINC000649822497 1129679752 /nfs/dbraw/zinc/67/97/52/1129679752.db2.gz IIVFOWPICFNJEM-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccc(Cl)s2)C2(CCC2)CO1 ZINC000649822497 1129679753 /nfs/dbraw/zinc/67/97/53/1129679753.db2.gz IIVFOWPICFNJEM-JTQLQIEISA-N 1 2 271.813 3.545 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2nc3ccccc3o2)nc1 ZINC000649903227 1129685613 /nfs/dbraw/zinc/68/56/13/1129685613.db2.gz SMFSWZDGVCGXDH-CYBMUJFWSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2nc3ccccc3o2)nc1 ZINC000649903227 1129685615 /nfs/dbraw/zinc/68/56/15/1129685615.db2.gz SMFSWZDGVCGXDH-CYBMUJFWSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1cc(Cl)cc(NCCc2cn3ccccc3[nH+]2)c1 ZINC001169003276 1129689125 /nfs/dbraw/zinc/68/91/25/1129689125.db2.gz BTSLKPNGIHHNBS-UHFFFAOYSA-N 1 2 285.778 3.951 20 0 CHADLO CC(C)(C)CC(C)(C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC001169051605 1129692521 /nfs/dbraw/zinc/69/25/21/1129692521.db2.gz CXSQYQUAWXXHPO-UHFFFAOYSA-N 1 2 286.423 3.953 20 0 CHADLO C[C@@H](CC(=O)Nc1ccccc1C(C)(F)F)n1cc[nH+]c1 ZINC000650068994 1129694175 /nfs/dbraw/zinc/69/41/75/1129694175.db2.gz JUNCCZDVUQDGBC-NSHDSACASA-N 1 2 293.317 3.585 20 0 CHADLO COCc1cc[nH+]c(NCc2cccc3c2OC(C)(C)C3)c1 ZINC000650243939 1129702562 /nfs/dbraw/zinc/70/25/62/1129702562.db2.gz VSUVZDXQOKYQAS-UHFFFAOYSA-N 1 2 298.386 3.554 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(Cl)c(C)c2)c1 ZINC000650243736 1129702638 /nfs/dbraw/zinc/70/26/38/1129702638.db2.gz NNTALUVBCWBEBN-UHFFFAOYSA-N 1 2 276.767 3.802 20 0 CHADLO CN(C)c1cc(Cl)[nH+]c(N[C@@H]2CCCC(F)(F)C2)c1 ZINC001169214869 1129708791 /nfs/dbraw/zinc/70/87/91/1129708791.db2.gz NADZQKLCLRWYPO-SECBINFHSA-N 1 2 289.757 3.791 20 0 CHADLO C[C@H]1CC[N@H+](Cc2csc(Cl)n2)CC12CCC2 ZINC000828610538 1131392568 /nfs/dbraw/zinc/39/25/68/1131392568.db2.gz KONPTVBCZVVYQS-JTQLQIEISA-N 1 2 270.829 3.809 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2csc(Cl)n2)CC12CCC2 ZINC000828610538 1131392572 /nfs/dbraw/zinc/39/25/72/1131392572.db2.gz KONPTVBCZVVYQS-JTQLQIEISA-N 1 2 270.829 3.809 20 0 CHADLO COc1c[nH+]c(N[C@@H](C2CCC2)C(F)(F)F)c2[nH]ccc21 ZINC001169282321 1129714383 /nfs/dbraw/zinc/71/43/83/1129714383.db2.gz SLEHRTRWDXTDTE-LBPRGKRZSA-N 1 2 299.296 3.714 20 0 CHADLO CCN(C)c1cc(N[C@@H]2C[C@@H](C)c3ccccc32)[nH+]cn1 ZINC001169367069 1129720725 /nfs/dbraw/zinc/72/07/25/1129720725.db2.gz RJPFDZDQLVDYRX-IUODEOHRSA-N 1 2 282.391 3.593 20 0 CHADLO CCN(C)c1cc(N[C@@H]2C[C@@H](C)c3ccccc32)nc[nH+]1 ZINC001169367069 1129720727 /nfs/dbraw/zinc/72/07/27/1129720727.db2.gz RJPFDZDQLVDYRX-IUODEOHRSA-N 1 2 282.391 3.593 20 0 CHADLO CNc1ccc(N[C@H]2C[C@H](C)c3ccccc32)c[nH+]1 ZINC001169378112 1129722781 /nfs/dbraw/zinc/72/27/81/1129722781.db2.gz RUWCAJRJOFMABT-NHYWBVRUSA-N 1 2 253.349 3.784 20 0 CHADLO CCOC(=O)C1(CNc2cc3ccc(C)cc3c[nH+]2)CCC1 ZINC001169552627 1129736120 /nfs/dbraw/zinc/73/61/20/1129736120.db2.gz BQTYOHVLRQWOIQ-UHFFFAOYSA-N 1 2 298.386 3.689 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H]1CC[C@@H](C)C[C@H]1C)c1ccccc1C ZINC001169554923 1129736333 /nfs/dbraw/zinc/73/63/33/1129736333.db2.gz NHOIVAUGZMBGFK-ODVANORSSA-N 1 2 289.419 3.623 20 0 CHADLO Cc1cc2cc(N[C@@H]3C[C@H]3c3ccccc3)[nH+]cc2[nH]1 ZINC001169810252 1129757371 /nfs/dbraw/zinc/75/73/71/1129757371.db2.gz DVGNWGXNRJMAEM-LSDHHAIUSA-N 1 2 263.344 3.839 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)[C@@H]2C[C@H]2c2ccccc2)c1 ZINC000652330539 1129763314 /nfs/dbraw/zinc/76/33/14/1129763314.db2.gz IWJFAEYSDVKHLX-COXVUDFISA-N 1 2 282.387 3.832 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc3c(c2)CCC3)c1 ZINC000652330692 1129763531 /nfs/dbraw/zinc/76/35/31/1129763531.db2.gz MXUNJBAUOCXIGL-ZDUSSCGKSA-N 1 2 282.387 3.890 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2C[C@H](C)c3ccccc32)c1 ZINC000652330454 1129763667 /nfs/dbraw/zinc/76/36/67/1129763667.db2.gz GUEAFBNUHBOTJG-BLLLJJGKSA-N 1 2 268.360 3.888 20 0 CHADLO COCC(C)(C)Nc1cc(-c2ccnc(Cl)c2)cc[nH+]1 ZINC001156459775 1129785714 /nfs/dbraw/zinc/78/57/14/1129785714.db2.gz SIZUWWBDVHMHGY-UHFFFAOYSA-N 1 2 291.782 3.634 20 0 CHADLO Fc1ccccc1CC[NH+]1Cc2cc(F)c(F)cc2C1 ZINC000653830667 1129797161 /nfs/dbraw/zinc/79/71/61/1129797161.db2.gz FJVYJRQSNPJLDL-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO CC(C)=C[C@H]1[C@@H](C(=O)NCc2c[nH+]c(C)cc2C)C1(C)C ZINC000654382956 1129825984 /nfs/dbraw/zinc/82/59/84/1129825984.db2.gz PYKKNXFNJLLIBV-HOTGVXAUSA-N 1 2 286.419 3.553 20 0 CHADLO C[C@H]([NH2+]C/C(Cl)=C\Cl)c1cccc(F)c1F ZINC000381456172 1129839637 /nfs/dbraw/zinc/83/96/37/1129839637.db2.gz JNGXADRBGAPJDI-QAZRXNLGSA-N 1 2 266.118 3.934 20 0 CHADLO C[C@H]1C[N@H+](Cc2cn3cccc(F)c3n2)Cc2ccccc21 ZINC000768195544 1129843127 /nfs/dbraw/zinc/84/31/27/1129843127.db2.gz MGOGLLQRPHLTKS-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cn3cccc(F)c3n2)Cc2ccccc21 ZINC000768195544 1129843136 /nfs/dbraw/zinc/84/31/36/1129843136.db2.gz MGOGLLQRPHLTKS-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(F)cc2F)c(C)o1 ZINC000768233715 1129846090 /nfs/dbraw/zinc/84/60/90/1129846090.db2.gz QETJVDXYISXYFW-UHFFFAOYSA-N 1 2 265.303 3.807 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(F)cc2F)c(C)o1 ZINC000768233715 1129846095 /nfs/dbraw/zinc/84/60/95/1129846095.db2.gz QETJVDXYISXYFW-UHFFFAOYSA-N 1 2 265.303 3.807 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@H](C)c1c(C)cc(C)cc1C ZINC000768269853 1129848296 /nfs/dbraw/zinc/84/82/96/1129848296.db2.gz WERLUODCGLQSQK-OAHLLOKOSA-N 1 2 271.408 3.836 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@H](C)c1c(C)cc(C)cc1C ZINC000768269853 1129848299 /nfs/dbraw/zinc/84/82/99/1129848299.db2.gz WERLUODCGLQSQK-OAHLLOKOSA-N 1 2 271.408 3.836 20 0 CHADLO C[C@H]1CCC[C@@H]([NH2+]c2ccc(N3CCOCC3)cc2)C1 ZINC000020564026 1129848649 /nfs/dbraw/zinc/84/86/49/1129848649.db2.gz XXCNYCBXDZZMGT-GOEBONIOSA-N 1 2 274.408 3.514 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@H+](Cc2ncc(Cl)n2C)C1 ZINC000285752106 1129849103 /nfs/dbraw/zinc/84/91/03/1129849103.db2.gz QXYZCUQEUULQHS-GXTWGEPZSA-N 1 2 289.810 3.657 20 0 CHADLO C[C@H]1C[C@H](c2ccccc2)[N@@H+](Cc2ncc(Cl)n2C)C1 ZINC000285752106 1129849112 /nfs/dbraw/zinc/84/91/12/1129849112.db2.gz QXYZCUQEUULQHS-GXTWGEPZSA-N 1 2 289.810 3.657 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@H+](C/C=C\Cl)C1 ZINC000800473155 1129875973 /nfs/dbraw/zinc/87/59/73/1129875973.db2.gz MSWJKBUHTMYVOT-SMGNDMQFSA-N 1 2 253.748 3.618 20 0 CHADLO Cc1ccccc1[C@@]1(F)CC[N@@H+](C/C=C\Cl)C1 ZINC000800473155 1129875977 /nfs/dbraw/zinc/87/59/77/1129875977.db2.gz MSWJKBUHTMYVOT-SMGNDMQFSA-N 1 2 253.748 3.618 20 0 CHADLO C[C@@H](OC(=O)[C@H]1CCC[N@@H+]1Cc1ccccc1)c1ccco1 ZINC000768815862 1129876204 /nfs/dbraw/zinc/87/62/04/1129876204.db2.gz LSHYIVVZXDJYRY-GDBMZVCRSA-N 1 2 299.370 3.548 20 0 CHADLO C[C@@H](OC(=O)[C@H]1CCC[N@H+]1Cc1ccccc1)c1ccco1 ZINC000768815862 1129876209 /nfs/dbraw/zinc/87/62/09/1129876209.db2.gz LSHYIVVZXDJYRY-GDBMZVCRSA-N 1 2 299.370 3.548 20 0 CHADLO C[C@H](OC(=O)[C@H]1CCC[N@@H+]1Cc1ccccc1)c1ccco1 ZINC000768815864 1129876399 /nfs/dbraw/zinc/87/63/99/1129876399.db2.gz LSHYIVVZXDJYRY-GOEBONIOSA-N 1 2 299.370 3.548 20 0 CHADLO C[C@H](OC(=O)[C@H]1CCC[N@H+]1Cc1ccccc1)c1ccco1 ZINC000768815864 1129876403 /nfs/dbraw/zinc/87/64/03/1129876403.db2.gz LSHYIVVZXDJYRY-GOEBONIOSA-N 1 2 299.370 3.548 20 0 CHADLO COCC[C@H]1CCC[C@H]1[NH2+]c1ccc(N(C)C)c(C)c1 ZINC000800488489 1129878405 /nfs/dbraw/zinc/87/84/05/1129878405.db2.gz REGMTTNOYJZHBH-GDBMZVCRSA-N 1 2 276.424 3.678 20 0 CHADLO COCC[C@H]1CCC[C@H]1Nc1ccc([NH+](C)C)c(C)c1 ZINC000800488489 1129878410 /nfs/dbraw/zinc/87/84/10/1129878410.db2.gz REGMTTNOYJZHBH-GDBMZVCRSA-N 1 2 276.424 3.678 20 0 CHADLO COCC[C@H]1CCC[C@@H]1[NH2+]c1ccc2c(c1)CCCN2C ZINC000800489688 1129879253 /nfs/dbraw/zinc/87/92/53/1129879253.db2.gz VXDFKJXXFZZWSN-PBHICJAKSA-N 1 2 288.435 3.686 20 0 CHADLO COCC[C@H]1CCC[C@@H]1Nc1ccc2c(c1)CCC[N@H+]2C ZINC000800489688 1129879258 /nfs/dbraw/zinc/87/92/58/1129879258.db2.gz VXDFKJXXFZZWSN-PBHICJAKSA-N 1 2 288.435 3.686 20 0 CHADLO COCC[C@H]1CCC[C@@H]1Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000800489688 1129879267 /nfs/dbraw/zinc/87/92/67/1129879267.db2.gz VXDFKJXXFZZWSN-PBHICJAKSA-N 1 2 288.435 3.686 20 0 CHADLO CC(C)CCOC1CC[NH+](Cc2coc(C3CC3)n2)CC1 ZINC000768903009 1129880521 /nfs/dbraw/zinc/88/05/21/1129880521.db2.gz IIAGSPNKYPQDRW-UHFFFAOYSA-N 1 2 292.423 3.579 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2coc(C3CC3)n2)cc1C ZINC000769232130 1129897068 /nfs/dbraw/zinc/89/70/68/1129897068.db2.gz FWYQMFFIYFKAEC-UHFFFAOYSA-N 1 2 286.375 3.501 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2coc(C3CC3)n2)cc1C ZINC000769232130 1129897073 /nfs/dbraw/zinc/89/70/73/1129897073.db2.gz FWYQMFFIYFKAEC-UHFFFAOYSA-N 1 2 286.375 3.501 20 0 CHADLO C(=C/c1cc[nH+]c(N2CCCC2)c1)\c1ccccc1 ZINC001239593894 1131405951 /nfs/dbraw/zinc/40/59/51/1131405951.db2.gz LLOLAKVWMGJXSM-CMDGGOBGSA-N 1 2 250.345 3.852 20 0 CHADLO CCC[C@H](CC1CCCC1)C(=O)NCc1[nH]c(C)c(C)[nH+]1 ZINC000900998394 1129906668 /nfs/dbraw/zinc/90/66/68/1129906668.db2.gz TZJWBBNCNRHMCP-OAHLLOKOSA-N 1 2 291.439 3.639 20 0 CHADLO C[C@H]1C[N@H+](Cc2coc(C3CC3)n2)[C@@H]1c1ccccc1 ZINC000769539694 1129916452 /nfs/dbraw/zinc/91/64/52/1129916452.db2.gz LYGKBASKBMGRMV-LRDDRELGSA-N 1 2 268.360 3.745 20 0 CHADLO C[C@H]1C[N@@H+](Cc2coc(C3CC3)n2)[C@@H]1c1ccccc1 ZINC000769539694 1129916456 /nfs/dbraw/zinc/91/64/56/1129916456.db2.gz LYGKBASKBMGRMV-LRDDRELGSA-N 1 2 268.360 3.745 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@H](F)c1ccccc1 ZINC000769553735 1129917052 /nfs/dbraw/zinc/91/70/52/1129917052.db2.gz KBPXDFPYMYLTJM-MRXNPFEDSA-N 1 2 295.317 3.522 20 0 CHADLO O=C(Nc1ccccc1-n1cc[nH+]c1)[C@@H](F)c1ccccc1 ZINC000769553729 1129917064 /nfs/dbraw/zinc/91/70/64/1129917064.db2.gz KBPXDFPYMYLTJM-INIZCTEOSA-N 1 2 295.317 3.522 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+]Cc1cc(C(C)C)no1 ZINC000769871251 1129930688 /nfs/dbraw/zinc/93/06/88/1129930688.db2.gz DFLGPSYJSLGWCA-JTQLQIEISA-N 1 2 277.368 3.508 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)[C@@H](C)c2ccccc2)c(C)[nH+]1 ZINC000901539088 1129934738 /nfs/dbraw/zinc/93/47/38/1129934738.db2.gz VWIICLOVLFVSSC-HNNXBMFYSA-N 1 2 282.387 3.840 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1occc1Br ZINC000770746181 1129958348 /nfs/dbraw/zinc/95/83/48/1129958348.db2.gz LJSXWDQZYPUJTL-SNVBAGLBSA-N 1 2 295.180 3.596 20 0 CHADLO CC(C)(C)c1ccc(CO[NH+]=C(N)Cc2cccnc2)cc1 ZINC000771260050 1129975672 /nfs/dbraw/zinc/97/56/72/1129975672.db2.gz HFKYQHVOMQAWHN-UHFFFAOYSA-N 1 2 297.402 3.620 20 0 CHADLO Cc1nc2c(cccc2NCc2c[nH+]cn2C(C)C)o1 ZINC000902104310 1129980830 /nfs/dbraw/zinc/98/08/30/1129980830.db2.gz OKZJNZLDFMVBQJ-UHFFFAOYSA-N 1 2 270.336 3.526 20 0 CHADLO Cc1ccc(NC(=O)CCC[Si](C)(C)C)c(N(C)C)[nH+]1 ZINC001137745658 1131411745 /nfs/dbraw/zinc/41/17/45/1131411745.db2.gz HBPPXFBYIXKNPQ-UHFFFAOYSA-N 1 2 293.487 3.513 20 0 CHADLO CCn1cc(CNc2[nH+]c3ccccc3n2C(C)C)c(C)n1 ZINC000902129716 1129983387 /nfs/dbraw/zinc/98/33/87/1129983387.db2.gz CWSBEZNHDCRNJR-UHFFFAOYSA-N 1 2 297.406 3.754 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1cccc(F)c1Cl ZINC000771651278 1129986434 /nfs/dbraw/zinc/98/64/34/1129986434.db2.gz BJGAWISUUAJACQ-UHFFFAOYSA-N 1 2 298.770 3.782 20 0 CHADLO CC(=O)c1cccc(-c2cc(C)cn3cc[nH+]c23)c1 ZINC001239636128 1130028198 /nfs/dbraw/zinc/02/81/98/1130028198.db2.gz SFKFXDGIKNWEEG-UHFFFAOYSA-N 1 2 250.301 3.512 20 0 CHADLO CNc1ccc(C)cc1C[NH2+][C@H](CF)c1ccc(F)cc1 ZINC000902635048 1130033084 /nfs/dbraw/zinc/03/30/84/1130033084.db2.gz ZNYLOVNJTDPULV-QGZVFWFLSA-N 1 2 290.357 3.976 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)[C@@H]1CC1(C)C ZINC000785494421 1130036398 /nfs/dbraw/zinc/03/63/98/1130036398.db2.gz BWGPNWBTFASTSB-CPUCHLNUSA-N 1 2 288.435 3.758 20 0 CHADLO COc1ccc(C(C)C)cc1-c1ccn2cc(N)[nH+]c2c1 ZINC001239660497 1130038094 /nfs/dbraw/zinc/03/80/94/1130038094.db2.gz WZBNZEQRBAHXEB-UHFFFAOYSA-N 1 2 281.359 3.716 20 0 CHADLO COc1ccc(OC)c(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001239665233 1130041955 /nfs/dbraw/zinc/04/19/55/1130041955.db2.gz OWTBDJAWTRTEAE-UHFFFAOYSA-N 1 2 280.327 3.557 20 0 CHADLO COc1ccc(OC)c(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001239668111 1130042213 /nfs/dbraw/zinc/04/22/13/1130042213.db2.gz WVTFHRGOAANKSM-UHFFFAOYSA-N 1 2 294.354 3.616 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)C1CC(C)(C)C1 ZINC000802806208 1130042545 /nfs/dbraw/zinc/04/25/45/1130042545.db2.gz LSNNWBFNLGRWFE-UHFFFAOYSA-N 1 2 298.386 3.660 20 0 CHADLO COc1ccc2c(c1)nccc2-c1cccc2[nH+]ccn21 ZINC001239676629 1130048027 /nfs/dbraw/zinc/04/80/27/1130048027.db2.gz GWEDQLRHCOLKJR-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CCCn1cc([C@@H](C)[NH2+]Cc2oc3ccccc3c2C)nn1 ZINC000902807050 1130061279 /nfs/dbraw/zinc/06/12/79/1130061279.db2.gz VBMOQCIWEKCGCQ-CYBMUJFWSA-N 1 2 298.390 3.594 20 0 CHADLO C[NH+](C)Cc1c(F)cc(-c2cc(F)ccc2F)cc1F ZINC001239712101 1130067151 /nfs/dbraw/zinc/06/71/51/1130067151.db2.gz UWXGLCCGTYSJPV-UHFFFAOYSA-N 1 2 283.268 3.972 20 0 CHADLO COC[C@@H]([NH2+]C/C(Cl)=C\Cl)c1ccc(Cl)cc1 ZINC000785953608 1130070051 /nfs/dbraw/zinc/07/00/51/1130070051.db2.gz RFIXXHHGJPWWLX-IGEMTJHASA-N 1 2 294.609 3.936 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cc(F)ccc2OC)c1 ZINC001239721249 1130074467 /nfs/dbraw/zinc/07/44/67/1130074467.db2.gz LNFMFQGVVPCZHK-UHFFFAOYSA-N 1 2 273.307 3.863 20 0 CHADLO CCC[N@H+](C/C=C/Cl)[C@@H](C)C(=O)Nc1ccccc1C ZINC000786127644 1130080763 /nfs/dbraw/zinc/08/07/63/1130080763.db2.gz CWYLMJJELKEKBT-RNVIBTMRSA-N 1 2 294.826 3.787 20 0 CHADLO CCC[N@@H+](C/C=C/Cl)[C@@H](C)C(=O)Nc1ccccc1C ZINC000786127644 1130080768 /nfs/dbraw/zinc/08/07/68/1130080768.db2.gz CWYLMJJELKEKBT-RNVIBTMRSA-N 1 2 294.826 3.787 20 0 CHADLO CCCn1cc(N[C@H](C)c2cccc(-n3cc[nH+]c3)c2)cn1 ZINC000902916162 1130084457 /nfs/dbraw/zinc/08/44/57/1130084457.db2.gz IUINZKRELSNBMG-CQSZACIVSA-N 1 2 295.390 3.652 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CCC[C@H]3C[C@H]32)c1 ZINC001157132947 1130089688 /nfs/dbraw/zinc/08/96/88/1130089688.db2.gz GPUKNDMOYPDBHH-SMDDNHRTSA-N 1 2 258.752 3.877 20 0 CHADLO CC(=O)Nc1ccc(-c2cc[nH+]c(N3CCCCC3)c2)cc1 ZINC001239754536 1130093085 /nfs/dbraw/zinc/09/30/85/1130093085.db2.gz BDOIBANGBNPDJL-UHFFFAOYSA-N 1 2 295.386 3.697 20 0 CHADLO CC(=O)Nc1ccc(-c2ccccc2Cn2cc[nH+]c2)cc1 ZINC001239756334 1130093530 /nfs/dbraw/zinc/09/35/30/1130093530.db2.gz IJFFLPNIEZWPID-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO CC(=O)Nc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1 ZINC001239757510 1130094575 /nfs/dbraw/zinc/09/45/75/1130094575.db2.gz BLMSPCCHCSPTSP-UHFFFAOYSA-N 1 2 295.317 3.637 20 0 CHADLO COC(=O)[C@H](Cc1ccccc1)[NH2+][C@@H](C)CCCC(C)C ZINC001170058320 1130096489 /nfs/dbraw/zinc/09/64/89/1130096489.db2.gz ZZTCSTIPGPMERU-RDJZCZTQSA-N 1 2 291.435 3.575 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2ccc(OC)nc2CC)cc1 ZINC001239777990 1130103535 /nfs/dbraw/zinc/10/35/35/1130103535.db2.gz SHPPOIKFMXGGIB-UHFFFAOYSA-N 1 2 284.359 3.681 20 0 CHADLO CC(=O)Nc1ccccc1-c1ccc(Cn2cc[nH+]c2)cc1 ZINC001239781999 1130105754 /nfs/dbraw/zinc/10/57/54/1130105754.db2.gz MSFGYTGTUUOGQF-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO NC(Cc1cccs1)=[NH+]OCc1ccc(F)c(Cl)c1 ZINC000786741426 1130114631 /nfs/dbraw/zinc/11/46/31/1130114631.db2.gz MOMNUDWHCRIGRJ-UHFFFAOYSA-N 1 2 298.770 3.782 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N3CCCC3)c2)c(C)c1 ZINC001239809918 1130117684 /nfs/dbraw/zinc/11/76/84/1130117684.db2.gz METXMBACOAKBEH-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO Cc1ccc(-c2c[nH+]c(N3CCOCC3)c(C)c2)c(C)c1 ZINC001239812220 1130119397 /nfs/dbraw/zinc/11/93/97/1130119397.db2.gz VDLBZOMWTMXPDU-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO CO[C@@H](c1ccccc1)[C@@H](C)Nc1ccc([NH+](C)C)cc1 ZINC000786892881 1130120480 /nfs/dbraw/zinc/12/04/80/1130120480.db2.gz RKUHBFGXVCTTQN-RDTXWAMCSA-N 1 2 284.403 3.941 20 0 CHADLO CC(F)(F)C[NH2+][C@@H](c1ccccc1F)C1CCOCC1 ZINC000903174724 1130124777 /nfs/dbraw/zinc/12/47/77/1130124777.db2.gz MZPOHKLWLUAOKM-CQSZACIVSA-N 1 2 287.325 3.538 20 0 CHADLO CC[N@H+](Cc1nnc(C(C)(C)C)o1)Cc1ccc(C)cc1 ZINC000746651781 1130134343 /nfs/dbraw/zinc/13/43/43/1130134343.db2.gz KUQOFBLNVTVGFW-UHFFFAOYSA-N 1 2 287.407 3.698 20 0 CHADLO CC[N@@H+](Cc1nnc(C(C)(C)C)o1)Cc1ccc(C)cc1 ZINC000746651781 1130134345 /nfs/dbraw/zinc/13/43/45/1130134345.db2.gz KUQOFBLNVTVGFW-UHFFFAOYSA-N 1 2 287.407 3.698 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccnc2ccccc21 ZINC001239853571 1130135713 /nfs/dbraw/zinc/13/57/13/1130135713.db2.gz USXHAFUJONQOHK-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000787417980 1130149300 /nfs/dbraw/zinc/14/93/00/1130149300.db2.gz RTYCMELTORZPQR-LLVKDONJSA-N 1 2 288.313 3.715 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@H+]1Cc1coc(C2CC2)n1 ZINC000787417980 1130149304 /nfs/dbraw/zinc/14/93/04/1130149304.db2.gz RTYCMELTORZPQR-LLVKDONJSA-N 1 2 288.313 3.715 20 0 CHADLO CC(C)(C)c1coc(C[NH2+][C@H]2CCCc3ncccc32)n1 ZINC000903312965 1130151943 /nfs/dbraw/zinc/15/19/43/1130151943.db2.gz DTPYRTNVLHXHOE-AWEZNQCLSA-N 1 2 285.391 3.534 20 0 CHADLO COCc1cccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001239936188 1130159283 /nfs/dbraw/zinc/15/92/83/1130159283.db2.gz QBCUFUVVTMJDDP-UHFFFAOYSA-N 1 2 264.328 3.890 20 0 CHADLO COCc1cnc2n1CC[N@H+]([C@H](C)CCCC(C)C)[C@@H]2C ZINC001170079597 1130166786 /nfs/dbraw/zinc/16/67/86/1130166786.db2.gz DMYWBGAGYYBTDE-HUUCEWRRSA-N 1 2 293.455 3.621 20 0 CHADLO COCc1cnc2n1CC[N@@H+]([C@H](C)CCCC(C)C)[C@@H]2C ZINC001170079597 1130166788 /nfs/dbraw/zinc/16/67/88/1130166788.db2.gz DMYWBGAGYYBTDE-HUUCEWRRSA-N 1 2 293.455 3.621 20 0 CHADLO CCOc1ccc2cc(-c3ccc[nH+]c3N)ccc2c1 ZINC001239956757 1130170341 /nfs/dbraw/zinc/17/03/41/1130170341.db2.gz HAIKXRBYQBZTBF-UHFFFAOYSA-N 1 2 264.328 3.883 20 0 CHADLO CCCOc1ccc(/C(C)=C/C=C/[NH3+])c(F)c1F ZINC001239968821 1130178364 /nfs/dbraw/zinc/17/83/64/1130178364.db2.gz HRDMOMCHWIJIMW-DXNUHORPSA-N 1 2 253.292 3.629 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+]C3CC(C)(C)C3)cc2)CCO1 ZINC000788597027 1130196309 /nfs/dbraw/zinc/19/63/09/1130196309.db2.gz XARWADGSHMKXFT-ZDUSSCGKSA-N 1 2 274.408 3.512 20 0 CHADLO COc1ccc(-c2nc(NC3CC3)cc(C)[nH+]2)cc1Cl ZINC001240026608 1130198093 /nfs/dbraw/zinc/19/80/93/1130198093.db2.gz BXUINXLUZUJSNR-UHFFFAOYSA-N 1 2 289.766 3.688 20 0 CHADLO Cc1c(-c2cc(F)cc3[nH]ccc32)ccc2[nH+]ccn21 ZINC001240042072 1130202975 /nfs/dbraw/zinc/20/29/75/1130202975.db2.gz AMHKATMYPWKFRR-UHFFFAOYSA-N 1 2 265.291 3.930 20 0 CHADLO Nc1[nH+]cccc1-c1cccc(C(=O)Nc2ccccc2)c1 ZINC001240112749 1130213709 /nfs/dbraw/zinc/21/37/09/1130213709.db2.gz OFFYEZYNVPJHFU-UHFFFAOYSA-N 1 2 289.338 3.583 20 0 CHADLO c1cn2cc(-c3cncc(OC4CCCCC4)n3)ccc2[nH+]1 ZINC001240215994 1130235033 /nfs/dbraw/zinc/23/50/33/1130235033.db2.gz AMFCRMSWHQEEJN-UHFFFAOYSA-N 1 2 294.358 3.503 20 0 CHADLO CC(C)(F)c1ccnc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240217493 1130235826 /nfs/dbraw/zinc/23/58/26/1130235826.db2.gz PDMXDSCKWVQZGN-UHFFFAOYSA-N 1 2 255.296 3.601 20 0 CHADLO c1cn2cc(-c3ccccc3-c3cnco3)ccc2[nH+]1 ZINC001240217703 1130235833 /nfs/dbraw/zinc/23/58/33/1130235833.db2.gz MPJKXAZWXSBGOJ-UHFFFAOYSA-N 1 2 261.284 3.656 20 0 CHADLO c1cn2cc(-c3ccc4ncsc4c3)ccc2[nH+]1 ZINC001240217717 1130236066 /nfs/dbraw/zinc/23/60/66/1130236066.db2.gz NFJNDMZLVHSYGF-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO CC(=O)Nc1c(C)cc(-c2ccc3[nH+]ccn3c2)cc1C ZINC001240218838 1130237297 /nfs/dbraw/zinc/23/72/97/1130237297.db2.gz LVTFPZCBTVMEJG-UHFFFAOYSA-N 1 2 279.343 3.577 20 0 CHADLO c1cn2cc(-c3ccc4cnsc4c3)ccc2[nH+]1 ZINC001240218894 1130237813 /nfs/dbraw/zinc/23/78/13/1130237813.db2.gz OFDOCHXWTVTCOH-UHFFFAOYSA-N 1 2 251.314 3.611 20 0 CHADLO Cc1ccc2c(c1)nccc2-c1ccc2[nH+]ccn2c1 ZINC001240220556 1130238169 /nfs/dbraw/zinc/23/81/69/1130238169.db2.gz HJCBGYJBXRYCJT-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CC1(C)Cc2cccc(-c3ccc4[nH+]ccn4c3)c2NC1=O ZINC001240221332 1130239463 /nfs/dbraw/zinc/23/94/63/1130239463.db2.gz RCGULZWEVZLAQM-UHFFFAOYSA-N 1 2 291.354 3.522 20 0 CHADLO COc1cc(/C(C)=C/C=C/[NH3+])cc(C(F)(F)F)c1 ZINC001243117951 1130284813 /nfs/dbraw/zinc/28/48/13/1130284813.db2.gz BXQWEBAQEZBDDF-BMVOEDMYSA-N 1 2 257.255 3.590 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1n[nH]c2ccccc12 ZINC000677149942 1130294472 /nfs/dbraw/zinc/29/44/72/1130294472.db2.gz KBLJHPQHUQYELG-UHFFFAOYSA-N 1 2 296.374 3.747 20 0 CHADLO Cc1csc(C[N@@H+]2CCc3occc3C2)c1Cl ZINC001137292545 1130306644 /nfs/dbraw/zinc/30/66/44/1130306644.db2.gz FIXSDQDNUZXABC-UHFFFAOYSA-N 1 2 267.781 3.861 20 0 CHADLO Cc1csc(C[N@H+]2CCc3occc3C2)c1Cl ZINC001137292545 1130306650 /nfs/dbraw/zinc/30/66/50/1130306650.db2.gz FIXSDQDNUZXABC-UHFFFAOYSA-N 1 2 267.781 3.861 20 0 CHADLO Cc1cnc(F)c(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001243168065 1130312159 /nfs/dbraw/zinc/31/21/59/1130312159.db2.gz NFRGBOWRFDWGDW-UHFFFAOYSA-N 1 2 267.307 3.597 20 0 CHADLO CSc1nc2ccnc(-c3ccn4cc[nH+]c4c3)c2s1 ZINC001240496130 1130328155 /nfs/dbraw/zinc/32/81/55/1130328155.db2.gz GZPSVXFPKDOKPF-UHFFFAOYSA-N 1 2 298.396 3.728 20 0 CHADLO Cc1ccc2c(ccnc2-c2ccn3cc[nH+]c3c2)c1 ZINC001240497144 1130329420 /nfs/dbraw/zinc/32/94/20/1130329420.db2.gz ZJROXXITNFWPFF-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1nc(-c2ccccc2)cc(-c2ccn3cc[nH+]c3c2)n1 ZINC001240496748 1130329791 /nfs/dbraw/zinc/32/97/91/1130329791.db2.gz RWVGFEFNKOMORO-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO Cc1cccc(-c2ccc(-c3ccn4cc[nH+]c4c3)nn2)c1 ZINC001240504172 1130333275 /nfs/dbraw/zinc/33/32/75/1130333275.db2.gz KFCRRUBMQBRGPG-UHFFFAOYSA-N 1 2 286.338 3.767 20 0 CHADLO CCN(CC)c1ccc(-c2ccn3cc[nH+]c3c2)cc1 ZINC001240509364 1130334566 /nfs/dbraw/zinc/33/45/66/1130334566.db2.gz XPZQPINBHHGYCM-UHFFFAOYSA-N 1 2 265.360 3.848 20 0 CHADLO Cc1ccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)cc1O ZINC001243234712 1130337521 /nfs/dbraw/zinc/33/75/21/1130337521.db2.gz ZZPQYPSXILKBBF-UHFFFAOYSA-N 1 2 277.314 3.707 20 0 CHADLO CCCOc1ccc(-c2ccn3cc[nH+]c3c2)cc1F ZINC001240512558 1130337773 /nfs/dbraw/zinc/33/77/73/1130337773.db2.gz VQKWUUDBAYERNM-UHFFFAOYSA-N 1 2 270.307 3.929 20 0 CHADLO c1cn2ccc(-c3cccc(N4CCCC4)c3)cc2[nH+]1 ZINC001240535324 1130348527 /nfs/dbraw/zinc/34/85/27/1130348527.db2.gz QZUAAHCFGNPPDQ-UHFFFAOYSA-N 1 2 263.344 3.602 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2ccc(C(=O)NC)cc2)c1 ZINC001240570447 1130366045 /nfs/dbraw/zinc/36/60/45/1130366045.db2.gz BTYLRMOGPAIBBI-UHFFFAOYSA-N 1 2 296.414 3.868 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2ccccc2C2CC2)no1 ZINC000678200661 1130370794 /nfs/dbraw/zinc/37/07/94/1130370794.db2.gz BRLXGJPUVDFQDO-QGZVFWFLSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2ccccc2C2CC2)no1 ZINC000678200661 1130370797 /nfs/dbraw/zinc/37/07/97/1130370797.db2.gz BRLXGJPUVDFQDO-QGZVFWFLSA-N 1 2 297.402 3.983 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(N3CCCC3)cc2)[nH+]1 ZINC001240589502 1130374710 /nfs/dbraw/zinc/37/47/10/1130374710.db2.gz CUKGIQHFZQKVFB-UHFFFAOYSA-N 1 2 277.371 3.910 20 0 CHADLO CCOc1c(Cl)cc(-c2c[nH+]cn2C)cc1Cl ZINC001240604763 1130383434 /nfs/dbraw/zinc/38/34/34/1130383434.db2.gz OZHDATSKSYZIKF-UHFFFAOYSA-N 1 2 271.147 3.793 20 0 CHADLO Cc1cnccc1-c1c[nH+]c(N2CCCCC2)cc1C ZINC001240608380 1130385502 /nfs/dbraw/zinc/38/55/02/1130385502.db2.gz KNJZDGUDOWNTLZ-UHFFFAOYSA-N 1 2 267.376 3.751 20 0 CHADLO COc1ccccc1C[N@@H+]1C[C@@H](C)OC[C@@H]1c1ccccc1 ZINC000678280649 1130388166 /nfs/dbraw/zinc/38/81/66/1130388166.db2.gz XFZQPRYCWLOURQ-CRAIPNDOSA-N 1 2 297.398 3.657 20 0 CHADLO COc1ccccc1C[N@H+]1C[C@@H](C)OC[C@@H]1c1ccccc1 ZINC000678280649 1130388172 /nfs/dbraw/zinc/38/81/72/1130388172.db2.gz XFZQPRYCWLOURQ-CRAIPNDOSA-N 1 2 297.398 3.657 20 0 CHADLO COc1ccccc1C[N@@H+]1C[C@H](C)OC[C@H]1c1ccccc1 ZINC000678280652 1130388213 /nfs/dbraw/zinc/38/82/13/1130388213.db2.gz XFZQPRYCWLOURQ-YJBOKZPZSA-N 1 2 297.398 3.657 20 0 CHADLO COc1ccccc1C[N@H+]1C[C@H](C)OC[C@H]1c1ccccc1 ZINC000678280652 1130388219 /nfs/dbraw/zinc/38/82/19/1130388219.db2.gz XFZQPRYCWLOURQ-YJBOKZPZSA-N 1 2 297.398 3.657 20 0 CHADLO Cc1cccn2c(C[N@@H+]3CC[C@H]3c3cccc(F)c3)cnc12 ZINC000678284024 1130391548 /nfs/dbraw/zinc/39/15/48/1130391548.db2.gz SQDJSTRZGWMKBB-KRWDZBQOSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1cccn2c(C[N@H+]3CC[C@H]3c3cccc(F)c3)cnc12 ZINC000678284024 1130391556 /nfs/dbraw/zinc/39/15/56/1130391556.db2.gz SQDJSTRZGWMKBB-KRWDZBQOSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1cccn2c(CN3CC[C@H]3c3cccc(F)c3)c[nH+]c12 ZINC000678284024 1130391561 /nfs/dbraw/zinc/39/15/61/1130391561.db2.gz SQDJSTRZGWMKBB-KRWDZBQOSA-N 1 2 295.361 3.729 20 0 CHADLO Cc1cc(O)ccc1-c1cc(F)c(C[NH+](C)C)c(F)c1 ZINC001240644564 1130396999 /nfs/dbraw/zinc/39/69/99/1130396999.db2.gz BFIJUZZHOJWZCR-UHFFFAOYSA-N 1 2 277.314 3.707 20 0 CHADLO Cc1cc(O)ccc1-c1ccc(-c2c[nH+]cn2C)cc1 ZINC001240647368 1130400090 /nfs/dbraw/zinc/40/00/90/1130400090.db2.gz WGZUJWMDHGWYFK-UHFFFAOYSA-N 1 2 264.328 3.768 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(C3CC3)cc2)c1 ZINC000678480965 1130420462 /nfs/dbraw/zinc/42/04/62/1130420462.db2.gz DPHALGUUNZKHHE-UHFFFAOYSA-N 1 2 268.360 3.888 20 0 CHADLO CC(C)C[C@@H](C(=O)OCc1cc[nH+]c(N)c1)c1ccccc1 ZINC000791940598 1130420659 /nfs/dbraw/zinc/42/06/59/1130420659.db2.gz WLUNAHAZYPBZFS-MRXNPFEDSA-N 1 2 298.386 3.537 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2cc(F)ccc2O)c1 ZINC001240698197 1130424442 /nfs/dbraw/zinc/42/44/42/1130424442.db2.gz GUXNONCQDVJQDQ-UHFFFAOYSA-N 1 2 268.291 3.599 20 0 CHADLO Cc1cccc2c(C)cc(NC[C@H]3CC(C)(C)O3)[nH+]c12 ZINC001157654268 1130430676 /nfs/dbraw/zinc/43/06/76/1130430676.db2.gz GFKGGCCPQAYDMD-CYBMUJFWSA-N 1 2 270.376 3.831 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@@H](C)c1nc(C)sc1C ZINC000678581335 1130431144 /nfs/dbraw/zinc/43/11/44/1130431144.db2.gz QJQRNBGHKHSHFQ-NSHDSACASA-N 1 2 290.432 3.928 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1c[nH]c2ccc(F)cc12 ZINC001137341448 1130434328 /nfs/dbraw/zinc/43/43/28/1130434328.db2.gz NCVKLWDJIPNICM-GFCCVEGCSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1c[nH]c2ccc(F)cc12 ZINC001137341448 1130434335 /nfs/dbraw/zinc/43/43/35/1130434335.db2.gz NCVKLWDJIPNICM-GFCCVEGCSA-N 1 2 283.350 3.685 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)nc1)C2 ZINC000792181146 1130437362 /nfs/dbraw/zinc/43/73/62/1130437362.db2.gz OZUZCFFGWYADTM-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)nc1)C2 ZINC000792181146 1130437365 /nfs/dbraw/zinc/43/73/65/1130437365.db2.gz OZUZCFFGWYADTM-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCO[C@@H](C)n1cc(-c2cccc(-c3c[nH+]cn3C)c2)cn1 ZINC001240757233 1130456980 /nfs/dbraw/zinc/45/69/80/1130456980.db2.gz GOIKXFNWEUCHKQ-ZDUSSCGKSA-N 1 2 296.374 3.506 20 0 CHADLO COC1CC[NH+](Cc2c(Cl)ccc(F)c2Cl)CC1 ZINC001137353228 1130465165 /nfs/dbraw/zinc/46/51/65/1130465165.db2.gz MCQYOLHYTRLBHA-UHFFFAOYSA-N 1 2 292.181 3.743 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@H](c2ccc(F)c(C)c2)C2CC2)n1 ZINC000678903993 1130470553 /nfs/dbraw/zinc/47/05/53/1130470553.db2.gz YXDLZOWMBLNPDW-BMIGLBTASA-N 1 2 289.354 3.627 20 0 CHADLO CCCCOC(=O)[C@H](C)[NH2+][C@@H](C)Cc1ccccc1Cl ZINC001170250254 1130473683 /nfs/dbraw/zinc/47/36/83/1130473683.db2.gz IQYJAOCBYFEWJQ-STQMWFEESA-N 1 2 297.826 3.592 20 0 CHADLO COc1ccc2c(c1)C[C@H]([NH2+]c1ccc(N)c(C)c1)CC2 ZINC001170415125 1130492611 /nfs/dbraw/zinc/49/26/11/1130492611.db2.gz XFPJMPYYMGOAAJ-MRXNPFEDSA-N 1 2 282.387 3.555 20 0 CHADLO Cc1cc2nc(NCc3cccc4[nH+]ccn43)oc2c(C)c1 ZINC000679121504 1130494438 /nfs/dbraw/zinc/49/44/38/1130494438.db2.gz CEHRKMAPVQPQDZ-UHFFFAOYSA-N 1 2 292.342 3.704 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cc(C)nn2C)c1 ZINC001240860744 1130494435 /nfs/dbraw/zinc/49/44/35/1130494435.db2.gz GJHXJYWJSYAUTL-UHFFFAOYSA-N 1 2 257.381 3.550 20 0 CHADLO COc1ccc(-c2cc[nH+]c(N3CCCC3)c2)cc1C ZINC001240864198 1130495978 /nfs/dbraw/zinc/49/59/78/1130495978.db2.gz IBKIRTCZDUEJHV-UHFFFAOYSA-N 1 2 268.360 3.666 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cccc(Br)c2)C[C@H]1F ZINC000679218876 1130501861 /nfs/dbraw/zinc/50/18/61/1130501861.db2.gz AYMMZIBCOUPNDN-GXFFZTMASA-N 1 2 286.188 3.629 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cccc(Br)c2)C[C@H]1F ZINC000679218876 1130501863 /nfs/dbraw/zinc/50/18/63/1130501863.db2.gz AYMMZIBCOUPNDN-GXFFZTMASA-N 1 2 286.188 3.629 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccccc2C(F)(F)F)C[C@@H]1F ZINC000679220181 1130504210 /nfs/dbraw/zinc/50/42/10/1130504210.db2.gz CRNYXBVAHKVACQ-GWCFXTLKSA-N 1 2 275.289 3.885 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccccc2C(F)(F)F)C[C@@H]1F ZINC000679220181 1130504214 /nfs/dbraw/zinc/50/42/14/1130504214.db2.gz CRNYXBVAHKVACQ-GWCFXTLKSA-N 1 2 275.289 3.885 20 0 CHADLO Cc1cn2cc(-c3cccc4cccnc43)ccc2[nH+]1 ZINC001240894809 1130506384 /nfs/dbraw/zinc/50/63/84/1130506384.db2.gz OTNZYXQRLHLNEZ-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](c1ccc(C)cc1)C1CC1 ZINC000679332187 1130515655 /nfs/dbraw/zinc/51/56/55/1130515655.db2.gz SAOYGDJAVNAWJB-SJKOYZFVSA-N 1 2 269.392 3.828 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](c1ccccc1)C(C)C ZINC000679330226 1130516258 /nfs/dbraw/zinc/51/62/58/1130516258.db2.gz DUQQLHGKYAYFTA-LRDDRELGSA-N 1 2 257.381 3.766 20 0 CHADLO Cc1ccc(F)cc1-c1cc[nH+]c(N2CCCC2)c1 ZINC001240919259 1130516491 /nfs/dbraw/zinc/51/64/91/1130516491.db2.gz CUBMFDBPLAKQSO-UHFFFAOYSA-N 1 2 256.324 3.796 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C(C)C)no1)c1ccc(F)cn1 ZINC000679343262 1130518229 /nfs/dbraw/zinc/51/82/29/1130518229.db2.gz UWYKVHGACRPLGB-ZDUSSCGKSA-N 1 2 277.343 3.573 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc(C(C)(C)C)[nH]2)no1 ZINC001137370385 1130519347 /nfs/dbraw/zinc/51/93/47/1130519347.db2.gz BLVGSFYNCKRQRP-HNNXBMFYSA-N 1 2 287.407 3.946 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc(C(C)(C)C)[nH]2)no1 ZINC001137370385 1130519351 /nfs/dbraw/zinc/51/93/51/1130519351.db2.gz BLVGSFYNCKRQRP-HNNXBMFYSA-N 1 2 287.407 3.946 20 0 CHADLO COc1c(F)ccc(-c2ccc3[nH+]c(C)cn3c2)c1F ZINC001243507299 1130519469 /nfs/dbraw/zinc/51/94/69/1130519469.db2.gz BNCJHIDIXLHJSP-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CC[C@@](C)(F)[C@@H](F)C2)[nH]1 ZINC001137372333 1130523406 /nfs/dbraw/zinc/52/34/06/1130523406.db2.gz QRCCCFFTVZHYRX-SWLSCSKDSA-N 1 2 270.367 3.584 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CC[C@@](C)(F)[C@@H](F)C2)[nH]1 ZINC001137372333 1130523409 /nfs/dbraw/zinc/52/34/09/1130523409.db2.gz QRCCCFFTVZHYRX-SWLSCSKDSA-N 1 2 270.367 3.584 20 0 CHADLO CCC(=CC(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000747108469 1130530900 /nfs/dbraw/zinc/53/09/00/1130530900.db2.gz SKRNMVSAFCDYFZ-RVDMUPIBSA-N 1 2 280.371 3.552 20 0 CHADLO COCc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001240951871 1130531599 /nfs/dbraw/zinc/53/15/99/1130531599.db2.gz ASWZFJZDAHWLBN-UHFFFAOYSA-N 1 2 278.355 3.745 20 0 CHADLO Cc1csc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)n1 ZINC000679544919 1130540298 /nfs/dbraw/zinc/54/02/98/1130540298.db2.gz IWRBSVGYVKAAAX-ZJUUUORDSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1csc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)n1 ZINC000679544919 1130540301 /nfs/dbraw/zinc/54/03/01/1130540301.db2.gz IWRBSVGYVKAAAX-ZJUUUORDSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1c[nH]c2ncc(-c3ccc(Cn4cc[nH+]c4)cc3)cc12 ZINC001240964552 1130540969 /nfs/dbraw/zinc/54/09/69/1130540969.db2.gz RBOSSWLNGJIEJG-UHFFFAOYSA-N 1 2 288.354 3.783 20 0 CHADLO Fc1cccc(Cl)c1C[N@H+]1CCC[C@@H](OC(F)F)C1 ZINC000679676916 1130550673 /nfs/dbraw/zinc/55/06/73/1130550673.db2.gz PMWQKVNFZVVXSM-SECBINFHSA-N 1 2 293.716 3.683 20 0 CHADLO Fc1cccc(Cl)c1C[N@@H+]1CCC[C@@H](OC(F)F)C1 ZINC000679676916 1130550675 /nfs/dbraw/zinc/55/06/75/1130550675.db2.gz PMWQKVNFZVVXSM-SECBINFHSA-N 1 2 293.716 3.683 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H](C)c2c(F)cccc2Cl)n(C)n1 ZINC000793537810 1130565357 /nfs/dbraw/zinc/56/53/57/1130565357.db2.gz BHNNZVAFONNSIK-MNOVXSKESA-N 1 2 295.789 3.933 20 0 CHADLO CCOc1cc(F)cc(-c2ccc3[nH+]c(C)cn3c2)c1 ZINC001241025347 1130571648 /nfs/dbraw/zinc/57/16/48/1130571648.db2.gz XWQGAWWEIFTJJV-UHFFFAOYSA-N 1 2 270.307 3.848 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2c(Cl)cccc2Cl)n(C)n1 ZINC000793529673 1130572033 /nfs/dbraw/zinc/57/20/33/1130572033.db2.gz SYQVWUQEPURMDL-SNVBAGLBSA-N 1 2 298.217 3.886 20 0 CHADLO O=c1[nH]cc(C[N@H+]2Cc3ccc(F)cc3C2)c2ccccc12 ZINC001233999169 1130596409 /nfs/dbraw/zinc/59/64/09/1130596409.db2.gz JYFOVYZLUGMKSK-UHFFFAOYSA-N 1 2 294.329 3.595 20 0 CHADLO O=c1[nH]cc(C[N@@H+]2Cc3ccc(F)cc3C2)c2ccccc12 ZINC001233999169 1130596416 /nfs/dbraw/zinc/59/64/16/1130596416.db2.gz JYFOVYZLUGMKSK-UHFFFAOYSA-N 1 2 294.329 3.595 20 0 CHADLO CCOc1ccc(OC2C[NH+](C(C)(C)C)C2)c(Cl)c1 ZINC001234315239 1130634571 /nfs/dbraw/zinc/63/45/71/1130634571.db2.gz OMJFDUSMIULUQB-UHFFFAOYSA-N 1 2 283.799 3.600 20 0 CHADLO Cc1cc(C(F)(F)F)nn1C[N@H+]1CC[C@H](C)C(C)(C)C1 ZINC000811764417 1130644972 /nfs/dbraw/zinc/64/49/72/1130644972.db2.gz SHWOLSRJVZSWCI-JTQLQIEISA-N 1 2 289.345 3.536 20 0 CHADLO CCCC[C@@H](C)N(C)c1[nH+]cnc2c1cnn2C(C)(C)C ZINC000811867405 1130653295 /nfs/dbraw/zinc/65/32/95/1130653295.db2.gz NFVQJTLNTDTPPB-GFCCVEGCSA-N 1 2 289.427 3.596 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H](c2cccnc2)C2CC2)cc1 ZINC001234588452 1130659790 /nfs/dbraw/zinc/65/97/90/1130659790.db2.gz OHOSJMSOERJHQW-KRWDZBQOSA-N 1 2 296.370 3.974 20 0 CHADLO CC(C)CC[C@@H](C)N1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001256375744 1130674433 /nfs/dbraw/zinc/67/44/33/1130674433.db2.gz XOGHJZRQFWPDSS-HUUCEWRRSA-N 1 2 288.426 3.617 20 0 CHADLO CC(C)CC[C@@H](C)[N@@H+]1CC[C@@H](N2CCCC2)C(F)(F)C1 ZINC001256375744 1130674437 /nfs/dbraw/zinc/67/44/37/1130674437.db2.gz XOGHJZRQFWPDSS-HUUCEWRRSA-N 1 2 288.426 3.617 20 0 CHADLO C[N@H+](Cc1c(F)cc(F)cc1Br)C(C)(C)C ZINC001234760101 1130676964 /nfs/dbraw/zinc/67/69/64/1130676964.db2.gz GGCQVRCLJVTTOX-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO C[N@@H+](Cc1c(F)cc(F)cc1Br)C(C)(C)C ZINC001234760101 1130676969 /nfs/dbraw/zinc/67/69/69/1130676969.db2.gz GGCQVRCLJVTTOX-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1cnc(Cl)s1 ZINC000780387911 1130679076 /nfs/dbraw/zinc/67/90/76/1130679076.db2.gz XKKSXNNQOONKOB-JGVFFNPUSA-N 1 2 298.761 3.959 20 0 CHADLO C[C@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1cnc(Cl)s1 ZINC000780387911 1130679079 /nfs/dbraw/zinc/67/90/79/1130679079.db2.gz XKKSXNNQOONKOB-JGVFFNPUSA-N 1 2 298.761 3.959 20 0 CHADLO COc1cccc2c(NC[C@H]3CC3(Cl)Cl)cc[nH+]c12 ZINC000780520305 1130683008 /nfs/dbraw/zinc/68/30/08/1130683008.db2.gz YFOUZRKBPZRPNW-SECBINFHSA-N 1 2 297.185 3.849 20 0 CHADLO CCCCCC[C@@](C)(CC)C(=O)OCc1c[nH+]cn1C ZINC000747783675 1130684585 /nfs/dbraw/zinc/68/45/85/1130684585.db2.gz SOEYKFVGEBWLFT-MRXNPFEDSA-N 1 2 280.412 3.850 20 0 CHADLO CCC[C@@H](CC1CCCCC1)C(=O)OCc1c[nH+]cn1C ZINC000747783871 1130684870 /nfs/dbraw/zinc/68/48/70/1130684870.db2.gz PYYULMZPLQJQQI-HNNXBMFYSA-N 1 2 292.423 3.850 20 0 CHADLO CC/C(C)=C(/C)C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000780883228 1130694181 /nfs/dbraw/zinc/69/41/81/1130694181.db2.gz RBPDLXMTJFRDSZ-SEYXRHQNSA-N 1 2 269.348 3.557 20 0 CHADLO C[C@H]1Cc2ccccc2N1C1CCN(c2cccc[nH+]2)CC1 ZINC000747803224 1130707918 /nfs/dbraw/zinc/70/79/18/1130707918.db2.gz BYAATQDXRYKYCC-HNNXBMFYSA-N 1 2 293.414 3.502 20 0 CHADLO Cc1cc(Cl)c(C[N@H+]2[C@@H]3CC[C@H]2CC(=O)C3)c(Cl)c1 ZINC001235173112 1130718010 /nfs/dbraw/zinc/71/80/10/1130718010.db2.gz FIZWXZUFWURIMQ-PHIMTYICSA-N 1 2 298.213 3.998 20 0 CHADLO Cc1cc(Cl)c(C[N@@H+]2[C@@H]3CC[C@H]2CC(=O)C3)c(Cl)c1 ZINC001235173112 1130718013 /nfs/dbraw/zinc/71/80/13/1130718013.db2.gz FIZWXZUFWURIMQ-PHIMTYICSA-N 1 2 298.213 3.998 20 0 CHADLO CCc1cccc(C[N@@H+]2CCc3cccc(Cl)c3C2)n1 ZINC001235264521 1130729859 /nfs/dbraw/zinc/72/98/59/1130729859.db2.gz LXFHLXWZTZWCPH-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO CCc1cccc(C[N@H+]2CCc3cccc(Cl)c3C2)n1 ZINC001235264521 1130729865 /nfs/dbraw/zinc/72/98/65/1130729865.db2.gz LXFHLXWZTZWCPH-UHFFFAOYSA-N 1 2 286.806 3.856 20 0 CHADLO Cc1ccc(-c2cccc(C[NH+]3CCC(=O)CC3)c2)cc1 ZINC001235308419 1130732132 /nfs/dbraw/zinc/73/21/32/1130732132.db2.gz QLLKBRDGNOOOJI-UHFFFAOYSA-N 1 2 279.383 3.827 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2CCc3ncccc3C2)c1 ZINC001235530811 1130752914 /nfs/dbraw/zinc/75/29/14/1130752914.db2.gz WOONSTMSJRDHRN-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2CCc3ncccc3C2)c1 ZINC001235530811 1130752917 /nfs/dbraw/zinc/75/29/17/1130752917.db2.gz WOONSTMSJRDHRN-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[C@@H]1C[C@@H](O)C[N@@H+]1Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235592384 1130757842 /nfs/dbraw/zinc/75/78/42/1130757842.db2.gz QAMDPUAADBTWFO-VXNVDRBHSA-N 1 2 294.609 3.602 20 0 CHADLO C[C@@H]1C[C@@H](O)C[N@H+]1Cc1cc(Cl)c(Cl)c(Cl)c1 ZINC001235592384 1130757847 /nfs/dbraw/zinc/75/78/47/1130757847.db2.gz QAMDPUAADBTWFO-VXNVDRBHSA-N 1 2 294.609 3.602 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccccc1-c1ccncc1 ZINC001235619932 1130759459 /nfs/dbraw/zinc/75/94/59/1130759459.db2.gz DUVRSZYKNIIIDU-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccccc1-c1ccncc1 ZINC001235619932 1130759466 /nfs/dbraw/zinc/75/94/66/1130759466.db2.gz DUVRSZYKNIIIDU-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[C@H](C1CC1)[N@@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000782193356 1130760809 /nfs/dbraw/zinc/76/08/09/1130760809.db2.gz LYUIVKYHNCTAGU-IAQYHMDHSA-N 1 2 299.336 3.877 20 0 CHADLO C[C@H](C1CC1)[N@H+]1CCO[C@@H](c2ccccc2C(F)(F)F)C1 ZINC000782193356 1130760814 /nfs/dbraw/zinc/76/08/14/1130760814.db2.gz LYUIVKYHNCTAGU-IAQYHMDHSA-N 1 2 299.336 3.877 20 0 CHADLO Fc1ccc2nc(C[N@@H+]3CCCC4(CCCC4)C3)[nH]c2c1 ZINC001235685058 1130767253 /nfs/dbraw/zinc/76/72/53/1130767253.db2.gz XTFUYXPDBWONIY-UHFFFAOYSA-N 1 2 287.382 3.858 20 0 CHADLO Fc1ccc2nc(C[N@H+]3CCCC4(CCCC4)C3)[nH]c2c1 ZINC001235685058 1130767258 /nfs/dbraw/zinc/76/72/58/1130767258.db2.gz XTFUYXPDBWONIY-UHFFFAOYSA-N 1 2 287.382 3.858 20 0 CHADLO COc1nccc(C[N@H+](C)Cc2cccc(C)c2)c1Cl ZINC001235830491 1130784733 /nfs/dbraw/zinc/78/47/33/1130784733.db2.gz OPCJQVMPGOLJMG-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1nccc(C[N@@H+](C)Cc2cccc(C)c2)c1Cl ZINC001235830491 1130784739 /nfs/dbraw/zinc/78/47/39/1130784739.db2.gz OPCJQVMPGOLJMG-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OCc1cc2ccccc2o1 ZINC000783148276 1130798819 /nfs/dbraw/zinc/79/88/19/1130798819.db2.gz LICJRFAKJJSLAH-UHFFFAOYSA-N 1 2 298.317 3.813 20 0 CHADLO COc1cnccc1[C@H](C)[NH2+][C@H](C)c1cccnc1Cl ZINC000783329297 1130817108 /nfs/dbraw/zinc/81/71/08/1130817108.db2.gz FKIHWAGSMZMTLL-WDEREUQCSA-N 1 2 291.782 3.550 20 0 CHADLO Nc1cccc(/C=C/c2ccc(C(F)(F)F)cc2)[nH+]1 ZINC001235978380 1130821354 /nfs/dbraw/zinc/82/13/54/1130821354.db2.gz JACMVHYEWSNZOV-RMKNXTFCSA-N 1 2 264.250 3.853 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccc(N(C)C)c[nH+]2)c(C)c1 ZINC001170726382 1130827509 /nfs/dbraw/zinc/82/75/09/1130827509.db2.gz YDKAQWRZDWKDIX-AWEZNQCLSA-N 1 2 269.392 3.938 20 0 CHADLO Nc1ccc2c(c1)CC[N@@H+](Cc1cc(F)ccc1Cl)C2 ZINC001236105582 1130864121 /nfs/dbraw/zinc/86/41/21/1130864121.db2.gz PZKFNAKCRIJHED-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc2c(c1)CC[N@H+](Cc1cc(F)ccc1Cl)C2 ZINC001236105582 1130864127 /nfs/dbraw/zinc/86/41/27/1130864127.db2.gz PZKFNAKCRIJHED-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO C[C@H]1C[N@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC(C)(C)C1 ZINC000784011021 1130867110 /nfs/dbraw/zinc/86/71/10/1130867110.db2.gz WYFWTRRRACHVLU-LLVKDONJSA-N 1 2 296.484 3.564 20 0 CHADLO C[C@H]1C[N@@H+](Cn2[nH]c(C(C)(C)C)nc2=S)CC(C)(C)C1 ZINC000784011021 1130867115 /nfs/dbraw/zinc/86/71/15/1130867115.db2.gz WYFWTRRRACHVLU-LLVKDONJSA-N 1 2 296.484 3.564 20 0 CHADLO Cc1cn2cc(-c3ccc(NC(=O)C(C)C)cc3)ccc2[nH+]1 ZINC001236265586 1130910362 /nfs/dbraw/zinc/91/03/62/1130910362.db2.gz XSUOUJUGZTXZIN-UHFFFAOYSA-N 1 2 293.370 3.904 20 0 CHADLO Cc1ccccc1[C@@H](C)OC(=O)[C@@H](c1ccccc1)[NH+](C)C ZINC000784601142 1130912015 /nfs/dbraw/zinc/91/20/15/1130912015.db2.gz JNKBEULKYSPGBB-CRAIPNDOSA-N 1 2 297.398 3.902 20 0 CHADLO CCCCOc1ccc(-c2ccc(N)[nH+]c2)cc1F ZINC001236317357 1130912980 /nfs/dbraw/zinc/91/29/80/1130912980.db2.gz UJSSQNWWEJHQAO-UHFFFAOYSA-N 1 2 260.312 3.649 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2ccc(OC)c3n[nH]cc32)c1 ZINC001236467376 1130947583 /nfs/dbraw/zinc/94/75/83/1130947583.db2.gz BSRCRJHFEYVFCT-UHFFFAOYSA-N 1 2 295.342 3.600 20 0 CHADLO CCOc1ncc(Cl)cc1-c1cc2[nH]ccc2c(C)[nH+]1 ZINC001236497199 1130957844 /nfs/dbraw/zinc/95/78/44/1130957844.db2.gz XQUPXVHQZDBFQJ-UHFFFAOYSA-N 1 2 287.750 3.985 20 0 CHADLO COc1ccc(F)c(CNc2ccc([NH+](C)C)c(C)c2)c1 ZINC000815131172 1130964923 /nfs/dbraw/zinc/96/49/23/1130964923.db2.gz QKSBHRJRPYUPDW-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cnc(OC(C)C)cc1C ZINC001236580877 1130980002 /nfs/dbraw/zinc/98/00/02/1130980002.db2.gz ONDAGKRPUHKLRX-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO C[N@H+](CCF)Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236660268 1130989742 /nfs/dbraw/zinc/98/97/42/1130989742.db2.gz XJYGSOLJSVBTNW-UHFFFAOYSA-N 1 2 254.107 3.534 20 0 CHADLO C[N@@H+](CCF)Cc1cc(Cl)c(F)c(Cl)c1 ZINC001236660268 1130989745 /nfs/dbraw/zinc/98/97/45/1130989745.db2.gz XJYGSOLJSVBTNW-UHFFFAOYSA-N 1 2 254.107 3.534 20 0 CHADLO CC(=O)[C@H]1CC[N@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236660298 1130990158 /nfs/dbraw/zinc/99/01/58/1130990158.db2.gz YHOLXVMXSCEKRN-JTQLQIEISA-N 1 2 290.165 3.543 20 0 CHADLO CC(=O)[C@H]1CC[N@@H+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236660298 1130990162 /nfs/dbraw/zinc/99/01/62/1130990162.db2.gz YHOLXVMXSCEKRN-JTQLQIEISA-N 1 2 290.165 3.543 20 0 CHADLO CO[C@H](C)C1C[NH+](Cc2cc(Cl)c(F)c(Cl)c2)C1 ZINC001236652725 1130990225 /nfs/dbraw/zinc/99/02/25/1130990225.db2.gz BKXCODAYLMVQGM-MRVPVSSYSA-N 1 2 292.181 3.599 20 0 CHADLO c1cc2c(cccc2C[N@@H+]2Cc3ccncc3C2)s1 ZINC001236689047 1130994707 /nfs/dbraw/zinc/99/47/07/1130994707.db2.gz HMFWTCAXHVHSFU-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO c1cc2c(cccc2C[N@H+]2Cc3ccncc3C2)s1 ZINC001236689047 1130994708 /nfs/dbraw/zinc/99/47/08/1130994708.db2.gz HMFWTCAXHVHSFU-UHFFFAOYSA-N 1 2 266.369 3.812 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(F)cc2F)cc(Cl)n1 ZINC000797589485 1130996240 /nfs/dbraw/zinc/99/62/40/1130996240.db2.gz TWBMHYZHJQZLEI-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(F)cc2F)cc(Cl)n1 ZINC000797589485 1130996244 /nfs/dbraw/zinc/99/62/44/1130996244.db2.gz TWBMHYZHJQZLEI-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2cc(C)nc(Cl)c2)cs1 ZINC000797600467 1130997117 /nfs/dbraw/zinc/99/71/17/1130997117.db2.gz VCUPBYUWIYVEKZ-SNVBAGLBSA-N 1 2 295.839 3.913 20 0 CHADLO Fc1ccc(OC2C[NH+](Cc3cccc4occc43)C2)cc1 ZINC001236741391 1131003394 /nfs/dbraw/zinc/00/33/94/1131003394.db2.gz VYUUSJSJTKNJMW-UHFFFAOYSA-N 1 2 297.329 3.835 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+][C@@H]1CCCC1(F)F ZINC000815627002 1131011033 /nfs/dbraw/zinc/01/10/33/1131011033.db2.gz QQRRASXWFJSFFZ-GXFFZTMASA-N 1 2 257.299 3.973 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1ccc(F)c(F)c1C)CC2 ZINC001237164363 1131064166 /nfs/dbraw/zinc/06/41/66/1131064166.db2.gz AVIVOWBMJGAJJV-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1ccc(F)c(F)c1C)CC2 ZINC001237164363 1131064172 /nfs/dbraw/zinc/06/41/72/1131064172.db2.gz AVIVOWBMJGAJJV-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1c(C)cc(F)cc1C ZINC001237320327 1131084120 /nfs/dbraw/zinc/08/41/20/1131084120.db2.gz CWDYSGLJZWTNRJ-UHFFFAOYSA-N 1 2 295.398 3.606 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1c(C)cc(F)cc1C ZINC001237320327 1131084126 /nfs/dbraw/zinc/08/41/26/1131084126.db2.gz CWDYSGLJZWTNRJ-UHFFFAOYSA-N 1 2 295.398 3.606 20 0 CHADLO CC[N@H+](CCOC)Cc1c(Cl)ccc(C)c1Cl ZINC001237328900 1131084989 /nfs/dbraw/zinc/08/49/89/1131084989.db2.gz VOZJVGZHYHPGBH-UHFFFAOYSA-N 1 2 276.207 3.770 20 0 CHADLO CC[N@@H+](CCOC)Cc1c(Cl)ccc(C)c1Cl ZINC001237328900 1131084995 /nfs/dbraw/zinc/08/49/95/1131084995.db2.gz VOZJVGZHYHPGBH-UHFFFAOYSA-N 1 2 276.207 3.770 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2[C@@H]3CC[C@H]2CC(=O)C3)c1Cl ZINC001237334557 1131086416 /nfs/dbraw/zinc/08/64/16/1131086416.db2.gz RGHNHDVOIKCODB-PHIMTYICSA-N 1 2 298.213 3.998 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2[C@@H]3CC[C@H]2CC(=O)C3)c1Cl ZINC001237334557 1131086423 /nfs/dbraw/zinc/08/64/23/1131086423.db2.gz RGHNHDVOIKCODB-PHIMTYICSA-N 1 2 298.213 3.998 20 0 CHADLO CC(C)c1cccc(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)c1 ZINC001237456235 1131098982 /nfs/dbraw/zinc/09/89/82/1131098982.db2.gz FYZPEPUWWRLEOQ-AWEZNQCLSA-N 1 2 271.326 3.989 20 0 CHADLO CC(C)c1cccc(C[N@H+]2CCC(F)(F)[C@@H](F)C2)c1 ZINC001237456235 1131098987 /nfs/dbraw/zinc/09/89/87/1131098987.db2.gz FYZPEPUWWRLEOQ-AWEZNQCLSA-N 1 2 271.326 3.989 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cc(Cl)nc(C(F)(F)F)c2)C1 ZINC001237492321 1131104885 /nfs/dbraw/zinc/10/48/85/1131104885.db2.gz VLIANMDLHGKMCW-VIFPVBQESA-N 1 2 292.732 3.986 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cc(Cl)nc(C(F)(F)F)c2)C1 ZINC001237492321 1131104888 /nfs/dbraw/zinc/10/48/88/1131104888.db2.gz VLIANMDLHGKMCW-VIFPVBQESA-N 1 2 292.732 3.986 20 0 CHADLO CCn1cncc1C[N@@H+]1CCC[C@@H]1c1ccc(Cl)s1 ZINC001237590651 1131117202 /nfs/dbraw/zinc/11/72/02/1131117202.db2.gz RSZYHXGNKCPVCW-GFCCVEGCSA-N 1 2 295.839 3.955 20 0 CHADLO CCn1cncc1C[N@H+]1CCC[C@@H]1c1ccc(Cl)s1 ZINC001237590651 1131117205 /nfs/dbraw/zinc/11/72/05/1131117205.db2.gz RSZYHXGNKCPVCW-GFCCVEGCSA-N 1 2 295.839 3.955 20 0 CHADLO CO[C@H](C)C1C[NH+](Cc2c(Cl)cc(F)cc2Cl)C1 ZINC001237602935 1131118284 /nfs/dbraw/zinc/11/82/84/1131118284.db2.gz NOUUXRNUWCZCRX-MRVPVSSYSA-N 1 2 292.181 3.599 20 0 CHADLO Cc1ccnc2cccc(C[N@H+](C)Cc3cscn3)c12 ZINC001237631797 1131120580 /nfs/dbraw/zinc/12/05/80/1131120580.db2.gz XHNBFNHXHUIPFN-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccnc2cccc(C[N@@H+](C)Cc3cscn3)c12 ZINC001237631797 1131120583 /nfs/dbraw/zinc/12/05/83/1131120583.db2.gz XHNBFNHXHUIPFN-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO COc1cccc([C@@H]2CCCC[N@@H+]2Cc2nc(C)co2)c1 ZINC001237641812 1131123064 /nfs/dbraw/zinc/12/30/64/1131123064.db2.gz AKGYZTQQGYUDGS-INIZCTEOSA-N 1 2 286.375 3.719 20 0 CHADLO COc1cccc([C@@H]2CCCC[N@H+]2Cc2nc(C)co2)c1 ZINC001237641812 1131123069 /nfs/dbraw/zinc/12/30/69/1131123069.db2.gz AKGYZTQQGYUDGS-INIZCTEOSA-N 1 2 286.375 3.719 20 0 CHADLO Cc1coc(C[N@H+](Cc2cccc(C)c2C)C2CC2)n1 ZINC001237638057 1131123922 /nfs/dbraw/zinc/12/39/22/1131123922.db2.gz FFWYKQYJTGHRMR-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cc1coc(C[N@@H+](Cc2cccc(C)c2C)C2CC2)n1 ZINC001237638057 1131123924 /nfs/dbraw/zinc/12/39/24/1131123924.db2.gz FFWYKQYJTGHRMR-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO COc1ccc(C)c(C[N@@H+]2Cc3cccc(F)c3C2)c1 ZINC001237714466 1131131897 /nfs/dbraw/zinc/13/18/97/1131131897.db2.gz VRMCYUDNUAYLBW-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc(C)c(C[N@H+]2Cc3cccc(F)c3C2)c1 ZINC001237714466 1131131899 /nfs/dbraw/zinc/13/18/99/1131131899.db2.gz VRMCYUDNUAYLBW-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO CCc1cc(Br)ccc1C[N@@H+]1CC[C@H](F)C1 ZINC001237739899 1131136066 /nfs/dbraw/zinc/13/60/66/1131136066.db2.gz PBTBOTBHGQDFIO-ZDUSSCGKSA-N 1 2 286.188 3.555 20 0 CHADLO CCc1cc(Br)ccc1C[N@H+]1CC[C@H](F)C1 ZINC001237739899 1131136068 /nfs/dbraw/zinc/13/60/68/1131136068.db2.gz PBTBOTBHGQDFIO-ZDUSSCGKSA-N 1 2 286.188 3.555 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001238007054 1131161744 /nfs/dbraw/zinc/16/17/44/1131161744.db2.gz XVOPZBGZICCKIL-GFCCVEGCSA-N 1 2 277.170 3.570 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccc(F)cc2)c(Cl)n1 ZINC001237796306 1131141121 /nfs/dbraw/zinc/14/11/21/1131141121.db2.gz WVDWSDLNKAWINH-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccc(F)cc2)c(Cl)n1 ZINC001237796306 1131141124 /nfs/dbraw/zinc/14/11/24/1131141124.db2.gz WVDWSDLNKAWINH-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001238007054 1131161747 /nfs/dbraw/zinc/16/17/47/1131161747.db2.gz XVOPZBGZICCKIL-GFCCVEGCSA-N 1 2 277.170 3.570 20 0 CHADLO Cc1cnc(C[N@H+](Cc2cccc(C)c2C)C2CC2)nc1 ZINC001237810949 1131142968 /nfs/dbraw/zinc/14/29/68/1131142968.db2.gz QGXJKLWIDKFSAM-UHFFFAOYSA-N 1 2 281.403 3.566 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2cccc(C)c2C)C2CC2)nc1 ZINC001237810949 1131142971 /nfs/dbraw/zinc/14/29/71/1131142971.db2.gz QGXJKLWIDKFSAM-UHFFFAOYSA-N 1 2 281.403 3.566 20 0 CHADLO COc1ccc(C[N@H+](Cc2cc(C)[nH]n2)[C@@H](C)C2CC2)cc1 ZINC001116439464 1131145063 /nfs/dbraw/zinc/14/50/63/1131145063.db2.gz BHMWQBLZRGZWAO-AWEZNQCLSA-N 1 2 299.418 3.527 20 0 CHADLO COc1ccc(C[N@@H+](Cc2cc(C)[nH]n2)[C@@H](C)C2CC2)cc1 ZINC001116439464 1131145065 /nfs/dbraw/zinc/14/50/65/1131145065.db2.gz BHMWQBLZRGZWAO-AWEZNQCLSA-N 1 2 299.418 3.527 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CCC[C@H]3CCC[C@H]32)cc1Cl ZINC001237997627 1131160063 /nfs/dbraw/zinc/16/00/63/1131160063.db2.gz NFMBWCXUPAPIEE-BXUZGUMPSA-N 1 2 283.774 3.949 20 0 CHADLO FC(F)C1CC[NH+](Cc2cncc(Cl)c2Cl)CC1 ZINC001238011223 1131160982 /nfs/dbraw/zinc/16/09/82/1131160982.db2.gz IUCGRGATEATGNP-UHFFFAOYSA-N 1 2 295.160 3.866 20 0 CHADLO FCC1CC[NH+](Cc2cncc(Cl)c2Cl)CC1 ZINC001238008782 1131161207 /nfs/dbraw/zinc/16/12/07/1131161207.db2.gz MPHCWSSHIMJYBS-UHFFFAOYSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001238008884 1131161565 /nfs/dbraw/zinc/16/15/65/1131161565.db2.gz SONMCGWCKIAKPU-VIFPVBQESA-N 1 2 259.180 3.620 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001238008884 1131161570 /nfs/dbraw/zinc/16/15/70/1131161570.db2.gz SONMCGWCKIAKPU-VIFPVBQESA-N 1 2 259.180 3.620 20 0 CHADLO Fc1cc(Cl)c(C[NH+]2CC3(C2)CCCCC3)cn1 ZINC001238028399 1131163260 /nfs/dbraw/zinc/16/32/60/1131163260.db2.gz VEUJULVKKLVPAD-UHFFFAOYSA-N 1 2 268.763 3.640 20 0 CHADLO COc1ncc(C2CC2)cc1C[N@H+](Cc1ccco1)C1CC1 ZINC001238030038 1131163713 /nfs/dbraw/zinc/16/37/13/1131163713.db2.gz DLTOJQVCDCGUIS-UHFFFAOYSA-N 1 2 298.386 3.725 20 0 CHADLO COc1ncc(C2CC2)cc1C[N@@H+](Cc1ccco1)C1CC1 ZINC001238030038 1131163715 /nfs/dbraw/zinc/16/37/15/1131163715.db2.gz DLTOJQVCDCGUIS-UHFFFAOYSA-N 1 2 298.386 3.725 20 0 CHADLO C[N@H+](Cc1cnc(C2CC2)s1)Cc1cc(F)cc(F)c1 ZINC001116811936 1131164659 /nfs/dbraw/zinc/16/46/59/1131164659.db2.gz QQRUXZKTIXGHRG-UHFFFAOYSA-N 1 2 294.370 3.931 20 0 CHADLO C[N@@H+](Cc1cnc(C2CC2)s1)Cc1cc(F)cc(F)c1 ZINC001116811936 1131164660 /nfs/dbraw/zinc/16/46/60/1131164660.db2.gz QQRUXZKTIXGHRG-UHFFFAOYSA-N 1 2 294.370 3.931 20 0 CHADLO CCOc1cc(C[N@H+](C)CC(=O)c2ccccc2)ccc1C ZINC001238072970 1131168040 /nfs/dbraw/zinc/16/80/40/1131168040.db2.gz AOLKPKDDFOHVMK-UHFFFAOYSA-N 1 2 297.398 3.708 20 0 CHADLO CCOc1cc(C[N@@H+](C)CC(=O)c2ccccc2)ccc1C ZINC001238072970 1131168041 /nfs/dbraw/zinc/16/80/41/1131168041.db2.gz AOLKPKDDFOHVMK-UHFFFAOYSA-N 1 2 297.398 3.708 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CC[C@@H]3CCC[C@H]3C2)cc1Cl ZINC001238145071 1131174377 /nfs/dbraw/zinc/17/43/77/1131174377.db2.gz BWAOMUWNYHEKRV-QWRGUYRKSA-N 1 2 283.774 3.807 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CC[C@@H]3CCC[C@H]3C2)cc1Cl ZINC001238145071 1131174379 /nfs/dbraw/zinc/17/43/79/1131174379.db2.gz BWAOMUWNYHEKRV-QWRGUYRKSA-N 1 2 283.774 3.807 20 0 CHADLO CC(C)[NH+](Cc1cc(Cl)c(O)cc1F)C(C)C ZINC001238144611 1131174507 /nfs/dbraw/zinc/17/45/07/1131174507.db2.gz XCXLPZYJXMKYRS-UHFFFAOYSA-N 1 2 259.752 3.804 20 0 CHADLO C[N@H+](Cc1cc(Cl)c(O)cc1F)C1CCCC1 ZINC001238147820 1131174535 /nfs/dbraw/zinc/17/45/35/1131174535.db2.gz BNYGOGYPENUZHQ-UHFFFAOYSA-N 1 2 257.736 3.559 20 0 CHADLO C[N@@H+](Cc1cc(Cl)c(O)cc1F)C1CCCC1 ZINC001238147820 1131174537 /nfs/dbraw/zinc/17/45/37/1131174537.db2.gz BNYGOGYPENUZHQ-UHFFFAOYSA-N 1 2 257.736 3.559 20 0 CHADLO c1csc([C@H]2CCC[N@H+]2Cc2cncc(C3CC3)c2)n1 ZINC001238191693 1131178231 /nfs/dbraw/zinc/17/82/31/1131178231.db2.gz YPCOREQFTLCDPX-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO c1csc([C@H]2CCC[N@@H+]2Cc2cncc(C3CC3)c2)n1 ZINC001238191693 1131178232 /nfs/dbraw/zinc/17/82/32/1131178232.db2.gz YPCOREQFTLCDPX-OAHLLOKOSA-N 1 2 285.416 3.753 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1cccc(-c3cc[nH]n3)c1)C2 ZINC001238205236 1131181214 /nfs/dbraw/zinc/18/12/14/1131181214.db2.gz AHLGEIJXPGKLFU-UHFFFAOYSA-N 1 2 293.345 3.732 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1cccc(-c3cc[nH]n3)c1)C2 ZINC001238205236 1131181217 /nfs/dbraw/zinc/18/12/17/1131181217.db2.gz AHLGEIJXPGKLFU-UHFFFAOYSA-N 1 2 293.345 3.732 20 0 CHADLO COc1ccc(Cl)cc1-c1cc(-n2ccnc2)cc[nH+]1 ZINC001245811521 1131186015 /nfs/dbraw/zinc/18/60/15/1131186015.db2.gz GRFUFMFLCNKLFM-UHFFFAOYSA-N 1 2 285.734 3.596 20 0 CHADLO COc1ccc(Cl)cc1-c1c[nH+]c(N(C)C)cc1C ZINC001245813613 1131186735 /nfs/dbraw/zinc/18/67/35/1131186735.db2.gz SUEAPFRRPDYYLG-UHFFFAOYSA-N 1 2 276.767 3.785 20 0 CHADLO Cc1cc(Cl)c(Cl)cc1C[NH+]1CC2(C1)CCOC2 ZINC001238264050 1131186971 /nfs/dbraw/zinc/18/69/71/1131186971.db2.gz QXJRSJRUJZEXEE-UHFFFAOYSA-N 1 2 286.202 3.524 20 0 CHADLO Cc1ccc(Br)c(C[NH+]2CCC2)c1Cl ZINC001238286396 1131188227 /nfs/dbraw/zinc/18/82/27/1131188227.db2.gz UCADJFZBURXWEV-UHFFFAOYSA-N 1 2 274.589 3.617 20 0 CHADLO Cc1nc([C@H](C)[NH+]2CCC(Cc3ccc(C)cc3)CC2)no1 ZINC001117629418 1131190001 /nfs/dbraw/zinc/19/00/01/1131190001.db2.gz OVBVKVJIQDVXDC-AWEZNQCLSA-N 1 2 299.418 3.702 20 0 CHADLO Cc1nc([C@@H](C)[NH+]2CCC(Cc3ccc(C)cc3)CC2)no1 ZINC001117629419 1131190048 /nfs/dbraw/zinc/19/00/48/1131190048.db2.gz OVBVKVJIQDVXDC-CQSZACIVSA-N 1 2 299.418 3.702 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccccc2Cl)c(C)c1 ZINC001238428743 1131199028 /nfs/dbraw/zinc/19/90/28/1131199028.db2.gz JBULICLWZFRPLJ-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccccc2Cl)c(C)c1 ZINC001238428743 1131199031 /nfs/dbraw/zinc/19/90/31/1131199031.db2.gz JBULICLWZFRPLJ-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO CC(C)Oc1ccc(C[NH+]2CC3(C2)CC(F)(F)C3)c(F)c1 ZINC001238443778 1131201283 /nfs/dbraw/zinc/20/12/83/1131201283.db2.gz HHJKEBDQNLWCHZ-UHFFFAOYSA-N 1 2 299.336 3.844 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccccc2Cl)cnc1F ZINC001238455122 1131201911 /nfs/dbraw/zinc/20/19/11/1131201911.db2.gz XFIJOCBOHOJBAW-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccccc2Cl)cnc1F ZINC001238455122 1131201914 /nfs/dbraw/zinc/20/19/14/1131201914.db2.gz XFIJOCBOHOJBAW-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2ccc(F)c(C3CC3)c2)C[C@H]1F ZINC001238497795 1131209080 /nfs/dbraw/zinc/20/90/80/1131209080.db2.gz GVWQMJPHGLXIDB-HZPDHXFCSA-N 1 2 283.337 3.975 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2ccc(F)c(C3CC3)c2)C[C@H]1F ZINC001238497795 1131209084 /nfs/dbraw/zinc/20/90/84/1131209084.db2.gz GVWQMJPHGLXIDB-HZPDHXFCSA-N 1 2 283.337 3.975 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2ccc(F)c(C3CC3)c2)C[C@@H]1F ZINC001238497792 1131209459 /nfs/dbraw/zinc/20/94/59/1131209459.db2.gz GVWQMJPHGLXIDB-HOTGVXAUSA-N 1 2 283.337 3.975 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2ccc(F)c(C3CC3)c2)C[C@@H]1F ZINC001238497792 1131209464 /nfs/dbraw/zinc/20/94/64/1131209464.db2.gz GVWQMJPHGLXIDB-HOTGVXAUSA-N 1 2 283.337 3.975 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ncc(OC)cc1Cl ZINC001238495015 1131209741 /nfs/dbraw/zinc/20/97/41/1131209741.db2.gz ROSXQYZWJQTJCX-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ncc(OC)cc1Cl ZINC001238495015 1131209744 /nfs/dbraw/zinc/20/97/44/1131209744.db2.gz ROSXQYZWJQTJCX-UHFFFAOYSA-N 1 2 296.823 3.827 20 0 CHADLO C[NH+](C)Cc1nnc(C=C2CCC(C(C)(C)C)CC2)o1 ZINC001118132546 1131212385 /nfs/dbraw/zinc/21/23/85/1131212385.db2.gz DHFYAUSNKGIXJI-UHFFFAOYSA-N 1 2 277.412 3.751 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CC[C@@](C)(F)[C@H](F)C2)c1 ZINC001238565995 1131216442 /nfs/dbraw/zinc/21/64/42/1131216442.db2.gz YBRAIJWIEAEEKL-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CC[C@@](C)(F)[C@H](F)C2)c1 ZINC001238565995 1131216447 /nfs/dbraw/zinc/21/64/47/1131216447.db2.gz YBRAIJWIEAEEKL-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO CC(C)Oc1c(F)cc(-c2ccc(N)[nH+]c2)cc1Cl ZINC001245868092 1131220341 /nfs/dbraw/zinc/22/03/41/1131220341.db2.gz PWRDIVBHEXKYCF-UHFFFAOYSA-N 1 2 280.730 3.911 20 0 CHADLO CCOc1ccc2c(c1)C[N@@H+](Cc1cc(C3CC3)no1)CC2 ZINC001118494340 1131229574 /nfs/dbraw/zinc/22/95/74/1131229574.db2.gz DRWVXOMWQMSFBF-UHFFFAOYSA-N 1 2 298.386 3.509 20 0 CHADLO CCOc1ccc2c(c1)C[N@H+](Cc1cc(C3CC3)no1)CC2 ZINC001118494340 1131229579 /nfs/dbraw/zinc/22/95/79/1131229579.db2.gz DRWVXOMWQMSFBF-UHFFFAOYSA-N 1 2 298.386 3.509 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001238672386 1131229719 /nfs/dbraw/zinc/22/97/19/1131229719.db2.gz QDDUCPVCYDQLMF-XDTLVQLUSA-N 1 2 285.815 3.852 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001238672386 1131229723 /nfs/dbraw/zinc/22/97/23/1131229723.db2.gz QDDUCPVCYDQLMF-XDTLVQLUSA-N 1 2 285.815 3.852 20 0 CHADLO CCc1nc(CNc2cc(C(C)C)[nH+]c(C(C)C)n2)co1 ZINC000829183740 1131414112 /nfs/dbraw/zinc/41/41/12/1131414112.db2.gz OENFADYARHFEMC-UHFFFAOYSA-N 1 2 288.395 3.886 20 0 CHADLO CC1(C)C[NH+](Cc2cc(F)cc(C(F)(F)F)c2)C1 ZINC001137860121 1131424982 /nfs/dbraw/zinc/42/49/82/1131424982.db2.gz STMDRNMYMRPVRZ-UHFFFAOYSA-N 1 2 261.262 3.686 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CCC[C@@H](C(F)F)C2)c1 ZINC001137872069 1131426946 /nfs/dbraw/zinc/42/69/46/1131426946.db2.gz BAIVJAUEKYGYOC-MRVPVSSYSA-N 1 2 279.252 3.581 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CCC[C@@H](C(F)F)C2)c1 ZINC001137872069 1131426948 /nfs/dbraw/zinc/42/69/48/1131426948.db2.gz BAIVJAUEKYGYOC-MRVPVSSYSA-N 1 2 279.252 3.581 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@H+]1CCC[C@H](F)CC1 ZINC001137878377 1131428293 /nfs/dbraw/zinc/42/82/93/1131428293.db2.gz SNBGNQUNFFMXAR-VIFPVBQESA-N 1 2 277.717 3.942 20 0 CHADLO Fc1ccc(Cl)c(F)c1C[N@@H+]1CCC[C@H](F)CC1 ZINC001137878377 1131428295 /nfs/dbraw/zinc/42/82/95/1131428295.db2.gz SNBGNQUNFFMXAR-VIFPVBQESA-N 1 2 277.717 3.942 20 0 CHADLO Fc1cc(F)c(C[N@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)cc1F ZINC001137887042 1131429562 /nfs/dbraw/zinc/42/95/62/1131429562.db2.gz XPBOBYLWENOMAM-AOOOYVTPSA-N 1 2 291.263 3.581 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)cc1F ZINC001137887042 1131429564 /nfs/dbraw/zinc/42/95/64/1131429564.db2.gz XPBOBYLWENOMAM-AOOOYVTPSA-N 1 2 291.263 3.581 20 0 CHADLO Fc1cc(F)c(C[N@H+]2CCC[C@@H](C(F)F)C2)cc1F ZINC001137891546 1131429964 /nfs/dbraw/zinc/42/99/64/1131429964.db2.gz YIIMMBCHWUALQS-MRVPVSSYSA-N 1 2 279.252 3.581 20 0 CHADLO Fc1cc(F)c(C[N@@H+]2CCC[C@@H](C(F)F)C2)cc1F ZINC001137891546 1131429966 /nfs/dbraw/zinc/42/99/66/1131429966.db2.gz YIIMMBCHWUALQS-MRVPVSSYSA-N 1 2 279.252 3.581 20 0 CHADLO Fc1ccc(C[N@H+]2CC[C@@H](F)C(F)(F)CC2)c(F)c1F ZINC001137934951 1131438881 /nfs/dbraw/zinc/43/88/81/1131438881.db2.gz WVABZGOGNNGXBT-SNVBAGLBSA-N 1 2 297.242 3.673 20 0 CHADLO Fc1ccc(C[N@@H+]2CC[C@@H](F)C(F)(F)CC2)c(F)c1F ZINC001137934951 1131438882 /nfs/dbraw/zinc/43/88/82/1131438882.db2.gz WVABZGOGNNGXBT-SNVBAGLBSA-N 1 2 297.242 3.673 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1ccc(C)c(F)c1F ZINC001137968811 1131444121 /nfs/dbraw/zinc/44/41/21/1131444121.db2.gz TUOMLZWTDUXFRJ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1ccc(C)c(F)c1F ZINC001137968811 1131444123 /nfs/dbraw/zinc/44/41/23/1131444123.db2.gz TUOMLZWTDUXFRJ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC1CC[NH+](Cc2c(F)ccc(F)c2Cl)CC1 ZINC001137999715 1131449183 /nfs/dbraw/zinc/44/91/83/1131449183.db2.gz VCKWMGMQEMCUOK-UHFFFAOYSA-N 1 2 259.727 3.850 20 0 CHADLO CCc1cccc(F)c1C[NH2+]Cc1cc(F)cnc1Cl ZINC000824544422 1131475369 /nfs/dbraw/zinc/47/53/69/1131475369.db2.gz XLCLHFZDCMJPEO-UHFFFAOYSA-N 1 2 296.748 3.865 20 0 CHADLO Cc1ccc(NC(=O)Nc2ccc3[nH+]ccn3c2)cc1C ZINC001202816409 1131479345 /nfs/dbraw/zinc/47/93/45/1131479345.db2.gz JWRYTLDUJSGYSV-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Clc1ccc(C[N@@H+]2CCOC[C@H]2C2CCCCC2)nc1 ZINC001136932445 1131479528 /nfs/dbraw/zinc/47/95/28/1131479528.db2.gz KABCBNYVYUBSGC-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1ccc(C[N@H+]2CCOC[C@H]2C2CCCCC2)nc1 ZINC001136932445 1131479533 /nfs/dbraw/zinc/47/95/33/1131479533.db2.gz KABCBNYVYUBSGC-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO Fc1cc(F)c(F)c(C[N@@H+]2CC[C@@H]3CCC[C@@H]3C2)c1F ZINC001138230790 1131480204 /nfs/dbraw/zinc/48/02/04/1131480204.db2.gz GAQOESKZPINCEQ-VHSXEESVSA-N 1 2 287.300 3.865 20 0 CHADLO Fc1cc(F)c(F)c(C[N@H+]2CC[C@@H]3CCC[C@@H]3C2)c1F ZINC001138230790 1131480208 /nfs/dbraw/zinc/48/02/08/1131480208.db2.gz GAQOESKZPINCEQ-VHSXEESVSA-N 1 2 287.300 3.865 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@@H+]1Cc1c(F)c(F)cc(F)c1F ZINC001138235753 1131480511 /nfs/dbraw/zinc/48/05/11/1131480511.db2.gz HVABXBAHUOBOLM-DTWKUNHWSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@@H]1C[C@@H](C)CC[N@H+]1Cc1c(F)c(F)cc(F)c1F ZINC001138235753 1131480512 /nfs/dbraw/zinc/48/05/12/1131480512.db2.gz HVABXBAHUOBOLM-DTWKUNHWSA-N 1 2 275.289 3.863 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cc3c(cc2Cl)OCO3)C1 ZINC001138239457 1131481582 /nfs/dbraw/zinc/48/15/82/1131481582.db2.gz CQHOEAMIIDVBSI-HNNXBMFYSA-N 1 2 299.773 3.783 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cc3c(cc2Cl)OCO3)C1 ZINC001138239457 1131481584 /nfs/dbraw/zinc/48/15/84/1131481584.db2.gz CQHOEAMIIDVBSI-HNNXBMFYSA-N 1 2 299.773 3.783 20 0 CHADLO Cc1ccc2c(C[N@H+](C)Cc3cscn3)c[nH]c2c1 ZINC001136974960 1131491065 /nfs/dbraw/zinc/49/10/65/1131491065.db2.gz UJVKRKQXEJTRJX-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc2c(C[N@@H+](C)Cc3cscn3)c[nH]c2c1 ZINC001136974960 1131491067 /nfs/dbraw/zinc/49/10/67/1131491067.db2.gz UJVKRKQXEJTRJX-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)Cc1cc(O)cc(O)c1 ZINC001138333000 1131492596 /nfs/dbraw/zinc/49/25/96/1131492596.db2.gz PBNWJZNJOWMHIR-LLVKDONJSA-N 1 2 291.778 3.944 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)Cc1cc(O)cc(O)c1 ZINC001138333000 1131492598 /nfs/dbraw/zinc/49/25/98/1131492598.db2.gz PBNWJZNJOWMHIR-LLVKDONJSA-N 1 2 291.778 3.944 20 0 CHADLO CCc1nc(C[NH2+]Cc2c(OC)ccc3ccccc32)co1 ZINC000825050467 1131495983 /nfs/dbraw/zinc/49/59/83/1131495983.db2.gz NOBHBJJWOJYNHO-UHFFFAOYSA-N 1 2 296.370 3.689 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2ccc(SC)c(F)c2)co1 ZINC000825061966 1131497041 /nfs/dbraw/zinc/49/70/41/1131497041.db2.gz MCRYBYPAGXDSLZ-JTQLQIEISA-N 1 2 294.395 3.949 20 0 CHADLO Fc1cccc2[nH]cc(C[NH+]3CC(Oc4ccccc4)C3)c21 ZINC001136984014 1131497738 /nfs/dbraw/zinc/49/77/38/1131497738.db2.gz BIJTWLWWFWRZMT-UHFFFAOYSA-N 1 2 296.345 3.570 20 0 CHADLO C[N@H+](Cc1cncc(Cl)c1)Cc1ccccc1F ZINC001136994479 1131499770 /nfs/dbraw/zinc/49/97/70/1131499770.db2.gz XNTGVBQUVWQBFR-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1cncc(Cl)c1)Cc1ccccc1F ZINC001136994479 1131499771 /nfs/dbraw/zinc/49/97/71/1131499771.db2.gz XNTGVBQUVWQBFR-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@@H+]1CCn2cccc2[C@H]1C ZINC001138413896 1131501083 /nfs/dbraw/zinc/50/10/83/1131501083.db2.gz HLKPUJIRDYTELP-CYBMUJFWSA-N 1 2 297.377 3.994 20 0 CHADLO Cc1c2cc(F)ccc2[nH]c1C[N@H+]1CCn2cccc2[C@H]1C ZINC001138413896 1131501088 /nfs/dbraw/zinc/50/10/88/1131501088.db2.gz HLKPUJIRDYTELP-CYBMUJFWSA-N 1 2 297.377 3.994 20 0 CHADLO Cc1ccc2[nH]c(C[N@@H+]3CCC[C@@H]3c3ncccn3)cc2c1 ZINC001138420485 1131502916 /nfs/dbraw/zinc/50/29/16/1131502916.db2.gz LAUDQUYZAOGZKW-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2[nH]c(C[N@H+]3CCC[C@@H]3c3ncccn3)cc2c1 ZINC001138420485 1131502919 /nfs/dbraw/zinc/50/29/19/1131502919.db2.gz LAUDQUYZAOGZKW-QGZVFWFLSA-N 1 2 292.386 3.603 20 0 CHADLO COc1ccc(C[N@@H+]2CCC[C@@](C)(F)C2)cc1Cl ZINC001138470213 1131509906 /nfs/dbraw/zinc/50/99/06/1131509906.db2.gz ONIZKNLSSPTDQY-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO COc1ccc(C[N@H+]2CCC[C@@](C)(F)C2)cc1Cl ZINC001138470213 1131509909 /nfs/dbraw/zinc/50/99/09/1131509909.db2.gz ONIZKNLSSPTDQY-CQSZACIVSA-N 1 2 271.763 3.673 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCC(=O)[C@H](C)CC2)c1 ZINC001143672629 1131523660 /nfs/dbraw/zinc/52/36/60/1131523660.db2.gz NWHGMBBZQOUZDI-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCC(=O)[C@H](C)CC2)c1 ZINC001143672629 1131523663 /nfs/dbraw/zinc/52/36/63/1131523663.db2.gz NWHGMBBZQOUZDI-GFCCVEGCSA-N 1 2 299.336 3.815 20 0 CHADLO COC(=O)c1cccc([C@H](C)Nc2c[nH+]cc(C)c2C)c1 ZINC001171109368 1131535804 /nfs/dbraw/zinc/53/58/04/1131535804.db2.gz ZYOJRNMYPABYAG-ZDUSSCGKSA-N 1 2 284.359 3.658 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1cc(F)ccc1Cl ZINC001138675321 1131536246 /nfs/dbraw/zinc/53/62/46/1131536246.db2.gz SGFPSGNBIQIWNO-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1cc(F)ccc1Cl ZINC001138675321 1131536252 /nfs/dbraw/zinc/53/62/52/1131536252.db2.gz SGFPSGNBIQIWNO-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@@H+]1Cc1cccnc1F ZINC001138788169 1131549792 /nfs/dbraw/zinc/54/97/92/1131549792.db2.gz WHJHWEFOTRMXIZ-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@H+]1Cc1cccnc1F ZINC001138788169 1131549794 /nfs/dbraw/zinc/54/97/94/1131549794.db2.gz WHJHWEFOTRMXIZ-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO Cc1c(C[N@@H+]2CCOC[C@H]2C2CC2)cccc1C(F)(F)F ZINC001143929559 1131552738 /nfs/dbraw/zinc/55/27/38/1131552738.db2.gz RBGWRVDDTHJOKN-HNNXBMFYSA-N 1 2 299.336 3.625 20 0 CHADLO Cc1c(C[N@H+]2CCOC[C@H]2C2CC2)cccc1C(F)(F)F ZINC001143929559 1131552742 /nfs/dbraw/zinc/55/27/42/1131552742.db2.gz RBGWRVDDTHJOKN-HNNXBMFYSA-N 1 2 299.336 3.625 20 0 CHADLO Fc1ccc(OC2C[NH+](Cc3ccc4occc4c3)C2)cc1 ZINC001138824527 1131553160 /nfs/dbraw/zinc/55/31/60/1131553160.db2.gz CQBAFBXHRJDZRK-UHFFFAOYSA-N 1 2 297.329 3.835 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccsc2)c(F)c1F ZINC001143914677 1131554385 /nfs/dbraw/zinc/55/43/85/1131554385.db2.gz CFFZYTUNCVKDJX-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccsc2)c(F)c1F ZINC001143914677 1131554387 /nfs/dbraw/zinc/55/43/87/1131554387.db2.gz CFFZYTUNCVKDJX-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO C[C@]1(CF)CC[N@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143946080 1131558193 /nfs/dbraw/zinc/55/81/93/1131558193.db2.gz NPPCQMRFVUABNW-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@]1(CF)CC[N@@H+](Cc2c(Cl)ccc(F)c2F)C1 ZINC001143946080 1131558197 /nfs/dbraw/zinc/55/81/97/1131558197.db2.gz NPPCQMRFVUABNW-CYBMUJFWSA-N 1 2 277.717 3.800 20 0 CHADLO CSC1CC[NH+](Cc2c(Cl)ccc(F)c2F)CC1 ZINC001143956807 1131558925 /nfs/dbraw/zinc/55/89/25/1131558925.db2.gz FHVMQHMRGRUMHU-UHFFFAOYSA-N 1 2 291.794 3.946 20 0 CHADLO CC(C)c1ccc(N(C)C(=O)C[C@H](C)n2cc[nH+]c2)cc1 ZINC000844429549 1131563022 /nfs/dbraw/zinc/56/30/22/1131563022.db2.gz SCLWBKDWSZQCLR-AWEZNQCLSA-N 1 2 285.391 3.621 20 0 CHADLO CC(C)Oc1ccc(Br)cc1C[N@@H+]1CC[C@H]1C ZINC001138992151 1131569543 /nfs/dbraw/zinc/56/95/43/1131569543.db2.gz NFWHHESLIQIOGO-LLVKDONJSA-N 1 2 298.224 3.831 20 0 CHADLO CC(C)Oc1ccc(Br)cc1C[N@H+]1CC[C@H]1C ZINC001138992151 1131569547 /nfs/dbraw/zinc/56/95/47/1131569547.db2.gz NFWHHESLIQIOGO-LLVKDONJSA-N 1 2 298.224 3.831 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@H+]1C[C@H]2[C@H](CC2(F)F)C1 ZINC001139049471 1131575946 /nfs/dbraw/zinc/57/59/46/1131575946.db2.gz GXGVNVHRURGYAW-XCBNKYQSSA-N 1 2 293.691 3.705 20 0 CHADLO Fc1cc(Cl)cc(F)c1C[N@@H+]1C[C@H]2[C@H](CC2(F)F)C1 ZINC001139049471 1131575951 /nfs/dbraw/zinc/57/59/51/1131575951.db2.gz GXGVNVHRURGYAW-XCBNKYQSSA-N 1 2 293.691 3.705 20 0 CHADLO CCCC[N@H+](CC(=O)OCC)Cc1cc2ccccc2o1 ZINC001139063864 1131577906 /nfs/dbraw/zinc/57/79/06/1131577906.db2.gz AZPNPQCMDAABIE-UHFFFAOYSA-N 1 2 289.375 3.598 20 0 CHADLO CCCC[N@@H+](CC(=O)OCC)Cc1cc2ccccc2o1 ZINC001139063864 1131577909 /nfs/dbraw/zinc/57/79/09/1131577909.db2.gz AZPNPQCMDAABIE-UHFFFAOYSA-N 1 2 289.375 3.598 20 0 CHADLO CCn1ccc2c1cccc2C[N@@H+]1C[C@@H](F)C[C@H]1CF ZINC001139151953 1131587222 /nfs/dbraw/zinc/58/72/22/1131587222.db2.gz NEZALVQBXULVHZ-KBPBESRZSA-N 1 2 278.346 3.543 20 0 CHADLO CCn1ccc2c1cccc2C[N@H+]1C[C@@H](F)C[C@H]1CF ZINC001139151953 1131587225 /nfs/dbraw/zinc/58/72/25/1131587225.db2.gz NEZALVQBXULVHZ-KBPBESRZSA-N 1 2 278.346 3.543 20 0 CHADLO CCOC1CC[NH+](Cc2cc(Cl)c(F)cc2F)CC1 ZINC001144129365 1131590366 /nfs/dbraw/zinc/59/03/66/1131590366.db2.gz FOLRXJCSOVFSRX-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO CCc1cc(C[N@H+](C)Cc2cscn2)cc(CC)c1O ZINC001144150286 1131591453 /nfs/dbraw/zinc/59/14/53/1131591453.db2.gz FELRMEMNLIGUNW-UHFFFAOYSA-N 1 2 290.432 3.606 20 0 CHADLO CCc1cc(C[N@@H+](C)Cc2cscn2)cc(CC)c1O ZINC001144150286 1131591456 /nfs/dbraw/zinc/59/14/56/1131591456.db2.gz FELRMEMNLIGUNW-UHFFFAOYSA-N 1 2 290.432 3.606 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1ccc2cc(O)ccc2c1 ZINC001139339312 1131602584 /nfs/dbraw/zinc/60/25/84/1131602584.db2.gz UFRXUBSOTBUESZ-UHFFFAOYSA-N 1 2 284.384 3.634 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1ccc2cc(O)ccc2c1 ZINC001139339312 1131602585 /nfs/dbraw/zinc/60/25/85/1131602585.db2.gz UFRXUBSOTBUESZ-UHFFFAOYSA-N 1 2 284.384 3.634 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1ccc2cc(O)ccc2c1 ZINC001139338725 1131602644 /nfs/dbraw/zinc/60/26/44/1131602644.db2.gz GGTRBOYXMXHAIM-UHFFFAOYSA-N 1 2 284.384 3.634 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1ccc2cc(O)ccc2c1 ZINC001139338725 1131602645 /nfs/dbraw/zinc/60/26/45/1131602645.db2.gz GGTRBOYXMXHAIM-UHFFFAOYSA-N 1 2 284.384 3.634 20 0 CHADLO FCC1CC[NH+](Cc2cc(Cl)cnc2Cl)CC1 ZINC001139354967 1131604308 /nfs/dbraw/zinc/60/43/08/1131604308.db2.gz GAWZSTXDHOEHGR-UHFFFAOYSA-N 1 2 277.170 3.570 20 0 CHADLO FCC1CC[NH+](Cc2c(Cl)ccnc2Cl)CC1 ZINC001139479543 1131610936 /nfs/dbraw/zinc/61/09/36/1131610936.db2.gz MFGZGVFXLNEGIC-UHFFFAOYSA-N 1 2 277.170 3.570 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cn3c(cccc3F)n2)Cc2ccccc21 ZINC001139570748 1131617751 /nfs/dbraw/zinc/61/77/51/1131617751.db2.gz NCLKNTIPNUFUHB-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cn3c(cccc3F)n2)Cc2ccccc21 ZINC001139570748 1131617755 /nfs/dbraw/zinc/61/77/55/1131617755.db2.gz NCLKNTIPNUFUHB-CYBMUJFWSA-N 1 2 295.361 3.593 20 0 CHADLO Cc1cnc(Cl)c(C[N@@H+]2CCc3ccccc3C2)c1 ZINC001139589037 1131618806 /nfs/dbraw/zinc/61/88/06/1131618806.db2.gz WISCISOUSIKGJW-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cnc(Cl)c(C[N@H+]2CCc3ccccc3C2)c1 ZINC001139589037 1131618809 /nfs/dbraw/zinc/61/88/09/1131618809.db2.gz WISCISOUSIKGJW-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC(C)c1csc(SCc2cc[nH+]c(N)c2)n1 ZINC000845330343 1131627654 /nfs/dbraw/zinc/62/76/54/1131627654.db2.gz LDTMOVRNNVBRRD-UHFFFAOYSA-N 1 2 265.407 3.536 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)cc1Br ZINC001139728693 1131633622 /nfs/dbraw/zinc/63/36/22/1131633622.db2.gz IEVHZZGKANZUID-SGMGOOAPSA-N 1 2 298.199 3.547 20 0 CHADLO c1ccc2c(c1)oc1ccc(C[N@@H+]3CCO[C@@H]4C[C@@H]43)cc12 ZINC001139753548 1131635462 /nfs/dbraw/zinc/63/54/62/1131635462.db2.gz CIHQUVGLDWPSAI-MAUKXSAKSA-N 1 2 279.339 3.559 20 0 CHADLO c1ccc2c(c1)oc1ccc(C[N@H+]3CCO[C@@H]4C[C@@H]43)cc12 ZINC001139753548 1131635464 /nfs/dbraw/zinc/63/54/64/1131635464.db2.gz CIHQUVGLDWPSAI-MAUKXSAKSA-N 1 2 279.339 3.559 20 0 CHADLO C[C@H](CC1CCCCC1)OC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000845540322 1131640320 /nfs/dbraw/zinc/64/03/20/1131640320.db2.gz HSYJHHSNQJJWDP-UONOGXRCSA-N 1 2 278.396 3.736 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCOC[C@@H]1C1CC1 ZINC001139886366 1131646155 /nfs/dbraw/zinc/64/61/55/1131646155.db2.gz FLVRTNLREJJLEW-OAHLLOKOSA-N 1 2 299.336 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCOC[C@@H]1C1CC1 ZINC001139886366 1131646157 /nfs/dbraw/zinc/64/61/57/1131646157.db2.gz FLVRTNLREJJLEW-OAHLLOKOSA-N 1 2 299.336 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCOC[C@H]1C1CC1 ZINC001139886361 1131646428 /nfs/dbraw/zinc/64/64/28/1131646428.db2.gz FLVRTNLREJJLEW-HNNXBMFYSA-N 1 2 299.336 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCOC[C@H]1C1CC1 ZINC001139886361 1131646431 /nfs/dbraw/zinc/64/64/31/1131646431.db2.gz FLVRTNLREJJLEW-HNNXBMFYSA-N 1 2 299.336 3.625 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cccnc2C(F)(F)F)C1 ZINC001144520995 1131649155 /nfs/dbraw/zinc/64/91/55/1131649155.db2.gz JYJHWWYNUXQVSO-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cccnc2C(F)(F)F)C1 ZINC001144520995 1131649157 /nfs/dbraw/zinc/64/91/57/1131649157.db2.gz JYJHWWYNUXQVSO-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1ccc(C)nc1 ZINC001139934685 1131651714 /nfs/dbraw/zinc/65/17/14/1131651714.db2.gz WNIAJOSLOGAVGQ-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1ccc(C)nc1 ZINC001139934685 1131651715 /nfs/dbraw/zinc/65/17/15/1131651715.db2.gz WNIAJOSLOGAVGQ-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO C[C@H]1C[C@H](C)C[NH+](Cc2sc(Cl)nc2Cl)C1 ZINC001139957343 1131652777 /nfs/dbraw/zinc/65/27/77/1131652777.db2.gz QVZIFKCYLAWRIL-YUMQZZPRSA-N 1 2 279.236 3.928 20 0 CHADLO Cn1ncc2cc(C[N@@H+]3Cc4ccc(Cl)cc4C3)ccc21 ZINC001139952361 1131653075 /nfs/dbraw/zinc/65/30/75/1131653075.db2.gz IOUVWRCYYKMQIU-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO Cn1ncc2cc(C[N@H+]3Cc4ccc(Cl)cc4C3)ccc21 ZINC001139952361 1131653076 /nfs/dbraw/zinc/65/30/76/1131653076.db2.gz IOUVWRCYYKMQIU-UHFFFAOYSA-N 1 2 297.789 3.743 20 0 CHADLO CC[C@@H](COC(=O)[C@H](c1ccccc1)[NH+](C)C)CC(F)F ZINC000845756702 1131656199 /nfs/dbraw/zinc/65/61/99/1131656199.db2.gz QXVNHZNHBSGZCI-DOMZBBRYSA-N 1 2 299.361 3.514 20 0 CHADLO FC1(F)[C@H]2CN(c3cc[nH+]c4c(Cl)cccc34)C[C@H]21 ZINC000845757194 1131656742 /nfs/dbraw/zinc/65/67/42/1131656742.db2.gz PIKJTDDTHLXETJ-AOOOYVTPSA-N 1 2 280.705 3.590 20 0 CHADLO FC(F)C1CC[NH+](Cc2cc(C(F)(F)F)ccn2)CC1 ZINC001140107954 1131664364 /nfs/dbraw/zinc/66/43/64/1131664364.db2.gz JOUGCGUUEIYZTR-UHFFFAOYSA-N 1 2 294.267 3.578 20 0 CHADLO C[N@H+](Cc1ccc(O)cc1F)Cc1ccccc1Cl ZINC001140126702 1131665538 /nfs/dbraw/zinc/66/55/38/1131665538.db2.gz GRQJJNLEXNBLSR-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccc(O)cc1F)Cc1ccccc1Cl ZINC001140126702 1131665540 /nfs/dbraw/zinc/66/55/40/1131665540.db2.gz GRQJJNLEXNBLSR-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO FC1(F)CCCC[N@H+](Cc2cccc3cnccc32)C1 ZINC001140140824 1131666494 /nfs/dbraw/zinc/66/64/94/1131666494.db2.gz MNFWASDCGCVNBK-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO FC1(F)CCCC[N@@H+](Cc2cccc3cnccc32)C1 ZINC001140140824 1131666497 /nfs/dbraw/zinc/66/64/97/1131666497.db2.gz MNFWASDCGCVNBK-UHFFFAOYSA-N 1 2 276.330 3.856 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1cc(F)c(O)cc1F ZINC001144647106 1131673266 /nfs/dbraw/zinc/67/32/66/1131673266.db2.gz YSIGRQDKZIIRQH-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCC23CCCCC3)cc1F ZINC001144647400 1131673299 /nfs/dbraw/zinc/67/32/99/1131673299.db2.gz FPCAOYKETSRVFE-UHFFFAOYSA-N 1 2 267.319 3.579 20 0 CHADLO COc1ccc(Nc2[nH+]c(C)ccc2O)cc1OC(C)C ZINC001203012554 1131677082 /nfs/dbraw/zinc/67/70/82/1131677082.db2.gz NJTYCLMJXMDFBU-UHFFFAOYSA-N 1 2 288.347 3.635 20 0 CHADLO Oc1cc(F)c(C[N@H+](Cc2ccccc2)C2CC2)cc1F ZINC001144659242 1131679222 /nfs/dbraw/zinc/67/92/22/1131679222.db2.gz ACBWTTCRBNMLBQ-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO Oc1cc(F)c(C[N@@H+](Cc2ccccc2)C2CC2)cc1F ZINC001144659242 1131679224 /nfs/dbraw/zinc/67/92/24/1131679224.db2.gz ACBWTTCRBNMLBQ-UHFFFAOYSA-N 1 2 289.325 3.835 20 0 CHADLO CC1(F)CC[NH+](Cc2cc(F)c(F)cc2Cl)CC1 ZINC001144721827 1131694723 /nfs/dbraw/zinc/69/47/23/1131694723.db2.gz DQHCBUGDNBSUAA-UHFFFAOYSA-N 1 2 277.717 3.942 20 0 CHADLO CC[C@@H](OCC[NH2+][C@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000846181706 1131697004 /nfs/dbraw/zinc/69/70/04/1131697004.db2.gz HMVZTRGLKASJIQ-FUHWJXTLSA-N 1 2 299.418 3.545 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(OC)c(COC)c1)C(F)F ZINC000834828176 1131708518 /nfs/dbraw/zinc/70/85/18/1131708518.db2.gz QMAQYYYBCORZIZ-GWCFXTLKSA-N 1 2 287.350 3.536 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCn2ccnc21)c1ccc(-c2ccccc2)o1 ZINC000834837036 1131709469 /nfs/dbraw/zinc/70/94/69/1131709469.db2.gz RHLARWPYVGRTMP-DZGCQCFKSA-N 1 2 293.370 3.939 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1sc(NC(C)(C)C)nc1Cl ZINC001140392593 1131711801 /nfs/dbraw/zinc/71/18/01/1131711801.db2.gz WLGRKSPZOCMEOC-QMMMGPOBSA-N 1 2 273.833 3.601 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1sc(NC(C)(C)C)nc1Cl ZINC001140392593 1131711806 /nfs/dbraw/zinc/71/18/06/1131711806.db2.gz WLGRKSPZOCMEOC-QMMMGPOBSA-N 1 2 273.833 3.601 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2cc(C)c(F)c(C)c2)co1 ZINC000834900447 1131725861 /nfs/dbraw/zinc/72/58/61/1131725861.db2.gz OTXQNJNQLBTJFR-LBPRGKRZSA-N 1 2 276.355 3.844 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cnc(Cl)c(F)c1 ZINC000846783049 1131734759 /nfs/dbraw/zinc/73/47/59/1131734759.db2.gz QXXODEREJZKVKY-SECBINFHSA-N 1 2 268.719 3.660 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cnc(Cl)c(F)c1 ZINC000846783049 1131734765 /nfs/dbraw/zinc/73/47/65/1131734765.db2.gz QXXODEREJZKVKY-SECBINFHSA-N 1 2 268.719 3.660 20 0 CHADLO CC(C)(C)C[C@H]([NH2+]Cc1cnsn1)c1ccc(F)cc1 ZINC000846844747 1131749595 /nfs/dbraw/zinc/74/95/95/1131749595.db2.gz IPIBTIVCASURGH-AWEZNQCLSA-N 1 2 293.411 3.944 20 0 CHADLO CCOc1cc(C)c(Nc2ccccc2-n2cc[nH+]c2)cn1 ZINC001203045767 1131784885 /nfs/dbraw/zinc/78/48/85/1131784885.db2.gz HOKSAHPLHYMWQV-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO COc1cc2c(cc1O[C@H](C)Cc1ccccc1)C=[NH+]CC2 ZINC001228091363 1131808702 /nfs/dbraw/zinc/80/87/02/1131808702.db2.gz UGJGFVFGZFGQKT-CQSZACIVSA-N 1 2 295.382 3.680 20 0 CHADLO Cc1cc(N[C@@H]2COCc3ccccc32)[nH+]c2cc[nH]c21 ZINC001171301187 1131818191 /nfs/dbraw/zinc/81/81/91/1131818191.db2.gz LSPQSFBEOLMSBJ-OAHLLOKOSA-N 1 2 279.343 3.555 20 0 CHADLO CO[C@@H](COc1ccc2[nH]c(C)[nH+]c2c1)c1ccccc1 ZINC001228327695 1131858920 /nfs/dbraw/zinc/85/89/20/1131858920.db2.gz UENBPDXKGWMFGB-KRWDZBQOSA-N 1 2 282.343 3.638 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H]3CCC(=O)c4ccccc43)cc2[nH+]1 ZINC001228326074 1131859088 /nfs/dbraw/zinc/85/90/88/1131859088.db2.gz DTVVMDJFGLMZLD-GOSISDBHSA-N 1 2 292.338 3.968 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2c(C)oc3ccccc32)no1 ZINC000282774157 1131892442 /nfs/dbraw/zinc/89/24/42/1131892442.db2.gz PUYPHVHHUULWAP-NSHDSACASA-N 1 2 270.332 3.888 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1c(Cl)ncc2ccccc21 ZINC001140719326 1131893310 /nfs/dbraw/zinc/89/33/10/1131893310.db2.gz DWSBAVXPCRHUAK-ZYHUDNBSSA-N 1 2 278.758 3.821 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1c(Cl)ncc2ccccc21 ZINC001140719326 1131893320 /nfs/dbraw/zinc/89/33/20/1131893320.db2.gz DWSBAVXPCRHUAK-ZYHUDNBSSA-N 1 2 278.758 3.821 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2cccc(OC(F)F)c2)no1 ZINC000282790244 1131900713 /nfs/dbraw/zinc/90/07/13/1131900713.db2.gz QDVWPGBYPDLRKE-MNOVXSKESA-N 1 2 296.317 3.996 20 0 CHADLO Cc1cc(C(=O)C[N@@H+]([C@@H](C)c2ccco2)C2CC2)c(C)[nH]1 ZINC000348214033 1131903656 /nfs/dbraw/zinc/90/36/56/1131903656.db2.gz JYDGUGJQORGERJ-ZDUSSCGKSA-N 1 2 286.375 3.633 20 0 CHADLO Cc1cc(C(=O)C[N@H+]([C@@H](C)c2ccco2)C2CC2)c(C)[nH]1 ZINC000348214033 1131903662 /nfs/dbraw/zinc/90/36/62/1131903662.db2.gz JYDGUGJQORGERJ-ZDUSSCGKSA-N 1 2 286.375 3.633 20 0 CHADLO Cc1cc(Br)ccc1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001140815113 1131934512 /nfs/dbraw/zinc/93/45/12/1131934512.db2.gz DVZIYLVSYKLUKT-ZWNOBZJWSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1cc(Br)ccc1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001140815113 1131934518 /nfs/dbraw/zinc/93/45/18/1131934518.db2.gz DVZIYLVSYKLUKT-ZWNOBZJWSA-N 1 2 286.188 3.690 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H](F)C2)ccc1OC(F)(F)F ZINC001140883626 1131956399 /nfs/dbraw/zinc/95/63/99/1131956399.db2.gz CRTDNPVPRQECNJ-JTQLQIEISA-N 1 2 295.251 3.658 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H](F)C2)ccc1OC(F)(F)F ZINC001140883626 1131956403 /nfs/dbraw/zinc/95/64/03/1131956403.db2.gz CRTDNPVPRQECNJ-JTQLQIEISA-N 1 2 295.251 3.658 20 0 CHADLO CSc1ccccc1[C@@H]1CCC[N@@H+]1Cc1cc(C)[nH]n1 ZINC001203080909 1131967997 /nfs/dbraw/zinc/96/79/97/1131967997.db2.gz HATPWBUQCDPJOR-HNNXBMFYSA-N 1 2 287.432 3.777 20 0 CHADLO CSc1ccccc1[C@@H]1CCC[N@H+]1Cc1cc(C)[nH]n1 ZINC001203080909 1131968003 /nfs/dbraw/zinc/96/80/03/1131968003.db2.gz HATPWBUQCDPJOR-HNNXBMFYSA-N 1 2 287.432 3.777 20 0 CHADLO Cc1cc(C[NH+]2CCC(n3ccc4ccccc43)CC2)n[nH]1 ZINC001203081564 1131970456 /nfs/dbraw/zinc/97/04/56/1131970456.db2.gz BYIHDXVREFWSOZ-UHFFFAOYSA-N 1 2 294.402 3.510 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H](C)c1ccns1 ZINC000716032253 1132001748 /nfs/dbraw/zinc/00/17/48/1132001748.db2.gz BXBKUINPQCWLIX-VIFPVBQESA-N 1 2 282.796 3.656 20 0 CHADLO CCCC[C@H](C)[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000037232724 1132023434 /nfs/dbraw/zinc/02/34/34/1132023434.db2.gz AHFNONWVGDBBNF-AWEZNQCLSA-N 1 2 262.397 3.514 20 0 CHADLO CC[N@H+](Cc1cn[nH]c1)Cc1ccc(Cl)cc1Cl ZINC001203099606 1132035171 /nfs/dbraw/zinc/03/51/71/1132035171.db2.gz PIPSFONUENAHEY-UHFFFAOYSA-N 1 2 284.190 3.739 20 0 CHADLO CC[N@@H+](Cc1cn[nH]c1)Cc1ccc(Cl)cc1Cl ZINC001203099606 1132035176 /nfs/dbraw/zinc/03/51/76/1132035176.db2.gz PIPSFONUENAHEY-UHFFFAOYSA-N 1 2 284.190 3.739 20 0 CHADLO CC(=O)CC(C)(C)Nc1cc2c3c(c1)CCC[NH+]3CCC2 ZINC001171499457 1132040020 /nfs/dbraw/zinc/04/00/20/1132040020.db2.gz PZFRZAQGAMZRKA-UHFFFAOYSA-N 1 2 286.419 3.555 20 0 CHADLO CC(=O)CC(C)(C)[NH2+]c1cc2c3c(c1)CCCN3CCC2 ZINC001171499457 1132040027 /nfs/dbraw/zinc/04/00/27/1132040027.db2.gz PZFRZAQGAMZRKA-UHFFFAOYSA-N 1 2 286.419 3.555 20 0 CHADLO CCc1ccccc1C[N@@H+]1CC[C@H](C)C(F)(F)C1 ZINC001141224473 1132049356 /nfs/dbraw/zinc/04/93/56/1132049356.db2.gz XOSNVNQBTIHGBG-LBPRGKRZSA-N 1 2 253.336 3.726 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCc2ncccc2C1 ZINC001141231334 1132052186 /nfs/dbraw/zinc/05/21/86/1132052186.db2.gz JRUCCHYDDRPPRT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCc2ncccc2C1 ZINC001141231334 1132052188 /nfs/dbraw/zinc/05/21/88/1132052188.db2.gz JRUCCHYDDRPPRT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC(C)CCCCC(=O)NCc1ccc(-n2cc[nH+]c2)cc1 ZINC000837368596 1132069216 /nfs/dbraw/zinc/06/92/16/1132069216.db2.gz ITIZFXKFJARZLV-UHFFFAOYSA-N 1 2 299.418 3.705 20 0 CHADLO COC(=O)c1cc(Nc2cc[nH+]c(SC)c2)ccc1C ZINC001212626065 1132085788 /nfs/dbraw/zinc/08/57/88/1132085788.db2.gz TXQXPMLHLCVKRR-UHFFFAOYSA-N 1 2 288.372 3.642 20 0 CHADLO COc1cc(Cl)ncc1C[N@H+](C)Cc1cccc(F)c1 ZINC001141334098 1132090731 /nfs/dbraw/zinc/09/07/31/1132090731.db2.gz ZEOXTTMTYXPXHP-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(Cl)ncc1C[N@@H+](C)Cc1cccc(F)c1 ZINC001141334098 1132090738 /nfs/dbraw/zinc/09/07/38/1132090738.db2.gz ZEOXTTMTYXPXHP-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@@H+]1Cc1cccnc1 ZINC001204078345 1132108373 /nfs/dbraw/zinc/10/83/73/1132108373.db2.gz TULLUIOHNBUTIF-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1[C@H]1CCC[N@H+]1Cc1cccnc1 ZINC001204078345 1132108376 /nfs/dbraw/zinc/10/83/76/1132108376.db2.gz TULLUIOHNBUTIF-OAHLLOKOSA-N 1 2 274.314 3.697 20 0 CHADLO C[C@H](Cc1ccc(C(F)(F)F)cc1)[NH2+][C@@H]1CC1(F)F ZINC001171958214 1132110992 /nfs/dbraw/zinc/11/09/92/1132110992.db2.gz QWBIIJAHAVQZOQ-LDYMZIIASA-N 1 2 279.252 3.634 20 0 CHADLO c1cn(-c2ccc(O[C@H]3CCc4ccccc4C3)cc2)c[nH+]1 ZINC001229955217 1132137148 /nfs/dbraw/zinc/13/71/48/1132137148.db2.gz YVGNOFWSGPNMKR-IBGZPJMESA-N 1 2 290.366 3.809 20 0 CHADLO CC(C)COCC[C@@H](C)[N@@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001172474660 1132225594 /nfs/dbraw/zinc/22/55/94/1132225594.db2.gz UYZCDXBNICIFCI-OLZOCXBDSA-N 1 2 281.362 3.507 20 0 CHADLO CC(C)COCC[C@@H](C)[N@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001172474660 1132225600 /nfs/dbraw/zinc/22/56/00/1132225600.db2.gz UYZCDXBNICIFCI-OLZOCXBDSA-N 1 2 281.362 3.507 20 0 CHADLO Oc1cc2c(cc1O[C@@H]1CCCc3ccccc31)CC[NH+]=C2 ZINC001230920867 1132227805 /nfs/dbraw/zinc/22/78/05/1132227805.db2.gz WPEHJEWVPRYOLO-GOSISDBHSA-N 1 2 293.366 3.824 20 0 CHADLO CC1(C)CCC[C@H](Oc2cc3c(cc2O)C=[NH+]CC3)C1 ZINC001230921357 1132229270 /nfs/dbraw/zinc/22/92/70/1132229270.db2.gz LOXYNHFSZVQXOF-AWEZNQCLSA-N 1 2 273.376 3.715 20 0 CHADLO CCCCOC[C@@H](C)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231080175 1132252820 /nfs/dbraw/zinc/25/28/20/1132252820.db2.gz AEOBXQMSXDALBU-CYBMUJFWSA-N 1 2 274.364 3.661 20 0 CHADLO COc1ccc([C@H](C)Oc2ccc(-c3[nH]cc[nH+]3)cc2)cn1 ZINC001231080961 1132253036 /nfs/dbraw/zinc/25/30/36/1132253036.db2.gz JICCUPKMWGHJRG-LBPRGKRZSA-N 1 2 295.342 3.620 20 0 CHADLO COC(C)(C)C[C@@H](C)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231083468 1132253468 /nfs/dbraw/zinc/25/34/68/1132253468.db2.gz BQZVTCDYIWIMMK-GFCCVEGCSA-N 1 2 274.364 3.659 20 0 CHADLO C[C@H]1C[C@H](C)C[NH+](Cc2csc(C(F)(F)F)n2)C1 ZINC001141883860 1132262322 /nfs/dbraw/zinc/26/23/22/1132262322.db2.gz XVRJIKIOSNCSAL-IUCAKERBSA-N 1 2 278.343 3.640 20 0 CHADLO c1cc2ccnc(OC3C[NH+](Cc4ccccc4)C3)c2s1 ZINC001231347510 1132285059 /nfs/dbraw/zinc/28/50/59/1132285059.db2.gz MYZOMJGZVVNAPD-UHFFFAOYSA-N 1 2 296.395 3.560 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(C)(F)F)cc1 ZINC001212674068 1132285103 /nfs/dbraw/zinc/28/51/03/1132285103.db2.gz DNJXJVQAZUVMTA-UHFFFAOYSA-N 1 2 264.275 3.946 20 0 CHADLO Cc1c(F)ccc(C[NH+]2CC(C)(C)OC(C)(C)C2)c1F ZINC001231478278 1132299048 /nfs/dbraw/zinc/29/90/48/1132299048.db2.gz BOCFMKMBZALUHL-UHFFFAOYSA-N 1 2 283.362 3.663 20 0 CHADLO Cc1c(C)c(C)c(C[N@@H+]2CCCC(=O)[C@@H](F)C2)c(C)c1C ZINC001231492474 1132302935 /nfs/dbraw/zinc/30/29/35/1132302935.db2.gz KVVNZHMWISTMAG-KRWDZBQOSA-N 1 2 291.410 3.732 20 0 CHADLO Cc1c(C)c(C)c(C[N@H+]2CCCC(=O)[C@@H](F)C2)c(C)c1C ZINC001231492474 1132302943 /nfs/dbraw/zinc/30/29/43/1132302943.db2.gz KVVNZHMWISTMAG-KRWDZBQOSA-N 1 2 291.410 3.732 20 0 CHADLO Cc1occc1CNc1ccc2c(c1)CCC[N@H+]2C ZINC000840905595 1132308269 /nfs/dbraw/zinc/30/82/69/1132308269.db2.gz JOBUBKXHANAOPF-UHFFFAOYSA-N 1 2 256.349 3.583 20 0 CHADLO Cc1occc1CNc1ccc2c(c1)CCC[N@@H+]2C ZINC000840905595 1132308272 /nfs/dbraw/zinc/30/82/72/1132308272.db2.gz JOBUBKXHANAOPF-UHFFFAOYSA-N 1 2 256.349 3.583 20 0 CHADLO Cc1nc(C[N@@H+](C)C2CCC(Cc3ccccc3)CC2)no1 ZINC001172820679 1132318016 /nfs/dbraw/zinc/31/80/16/1132318016.db2.gz AQTMHNSFXVFUNF-UHFFFAOYSA-N 1 2 299.418 3.611 20 0 CHADLO Cc1nc(C[N@H+](C)C2CCC(Cc3ccccc3)CC2)no1 ZINC001172820679 1132318023 /nfs/dbraw/zinc/31/80/23/1132318023.db2.gz AQTMHNSFXVFUNF-UHFFFAOYSA-N 1 2 299.418 3.611 20 0 CHADLO COc1ccc([NH2+]C[C@@H](C)Cc2ccccc2)cc1N ZINC000841040104 1132323196 /nfs/dbraw/zinc/32/31/96/1132323196.db2.gz IKVXXUPGDMRBMH-ZDUSSCGKSA-N 1 2 270.376 3.568 20 0 CHADLO COc1cc(F)ccc1C[N@H+](C)Cc1ccccc1F ZINC001231602637 1132323392 /nfs/dbraw/zinc/32/33/92/1132323392.db2.gz DJGDGYYNILFTGN-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO COc1cc(F)ccc1C[N@@H+](C)Cc1ccccc1F ZINC001231602637 1132323401 /nfs/dbraw/zinc/32/34/01/1132323401.db2.gz DJGDGYYNILFTGN-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO OC[C@@H]1CCC[N@@H+]1Cc1cc(Cl)cc(Cl)c1Cl ZINC001231597369 1132323634 /nfs/dbraw/zinc/32/36/34/1132323634.db2.gz AEKYOWUSSDHDPB-JTQLQIEISA-N 1 2 294.609 3.604 20 0 CHADLO OC[C@@H]1CCC[N@H+]1Cc1cc(Cl)cc(Cl)c1Cl ZINC001231597369 1132323639 /nfs/dbraw/zinc/32/36/39/1132323639.db2.gz AEKYOWUSSDHDPB-JTQLQIEISA-N 1 2 294.609 3.604 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1Cl)[N@@H+]1Cc2ccncc2C1 ZINC001172867873 1132331548 /nfs/dbraw/zinc/33/15/48/1132331548.db2.gz AXURVJXKYKBLES-NSHDSACASA-N 1 2 290.769 3.821 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1Cl)[N@H+]1Cc2ccncc2C1 ZINC001172867873 1132331550 /nfs/dbraw/zinc/33/15/50/1132331550.db2.gz AXURVJXKYKBLES-NSHDSACASA-N 1 2 290.769 3.821 20 0 CHADLO Cc1sc2ccccc2c1C[NH+]1C[C@@H](F)[C@H](F)C1 ZINC001231644895 1132334821 /nfs/dbraw/zinc/33/48/21/1132334821.db2.gz MCKSSOBHQJOKLF-CHWSQXEVSA-N 1 2 267.344 3.702 20 0 CHADLO C[C@H](OC(=O)CCCn1cc[nH+]c1)c1ccc(Cl)cc1 ZINC000841257881 1132339702 /nfs/dbraw/zinc/33/97/02/1132339702.db2.gz XVDWSLGSLFKYDR-LBPRGKRZSA-N 1 2 292.766 3.621 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[NH+]1C[C@H](C)O[C@@H](C)C1 ZINC001172857130 1132341421 /nfs/dbraw/zinc/34/14/21/1132341421.db2.gz MYVPFUQUTJCUBF-SRVKXCTJSA-N 1 2 285.790 3.519 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1[nH]cnc1C(C)(C)C)C2 ZINC001142213258 1132341483 /nfs/dbraw/zinc/34/14/83/1132341483.db2.gz SGESYHJAKVWUFH-UHFFFAOYSA-N 1 2 269.392 3.531 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1[nH]cnc1C(C)(C)C)C2 ZINC001142213258 1132341487 /nfs/dbraw/zinc/34/14/87/1132341487.db2.gz SGESYHJAKVWUFH-UHFFFAOYSA-N 1 2 269.392 3.531 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@@H+]1CCOCC12CCC2 ZINC001172856450 1132342057 /nfs/dbraw/zinc/34/20/57/1132342057.db2.gz JMWDTEUCOBPHFV-LBPRGKRZSA-N 1 2 297.801 3.665 20 0 CHADLO C[C@@H](Cc1c(F)cccc1Cl)[N@H+]1CCOCC12CCC2 ZINC001172856450 1132342063 /nfs/dbraw/zinc/34/20/63/1132342063.db2.gz JMWDTEUCOBPHFV-LBPRGKRZSA-N 1 2 297.801 3.665 20 0 CHADLO CC(C)[C@H]1C[N@@H+]([C@@H](C)Cc2c(F)cccc2Cl)CCO1 ZINC001172863159 1132345893 /nfs/dbraw/zinc/34/58/93/1132345893.db2.gz USQUQLQHIMPFPV-BLLLJJGKSA-N 1 2 299.817 3.767 20 0 CHADLO CC(C)[C@H]1C[N@H+]([C@@H](C)Cc2c(F)cccc2Cl)CCO1 ZINC001172863159 1132345900 /nfs/dbraw/zinc/34/59/00/1132345900.db2.gz USQUQLQHIMPFPV-BLLLJJGKSA-N 1 2 299.817 3.767 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)cc1Cl ZINC001231714408 1132348372 /nfs/dbraw/zinc/34/83/72/1132348372.db2.gz GOFUGFDZFWDSQD-RISCZKNCSA-N 1 2 285.790 3.917 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)cc1Cl ZINC001231714408 1132348379 /nfs/dbraw/zinc/34/83/79/1132348379.db2.gz GOFUGFDZFWDSQD-RISCZKNCSA-N 1 2 285.790 3.917 20 0 CHADLO C[N@H+](Cc1ccc(Cl)c(O)c1)Cc1ccccc1F ZINC001231734214 1132350717 /nfs/dbraw/zinc/35/07/17/1132350717.db2.gz SHGFSGVVOVZLIC-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)c(O)c1)Cc1ccccc1F ZINC001231734214 1132350725 /nfs/dbraw/zinc/35/07/25/1132350725.db2.gz SHGFSGVVOVZLIC-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO COc1ncc(F)cc1C[N@H+]1CC=C(c2ccccc2)CC1 ZINC001231746219 1132352858 /nfs/dbraw/zinc/35/28/58/1132352858.db2.gz BHWYKWBTURCWIG-UHFFFAOYSA-N 1 2 298.361 3.519 20 0 CHADLO COc1ncc(F)cc1C[N@@H+]1CC=C(c2ccccc2)CC1 ZINC001231746219 1132352863 /nfs/dbraw/zinc/35/28/63/1132352863.db2.gz BHWYKWBTURCWIG-UHFFFAOYSA-N 1 2 298.361 3.519 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cccnc1OC(C)C)C2 ZINC001231754492 1132353034 /nfs/dbraw/zinc/35/30/34/1132353034.db2.gz DISPVTLBZQVKTD-UHFFFAOYSA-N 1 2 282.387 3.693 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cccnc1OC(C)C)C2 ZINC001231754492 1132353042 /nfs/dbraw/zinc/35/30/42/1132353042.db2.gz DISPVTLBZQVKTD-UHFFFAOYSA-N 1 2 282.387 3.693 20 0 CHADLO CC(C)(C)c1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)on1 ZINC001212693095 1132363677 /nfs/dbraw/zinc/36/36/77/1132363677.db2.gz CBVIMJYJWFAUFK-UHFFFAOYSA-N 1 2 296.374 3.961 20 0 CHADLO CCCC[N@H+](CC(=O)OCC)Cc1ccccc1SC ZINC001231786401 1132363811 /nfs/dbraw/zinc/36/38/11/1132363811.db2.gz XAKGHTFWSFQTHW-UHFFFAOYSA-N 1 2 295.448 3.574 20 0 CHADLO CCCC[N@@H+](CC(=O)OCC)Cc1ccccc1SC ZINC001231786401 1132363817 /nfs/dbraw/zinc/36/38/17/1132363817.db2.gz XAKGHTFWSFQTHW-UHFFFAOYSA-N 1 2 295.448 3.574 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(-c3ccccc3)o2)CCC1=O ZINC001231813255 1132371196 /nfs/dbraw/zinc/37/11/96/1132371196.db2.gz VYWMFFOVNJRCML-UHFFFAOYSA-N 1 2 283.371 3.748 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(-c3ccccc3)o2)CCC1=O ZINC001231813255 1132371200 /nfs/dbraw/zinc/37/12/00/1132371200.db2.gz VYWMFFOVNJRCML-UHFFFAOYSA-N 1 2 283.371 3.748 20 0 CHADLO c1cn(Cc2ccc(Nc3cccc4ocnc43)cc2)c[nH+]1 ZINC001212696643 1132376061 /nfs/dbraw/zinc/37/60/61/1132376061.db2.gz BRBVSGXKKZJQHY-UHFFFAOYSA-N 1 2 290.326 3.816 20 0 CHADLO Cc1ccc2ccccc2c1C[N@@H+]1CCC[C@H](F)C(=O)C1 ZINC001142372261 1132377215 /nfs/dbraw/zinc/37/72/15/1132377215.db2.gz XEOQHQCIZZHYIO-KRWDZBQOSA-N 1 2 285.362 3.651 20 0 CHADLO Cc1ccc2ccccc2c1C[N@H+]1CCC[C@H](F)C(=O)C1 ZINC001142372261 1132377224 /nfs/dbraw/zinc/37/72/24/1132377224.db2.gz XEOQHQCIZZHYIO-KRWDZBQOSA-N 1 2 285.362 3.651 20 0 CHADLO CCCOc1ncccc1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001231858933 1132382740 /nfs/dbraw/zinc/38/27/40/1132382740.db2.gz RILAOUZBYLKSNH-UHFFFAOYSA-N 1 2 282.387 3.695 20 0 CHADLO CCCOc1ncccc1C[N@H+]1Cc2cccc(C)c2C1 ZINC001231858933 1132382743 /nfs/dbraw/zinc/38/27/43/1132382743.db2.gz RILAOUZBYLKSNH-UHFFFAOYSA-N 1 2 282.387 3.695 20 0 CHADLO C[N@H+](Cc1ccc(Cl)nc1)Cc1ccnc(C(F)F)c1 ZINC001231893098 1132395001 /nfs/dbraw/zinc/39/50/01/1132395001.db2.gz SSDXJJOJBRHHBK-UHFFFAOYSA-N 1 2 297.736 3.700 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)nc1)Cc1ccnc(C(F)F)c1 ZINC001231893098 1132395008 /nfs/dbraw/zinc/39/50/08/1132395008.db2.gz SSDXJJOJBRHHBK-UHFFFAOYSA-N 1 2 297.736 3.700 20 0 CHADLO COc1cccc2c(C[N@@H+]3Cc4ccc(F)cc4C3)c[nH]c21 ZINC001231901657 1132397861 /nfs/dbraw/zinc/39/78/61/1132397861.db2.gz ZIBJVVVFELWVHZ-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1cccc2c(C[N@H+]3Cc4ccc(F)cc4C3)c[nH]c21 ZINC001231901657 1132397868 /nfs/dbraw/zinc/39/78/68/1132397868.db2.gz ZIBJVVVFELWVHZ-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccc(OCC(F)F)cc1 ZINC001213305080 1132400165 /nfs/dbraw/zinc/40/01/65/1132400165.db2.gz KJJWRXSWARAKKZ-UHFFFAOYSA-N 1 2 293.317 3.535 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccccc2Cl)cnc1F ZINC001231926668 1132401462 /nfs/dbraw/zinc/40/14/62/1132401462.db2.gz FCZAETNZNABQOD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccccc2Cl)cnc1F ZINC001231926668 1132401466 /nfs/dbraw/zinc/40/14/66/1132401466.db2.gz FCZAETNZNABQOD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC[N@H+](Cc1cccc(OC)c1)Cc1ncccc1Cl ZINC001231958892 1132403202 /nfs/dbraw/zinc/40/32/02/1132403202.db2.gz HYIMGMWUHHUCFR-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1cccc(OC)c1)Cc1ncccc1Cl ZINC001231958892 1132403205 /nfs/dbraw/zinc/40/32/05/1132403205.db2.gz HYIMGMWUHHUCFR-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO FC(F)C1C[NH+](Cc2ccc3ccnc(Cl)c3c2)C1 ZINC001231980563 1132404989 /nfs/dbraw/zinc/40/49/89/1132404989.db2.gz URVJZJNTMPCQEB-UHFFFAOYSA-N 1 2 282.721 3.585 20 0 CHADLO Oc1cncc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)s2)c1 ZINC001232042525 1132413917 /nfs/dbraw/zinc/41/39/17/1132413917.db2.gz UDFXKUQTMQDVKK-LBPRGKRZSA-N 1 2 294.807 3.839 20 0 CHADLO Oc1cncc(C[N@H+]2CCC[C@H]2c2ccc(Cl)s2)c1 ZINC001232042525 1132413920 /nfs/dbraw/zinc/41/39/20/1132413920.db2.gz UDFXKUQTMQDVKK-LBPRGKRZSA-N 1 2 294.807 3.839 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(C(F)(F)F)n2)CCC1(F)F ZINC001232069811 1132416055 /nfs/dbraw/zinc/41/60/55/1132416055.db2.gz UYWGYQVRHXMFCG-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(C(F)(F)F)n2)CCC1(F)F ZINC001232069811 1132416060 /nfs/dbraw/zinc/41/60/60/1132416060.db2.gz UYWGYQVRHXMFCG-SECBINFHSA-N 1 2 294.267 3.578 20 0 CHADLO C[N@H+](Cc1cccc(C(F)(F)F)n1)Cc1ccccc1F ZINC001232072309 1132418516 /nfs/dbraw/zinc/41/85/16/1132418516.db2.gz KTFDLCXNRWXALV-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO C[N@@H+](Cc1cccc(C(F)(F)F)n1)Cc1ccccc1F ZINC001232072309 1132418519 /nfs/dbraw/zinc/41/85/19/1132418519.db2.gz KTFDLCXNRWXALV-UHFFFAOYSA-N 1 2 298.283 3.872 20 0 CHADLO Cc1scnc1C[N@@H+]1CCSc2ccccc2C1 ZINC001232205322 1132436074 /nfs/dbraw/zinc/43/60/74/1132436074.db2.gz OTUUCFGIEXQGBJ-UHFFFAOYSA-N 1 2 276.430 3.559 20 0 CHADLO Cc1scnc1C[N@H+]1CCSc2ccccc2C1 ZINC001232205322 1132436077 /nfs/dbraw/zinc/43/60/77/1132436077.db2.gz OTUUCFGIEXQGBJ-UHFFFAOYSA-N 1 2 276.430 3.559 20 0 CHADLO Clc1cc(C[N@@H+]2CCc3ccccc3C2)cs1 ZINC001142755813 1132440627 /nfs/dbraw/zinc/44/06/27/1132440627.db2.gz NFNYEJIWGXGORJ-UHFFFAOYSA-N 1 2 263.793 3.960 20 0 CHADLO Clc1cc(C[N@H+]2CCc3ccccc3C2)cs1 ZINC001142755813 1132440630 /nfs/dbraw/zinc/44/06/30/1132440630.db2.gz NFNYEJIWGXGORJ-UHFFFAOYSA-N 1 2 263.793 3.960 20 0 CHADLO CCCC[N@H+](Cc1nnc(C)s1)Cc1ccccc1 ZINC001232245543 1132442983 /nfs/dbraw/zinc/44/29/83/1132442983.db2.gz FUMOTHOSEXQILM-UHFFFAOYSA-N 1 2 275.421 3.649 20 0 CHADLO CCCC[N@@H+](Cc1nnc(C)s1)Cc1ccccc1 ZINC001232245543 1132442989 /nfs/dbraw/zinc/44/29/89/1132442989.db2.gz FUMOTHOSEXQILM-UHFFFAOYSA-N 1 2 275.421 3.649 20 0 CHADLO Clc1csc(C[N@@H+]2CCC[C@H]2c2cnccn2)c1 ZINC001232281744 1132449494 /nfs/dbraw/zinc/44/94/94/1132449494.db2.gz PKMGYEMEEZUKPC-ZDUSSCGKSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1csc(C[N@H+]2CCC[C@H]2c2cnccn2)c1 ZINC001232281744 1132449496 /nfs/dbraw/zinc/44/94/96/1132449496.db2.gz PKMGYEMEEZUKPC-ZDUSSCGKSA-N 1 2 279.796 3.529 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cncs2)c1OC(C)C ZINC001232394517 1132466204 /nfs/dbraw/zinc/46/62/04/1132466204.db2.gz IRDZDWDPGPIEKE-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cncs2)c1OC(C)C ZINC001232394517 1132466208 /nfs/dbraw/zinc/46/62/08/1132466208.db2.gz IRDZDWDPGPIEKE-UHFFFAOYSA-N 1 2 290.432 3.871 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1ccnc3[nH]ccc31)C2 ZINC001142882398 1132470811 /nfs/dbraw/zinc/47/08/11/1132470811.db2.gz MRPZAKUNHIHIIF-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1ccnc3[nH]ccc31)C2 ZINC001142882398 1132470814 /nfs/dbraw/zinc/47/08/14/1132470814.db2.gz MRPZAKUNHIHIIF-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO COc1ccccc1C[N@H+](C)Cc1ccc(C(F)(F)F)o1 ZINC001232444483 1132472116 /nfs/dbraw/zinc/47/21/16/1132472116.db2.gz VNLYDEWIHLOSEL-UHFFFAOYSA-N 1 2 299.292 3.939 20 0 CHADLO COc1ccccc1C[N@@H+](C)Cc1ccc(C(F)(F)F)o1 ZINC001232444483 1132472120 /nfs/dbraw/zinc/47/21/20/1132472120.db2.gz VNLYDEWIHLOSEL-UHFFFAOYSA-N 1 2 299.292 3.939 20 0 CHADLO CCc1csc(C[N@@H+]2CCc3cc(C)ccc3C2)n1 ZINC001232478274 1132476066 /nfs/dbraw/zinc/47/60/66/1132476066.db2.gz SXGXZEKINRVTCO-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCc1csc(C[N@H+]2CCc3cc(C)ccc3C2)n1 ZINC001232478274 1132476071 /nfs/dbraw/zinc/47/60/71/1132476071.db2.gz SXGXZEKINRVTCO-UHFFFAOYSA-N 1 2 272.417 3.572 20 0 CHADLO CCSC[C@H](C)[N@@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001173314273 1132476540 /nfs/dbraw/zinc/47/65/40/1132476540.db2.gz GWWUTFNXXWTVSC-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO CCSC[C@H](C)[N@H+]1CCOC[C@@H]1c1ccc(Cl)cc1 ZINC001173314273 1132476546 /nfs/dbraw/zinc/47/65/46/1132476546.db2.gz GWWUTFNXXWTVSC-SWLSCSKDSA-N 1 2 299.867 3.855 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1c(C)cccc1F)C2 ZINC001232484468 1132477675 /nfs/dbraw/zinc/47/76/75/1132477675.db2.gz GXUFYAMOYYVRLR-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1c(C)cccc1F)C2 ZINC001232484468 1132477677 /nfs/dbraw/zinc/47/76/77/1132477677.db2.gz GXUFYAMOYYVRLR-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Fc1ccnc(C[N@@H+]2CCSC[C@H]2c2ccccc2)c1 ZINC001232496747 1132479387 /nfs/dbraw/zinc/47/93/87/1132479387.db2.gz WDAVZJFFDIGDFK-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1ccnc(C[N@H+]2CCSC[C@H]2c2ccccc2)c1 ZINC001232496747 1132479393 /nfs/dbraw/zinc/47/93/93/1132479393.db2.gz WDAVZJFFDIGDFK-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO Nc1ccc(F)cc1C[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC001232506603 1132482032 /nfs/dbraw/zinc/48/20/32/1132482032.db2.gz IONLTIZNHLFBMM-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(F)cc1C[N@H+]1CCc2ccc(Cl)cc2C1 ZINC001232506603 1132482033 /nfs/dbraw/zinc/48/20/33/1132482033.db2.gz IONLTIZNHLFBMM-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Cc1oc(Br)nc1C[N@@H+]1CCC[C@H]2CCC[C@@H]21 ZINC001249389916 1132513866 /nfs/dbraw/zinc/51/38/66/1132513866.db2.gz SZLUFYMFCVOHMQ-PWSUYJOCSA-N 1 2 299.212 3.510 20 0 CHADLO Cc1oc(Br)nc1C[N@H+]1CCC[C@H]2CCC[C@@H]21 ZINC001249389916 1132513874 /nfs/dbraw/zinc/51/38/74/1132513874.db2.gz SZLUFYMFCVOHMQ-PWSUYJOCSA-N 1 2 299.212 3.510 20 0 CHADLO Cc1oc(Br)nc1C[N@@H+]1CCC[C@H]2CCC[C@H]21 ZINC001249389917 1132514459 /nfs/dbraw/zinc/51/44/59/1132514459.db2.gz SZLUFYMFCVOHMQ-ZYHUDNBSSA-N 1 2 299.212 3.510 20 0 CHADLO Cc1oc(Br)nc1C[N@H+]1CCC[C@H]2CCC[C@H]21 ZINC001249389917 1132514460 /nfs/dbraw/zinc/51/44/60/1132514460.db2.gz SZLUFYMFCVOHMQ-ZYHUDNBSSA-N 1 2 299.212 3.510 20 0 CHADLO CSc1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001173812555 1132517166 /nfs/dbraw/zinc/51/71/66/1132517166.db2.gz PTDHWDSKKGZXJF-UHFFFAOYSA-N 1 2 255.346 3.800 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@H](F)C2)cc(OC(F)(F)F)c1 ZINC001143262123 1132527151 /nfs/dbraw/zinc/52/71/51/1132527151.db2.gz KBMUWCQIUQVNJF-JTQLQIEISA-N 1 2 295.251 3.658 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@H](F)C2)cc(OC(F)(F)F)c1 ZINC001143262123 1132527158 /nfs/dbraw/zinc/52/71/58/1132527158.db2.gz KBMUWCQIUQVNJF-JTQLQIEISA-N 1 2 295.251 3.658 20 0 CHADLO Fc1ccc(C[NH+]2CCC(F)CC2)c(Cl)c1F ZINC001232873881 1132532606 /nfs/dbraw/zinc/53/26/06/1132532606.db2.gz JSCNPAZTNUJLJC-UHFFFAOYSA-N 1 2 263.690 3.552 20 0 CHADLO Fc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)cc1 ZINC001173800270 1132533973 /nfs/dbraw/zinc/53/39/73/1132533973.db2.gz WIGYTILMBJNCCP-UHFFFAOYSA-N 1 2 267.307 3.814 20 0 CHADLO CC1(C)C[N@H+](Cc2cncc(-c3ccccc3)c2)CCC1=O ZINC001232902692 1132540913 /nfs/dbraw/zinc/54/09/13/1132540913.db2.gz QTAYHMOBIUJRFO-UHFFFAOYSA-N 1 2 294.398 3.550 20 0 CHADLO CC1(C)C[N@@H+](Cc2cncc(-c3ccccc3)c2)CCC1=O ZINC001232902692 1132540922 /nfs/dbraw/zinc/54/09/22/1132540922.db2.gz QTAYHMOBIUJRFO-UHFFFAOYSA-N 1 2 294.398 3.550 20 0 CHADLO COc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1F ZINC001173863045 1132565012 /nfs/dbraw/zinc/56/50/12/1132565012.db2.gz VYSDWUBXGJSJKB-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO Fc1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1F ZINC001173866197 1132568813 /nfs/dbraw/zinc/56/88/13/1132568813.db2.gz AFRAHNDGNCPFKH-UHFFFAOYSA-N 1 2 275.302 3.704 20 0 CHADLO CC[C@H](C)C1CCC(NC(=O)c2cc3c[nH+]ccc3[nH]2)CC1 ZINC001151979512 1132577573 /nfs/dbraw/zinc/57/75/73/1132577573.db2.gz QTTZLGBYSIZAKU-OPFPJEHXSA-N 1 2 299.418 3.898 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1ccc(Cl)c(F)c1F ZINC001233208305 1132580798 /nfs/dbraw/zinc/58/07/98/1132580798.db2.gz ZYCZAVIYHIGSSN-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1ccc(Cl)c(F)c1F ZINC001233208305 1132580802 /nfs/dbraw/zinc/58/08/02/1132580802.db2.gz ZYCZAVIYHIGSSN-UHFFFAOYSA-N 1 2 282.721 3.645 20 0 CHADLO CC(C)O[C@@H]1CC[N@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233212370 1132581966 /nfs/dbraw/zinc/58/19/66/1132581966.db2.gz UUMAFRRLABZXLC-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CC(C)O[C@@H]1CC[N@@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233212370 1132581971 /nfs/dbraw/zinc/58/19/71/1132581971.db2.gz UUMAFRRLABZXLC-LLVKDONJSA-N 1 2 289.753 3.618 20 0 CHADLO CC(=O)Nc1cccc(Nc2[nH+]cccc2C2CC2)c1 ZINC001173831566 1132586521 /nfs/dbraw/zinc/58/65/21/1132586521.db2.gz RELNNCDMJLMFKK-UHFFFAOYSA-N 1 2 267.332 3.661 20 0 CHADLO Cc1cc(C)cc(Nc2ccccc2C[NH+]2CCOCC2)c1 ZINC001173831163 1132587070 /nfs/dbraw/zinc/58/70/70/1132587070.db2.gz WYMLJUTXJBJXBW-UHFFFAOYSA-N 1 2 296.414 3.879 20 0 CHADLO Cc1ccc(Cl)cc1C[N@H+](C)Cc1nccs1 ZINC001233250502 1132588980 /nfs/dbraw/zinc/58/89/80/1132588980.db2.gz NHULOOOHSPNECT-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(Cl)cc1C[N@@H+](C)Cc1nccs1 ZINC001233250502 1132588985 /nfs/dbraw/zinc/58/89/85/1132588985.db2.gz NHULOOOHSPNECT-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc2c(ccc(N)c2F)n1-c1ccc(C)[nH+]c1C ZINC001203376529 1132589643 /nfs/dbraw/zinc/58/96/43/1132589643.db2.gz NPWYBQWPUIBKQU-UHFFFAOYSA-N 1 2 269.323 3.672 20 0 CHADLO Fc1cccc(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001173836803 1132590207 /nfs/dbraw/zinc/59/02/07/1132590207.db2.gz OQYMJEPNTGSCQB-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO Cc1ccc(Cl)cc1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC001233250928 1132590587 /nfs/dbraw/zinc/59/05/87/1132590587.db2.gz FZBYMFDFONRCLQ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(Cl)cc1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC001233250928 1132590591 /nfs/dbraw/zinc/59/05/91/1132590591.db2.gz FZBYMFDFONRCLQ-OAHLLOKOSA-N 1 2 287.794 3.776 20 0 CHADLO CC[N@H+](Cc1cc(C)ns1)Cc1ccccc1F ZINC001233312888 1132599974 /nfs/dbraw/zinc/59/99/74/1132599974.db2.gz RPGWHPOMOAGSPA-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1cc(C)ns1)Cc1ccccc1F ZINC001233312888 1132599979 /nfs/dbraw/zinc/59/99/79/1132599979.db2.gz RPGWHPOMOAGSPA-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO Fc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)c(F)c1 ZINC001173926982 1132600302 /nfs/dbraw/zinc/60/03/02/1132600302.db2.gz XQCNQWUNUOPIMZ-UHFFFAOYSA-N 1 2 285.297 3.953 20 0 CHADLO CC(=O)c1cccc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001173899248 1132603490 /nfs/dbraw/zinc/60/34/90/1132603490.db2.gz DAKQFQFOOHOIMR-UHFFFAOYSA-N 1 2 277.327 3.819 20 0 CHADLO Fc1cc(F)cc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001173915037 1132610393 /nfs/dbraw/zinc/61/03/93/1132610393.db2.gz ZBTFPHJGFAKHOS-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@@H]2c2c(F)cccc2F)o1 ZINC001233367820 1132611043 /nfs/dbraw/zinc/61/10/43/1132611043.db2.gz GXRWRISYPYALBG-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@@H]2c2c(F)cccc2F)o1 ZINC001233367820 1132611044 /nfs/dbraw/zinc/61/10/44/1132611044.db2.gz GXRWRISYPYALBG-CQSZACIVSA-N 1 2 278.302 3.598 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1c(Cl)ncnc1Cl ZINC001233409755 1132618601 /nfs/dbraw/zinc/61/86/01/1132618601.db2.gz LRDSIOVMADCZIH-SECBINFHSA-N 1 2 274.195 3.548 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1c(Cl)ncnc1Cl ZINC001233409755 1132618604 /nfs/dbraw/zinc/61/86/04/1132618604.db2.gz LRDSIOVMADCZIH-SECBINFHSA-N 1 2 274.195 3.548 20 0 CHADLO Fc1ccc(Nc2ccccc2-n2cc[nH+]c2)c(F)c1 ZINC001173925725 1132618762 /nfs/dbraw/zinc/61/87/62/1132618762.db2.gz CNUBAHMYXBLCRX-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(F)cc2C)c[nH+]1 ZINC001173967538 1132637081 /nfs/dbraw/zinc/63/70/81/1132637081.db2.gz COPZCMBIJZFULW-UHFFFAOYSA-N 1 2 259.328 3.729 20 0 CHADLO CCc1ccccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001173970391 1132640453 /nfs/dbraw/zinc/64/04/53/1132640453.db2.gz RSLLAJQAYRYBJQ-UHFFFAOYSA-N 1 2 251.333 3.879 20 0 CHADLO Cc1cc(C)c(CNc2cc3ccccc3c[nH+]2)c(=O)[nH]1 ZINC001155131564 1132642310 /nfs/dbraw/zinc/64/23/10/1132642310.db2.gz XANGKXVQAQBZRC-UHFFFAOYSA-N 1 2 279.343 3.564 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1ccc(-c2ccccc2)nn1 ZINC001155176117 1132645274 /nfs/dbraw/zinc/64/52/74/1132645274.db2.gz QDMSJOMFMYYCLD-UHFFFAOYSA-N 1 2 254.337 3.579 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3[nH]ccc3c2)[nH+]1 ZINC001173935997 1132648378 /nfs/dbraw/zinc/64/83/78/1132648378.db2.gz HQXLTTBKFISRCY-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO Cc1cc(C[N@@H+]2CCCCC(F)(F)C2)sc1C ZINC001233654661 1132656662 /nfs/dbraw/zinc/65/66/62/1132656662.db2.gz FEDRCVSUIZRDIV-UHFFFAOYSA-N 1 2 259.365 3.986 20 0 CHADLO Cc1cc(C[N@H+]2CCCCC(F)(F)C2)sc1C ZINC001233654661 1132656665 /nfs/dbraw/zinc/65/66/65/1132656665.db2.gz FEDRCVSUIZRDIV-UHFFFAOYSA-N 1 2 259.365 3.986 20 0 CHADLO Fc1ccc(F)c(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001173949988 1132656974 /nfs/dbraw/zinc/65/69/74/1132656974.db2.gz PKQXCTVKAIEUSK-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO COc1ccc(C)cc1Nc1cccn2cc(C)[nH+]c12 ZINC001173985313 1132664224 /nfs/dbraw/zinc/66/42/24/1132664224.db2.gz ZEEYBINECGQDPM-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CC(=O)Nc1ccccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001173994906 1132667511 /nfs/dbraw/zinc/66/75/11/1132667511.db2.gz WQMLAKHUKUJPEA-UHFFFAOYSA-N 1 2 292.342 3.574 20 0 CHADLO [NH2+]=C(Nc1ccc(C2CC2)nn1)C12CC3CC(CC(C3)C1)C2 ZINC001155960222 1132671794 /nfs/dbraw/zinc/67/17/94/1132671794.db2.gz UVOCWNPHOMRSRY-UHFFFAOYSA-N 1 2 296.418 3.960 20 0 CHADLO c1c[nH+]c(N2CCCCC2)c(Nc2ccc3c(c2)CCO3)c1 ZINC001174008557 1132675318 /nfs/dbraw/zinc/67/53/18/1132675318.db2.gz ATIAZVLUOIEVBH-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO c1cc(Nc2ccc3c(c2)CCO3)[nH+]c(C2CC2)c1 ZINC001174010135 1132676439 /nfs/dbraw/zinc/67/64/39/1132676439.db2.gz XBIFAJFTNGQIIS-UHFFFAOYSA-N 1 2 252.317 3.638 20 0 CHADLO Cc1cccc2c(C)cc(NCCn3cccc3)[nH+]c12 ZINC001156300049 1132688898 /nfs/dbraw/zinc/68/88/98/1132688898.db2.gz OFTAFADBGSHMAM-UHFFFAOYSA-N 1 2 265.360 3.765 20 0 CHADLO CC(=O)c1c(F)cccc1Nc1ccc(C)[nH+]c1C ZINC001203376757 1132690282 /nfs/dbraw/zinc/69/02/82/1132690282.db2.gz RLECFNRICJQCEF-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO COc1ccc2cc[nH+]c(N3C[C@@H](C)CC34CC4)c2c1 ZINC001156418500 1132693689 /nfs/dbraw/zinc/69/36/89/1132693689.db2.gz DIHJYOLMATULHK-LBPRGKRZSA-N 1 2 268.360 3.622 20 0 CHADLO COc1cc2cc[nH+]c(N3C[C@@H](C)CC34CC4)c2cc1F ZINC001156424966 1132695520 /nfs/dbraw/zinc/69/55/20/1132695520.db2.gz IXWDGEQWSIPOFC-NSHDSACASA-N 1 2 286.350 3.761 20 0 CHADLO COC(C)(C)CNc1[nH+]ccc2cc(Cl)ccc21 ZINC001156439175 1132696316 /nfs/dbraw/zinc/69/63/16/1132696316.db2.gz HTJWKHPGHHSXDQ-UHFFFAOYSA-N 1 2 264.756 3.725 20 0 CHADLO CC(C)c1ccc(N2CC(C)(C)OC(C)(C)C2)[nH+]c1 ZINC001156458432 1132697778 /nfs/dbraw/zinc/69/77/78/1132697778.db2.gz NNIQIHSLLAOEQA-UHFFFAOYSA-N 1 2 262.397 3.599 20 0 CHADLO Cc1cc(NCCc2ccsc2)nc(C(C)(C)C)[nH+]1 ZINC001156523865 1132703700 /nfs/dbraw/zinc/70/37/00/1132703700.db2.gz WNNAPAWBZZXPQS-UHFFFAOYSA-N 1 2 275.421 3.799 20 0 CHADLO Cc1ccc(CNc2cc(C)c3ccccc3[nH+]2)cn1 ZINC000052360129 1132706105 /nfs/dbraw/zinc/70/61/05/1132706105.db2.gz VZSYKKBZLJPRIE-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO Cc1ccc(Nc2ccc(N(C)C)[nH+]c2)c(Cl)c1 ZINC001174044028 1132706634 /nfs/dbraw/zinc/70/66/34/1132706634.db2.gz HKQCWPVUXZDUIE-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(ccn3C)c2)c[nH+]1 ZINC001174096375 1132713855 /nfs/dbraw/zinc/71/38/55/1132713855.db2.gz DBBLZTFELQOIBI-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO Cc1cccc2c(C)cc(NC3(CO)CCCC3)[nH+]c12 ZINC001157002650 1132718773 /nfs/dbraw/zinc/71/87/73/1132718773.db2.gz BRYJNKWKHVLTFB-UHFFFAOYSA-N 1 2 270.376 3.569 20 0 CHADLO CC1=C(C)C[C@@](C)(C(=O)NCc2c[nH]c(C(C)C)[nH+]2)CC1 ZINC001157124758 1132722602 /nfs/dbraw/zinc/72/26/02/1132722602.db2.gz OJBKUJORMGVTAA-KRWDZBQOSA-N 1 2 289.423 3.676 20 0 CHADLO CC1=C(C)C[C@@](C)(C(=O)NCc2c[nH+]c(C(C)C)[nH]2)CC1 ZINC001157124758 1132722606 /nfs/dbraw/zinc/72/26/06/1132722606.db2.gz OJBKUJORMGVTAA-KRWDZBQOSA-N 1 2 289.423 3.676 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CC(C)(C)O1 ZINC001157663895 1132737351 /nfs/dbraw/zinc/73/73/51/1132737351.db2.gz ZENZIENNUJNBMH-CYBMUJFWSA-N 1 2 256.349 3.523 20 0 CHADLO CC1(C)C[C@@H](CNc2cc(-c3ccccc3)cc[nH+]2)O1 ZINC001157663220 1132738456 /nfs/dbraw/zinc/73/84/56/1132738456.db2.gz SAJYQFSVXNHRKF-HNNXBMFYSA-N 1 2 268.360 3.728 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnn(Cc2ccccc2)c1 ZINC001174133282 1132740516 /nfs/dbraw/zinc/74/05/16/1132740516.db2.gz PHDKWLJMBUGRHE-UHFFFAOYSA-N 1 2 292.386 3.941 20 0 CHADLO C=Cc1ccc(CNc2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC001157777120 1132741581 /nfs/dbraw/zinc/74/15/81/1132741581.db2.gz GEOSLEUSYMACDV-UHFFFAOYSA-N 1 2 265.360 3.918 20 0 CHADLO COC(=O)/C=C/c1cccc(Nc2ccc(C)[nH+]c2C)c1 ZINC001203380009 1132749755 /nfs/dbraw/zinc/74/97/55/1132749755.db2.gz GDQRNECKFGPOSA-CSKARUKUSA-N 1 2 282.343 3.628 20 0 CHADLO CSCc1cc[nH+]c(N[C@H]2CCCc3cccnc32)c1 ZINC001157852191 1132750346 /nfs/dbraw/zinc/75/03/46/1132750346.db2.gz OJSJCCWPWZQCHS-AWEZNQCLSA-N 1 2 285.416 3.829 20 0 CHADLO COC(=O)[C@@H](C)c1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001203380045 1132750586 /nfs/dbraw/zinc/75/05/86/1132750586.db2.gz GKRRTQRKNKARJN-LBPRGKRZSA-N 1 2 284.359 3.719 20 0 CHADLO CN(c1ccc2c(c1)CCC(C)(C)O2)c1[nH+]cccc1N ZINC001213011767 1132755477 /nfs/dbraw/zinc/75/54/77/1132755477.db2.gz IFPJBZXMLOJFCP-UHFFFAOYSA-N 1 2 283.375 3.535 20 0 CHADLO COc1ccc(F)c(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001174209840 1132757796 /nfs/dbraw/zinc/75/77/96/1132757796.db2.gz VPXQLIKHOQOZHH-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO COc1ccc2ccc(NCCC3CCC3)[nH+]c2c1 ZINC001158052368 1132763421 /nfs/dbraw/zinc/76/34/21/1132763421.db2.gz ZDVCRXWZBFSZHV-UHFFFAOYSA-N 1 2 256.349 3.846 20 0 CHADLO CCOC(=O)Cc1cc[nH+]c(NC(C)(C)CC(C)(C)C)c1 ZINC001158189598 1132765790 /nfs/dbraw/zinc/76/57/90/1132765790.db2.gz ZIWYHDACZQCYBB-UHFFFAOYSA-N 1 2 292.423 3.814 20 0 CHADLO CC(=O)NCc1ccc(Nc2c(C)cc[nH+]c2C(C)C)cc1 ZINC001174232725 1132769117 /nfs/dbraw/zinc/76/91/17/1132769117.db2.gz PKJGNKOLQAPLCU-UHFFFAOYSA-N 1 2 297.402 3.893 20 0 CHADLO CCN(Cc1ccc2c(c1)OCO2)c1cccc(C2CC2)[nH+]1 ZINC001158317724 1132769607 /nfs/dbraw/zinc/76/96/07/1132769607.db2.gz VUYMSCSINKMSAG-UHFFFAOYSA-N 1 2 296.370 3.714 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@H]2C[C@@H](C)c3ccccc32)[nH+]1 ZINC001158468721 1132777466 /nfs/dbraw/zinc/77/74/66/1132777466.db2.gz OMDGZXLKHBAPCV-BMIGLBTASA-N 1 2 278.359 3.927 20 0 CHADLO CSc1cc[nH+]c(NC[C@@H](C)c2ccc(O)cc2)c1 ZINC001158608325 1132785005 /nfs/dbraw/zinc/78/50/05/1132785005.db2.gz ZHMDZHZLZIBEAF-LLVKDONJSA-N 1 2 274.389 3.725 20 0 CHADLO CSCc1ccc(NC[C@@H](C)c2ccc(O)cc2)[nH+]c1 ZINC001158606833 1132785429 /nfs/dbraw/zinc/78/54/29/1132785429.db2.gz GSQWXROHBOTKTM-GFCCVEGCSA-N 1 2 288.416 3.866 20 0 CHADLO Cc1nc2c(o1)CCN(c1[nH+]ccc3cc(Cl)ccc31)C2 ZINC001158827228 1132795036 /nfs/dbraw/zinc/79/50/36/1132795036.db2.gz JQEWDKKKNPCVHV-UHFFFAOYSA-N 1 2 299.761 3.747 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(-c2ccccn2)cc1 ZINC001158905587 1132796086 /nfs/dbraw/zinc/79/60/86/1132796086.db2.gz AHMMFEHCYGGLPI-UHFFFAOYSA-N 1 2 291.354 3.764 20 0 CHADLO c1cc2cc(Nc3[nH+]cccc3C3CC3)cnc2[nH]1 ZINC001174396869 1132812407 /nfs/dbraw/zinc/81/24/07/1132812407.db2.gz XCYVFVQEFRFFQO-UHFFFAOYSA-N 1 2 250.305 3.531 20 0 CHADLO CSc1cc(Nc2ccnc(C(F)(F)F)c2)cc[nH+]1 ZINC001174361303 1132818362 /nfs/dbraw/zinc/81/83/62/1132818362.db2.gz GYLCEQVPOVMAID-UHFFFAOYSA-N 1 2 285.294 3.961 20 0 CHADLO Clc1cc(Nc2cccc3c2CCN3)cn2cc[nH+]c12 ZINC001159265612 1132820965 /nfs/dbraw/zinc/82/09/65/1132820965.db2.gz AYMRJHNFAXVPHD-UHFFFAOYSA-N 1 2 284.750 3.699 20 0 CHADLO COc1ccc(C(C)C)cc1Nc1ccc(N)[nH+]c1 ZINC001159281219 1132822512 /nfs/dbraw/zinc/82/25/12/1132822512.db2.gz SVSAGEHCFWIJMY-UHFFFAOYSA-N 1 2 257.337 3.539 20 0 CHADLO CC(C)Oc1c(F)cc(F)c(Nc2ccc(N)[nH+]c2)c1F ZINC001159281769 1132823574 /nfs/dbraw/zinc/82/35/74/1132823574.db2.gz AEMNEJJXKHBRJW-UHFFFAOYSA-N 1 2 297.280 3.612 20 0 CHADLO CCc1cccc(Nc2ccc3c(c2)CCCN3)[nH+]1 ZINC001159280971 1132823767 /nfs/dbraw/zinc/82/37/67/1132823767.db2.gz KHLUEZASQSPLIS-UHFFFAOYSA-N 1 2 253.349 3.746 20 0 CHADLO CC(=O)NCc1cccc(Nc2cccc(C3CCC3)[nH+]2)c1 ZINC001174367859 1132823958 /nfs/dbraw/zinc/82/39/58/1132823958.db2.gz LVMMSEZZPAYNAC-UHFFFAOYSA-N 1 2 295.386 3.729 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(-c2cnn(C)c2)cc1 ZINC001174377255 1132827803 /nfs/dbraw/zinc/82/78/03/1132827803.db2.gz VNUYWDFPJIPQQA-UHFFFAOYSA-N 1 2 278.359 3.788 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)[nH+]cn3C(C)C)nc(C)n1 ZINC001174380849 1132829035 /nfs/dbraw/zinc/82/90/35/1132829035.db2.gz MWJQNURUJDJOEW-UHFFFAOYSA-N 1 2 281.363 3.768 20 0 CHADLO CCc1cc(Nc2ccc3c(c2)[nH+]cn3C(C)C)on1 ZINC001174382047 1132829455 /nfs/dbraw/zinc/82/94/55/1132829455.db2.gz VMIKCHNBFUZIAH-UHFFFAOYSA-N 1 2 270.336 3.911 20 0 CHADLO Cc1c[nH]c(=O)cc1Nc1ccc2c(c1)[nH+]cn2C(C)C ZINC001174382090 1132829780 /nfs/dbraw/zinc/82/97/80/1132829780.db2.gz XMRMOGQKWHVPHX-UHFFFAOYSA-N 1 2 282.347 3.770 20 0 CHADLO CCN(C)c1ccc(Nc2cccc(C(C)(C)O)c2)c[nH+]1 ZINC001174406838 1132845117 /nfs/dbraw/zinc/84/51/17/1132845117.db2.gz YZCOKJHTSZZHPG-UHFFFAOYSA-N 1 2 285.391 3.509 20 0 CHADLO CC(C)(O)c1cccc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001174407161 1132845511 /nfs/dbraw/zinc/84/55/11/1132845511.db2.gz RELWGSPJYUIYEQ-UHFFFAOYSA-N 1 2 293.370 3.843 20 0 CHADLO CC(C)OC(=O)c1ccc(Nc2cc[nH+]c3[nH]ccc32)cc1 ZINC001174410976 1132849051 /nfs/dbraw/zinc/84/90/51/1132849051.db2.gz BJKAYNJGFOCBIS-UHFFFAOYSA-N 1 2 295.342 3.823 20 0 CHADLO Oc1cc(Cl)cc(Nc2cc[nH+]c3[nH]ccc32)c1 ZINC001174412778 1132851404 /nfs/dbraw/zinc/85/14/04/1132851404.db2.gz FBBBVDKCFHMBBA-UHFFFAOYSA-N 1 2 259.696 3.617 20 0 CHADLO CNc1ccc(Nc2cccc3c2CCCC3)c[nH+]1 ZINC001159601379 1132871414 /nfs/dbraw/zinc/87/14/14/1132871414.db2.gz XCPXJZQEVLBMOH-UHFFFAOYSA-N 1 2 253.349 3.746 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2ccc3c(c2)NCCC3)c1 ZINC001159604409 1132872946 /nfs/dbraw/zinc/87/29/46/1132872946.db2.gz OMICQUBQFYAJGY-UHFFFAOYSA-N 1 2 294.402 3.784 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)NCCC3)c1C ZINC001159604617 1132873669 /nfs/dbraw/zinc/87/36/69/1132873669.db2.gz QELOZNKFBVXCDD-UHFFFAOYSA-N 1 2 253.349 3.800 20 0 CHADLO Cc1ccc2c(Nc3cnn(CC4CC4)c3)cccc2[nH+]1 ZINC001174470030 1132876335 /nfs/dbraw/zinc/87/63/35/1132876335.db2.gz QWTRUDZVWUSUBM-UHFFFAOYSA-N 1 2 278.359 3.893 20 0 CHADLO CCNC(=O)Nc1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001174518774 1132910388 /nfs/dbraw/zinc/91/03/88/1132910388.db2.gz REUULOZIBPXTFK-UHFFFAOYSA-N 1 2 296.374 3.844 20 0 CHADLO CCNC(=O)Nc1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001174519083 1132910876 /nfs/dbraw/zinc/91/08/76/1132910876.db2.gz HVKUBWIOGRXCRD-UHFFFAOYSA-N 1 2 284.363 3.583 20 0 CHADLO c1nn([C@H]2CCCCO2)cc1Nc1cccc2cc[nH+]cc21 ZINC001174604219 1132940125 /nfs/dbraw/zinc/94/01/25/1132940125.db2.gz CHGUPXHWWYAABP-QGZVFWFLSA-N 1 2 294.358 3.874 20 0 CHADLO Cc1cc(NCc2cccc3ncoc32)nc(C(C)(C)C)[nH+]1 ZINC001160256324 1132951915 /nfs/dbraw/zinc/95/19/15/1132951915.db2.gz RJUFWCRUFBRWJL-UHFFFAOYSA-N 1 2 296.374 3.836 20 0 CHADLO CCO[C@@H](C)n1cc(Nc2ccc([N@H+](C)CC)cc2)cn1 ZINC001174703733 1132958790 /nfs/dbraw/zinc/95/87/90/1132958790.db2.gz UENZEQZWZUBFHF-ZDUSSCGKSA-N 1 2 288.395 3.638 20 0 CHADLO CCO[C@@H](C)n1cc(Nc2ccc([N@@H+](C)CC)cc2)cn1 ZINC001174703733 1132958798 /nfs/dbraw/zinc/95/87/98/1132958798.db2.gz UENZEQZWZUBFHF-ZDUSSCGKSA-N 1 2 288.395 3.638 20 0 CHADLO Cc1cc(NC(C)(C)c2ccncc2)nc(C(C)(C)C)[nH+]1 ZINC001160312704 1132961442 /nfs/dbraw/zinc/96/14/42/1132961442.db2.gz PKGWGSDWKVNCQE-UHFFFAOYSA-N 1 2 284.407 3.825 20 0 CHADLO CN(C)c1ccc(Nc2ccc3c(c2)C(C)(C)CCN3)c[nH+]1 ZINC001160332899 1132964361 /nfs/dbraw/zinc/96/43/61/1132964361.db2.gz VQVMOUVJJZESHN-UHFFFAOYSA-N 1 2 296.418 3.984 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3nccc4occc43)c[nH+]c12 ZINC001160682169 1132978135 /nfs/dbraw/zinc/97/81/35/1132978135.db2.gz ADVUDGDQVWJLBK-LBPRGKRZSA-N 1 2 292.342 3.957 20 0 CHADLO FC(F)c1ccc(Nc2cccc3[nH+]c[nH]c32)cn1 ZINC001213028051 1132988507 /nfs/dbraw/zinc/98/85/07/1132988507.db2.gz GUPKMZTXFXBAQL-UHFFFAOYSA-N 1 2 260.247 3.639 20 0 CHADLO Cc1c(Cl)nccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213028030 1132988770 /nfs/dbraw/zinc/98/87/70/1132988770.db2.gz GCCKEUTXGIDLTA-UHFFFAOYSA-N 1 2 258.712 3.663 20 0 CHADLO CC(=O)c1ccsc1Nc1cccc2[nH+]c[nH]c21 ZINC001213028573 1132988878 /nfs/dbraw/zinc/98/88/78/1132988878.db2.gz RODHZLIVOAKZLJ-UHFFFAOYSA-N 1 2 257.318 3.571 20 0 CHADLO Cc1cc(NCc2cccc(CCO)c2)[nH+]c2ccccc12 ZINC001161015894 1132990384 /nfs/dbraw/zinc/99/03/84/1132990384.db2.gz OZLUJKVJTNNZRR-UHFFFAOYSA-N 1 2 292.382 3.690 20 0 CHADLO c1[nH]c2c(cccc2Nc2cncc(C3CC3)c2)[nH+]1 ZINC001213028967 1132992504 /nfs/dbraw/zinc/99/25/04/1132992504.db2.gz AYVSMMSMIDMAKA-UHFFFAOYSA-N 1 2 250.305 3.579 20 0 CHADLO Cc1cc(F)c(F)cc1Nc1cccc2[nH+]c[nH]c21 ZINC001213031726 1132996853 /nfs/dbraw/zinc/99/68/53/1132996853.db2.gz OLSSWYRZTZTISM-UHFFFAOYSA-N 1 2 259.259 3.893 20 0 CHADLO O=C1CCCc2ccc(Nc3cccc4[nH+]c[nH]c43)cc2N1 ZINC001213032399 1133000213 /nfs/dbraw/zinc/00/02/13/1133000213.db2.gz DASVMSKKYAKRFU-UHFFFAOYSA-N 1 2 292.342 3.581 20 0 CHADLO FC(F)Oc1cccc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032701 1133001054 /nfs/dbraw/zinc/00/10/54/1133001054.db2.gz TVFGQSJHIJKLHW-UHFFFAOYSA-N 1 2 275.258 3.908 20 0 CHADLO COc1c(F)ccc(Nc2cccc3[nH+]c[nH]c32)c1F ZINC001213032830 1133001676 /nfs/dbraw/zinc/00/16/76/1133001676.db2.gz ZRTHTNBESPTGEW-UHFFFAOYSA-N 1 2 275.258 3.593 20 0 CHADLO COc1ccc[nH+]c1NC(C)(C)Cc1ccccc1C ZINC001161451100 1133002799 /nfs/dbraw/zinc/00/27/99/1133002799.db2.gz LWKJOIOVKJOOEF-UHFFFAOYSA-N 1 2 270.376 3.832 20 0 CHADLO Cc1ccnc(NCc2c[nH+]cn2CC2CCC2)c1Cl ZINC001161530982 1133005315 /nfs/dbraw/zinc/00/53/15/1133005315.db2.gz BYZOTZWEVDVKIF-UHFFFAOYSA-N 1 2 290.798 3.652 20 0 CHADLO Cc1cc(Cl)cc(NCc2c[nH+]cn2CC2CCC2)n1 ZINC001161528906 1133005573 /nfs/dbraw/zinc/00/55/73/1133005573.db2.gz AKDMVRJQPYGKSA-UHFFFAOYSA-N 1 2 290.798 3.652 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1nccc2ccc(F)cc21 ZINC001161565268 1133006409 /nfs/dbraw/zinc/00/64/09/1133006409.db2.gz KGZZYYUZBLNVFL-UHFFFAOYSA-N 1 2 284.338 3.763 20 0 CHADLO COc1cccc2ccc(NCc3c[nH+]cn3C(C)C)nc21 ZINC001161563239 1133006420 /nfs/dbraw/zinc/00/64/20/1133006420.db2.gz UZBJJNQGWSWIMT-UHFFFAOYSA-N 1 2 296.374 3.633 20 0 CHADLO C[C@@H](CCc1ccccc1)Nc1ccc2c([nH+]1)CCOC2 ZINC001161611906 1133007173 /nfs/dbraw/zinc/00/71/73/1133007173.db2.gz QTAZMUIWZBAUBP-AWEZNQCLSA-N 1 2 282.387 3.588 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c[nH]c32)c2ccncc12 ZINC001213033573 1133007453 /nfs/dbraw/zinc/00/74/53/1133007453.db2.gz NEXRFGDQWGERMC-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO CCOc1ccc[nH+]c1NCC(C)(C)c1cccc(C)n1 ZINC001161644248 1133013230 /nfs/dbraw/zinc/01/32/30/1133013230.db2.gz SBGXVQKOGYVMQO-UHFFFAOYSA-N 1 2 285.391 3.573 20 0 CHADLO COc1cc(C(C)=O)c(Nc2cccc3[nH+]c[nH]c32)cc1F ZINC001213034582 1133014793 /nfs/dbraw/zinc/01/47/93/1133014793.db2.gz RWOIYYFSHACVSL-UHFFFAOYSA-N 1 2 299.305 3.657 20 0 CHADLO Cc1cccc2cc[nH+]c(NCc3ncn4ccccc34)c12 ZINC001161687522 1133015768 /nfs/dbraw/zinc/01/57/68/1133015768.db2.gz XAAJZGAUMQRKAJ-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO Cc1cc(-c2ccccc2)ncc1NCCCn1cc[nH+]c1 ZINC001161689131 1133016481 /nfs/dbraw/zinc/01/64/81/1133016481.db2.gz ILUVQWTURYRAGR-UHFFFAOYSA-N 1 2 292.386 3.756 20 0 CHADLO Fc1ccc(NC2Cc3ccccc3C2)cc1-n1cc[nH+]c1 ZINC001161760490 1133025519 /nfs/dbraw/zinc/02/55/19/1133025519.db2.gz SNJDKHBRINFKFD-UHFFFAOYSA-N 1 2 293.345 3.591 20 0 CHADLO Cc1ccc(CNc2cc(C)c(C(F)(F)F)c[nH+]2)o1 ZINC001161764613 1133025563 /nfs/dbraw/zinc/02/55/63/1133025563.db2.gz ASIVNDQHNXLZRY-UHFFFAOYSA-N 1 2 270.254 3.922 20 0 CHADLO Cc1cc(NC2Cc3ccccc3C2)cc(OC(F)F)[nH+]1 ZINC001161760497 1133025952 /nfs/dbraw/zinc/02/59/52/1133025952.db2.gz SWEOSEKAKINVMV-UHFFFAOYSA-N 1 2 290.313 3.571 20 0 CHADLO CSc1cc[nH+]c(N[C@H](c2ccnc(F)c2)C2CC2)c1 ZINC001161845888 1133035052 /nfs/dbraw/zinc/03/50/52/1133035052.db2.gz MWSQAOBZHIRZLX-HNNXBMFYSA-N 1 2 289.379 3.901 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](c2ccnc(F)c2)C2CC2)c1 ZINC001161845889 1133035390 /nfs/dbraw/zinc/03/53/90/1133035390.db2.gz MWSQAOBZHIRZLX-OAHLLOKOSA-N 1 2 289.379 3.901 20 0 CHADLO c1ccc(-c2[nH]c3ccc(NC4CCOCC4)cc3[nH+]2)cc1 ZINC001161867328 1133036008 /nfs/dbraw/zinc/03/60/08/1133036008.db2.gz SUOVLROPZNPYPE-UHFFFAOYSA-N 1 2 293.370 3.821 20 0 CHADLO Cc1nc(N2CCC(Cc3ccccc3)CC2)c(C)c(C)[nH+]1 ZINC001161989210 1133047297 /nfs/dbraw/zinc/04/72/97/1133047297.db2.gz PMIGEJMLGFUQCE-UHFFFAOYSA-N 1 2 295.430 3.861 20 0 CHADLO CC(C)(CNc1ccc(Cl)c(N)[nH+]1)CC1CC1 ZINC001162125608 1133052954 /nfs/dbraw/zinc/05/29/54/1133052954.db2.gz NNSIHWGAUZTKRB-UHFFFAOYSA-N 1 2 253.777 3.555 20 0 CHADLO CCc1cc(NCc2cc(C)ccc2OC)nc(CC)[nH+]1 ZINC001162290897 1133067519 /nfs/dbraw/zinc/06/75/19/1133067519.db2.gz BBTRBBXUNQNJDT-UHFFFAOYSA-N 1 2 285.391 3.531 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@@H]3CCCC[C@@H]3C)nn2)c1C ZINC001162527949 1133088923 /nfs/dbraw/zinc/08/89/23/1133088923.db2.gz ZMQJGPUSYOGMMF-WFASDCNBSA-N 1 2 299.422 3.517 20 0 CHADLO CC(C)COc1cc(NC[C@@H]2CCC(F)(F)C2)cc[nH+]1 ZINC001162655320 1133101176 /nfs/dbraw/zinc/10/11/76/1133101176.db2.gz JWFHZUQEILBJAN-GFCCVEGCSA-N 1 2 284.350 3.964 20 0 CHADLO CC1(C)CCC[C@@H]1Nc1nc2ccccc2n2c[nH+]cc12 ZINC001162675305 1133102831 /nfs/dbraw/zinc/10/28/31/1133102831.db2.gz NVGSVFBIZQNCHY-HNNXBMFYSA-N 1 2 280.375 3.873 20 0 CHADLO CCc1cc(N(C)[C@H](C)Cc2ccsc2)nc(CC)[nH+]1 ZINC001162685795 1133103699 /nfs/dbraw/zinc/10/36/99/1133103699.db2.gz CENHNJKOMBGSTK-GFCCVEGCSA-N 1 2 289.448 3.730 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@@H]1CCc2sccc21 ZINC001162766947 1133110441 /nfs/dbraw/zinc/11/04/41/1133110441.db2.gz XVNNADLJGGYDJW-LLVKDONJSA-N 1 2 260.362 3.560 20 0 CHADLO Cc1cc(NCc2cn(C)c3ccccc23)c[nH+]c1C ZINC001162831309 1133115591 /nfs/dbraw/zinc/11/55/91/1133115591.db2.gz OGOICPXCHQEPLT-UHFFFAOYSA-N 1 2 265.360 3.802 20 0 CHADLO Cc1ccsc1CCNc1nc(C)[nH+]c2c1CCCC2 ZINC001162929950 1133122156 /nfs/dbraw/zinc/12/21/56/1133122156.db2.gz VQQQFALNPPKBNU-UHFFFAOYSA-N 1 2 287.432 3.688 20 0 CHADLO Cc1c(F)cc[nH+]c1NC(C)(C)c1cc(Cl)ccn1 ZINC001163143792 1133131452 /nfs/dbraw/zinc/13/14/52/1133131452.db2.gz IPYXHZFMTDYDHQ-UHFFFAOYSA-N 1 2 279.746 3.925 20 0 CHADLO Cc1c(F)cc[nH+]c1NCCOc1cccc2ccoc21 ZINC001163075834 1133129752 /nfs/dbraw/zinc/12/97/52/1133129752.db2.gz MSDFBLRQHVEJMC-UHFFFAOYSA-N 1 2 286.306 3.766 20 0 CHADLO Clc1ccc(Cl)c(NCc2ccc3[nH+]ccn3c2)n1 ZINC001163137042 1133130755 /nfs/dbraw/zinc/13/07/55/1133130755.db2.gz XGCYJLNHRQTOSO-UHFFFAOYSA-N 1 2 293.157 3.648 20 0 CHADLO COc1cc(C)c[nH+]c1NC(C)(C)c1cc(Cl)ccn1 ZINC001163145082 1133131753 /nfs/dbraw/zinc/13/17/53/1133131753.db2.gz QYDFDZPKCXAKBY-UHFFFAOYSA-N 1 2 291.782 3.794 20 0 CHADLO COc1ccc2[nH+]c(N[C@@H](C)c3ccno3)cc(C)c2c1 ZINC001163207691 1133136719 /nfs/dbraw/zinc/13/67/19/1133136719.db2.gz FBGSKLJZRJNFAD-NSHDSACASA-N 1 2 283.331 3.713 20 0 CHADLO Cc1ccc(Nc2cccc3c2CN(C2CC2)C3=O)c(C)[nH+]1 ZINC001203381256 1133153090 /nfs/dbraw/zinc/15/30/90/1133153090.db2.gz RZCZKAFTTLBTRU-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO Cc1nc(N[C@H](C(C)C)C2CC2)c2c([nH+]1)CCCC2 ZINC001163764534 1133172730 /nfs/dbraw/zinc/17/27/30/1133172730.db2.gz WFHXIPDGGYHCFE-OAHLLOKOSA-N 1 2 259.397 3.510 20 0 CHADLO CCc1cc(NCC[C@H]2CC2(Cl)Cl)nc(CC)[nH+]1 ZINC001163779340 1133174574 /nfs/dbraw/zinc/17/45/74/1133174574.db2.gz CESIMPGFDUJPBD-VIFPVBQESA-N 1 2 288.222 3.597 20 0 CHADLO F[C@@H]1CCN(c2cccc(C3CC3)[nH+]2)CCC1(F)F ZINC001163786252 1133175731 /nfs/dbraw/zinc/17/57/31/1133175731.db2.gz PPNOJPSXAOFYBK-GFCCVEGCSA-N 1 2 270.298 3.533 20 0 CHADLO Cc1cnc(C)c(NC(=[NH2+])C23CC4CC(CC(C4)C2)C3)n1 ZINC001163843986 1133178154 /nfs/dbraw/zinc/17/81/54/1133178154.db2.gz CFVWSJCLUYYINB-UHFFFAOYSA-N 1 2 284.407 3.699 20 0 CHADLO Fc1ccc2c[nH+]c(NC[C@H]3Cc4ccccc4O3)cc2c1 ZINC001163858407 1133179852 /nfs/dbraw/zinc/17/98/52/1133179852.db2.gz DCFXPRSZCUFKNZ-MRXNPFEDSA-N 1 2 294.329 3.790 20 0 CHADLO COc1cc(C)c[nH+]c1N1CCc2ccccc2[C@H]1C ZINC001163956953 1133188712 /nfs/dbraw/zinc/18/87/12/1133188712.db2.gz WYNJREOZNBPDMV-CYBMUJFWSA-N 1 2 268.360 3.522 20 0 CHADLO Cc1ccccc1OCCCNc1c[nH+]c2c(c1)CCC2 ZINC001163975203 1133190101 /nfs/dbraw/zinc/19/01/01/1133190101.db2.gz KSKWHRQOUKSTRJ-UHFFFAOYSA-N 1 2 282.387 3.760 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCC(=O)C(C)(C)C)c1 ZINC001164007635 1133192341 /nfs/dbraw/zinc/19/23/41/1133192341.db2.gz HNFRQJGINMEQKT-UHFFFAOYSA-N 1 2 276.424 3.868 20 0 CHADLO Cc1cc(NC[C@]23C[C@H]2CCCC3)nc(C2CC2)[nH+]1 ZINC001164137978 1133199204 /nfs/dbraw/zinc/19/92/04/1133199204.db2.gz GERHKLIMCXHPDX-CJNGLKHVSA-N 1 2 257.381 3.655 20 0 CHADLO CNc1ccc(CNc2ccc([NH+](C)C)c(C)c2)cc1 ZINC001164156312 1133202368 /nfs/dbraw/zinc/20/23/68/1133202368.db2.gz ZGXIBUZLGUVNKD-UHFFFAOYSA-N 1 2 269.392 3.715 20 0 CHADLO Cc1cc(NCCSCc2ccco2)c[nH+]c1C ZINC001164224326 1133208609 /nfs/dbraw/zinc/20/86/09/1133208609.db2.gz NZCNFQKEKFAYSM-UHFFFAOYSA-N 1 2 262.378 3.637 20 0 CHADLO Cc1cc(Cl)c(C)c(N2C[C@@H](C)C[C@H]2CF)[nH+]1 ZINC001164259648 1133210308 /nfs/dbraw/zinc/21/03/08/1133210308.db2.gz BIGNEIIJIINEET-KWQFWETISA-N 1 2 256.752 3.536 20 0 CHADLO CCc1cc(N2CC(C)(C)[C@H]3CCC[C@H]32)nc(CC)[nH+]1 ZINC001164295568 1133211402 /nfs/dbraw/zinc/21/14/02/1133211402.db2.gz ZLHRYAYIFRLUPQ-UONOGXRCSA-N 1 2 273.424 3.616 20 0 CHADLO Cc1cc(N2CCc3ccsc3C2)nc(C2CCC2)[nH+]1 ZINC001164294685 1133211672 /nfs/dbraw/zinc/21/16/72/1133211672.db2.gz MPCZCLDSXMJJNE-UHFFFAOYSA-N 1 2 285.416 3.677 20 0 CHADLO C[C@]1(F)CCN(c2[nH+]ccc3ccccc32)C[C@H]1F ZINC001164325283 1133212640 /nfs/dbraw/zinc/21/26/40/1133212640.db2.gz BQIWJJUHTSVDLK-HIFRSBDPSA-N 1 2 262.303 3.511 20 0 CHADLO C[C@]1(F)CCN(c2cc3ccccc3c[nH+]2)C[C@H]1F ZINC001164325216 1133213105 /nfs/dbraw/zinc/21/31/05/1133213105.db2.gz BHZGLFSSZQRWDW-HIFRSBDPSA-N 1 2 262.303 3.511 20 0 CHADLO Cc1cccc2c(C)cc(N3CC(C)(C)C[C@@]3(C)CO)[nH+]c12 ZINC001164358958 1133216299 /nfs/dbraw/zinc/21/62/99/1133216299.db2.gz XEWJNIROIVHMOJ-IBGZPJMESA-N 1 2 298.430 3.839 20 0 CHADLO Cc1cccc2c(C)cc(N3CC(C)(C)C[C@]3(C)CO)[nH+]c12 ZINC001164358970 1133216318 /nfs/dbraw/zinc/21/63/18/1133216318.db2.gz XEWJNIROIVHMOJ-LJQANCHMSA-N 1 2 298.430 3.839 20 0 CHADLO COC(=O)/C=C/c1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001203381346 1133225590 /nfs/dbraw/zinc/22/55/90/1133225590.db2.gz UTCOAMGTGRKNAF-YRNVUSSQSA-N 1 2 282.343 3.628 20 0 CHADLO CC(C)c1ccc(N2C[C@H]3c4ccccc4C(=O)[C@H]3C2)[nH+]c1 ZINC001165280181 1133234734 /nfs/dbraw/zinc/23/47/34/1133234734.db2.gz AJKVBSIHEHHGLJ-IRXDYDNUSA-N 1 2 292.382 3.621 20 0 CHADLO COC[C@@]12CCC[C@@H]1CN(c1cc(C)c3ccccc3[nH+]1)C2 ZINC001165927312 1133255894 /nfs/dbraw/zinc/25/58/94/1133255894.db2.gz AAGDWLAEMKHPJI-BEFAXECRSA-N 1 2 296.414 3.796 20 0 CHADLO c1ccc2cc(N3CC[C@H](c4ccncc4)C3)[nH+]cc2c1 ZINC001166569904 1133271736 /nfs/dbraw/zinc/27/17/36/1133271736.db2.gz SLZVBAURVXGLFW-KRWDZBQOSA-N 1 2 275.355 3.624 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@@H](C)[C@@H]2C)c1 ZINC001166613592 1133276209 /nfs/dbraw/zinc/27/62/09/1133276209.db2.gz GAXCNWANMUEZSI-NEPJUHHUSA-N 1 2 250.411 3.818 20 0 CHADLO c1ccc(-c2cc[nH+]c(N3CCCCC34COC4)c2)cc1 ZINC001166691391 1133282420 /nfs/dbraw/zinc/28/24/20/1133282420.db2.gz GRUULBYNDOUROB-UHFFFAOYSA-N 1 2 280.371 3.508 20 0 CHADLO COC(C)(C)[C@H]1CCCN1c1ccc(C(C)(C)C)c[nH+]1 ZINC001166792330 1133287093 /nfs/dbraw/zinc/28/70/93/1133287093.db2.gz MKZYXZDQWIBJCF-CQSZACIVSA-N 1 2 276.424 3.773 20 0 CHADLO Cc1cc2cc(NCCc3ccsc3)[nH+]cc2[nH]1 ZINC001166791701 1133287350 /nfs/dbraw/zinc/28/73/50/1133287350.db2.gz RBTHGFXLMFUKHG-UHFFFAOYSA-N 1 2 257.362 3.587 20 0 CHADLO CCSc1cc[nH+]c(N2CCC[C@@H]2C(C)(C)OC)c1 ZINC001166792393 1133288093 /nfs/dbraw/zinc/28/80/93/1133288093.db2.gz OTVWOXXVRVIQFG-CYBMUJFWSA-N 1 2 280.437 3.587 20 0 CHADLO COC(C)(C)[C@@H]1CCCN1c1cc(C)c2ccccc2[nH+]1 ZINC001166792313 1133288327 /nfs/dbraw/zinc/28/83/27/1133288327.db2.gz PDOJOAWOONVXKK-INIZCTEOSA-N 1 2 284.403 3.937 20 0 CHADLO Cc1cc(N(C)Cc2ccsc2)nc(C(C)(C)C)[nH+]1 ZINC001166829803 1133291587 /nfs/dbraw/zinc/29/15/87/1133291587.db2.gz VZTUXPVYCRDCJO-UHFFFAOYSA-N 1 2 275.421 3.780 20 0 CHADLO Cc1cc(NCCc2ccsc2)ccc1[NH+](C)C ZINC001166849491 1133292447 /nfs/dbraw/zinc/29/24/47/1133292447.db2.gz AODKJZGJNKNHCR-UHFFFAOYSA-N 1 2 260.406 3.777 20 0 CHADLO Cc1cc([NH2+]CCc2ccsc2)ccc1N(C)C ZINC001166849491 1133292449 /nfs/dbraw/zinc/29/24/49/1133292449.db2.gz AODKJZGJNKNHCR-UHFFFAOYSA-N 1 2 260.406 3.777 20 0 CHADLO Fc1c[nH]c2nc[nH+]c(NCc3ccccc3C3CC3)c12 ZINC001166980762 1133296475 /nfs/dbraw/zinc/29/64/75/1133296475.db2.gz IFGUVRVEVSTURM-UHFFFAOYSA-N 1 2 282.322 3.538 20 0 CHADLO Cc1ccc(Nc2cnc3cc(C(C)(C)C)nn3c2)c(C)[nH+]1 ZINC001203368928 1133318979 /nfs/dbraw/zinc/31/89/79/1133318979.db2.gz HJWNGYHGWCMIDY-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(C)[nH+]c2C)cc1 ZINC001203381100 1133321897 /nfs/dbraw/zinc/32/18/97/1133321897.db2.gz PFOZTNSKEVODLX-UHFFFAOYSA-N 1 2 255.365 3.898 20 0 CHADLO CNc1ccc(Nc2cnc(Cl)cc2Cl)c[nH+]1 ZINC001203449605 1133329082 /nfs/dbraw/zinc/32/90/82/1133329082.db2.gz KVXWGJSHVHRQPI-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO CNc1ccc(Nc2cccc3ccc(C)nc32)c[nH+]1 ZINC001203456582 1133329475 /nfs/dbraw/zinc/32/94/75/1133329475.db2.gz LDBFCDKOBWKRHH-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO CCn1nc(-c2ccccc2)cc1Nc1ccc(NC)[nH+]c1 ZINC001203452174 1133329813 /nfs/dbraw/zinc/32/98/13/1133329813.db2.gz HCCWPSJWWLRFJC-UHFFFAOYSA-N 1 2 293.374 3.750 20 0 CHADLO CNc1ccc(Nc2ccc(OC)c(C(C)C)c2)c[nH+]1 ZINC001203458891 1133330945 /nfs/dbraw/zinc/33/09/45/1133330945.db2.gz AQGUPVGJBAJCIH-UHFFFAOYSA-N 1 2 271.364 3.999 20 0 CHADLO Cc1cc(NC/C=C\c2ccc(F)cc2)c[nH+]c1C ZINC001203486251 1133331980 /nfs/dbraw/zinc/33/19/80/1133331980.db2.gz XWMXLRDMCPBOHK-ARJAWSKDSA-N 1 2 256.324 3.963 20 0 CHADLO Oc1ccc(Cl)c(C[NH2+]Cc2cccc(O)c2Cl)c1 ZINC001203497747 1133333905 /nfs/dbraw/zinc/33/39/05/1133333905.db2.gz WFJDLRMFTREFGK-UHFFFAOYSA-N 1 2 298.169 3.694 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1ccns1 ZINC001203530329 1133337922 /nfs/dbraw/zinc/33/79/22/1133337922.db2.gz RMRZBQMASCZQNP-UHFFFAOYSA-N 1 2 292.795 3.778 20 0 CHADLO Nc1ccc(Nc2ccc([NH+]3CCCCC3)cc2)c(F)n1 ZINC001203617836 1133345631 /nfs/dbraw/zinc/34/56/31/1133345631.db2.gz GPYBOMSNVZUNPI-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(Cl)cncc2Cl)c1 ZINC001203652843 1133347382 /nfs/dbraw/zinc/34/73/82/1133347382.db2.gz DTHJAXKMAGGZFS-UHFFFAOYSA-N 1 2 268.147 3.566 20 0 CHADLO Cc1cnc(C)c(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001203654324 1133347459 /nfs/dbraw/zinc/34/74/59/1133347459.db2.gz HCYGAHSTAHLQCA-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(-c3ccc(O)cc3)nc2)c1 ZINC001203656996 1133348720 /nfs/dbraw/zinc/34/87/20/1133348720.db2.gz GGSGKTPNEYZLLY-UHFFFAOYSA-N 1 2 292.342 3.605 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Br)ncc2C)c1 ZINC001203655986 1133348937 /nfs/dbraw/zinc/34/89/37/1133348937.db2.gz GAVVHYSXBWUBRZ-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(OCC3CC3)nc2)c1 ZINC001203660387 1133349750 /nfs/dbraw/zinc/34/97/50/1133349750.db2.gz HSURBVJPUGXYHR-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(Br)s2)c1 ZINC001203656916 1133349783 /nfs/dbraw/zinc/34/97/83/1133349783.db2.gz CNGKCOWHBVJIIE-UHFFFAOYSA-N 1 2 284.182 3.661 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnc(-c3ccccc3)cn2)c1 ZINC001203654902 1133349905 /nfs/dbraw/zinc/34/99/05/1133349905.db2.gz RNIDKZMYQXPLDR-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO CCOc1ccc(Nc2cc(C)c[nH+]c2C)cc1F ZINC001203667116 1133350567 /nfs/dbraw/zinc/35/05/67/1133350567.db2.gz HMVRFQODTDLFOF-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(F)c(F)cc2F)c1 ZINC001203663693 1133351096 /nfs/dbraw/zinc/35/10/96/1133351096.db2.gz WNLVMAMBNMUDCU-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO COC(=O)c1c(Cl)cccc1Nc1cc(C)c[nH+]c1C ZINC001203664046 1133351405 /nfs/dbraw/zinc/35/14/05/1133351405.db2.gz VNOLXOPCYWALPJ-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO Cc1cn(-c2cc(C)c[nH+]c2C)c2cc(N)ccc12 ZINC001203667162 1133351971 /nfs/dbraw/zinc/35/19/71/1133351971.db2.gz KATGFMFZRWAYBC-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)N(C)CCC3)c1 ZINC001203663679 1133352206 /nfs/dbraw/zinc/35/22/06/1133352206.db2.gz WFLLVMNKMJRPFD-UHFFFAOYSA-N 1 2 267.376 3.824 20 0 CHADLO COC(=O)c1cccc2c1C[N@H+](Cc1cc(C)ccc1C)C2 ZINC001203749469 1133365894 /nfs/dbraw/zinc/36/58/94/1133365894.db2.gz IYNFIEODHDKMOE-UHFFFAOYSA-N 1 2 295.382 3.606 20 0 CHADLO COC(=O)c1cccc2c1C[N@@H+](Cc1cc(C)ccc1C)C2 ZINC001203749469 1133365895 /nfs/dbraw/zinc/36/58/95/1133365895.db2.gz IYNFIEODHDKMOE-UHFFFAOYSA-N 1 2 295.382 3.606 20 0 CHADLO F[C@H]1CCCC[N@H+](Cc2cccc(Br)c2)C1 ZINC001203766626 1133366610 /nfs/dbraw/zinc/36/66/10/1133366610.db2.gz CFOAKOAHQQEGQY-ZDUSSCGKSA-N 1 2 286.188 3.773 20 0 CHADLO F[C@H]1CCCC[N@@H+](Cc2cccc(Br)c2)C1 ZINC001203766626 1133366613 /nfs/dbraw/zinc/36/66/13/1133366613.db2.gz CFOAKOAHQQEGQY-ZDUSSCGKSA-N 1 2 286.188 3.773 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cccc(Cl)c2)C[C@H]1F ZINC001203797456 1133370028 /nfs/dbraw/zinc/37/00/28/1133370028.db2.gz MCRMBPIPUVAHKN-OLZOCXBDSA-N 1 2 259.727 3.612 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cccc(Cl)c2)C[C@H]1F ZINC001203797456 1133370031 /nfs/dbraw/zinc/37/00/31/1133370031.db2.gz MCRMBPIPUVAHKN-OLZOCXBDSA-N 1 2 259.727 3.612 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ccc(Br)cc2)C1 ZINC001203909951 1133378457 /nfs/dbraw/zinc/37/84/57/1133378457.db2.gz SCRUYEWIZJFYLM-ZDUSSCGKSA-N 1 2 286.188 3.773 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ccc(Br)cc2)C1 ZINC001203909951 1133378461 /nfs/dbraw/zinc/37/84/61/1133378461.db2.gz SCRUYEWIZJFYLM-ZDUSSCGKSA-N 1 2 286.188 3.773 20 0 CHADLO F[C@@H]1CCCC[N@H+](Cc2ccc(Br)cc2)C1 ZINC001203908981 1133382676 /nfs/dbraw/zinc/38/26/76/1133382676.db2.gz GYXPHAQDZYTFMP-CYBMUJFWSA-N 1 2 286.188 3.773 20 0 CHADLO F[C@@H]1CCCC[N@@H+](Cc2ccc(Br)cc2)C1 ZINC001203908981 1133382680 /nfs/dbraw/zinc/38/26/80/1133382680.db2.gz GYXPHAQDZYTFMP-CYBMUJFWSA-N 1 2 286.188 3.773 20 0 CHADLO CC(C)(C)OC(=O)[C@@H]1CCCC[N@@H+]1Cc1ccc(F)cc1 ZINC001203915746 1133384193 /nfs/dbraw/zinc/38/41/93/1133384193.db2.gz CTCMRSHNKDLJHL-HNNXBMFYSA-N 1 2 293.382 3.522 20 0 CHADLO CC(C)(C)OC(=O)[C@@H]1CCCC[N@H+]1Cc1ccc(F)cc1 ZINC001203915746 1133384198 /nfs/dbraw/zinc/38/41/98/1133384198.db2.gz CTCMRSHNKDLJHL-HNNXBMFYSA-N 1 2 293.382 3.522 20 0 CHADLO Fc1cc2c(cc1F)C[N@H+](Cc1ccsc1)CC2 ZINC001204046414 1133403925 /nfs/dbraw/zinc/40/39/25/1133403925.db2.gz XQKSBWOAECAHEB-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO Fc1cc2c(cc1F)C[N@@H+](Cc1ccsc1)CC2 ZINC001204046414 1133403929 /nfs/dbraw/zinc/40/39/29/1133403929.db2.gz XQKSBWOAECAHEB-UHFFFAOYSA-N 1 2 265.328 3.585 20 0 CHADLO CSc1ccc(C[N@@H+]2CC[C@](C)(F)[C@@H](F)C2)cc1 ZINC001204138345 1133408108 /nfs/dbraw/zinc/40/81/08/1133408108.db2.gz HKHDTTUTQBEOBM-KBPBESRZSA-N 1 2 271.376 3.681 20 0 CHADLO CSc1ccc(C[N@H+]2CC[C@](C)(F)[C@@H](F)C2)cc1 ZINC001204138345 1133408111 /nfs/dbraw/zinc/40/81/11/1133408111.db2.gz HKHDTTUTQBEOBM-KBPBESRZSA-N 1 2 271.376 3.681 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1cc(C)co1 ZINC001204180044 1133415939 /nfs/dbraw/zinc/41/59/39/1133415939.db2.gz FGEYFRMQDYJIJC-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1cc(C)co1 ZINC001204180044 1133415945 /nfs/dbraw/zinc/41/59/45/1133415945.db2.gz FGEYFRMQDYJIJC-UHFFFAOYSA-N 1 2 279.767 3.882 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1cccc(F)c1F)C2 ZINC001204193202 1133418080 /nfs/dbraw/zinc/41/80/80/1133418080.db2.gz IDLRNOSOXHFNON-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1cccc(F)c1F)C2 ZINC001204193202 1133418085 /nfs/dbraw/zinc/41/80/85/1133418085.db2.gz IDLRNOSOXHFNON-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cc(F)ccc2F)C1 ZINC001204214203 1133419621 /nfs/dbraw/zinc/41/96/21/1133419621.db2.gz MXWXNFXONPXMMI-AWEZNQCLSA-N 1 2 257.299 3.679 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cc(F)ccc2F)C1 ZINC001204214203 1133419623 /nfs/dbraw/zinc/41/96/23/1133419623.db2.gz MXWXNFXONPXMMI-AWEZNQCLSA-N 1 2 257.299 3.679 20 0 CHADLO c1cc(C[N@H+](Cc2ccccc2)Cc2ccccn2)co1 ZINC001204240608 1133424700 /nfs/dbraw/zinc/42/47/00/1133424700.db2.gz AMHQFLYLYGNHFK-UHFFFAOYSA-N 1 2 278.355 3.877 20 0 CHADLO c1cc(C[N@@H+](Cc2ccccc2)Cc2ccccn2)co1 ZINC001204240608 1133424702 /nfs/dbraw/zinc/42/47/02/1133424702.db2.gz AMHQFLYLYGNHFK-UHFFFAOYSA-N 1 2 278.355 3.877 20 0 CHADLO CCCCCCOc1ccccc1C[N@@H+]1C[C@@H]2C[C@H]1CO2 ZINC001204293399 1133431211 /nfs/dbraw/zinc/43/12/11/1133431211.db2.gz ATWWWCRYHBGGCD-IRXDYDNUSA-N 1 2 289.419 3.619 20 0 CHADLO CCCCCCOc1ccccc1C[N@H+]1C[C@@H]2C[C@H]1CO2 ZINC001204293399 1133431216 /nfs/dbraw/zinc/43/12/16/1133431216.db2.gz ATWWWCRYHBGGCD-IRXDYDNUSA-N 1 2 289.419 3.619 20 0 CHADLO F[C@H]1C[N@@H+](Cc2ccc3ccccc3n2)CCCC1(F)F ZINC001204499645 1133453808 /nfs/dbraw/zinc/45/38/08/1133453808.db2.gz KCNCMMOECZFDGI-HNNXBMFYSA-N 1 2 294.320 3.804 20 0 CHADLO F[C@H]1C[N@H+](Cc2ccc3ccccc3n2)CCCC1(F)F ZINC001204499645 1133453804 /nfs/dbraw/zinc/45/38/04/1133453804.db2.gz KCNCMMOECZFDGI-HNNXBMFYSA-N 1 2 294.320 3.804 20 0 CHADLO COc1cc(C[N@@H+]2Cc3cccc(C)c3C2)ccc1F ZINC001204556730 1133462882 /nfs/dbraw/zinc/46/28/82/1133462882.db2.gz KWSVYNVSADFMPX-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cc(C[N@H+]2Cc3cccc(C)c3C2)ccc1F ZINC001204556730 1133462885 /nfs/dbraw/zinc/46/28/85/1133462885.db2.gz KWSVYNVSADFMPX-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Cc1[nH+]c[nH]c1CN(C)Cc1cccc(Cl)c1Cl ZINC001204590513 1133464779 /nfs/dbraw/zinc/46/47/79/1133464779.db2.gz RMLAXSLBADGYGE-UHFFFAOYSA-N 1 2 284.190 3.657 20 0 CHADLO COCOc1cc(C)c(-c2ccc3[nH+]c(C)cn3c2)c(C)c1 ZINC001204599469 1133465841 /nfs/dbraw/zinc/46/58/41/1133465841.db2.gz TVXXXVJJVYIBGP-UHFFFAOYSA-N 1 2 296.370 3.909 20 0 CHADLO Cc1c[nH+]c(-c2c(F)ccc(OC(C)C)c2F)cc1N ZINC001204644982 1133471154 /nfs/dbraw/zinc/47/11/54/1133471154.db2.gz VDXKYNYOIIVPTE-UHFFFAOYSA-N 1 2 278.302 3.705 20 0 CHADLO Cn1c(Nc2ccn3cc[nH+]c3c2)cc2ccccc21 ZINC001204966005 1133509399 /nfs/dbraw/zinc/50/93/99/1133509399.db2.gz KTWCAKAYDFFJRD-UHFFFAOYSA-N 1 2 262.316 3.570 20 0 CHADLO CCN(C)c1ccc(Nc2ccn3cc[nH+]c3c2)cc1 ZINC001205005319 1133516100 /nfs/dbraw/zinc/51/61/00/1133516100.db2.gz DQVKUCGWJOAAFG-UHFFFAOYSA-N 1 2 266.348 3.534 20 0 CHADLO COc1cncc(C[N@H+](C)Cc2ccccc2Cl)c1C ZINC001205185179 1133542838 /nfs/dbraw/zinc/54/28/38/1133542838.db2.gz KIWRAOLQMLOZLW-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cncc(C[N@@H+](C)Cc2ccccc2Cl)c1C ZINC001205185179 1133542844 /nfs/dbraw/zinc/54/28/44/1133542844.db2.gz KIWRAOLQMLOZLW-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CC[C@@H]1[C@H](C)CC[N@@H+]1Cc1nc(Br)cs1 ZINC001205489660 1133581096 /nfs/dbraw/zinc/58/10/96/1133581096.db2.gz WQGQFPJJIZXHEC-RKDXNWHRSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1[C@H](C)CC[N@H+]1Cc1nc(Br)cs1 ZINC001205489660 1133581099 /nfs/dbraw/zinc/58/10/99/1133581099.db2.gz WQGQFPJJIZXHEC-RKDXNWHRSA-N 1 2 289.242 3.526 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1cc(C)ccc1F)CC2 ZINC001205655695 1133600207 /nfs/dbraw/zinc/60/02/07/1133600207.db2.gz XOGUWVZMMIAOOP-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1cc(C)ccc1F)CC2 ZINC001205655695 1133600209 /nfs/dbraw/zinc/60/02/09/1133600209.db2.gz XOGUWVZMMIAOOP-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO Cc1cn2cccc(Nc3cnc4[nH]cc(C)c4c3)c2[nH+]1 ZINC001206020187 1133642519 /nfs/dbraw/zinc/64/25/19/1133642519.db2.gz CSPAVDQIDNQCLL-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO CC[C@H](C)[C@@H](C[N@@H+]1CCn2c(cc3ccccc32)C1)OC ZINC001206032114 1133645388 /nfs/dbraw/zinc/64/53/88/1133645388.db2.gz NGMGYMSFQADVMA-KBXCAEBGSA-N 1 2 286.419 3.518 20 0 CHADLO CC[C@H](C)[C@@H](C[N@H+]1CCn2c(cc3ccccc32)C1)OC ZINC001206032114 1133645390 /nfs/dbraw/zinc/64/53/90/1133645390.db2.gz NGMGYMSFQADVMA-KBXCAEBGSA-N 1 2 286.419 3.518 20 0 CHADLO CN(C)c1cc(-c2cc(F)cc(N3CCCC3)c2)cc[nH+]1 ZINC001206113808 1133659419 /nfs/dbraw/zinc/65/94/19/1133659419.db2.gz MTRPDPGLJNDDTJ-UHFFFAOYSA-N 1 2 285.366 3.554 20 0 CHADLO Cn1cnc(C[NH+](Cc2ccccc2)Cc2ccccc2)c1 ZINC001206237453 1133674618 /nfs/dbraw/zinc/67/46/18/1133674618.db2.gz PNGUADPWXXHDBD-UHFFFAOYSA-N 1 2 291.398 3.623 20 0 CHADLO CCOc1cc(Nc2ccc(C)cc2O)cc(C)[nH+]1 ZINC001206262249 1133678634 /nfs/dbraw/zinc/67/86/34/1133678634.db2.gz UHYXMIRRTRYNNZ-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO Cc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)c(O)c1 ZINC001206282497 1133682009 /nfs/dbraw/zinc/68/20/09/1133682009.db2.gz OEFOEERLWBRZLV-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO CC(C)[C@@H]1CCC[C@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC001206377217 1133696428 /nfs/dbraw/zinc/69/64/28/1133696428.db2.gz QIQSOUIGJLTDHR-JKSUJKDBSA-N 1 2 273.424 3.918 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(F)cc(F)c3F)ccc21 ZINC001206405880 1133699476 /nfs/dbraw/zinc/69/94/76/1133699476.db2.gz LJXMWJWZAWJWMX-UHFFFAOYSA-N 1 2 277.249 3.734 20 0 CHADLO Cc1cn2c(cccc2Nc2cccnc2OC(C)(C)C)[nH+]1 ZINC001206481586 1133707919 /nfs/dbraw/zinc/70/79/19/1133707919.db2.gz YKBGXKHFJFMRQX-UHFFFAOYSA-N 1 2 296.374 3.959 20 0 CHADLO COc1cnccc1C[N@H+](C)[C@H](C)c1ccccc1Cl ZINC001206487765 1133709113 /nfs/dbraw/zinc/70/91/13/1133709113.db2.gz RSFFLJIJCKKLDB-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cnccc1C[N@@H+](C)[C@H](C)c1ccccc1Cl ZINC001206487765 1133709119 /nfs/dbraw/zinc/70/91/19/1133709119.db2.gz RSFFLJIJCKKLDB-GFCCVEGCSA-N 1 2 290.794 3.937 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CC(C)(C)c2ccc(F)cc21 ZINC001206517297 1133713027 /nfs/dbraw/zinc/71/30/27/1133713027.db2.gz QIQHMCJQVMXITK-UHFFFAOYSA-N 1 2 287.382 3.901 20 0 CHADLO c1ccc2c(c1)CC[C@@H](Nc1c[nH+]cc3c1CCCC3)C2 ZINC001206637067 1133730509 /nfs/dbraw/zinc/73/05/09/1133730509.db2.gz VPJJDHBXEHAXRD-QGZVFWFLSA-N 1 2 278.399 3.930 20 0 CHADLO CCOc1ccc(C[NH+]2CC(OC(C)(C)CC)C2)c(C)c1 ZINC001206691616 1133733735 /nfs/dbraw/zinc/73/37/35/1133733735.db2.gz LZKQRTRZZAURRB-UHFFFAOYSA-N 1 2 291.435 3.783 20 0 CHADLO c1cn2ccc(-c3cccc(OCC4CC4)c3)cc2[nH+]1 ZINC001206763570 1133744622 /nfs/dbraw/zinc/74/46/22/1133744622.db2.gz HPCZZMQVDFRBGJ-UHFFFAOYSA-N 1 2 264.328 3.790 20 0 CHADLO Cc1cccc([C@H]2CCCN2Cc2[nH+]cc3ccccn32)c1 ZINC001207049261 1133763222 /nfs/dbraw/zinc/76/32/22/1133763222.db2.gz RHIHHKMPELWUOJ-GOSISDBHSA-N 1 2 291.398 3.980 20 0 CHADLO C[N@H+](Cc1cccc2cccnc21)Cc1ncc(F)cc1F ZINC001207096408 1133767118 /nfs/dbraw/zinc/76/71/18/1133767118.db2.gz FIYYTWAILOIYHV-UHFFFAOYSA-N 1 2 299.324 3.540 20 0 CHADLO C[N@@H+](Cc1cccc2cccnc21)Cc1ncc(F)cc1F ZINC001207096408 1133767123 /nfs/dbraw/zinc/76/71/23/1133767123.db2.gz FIYYTWAILOIYHV-UHFFFAOYSA-N 1 2 299.324 3.540 20 0 CHADLO Fc1cccc(OC(F)F)c1C[NH+]1CC2(C1)CCCC2 ZINC001207111634 1133769544 /nfs/dbraw/zinc/76/95/44/1133769544.db2.gz JZRMEBGGWSWQFM-UHFFFAOYSA-N 1 2 285.309 3.803 20 0 CHADLO Cc1noc(C)c1C[N@@H+]1CCC[C@H]1c1cc(F)ccc1F ZINC001207137525 1133771959 /nfs/dbraw/zinc/77/19/59/1133771959.db2.gz IQDIJERWOXLNGJ-INIZCTEOSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1noc(C)c1C[N@H+]1CCC[C@H]1c1cc(F)ccc1F ZINC001207137525 1133771964 /nfs/dbraw/zinc/77/19/64/1133771964.db2.gz IQDIJERWOXLNGJ-INIZCTEOSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[N@H+](C)Cc1ccns1 ZINC001207166908 1133773782 /nfs/dbraw/zinc/77/37/82/1133773782.db2.gz GDBVFWGCZYQTFA-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO Cc1oc(C(C)(C)C)cc1C[N@@H+](C)Cc1ccns1 ZINC001207166908 1133773787 /nfs/dbraw/zinc/77/37/87/1133773787.db2.gz GDBVFWGCZYQTFA-UHFFFAOYSA-N 1 2 278.421 3.974 20 0 CHADLO FC1(C2CC2)C[NH+](C[C@H]2C[C@H]2c2ccc(Cl)cc2)C1 ZINC001207180363 1133775419 /nfs/dbraw/zinc/77/54/19/1133775419.db2.gz HRRJHZWFYJDIOP-DOMZBBRYSA-N 1 2 279.786 3.877 20 0 CHADLO CS[C@@H]1CCC[C@H](Nc2c[nH+]c(C)c(C)c2)C1 ZINC001207326491 1133792098 /nfs/dbraw/zinc/79/20/98/1133792098.db2.gz PFKVFFQKKXFQRK-GXTWGEPZSA-N 1 2 250.411 3.785 20 0 CHADLO C[C@H](C[N@@H+]1Cc2cnc(Cl)cc2C1)c1ccccc1 ZINC001207914687 1133863804 /nfs/dbraw/zinc/86/38/04/1133863804.db2.gz XIMOKJXBCMXTBK-GFCCVEGCSA-N 1 2 272.779 3.854 20 0 CHADLO C[C@H](C[N@H+]1Cc2cnc(Cl)cc2C1)c1ccccc1 ZINC001207914687 1133863810 /nfs/dbraw/zinc/86/38/10/1133863810.db2.gz XIMOKJXBCMXTBK-GFCCVEGCSA-N 1 2 272.779 3.854 20 0 CHADLO CC(C)c1ccc(C[C@H](C)C[N@@H+]2CCCC(=O)C2)cc1 ZINC001207937049 1133865433 /nfs/dbraw/zinc/86/54/33/1133865433.db2.gz NHWZBJYJBRWNOG-HNNXBMFYSA-N 1 2 273.420 3.654 20 0 CHADLO CC(C)c1ccc(C[C@H](C)C[N@H+]2CCCC(=O)C2)cc1 ZINC001207937049 1133865440 /nfs/dbraw/zinc/86/54/40/1133865440.db2.gz NHWZBJYJBRWNOG-HNNXBMFYSA-N 1 2 273.420 3.654 20 0 CHADLO CC/C=C\CCCCC[N@@H+]1CCC[C@@H](F)C(=O)C1 ZINC001208189079 1133902709 /nfs/dbraw/zinc/90/27/09/1133902709.db2.gz QVERVGQOEMAIBB-VQTKUKTRSA-N 1 2 255.377 3.516 20 0 CHADLO CC/C=C\CCCCC[N@H+]1CCC[C@@H](F)C(=O)C1 ZINC001208189079 1133902712 /nfs/dbraw/zinc/90/27/12/1133902712.db2.gz QVERVGQOEMAIBB-VQTKUKTRSA-N 1 2 255.377 3.516 20 0 CHADLO C[C@@H]1CC[N@H+](CCCc2ccc(F)cc2)CC1(F)F ZINC001208769329 1133940893 /nfs/dbraw/zinc/94/08/93/1133940893.db2.gz VEDXPLWFDKYJJZ-GFCCVEGCSA-N 1 2 271.326 3.735 20 0 CHADLO C[C@@H]1CC[N@@H+](CCCc2ccc(F)cc2)CC1(F)F ZINC001208769329 1133940896 /nfs/dbraw/zinc/94/08/96/1133940896.db2.gz VEDXPLWFDKYJJZ-GFCCVEGCSA-N 1 2 271.326 3.735 20 0 CHADLO Cc1cc[nH+]c(NC2=CCN(c3ccccc3)CC2)c1 ZINC001209001454 1133969117 /nfs/dbraw/zinc/96/91/17/1133969117.db2.gz OQYDYMSIHVIWKV-UHFFFAOYSA-N 1 2 265.360 3.596 20 0 CHADLO CC(C)OC1C[NH+](CCc2ccc(Cl)cc2Cl)C1 ZINC001209083065 1133974005 /nfs/dbraw/zinc/97/40/05/1133974005.db2.gz OSGZSSZRHXMZLY-UHFFFAOYSA-N 1 2 288.218 3.645 20 0 CHADLO CCc1cncc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001209166876 1133984356 /nfs/dbraw/zinc/98/43/56/1133984356.db2.gz SQYCAYACVLFYFM-UHFFFAOYSA-N 1 2 282.391 3.773 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](CCOc1ccccc1)C2 ZINC001209301050 1134002838 /nfs/dbraw/zinc/00/28/38/1134002838.db2.gz KCMGTJRFWQYGNV-UHFFFAOYSA-N 1 2 273.763 3.735 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](CCOc1ccccc1)C2 ZINC001209301050 1134002843 /nfs/dbraw/zinc/00/28/43/1134002843.db2.gz KCMGTJRFWQYGNV-UHFFFAOYSA-N 1 2 273.763 3.735 20 0 CHADLO Cc1ccc2[nH]cc(C[N@@H+]3Cc4cnc(C)cc4C3)c2c1 ZINC001209492850 1134031061 /nfs/dbraw/zinc/03/10/61/1134031061.db2.gz BSJVQFWGGUTOAW-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO Cc1ccc2[nH]cc(C[N@H+]3Cc4cnc(C)cc4C3)c2c1 ZINC001209492850 1134031065 /nfs/dbraw/zinc/03/10/65/1134031065.db2.gz BSJVQFWGGUTOAW-UHFFFAOYSA-N 1 2 277.371 3.696 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1c[nH]nc1-c1ccccc1)C2 ZINC001209763570 1134060091 /nfs/dbraw/zinc/06/00/91/1134060091.db2.gz HIVCQPLSKKUKQK-UHFFFAOYSA-N 1 2 293.345 3.732 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1c[nH]nc1-c1ccccc1)C2 ZINC001209763570 1134060099 /nfs/dbraw/zinc/06/00/99/1134060099.db2.gz HIVCQPLSKKUKQK-UHFFFAOYSA-N 1 2 293.345 3.732 20 0 CHADLO Clc1ccc([C@H]2CCC[N@@H+]2Cc2cnccn2)s1 ZINC001209785276 1134065157 /nfs/dbraw/zinc/06/51/57/1134065157.db2.gz IUGKJRQVCIFJFT-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@H]2CCC[N@H+]2Cc2cnccn2)s1 ZINC001209785276 1134065165 /nfs/dbraw/zinc/06/51/65/1134065165.db2.gz IUGKJRQVCIFJFT-LLVKDONJSA-N 1 2 279.796 3.529 20 0 CHADLO c1[nH]c2ccc(Nc3nc(-c4ccncc4)cs3)cc2[nH+]1 ZINC001209841062 1134079751 /nfs/dbraw/zinc/07/97/51/1134079751.db2.gz CDZWTWBOKSLXMK-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO COc1cc(Cl)ccc1Nc1cccc2[nH+]ccn21 ZINC001209904993 1134098861 /nfs/dbraw/zinc/09/88/61/1134098861.db2.gz UDIHXKOLOOCQNS-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO COc1cc(Cl)ccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001209906958 1134100339 /nfs/dbraw/zinc/10/03/39/1134100339.db2.gz INBWFTXDLWXMGT-UHFFFAOYSA-N 1 2 287.750 3.979 20 0 CHADLO Cc1cc([N@H+]2c3ccc(N)cc3CC[C@@H]2C)ccc1O ZINC001209976821 1134114498 /nfs/dbraw/zinc/11/44/98/1134114498.db2.gz VNXQYNREUBCGNR-LBPRGKRZSA-N 1 2 268.360 3.756 20 0 CHADLO Cc1cc([N@@H+]2c3ccc(N)cc3CC[C@@H]2C)ccc1O ZINC001209976821 1134114502 /nfs/dbraw/zinc/11/45/02/1134114502.db2.gz VNXQYNREUBCGNR-LBPRGKRZSA-N 1 2 268.360 3.756 20 0 CHADLO CN(C)c1ccccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001209990761 1134117867 /nfs/dbraw/zinc/11/78/67/1134117867.db2.gz DMDILPIZEAJCOE-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO Cc1cc(Nc2cc(F)c(F)cc2N)ccc1[NH+](C)C ZINC001210041652 1134131104 /nfs/dbraw/zinc/13/11/04/1134131104.db2.gz QOFPUGBELJPATE-UHFFFAOYSA-N 1 2 277.318 3.665 20 0 CHADLO Cc1cc(O)cc(Nc2ccc([NH+](C)C)c(C)c2)c1 ZINC001210042908 1134132183 /nfs/dbraw/zinc/13/21/83/1134132183.db2.gz FRSSAIASCXHZLD-UHFFFAOYSA-N 1 2 256.349 3.819 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)CCO3)ccc1[NH+](C)C ZINC001210043704 1134133017 /nfs/dbraw/zinc/13/30/17/1134133017.db2.gz QWHCLZGWDGSQCO-UHFFFAOYSA-N 1 2 268.360 3.740 20 0 CHADLO Cc1cc(Nc2ccccc2C2OCCO2)ccc1[NH+](C)C ZINC001210044871 1134133438 /nfs/dbraw/zinc/13/34/38/1134133438.db2.gz NVLQNDWDSDRGTO-UHFFFAOYSA-N 1 2 298.386 3.850 20 0 CHADLO CCOC(=O)c1scnc1Nc1ccc2c[nH+]ccc2c1 ZINC001210043506 1134133503 /nfs/dbraw/zinc/13/35/03/1134133503.db2.gz FWGNPPKWBVNQGJ-UHFFFAOYSA-N 1 2 299.355 3.612 20 0 CHADLO c1cc2cc(Nc3nc4c(s3)CCC4)ccc2c[nH+]1 ZINC001210044928 1134133631 /nfs/dbraw/zinc/13/36/31/1134133631.db2.gz RHAAXYQKZTXZAO-UHFFFAOYSA-N 1 2 267.357 3.924 20 0 CHADLO Nc1cc(Cl)cnc1Nc1ccc2c[nH+]ccc2c1 ZINC001210045712 1134134056 /nfs/dbraw/zinc/13/40/56/1134134056.db2.gz NOOARAIMJDNKPJ-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO COc1cc2ccn(-c3ccc([NH+](C)C)c(C)c3)c2c(N)c1 ZINC001210045685 1134134470 /nfs/dbraw/zinc/13/44/70/1134134470.db2.gz WIOLFCXOVBWFLO-UHFFFAOYSA-N 1 2 295.386 3.596 20 0 CHADLO c1ccc2nc(Nc3ccc4c[nH+]ccc4c3)cnc2c1 ZINC001210046798 1134134572 /nfs/dbraw/zinc/13/45/72/1134134572.db2.gz RWBNSFQCSBXUKR-UHFFFAOYSA-N 1 2 272.311 3.922 20 0 CHADLO Cc1cc(C[C@@H](C)Nc2ccc3ccc[nH+]c3c2)on1 ZINC001210053799 1134136747 /nfs/dbraw/zinc/13/67/47/1134136747.db2.gz NBSGHPWDXOSGFS-LLVKDONJSA-N 1 2 267.332 3.574 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(F)ccc2CO)cc1 ZINC001210186288 1134161306 /nfs/dbraw/zinc/16/13/06/1134161306.db2.gz ALXHYOFOPCWMGQ-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(F)ccc2CO)cc1 ZINC001210186288 1134161307 /nfs/dbraw/zinc/16/13/07/1134161307.db2.gz ALXHYOFOPCWMGQ-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(C)c2O)cc1 ZINC001210194134 1134163078 /nfs/dbraw/zinc/16/30/78/1134163078.db2.gz KVRCSSPGEBXUEK-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(C)c2O)cc1 ZINC001210194134 1134163080 /nfs/dbraw/zinc/16/30/80/1134163080.db2.gz KVRCSSPGEBXUEK-UHFFFAOYSA-N 1 2 256.349 3.900 20 0 CHADLO c1cc2cccc(Nc3ccc[nH+]c3N3CCCC3)c2[nH]1 ZINC001210211017 1134166973 /nfs/dbraw/zinc/16/69/73/1134166973.db2.gz NTXDKOYINUTXKD-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO Cc1nc(Cl)ncc1Nc1cccc2cc[nH+]cc21 ZINC001210223980 1134171084 /nfs/dbraw/zinc/17/10/84/1134171084.db2.gz MLCMHRHUPUZHRH-UHFFFAOYSA-N 1 2 270.723 3.730 20 0 CHADLO Oc1c(Cl)nccc1Nc1cccc2cc[nH+]cc21 ZINC001210225006 1134172939 /nfs/dbraw/zinc/17/29/39/1134172939.db2.gz AGTJREIFUXKBJF-UHFFFAOYSA-N 1 2 271.707 3.732 20 0 CHADLO COc1cc(F)ncc1Nc1cccc2cc[nH+]cc21 ZINC001210225520 1134172976 /nfs/dbraw/zinc/17/29/76/1134172976.db2.gz IDPXBJXABXNXIN-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cccnc2C(F)(F)F)c1 ZINC001210233077 1134174886 /nfs/dbraw/zinc/17/48/86/1134174886.db2.gz PRXYCSAMKRRPBG-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Oc1c(F)cc(F)cc1Nc1cccc2cc[nH+]cc21 ZINC001210233113 1134175110 /nfs/dbraw/zinc/17/51/10/1134175110.db2.gz RXJXUTNEWMPTOG-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO Oc1c(Nc2cccc3cc[nH+]cc32)ccc(F)c1F ZINC001210232793 1134175151 /nfs/dbraw/zinc/17/51/51/1134175151.db2.gz VPESMCRKOORKMK-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO Cc1ccc(Nc2cc[nH+]c3ccc(Cl)cc23)cc1CO ZINC001210244449 1134177551 /nfs/dbraw/zinc/17/75/51/1134177551.db2.gz AXTDVQUAKAADDZ-UHFFFAOYSA-N 1 2 298.773 3.854 20 0 CHADLO Cc1ccc2nc(Nc3c[nH+]c(C)c(N)c3)ccc2c1 ZINC001210286081 1134185500 /nfs/dbraw/zinc/18/55/00/1134185500.db2.gz UWAZETPZHXHLGR-UHFFFAOYSA-N 1 2 264.332 3.572 20 0 CHADLO Cc1[nH+]cc(Nc2cnc3ccccc3c2Cl)cc1N ZINC001210288660 1134186013 /nfs/dbraw/zinc/18/60/13/1134186013.db2.gz MTPTVGGWQQTFSP-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(C(F)(F)F)cc2F)cc1N ZINC001210288788 1134186442 /nfs/dbraw/zinc/18/64/42/1134186442.db2.gz VGQFRUUFCZQFPV-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(OCC(F)(F)F)cc2)cc1N ZINC001210289501 1134186541 /nfs/dbraw/zinc/18/65/41/1134186541.db2.gz OIODGTPMCMEKJJ-UHFFFAOYSA-N 1 2 297.280 3.657 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(-c3ccc(N)cc3)cc2)cc1N ZINC001210288172 1134186564 /nfs/dbraw/zinc/18/65/64/1134186564.db2.gz MPTBKSOGYJJXMO-UHFFFAOYSA-N 1 2 290.370 3.965 20 0 CHADLO CC(=O)c1ccc(Nc2c[nH+]c(C)c(N)c2)cc1Cl ZINC001210290714 1134186736 /nfs/dbraw/zinc/18/67/36/1134186736.db2.gz FBYZGOCHYIKJBC-UHFFFAOYSA-N 1 2 275.739 3.572 20 0 CHADLO Cc1[nH+]cc(Nc2cncc3cc(Cl)ccc32)cc1N ZINC001210288505 1134187002 /nfs/dbraw/zinc/18/70/02/1134187002.db2.gz KPWPNRISEBZFRY-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2OCC(F)(F)F)cc1N ZINC001210289211 1134187021 /nfs/dbraw/zinc/18/70/21/1134187021.db2.gz BUZQSFKKMSXJCN-UHFFFAOYSA-N 1 2 297.280 3.657 20 0 CHADLO Cc1[nH+]cc(Nc2cc(F)c(OC(C)C)c(F)c2)cc1N ZINC001210291347 1134187816 /nfs/dbraw/zinc/18/78/16/1134187816.db2.gz KUNFDMGJXAODHZ-UHFFFAOYSA-N 1 2 293.317 3.781 20 0 CHADLO CC(C)n1cc(Nc2ccc[nH+]c2N2CCCCC2)cn1 ZINC001210318697 1134191424 /nfs/dbraw/zinc/19/14/24/1134191424.db2.gz MRHJJDNXEMNOKR-UHFFFAOYSA-N 1 2 285.395 3.593 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cncc(N2CCCC2)c1 ZINC001210609585 1134260289 /nfs/dbraw/zinc/26/02/89/1134260289.db2.gz DXIWRHSNJSFHNL-UHFFFAOYSA-N 1 2 282.391 3.691 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(C(C)(C)O)cc1 ZINC001210618828 1134262322 /nfs/dbraw/zinc/26/23/22/1134262322.db2.gz LDEMZTCRRXZIIH-UHFFFAOYSA-N 1 2 270.376 3.923 20 0 CHADLO C[C@H](O)c1ccc(Nc2cccc(C3CC3)[nH+]2)cc1 ZINC001210647107 1134266885 /nfs/dbraw/zinc/26/68/85/1134266885.db2.gz YDXYANQRHONBDS-NSHDSACASA-N 1 2 254.333 3.756 20 0 CHADLO CCc1cc(OC)ccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001210713730 1134279815 /nfs/dbraw/zinc/27/98/15/1134279815.db2.gz WAEMRXLWCXQDQO-UHFFFAOYSA-N 1 2 297.402 3.996 20 0 CHADLO CCC(=O)c1ccc(Nc2cc(C)[nH+]c(OC)c2)cc1 ZINC001210780479 1134295251 /nfs/dbraw/zinc/29/52/51/1134295251.db2.gz LEHWBEGIDFJZTQ-UHFFFAOYSA-N 1 2 270.332 3.735 20 0 CHADLO COc1cc(Nc2cccc3nc(C)ccc32)cc(C)[nH+]1 ZINC001210780423 1134295285 /nfs/dbraw/zinc/29/52/85/1134295285.db2.gz JJQCJJOXGBYUAP-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cc(Nc2cccc3cccc(N)c32)cc(C)[nH+]1 ZINC001210780598 1134295934 /nfs/dbraw/zinc/29/59/34/1134295934.db2.gz FUUMNBMTPLWVSU-UHFFFAOYSA-N 1 2 279.343 3.878 20 0 CHADLO c1cn2c(cccc2Nc2ccc3ccccc3n2)[nH+]1 ZINC001210812532 1134301878 /nfs/dbraw/zinc/30/18/78/1134301878.db2.gz FWVWPBSWQCHOEK-UHFFFAOYSA-N 1 2 260.300 3.626 20 0 CHADLO CCN(C)c1ccc(Nc2cc(F)cc(F)c2OC)c[nH+]1 ZINC001210867476 1134319377 /nfs/dbraw/zinc/31/93/77/1134319377.db2.gz BLVDXJBJYBVPOS-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc3c(c2)C(=O)CCC3)c1 ZINC001210932259 1134330557 /nfs/dbraw/zinc/33/05/57/1134330557.db2.gz UDLWJNSRHJXPJT-UHFFFAOYSA-N 1 2 252.317 3.653 20 0 CHADLO Cc1ccc(Nc2cccc(-c3cnco3)c2)[nH+]c1 ZINC001211013190 1134349203 /nfs/dbraw/zinc/34/92/03/1134349203.db2.gz AAVGLBBDMWXOCG-UHFFFAOYSA-N 1 2 251.289 3.789 20 0 CHADLO CSc1cncc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001211067369 1134361832 /nfs/dbraw/zinc/36/18/32/1134361832.db2.gz HQHOZIIHGWEMNJ-UHFFFAOYSA-N 1 2 286.404 3.542 20 0 CHADLO CCOc1cc(Nc2cnccc2Cl)cc(C)[nH+]1 ZINC001211209997 1134391568 /nfs/dbraw/zinc/39/15/68/1134391568.db2.gz PWQBXNHVLHDXTJ-UHFFFAOYSA-N 1 2 263.728 3.581 20 0 CHADLO Cc1ccc(OC(C)C)c(Nc2[nH+]cc(C)cc2N)c1 ZINC001211277042 1134400907 /nfs/dbraw/zinc/40/09/07/1134400907.db2.gz ALDQXFOHJMENFV-UHFFFAOYSA-N 1 2 271.364 3.811 20 0 CHADLO c1c2ccccc2[nH]c1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001211307567 1134407109 /nfs/dbraw/zinc/40/71/09/1134407109.db2.gz YTMACXPWZKRCSO-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4ncoc4c3)cc2)[nH]1 ZINC001213087891 1134424166 /nfs/dbraw/zinc/42/41/66/1134424166.db2.gz GDYCCHKDPXHWDL-UHFFFAOYSA-N 1 2 276.299 3.962 20 0 CHADLO CN(C)c1cccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213090748 1134425370 /nfs/dbraw/zinc/42/53/70/1134425370.db2.gz DPEBZFKLNBIRFJ-UHFFFAOYSA-N 1 2 278.359 3.886 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3cccc4snnc43)cc2)[nH]1 ZINC001213091011 1134425483 /nfs/dbraw/zinc/42/54/83/1134425483.db2.gz QUJBHGOPQBRTKK-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO Oc1c(F)cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1F ZINC001213088872 1134425697 /nfs/dbraw/zinc/42/56/97/1134425697.db2.gz IDWVEOMWFGBBRK-UHFFFAOYSA-N 1 2 287.269 3.804 20 0 CHADLO O[C@@H]1CCc2ccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cc21 ZINC001213091780 1134425971 /nfs/dbraw/zinc/42/59/71/1134425971.db2.gz LBDHSPQUHWEGJB-QGZVFWFLSA-N 1 2 291.354 3.800 20 0 CHADLO Cc1cc(F)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1O ZINC001213089723 1134426004 /nfs/dbraw/zinc/42/60/04/1134426004.db2.gz ASACDORSQKRWRG-UHFFFAOYSA-N 1 2 283.306 3.973 20 0 CHADLO Cc1cc(C)c(Nc2c(C)nc(Cl)nc2Cl)c[nH+]1 ZINC001213505442 1134457665 /nfs/dbraw/zinc/45/76/65/1134457665.db2.gz GLTBKHZSNKLNOX-UHFFFAOYSA-N 1 2 283.162 3.847 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1c(N)ccnc1Cl ZINC001213491764 1134453613 /nfs/dbraw/zinc/45/36/13/1134453613.db2.gz PEYZJLJQXMRKDO-UHFFFAOYSA-N 1 2 274.755 3.642 20 0 CHADLO COc1ccc(C)nc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213495125 1134454166 /nfs/dbraw/zinc/45/41/66/1134454166.db2.gz RIRCDKULADVRHR-UHFFFAOYSA-N 1 2 269.348 3.723 20 0 CHADLO CCOC(=O)c1cccc(Nc2cc(C3CC3)c[nH+]c2C)n1 ZINC001213494618 1134454278 /nfs/dbraw/zinc/45/42/78/1134454278.db2.gz CXCWEUMKLOGLFD-UHFFFAOYSA-N 1 2 297.358 3.583 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1nc(Cl)ccc1N ZINC001213491211 1134454293 /nfs/dbraw/zinc/45/42/93/1134454293.db2.gz BPXQBSSOWQPXPV-UHFFFAOYSA-N 1 2 274.755 3.642 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccnn1C1CCC1 ZINC001213497118 1134454971 /nfs/dbraw/zinc/45/49/71/1134454971.db2.gz JKIXSZQSDTZGDK-UHFFFAOYSA-N 1 2 268.364 3.933 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(F)ncc1F ZINC001213496893 1134455032 /nfs/dbraw/zinc/45/50/32/1134455032.db2.gz CNMJWARYJXSTKZ-UHFFFAOYSA-N 1 2 261.275 3.684 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(CO)c1F ZINC001213499525 1134455426 /nfs/dbraw/zinc/45/54/26/1134455426.db2.gz MYXOUFPCHSELAH-UHFFFAOYSA-N 1 2 272.323 3.642 20 0 CHADLO COCOc1cccc(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213500849 1134456248 /nfs/dbraw/zinc/45/62/48/1134456248.db2.gz ADUBKMUQWIXQBR-UHFFFAOYSA-N 1 2 284.359 3.994 20 0 CHADLO Cc1cc(C)c(Nc2nc(C(C)(C)C)ns2)c[nH+]1 ZINC001213503417 1134456369 /nfs/dbraw/zinc/45/63/69/1134456369.db2.gz FARIIGUYWGVMIM-UHFFFAOYSA-N 1 2 262.382 3.591 20 0 CHADLO CNC(=O)c1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1F ZINC001213501211 1134456445 /nfs/dbraw/zinc/45/64/45/1134456445.db2.gz MUTVZUGEHMYNFT-UHFFFAOYSA-N 1 2 299.349 3.510 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc(NC(N)=O)c1 ZINC001213501092 1134456579 /nfs/dbraw/zinc/45/65/79/1134456579.db2.gz HPDLOTLWQYRLAV-UHFFFAOYSA-N 1 2 282.347 3.502 20 0 CHADLO COCCOc1ccc(F)cc1Nc1c[nH+]c(C)cc1C ZINC001213513339 1134457759 /nfs/dbraw/zinc/45/77/59/1134457759.db2.gz DFXJMAZDDNYEFN-UHFFFAOYSA-N 1 2 290.338 3.606 20 0 CHADLO Cc1cc(C)c(Nc2cc(Br)ccc2O)c[nH+]1 ZINC001213513467 1134457821 /nfs/dbraw/zinc/45/78/21/1134457821.db2.gz MCRJOZFOSOBNAV-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1cc(C)c(Nc2c[nH]c(=O)c3ccccc23)c[nH+]1 ZINC001213508694 1134458119 /nfs/dbraw/zinc/45/81/19/1134458119.db2.gz ZVUKZMLXXXGLFO-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1cc(C)c(Nc2cnc3c(C4CCC4)cnn3c2)c[nH+]1 ZINC001213511000 1134458123 /nfs/dbraw/zinc/45/81/23/1134458123.db2.gz QQBZTNJDXIHDMW-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1cc(C)c(Nc2cnc3cc(C(C)(C)C)nn3c2)c[nH+]1 ZINC001213511163 1134458149 /nfs/dbraw/zinc/45/81/49/1134458149.db2.gz ZUORXBAKHACFOQ-UHFFFAOYSA-N 1 2 295.390 3.782 20 0 CHADLO COC(=O)/C=C/c1cccc(Nc2c[nH+]c(C)cc2C)c1 ZINC001213520488 1134459135 /nfs/dbraw/zinc/45/91/35/1134459135.db2.gz BVWOWIZACGHCAJ-BQYQJAHWSA-N 1 2 282.343 3.628 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1c[nH+]c(C)cc1C ZINC001213520706 1134459304 /nfs/dbraw/zinc/45/93/04/1134459304.db2.gz GEGHSOKIJXSHID-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO Cc1cc2c(ccc(N)c2F)n1-c1c[nH+]c(C)cc1C ZINC001213518382 1134459389 /nfs/dbraw/zinc/45/93/89/1134459389.db2.gz LHTUNDMCSSYFQB-UHFFFAOYSA-N 1 2 269.323 3.672 20 0 CHADLO Cc1cc(C)c(Nc2cccc(Cn3cccn3)c2)c[nH+]1 ZINC001213513583 1134459427 /nfs/dbraw/zinc/45/94/27/1134459427.db2.gz XEWBTVLYAONIMW-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO COC(=O)c1c(F)ccc(Nc2c[nH+]c(C)cc2C)c1F ZINC001213518634 1134459482 /nfs/dbraw/zinc/45/94/82/1134459482.db2.gz YYHFDFRFYSBRCN-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO Cc1c[nH+]cc(Nc2cnnc(Cl)c2Cl)c1C ZINC001213527527 1134460080 /nfs/dbraw/zinc/46/00/80/1134460080.db2.gz QVVUUTHLOZCSCU-UHFFFAOYSA-N 1 2 269.135 3.539 20 0 CHADLO Cc1c[nH+]cc(Nc2ccnn2-c2cccc(F)c2)c1C ZINC001213527684 1134460123 /nfs/dbraw/zinc/46/01/23/1134460123.db2.gz YKIPCQITVNWNKQ-UHFFFAOYSA-N 1 2 282.322 3.767 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc(Br)cc2C)c1C ZINC001213524697 1134460220 /nfs/dbraw/zinc/46/02/20/1134460220.db2.gz DQMQEFHFQLBHII-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COc1ccc2ncc(Nc3c[nH+]cc(C)c3C)cc2c1 ZINC001213525480 1134460315 /nfs/dbraw/zinc/46/03/15/1134460315.db2.gz YYCLNNHCRDNHQH-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cccc2ncc(Nc3c[nH+]cc(C)c3C)cc21 ZINC001213527565 1134460332 /nfs/dbraw/zinc/46/03/32/1134460332.db2.gz SXBMFLKRIQYLPC-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO CCOC(=O)CCc1ccc(Nc2c[nH+]cc(C)c2C)cc1 ZINC001213533978 1134461579 /nfs/dbraw/zinc/46/15/79/1134461579.db2.gz GIPADLOTDFBCKI-UHFFFAOYSA-N 1 2 298.386 3.938 20 0 CHADLO COc1ccc(F)c(Nc2c[nH+]cc(C)c2C)c1F ZINC001213532956 1134461609 /nfs/dbraw/zinc/46/16/09/1134461609.db2.gz XWYKARGNFYHHBN-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(ccn3C)c2)c1C ZINC001213531029 1134461948 /nfs/dbraw/zinc/46/19/48/1134461948.db2.gz SFUGZSWDCKXVOW-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO COc1ccc(C(C)=O)c(Nc2c[nH+]cc(C)c2C)c1 ZINC001213534155 1134462730 /nfs/dbraw/zinc/46/27/30/1134462730.db2.gz PCTODRUCHPWJEC-UHFFFAOYSA-N 1 2 270.332 3.653 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(O)cc2Br)c1C ZINC001213534119 1134462735 /nfs/dbraw/zinc/46/27/35/1134462735.db2.gz NJDZUEGBHUOKKZ-UHFFFAOYSA-N 1 2 293.164 3.910 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCOCC3)cc2C)c(C)[nH+]1 ZINC001213554654 1134463997 /nfs/dbraw/zinc/46/39/97/1134463997.db2.gz YQALFCFWWOBNHR-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO Nc1cc(Cl)ccc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001213651005 1134473685 /nfs/dbraw/zinc/47/36/85/1134473685.db2.gz VJPKWPBLXPLLRW-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO COC(=O)Cc1cccc(Nc2cccc(C(C)C)[nH+]2)c1 ZINC001213707424 1134478149 /nfs/dbraw/zinc/47/81/49/1134478149.db2.gz DXNQBQSAGLBOBU-UHFFFAOYSA-N 1 2 284.359 3.664 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(CC(=O)OC)c2)cc1 ZINC001213721896 1134478805 /nfs/dbraw/zinc/47/88/05/1134478805.db2.gz MPCYPLJKMQEGKP-UHFFFAOYSA-N 1 2 298.386 3.602 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(CC(=O)OC)c2)cc1 ZINC001213721896 1134478808 /nfs/dbraw/zinc/47/88/08/1134478808.db2.gz MPCYPLJKMQEGKP-UHFFFAOYSA-N 1 2 298.386 3.602 20 0 CHADLO CSc1cc(Nc2ccc3c(C)nn(C)c3c2)cc[nH+]1 ZINC001213757133 1134480870 /nfs/dbraw/zinc/48/08/70/1134480870.db2.gz RZXVSENGTPIWMJ-UHFFFAOYSA-N 1 2 284.388 3.742 20 0 CHADLO COc1cccc(C2CC2)c1Nc1cccn2cc[nH+]c12 ZINC001213813336 1134487064 /nfs/dbraw/zinc/48/70/64/1134487064.db2.gz ARTIETJECCKFKA-UHFFFAOYSA-N 1 2 279.343 3.964 20 0 CHADLO COc1cc(F)c(Nc2ccc(N(C)C)[nH+]c2)cc1Cl ZINC001214058077 1134507008 /nfs/dbraw/zinc/50/70/08/1134507008.db2.gz CWNZNIDRDNUUBZ-UHFFFAOYSA-N 1 2 295.745 3.692 20 0 CHADLO Cc1ccc(Nc2cccc(Cn3cc[nH+]c3)c2)c(N)c1 ZINC001214186836 1134518299 /nfs/dbraw/zinc/51/82/99/1134518299.db2.gz HJBMXXNWJRIXMB-UHFFFAOYSA-N 1 2 278.359 3.566 20 0 CHADLO CCOc1cc(-n2c(C)[nH+]c3ccc(N)cc32)ccc1C ZINC001214325804 1134528666 /nfs/dbraw/zinc/52/86/66/1134528666.db2.gz SGRKEENOKBTAGN-UHFFFAOYSA-N 1 2 281.359 3.623 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C)c(OC(C)C)c1 ZINC001214332174 1134529575 /nfs/dbraw/zinc/52/95/75/1134529575.db2.gz KZVJGQRMSRWNHA-UHFFFAOYSA-N 1 2 272.348 3.929 20 0 CHADLO COCOc1ccc(C)c(Nc2cc[nH+]c(SC)c2)c1 ZINC001214363872 1134533535 /nfs/dbraw/zinc/53/35/35/1134533535.db2.gz WEQVDWWAYGULOJ-UHFFFAOYSA-N 1 2 290.388 3.838 20 0 CHADLO Cc1cc(CO)ccc1Nc1[nH+]cccc1C(C)C ZINC001214663069 1134562564 /nfs/dbraw/zinc/56/25/64/1134562564.db2.gz ROVFKEBYGPLNCV-UHFFFAOYSA-N 1 2 256.349 3.749 20 0 CHADLO Cc1cc(CO)ccc1Nc1[nH+]cccc1N1CCCCC1 ZINC001214663037 1134562955 /nfs/dbraw/zinc/56/29/55/1134562955.db2.gz PXYRZGWUKIXQCZ-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO CCOc1cc(Nc2csc(C(C)=O)c2)cc(C)[nH+]1 ZINC001214776549 1134570793 /nfs/dbraw/zinc/57/07/93/1134570793.db2.gz LTNUKSPKQZFODN-UHFFFAOYSA-N 1 2 276.361 3.796 20 0 CHADLO CCOc1ncc(Nc2[nH+]cc(C)cc2C)cc1C ZINC001214930570 1134581260 /nfs/dbraw/zinc/58/12/60/1134581260.db2.gz KHJVUUHCZNJWFZ-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO CSc1cc(Nc2c(C)cc(CO)cc2C)cc[nH+]1 ZINC001214952211 1134585430 /nfs/dbraw/zinc/58/54/30/1134585430.db2.gz QWKXCMUWRAVSES-UHFFFAOYSA-N 1 2 274.389 3.656 20 0 CHADLO CCOc1cc(Nc2c(C)cc(CO)cc2C)cc(C)[nH+]1 ZINC001214949599 1134585479 /nfs/dbraw/zinc/58/54/79/1134585479.db2.gz QMZLJXZNNFQECB-UHFFFAOYSA-N 1 2 286.375 3.641 20 0 CHADLO COc1c(F)cc(Nc2cccc(C)[nH+]2)cc1Cl ZINC001214963945 1134588208 /nfs/dbraw/zinc/58/82/08/1134588208.db2.gz MIQOPWPCXMYRCH-UHFFFAOYSA-N 1 2 266.703 3.935 20 0 CHADLO CC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)c(F)c1F ZINC001214978771 1134590150 /nfs/dbraw/zinc/59/01/50/1134590150.db2.gz QXKSWDQOBURNRO-UHFFFAOYSA-N 1 2 276.286 3.923 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(CO)c(C)c2C)c1 ZINC001215240510 1134609354 /nfs/dbraw/zinc/60/93/54/1134609354.db2.gz BDSPVMIBXWUFAN-UHFFFAOYSA-N 1 2 270.376 3.887 20 0 CHADLO CCCOc1ccc(Nc2cc(OC)cc[nH+]2)c(F)c1 ZINC001215486592 1134632433 /nfs/dbraw/zinc/63/24/33/1134632433.db2.gz OFCNWQSXORMMEE-UHFFFAOYSA-N 1 2 276.311 3.762 20 0 CHADLO COCc1cc(C)c(-n2c(C)[nH+]c3ccc(N)cc32)c(C)c1 ZINC001215529869 1134638705 /nfs/dbraw/zinc/63/87/05/1134638705.db2.gz HJLQQGJBESAMMC-UHFFFAOYSA-N 1 2 295.386 3.679 20 0 CHADLO CCCOc1ccc(F)c(Nc2c[nH+]ccc2OC)c1F ZINC001215567161 1134643113 /nfs/dbraw/zinc/64/31/13/1134643113.db2.gz SCVJEXCFWWSILY-UHFFFAOYSA-N 1 2 294.301 3.901 20 0 CHADLO COc1cc(Nc2ccn3cc[nH+]c3c2)cc(C)c1F ZINC001215701823 1134661719 /nfs/dbraw/zinc/66/17/19/1134661719.db2.gz TYPQDKPIPBXUER-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CCc1ccc[nH+]c1Nc1cc(C)c(F)c(OC)c1 ZINC001215703808 1134662216 /nfs/dbraw/zinc/66/22/16/1134662216.db2.gz JONPFONZJHAAJE-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO Cc1ccc(Nc2ccccc2-n2cc[nH+]c2)c2c[nH]nc21 ZINC001215756619 1134674484 /nfs/dbraw/zinc/67/44/84/1134674484.db2.gz DSJJTGSGBPOIBR-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1cn2c(cccc2Nc2cnc(OC(C)C)cc2C)[nH+]1 ZINC001215769635 1134682267 /nfs/dbraw/zinc/68/22/67/1134682267.db2.gz IEBAUBWCYBXKJC-UHFFFAOYSA-N 1 2 296.374 3.877 20 0 CHADLO COc1cnc(F)cc1Nc1c[nH+]c(CC(C)C)cc1C ZINC001215806505 1134692548 /nfs/dbraw/zinc/69/25/48/1134692548.db2.gz WVWNLNXPBQUFKE-UHFFFAOYSA-N 1 2 289.354 3.875 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)cc(SC)c1 ZINC001215902351 1134713788 /nfs/dbraw/zinc/71/37/88/1134713788.db2.gz LNJZUMDLBJSYDE-UHFFFAOYSA-N 1 2 264.325 3.695 20 0 CHADLO Fc1ncc(C2CC2)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001215956084 1134730173 /nfs/dbraw/zinc/73/01/73/1134730173.db2.gz OCLANNHORVRYSW-UHFFFAOYSA-N 1 2 298.365 3.837 20 0 CHADLO COc1c(C)cc(C)cc1Nc1ccc(N(C)C)[nH+]c1 ZINC001215995323 1134744443 /nfs/dbraw/zinc/74/44/43/1134744443.db2.gz GQYBHBNDGHQBHZ-UHFFFAOYSA-N 1 2 271.364 3.517 20 0 CHADLO COc1c(C)cc(C)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001215998720 1134746353 /nfs/dbraw/zinc/74/63/53/1134746353.db2.gz YINVUKSFFQKXNF-UHFFFAOYSA-N 1 2 281.359 3.942 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(N(C)C)c(Cl)c1 ZINC001216059165 1134764217 /nfs/dbraw/zinc/76/42/17/1134764217.db2.gz COBWTXPQHUCZFY-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2N(C)C)cc(Cl)c1O ZINC001216087416 1134772190 /nfs/dbraw/zinc/77/21/90/1134772190.db2.gz OYJLDPKMCNKQHL-UHFFFAOYSA-N 1 2 277.755 3.559 20 0 CHADLO Cc1ccc(Nc2cc(C)c(CO)c(C)c2)c(C)[nH+]1 ZINC001216118703 1134782545 /nfs/dbraw/zinc/78/25/45/1134782545.db2.gz WQYBVCHVQKVFCC-UHFFFAOYSA-N 1 2 256.349 3.551 20 0 CHADLO Cc1cccc(Nc2ccc(N)cc2C(F)(F)F)[nH+]1 ZINC001216128577 1134786975 /nfs/dbraw/zinc/78/69/75/1134786975.db2.gz HDZRBLPTYCBQPC-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1ccc(O)c(Nc2cccc(-c3ccncc3)c2)[nH+]1 ZINC001216144952 1134790658 /nfs/dbraw/zinc/79/06/58/1134790658.db2.gz NZYUSHPFXNJKSS-UHFFFAOYSA-N 1 2 277.327 3.901 20 0 CHADLO CSc1cc(Nc2cnc(Cl)cc2C)cc[nH+]1 ZINC001216279754 1134835171 /nfs/dbraw/zinc/83/51/71/1134835171.db2.gz VBUQZBQFBTWDHP-UHFFFAOYSA-N 1 2 265.769 3.904 20 0 CHADLO Cc1cc(Cl)ncc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001216279847 1134835406 /nfs/dbraw/zinc/83/54/06/1134835406.db2.gz XFCWQIQXLCBHLH-UHFFFAOYSA-N 1 2 284.750 3.973 20 0 CHADLO Cc1c(O)cccc1Nc1[nH+]cccc1N1CCCCC1 ZINC001216320404 1134852971 /nfs/dbraw/zinc/85/29/71/1134852971.db2.gz OQPVQYSDCISFQE-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO COc1c(O)cccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001216346687 1134865514 /nfs/dbraw/zinc/86/55/14/1134865514.db2.gz IFNBPKRWOZOMEP-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO CCOc1cc(C)cc(F)c1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001216368379 1134869571 /nfs/dbraw/zinc/86/95/71/1134869571.db2.gz DUKXUWGOXIJQTN-UHFFFAOYSA-N 1 2 299.349 3.762 20 0 CHADLO COc1c(F)ccc(Nc2[nH+]c(C)ccc2C)c1OC ZINC001216391434 1134880170 /nfs/dbraw/zinc/88/01/70/1134880170.db2.gz GHOBMCIJEOBQHX-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO Oc1ccc(Cl)cc1Nc1ccc[nH+]c1N1CCCC1 ZINC001216397758 1134881153 /nfs/dbraw/zinc/88/11/53/1134881153.db2.gz IDRFQHNNWGLULL-UHFFFAOYSA-N 1 2 289.766 3.784 20 0 CHADLO COc1cc(Nc2cc(Cl)ccc2O)cc(C)[nH+]1 ZINC001216399232 1134882251 /nfs/dbraw/zinc/88/22/51/1134882251.db2.gz XWGCHOQZXCVBHG-UHFFFAOYSA-N 1 2 264.712 3.501 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(Cl)cc1C ZINC001216434476 1134897205 /nfs/dbraw/zinc/89/72/05/1134897205.db2.gz YLJMGSNLHFKLSJ-UHFFFAOYSA-N 1 2 271.751 3.878 20 0 CHADLO COc1cc(Nc2cc(F)cc(C(C)(C)O)c2)cc(C)[nH+]1 ZINC001216489965 1134905242 /nfs/dbraw/zinc/90/52/42/1134905242.db2.gz ZWQQNHIRSVFCLW-UHFFFAOYSA-N 1 2 290.338 3.509 20 0 CHADLO Nc1c(Cl)cccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001216525679 1134914675 /nfs/dbraw/zinc/91/46/75/1134914675.db2.gz WVOQBDNSOIRQAO-UHFFFAOYSA-N 1 2 288.782 3.661 20 0 CHADLO Cc1cc(C)c(Nc2cncc(OCC3CC3)c2)c[nH+]1 ZINC001216596704 1134928903 /nfs/dbraw/zinc/92/89/03/1134928903.db2.gz HLNHRPLEURVQAW-UHFFFAOYSA-N 1 2 269.348 3.626 20 0 CHADLO Cc1cn2c(cccc2Nc2cncc(OCC3CC3)c2)[nH+]1 ZINC001216595519 1134928976 /nfs/dbraw/zinc/92/89/76/1134928976.db2.gz KKNGKHQHLFEVKJ-UHFFFAOYSA-N 1 2 294.358 3.570 20 0 CHADLO CCc1ccc(-c2noc(-c3cccc4[nH+]ccn43)n2)cc1 ZINC001217500841 1134981069 /nfs/dbraw/zinc/98/10/69/1134981069.db2.gz NZDIEGUMOXMGMT-UHFFFAOYSA-N 1 2 290.326 3.614 20 0 CHADLO Cc1ccc2ccccc2c1O[C@H]1C[NH2+]CC(F)(F)C1 ZINC001218275268 1135077814 /nfs/dbraw/zinc/07/78/14/1135077814.db2.gz VBEZAAISPISMRZ-CYBMUJFWSA-N 1 2 277.314 3.524 20 0 CHADLO CCC[C@@H](Oc1cc(N)cc[nH+]1)c1ccc(OC)cc1 ZINC001218415267 1135101162 /nfs/dbraw/zinc/10/11/62/1135101162.db2.gz BXKBMKGGNSKEDH-OAHLLOKOSA-N 1 2 272.348 3.593 20 0 CHADLO Cc1ccc(N(C)C(=O)Nc2ccc3[nH+]ccn3c2)cc1C ZINC001219557085 1135136088 /nfs/dbraw/zinc/13/60/88/1135136088.db2.gz PTOZIKPYADLJPR-UHFFFAOYSA-N 1 2 294.358 3.619 20 0 CHADLO O=C(Nc1cccc(Cn2cc[nH+]c2)c1)Oc1ccccc1 ZINC000315315457 1135153653 /nfs/dbraw/zinc/15/36/53/1135153653.db2.gz AKMIJVLUFBGJGO-UHFFFAOYSA-N 1 2 293.326 3.542 20 0 CHADLO CCCC[C@@H](CC)C[C@H](C)OCCn1cc[nH+]c1 ZINC001222139441 1135197560 /nfs/dbraw/zinc/19/75/60/1135197560.db2.gz RUVNHCZUAFPHGJ-LSDHHAIUSA-N 1 2 252.402 3.895 20 0 CHADLO Cc1c(-c2ccc(Cl)c(CO)c2F)ccc2[nH+]ccn21 ZINC001222374188 1135232818 /nfs/dbraw/zinc/23/28/18/1135232818.db2.gz UZQWFOHZCGZSEZ-UHFFFAOYSA-N 1 2 290.725 3.595 20 0 CHADLO C[C@H](OCc1c[nH+]cn1C)c1cccc(C(F)(F)F)c1 ZINC001222594998 1135253984 /nfs/dbraw/zinc/25/39/84/1135253984.db2.gz LGKAGJXKYUNIRM-JTQLQIEISA-N 1 2 284.281 3.717 20 0 CHADLO C[NH+]1CC(O[C@@H](c2ccccc2)c2ccc(Cl)cc2)C1 ZINC001223121337 1135307871 /nfs/dbraw/zinc/30/78/71/1135307871.db2.gz IRANHKXNFMSWEF-KRWDZBQOSA-N 1 2 287.790 3.760 20 0 CHADLO COC(=O)c1ccc([C@@H](C)OCc2cc(C)[nH+]c(C)c2)cc1 ZINC001223421200 1135343408 /nfs/dbraw/zinc/34/34/08/1135343408.db2.gz OPIBSDRVUVUKSD-CQSZACIVSA-N 1 2 299.370 3.763 20 0 CHADLO COc1cccc([C@@H](C)OCc2cc(C)[nH+]c(C)c2)c1 ZINC001223421903 1135343574 /nfs/dbraw/zinc/34/35/74/1135343574.db2.gz RQTXBTHLFSFQEA-CQSZACIVSA-N 1 2 271.360 3.985 20 0 CHADLO Cc1cc(CO[C@@H](C)COc2ccccc2)cc(C)[nH+]1 ZINC001223422633 1135343826 /nfs/dbraw/zinc/34/38/26/1135343826.db2.gz YHYYXNRPQHNIAG-HNNXBMFYSA-N 1 2 271.360 3.683 20 0 CHADLO Cc1cc(COCc2cccnc2OC(C)C)cc(C)[nH+]1 ZINC001223425755 1135344833 /nfs/dbraw/zinc/34/48/33/1135344833.db2.gz TXCFTQAANRXWCA-UHFFFAOYSA-N 1 2 286.375 3.597 20 0 CHADLO CC(C)CCOC(=O)[C@H](Oc1cc[nH+]cc1)c1ccccc1 ZINC001225290785 1135535883 /nfs/dbraw/zinc/53/58/83/1135535883.db2.gz HTTHVKXMBBDDTM-QGZVFWFLSA-N 1 2 299.370 3.791 20 0 CHADLO CCC[C@@H](C)Oc1n[nH]c2c1CC[N@H+](Cc1ccccc1)C2 ZINC001226704613 1135731613 /nfs/dbraw/zinc/73/16/13/1135731613.db2.gz LVXWPZHUCDITDA-CQSZACIVSA-N 1 2 299.418 3.535 20 0 CHADLO CCC[C@@H](C)Oc1n[nH]c2c1CC[N@@H+](Cc1ccccc1)C2 ZINC001226704613 1135731616 /nfs/dbraw/zinc/73/16/16/1135731616.db2.gz LVXWPZHUCDITDA-CQSZACIVSA-N 1 2 299.418 3.535 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H](C)c1cccc(N(C)C)c1 ZINC001227266185 1135811946 /nfs/dbraw/zinc/81/19/46/1135811946.db2.gz XRNNCCRIDSAPTL-CQSZACIVSA-N 1 2 270.376 3.904 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H]1CCc2c1nccc2Cl ZINC001227264119 1135812091 /nfs/dbraw/zinc/81/20/91/1135812091.db2.gz JBRDSEZUIHXPOQ-ZDUSSCGKSA-N 1 2 274.751 3.813 20 0 CHADLO COc1ccc2c(c1)CC[C@@H](Oc1c(C)cc[nH+]c1C)C2 ZINC001227262742 1135812371 /nfs/dbraw/zinc/81/23/71/1135812371.db2.gz VSBPOEOMVDUMAE-QGZVFWFLSA-N 1 2 283.371 3.643 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H]1C[C@H](OCc2ccccc2)C1 ZINC001227262504 1135812721 /nfs/dbraw/zinc/81/27/21/1135812721.db2.gz QLXWFLFYFMQULD-QAQDUYKDSA-N 1 2 283.371 3.825 20 0 CHADLO Cc1cccc(OC[C@H](C)Oc2c(C)cc[nH+]c2C)c1 ZINC001227265096 1135812767 /nfs/dbraw/zinc/81/27/67/1135812767.db2.gz RWIMIWNTZBJGIC-AWEZNQCLSA-N 1 2 271.360 3.853 20 0 CHADLO CC[C@@]1(C)CCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000351996234 529524108 /nfs/dbraw/zinc/52/41/08/529524108.db2.gz NPQLQIQLUFEYIH-LBPRGKRZSA-N 1 2 258.818 3.809 20 0 CHADLO CC[C@@]1(C)CCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000351996234 529524110 /nfs/dbraw/zinc/52/41/10/529524110.db2.gz NPQLQIQLUFEYIH-LBPRGKRZSA-N 1 2 258.818 3.809 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1ccc(Cl)cc1Cl ZINC000335974671 529502787 /nfs/dbraw/zinc/50/27/87/529502787.db2.gz UHUNESJTTYZYGT-UHFFFAOYSA-N 1 2 295.169 3.625 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)cn1 ZINC000347666456 529642655 /nfs/dbraw/zinc/64/26/55/529642655.db2.gz BVTRAHBQBPSSQN-UHFFFAOYSA-N 1 2 291.398 3.684 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3[nH]c4ccccc4c3C2)cn1 ZINC000347666456 529642656 /nfs/dbraw/zinc/64/26/56/529642656.db2.gz BVTRAHBQBPSSQN-UHFFFAOYSA-N 1 2 291.398 3.684 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@H](C)c2sc(C)nc2C)s1 ZINC000346135967 529645605 /nfs/dbraw/zinc/64/56/05/529645605.db2.gz OJHXTBXNVWWDDA-SNVBAGLBSA-N 1 2 295.477 3.972 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@H](C)c2sc(C)nc2C)s1 ZINC000346135967 529645606 /nfs/dbraw/zinc/64/56/06/529645606.db2.gz OJHXTBXNVWWDDA-SNVBAGLBSA-N 1 2 295.477 3.972 20 0 CHADLO CCc1ccc(C[NH2+]CC(F)(F)c2ccccc2)cn1 ZINC000352705688 529652049 /nfs/dbraw/zinc/65/20/49/529652049.db2.gz MQQAYQUBYMHFJN-UHFFFAOYSA-N 1 2 276.330 3.526 20 0 CHADLO CC[C@H]([NH2+]Cc1nc2cc(C)ccc2[nH]1)c1ccc(F)cn1 ZINC000352789510 529637783 /nfs/dbraw/zinc/63/77/83/529637783.db2.gz OJSOKALJVVCFSD-ZDUSSCGKSA-N 1 2 298.365 3.646 20 0 CHADLO CC[C@H]([NH2+]Cc1nc2ccc(C)cc2[nH]1)c1ccc(F)cn1 ZINC000352789510 529637785 /nfs/dbraw/zinc/63/77/85/529637785.db2.gz OJSOKALJVVCFSD-ZDUSSCGKSA-N 1 2 298.365 3.646 20 0 CHADLO CC[C@H]([NH2+]Cc1nccc(C)n1)c1ccc(Cl)s1 ZINC000347618501 529638460 /nfs/dbraw/zinc/63/84/60/529638460.db2.gz NWVSCBCRJBTLPY-JTQLQIEISA-N 1 2 281.812 3.741 20 0 CHADLO CCc1ccc([C@@H](COC)[NH2+]Cc2ccc(Cl)o2)o1 ZINC000344458563 529711421 /nfs/dbraw/zinc/71/14/21/529711421.db2.gz FSXKRXXPMXVXPS-GFCCVEGCSA-N 1 2 283.755 3.566 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@@H+]2[C@H](C)c2nnc(CC)o2)o1 ZINC000331375115 529714209 /nfs/dbraw/zinc/71/42/09/529714209.db2.gz CWCCODFAFAYLQB-YPMHNXCESA-N 1 2 289.379 3.686 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@H+]2[C@H](C)c2nnc(CC)o2)o1 ZINC000331375115 529714210 /nfs/dbraw/zinc/71/42/10/529714210.db2.gz CWCCODFAFAYLQB-YPMHNXCESA-N 1 2 289.379 3.686 20 0 CHADLO CN(C)c1[nH+]cccc1NC(=O)c1cccc(C2CCC2)c1 ZINC000347915504 529814907 /nfs/dbraw/zinc/81/49/07/529814907.db2.gz NESMMGNHZQNUFL-UHFFFAOYSA-N 1 2 295.386 3.667 20 0 CHADLO CN(Cc1ccccc1OC(F)(F)F)c1cccc[nH+]1 ZINC000301066724 530003723 /nfs/dbraw/zinc/00/37/23/530003723.db2.gz ICEKGYAJJXRGJX-UHFFFAOYSA-N 1 2 282.265 3.617 20 0 CHADLO COc1ccccc1/C=C/C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000097039974 185511755 /nfs/dbraw/zinc/51/17/55/185511755.db2.gz JVTUGVIICWMRHZ-MDZDMXLPSA-N 1 2 296.370 3.667 20 0 CHADLO COc1ccc[nH+]c1NCc1cccc(C(F)(F)F)c1 ZINC000169025872 335018586 /nfs/dbraw/zinc/01/85/86/335018586.db2.gz VKYNACRGVNTPPX-UHFFFAOYSA-N 1 2 282.265 3.721 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@@H](C)c2ccc(C)o2)cs1 ZINC000165274793 261367702 /nfs/dbraw/zinc/36/77/02/261367702.db2.gz ZRZXQHJWFKZOQJ-WDEREUQCSA-N 1 2 280.393 3.603 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc(C)c(C)[nH]1)c1ccc(F)cc1F ZINC000574531689 335027705 /nfs/dbraw/zinc/02/77/05/335027705.db2.gz FSTGUPBGQPLFOM-OAHLLOKOSA-N 1 2 293.361 3.936 20 0 CHADLO CCC[C@@H](NCc1[nH]c(C)c(C)[nH+]1)c1ccc(F)cc1F ZINC000574531689 335027707 /nfs/dbraw/zinc/02/77/07/335027707.db2.gz FSTGUPBGQPLFOM-OAHLLOKOSA-N 1 2 293.361 3.936 20 0 CHADLO CCC1(CNc2cc(C(F)(F)F)cc[nH+]2)CCOCC1 ZINC000336833866 335041931 /nfs/dbraw/zinc/04/19/31/335041931.db2.gz PMEMUPOZBTYHAS-UHFFFAOYSA-N 1 2 288.313 3.719 20 0 CHADLO CSc1cccc(N[C@H](C)c2[nH+]ccn2C)c1C ZINC000347327813 533028897 /nfs/dbraw/zinc/02/88/97/533028897.db2.gz DAGDTELEYZXJBC-LLVKDONJSA-N 1 2 261.394 3.624 20 0 CHADLO c1cc(CNc2ccc(N3CCCCC3)[nH+]c2)cs1 ZINC000036988586 260061656 /nfs/dbraw/zinc/06/16/56/260061656.db2.gz MDZMWWJKWGFNPS-UHFFFAOYSA-N 1 2 273.405 3.746 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1cc(F)c(F)cc1F ZINC000179709546 260064585 /nfs/dbraw/zinc/06/45/85/260064585.db2.gz ZPWRYWXMMSKTAU-MRXNPFEDSA-N 1 2 294.320 3.780 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1cc(F)c(F)cc1F ZINC000179709546 260064588 /nfs/dbraw/zinc/06/45/88/260064588.db2.gz ZPWRYWXMMSKTAU-MRXNPFEDSA-N 1 2 294.320 3.780 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@H](C)c1c(F)cncc1F ZINC000353125791 533387881 /nfs/dbraw/zinc/38/78/81/533387881.db2.gz BXCCCODBGKUGLW-LLVKDONJSA-N 1 2 292.329 3.528 20 0 CHADLO CO[C@]1(C)CCCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)C1 ZINC000480367622 533372230 /nfs/dbraw/zinc/37/22/30/533372230.db2.gz AOOMJZBUIAPJPU-QGZVFWFLSA-N 1 2 291.439 3.729 20 0 CHADLO C[C@@H]([NH2+]Cc1ccsc1Cl)c1c(F)cncc1F ZINC000353118159 533464050 /nfs/dbraw/zinc/46/40/50/533464050.db2.gz LDVUCXLUWLBRQR-SSDOTTSWSA-N 1 2 288.750 3.926 20 0 CHADLO COc1cccc(C[N@@H+]2Cc3cccc(Cl)c3C2)c1 ZINC000347439458 533486498 /nfs/dbraw/zinc/48/64/98/533486498.db2.gz BMRLNXHTAXKJHP-UHFFFAOYSA-N 1 2 273.763 3.864 20 0 CHADLO COc1cccc(C[N@H+]2Cc3cccc(Cl)c3C2)c1 ZINC000347439458 533486502 /nfs/dbraw/zinc/48/65/02/533486502.db2.gz BMRLNXHTAXKJHP-UHFFFAOYSA-N 1 2 273.763 3.864 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3cccc(Cl)c3C2)cc1 ZINC000347439593 533574464 /nfs/dbraw/zinc/57/44/64/533574464.db2.gz BREIKMOLQZGRPU-UHFFFAOYSA-N 1 2 273.763 3.864 20 0 CHADLO COc1ccc(C[N@H+]2Cc3cccc(Cl)c3C2)cc1 ZINC000347439593 533574467 /nfs/dbraw/zinc/57/44/67/533574467.db2.gz BREIKMOLQZGRPU-UHFFFAOYSA-N 1 2 273.763 3.864 20 0 CHADLO C[C@@H]([NH2+][C@H]1CC(C)(C)c2ccccc21)c1csnn1 ZINC000334847994 533539298 /nfs/dbraw/zinc/53/92/98/533539298.db2.gz PZKFGUCRDCVECB-MFKMUULPSA-N 1 2 273.405 3.611 20 0 CHADLO COc1cccc(Cl)c1C[NH+]1Cc2ccccc2C1 ZINC000353404804 533557456 /nfs/dbraw/zinc/55/74/56/533557456.db2.gz NFHCEWNNGRYADC-UHFFFAOYSA-N 1 2 273.763 3.864 20 0 CHADLO C[C@@H](Nc1[nH+]ccc2ccc(F)cc21)[C@H]1CCCOC1 ZINC000355577509 533566475 /nfs/dbraw/zinc/56/64/75/533566475.db2.gz ACNIKKLGTIAFDY-YPMHNXCESA-N 1 2 274.339 3.601 20 0 CHADLO Cc1ccnc(C[NH2+][C@@H](C)c2ccccc2C(F)(F)F)n1 ZINC000342013789 130199703 /nfs/dbraw/zinc/19/97/03/130199703.db2.gz UPHLVRZFDGGIJG-NSHDSACASA-N 1 2 295.308 3.655 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](CC)c2cc(F)ccc2F)o1 ZINC000341991530 130213184 /nfs/dbraw/zinc/21/31/84/130213184.db2.gz AJWQJIIHRSZTHM-TVQRCGJNSA-N 1 2 295.333 3.712 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cccc(F)c2F)c(C)s1 ZINC000342191635 130325239 /nfs/dbraw/zinc/32/52/39/130325239.db2.gz JLVYGRMAIYIACG-QMMMGPOBSA-N 1 2 282.359 3.889 20 0 CHADLO CC/C=C\CC[N@H+](C)Cc1nc([C@@H](C)OCC(C)C)no1 ZINC000342193632 130327348 /nfs/dbraw/zinc/32/73/48/130327348.db2.gz BJYUPGARHANJTN-WBTMPAOCSA-N 1 2 295.427 3.591 20 0 CHADLO CC/C=C\CC[N@@H+](C)Cc1nc([C@@H](C)OCC(C)C)no1 ZINC000342193632 130327350 /nfs/dbraw/zinc/32/73/50/130327350.db2.gz BJYUPGARHANJTN-WBTMPAOCSA-N 1 2 295.427 3.591 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(OCc2ccccc2)cc1 ZINC000020317777 171147491 /nfs/dbraw/zinc/14/74/91/171147491.db2.gz RIYSTXAWVWBEDI-UHFFFAOYSA-N 1 2 291.354 3.986 20 0 CHADLO C1=CC[C@H](C[NH2+]c2ccc3c(c2)OCCCO3)CC1 ZINC000020561705 171252229 /nfs/dbraw/zinc/25/22/29/171252229.db2.gz NAIYZKMDAVBEPG-ZDUSSCGKSA-N 1 2 259.349 3.616 20 0 CHADLO CN(C)c1ccc(Nc2nc3ccccc3s2)c[nH+]1 ZINC000023619004 171424278 /nfs/dbraw/zinc/42/42/78/171424278.db2.gz BJRBSFGVTBWQGP-UHFFFAOYSA-N 1 2 270.361 3.501 20 0 CHADLO c1cn(Cc2ccccc2Oc2ccccc2)c[nH+]1 ZINC000049035398 175459878 /nfs/dbraw/zinc/45/98/78/175459878.db2.gz DFVDKHRBBOONAK-UHFFFAOYSA-N 1 2 250.301 3.724 20 0 in-vivo CHADLO Cc1cc(C)c(NC(=O)N2CC[C@@H](C)[C@@H](C)C2)c(C)[nH+]1 ZINC000334718246 225287423 /nfs/dbraw/zinc/28/74/23/225287423.db2.gz SAJXBSOYECSLPA-PWSUYJOCSA-N 1 2 275.396 3.517 20 0 CHADLO Cc1nnc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccccc2)s1 ZINC000334721144 225288344 /nfs/dbraw/zinc/28/83/44/225288344.db2.gz WSDXMIFIAWKSGN-MRXNPFEDSA-N 1 2 299.443 3.964 20 0 CHADLO Cc1nnc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccccc2)s1 ZINC000334721144 225288347 /nfs/dbraw/zinc/28/83/47/225288347.db2.gz WSDXMIFIAWKSGN-MRXNPFEDSA-N 1 2 299.443 3.964 20 0 CHADLO Cc1nnc(C[N@@H+]2CC3(CCCCC3)[C@@H]2c2ccccc2)o1 ZINC000334782960 225319986 /nfs/dbraw/zinc/31/99/86/225319986.db2.gz MIHKTIVUXJTOBJ-KRWDZBQOSA-N 1 2 297.402 3.885 20 0 CHADLO Cc1nnc(C[N@H+]2CC3(CCCCC3)[C@@H]2c2ccccc2)o1 ZINC000334782960 225319991 /nfs/dbraw/zinc/31/99/91/225319991.db2.gz MIHKTIVUXJTOBJ-KRWDZBQOSA-N 1 2 297.402 3.885 20 0 CHADLO Cc1ccc(N[C@@H]2CCc3c2c(F)ccc3F)c[nH+]1 ZINC000347117017 226032547 /nfs/dbraw/zinc/03/25/47/226032547.db2.gz BMIVFFXMPNZZDS-CQSZACIVSA-N 1 2 260.287 3.768 20 0 CHADLO c1ccc(C[C@@H]2CCCN(c3cc[nH+]c(C4CC4)n3)C2)cc1 ZINC000347123158 226034279 /nfs/dbraw/zinc/03/42/79/226034279.db2.gz DXMHXVQKSNICRH-INIZCTEOSA-N 1 2 293.414 3.813 20 0 CHADLO Cc1ccc(N[C@@H](C[C@@H]2CCOC2)c2ccccc2)c[nH+]1 ZINC000347150447 226061026 /nfs/dbraw/zinc/06/10/26/226061026.db2.gz NYJJQNTUIYXXGH-YJBOKZPZSA-N 1 2 282.387 3.970 20 0 CHADLO Cc1ccc(N[C@@H]2c3cc(F)ccc3C[C@@H]2C)c[nH+]1 ZINC000347155954 226062187 /nfs/dbraw/zinc/06/21/87/226062187.db2.gz RLUXBMOMDINMRU-QFYYESIMSA-N 1 2 256.324 3.875 20 0 CHADLO CSC1(C[N@@H+](Cc2ccccc2)CC(F)F)CC1 ZINC000347875700 226387684 /nfs/dbraw/zinc/38/76/84/226387684.db2.gz WZVUVBZSMSVDGG-UHFFFAOYSA-N 1 2 271.376 3.649 20 0 CHADLO CSC1(C[N@H+](Cc2ccccc2)CC(F)F)CC1 ZINC000347875700 226387686 /nfs/dbraw/zinc/38/76/86/226387686.db2.gz WZVUVBZSMSVDGG-UHFFFAOYSA-N 1 2 271.376 3.649 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1c[nH]nc1-c1ccccc1 ZINC000348256982 226434234 /nfs/dbraw/zinc/43/42/34/226434234.db2.gz ZACKIKBGFOHWQN-UHFFFAOYSA-N 1 2 292.386 3.825 20 0 CHADLO Cc1ccc2cc(C[N@@H+]3CCC[C@H]3C(F)F)ccc2n1 ZINC000348832375 226524910 /nfs/dbraw/zinc/52/49/10/226524910.db2.gz IKFNUADNHPDXGS-HNNXBMFYSA-N 1 2 276.330 3.773 20 0 CHADLO Cc1ccc2cc(C[N@H+]3CCC[C@H]3C(F)F)ccc2n1 ZINC000348832375 226524911 /nfs/dbraw/zinc/52/49/11/226524911.db2.gz IKFNUADNHPDXGS-HNNXBMFYSA-N 1 2 276.330 3.773 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000350396805 227005871 /nfs/dbraw/zinc/00/58/71/227005871.db2.gz QTRJFNIZOXPRHJ-SMDDNHRTSA-N 1 2 274.364 3.585 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000350396805 227005875 /nfs/dbraw/zinc/00/58/75/227005875.db2.gz QTRJFNIZOXPRHJ-SMDDNHRTSA-N 1 2 274.364 3.585 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000354522751 227020107 /nfs/dbraw/zinc/02/01/07/227020107.db2.gz RVACWOGJWPPXTL-QMMMGPOBSA-N 1 2 267.291 3.701 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1ccc(C(F)(F)F)s1 ZINC000354522751 227020113 /nfs/dbraw/zinc/02/01/13/227020113.db2.gz RVACWOGJWPPXTL-QMMMGPOBSA-N 1 2 267.291 3.701 20 0 CHADLO Cc1ccc(CCCNc2ccc3ncccc3c2)c[nH+]1 ZINC000354563840 227034063 /nfs/dbraw/zinc/03/40/63/227034063.db2.gz IESZMIDHWHERRR-UHFFFAOYSA-N 1 2 277.371 3.983 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccncc2Cl)c1 ZINC000350724685 227064994 /nfs/dbraw/zinc/06/49/94/227064994.db2.gz NMWCEYQGKAKHAO-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccncc2Cl)c1 ZINC000350724685 227064998 /nfs/dbraw/zinc/06/49/98/227064998.db2.gz NMWCEYQGKAKHAO-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1nc(C[NH+]2CCC(C(F)(F)F)CC2)c(C)s1 ZINC000336552616 227113709 /nfs/dbraw/zinc/11/37/09/227113709.db2.gz FNIZCMTYSDAALZ-UHFFFAOYSA-N 1 2 278.343 3.534 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+](C)Cc1ccoc1 ZINC000350836792 227116503 /nfs/dbraw/zinc/11/65/03/227116503.db2.gz OQBLIZWDYWYEKO-NSHDSACASA-N 1 2 256.305 3.614 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+](C)Cc1ccoc1 ZINC000350836792 227116510 /nfs/dbraw/zinc/11/65/10/227116510.db2.gz OQBLIZWDYWYEKO-NSHDSACASA-N 1 2 256.305 3.614 20 0 CHADLO Cc1cc(C)c(C[NH2+]CC(F)(F)C(F)F)c(C)c1 ZINC000191768160 227148697 /nfs/dbraw/zinc/14/86/97/227148697.db2.gz VBLJNWJLZBITBO-UHFFFAOYSA-N 1 2 263.278 3.602 20 0 CHADLO Cc1cc(C)cc(C2=CC[N@H+](Cc3nccc(C)n3)CC2)c1 ZINC000354833600 227149433 /nfs/dbraw/zinc/14/94/33/227149433.db2.gz OPRNPRIBZDOASU-UHFFFAOYSA-N 1 2 293.414 3.691 20 0 CHADLO Cc1cc(C)cc(C2=CC[N@@H+](Cc3nccc(C)n3)CC2)c1 ZINC000354833600 227149437 /nfs/dbraw/zinc/14/94/37/227149437.db2.gz OPRNPRIBZDOASU-UHFFFAOYSA-N 1 2 293.414 3.691 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2cc(F)ccc2C)cs1 ZINC000352695216 227163161 /nfs/dbraw/zinc/16/31/61/227163161.db2.gz UOHXXFMRVWCHEY-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2cc(F)ccc2C)cs1 ZINC000352695216 227163166 /nfs/dbraw/zinc/16/31/66/227163166.db2.gz UOHXXFMRVWCHEY-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cn2ccsc2n1 ZINC000354857720 227174356 /nfs/dbraw/zinc/17/43/56/227174356.db2.gz WADMKWWLCGUSAY-JTQLQIEISA-N 1 2 289.429 3.577 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cn2ccsc2n1 ZINC000354857720 227174362 /nfs/dbraw/zinc/17/43/62/227174362.db2.gz WADMKWWLCGUSAY-JTQLQIEISA-N 1 2 289.429 3.577 20 0 CHADLO Cc1ccccc1[C@H](Nc1cc[nH+]c(C2CC2)n1)C1CC1 ZINC000352781410 227217515 /nfs/dbraw/zinc/21/75/15/227217515.db2.gz BJYWQCAAIPEKCA-QGZVFWFLSA-N 1 2 279.387 3.648 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@@H](CC(F)(F)F)c1ccccc1 ZINC000352823585 227258472 /nfs/dbraw/zinc/25/84/72/227258472.db2.gz OADWLVAVFSTECD-LBPRGKRZSA-N 1 2 283.297 3.501 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@@H](CC(F)(F)F)c1ccccc1 ZINC000352823585 227258478 /nfs/dbraw/zinc/25/84/78/227258478.db2.gz OADWLVAVFSTECD-LBPRGKRZSA-N 1 2 283.297 3.501 20 0 CHADLO Cc1cc(N2CC=C(c3ccc(Cl)cc3)CC2)nc[nH+]1 ZINC000301584319 227598991 /nfs/dbraw/zinc/59/89/91/227598991.db2.gz QPYDYZCLNIVFND-UHFFFAOYSA-N 1 2 285.778 3.732 20 0 CHADLO c1csc(-c2nc(COc3cc[nH+]cc3)cs2)c1 ZINC000356089254 228116208 /nfs/dbraw/zinc/11/62/08/228116208.db2.gz GFLJMVSZYAHYLM-UHFFFAOYSA-N 1 2 274.370 3.846 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+]Cc1ccoc1 ZINC000353542423 228117992 /nfs/dbraw/zinc/11/79/92/228117992.db2.gz MBZNXKVVKZOINB-AWEZNQCLSA-N 1 2 265.303 3.636 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2ccccc2)c2cccnc2)s1 ZINC000353548151 228118973 /nfs/dbraw/zinc/11/89/73/228118973.db2.gz ZYBUVLNARUYETD-QGZVFWFLSA-N 1 2 295.411 3.726 20 0 CHADLO Fc1cccc(F)c1[C@H]1C[C@@H]1Nc1cc[nH+]c(C2CC2)n1 ZINC000116264281 228058320 /nfs/dbraw/zinc/05/83/20/228058320.db2.gz PAAVIQQALDNUHZ-GWCFXTLKSA-N 1 2 287.313 3.600 20 0 CHADLO CSCc1cnc(C[N@@H+]2CC3(CCC3)[C@H]2C2CC2)s1 ZINC000353556557 228119848 /nfs/dbraw/zinc/11/98/48/228119848.db2.gz RXAAMGJVZDYDPX-CQSZACIVSA-N 1 2 294.489 3.771 20 0 CHADLO CSCc1cnc(C[N@H+]2CC3(CCC3)[C@H]2C2CC2)s1 ZINC000353556557 228119850 /nfs/dbraw/zinc/11/98/50/228119850.db2.gz RXAAMGJVZDYDPX-CQSZACIVSA-N 1 2 294.489 3.771 20 0 CHADLO O=C(CCCC(F)(F)F)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000355977906 228074153 /nfs/dbraw/zinc/07/41/53/228074153.db2.gz DWXCDDVRJUVCEK-UHFFFAOYSA-N 1 2 297.280 3.543 20 0 CHADLO CN(C(=O)Nc1ccn2cc[nH+]c2c1)C1CCCCCC1 ZINC000353344222 228088639 /nfs/dbraw/zinc/08/86/39/228088639.db2.gz HZFMHTQDMWYXQT-UHFFFAOYSA-N 1 2 286.379 3.521 20 0 CHADLO C[C@@H]1C[C@@H](C)N(C(=O)c2cccc(Oc3cc[nH+]cc3)c2)C1 ZINC000336183569 228208437 /nfs/dbraw/zinc/20/84/37/228208437.db2.gz KYQCGQLQVMELFL-ZIAGYGMSSA-N 1 2 296.370 3.744 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@@H+]2Cc2cccc(C)c2C)o1 ZINC000353672176 228143199 /nfs/dbraw/zinc/14/31/99/228143199.db2.gz MXGGGRPKZHTNJR-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@@H]2COCC[N@H+]2Cc2cccc(C)c2C)o1 ZINC000353672176 228143200 /nfs/dbraw/zinc/14/32/00/228143200.db2.gz MXGGGRPKZHTNJR-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO c1cc2c(s1)C[N@H+](Cc1cnc(-c3ccccc3)[nH]1)CC2 ZINC000353673082 228143319 /nfs/dbraw/zinc/14/33/19/228143319.db2.gz OUCWRZYUTXWQPJ-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO c1cc2c(s1)C[N@@H+](Cc1cnc(-c3ccccc3)[nH]1)CC2 ZINC000353673082 228143321 /nfs/dbraw/zinc/14/33/21/228143321.db2.gz OUCWRZYUTXWQPJ-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO c1cc2c(s1)C[N@H+](Cc1c[nH]c(-c3ccccc3)n1)CC2 ZINC000353673082 228143322 /nfs/dbraw/zinc/14/33/22/228143322.db2.gz OUCWRZYUTXWQPJ-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO c1cc2c(s1)C[N@@H+](Cc1c[nH]c(-c3ccccc3)n1)CC2 ZINC000353673082 228143324 /nfs/dbraw/zinc/14/33/24/228143324.db2.gz OUCWRZYUTXWQPJ-UHFFFAOYSA-N 1 2 295.411 3.697 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)NCCC3=CCCCC3)cc2n1C ZINC000353677528 228143864 /nfs/dbraw/zinc/14/38/64/228143864.db2.gz RAWALYPDNAESHZ-UHFFFAOYSA-N 1 2 297.402 3.502 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccc(Cl)o1)CC2 ZINC000353694599 228145389 /nfs/dbraw/zinc/14/53/89/228145389.db2.gz ZCFUIIIUISYYAR-UHFFFAOYSA-N 1 2 265.715 3.630 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccc(Cl)o1)CC2 ZINC000353694599 228145391 /nfs/dbraw/zinc/14/53/91/228145391.db2.gz ZCFUIIIUISYYAR-UHFFFAOYSA-N 1 2 265.715 3.630 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC/C=C/c1ccccc1 ZINC000351930788 228166871 /nfs/dbraw/zinc/16/68/71/228166871.db2.gz JNBNSEADRWQINZ-RMKNXTFCSA-N 1 2 281.359 3.533 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CC[C@@H](C)C[C@@H]1C ZINC000351943981 228168021 /nfs/dbraw/zinc/16/80/21/228168021.db2.gz POZKWPPSYHNEOM-SCDSUCTJSA-N 1 2 275.396 3.645 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)Cc1ccc(CO)cc1 ZINC000351970370 228170303 /nfs/dbraw/zinc/17/03/03/228170303.db2.gz ASJYVZFQGKTTBF-GFCCVEGCSA-N 1 2 291.341 3.650 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)Cc1ccc(CO)cc1 ZINC000351970370 228170304 /nfs/dbraw/zinc/17/03/04/228170304.db2.gz ASJYVZFQGKTTBF-GFCCVEGCSA-N 1 2 291.341 3.650 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)Nc1cc(C)c(O)cc1C ZINC000335963015 228171668 /nfs/dbraw/zinc/17/16/68/228171668.db2.gz KHKHLLBLLGOPRG-UHFFFAOYSA-N 1 2 285.347 3.665 20 0 CHADLO C[C@H](F)CC[N@@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000352016076 228173924 /nfs/dbraw/zinc/17/39/24/228173924.db2.gz UXBLQYSHQKBTGJ-HZMBPMFUSA-N 1 2 289.753 3.601 20 0 CHADLO C[C@H](F)CC[N@H+]1CCO[C@H](c2ccc(F)c(Cl)c2)C1 ZINC000352016076 228173925 /nfs/dbraw/zinc/17/39/25/228173925.db2.gz UXBLQYSHQKBTGJ-HZMBPMFUSA-N 1 2 289.753 3.601 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@H]3CCC[C@@H]32)s1 ZINC000352022891 228174794 /nfs/dbraw/zinc/17/47/94/228174794.db2.gz RUXIOIDTCDVEAI-ZJUUUORDSA-N 1 2 256.802 3.561 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@H]3CCC[C@@H]32)s1 ZINC000352022891 228174795 /nfs/dbraw/zinc/17/47/95/228174795.db2.gz RUXIOIDTCDVEAI-ZJUUUORDSA-N 1 2 256.802 3.561 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2ccc(Cl)cc21)c1ccco1 ZINC000336119811 228190589 /nfs/dbraw/zinc/19/05/89/228190589.db2.gz LQROREMLBWAYAF-CABZTGNLSA-N 1 2 263.724 3.717 20 0 CHADLO COC1CC[NH+](Cc2cc(Cl)sc2Cl)CC1 ZINC000336224462 228217635 /nfs/dbraw/zinc/21/76/35/228217635.db2.gz ZTHWKSZUYNTNGM-UHFFFAOYSA-N 1 2 280.220 3.666 20 0 CHADLO CC[C@H](NC(=O)Nc1cc[nH+]cc1C)c1cccs1 ZINC000179847430 260077436 /nfs/dbraw/zinc/07/74/36/260077436.db2.gz JHIMWGYMJARYMT-NSHDSACASA-N 1 2 275.377 3.724 20 0 CHADLO COc1ccccc1C[N@@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000179849559 260077599 /nfs/dbraw/zinc/07/75/99/260077599.db2.gz WGGAASLRFRKSJT-UHFFFAOYSA-N 1 2 292.382 3.735 20 0 CHADLO COc1ccccc1C[N@H+]1CCc2[nH]c3ccccc3c2C1 ZINC000179849559 260077600 /nfs/dbraw/zinc/07/76/00/260077600.db2.gz WGGAASLRFRKSJT-UHFFFAOYSA-N 1 2 292.382 3.735 20 0 CHADLO Clc1ccc(CNc2cccc(-n3cc[nH+]c3)c2)o1 ZINC000037507868 260113808 /nfs/dbraw/zinc/11/38/08/260113808.db2.gz RFXHZRRDTMMXTH-UHFFFAOYSA-N 1 2 273.723 3.731 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000447852078 260143399 /nfs/dbraw/zinc/14/33/99/260143399.db2.gz XWOUKBTZSPSGRE-NHYWBVRUSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000447852078 260143401 /nfs/dbraw/zinc/14/34/01/260143401.db2.gz XWOUKBTZSPSGRE-NHYWBVRUSA-N 1 2 283.362 3.773 20 0 CHADLO Cc1csc([C@H](C)Nc2cc(C)[nH+]c(C(C)C)n2)n1 ZINC000070939580 260158356 /nfs/dbraw/zinc/15/83/56/260158356.db2.gz YXPPXEDNCVKZKV-NSHDSACASA-N 1 2 276.409 3.846 20 0 CHADLO COc1ccccc1CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000078591883 260168258 /nfs/dbraw/zinc/16/82/58/260168258.db2.gz QEORCYHTWSLEEM-UHFFFAOYSA-N 1 2 282.265 3.721 20 0 CHADLO CCC1(CNc2cc(C)[nH+]c(C(C)C)n2)CCOCC1 ZINC000312650732 260188045 /nfs/dbraw/zinc/18/80/45/260188045.db2.gz FOXNBRBGFMVXBY-UHFFFAOYSA-N 1 2 277.412 3.527 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cc(Cl)ccc2C)c1 ZINC000214121415 260232233 /nfs/dbraw/zinc/23/22/33/260232233.db2.gz IKGYXNYSJDMFID-UHFFFAOYSA-N 1 2 276.767 3.973 20 0 CHADLO Cc1nc(Cn2c[nH+]c3cc(C)c(C)cc32)sc1C ZINC000088509468 260233482 /nfs/dbraw/zinc/23/34/82/260233482.db2.gz GQDPMMIGTVFLGT-UHFFFAOYSA-N 1 2 271.389 3.775 20 0 CHADLO FC(F)(F)c1cc[nH+]c(NC[C@H]2Cc3ccccc32)c1 ZINC000090802946 260262613 /nfs/dbraw/zinc/26/26/13/260262613.db2.gz VWVMBOAROVZGOM-LLVKDONJSA-N 1 2 278.277 3.852 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1N[C@@H](C)c1[nH+]ccn1C ZINC000091477987 260265896 /nfs/dbraw/zinc/26/58/96/260265896.db2.gz QUXQPWQQHSIJNC-JTQLQIEISA-N 1 2 283.297 3.920 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@@H]2c2nc3ccccc3s2)o1 ZINC000072555766 260276982 /nfs/dbraw/zinc/27/69/82/260276982.db2.gz CDPJACDSJKNRKF-CYBMUJFWSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@@H]2c2nc3ccccc3s2)o1 ZINC000072555766 260276984 /nfs/dbraw/zinc/27/69/84/260276984.db2.gz CDPJACDSJKNRKF-CYBMUJFWSA-N 1 2 299.399 3.930 20 0 CHADLO Cc1[nH+]c(-c2ccncc2)nc(N[C@H]2CCC[C@@H](C)C2)c1C ZINC000517927614 260318796 /nfs/dbraw/zinc/31/87/96/260318796.db2.gz UQGDLNIUJZBGPZ-WBMJQRKESA-N 1 2 296.418 3.568 20 0 CHADLO CCc1cccc(C[N@@H+]2CC(C)(C)[C@H]2c2nccn2C)c1 ZINC000517945727 260324136 /nfs/dbraw/zinc/32/41/36/260324136.db2.gz FNFVRGSUXNEPER-MRXNPFEDSA-N 1 2 283.419 3.566 20 0 CHADLO CCc1cccc(C[N@H+]2CC(C)(C)[C@H]2c2nccn2C)c1 ZINC000517945727 260324138 /nfs/dbraw/zinc/32/41/38/260324138.db2.gz FNFVRGSUXNEPER-MRXNPFEDSA-N 1 2 283.419 3.566 20 0 CHADLO CCCC[C@H](C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000517964868 260327564 /nfs/dbraw/zinc/32/75/64/260327564.db2.gz WBJQQTCQXWTUQI-LBPRGKRZSA-N 1 2 271.364 3.842 20 0 CHADLO Cc1ccccc1C[N@H+](Cc1cn(C(C)(C)C)nn1)C1CC1 ZINC000518036905 260337118 /nfs/dbraw/zinc/33/71/18/260337118.db2.gz DAAIKGUNRZLKQC-UHFFFAOYSA-N 1 2 298.434 3.506 20 0 CHADLO Cc1ccccc1C[N@@H+](Cc1cn(C(C)(C)C)nn1)C1CC1 ZINC000518036905 260337119 /nfs/dbraw/zinc/33/71/19/260337119.db2.gz DAAIKGUNRZLKQC-UHFFFAOYSA-N 1 2 298.434 3.506 20 0 CHADLO Cc1ccc(NCc2ccc(N(C)C(C)C)[nH+]c2)cc1 ZINC000190812458 260346195 /nfs/dbraw/zinc/34/61/95/260346195.db2.gz ZLRFBUYOHWTNEX-UHFFFAOYSA-N 1 2 269.392 3.847 20 0 CHADLO COc1cc([C@H](C)Nc2ccc(C)[nH+]c2)ccc1F ZINC000128610175 260376435 /nfs/dbraw/zinc/37/64/35/260376435.db2.gz WATBKHLEDRZEFF-NSHDSACASA-N 1 2 260.312 3.711 20 0 CHADLO Clc1cc(NCc2ccc(-n3cc[nH+]c3)cc2)ccn1 ZINC000129853115 260390403 /nfs/dbraw/zinc/39/04/03/260390403.db2.gz BPDLMDVHBUFARO-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO COc1cc(C)ccc1[NH2+][C@H]1CCC[C@H](c2ccnn2C)C1 ZINC000448021030 260567084 /nfs/dbraw/zinc/56/70/84/260567084.db2.gz GHONLCSPERBXOL-GJZGRUSLSA-N 1 2 299.418 3.875 20 0 CHADLO Cn1cc[nH+]c1CNc1ccccc1SC(F)(F)F ZINC000051921716 260975168 /nfs/dbraw/zinc/97/51/68/260975168.db2.gz SMZSAWPUUSVYBO-UHFFFAOYSA-N 1 2 287.310 3.644 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](c1ccccc1)C1CC1 ZINC000153164308 261074141 /nfs/dbraw/zinc/07/41/41/261074141.db2.gz CIBVAWSUAGCHGI-INIZCTEOSA-N 1 2 266.344 3.522 20 0 CHADLO c1cn(-c2cccc(OCc3csc(C4CC4)n3)c2)c[nH+]1 ZINC000153357973 261077165 /nfs/dbraw/zinc/07/71/65/261077165.db2.gz AFRHYFNUNWLKQH-UHFFFAOYSA-N 1 2 297.383 3.785 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@H](C)[NH2+][C@H](C)c1nccs1 ZINC000153373541 261077665 /nfs/dbraw/zinc/07/76/65/261077665.db2.gz XDCQGBWBGMLPIW-CMPLNLGQSA-N 1 2 292.452 3.815 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](C)Cc1ccccc1Cl ZINC000153522045 261079744 /nfs/dbraw/zinc/07/97/44/261079744.db2.gz VMDMBAGPCYIYLQ-NSHDSACASA-N 1 2 288.778 3.861 20 0 CHADLO CC[C@@H](COC)Nc1ccc(-c2cn3c([nH+]2)CCCC3)cc1 ZINC000153556038 261080300 /nfs/dbraw/zinc/08/03/00/261080300.db2.gz DAYLUMAWNZMLIN-HNNXBMFYSA-N 1 2 299.418 3.723 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3ncc[nH]3)CCCCC2)c(F)c1 ZINC000154154646 261088044 /nfs/dbraw/zinc/08/80/44/261088044.db2.gz KLAKFUQTVRHKFI-UHFFFAOYSA-N 1 2 291.345 3.637 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2ncc(C(C)(C)C)s2)[C@H]1C ZINC000448337745 261118445 /nfs/dbraw/zinc/11/84/45/261118445.db2.gz TWYRXUIOZMLMPI-WDEREUQCSA-N 1 2 284.494 3.766 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2ncc(C(C)(C)C)s2)[C@H]1C ZINC000448337745 261118446 /nfs/dbraw/zinc/11/84/46/261118446.db2.gz TWYRXUIOZMLMPI-WDEREUQCSA-N 1 2 284.494 3.766 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1ccccc1OC)c1ccc(C)o1 ZINC000156424678 261122624 /nfs/dbraw/zinc/12/26/24/261122624.db2.gz BNBWDUYJRVDSQF-UKRRQHHQSA-N 1 2 289.375 3.635 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1cccnc1Cl)c1ccc(C)o1 ZINC000156418543 261122730 /nfs/dbraw/zinc/12/27/30/261122730.db2.gz WDMPBKSEBCCQPG-WCQYABFASA-N 1 2 294.782 3.675 20 0 CHADLO COC[C@H]([NH2+][C@@H](C)c1cccnc1Cl)c1ccc(C)o1 ZINC000156418676 261123047 /nfs/dbraw/zinc/12/30/47/261123047.db2.gz WDMPBKSEBCCQPG-AAEUAGOBSA-N 1 2 294.782 3.675 20 0 CHADLO CC(C)(C)c1cnc(C[NH+]2CC(C)(C)OC(C)(C)C2)s1 ZINC000448360919 261126194 /nfs/dbraw/zinc/12/61/94/261126194.db2.gz VFTGYOBTLHSXJI-UHFFFAOYSA-N 1 2 296.480 3.830 20 0 CHADLO CC(C)COC[C@@H]([NH2+][C@H](C)c1ccccn1)c1ccco1 ZINC000158922032 261174187 /nfs/dbraw/zinc/17/41/87/261174187.db2.gz KDOGOFFRTRZPBA-GDBMZVCRSA-N 1 2 288.391 3.739 20 0 CHADLO Cc1ccc(NC(=O)c2cc3ccccc3o2)c(C)[nH+]1 ZINC000176206525 261270315 /nfs/dbraw/zinc/27/03/15/261270315.db2.gz QKFYSJGRCRBORK-UHFFFAOYSA-N 1 2 266.300 3.697 20 0 CHADLO CC[C@H](C)Sc1[nH+]cnc2c1cnn2-c1ccccc1 ZINC000102892179 261271614 /nfs/dbraw/zinc/27/16/14/261271614.db2.gz GDKGTVWURUXIJA-NSHDSACASA-N 1 2 284.388 3.706 20 0 CHADLO Cc1cc(N2CCc3ncsc3C2)c2cccc(F)c2[nH+]1 ZINC000445663433 261293167 /nfs/dbraw/zinc/29/31/67/261293167.db2.gz YHWCJRUJCULZRQ-UHFFFAOYSA-N 1 2 299.374 3.702 20 0 CHADLO C[C@H](Nc1cc[nH+]c(C2CC2)n1)c1cccc(F)c1F ZINC000162876109 261297134 /nfs/dbraw/zinc/29/71/34/261297134.db2.gz FKTSZUUGNLTWGM-VIFPVBQESA-N 1 2 275.302 3.805 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(-c3ccccc3)o2)on1 ZINC000105455713 261310948 /nfs/dbraw/zinc/31/09/48/261310948.db2.gz UTZOHVKFYFKKOV-UHFFFAOYSA-N 1 2 268.316 3.533 20 0 CHADLO CC[C@H]([NH2+]Cc1nccs1)c1ccccc1F ZINC000163472783 261318213 /nfs/dbraw/zinc/31/82/13/261318213.db2.gz QLGROGWVCXILJS-LBPRGKRZSA-N 1 2 250.342 3.523 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1nc2ccccc2n1C ZINC000107913598 261381849 /nfs/dbraw/zinc/38/18/49/261381849.db2.gz SAPFEKFPSSSBOO-UHFFFAOYSA-N 1 2 294.402 3.560 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@@H](C)c1ncc(-c2ccccc2)o1 ZINC000174001625 261553332 /nfs/dbraw/zinc/55/33/32/261553332.db2.gz NMSGWLFRYMHOLM-LBPRGKRZSA-N 1 2 297.358 3.797 20 0 CHADLO CCOc1ccc2cc(C(=O)Nc3cc[nH+]cc3C)[nH]c2c1 ZINC000107292697 261882751 /nfs/dbraw/zinc/88/27/51/261882751.db2.gz CLCILMKFHLTPAE-UHFFFAOYSA-N 1 2 295.342 3.522 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1cccc2ccccc21 ZINC000107285919 261884580 /nfs/dbraw/zinc/88/45/80/261884580.db2.gz VKHUWRGCCWMTBA-UHFFFAOYSA-N 1 2 262.312 3.796 20 0 CHADLO CC[C@H]([NH2+]Cc1cocn1)c1ccccc1OC(F)F ZINC000182060878 261921058 /nfs/dbraw/zinc/92/10/58/261921058.db2.gz WVTUVTVATWTEAD-LBPRGKRZSA-N 1 2 282.290 3.517 20 0 CHADLO CC(=O)CCCC(=O)Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1 ZINC000184725399 262028100 /nfs/dbraw/zinc/02/81/00/262028100.db2.gz BHIFXEPAEAUZMW-UHFFFAOYSA-N 1 2 299.374 3.528 20 0 CHADLO CC(C)c1cc(N2CCC[C@@H]2c2ncc[nH]2)nc(C(C)C)[nH+]1 ZINC000364034979 262109417 /nfs/dbraw/zinc/10/94/17/262109417.db2.gz PFWCJRRBHAUAIC-CQSZACIVSA-N 1 2 299.422 3.788 20 0 CHADLO CCCOc1cccc2c(N[C@@H]3CCSC3)cc[nH+]c12 ZINC000497213234 262110696 /nfs/dbraw/zinc/11/06/96/262110696.db2.gz FRQABVFAFJPCQN-GFCCVEGCSA-N 1 2 288.416 3.941 20 0 CHADLO CC(C)[C@H](C)C(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1 ZINC000497550628 262175179 /nfs/dbraw/zinc/17/51/79/262175179.db2.gz SNZUFKYJMGUWJX-ZDUSSCGKSA-N 1 2 299.418 3.993 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2ccccc2[nH]1)c1c(F)cccc1F ZINC000054375435 262268373 /nfs/dbraw/zinc/26/83/73/262268373.db2.gz JMONJSXBQFHEPE-SNVBAGLBSA-N 1 2 287.313 3.692 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nn(C)cc2Cl)cc1C ZINC000393478746 262379803 /nfs/dbraw/zinc/37/98/03/262379803.db2.gz CBUKESLLEHUVTM-LBPRGKRZSA-N 1 2 277.799 3.541 20 0 CHADLO Cc1cc(N)nc(SCc2ccccc2C(F)(F)F)[nH+]1 ZINC000022090305 262632472 /nfs/dbraw/zinc/63/24/72/262632472.db2.gz RZNOBOSMJMTLOH-UHFFFAOYSA-N 1 2 299.321 3.678 20 0 CHADLO CC[C@H]([NH2+]c1ccc(N2CCOCC2)cc1C)[C@@H]1C[C@H]1C ZINC000414109728 262806316 /nfs/dbraw/zinc/80/63/16/262806316.db2.gz VEVBGFPOMINJEX-XYPHTWIQSA-N 1 2 288.435 3.678 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccn(CC)n1)c1cccc(Cl)c1F ZINC000414208280 262825120 /nfs/dbraw/zinc/82/51/20/262825120.db2.gz LFQNNVVXICFCHO-CQSZACIVSA-N 1 2 295.789 3.936 20 0 CHADLO c1ccc2cc(C[NH2+]Cc3nnc(C4CC4)s3)ccc2c1 ZINC000414560607 262994258 /nfs/dbraw/zinc/99/42/58/262994258.db2.gz XBAXFCXWLDPVDC-UHFFFAOYSA-N 1 2 295.411 3.859 20 0 CHADLO COc1ccnc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)c1 ZINC000273198138 263013796 /nfs/dbraw/zinc/01/37/96/263013796.db2.gz SCOUHUZGSMIIDK-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO CCC(CC)C(=O)Nc1ccc2[nH+]c(N(C)C)ccc2c1 ZINC000195705530 263021288 /nfs/dbraw/zinc/02/12/88/263021288.db2.gz PHNKHRPNJKTNQO-UHFFFAOYSA-N 1 2 285.391 3.676 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@H](C)c2cc(C)oc2C)[nH]1 ZINC000278871384 263051452 /nfs/dbraw/zinc/05/14/52/263051452.db2.gz WZOHHJANERXWJM-WCQGTBRESA-N 1 2 290.411 3.940 20 0 CHADLO CC(C)Cn1cc(CNc2ccc3c(c2)CCC[NH2+]3)cn1 ZINC000414846704 263062263 /nfs/dbraw/zinc/06/22/63/263062263.db2.gz HNHSZWOFLUHCPK-UHFFFAOYSA-N 1 2 284.407 3.509 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](C)c2ccc(SC)cc2)n1 ZINC000281222041 263067357 /nfs/dbraw/zinc/06/73/57/263067357.db2.gz BTFXINSAIUYVRT-NSHDSACASA-N 1 2 291.420 3.595 20 0 CHADLO Cn1cc(CNc2ccc3c(c2)CCC[NH2+]3)c(C(C)(C)C)n1 ZINC000414851248 263067530 /nfs/dbraw/zinc/06/75/30/263067530.db2.gz NYXONEANCSYLAL-UHFFFAOYSA-N 1 2 298.434 3.688 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccccc1C(F)(F)F ZINC000148667499 263071696 /nfs/dbraw/zinc/07/16/96/263071696.db2.gz WYKLXVJSBHWZGR-SECBINFHSA-N 1 2 270.254 3.544 20 0 CHADLO COc1ccccc1[C@H](C)[NH2+][C@@H](C)c1c(F)cncc1F ZINC000285499284 263093561 /nfs/dbraw/zinc/09/35/61/263093561.db2.gz AFQIOZNWMKLOCM-QWRGUYRKSA-N 1 2 292.329 3.780 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1ccccc1F ZINC000285496991 263093585 /nfs/dbraw/zinc/09/35/85/263093585.db2.gz MWJLTIFNDDOIAQ-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1nccc2ccccc21 ZINC000453293951 263222034 /nfs/dbraw/zinc/22/20/34/263222034.db2.gz PJQAAIJEMQVBOF-GHMZBOCLSA-N 1 2 264.319 3.929 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+]Cc1c(Cl)cccc1Cl ZINC000525857623 263268276 /nfs/dbraw/zinc/26/82/76/263268276.db2.gz BCBNVKZDSOUJPJ-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO Cc1cc[nH+]c(NCC[C@@H]2CCO[C@@H](C)C2)c1Cl ZINC000342830771 263513589 /nfs/dbraw/zinc/51/35/89/263513589.db2.gz KWCILAYVCARDBY-NWDGAFQWSA-N 1 2 268.788 3.661 20 0 CHADLO Fc1ccc([C@H](Nc2cccc(F)c2)c2[nH]cc[nH+]2)cc1 ZINC000188311837 263764686 /nfs/dbraw/zinc/76/46/86/263764686.db2.gz BOBXTPUPHAMNPT-HNNXBMFYSA-N 1 2 285.297 3.889 20 0 CHADLO CC[C@@H]1C[C@H](CC(=O)Nc2c(C)cc(C)[nH+]c2C)CCO1 ZINC000330784463 264052405 /nfs/dbraw/zinc/05/24/05/264052405.db2.gz KASDNTQNLBHIEU-HUUCEWRRSA-N 1 2 290.407 3.541 20 0 CHADLO Fc1ccc(C[NH+]2CC(CC(F)F)C2)c(C(F)(F)F)c1 ZINC000425367053 264212130 /nfs/dbraw/zinc/21/21/30/264212130.db2.gz RULQMKXEZIFTHI-UHFFFAOYSA-N 1 2 297.242 3.932 20 0 CHADLO CS[C@H]1CC[C@@H]1Nc1ccc(-n2c[nH+]c(C)c2C)cc1 ZINC000425377786 264216660 /nfs/dbraw/zinc/21/66/60/264216660.db2.gz IFORVUUUZFXSLU-HOTGVXAUSA-N 1 2 287.432 3.795 20 0 CHADLO Cc1ccc(N[C@H](C)c2[nH+]ccn2C)cc1Br ZINC000070445525 264236187 /nfs/dbraw/zinc/23/61/87/264236187.db2.gz DJXDSYFKLWDXHF-SNVBAGLBSA-N 1 2 294.196 3.664 20 0 CHADLO Cc1cc(NC[C@@H]2CCC[C@@H](O)C2)c2cccc(F)c2[nH+]1 ZINC000127159649 264241160 /nfs/dbraw/zinc/24/11/60/264241160.db2.gz KRQOWEYUGMXRRX-CHWSQXEVSA-N 1 2 288.366 3.645 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1ncccc1F ZINC000127654271 264264064 /nfs/dbraw/zinc/26/40/64/264264064.db2.gz WWOVDXOPGGHZAS-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c(C2CC2)n1)c1c(C)noc1C ZINC000161664785 264293791 /nfs/dbraw/zinc/29/37/91/264293791.db2.gz DMSAUAOWKQRKOR-GFCCVEGCSA-N 1 2 272.352 3.522 20 0 CHADLO C[N@H+](CC(F)F)CC1CCC(C(F)(F)F)CC1 ZINC000468407227 264350675 /nfs/dbraw/zinc/35/06/75/264350675.db2.gz CWKCWPKBNYYTLL-UHFFFAOYSA-N 1 2 259.262 3.552 20 0 CHADLO C[N@@H+](CC(F)F)CC1CCC(C(F)(F)F)CC1 ZINC000468407227 264350678 /nfs/dbraw/zinc/35/06/78/264350678.db2.gz CWKCWPKBNYYTLL-UHFFFAOYSA-N 1 2 259.262 3.552 20 0 CHADLO C[C@H]1SCC[N@@H+](Cc2csc(C(C)(C)C)n2)[C@@H]1C ZINC000118412742 264357182 /nfs/dbraw/zinc/35/71/82/264357182.db2.gz AXYIZWSCAXQJPZ-GHMZBOCLSA-N 1 2 284.494 3.766 20 0 CHADLO C[C@H]1SCC[N@H+](Cc2csc(C(C)(C)C)n2)[C@@H]1C ZINC000118412742 264357180 /nfs/dbraw/zinc/35/71/80/264357180.db2.gz AXYIZWSCAXQJPZ-GHMZBOCLSA-N 1 2 284.494 3.766 20 0 CHADLO CCc1cccc(OCC(=O)Nc2c(C)cc(C)[nH+]c2C)c1 ZINC000518978653 265272663 /nfs/dbraw/zinc/27/26/63/265272663.db2.gz JKJAYGRHGSCDSM-UHFFFAOYSA-N 1 2 298.386 3.587 20 0 CHADLO CCC[C@@H]([NH2+][C@H](C)c1ccc(Cl)cc1)C(=O)OCC ZINC000096867249 265392373 /nfs/dbraw/zinc/39/23/73/265392373.db2.gz BEZNCBBBQYTQOK-BXUZGUMPSA-N 1 2 283.799 3.722 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccsc2Cl)[C@@H]1[C@@H]1CCCO1 ZINC000514638874 266023150 /nfs/dbraw/zinc/02/31/50/266023150.db2.gz LKFYERUDJYNUNE-NWDGAFQWSA-N 1 2 285.840 3.791 20 0 CHADLO CC1(C)C[N@H+](Cc2ccsc2Cl)[C@@H]1[C@@H]1CCCO1 ZINC000514638874 266023147 /nfs/dbraw/zinc/02/31/47/266023147.db2.gz LKFYERUDJYNUNE-NWDGAFQWSA-N 1 2 285.840 3.791 20 0 CHADLO C[C@@H](O)CNc1ccc2c(Cl)c3c([nH+]c2c1)CCCC3 ZINC000514638974 266024412 /nfs/dbraw/zinc/02/44/12/266024412.db2.gz PUYIRMAFTSVJEK-SNVBAGLBSA-N 1 2 290.794 3.560 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@H]2/C=C\c2ccccc2)o1 ZINC000356346737 266099048 /nfs/dbraw/zinc/09/90/48/266099048.db2.gz NWXQEJWNTUBGFA-SNGWPZLWSA-N 1 2 297.402 3.871 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@H]2/C=C\c2ccccc2)o1 ZINC000356346737 266099052 /nfs/dbraw/zinc/09/90/52/266099052.db2.gz NWXQEJWNTUBGFA-SNGWPZLWSA-N 1 2 297.402 3.871 20 0 CHADLO c1cn(-c2cccc(-c3noc(-c4ccccc4)n3)c2)c[nH+]1 ZINC000356589664 266143828 /nfs/dbraw/zinc/14/38/28/266143828.db2.gz IWGAOFWQGIIBBR-UHFFFAOYSA-N 1 2 288.310 3.589 20 0 CHADLO Cc1ccc(Cc2nc([C@H](C)Oc3ccccc3)no2)c[nH+]1 ZINC000356905317 266210288 /nfs/dbraw/zinc/21/02/88/266210288.db2.gz ACDRJUZWQGEZML-ZDUSSCGKSA-N 1 2 295.342 3.504 20 0 CHADLO C[C@@H]1C[NH+](Cc2ccc(Oc3ccccc3)o2)C[C@@H](C)O1 ZINC000356935225 266214211 /nfs/dbraw/zinc/21/42/11/266214211.db2.gz ZBMHACAXNQXTNK-ZIAGYGMSSA-N 1 2 287.359 3.681 20 0 CHADLO Cc1ccc(-c2ncc(C[N@@H+](C)Cc3cccnc3)s2)o1 ZINC000357215170 266266827 /nfs/dbraw/zinc/26/68/27/266266827.db2.gz QHINHXQRCJXOQA-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(-c2ncc(C[N@H+](C)Cc3cccnc3)s2)o1 ZINC000357215170 266266831 /nfs/dbraw/zinc/26/68/31/266266831.db2.gz QHINHXQRCJXOQA-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H](C)C(F)(F)F ZINC000357941758 266387972 /nfs/dbraw/zinc/38/79/72/266387972.db2.gz SWBHRLNEUHGXLJ-SECBINFHSA-N 1 2 297.280 3.522 20 0 CHADLO CCSc1ccccc1[C@@H](C)[NH2+]Cc1cn[nH]c1C ZINC000358171555 266433518 /nfs/dbraw/zinc/43/35/18/266433518.db2.gz RRGFJKJLHMYEBI-GFCCVEGCSA-N 1 2 275.421 3.681 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2cc3c(cc(C)cc3C)[nH]2)no1 ZINC000359078025 266531826 /nfs/dbraw/zinc/53/18/26/266531826.db2.gz BYRJYNWWSPOCOM-UHFFFAOYSA-N 1 2 298.390 3.501 20 0 CHADLO COc1cc[nH+]c(CN(C)Cc2c(F)cccc2Cl)c1 ZINC000359128938 266539845 /nfs/dbraw/zinc/53/98/45/266539845.db2.gz JMBXMYPITOYZMR-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CCCC[C@@H](C)N(C)C(=O)c1ccc2[nH+]c(C)n(C)c2c1 ZINC000359706328 266627780 /nfs/dbraw/zinc/62/77/80/266627780.db2.gz JQTTVSMMFUSAOG-GFCCVEGCSA-N 1 2 287.407 3.532 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@@H+]1CCO[C@@H](C2CC2)C1 ZINC000362555671 267006922 /nfs/dbraw/zinc/00/69/22/267006922.db2.gz LTGKHZSUNUEILQ-CXAGYDPISA-N 1 2 298.386 3.513 20 0 CHADLO C[C@H](c1ncc(-c2ccccc2)o1)[N@H+]1CCO[C@@H](C2CC2)C1 ZINC000362555671 267006925 /nfs/dbraw/zinc/00/69/25/267006925.db2.gz LTGKHZSUNUEILQ-CXAGYDPISA-N 1 2 298.386 3.513 20 0 CHADLO Fc1c[nH+]ccc1NCc1cccc(Cl)c1Cl ZINC000362802443 267048517 /nfs/dbraw/zinc/04/85/17/267048517.db2.gz SUGRXXZAMIJOCV-UHFFFAOYSA-N 1 2 271.122 3.562 20 0 CHADLO CN(Cc1ccccc1Cl)c1cc[nH+]c2ccncc21 ZINC000362835890 267053017 /nfs/dbraw/zinc/05/30/17/267053017.db2.gz BNMTYQYWCJQCRL-UHFFFAOYSA-N 1 2 283.762 3.920 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2ccc3ccccc3n2)no1 ZINC000362932475 267063083 /nfs/dbraw/zinc/06/30/83/267063083.db2.gz OMTBXGPRHHRLIX-SFHVURJKSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2ccc3ccccc3n2)no1 ZINC000362932475 267063086 /nfs/dbraw/zinc/06/30/86/267063086.db2.gz OMTBXGPRHHRLIX-SFHVURJKSA-N 1 2 293.370 3.868 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)/C=C/C3CC3)c2)[nH+]c1C ZINC000363270430 267083622 /nfs/dbraw/zinc/08/36/22/267083622.db2.gz XKYMILOHKLQIDQ-CMDGGOBGSA-N 1 2 281.359 3.598 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)C=CC3CC3)c2)[nH+]c1C ZINC000363270430 267083624 /nfs/dbraw/zinc/08/36/24/267083624.db2.gz XKYMILOHKLQIDQ-CMDGGOBGSA-N 1 2 281.359 3.598 20 0 CHADLO C[C@@H]1CCc2c(F)cccc2[C@H]1[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367527442 267097333 /nfs/dbraw/zinc/09/73/33/267097333.db2.gz OMLINQUBNFPRKQ-VOZBZGINSA-N 1 2 299.393 3.839 20 0 CHADLO Cc1ccc([C@@H](N[C@H](C)c2[nH]cc[nH+]2)c2ccccn2)cc1 ZINC000367600171 267103347 /nfs/dbraw/zinc/10/33/47/267103347.db2.gz ZPWWSYADGDEWMP-RHSMWYFYSA-N 1 2 292.386 3.553 20 0 CHADLO Cc1c2ccccc2oc1C[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367601154 267103472 /nfs/dbraw/zinc/10/34/72/267103472.db2.gz MRHBXOBSBXMOCC-AWEZNQCLSA-N 1 2 281.359 3.632 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H]2c3ccc(F)cc3O[C@@H]2C)cs1 ZINC000368584715 267188903 /nfs/dbraw/zinc/18/89/03/267188903.db2.gz NWFJWKXQTIBJSH-IQMYEBEASA-N 1 2 292.379 3.763 20 0 CHADLO c1cc([C@@H]2CCC[N@H+]2Cc2cn3ccsc3n2)cs1 ZINC000368911315 267213180 /nfs/dbraw/zinc/21/31/80/267213180.db2.gz PLHAPIHXMKHORL-ZDUSSCGKSA-N 1 2 289.429 3.794 20 0 CHADLO c1cc([C@@H]2CCC[N@@H+]2Cc2cn3ccsc3n2)cs1 ZINC000368911315 267213181 /nfs/dbraw/zinc/21/31/81/267213181.db2.gz PLHAPIHXMKHORL-ZDUSSCGKSA-N 1 2 289.429 3.794 20 0 CHADLO Cc1cc(NC(=O)c2cccc(-n3cc[nH+]c3)c2)ccc1F ZINC000117650560 267217731 /nfs/dbraw/zinc/21/77/31/267217731.db2.gz SQWYBWOCAZWJLN-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cnc(C[NH2+]Cc2coc(-c3ccc(C)cc3)n2)s1 ZINC000118947794 267280627 /nfs/dbraw/zinc/28/06/27/267280627.db2.gz COHVDAOKLWBUMN-UHFFFAOYSA-N 1 2 299.399 3.705 20 0 CHADLO CCOCCC[N@@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000119062300 267295166 /nfs/dbraw/zinc/29/51/66/267295166.db2.gz ARGYQFLTKHUPOC-UHFFFAOYSA-N 1 2 292.810 3.606 20 0 CHADLO CCOCCC[N@H+]1CCc2[nH]c3ccc(Cl)cc3c2C1 ZINC000119062300 267295167 /nfs/dbraw/zinc/29/51/67/267295167.db2.gz ARGYQFLTKHUPOC-UHFFFAOYSA-N 1 2 292.810 3.606 20 0 CHADLO Cc1cc(NC(=O)Nc2cccc(COC(C)C)c2)cc[nH+]1 ZINC000119370626 267325498 /nfs/dbraw/zinc/32/54/98/267325498.db2.gz GDDLKXQSPSTQHE-UHFFFAOYSA-N 1 2 299.374 3.959 20 0 CHADLO CCOc1cccc([C@H](C)NC(=O)Nc2cc[nH+]c(C)c2)c1 ZINC000119403562 267332916 /nfs/dbraw/zinc/33/29/16/267332916.db2.gz HVBLIJHSWAUULT-ZDUSSCGKSA-N 1 2 299.374 3.671 20 0 CHADLO Cc1ccc([C@@H](C)NC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000119422502 267333679 /nfs/dbraw/zinc/33/36/79/267333679.db2.gz PNSGTLOBZSMOBZ-CYBMUJFWSA-N 1 2 269.348 3.581 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000371171042 267359169 /nfs/dbraw/zinc/35/91/69/267359169.db2.gz SHIQFGWQHGNBJC-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CCCCCC[C@@H](C)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000371171042 267359173 /nfs/dbraw/zinc/35/91/73/267359173.db2.gz SHIQFGWQHGNBJC-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ncnn2CC(C)C)cc1 ZINC000374877823 267818576 /nfs/dbraw/zinc/81/85/76/267818576.db2.gz OSCOJRKWOKVBQH-KRWDZBQOSA-N 1 2 298.434 3.580 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ncnn2CC(C)C)cc1 ZINC000374877823 267818577 /nfs/dbraw/zinc/81/85/77/267818577.db2.gz OSCOJRKWOKVBQH-KRWDZBQOSA-N 1 2 298.434 3.580 20 0 CHADLO FC(F)(F)c1csc(C[NH+]2CCC3(CC3)CC2)n1 ZINC000376799879 268019361 /nfs/dbraw/zinc/01/93/61/268019361.db2.gz VGYUBMBBTULFRN-UHFFFAOYSA-N 1 2 276.327 3.538 20 0 CHADLO Cc1csc(C[N@@H+](C)[C@H](C)c2cccs2)n1 ZINC000129453609 526340707 /nfs/dbraw/zinc/34/07/07/526340707.db2.gz PJZDNBWWFWZTTK-SNVBAGLBSA-N 1 2 252.408 3.706 20 0 CHADLO Cc1csc(C[N@H+](C)[C@H](C)c2cccs2)n1 ZINC000129453609 526340714 /nfs/dbraw/zinc/34/07/14/526340714.db2.gz PJZDNBWWFWZTTK-SNVBAGLBSA-N 1 2 252.408 3.706 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C2=CC[C@H](C)CC2)n1 ZINC000580158191 327715190 /nfs/dbraw/zinc/71/51/90/327715190.db2.gz LBOXBLMDPKBRHI-LBPRGKRZSA-N 1 2 283.375 3.762 20 0 CHADLO Cc1c[nH+]c(CCS[C@@H](C)c2cnccn2)c(C)c1 ZINC000567882745 327930666 /nfs/dbraw/zinc/93/06/66/327930666.db2.gz BRENJRZVCXLSBR-ZDUSSCGKSA-N 1 2 273.405 3.525 20 0 CHADLO C[C@H](CC(=O)N[C@@H]1CCCC[C@H]1C(C)(C)C)n1cc[nH+]c1 ZINC000563210514 327965526 /nfs/dbraw/zinc/96/55/26/327965526.db2.gz GGHWHGSJDYJKOQ-RBSFLKMASA-N 1 2 291.439 3.555 20 0 CHADLO c1cc(C[NH2+][C@H]2CCCc3c4ccccc4sc32)[nH]n1 ZINC000531720518 328028553 /nfs/dbraw/zinc/02/85/53/328028553.db2.gz UPFCQPAXXIVWAC-AWEZNQCLSA-N 1 2 283.400 3.792 20 0 CHADLO Cc1cc(N[C@@H]2CCO[C@@H]2C2CC2)c2cccc(F)c2[nH+]1 ZINC000534061946 328033492 /nfs/dbraw/zinc/03/34/92/328033492.db2.gz JJKIKHNLVZXBCS-RHSMWYFYSA-N 1 2 286.350 3.662 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2CC[C@@H]3CCCC[C@H]3C2)c(C)c[nH+]1 ZINC000534299306 328044028 /nfs/dbraw/zinc/04/40/28/328044028.db2.gz UXESTZNDKOBWSE-HRCADAONSA-N 1 2 286.419 3.665 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@H](C)c1cscn1 ZINC000534330010 328046509 /nfs/dbraw/zinc/04/65/09/328046509.db2.gz HZNCUJOTEPQLIB-NXEZZACHSA-N 1 2 280.368 3.703 20 0 CHADLO CCn1c(C[N@H+](C)Cc2cccs2)nc2ccccc21 ZINC000534336240 328049350 /nfs/dbraw/zinc/04/93/50/328049350.db2.gz NGUXVNQWLZYYDJ-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO CCn1c(C[N@@H+](C)Cc2cccs2)nc2ccccc21 ZINC000534336240 328049352 /nfs/dbraw/zinc/04/93/52/328049352.db2.gz NGUXVNQWLZYYDJ-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CCOc2c(Cl)cccc21 ZINC000531870917 328053094 /nfs/dbraw/zinc/05/30/94/328053094.db2.gz ONSZZEDDGHKYBK-UHFFFAOYSA-N 1 2 291.782 3.516 20 0 CHADLO COc1ccsc1C[NH2+]CC(F)(F)c1ccccc1 ZINC000563484038 328069130 /nfs/dbraw/zinc/06/91/30/328069130.db2.gz STILZIMXBPBILT-UHFFFAOYSA-N 1 2 283.343 3.638 20 0 CHADLO Cc1ccc(SCCc2[nH+]cc(C)cc2C)nc1 ZINC000563534976 328072393 /nfs/dbraw/zinc/07/23/93/328072393.db2.gz MRTHRWAJDMXHDM-UHFFFAOYSA-N 1 2 258.390 3.737 20 0 CHADLO CCCCC[C@H]1CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000563636503 328079692 /nfs/dbraw/zinc/07/96/92/328079692.db2.gz MBELATVIFLMNBW-INIZCTEOSA-N 1 2 299.418 3.828 20 0 CHADLO Cc1cc(CNC(=O)[C@H]2CCCC[C@H]2C2CC2)cc(C)[nH+]1 ZINC000571089305 328085623 /nfs/dbraw/zinc/08/56/23/328085623.db2.gz QTRAKKTUBLVWRI-IRXDYDNUSA-N 1 2 286.419 3.531 20 0 CHADLO Cc1cc(N2CC(C)(C)C[C@H]2c2ccccc2)nc[nH+]1 ZINC000425289308 328099534 /nfs/dbraw/zinc/09/95/34/328099534.db2.gz YOVIIWJGSOWPSH-HNNXBMFYSA-N 1 2 267.376 3.763 20 0 CHADLO CC(C)c1nc(N2C[C@@H]3C[C@H]2CS3)cc(C(C)(C)C)[nH+]1 ZINC000413464053 328146633 /nfs/dbraw/zinc/14/66/33/328146633.db2.gz YXQQMFYELAQTOP-RYUDHWBXSA-N 1 2 291.464 3.592 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@@H](C)c2cccc(F)c2)cs1 ZINC000267020267 328171633 /nfs/dbraw/zinc/17/16/33/328171633.db2.gz QQBIZOAQCCKEEY-WDEREUQCSA-N 1 2 294.395 3.840 20 0 CHADLO CCCCOc1ncccc1C[NH2+][C@@H](C)c1cscn1 ZINC000271139568 328195365 /nfs/dbraw/zinc/19/53/65/328195365.db2.gz NYVDPVOFEIBTDG-LBPRGKRZSA-N 1 2 291.420 3.568 20 0 CHADLO COc1cc(C[NH2+][C@@H](C)c2csnn2)cc2ccccc21 ZINC000414533458 328192499 /nfs/dbraw/zinc/19/24/99/328192499.db2.gz BLISJQIXHAFHNX-NSHDSACASA-N 1 2 299.399 3.551 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+][C@@H](C)c2nnc(C)s2)c1 ZINC000274241564 328210235 /nfs/dbraw/zinc/21/02/35/328210235.db2.gz QUBNWCUKWHPMRV-MNOVXSKESA-N 1 2 291.420 3.657 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@H]1C[C@@H](C(C)C)c2ccccc21 ZINC000278893679 328231743 /nfs/dbraw/zinc/23/17/43/328231743.db2.gz YVBQMFSKQHJPAR-IRXDYDNUSA-N 1 2 269.392 3.692 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000279902881 328233589 /nfs/dbraw/zinc/23/35/89/328233589.db2.gz VFNVTPPKCQDXRS-DOMZBBRYSA-N 1 2 288.391 3.636 20 0 CHADLO C[C@H](c1nc2ccccc2o1)[N@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000279902881 328233590 /nfs/dbraw/zinc/23/35/90/328233590.db2.gz VFNVTPPKCQDXRS-DOMZBBRYSA-N 1 2 288.391 3.636 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ccc(C)cc2)c1 ZINC000534519639 328305281 /nfs/dbraw/zinc/30/52/81/328305281.db2.gz YOKZQHSFAKWIJJ-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO C[C@@H]([NH2+]Cc1c(Cl)cccc1Cl)c1cnccn1 ZINC000531949718 328327297 /nfs/dbraw/zinc/32/72/97/328327297.db2.gz DYTWCMCCSHSWPP-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO Cn1c2ccc(NC(=O)C3CCCC3)cc2[nH+]c1C(C)(C)C ZINC000534812861 328362780 /nfs/dbraw/zinc/36/27/80/328362780.db2.gz AIVLZRFHVJTMDL-UHFFFAOYSA-N 1 2 299.418 4.000 20 0 CHADLO CCOC(=O)[C@@H](CC)[NH2+][C@@H](c1ccc(F)cc1)C1CCC1 ZINC000303926876 328369323 /nfs/dbraw/zinc/36/93/23/328369323.db2.gz PWPQHHCXASBRBD-HZPDHXFCSA-N 1 2 293.382 3.598 20 0 CHADLO CCCC[C@@H]([NH2+]Cc1csc2ccccc12)C(=O)OC ZINC000534951082 328370682 /nfs/dbraw/zinc/37/06/82/328370682.db2.gz KJNDQSSEUSXDHP-CQSZACIVSA-N 1 2 291.416 3.723 20 0 CHADLO Cc1ccc(Cc2nc(C3CCCCCC3)no2)c[nH+]1 ZINC000535020548 328377888 /nfs/dbraw/zinc/37/78/88/328377888.db2.gz WFZQVTGBVGLHRW-UHFFFAOYSA-N 1 2 271.364 3.802 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@@H+]2CC[C@](C)(F)C2)o1 ZINC000535044150 328379964 /nfs/dbraw/zinc/37/99/64/328379964.db2.gz SUMVNHKSEIKIFZ-INIZCTEOSA-N 1 2 274.339 3.584 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@H+]2CC[C@](C)(F)C2)o1 ZINC000535044150 328379966 /nfs/dbraw/zinc/37/99/66/328379966.db2.gz SUMVNHKSEIKIFZ-INIZCTEOSA-N 1 2 274.339 3.584 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCCC[N@@H+]1Cc1ccco1 ZINC000535078183 328382707 /nfs/dbraw/zinc/38/27/07/328382707.db2.gz PMUIXXWUUGIWNN-KRWDZBQOSA-N 1 2 295.386 3.894 20 0 CHADLO Cn1c2ccccc2nc1[C@@H]1CCCC[N@H+]1Cc1ccco1 ZINC000535078183 328382708 /nfs/dbraw/zinc/38/27/08/328382708.db2.gz PMUIXXWUUGIWNN-KRWDZBQOSA-N 1 2 295.386 3.894 20 0 CHADLO COC(=O)[C@@H]([NH2+]C[C@H]1CC=CCC1)c1ccc(Cl)cc1 ZINC000428086101 328490790 /nfs/dbraw/zinc/49/07/90/328490790.db2.gz CUXPWMVBLUGTSJ-WFASDCNBSA-N 1 2 293.794 3.500 20 0 CHADLO FC(F)CN(Cc1ccccc1)c1cc[nH+]c(C2CC2)n1 ZINC000133028819 328496301 /nfs/dbraw/zinc/49/63/01/328496301.db2.gz BIUCUHKOYKNSLY-UHFFFAOYSA-N 1 2 289.329 3.626 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CCc3ccc(F)cc32)c(C)[nH+]1 ZINC000152353318 328517743 /nfs/dbraw/zinc/51/77/43/328517743.db2.gz CFYTYRWZSWPHDI-MRXNPFEDSA-N 1 2 299.349 3.647 20 0 CHADLO CC[C@H](F)CSCc1[nH+]cc(C)c(OC)c1C ZINC000442550045 330776675 /nfs/dbraw/zinc/77/66/75/330776675.db2.gz CCQQXYBBALYPEH-NSHDSACASA-N 1 2 257.374 3.688 20 0 CHADLO Cc1csc(C[NH2+][C@@H](c2ccco2)c2ccccc2)n1 ZINC000038090532 326870574 /nfs/dbraw/zinc/87/05/74/326870574.db2.gz FITQGAIKZWQWCZ-MRXNPFEDSA-N 1 2 284.384 3.924 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(Cl)cc1 ZINC000398224623 326875312 /nfs/dbraw/zinc/87/53/12/326875312.db2.gz DUGVEHDCRYSMPG-BDAKNGLRSA-N 1 2 267.785 3.603 20 0 CHADLO CCCc1csc(C[NH2+]Cc2noc3ccccc23)n1 ZINC000584063428 326914213 /nfs/dbraw/zinc/91/42/13/326914213.db2.gz QTQFMIIZZSYLEV-UHFFFAOYSA-N 1 2 287.388 3.527 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2CCc3ccc(F)cc32)[nH+]1 ZINC000530956341 326921506 /nfs/dbraw/zinc/92/15/06/326921506.db2.gz SPZZNDFUQUIRGS-CQSZACIVSA-N 1 2 282.322 3.505 20 0 CHADLO CC(C)c1nc2cc(N[C@H](C)c3[nH]cc[nH+]3)ccc2o1 ZINC000556887447 326978077 /nfs/dbraw/zinc/97/80/77/326978077.db2.gz WHNIRGMYTYCYHZ-SNVBAGLBSA-N 1 2 270.336 3.847 20 0 CHADLO Clc1cccc2c(N[C@@H]3C[C@@H]4OCCC[C@@H]34)cc[nH+]c12 ZINC000557659232 327019347 /nfs/dbraw/zinc/01/93/47/327019347.db2.gz FZQNROYXFXORIO-VQISRLSMSA-N 1 2 288.778 3.868 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(C(F)(F)F)cc1Cl ZINC000090165794 327031557 /nfs/dbraw/zinc/03/15/57/327031557.db2.gz CQEUIVCANPSIJD-UHFFFAOYSA-N 1 2 289.688 3.704 20 0 CHADLO CC[C@@H](C)Oc1cccc(NCc2c[nH+]cn2CC)c1 ZINC000090172990 327032018 /nfs/dbraw/zinc/03/20/18/327032018.db2.gz QJOVPISWTNRMLJ-CYBMUJFWSA-N 1 2 273.380 3.692 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)c(F)cc2F)[C@H]1C1CC1 ZINC000584204122 327063450 /nfs/dbraw/zinc/06/34/50/327063450.db2.gz UDKJPFLIIJUNRK-AWEZNQCLSA-N 1 2 269.310 3.724 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)c(F)cc2F)[C@H]1C1CC1 ZINC000584204122 327063452 /nfs/dbraw/zinc/06/34/52/327063452.db2.gz UDKJPFLIIJUNRK-AWEZNQCLSA-N 1 2 269.310 3.724 20 0 CHADLO COc1nc(C)cc(C)c1C[NH2+]C1(C(F)F)CCCCC1 ZINC000558111841 327074205 /nfs/dbraw/zinc/07/42/05/327074205.db2.gz OGBKFCUBNHPCFD-UHFFFAOYSA-N 1 2 298.377 3.765 20 0 CHADLO CC(C)CCOCC[N@@H+]1Cc2cccc(Cl)c2C1 ZINC000558144271 327075830 /nfs/dbraw/zinc/07/58/30/327075830.db2.gz CPBTYMTTXJCGAA-UHFFFAOYSA-N 1 2 267.800 3.718 20 0 CHADLO CC(C)CCOCC[N@H+]1Cc2cccc(Cl)c2C1 ZINC000558144271 327075831 /nfs/dbraw/zinc/07/58/31/327075831.db2.gz CPBTYMTTXJCGAA-UHFFFAOYSA-N 1 2 267.800 3.718 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000558449809 327095257 /nfs/dbraw/zinc/09/52/57/327095257.db2.gz RQLAYYBYUPFFBH-SMDDNHRTSA-N 1 2 299.374 3.737 20 0 CHADLO CC1(C)C[N@H+](Cc2c(F)cccc2Cl)[C@@H]1[C@@H]1CCCO1 ZINC000558471160 327096582 /nfs/dbraw/zinc/09/65/82/327096582.db2.gz WPJLVVOEPPTOGY-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)cccc2Cl)[C@@H]1[C@@H]1CCCO1 ZINC000558471160 327096583 /nfs/dbraw/zinc/09/65/83/327096583.db2.gz WPJLVVOEPPTOGY-LSDHHAIUSA-N 1 2 297.801 3.869 20 0 CHADLO Cc1ccc(NC(=O)c2ccc3c(c2)C(C)(C)CO3)c(C)[nH+]1 ZINC000558549628 327103859 /nfs/dbraw/zinc/10/38/59/327103859.db2.gz VNCBQKAKZFGFEI-UHFFFAOYSA-N 1 2 296.370 3.621 20 0 CHADLO CC(C)[N@H+](CCc1ccc(F)cc1Cl)CC(F)F ZINC000558566423 327105516 /nfs/dbraw/zinc/10/55/16/327105516.db2.gz GOFCEVFRMHKTPN-UHFFFAOYSA-N 1 2 279.733 3.997 20 0 CHADLO CC(C)[N@@H+](CCc1ccc(F)cc1Cl)CC(F)F ZINC000558566423 327105517 /nfs/dbraw/zinc/10/55/17/327105517.db2.gz GOFCEVFRMHKTPN-UHFFFAOYSA-N 1 2 279.733 3.997 20 0 CHADLO CCc1cnc(C[NH2+][C@]2(c3ccc(Cl)cc3)C[C@@H]2C)o1 ZINC000558627757 327111110 /nfs/dbraw/zinc/11/11/10/327111110.db2.gz VVZUGPWMAKAENN-MEDUHNTESA-N 1 2 290.794 3.915 20 0 CHADLO Cc1c[nH+]c(C)nc1N[C@H]1CCCc2sccc21 ZINC000584241965 327125786 /nfs/dbraw/zinc/12/57/86/327125786.db2.gz BJROEYBRLLCRJM-LBPRGKRZSA-N 1 2 259.378 3.644 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccc(OC(F)F)cc2)o1 ZINC000223651518 327129567 /nfs/dbraw/zinc/12/95/67/327129567.db2.gz FEZVIUCYULSASC-JTQLQIEISA-N 1 2 296.317 3.689 20 0 CHADLO C[C@@H]1CC[C@@H](C)[C@@H](Nc2cc(CSCCO)cc[nH+]2)C1 ZINC000449205688 327130859 /nfs/dbraw/zinc/13/08/59/327130859.db2.gz OOZBIXBSHZMEKQ-NFAWXSAZSA-N 1 2 294.464 3.544 20 0 CHADLO C[C@@H]1CC[C@H](C)[C@H](Nc2cc(CSCCO)cc[nH+]2)C1 ZINC000449205690 327131062 /nfs/dbraw/zinc/13/10/62/327131062.db2.gz OOZBIXBSHZMEKQ-VNHYZAJKSA-N 1 2 294.464 3.544 20 0 CHADLO CC(F)(F)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000558949285 327133973 /nfs/dbraw/zinc/13/39/73/327133973.db2.gz MDGWJPDAGJIFCR-UHFFFAOYSA-N 1 2 256.683 3.955 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1ccc(Cl)nc1Cl ZINC000278630281 327178498 /nfs/dbraw/zinc/17/84/98/327178498.db2.gz OFABDVGBSCZKEO-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1ccc(Cl)nc1Cl ZINC000278630281 327178499 /nfs/dbraw/zinc/17/84/99/327178499.db2.gz OFABDVGBSCZKEO-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO Cc1c[nH+]cc(CCc2nc(CC3(C)CCCC3)no2)c1 ZINC000584268112 327204878 /nfs/dbraw/zinc/20/48/78/327204878.db2.gz MRSIHWJMKKLGBM-UHFFFAOYSA-N 1 2 285.391 3.681 20 0 CHADLO CCOc1ccc(C(C)=O)cc1C[N@H+](C)Cc1ccco1 ZINC000047874151 327216675 /nfs/dbraw/zinc/21/66/75/327216675.db2.gz TUQACRBFOWUQSD-UHFFFAOYSA-N 1 2 287.359 3.513 20 0 CHADLO CCOc1ccc(C(C)=O)cc1C[N@@H+](C)Cc1ccco1 ZINC000047874151 327216677 /nfs/dbraw/zinc/21/66/77/327216677.db2.gz TUQACRBFOWUQSD-UHFFFAOYSA-N 1 2 287.359 3.513 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000536393985 327235051 /nfs/dbraw/zinc/23/50/51/327235051.db2.gz FOZFXYPJSBERCO-LLVKDONJSA-N 1 2 287.407 3.893 20 0 CHADLO Fc1ccc(C[N@H+](CC(F)F)CC2CCC2)cc1 ZINC000536414909 327236430 /nfs/dbraw/zinc/23/64/30/327236430.db2.gz SKZFTUYGKNFVKE-UHFFFAOYSA-N 1 2 257.299 3.693 20 0 CHADLO Fc1ccc(C[N@@H+](CC(F)F)CC2CCC2)cc1 ZINC000536414909 327236432 /nfs/dbraw/zinc/23/64/32/327236432.db2.gz SKZFTUYGKNFVKE-UHFFFAOYSA-N 1 2 257.299 3.693 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccc3[nH]cnc3c2)c1 ZINC000536422595 327237172 /nfs/dbraw/zinc/23/71/72/327237172.db2.gz XULXEFRDERGIPD-UHFFFAOYSA-N 1 2 298.415 3.823 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+]C[C@@H]2CCCO2)C(F)F)c1 ZINC000559683537 327257709 /nfs/dbraw/zinc/25/77/09/327257709.db2.gz USVBRVSTGKKRFH-GJZGRUSLSA-N 1 2 299.361 3.549 20 0 CHADLO COc1cc([C@@H](C)[NH2+]CC(C)(F)F)ccc1SC ZINC000559885007 327267956 /nfs/dbraw/zinc/26/79/56/327267956.db2.gz CPPHDMLLIBPURJ-SECBINFHSA-N 1 2 275.364 3.723 20 0 CHADLO Fc1cccc(Cl)c1CCC[N@@H+]1CCO[C@H](C2CC2)C1 ZINC000559948768 327273571 /nfs/dbraw/zinc/27/35/71/327273571.db2.gz BULGKSOTDBJEEZ-INIZCTEOSA-N 1 2 297.801 3.523 20 0 CHADLO Fc1cccc(Cl)c1CCC[N@H+]1CCO[C@H](C2CC2)C1 ZINC000559948768 327273573 /nfs/dbraw/zinc/27/35/73/327273573.db2.gz BULGKSOTDBJEEZ-INIZCTEOSA-N 1 2 297.801 3.523 20 0 CHADLO CC(C)(C)[C@H]1C[N@H+](Cc2ccc(Cl)s2)CCO1 ZINC000560022726 327278016 /nfs/dbraw/zinc/27/80/16/327278016.db2.gz GUDHYQSJMXHVFL-LLVKDONJSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)(C)[C@H]1C[N@@H+](Cc2ccc(Cl)s2)CCO1 ZINC000560022726 327278017 /nfs/dbraw/zinc/27/80/17/327278017.db2.gz GUDHYQSJMXHVFL-LLVKDONJSA-N 1 2 273.829 3.648 20 0 CHADLO CCC1CC(Nc2ccccc2OCCn2cc[nH+]c2)C1 ZINC000560244098 327292900 /nfs/dbraw/zinc/29/29/00/327292900.db2.gz VXPYVTUZTIRCSD-UHFFFAOYSA-N 1 2 285.391 3.563 20 0 CHADLO Fc1cccc(OC(F)(F)F)c1C[N@@H+]1CCC12CCC2 ZINC000560260476 327294211 /nfs/dbraw/zinc/29/42/11/327294211.db2.gz DBDMVYOYXZWPIZ-UHFFFAOYSA-N 1 2 289.272 3.853 20 0 CHADLO Fc1cccc(OC(F)(F)F)c1C[N@H+]1CCC12CCC2 ZINC000560260476 327294212 /nfs/dbraw/zinc/29/42/12/327294212.db2.gz DBDMVYOYXZWPIZ-UHFFFAOYSA-N 1 2 289.272 3.853 20 0 CHADLO Cc1cccc2[nH+]c3c(c(C)c12)C[C@@]1(CCCO1)CC3 ZINC000583356299 327317282 /nfs/dbraw/zinc/31/72/82/327317282.db2.gz FQQRIQLDTSOLEP-GOSISDBHSA-N 1 2 267.372 3.890 20 0 CHADLO CC(C)[C@H]1CC[C@@H]1[NH2+]c1ccc(-n2cccn2)cc1 ZINC000560545485 327322347 /nfs/dbraw/zinc/32/23/47/327322347.db2.gz NPAAFRKSEMLUGR-CVEARBPZSA-N 1 2 255.365 3.719 20 0 CHADLO CCN(C(=O)Nc1cc[nH+]c(C)c1)[C@@H](C)c1cccc(O)c1 ZINC000119372705 327331768 /nfs/dbraw/zinc/33/17/68/327331768.db2.gz URQLVXXDQOLZAB-ZDUSSCGKSA-N 1 2 299.374 3.711 20 0 CHADLO C[C@H]1C[C@H](CC(=O)NC(C)(C)c2[nH]cc[nH+]2)CC(C)(C)C1 ZINC000566363877 327338397 /nfs/dbraw/zinc/33/83/97/327338397.db2.gz DJGJXRJIABWKIQ-QWHCGFSZSA-N 1 2 291.439 3.614 20 0 CHADLO CCCCN(C(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000574759817 327374898 /nfs/dbraw/zinc/37/48/98/327374898.db2.gz MOAHBCLZSRIHFP-HNNXBMFYSA-N 1 2 285.391 3.668 20 0 CHADLO O[C@@H]1CCC[C@H](CNc2cc[nH+]c3c(Cl)cccc23)C1 ZINC000561211243 327376040 /nfs/dbraw/zinc/37/60/40/327376040.db2.gz YILULSXONAYLJT-NWDGAFQWSA-N 1 2 290.794 3.851 20 0 CHADLO CC(=O)c1ccsc1NCc1cn2cc(C)ccc2[nH+]1 ZINC000427433988 327376759 /nfs/dbraw/zinc/37/67/59/327376759.db2.gz HITCRUWTAVFUSL-UHFFFAOYSA-N 1 2 285.372 3.519 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+][C@H]2CCCOC2)C(F)F)c1 ZINC000566475565 327388487 /nfs/dbraw/zinc/38/84/87/327388487.db2.gz MXTXYVICYIMEFH-ZFWWWQNUSA-N 1 2 299.361 3.549 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCCC(C)(F)F ZINC000357756783 327389045 /nfs/dbraw/zinc/38/90/45/327389045.db2.gz FEBIZOPVNCZVSC-UHFFFAOYSA-N 1 2 266.291 3.701 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(SC(C)C)cc1)C(=O)OC ZINC000579607661 327395221 /nfs/dbraw/zinc/39/52/21/327395221.db2.gz MVXPAHOSTBEZGF-DOMZBBRYSA-N 1 2 295.448 3.789 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CSc2ccccc21 ZINC000562288312 327463824 /nfs/dbraw/zinc/46/38/24/327463824.db2.gz JHRJKXDKCRAJKK-CYBMUJFWSA-N 1 2 299.399 3.667 20 0 CHADLO CC[C@H](NC(=O)c1ccc(C2CCC2)cc1)c1[nH]cc[nH+]1 ZINC000562312817 327467967 /nfs/dbraw/zinc/46/79/67/327467967.db2.gz GIXRGWBXSHYCRJ-HNNXBMFYSA-N 1 2 283.375 3.558 20 0 CHADLO Cc1nc(C2([NH2+]Cc3cccc4ccoc43)CCCC2)no1 ZINC000562655368 327502715 /nfs/dbraw/zinc/50/27/15/327502715.db2.gz ZXVKERZECWOGQM-UHFFFAOYSA-N 1 2 297.358 3.683 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2ccc(C3CCCC3)cc2)o1 ZINC000562893323 327522606 /nfs/dbraw/zinc/52/26/06/327522606.db2.gz XILWVGHSYJIOMP-GFCCVEGCSA-N 1 2 285.391 3.886 20 0 CHADLO Cc1ccc(CN(C)c2c(F)cccc2F)c(C)[nH+]1 ZINC000359869573 327524926 /nfs/dbraw/zinc/52/49/26/327524926.db2.gz DUOSAQJEFOFSMD-UHFFFAOYSA-N 1 2 262.303 3.613 20 0 CHADLO Cc1cccc(CCSCc2ccc3c(c2)OCO3)[nH+]1 ZINC000569692557 327535939 /nfs/dbraw/zinc/53/59/39/327535939.db2.gz DZAWEFRGQCHYOZ-UHFFFAOYSA-N 1 2 287.384 3.595 20 0 CHADLO CCCCC[C@H]1CCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535774958 328630109 /nfs/dbraw/zinc/63/01/09/328630109.db2.gz JMVUOPQQYQVOBE-HNNXBMFYSA-N 1 2 289.423 3.697 20 0 CHADLO CCC1CCC(N(C)C(=O)Nc2cc(C)[nH+]cc2C)CC1 ZINC000535774006 328630228 /nfs/dbraw/zinc/63/02/28/328630228.db2.gz JSVXZGFYBNIDNO-UHFFFAOYSA-N 1 2 289.423 3.553 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000532674001 328804709 /nfs/dbraw/zinc/80/47/09/328804709.db2.gz KNPOMDUJOOVLCU-IAGOWNOFSA-N 1 2 296.414 3.796 20 0 CHADLO Cc1ccc(OCCCCNc2cccc[nH+]2)cc1 ZINC000301111529 328826386 /nfs/dbraw/zinc/82/63/86/328826386.db2.gz RRSVNBLBPVOVPX-UHFFFAOYSA-N 1 2 256.349 3.661 20 0 CHADLO CC(C)c1nc(N2CCS[C@H](C)C2)cc(C(C)(C)C)[nH+]1 ZINC000302759764 328832834 /nfs/dbraw/zinc/83/28/34/328832834.db2.gz JUNULSCZJXIWEM-GFCCVEGCSA-N 1 2 293.480 3.839 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1cnc2ccsc2c1 ZINC000389441983 328845500 /nfs/dbraw/zinc/84/55/00/328845500.db2.gz JFLSWZLGDHJKJZ-IUCAKERBSA-N 1 2 270.348 3.991 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H](c1ccccc1)C(C)C ZINC000120283865 328956139 /nfs/dbraw/zinc/95/61/39/328956139.db2.gz OWZZUAIBRRHQQA-MRXNPFEDSA-N 1 2 283.375 3.909 20 0 CHADLO COc1cccc2c(NCC(C)(F)F)c(C)c[nH+]c12 ZINC000582313414 328960148 /nfs/dbraw/zinc/96/01/48/328960148.db2.gz BCXABESBMFBTLQ-UHFFFAOYSA-N 1 2 266.291 3.619 20 0 CHADLO Cc1cc(C)cc(N(C)Cc2ccc(N3CCCC3)[nH+]c2)c1 ZINC000507856194 332827959 /nfs/dbraw/zinc/82/79/59/332827959.db2.gz SZMYEMJBXLJUCJ-UHFFFAOYSA-N 1 2 295.430 3.935 20 0 CHADLO COCc1nc(C[NH2+]Cc2ccc(C)cc2Cl)cs1 ZINC000582364421 328972645 /nfs/dbraw/zinc/97/26/45/328972645.db2.gz GUZWFMBYJHSQSY-UHFFFAOYSA-N 1 2 296.823 3.541 20 0 CHADLO Cl/C=C/CNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000378332886 329046302 /nfs/dbraw/zinc/04/63/02/329046302.db2.gz CTZUDZHFAGCSAH-XBXARRHUSA-N 1 2 285.778 3.766 20 0 CHADLO CCCCCC[C@H](C)C(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000378520596 329053761 /nfs/dbraw/zinc/05/37/61/329053761.db2.gz SNSUELOOBOCKGY-KBPBESRZSA-N 1 2 277.412 3.680 20 0 CHADLO CCCCC[C@@H](CC)C(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000378515459 329053925 /nfs/dbraw/zinc/05/39/25/329053925.db2.gz DBESNCSMIKTYLI-KGLIPLIRSA-N 1 2 277.412 3.680 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@H](c3ccco3)C2)cc1Cl ZINC000194047097 329065274 /nfs/dbraw/zinc/06/52/74/329065274.db2.gz NIDOEKLKJFFZAB-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@H](c3ccco3)C2)cc1Cl ZINC000194047097 329065275 /nfs/dbraw/zinc/06/52/75/329065275.db2.gz NIDOEKLKJFFZAB-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nccs1)c1ccccc1)c1ccncc1 ZINC000171922092 329082316 /nfs/dbraw/zinc/08/23/16/329082316.db2.gz IHYMPGZIIVYOSW-CZUORRHYSA-N 1 2 295.411 3.978 20 0 CHADLO Cc1ccc(C(=O)N[C@H](C)C2CC2)cc1Oc1cc[nH+]cc1 ZINC000172052328 329083576 /nfs/dbraw/zinc/08/35/76/329083576.db2.gz AWBOYFRIWAPWEI-CYBMUJFWSA-N 1 2 296.370 3.711 20 0 CHADLO Cc1ccc([C@@H]2C[C@H]2NC(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000582544369 329104697 /nfs/dbraw/zinc/10/46/97/329104697.db2.gz JPZQZNFMPYXEBZ-DOTOQJQBSA-N 1 2 295.386 3.684 20 0 CHADLO O=C(CCCC1CCCC1)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000172931124 329140887 /nfs/dbraw/zinc/14/08/87/329140887.db2.gz FUESPQKZKBRPTE-HNNXBMFYSA-N 1 2 289.423 3.824 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1ccc(Cl)cc1F ZINC000172981400 329141634 /nfs/dbraw/zinc/14/16/34/329141634.db2.gz UHIBEAAHKBIVBM-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1ccc(Cl)cc1F ZINC000172981400 329141636 /nfs/dbraw/zinc/14/16/36/329141636.db2.gz UHIBEAAHKBIVBM-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H]1C[C@@]12CCCc1ccccc12 ZINC000173173331 329143281 /nfs/dbraw/zinc/14/32/81/329143281.db2.gz QMLFQWUJGOHCPW-QFBILLFUSA-N 1 2 292.382 3.623 20 0 CHADLO CC[C@H]1C[N@H+](Cc2ncc(-c3cccs3)o2)CCS1 ZINC000174359794 329157797 /nfs/dbraw/zinc/15/77/97/329157797.db2.gz PGZBJJPTUIFKAA-NSHDSACASA-N 1 2 294.445 3.731 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2ncc(-c3cccs3)o2)CCS1 ZINC000174359794 329157799 /nfs/dbraw/zinc/15/77/99/329157799.db2.gz PGZBJJPTUIFKAA-NSHDSACASA-N 1 2 294.445 3.731 20 0 CHADLO CCc1nc(C[N@@H+]2CCCC[C@H]2c2cccn2C)cs1 ZINC000175120240 329163207 /nfs/dbraw/zinc/16/32/07/329163207.db2.gz HUMGYTAIWGVADR-HNNXBMFYSA-N 1 2 289.448 3.771 20 0 CHADLO CCc1nc(C[N@H+]2CCCC[C@H]2c2cccn2C)cs1 ZINC000175120240 329163208 /nfs/dbraw/zinc/16/32/08/329163208.db2.gz HUMGYTAIWGVADR-HNNXBMFYSA-N 1 2 289.448 3.771 20 0 CHADLO Cc1ccc(C[C@H](C)C(=O)Nc2c(C)cc[nH+]c2C)cc1 ZINC000425598569 329176321 /nfs/dbraw/zinc/17/63/21/329176321.db2.gz XAULUFOHUYCZRS-AWEZNQCLSA-N 1 2 282.387 3.824 20 0 CHADLO c1nc2cc(NCc3cn4ccccc4[nH+]3)ccc2s1 ZINC000176877528 329179475 /nfs/dbraw/zinc/17/94/75/329179475.db2.gz YYVCSEHKZNHXAS-UHFFFAOYSA-N 1 2 280.356 3.556 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1cncc(F)c1 ZINC000177971161 329191064 /nfs/dbraw/zinc/19/10/64/329191064.db2.gz UOACZUJPJOKPNJ-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)NCc1ccn2cc[nH+]c2c1 ZINC000178355083 329199141 /nfs/dbraw/zinc/19/91/41/329199141.db2.gz RIDJNHMGIQXZAS-INIZCTEOSA-N 1 2 299.418 3.557 20 0 CHADLO C[C@@H](C(=O)Nc1ccc2c(c1)[nH+]c1n2CCCCC1)C1CC1 ZINC000178382355 329199314 /nfs/dbraw/zinc/19/93/14/329199314.db2.gz NXMZPBNEJXJMMT-GFCCVEGCSA-N 1 2 297.402 3.747 20 0 CHADLO Cc1ccccc1CNc1[nH+]cccc1OC(F)F ZINC000180004452 329214368 /nfs/dbraw/zinc/21/43/68/329214368.db2.gz BZAIHMQJBRQTLZ-UHFFFAOYSA-N 1 2 264.275 3.604 20 0 CHADLO Cc1ccccc1-n1ccnc1SCCCn1cc[nH+]c1 ZINC000180432203 329216845 /nfs/dbraw/zinc/21/68/45/329216845.db2.gz AVYRADRDRLXOQZ-UHFFFAOYSA-N 1 2 298.415 3.560 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(-c2cccnc2)cc1 ZINC000181275322 329228782 /nfs/dbraw/zinc/22/87/82/329228782.db2.gz GDZPJNINZDFDHI-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]Cc1ncc(Cl)s1 ZINC000223046931 329232645 /nfs/dbraw/zinc/23/26/45/329232645.db2.gz WKQXWEWGYSBAAJ-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO C[C@@H]([NH2+]Cc1csc(-c2ccoc2)n1)c1ccccn1 ZINC000181782857 329237138 /nfs/dbraw/zinc/23/71/38/329237138.db2.gz GHLOEIRLLUQZDL-LLVKDONJSA-N 1 2 285.372 3.649 20 0 CHADLO CCC[C@H]1C[C@@H](C(=O)Nc2c(C)cc(C)[nH+]c2C)CCO1 ZINC000182787774 329244718 /nfs/dbraw/zinc/24/47/18/329244718.db2.gz XGKLXEHWXCQIFO-GJZGRUSLSA-N 1 2 290.407 3.541 20 0 CHADLO Cc1cncc(C[NH2+][C@@H](c2nccs2)c2ccccc2)c1 ZINC000183359218 329247469 /nfs/dbraw/zinc/24/74/69/329247469.db2.gz XGSZTYGAFGZAFW-MRXNPFEDSA-N 1 2 295.411 3.726 20 0 CHADLO CC[C@H](C)[C@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000183255100 329248874 /nfs/dbraw/zinc/24/88/74/329248874.db2.gz SLPAQQGLBUDHAR-RYUDHWBXSA-N 1 2 289.354 3.632 20 0 CHADLO Cc1cc(C)c(NCc2cccc3[nH+]ccn32)c(C)c1 ZINC000183283969 329249177 /nfs/dbraw/zinc/24/91/77/329249177.db2.gz LUTMXGFONJGNQB-UHFFFAOYSA-N 1 2 265.360 3.872 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ncc(Cl)s2)o1 ZINC000225300656 329250834 /nfs/dbraw/zinc/25/08/34/329250834.db2.gz UHTDHRPHKJEQHG-MRVPVSSYSA-N 1 2 256.758 3.549 20 0 CHADLO Cc1cc(NC(=O)NCc2ccc(C(F)F)cc2)cc[nH+]1 ZINC000184039434 329252573 /nfs/dbraw/zinc/25/25/73/329252573.db2.gz SXIRAQANELFXND-UHFFFAOYSA-N 1 2 291.301 3.649 20 0 CHADLO Cc1ccnn1-c1ccccc1NCc1ccc(C)[nH+]c1C ZINC000184509035 329259437 /nfs/dbraw/zinc/25/94/37/329259437.db2.gz YJJWIZQXMVWANO-UHFFFAOYSA-N 1 2 292.386 3.805 20 0 CHADLO Cc1ccc(CNc2ccc3c(c2)C(=O)CCC3)c(C)[nH+]1 ZINC000184481322 329260366 /nfs/dbraw/zinc/26/03/66/329260366.db2.gz LOLQIXPRNUYYTC-UHFFFAOYSA-N 1 2 280.371 3.830 20 0 CHADLO CC(C)C[C@H]([NH2+][C@@H](C)Cc1ccsc1)C(=O)OC(C)C ZINC000582823058 329268287 /nfs/dbraw/zinc/26/82/87/329268287.db2.gz USTKRJIQAXQCDO-ZFWWWQNUSA-N 1 2 297.464 3.635 20 0 CHADLO Cc1ccc(CS(=O)(=O)CCCC2CCCC2)c(C)[nH+]1 ZINC000185229523 329269260 /nfs/dbraw/zinc/26/92/60/329269260.db2.gz KRSNFIAROCGTGE-UHFFFAOYSA-N 1 2 295.448 3.584 20 0 CHADLO Cc1ccc(Cn2cnc3cc(C)c(C)cc32)c(C)[nH+]1 ZINC000185273316 329269889 /nfs/dbraw/zinc/26/98/89/329269889.db2.gz MSZINVJWLDXPRC-UHFFFAOYSA-N 1 2 265.360 3.713 20 0 CHADLO Cc1ccc(COc2ccc(CC[C@@H](C)O)cc2)c(C)[nH+]1 ZINC000185335043 329270514 /nfs/dbraw/zinc/27/05/14/329270514.db2.gz HTHDHBNANLWMIB-CQSZACIVSA-N 1 2 285.387 3.591 20 0 CHADLO CCc1ccc(C(=O)Nc2c(C)cc(C)[nH+]c2C)c(C)n1 ZINC000185519818 329326134 /nfs/dbraw/zinc/32/61/34/329326134.db2.gz WJXSUFTYTXQSMK-UHFFFAOYSA-N 1 2 283.375 3.525 20 0 CHADLO Cc1c[nH+]cc(NCc2cc(Br)ccc2F)c1 ZINC000227603962 329328572 /nfs/dbraw/zinc/32/85/72/329328572.db2.gz UBAFWLIXWUAFQO-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO CC(C)[C@@]1(C)C[C@H]1C(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000185722667 329330818 /nfs/dbraw/zinc/33/08/18/329330818.db2.gz WCWICOBRULRWIE-MAUKXSAKSA-N 1 2 297.402 3.708 20 0 CHADLO Oc1cc(F)cc(C[N@@H+]2CCc3[nH]c4ccccc4c3C2)c1 ZINC000186170791 329339100 /nfs/dbraw/zinc/33/91/00/329339100.db2.gz QFSSCKNJEQCBJX-UHFFFAOYSA-N 1 2 296.345 3.571 20 0 CHADLO Oc1cc(F)cc(C[N@H+]2CCc3[nH]c4ccccc4c3C2)c1 ZINC000186170791 329339101 /nfs/dbraw/zinc/33/91/01/329339101.db2.gz QFSSCKNJEQCBJX-UHFFFAOYSA-N 1 2 296.345 3.571 20 0 CHADLO Clc1cnccc1C[N@@H+]1Cc2ccccc2C2(CC2)C1 ZINC000186229010 329340106 /nfs/dbraw/zinc/34/01/06/329340106.db2.gz FXNXHDOMHFMULM-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Clc1cnccc1C[N@H+]1Cc2ccccc2C2(CC2)C1 ZINC000186229010 329340108 /nfs/dbraw/zinc/34/01/08/329340108.db2.gz FXNXHDOMHFMULM-UHFFFAOYSA-N 1 2 284.790 3.782 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2cccc(Cl)c2Cl)[nH]1 ZINC000392462005 329340568 /nfs/dbraw/zinc/34/05/68/329340568.db2.gz NQJPOERALKBNBH-SFYZADRCSA-N 1 2 299.205 3.832 20 0 CHADLO CCC1(CC)CC[NH+](Cc2noc(C(C)C)n2)CC1 ZINC000186553162 329345439 /nfs/dbraw/zinc/34/54/39/329345439.db2.gz YRDCPYXURPNKGF-UHFFFAOYSA-N 1 2 265.401 3.595 20 0 CHADLO Cc1ccc(C[S@](=O)[C@H](C)c2ccccc2F)c(C)[nH+]1 ZINC000187071180 329352248 /nfs/dbraw/zinc/35/22/48/329352248.db2.gz DECKZHHACCHLLO-XCLFUZPHSA-N 1 2 291.391 3.847 20 0 CHADLO C[C@H]1CCCC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000187949348 329361830 /nfs/dbraw/zinc/36/18/30/329361830.db2.gz YXCDJSZLTWCFHJ-ZDUSSCGKSA-N 1 2 277.412 3.739 20 0 CHADLO C[C@H]1CCCC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000187949348 329361832 /nfs/dbraw/zinc/36/18/32/329361832.db2.gz YXCDJSZLTWCFHJ-ZDUSSCGKSA-N 1 2 277.412 3.739 20 0 CHADLO CCOc1cccc(NCc2ccc(N(C)C(C)C)[nH+]c2)c1 ZINC000190818707 329401086 /nfs/dbraw/zinc/40/10/86/329401086.db2.gz HHAGJTNSPMCKOL-UHFFFAOYSA-N 1 2 299.418 3.937 20 0 CHADLO c1ccc(C[NH+](Cc2ccccc2)Cc2ncccn2)cc1 ZINC000191907470 329422168 /nfs/dbraw/zinc/42/21/68/329422168.db2.gz MWCCJOQSPBCNRF-UHFFFAOYSA-N 1 2 289.382 3.679 20 0 CHADLO CC(C)Cc1noc(C[NH2+][C@H](c2ccccc2)C2CC2)n1 ZINC000192826790 329436646 /nfs/dbraw/zinc/43/66/46/329436646.db2.gz SVMYKJMOFPBISA-QGZVFWFLSA-N 1 2 285.391 3.509 20 0 CHADLO COc1ccc2[nH+]c(C)cc(OCc3cccnc3)c2c1 ZINC000193069336 329438689 /nfs/dbraw/zinc/43/86/89/329438689.db2.gz BILPPIZNYSFJMV-UHFFFAOYSA-N 1 2 280.327 3.526 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)C1=CCCCCC1 ZINC000129291669 329616438 /nfs/dbraw/zinc/61/64/38/329616438.db2.gz FYLUTZXZURWRRE-UHFFFAOYSA-N 1 2 299.349 3.840 20 0 CHADLO FC1(F)CCC[C@H]1COc1cccc(-n2cc[nH+]c2)c1 ZINC000418893074 329680914 /nfs/dbraw/zinc/68/09/14/329680914.db2.gz ZIJLYPSUZCPOIU-LBPRGKRZSA-N 1 2 278.302 3.687 20 0 CHADLO C[C@@H]([NH2+][C@@H](c1nccs1)c1ccccc1)c1cccnc1 ZINC000195070128 329690187 /nfs/dbraw/zinc/69/01/87/329690187.db2.gz JGQSFWZLGCIGNA-CZUORRHYSA-N 1 2 295.411 3.978 20 0 CHADLO Fc1cc(CNc2cccc[nH+]2)ccc1Br ZINC000237705954 329694617 /nfs/dbraw/zinc/69/46/17/329694617.db2.gz KUNAVTHLHMNTOL-UHFFFAOYSA-N 1 2 281.128 3.595 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+][C@@H](C)c1c(C)cccc1C ZINC000509610439 332875282 /nfs/dbraw/zinc/87/52/82/332875282.db2.gz WBISRHQNKMFPKN-GJZGRUSLSA-N 1 2 277.408 3.542 20 0 CHADLO CCN(C)C(=O)CCSCc1ccc(C(C)C)[nH+]c1C ZINC000419498718 329732379 /nfs/dbraw/zinc/73/23/79/329732379.db2.gz ZWUTUOFINVWXOG-UHFFFAOYSA-N 1 2 294.464 3.615 20 0 CHADLO CC1(C)C[C@@H]([NH2+]CC(F)(F)c2ccccc2)C(C)(C)O1 ZINC000514696898 329734601 /nfs/dbraw/zinc/73/46/01/329734601.db2.gz PCGCSBYJQWKBIV-CYBMUJFWSA-N 1 2 283.362 3.714 20 0 CHADLO CC[C@@H](Cc1nc(Cc2ccc[nH+]c2C)no1)C(C)C ZINC000420509991 329772581 /nfs/dbraw/zinc/77/25/81/329772581.db2.gz GUXFSEWWNIPCEG-ZDUSSCGKSA-N 1 2 273.380 3.589 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@H]2CCC[C@@H](C)C2)n1 ZINC000420509997 329772601 /nfs/dbraw/zinc/77/26/01/329772601.db2.gz GXFXARMOHWOBFO-RISCZKNCSA-N 1 2 271.364 3.658 20 0 CHADLO CCCCS[C@H](C)c1nc(Cc2ccc[nH+]c2C)no1 ZINC000420511432 329772815 /nfs/dbraw/zinc/77/28/15/329772815.db2.gz OBZZAAOJCYOOEO-GFCCVEGCSA-N 1 2 291.420 3.958 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(C2C[C@@H](C)C[C@H](C)C2)n1 ZINC000420513532 329773502 /nfs/dbraw/zinc/77/35/02/329773502.db2.gz WGLUDGUZHJPITH-RYUDHWBXSA-N 1 2 285.391 3.904 20 0 CHADLO CC[C@H]1C[C@H](Nc2[nH+]ccc3c(OC)cccc32)CCO1 ZINC000420632120 329780203 /nfs/dbraw/zinc/78/02/03/329780203.db2.gz JKDMYLLSAJTBPF-OLZOCXBDSA-N 1 2 286.375 3.613 20 0 CHADLO COc1cc(Cl)ccc1OCc1ccc(C)[nH+]c1C ZINC000421185098 329806745 /nfs/dbraw/zinc/80/67/45/329806745.db2.gz CPULBLBHMNAQKV-UHFFFAOYSA-N 1 2 277.751 3.939 20 0 CHADLO FCCCCSCc1cccc(C[NH+]2CCOCC2)c1 ZINC000421232326 329810006 /nfs/dbraw/zinc/81/00/06/329810006.db2.gz XVNXGNGPHHGBRV-UHFFFAOYSA-N 1 2 297.439 3.502 20 0 CHADLO Cc1cc(CNC(=O)CCCCC(C)C)cc(C)[nH+]1 ZINC000421580356 329843595 /nfs/dbraw/zinc/84/35/95/329843595.db2.gz NAGCTXMTNRFCGZ-UHFFFAOYSA-N 1 2 262.397 3.531 20 0 CHADLO Cc1ccccc1Oc1ccccc1C[NH2+]Cc1ncc[nH]1 ZINC000066594252 329849392 /nfs/dbraw/zinc/84/93/92/329849392.db2.gz IOUZCYIWDZPFGD-UHFFFAOYSA-N 1 2 293.370 3.800 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2ccc(Cl)cc2)n1 ZINC000074037636 329863194 /nfs/dbraw/zinc/86/31/94/329863194.db2.gz SEKSUGLHNDWBSK-UWVGGRQHSA-N 1 2 279.771 3.697 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)cc1 ZINC000074829974 329865349 /nfs/dbraw/zinc/86/53/49/329865349.db2.gz CGHJDBZVFPBSJD-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO C[C@@H]([NH2+]C1(C(F)F)CC1)c1cc(Br)cs1 ZINC000308978995 332884305 /nfs/dbraw/zinc/88/43/05/332884305.db2.gz HLGGMFHDSNGWKF-ZCFIWIBFSA-N 1 2 296.180 3.959 20 0 CHADLO C[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccccc1Cl ZINC000393292468 329914849 /nfs/dbraw/zinc/91/48/49/329914849.db2.gz ANADDPZZSJQGKH-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO Cc1c(N[C@@H](C)c2cn3ccccc3[nH+]2)cnn1CC(C)C ZINC000571938506 329932222 /nfs/dbraw/zinc/93/22/22/329932222.db2.gz GCNDHPTUXJWQJP-ZDUSSCGKSA-N 1 2 297.406 3.668 20 0 CHADLO C[C@@H]1CCCC[C@@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000572393604 330058858 /nfs/dbraw/zinc/05/88/58/330058858.db2.gz PYEPSRFZKYPWDT-DOMZBBRYSA-N 1 2 283.375 3.842 20 0 CHADLO CCCCC[C@H](C)CC(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000079839780 330062689 /nfs/dbraw/zinc/06/26/89/330062689.db2.gz DWGJHDAFBMEGMZ-HNNXBMFYSA-N 1 2 291.439 3.653 20 0 CHADLO CSc1ccc(N[C@H]2CCc3ccc[nH+]c3CC2)nc1 ZINC000421830258 330084596 /nfs/dbraw/zinc/08/45/96/330084596.db2.gz BJZCTWNNSILQIA-ZDUSSCGKSA-N 1 2 285.416 3.558 20 0 CHADLO c1c[nH+]c2c(c1)CC[C@H](Nc1cccc3c1OCCC3)CC2 ZINC000421832565 330091182 /nfs/dbraw/zinc/09/11/82/330091182.db2.gz HDXXVJMARGVCOT-INIZCTEOSA-N 1 2 294.398 3.766 20 0 CHADLO C[C@H]([NH2+]CCN1[C@H](C)CCC[C@H]1C)c1ccncc1Cl ZINC000421835548 330091901 /nfs/dbraw/zinc/09/19/01/330091901.db2.gz PXBKXZMSRQIWKS-MCIONIFRSA-N 1 2 295.858 3.649 20 0 CHADLO C[C@H](C1CC1)n1cc(N[C@@H]2CCc3ccc[nH+]c3CC2)cn1 ZINC000421846099 330094909 /nfs/dbraw/zinc/09/49/09/330094909.db2.gz YROVUFRIVNYDHA-CZUORRHYSA-N 1 2 296.418 3.609 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@@H+]1Cc1cnc(Cl)s1 ZINC000276120966 330112240 /nfs/dbraw/zinc/11/22/40/330112240.db2.gz BDUDTABWFDTDAL-MRVPVSSYSA-N 1 2 298.761 3.959 20 0 CHADLO CC1(C)[C@H](C(F)(F)F)CC[N@H+]1Cc1cnc(Cl)s1 ZINC000276120966 330112241 /nfs/dbraw/zinc/11/22/41/330112241.db2.gz BDUDTABWFDTDAL-MRVPVSSYSA-N 1 2 298.761 3.959 20 0 CHADLO CCCCN(CCCC)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000422219483 330133047 /nfs/dbraw/zinc/13/30/47/330133047.db2.gz ZMYAUXWGGLDENZ-UHFFFAOYSA-N 1 2 291.439 3.810 20 0 CHADLO CN(CC[NH2+][C@H](c1ccccc1)C(F)F)c1ccccc1 ZINC000433060167 330143495 /nfs/dbraw/zinc/14/34/95/330143495.db2.gz XLWMHRMUAKEEPL-MRXNPFEDSA-N 1 2 290.357 3.719 20 0 CHADLO c1ccc2c(c1)CC[C@@H]([NH2+]c1ccc3c(c1)CCN3)C2 ZINC000423204860 330177069 /nfs/dbraw/zinc/17/70/69/330177069.db2.gz ARBQCOHFCAVZAJ-MRXNPFEDSA-N 1 2 264.372 3.624 20 0 CHADLO c1ccc2c(c1)CC[C@@H](Nc1ccc3c(c1)CC[NH2+]3)C2 ZINC000423204860 330177070 /nfs/dbraw/zinc/17/70/70/330177070.db2.gz ARBQCOHFCAVZAJ-MRXNPFEDSA-N 1 2 264.372 3.624 20 0 CHADLO CC(=O)Nc1ccc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)cc1 ZINC000423208787 330177651 /nfs/dbraw/zinc/17/76/51/330177651.db2.gz DVVUMXSBQDAZCJ-GFCCVEGCSA-N 1 2 295.386 3.786 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc2c(c1)OCCO2 ZINC000423209402 330177694 /nfs/dbraw/zinc/17/76/94/330177694.db2.gz FETVCAMLCDJJTH-LBPRGKRZSA-N 1 2 296.370 3.599 20 0 CHADLO FCCC[C@@H]1CCC[C@@H]1[NH2+]c1ccc2c(c1)CCN2 ZINC000423212616 330177870 /nfs/dbraw/zinc/17/78/70/330177870.db2.gz IQLKPEQXNQBGND-LRDDRELGSA-N 1 2 262.372 3.985 20 0 CHADLO FCCC[C@@H]1CCC[C@@H]1Nc1ccc2c(c1)CC[NH2+]2 ZINC000423212616 330177872 /nfs/dbraw/zinc/17/78/72/330177872.db2.gz IQLKPEQXNQBGND-LRDDRELGSA-N 1 2 262.372 3.985 20 0 CHADLO CC[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc(F)cn1 ZINC000423213934 330178454 /nfs/dbraw/zinc/17/84/54/330178454.db2.gz KRLRRAAWSFKDPG-CQSZACIVSA-N 1 2 271.339 3.752 20 0 CHADLO CC[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccc(F)cn1 ZINC000423213933 330178469 /nfs/dbraw/zinc/17/84/69/330178469.db2.gz KRLRRAAWSFKDPG-AWEZNQCLSA-N 1 2 271.339 3.752 20 0 CHADLO CC[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1ccncc1 ZINC000423216200 330178577 /nfs/dbraw/zinc/17/85/77/330178577.db2.gz OCOJYUVUSNVOCK-HNNXBMFYSA-N 1 2 253.349 3.613 20 0 CHADLO CC(=O)Nc1cccc([C@H](C)Nc2ccc3c(c2)CC[NH2+]3)c1 ZINC000423217245 330178604 /nfs/dbraw/zinc/17/86/04/330178604.db2.gz PSCJGVXCYNKRGF-LBPRGKRZSA-N 1 2 295.386 3.786 20 0 CHADLO CO[C@@H](c1ccccc1)[C@@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423215853 330178669 /nfs/dbraw/zinc/17/86/69/330178669.db2.gz NRAAEIJFXXLWIG-FZKQIMNGSA-N 1 2 282.387 3.843 20 0 CHADLO CC(C)[C@@H](Nc1ccc2c(c1)CC[NH2+]2)c1cccnc1 ZINC000423217840 330178972 /nfs/dbraw/zinc/17/89/72/330178972.db2.gz QPQAWEKZRFCMEF-QGZVFWFLSA-N 1 2 267.376 3.859 20 0 CHADLO COc1ccc2c(c1)C[C@H]([NH2+]c1ccc3c(c1)CCN3)CC2 ZINC000423218340 330179004 /nfs/dbraw/zinc/17/90/04/330179004.db2.gz RQWPXHQVOASTLT-MRXNPFEDSA-N 1 2 294.398 3.633 20 0 CHADLO COc1ccc2c(c1)C[C@H](Nc1ccc3c(c1)CC[NH2+]3)CC2 ZINC000423218340 330179005 /nfs/dbraw/zinc/17/90/05/330179005.db2.gz RQWPXHQVOASTLT-MRXNPFEDSA-N 1 2 294.398 3.633 20 0 CHADLO COc1ccccc1C[C@@H](C)[NH2+]c1ccc2c(c1)CCN2 ZINC000423219526 330179098 /nfs/dbraw/zinc/17/90/98/330179098.db2.gz UBYPATGEAGBDFW-CYBMUJFWSA-N 1 2 282.387 3.706 20 0 CHADLO COc1ccccc1C[C@@H](C)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423219526 330179099 /nfs/dbraw/zinc/17/90/99/330179099.db2.gz UBYPATGEAGBDFW-CYBMUJFWSA-N 1 2 282.387 3.706 20 0 CHADLO COc1ccc2c(c1)[C@H](Nc1ccc3c(c1)CC[NH2+]3)CC2 ZINC000423220815 330179245 /nfs/dbraw/zinc/17/92/45/330179245.db2.gz VVPCZSORALKQOU-GOSISDBHSA-N 1 2 280.371 3.763 20 0 CHADLO CC[C@]1(C)CCCN(C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000081321098 330190887 /nfs/dbraw/zinc/19/08/87/330190887.db2.gz BABFEMPVTFLPGE-GOSISDBHSA-N 1 2 297.402 3.525 20 0 CHADLO Cc1cc(C)c(CNc2cccc(C(F)(F)F)n2)c[nH+]1 ZINC000396961028 330232295 /nfs/dbraw/zinc/23/22/95/330232295.db2.gz BUOUULZWVUZXFT-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3sc(Cl)cc3C2)ccn1 ZINC000527538951 330261053 /nfs/dbraw/zinc/26/10/53/330261053.db2.gz QVWDRSGPAQSBLK-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@H+]2CCc3sc(Cl)cc3C2)ccn1 ZINC000527538951 330261054 /nfs/dbraw/zinc/26/10/54/330261054.db2.gz QVWDRSGPAQSBLK-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)ccn1 ZINC000527532271 330262522 /nfs/dbraw/zinc/26/25/22/330262522.db2.gz COXVHUHBJZBIGD-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cc(C[N@H+]2CCc3c(F)cc(F)cc3[C@@H]2C)ccn1 ZINC000527532271 330262523 /nfs/dbraw/zinc/26/25/23/330262523.db2.gz COXVHUHBJZBIGD-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO C[C@@H]1C[C@H](NCc2c(F)ccc(F)c2Cl)c2[nH+]ccn21 ZINC000527720214 330276992 /nfs/dbraw/zinc/27/69/92/330276992.db2.gz WOOHSOUHZKWMAB-PELKAZGASA-N 1 2 297.736 3.610 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)ccc(F)c1Cl)c1nccs1 ZINC000527718864 330277114 /nfs/dbraw/zinc/27/71/14/330277114.db2.gz GDOKDYVVEAMLCB-ZETCQYMHSA-N 1 2 288.750 3.926 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1ncc(-c2ccccc2)o1 ZINC000528771043 330279361 /nfs/dbraw/zinc/27/93/61/330279361.db2.gz VNAYCSMBKHXGCM-LBPRGKRZSA-N 1 2 292.329 3.961 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1ncc(-c2ccccc2)o1 ZINC000528771043 330279363 /nfs/dbraw/zinc/27/93/63/330279363.db2.gz VNAYCSMBKHXGCM-LBPRGKRZSA-N 1 2 292.329 3.961 20 0 CHADLO CC1(C)CCC[C@@H]1CC[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000527920749 330295632 /nfs/dbraw/zinc/29/56/32/330295632.db2.gz XVSDVSIRTONNPE-HZPDHXFCSA-N 1 2 298.434 3.700 20 0 CHADLO CC1(C)CCC[C@H]1CC[NH2+][C@H](c1nnc[nH]1)c1ccccc1 ZINC000527920746 330295636 /nfs/dbraw/zinc/29/56/36/330295636.db2.gz XVSDVSIRTONNPE-HOTGVXAUSA-N 1 2 298.434 3.700 20 0 CHADLO c1n[nH]c([C@@H]([NH2+]CC[C@H]2CC=CCC2)C2CCCCC2)n1 ZINC000527983918 330298561 /nfs/dbraw/zinc/29/85/61/330298561.db2.gz XMQCXWKOTOXJAF-HOCLYGCPSA-N 1 2 288.439 3.762 20 0 CHADLO Clc1cc2c(cc1N[C@H]1CCn3cc[nH+]c31)CCC2 ZINC000528001853 330300115 /nfs/dbraw/zinc/30/01/15/330300115.db2.gz NTQKZOGNOBFRDV-ZDUSSCGKSA-N 1 2 273.767 3.582 20 0 CHADLO OC1CCC2(CC1)CCN(c1[nH+]ccc3ccccc31)CC2 ZINC000528031218 330305398 /nfs/dbraw/zinc/30/53/98/330305398.db2.gz UXJDCEGQCJKRJH-UHFFFAOYSA-N 1 2 296.414 3.756 20 0 CHADLO CC(C)n1c[nH+]cc1CN[C@H](CC(C)(C)C)C(F)(F)F ZINC000424162887 330307829 /nfs/dbraw/zinc/30/78/29/330307829.db2.gz CUWXENQQGUIZKC-GFCCVEGCSA-N 1 2 291.361 3.921 20 0 CHADLO CCc1nc(CNc2[nH+]ccc3c(OC)cccc32)cs1 ZINC000328072221 330308208 /nfs/dbraw/zinc/30/82/08/330308208.db2.gz BWUGSAHPEAHROH-UHFFFAOYSA-N 1 2 299.399 3.874 20 0 CHADLO Cc1cc(N2CC[C@@H](c3ccc(F)cc3)C2(C)C)nc[nH+]1 ZINC000528199915 330312612 /nfs/dbraw/zinc/31/26/12/330312612.db2.gz YYCDNDKUZMPIKW-HNNXBMFYSA-N 1 2 285.366 3.697 20 0 CHADLO CCC(F)(F)C(C)(C)CNc1cc[nH+]c(C(C)C)n1 ZINC000528183230 330314090 /nfs/dbraw/zinc/31/40/90/330314090.db2.gz YAQNPMRPHDDZLZ-UHFFFAOYSA-N 1 2 271.355 3.505 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1ncccc1Cl ZINC000528359773 330322246 /nfs/dbraw/zinc/32/22/46/330322246.db2.gz LBCNESHABJWZAZ-UHFFFAOYSA-N 1 2 264.756 3.739 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1ncccc1Cl ZINC000528359773 330322247 /nfs/dbraw/zinc/32/22/47/330322247.db2.gz LBCNESHABJWZAZ-UHFFFAOYSA-N 1 2 264.756 3.739 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)Cc1cc(CO)ccc1F ZINC000528360495 330322292 /nfs/dbraw/zinc/32/22/92/330322292.db2.gz YXKGTBIPSHDAKX-LBPRGKRZSA-N 1 2 291.341 3.650 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)Cc1cc(CO)ccc1F ZINC000528360495 330322293 /nfs/dbraw/zinc/32/22/93/330322293.db2.gz YXKGTBIPSHDAKX-LBPRGKRZSA-N 1 2 291.341 3.650 20 0 CHADLO CCN(Cc1[nH+]ccn1Cc1ccccc1)c1ccccc1 ZINC000533029175 330324889 /nfs/dbraw/zinc/32/48/89/330324889.db2.gz SZYHCEHBMGCZBG-UHFFFAOYSA-N 1 2 291.398 3.958 20 0 CHADLO F[C@]1(c2ccccc2)C[C@H]1[NH2+]Cc1csc(C2CC2)n1 ZINC000424201407 330326815 /nfs/dbraw/zinc/32/68/15/330326815.db2.gz RXEXJZARDOJRQD-ZBFHGGJFSA-N 1 2 288.391 3.747 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@H+](C)C/C=C/c1ccccc1 ZINC000533074824 330329192 /nfs/dbraw/zinc/32/91/92/330329192.db2.gz YARXZJJUROYCEM-ZPHJMFTNSA-N 1 2 295.382 3.546 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@@H+](C)C/C=C/c1ccccc1 ZINC000533074824 330329193 /nfs/dbraw/zinc/32/91/93/330329193.db2.gz YARXZJJUROYCEM-ZPHJMFTNSA-N 1 2 295.382 3.546 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@H+](C)C/C=C\c1ccccc1 ZINC000533074825 330329215 /nfs/dbraw/zinc/32/92/15/330329215.db2.gz YARXZJJUROYCEM-ZVBPTUHVSA-N 1 2 295.382 3.546 20 0 CHADLO COC(=O)[C@@H](c1ccccc1)[N@@H+](C)C/C=C\c1ccccc1 ZINC000533074825 330329216 /nfs/dbraw/zinc/32/92/16/330329216.db2.gz YARXZJJUROYCEM-ZVBPTUHVSA-N 1 2 295.382 3.546 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)CC2=CCCCC2)c1 ZINC000106625051 330340888 /nfs/dbraw/zinc/34/08/88/330340888.db2.gz XEGDUKIBPPCCHY-UHFFFAOYSA-N 1 2 295.386 3.916 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@]1(F)c1ccccc1)c1ccncc1F ZINC000424327389 330341394 /nfs/dbraw/zinc/34/13/94/330341394.db2.gz PUQZXAQBICWGAC-IUIKQTSFSA-N 1 2 274.314 3.509 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCC[C@@H](C(F)(F)F)C1)C(F)(F)F ZINC000424329749 330342008 /nfs/dbraw/zinc/34/20/08/330342008.db2.gz SEQKEIQPWZZTRB-BWZBUEFSSA-N 1 2 263.225 3.648 20 0 CHADLO CCc1ccc([C@H](C)C(=O)Nc2cc[nH+]cc2C)cc1 ZINC000107292407 330348778 /nfs/dbraw/zinc/34/87/78/330348778.db2.gz YVIXTOZYNSVUNC-ZDUSSCGKSA-N 1 2 268.360 3.695 20 0 CHADLO CC1(C)C[N@H+](Cc2nccs2)CC[C@H]1C(F)(F)F ZINC000191661162 330349784 /nfs/dbraw/zinc/34/97/84/330349784.db2.gz RFMNTJJNGDBHQA-SECBINFHSA-N 1 2 278.343 3.554 20 0 CHADLO CC1(C)C[N@@H+](Cc2nccs2)CC[C@H]1C(F)(F)F ZINC000191661162 330349785 /nfs/dbraw/zinc/34/97/85/330349785.db2.gz RFMNTJJNGDBHQA-SECBINFHSA-N 1 2 278.343 3.554 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]Cc2nnsc2Cl)cc1 ZINC000533211988 330353070 /nfs/dbraw/zinc/35/30/70/330353070.db2.gz WNVMYOVBMFSNMV-QMMMGPOBSA-N 1 2 299.852 3.764 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCSC(C)(C)C2)cs1 ZINC000083415729 330357956 /nfs/dbraw/zinc/35/79/56/330357956.db2.gz RCVUMFBXCIOOJX-UHFFFAOYSA-N 1 2 270.467 3.594 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCSC(C)(C)C2)cs1 ZINC000083415729 330357957 /nfs/dbraw/zinc/35/79/57/330357957.db2.gz RCVUMFBXCIOOJX-UHFFFAOYSA-N 1 2 270.467 3.594 20 0 CHADLO C[C@@H]([NH2+]Cc1cscn1)c1cnc2ccsc2c1 ZINC000085434074 330365334 /nfs/dbraw/zinc/36/53/34/330365334.db2.gz YAQXHCKRRDBDBG-SECBINFHSA-N 1 2 275.402 3.604 20 0 CHADLO Fc1ccc(Cn2c[nH+]c3cc(F)c(F)cc32)cc1F ZINC000117868330 330366223 /nfs/dbraw/zinc/36/62/23/330366223.db2.gz MABDTCTVMQYFBC-UHFFFAOYSA-N 1 2 280.224 3.641 20 0 CHADLO CCC(CC)N(CC(C)C)C(=O)/C=C/c1[nH+]ccn1CC ZINC000119007896 330368830 /nfs/dbraw/zinc/36/88/30/330368830.db2.gz FIJBMOVEADAXCW-MDZDMXLPSA-N 1 2 291.439 3.589 20 0 CHADLO Cc1ccc(NC(=O)[C@H](C)Sc2ccccc2)c(C)[nH+]1 ZINC000119165934 330370639 /nfs/dbraw/zinc/37/06/39/330370639.db2.gz FCUSRRADODPGSU-ZDUSSCGKSA-N 1 2 286.400 3.818 20 0 CHADLO CCC(CC)c1cc(C(=O)Nc2ccc(C)[nH+]c2C)on1 ZINC000119347396 330372449 /nfs/dbraw/zinc/37/24/49/330372449.db2.gz TYRJKKHXNLPBIP-UHFFFAOYSA-N 1 2 287.363 3.842 20 0 CHADLO C[C@H]1CCCC[C@@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000424564814 330378402 /nfs/dbraw/zinc/37/84/02/330378402.db2.gz MGQSDGYFVLUPAI-BBRMVZONSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](C)[C@H](C)c2ccccc2)cc[nH+]1 ZINC000120182981 330386239 /nfs/dbraw/zinc/38/62/39/330386239.db2.gz KVSUZTWSFDSOCV-KBPBESRZSA-N 1 2 283.375 3.704 20 0 CHADLO Clc1cccc(OCCCSCCn2cc[nH+]c2)c1 ZINC000090200881 330391389 /nfs/dbraw/zinc/39/13/89/330391389.db2.gz IOHWISQTVYBWNT-UHFFFAOYSA-N 1 2 296.823 3.739 20 0 CHADLO CCOC(=O)[C@H](CC)[NH2+][C@H](C)c1ccc(SCC)cc1 ZINC000537102993 330399575 /nfs/dbraw/zinc/39/95/75/330399575.db2.gz DOOXFYSYKKONGR-DOMZBBRYSA-N 1 2 295.448 3.791 20 0 CHADLO CCc1ccc(CC)c(N[C@H](C)c2[nH+]ccn2C)c1 ZINC000537536108 330440544 /nfs/dbraw/zinc/44/05/44/330440544.db2.gz JTQDXKKNJSHEGF-GFCCVEGCSA-N 1 2 257.381 3.718 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@]3(C)CC(C)C)ccn12 ZINC000514873079 330466614 /nfs/dbraw/zinc/46/66/14/330466614.db2.gz WIJLQLZQVJZITH-GOSISDBHSA-N 1 2 299.418 3.684 20 0 CHADLO Fc1cccc(SCc2cn3ccccc3[nH+]2)c1 ZINC000084842291 330487485 /nfs/dbraw/zinc/48/74/85/330487485.db2.gz AFIHDVFZLFPULO-UHFFFAOYSA-N 1 2 258.321 3.766 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)CCC1CC1)c1ccc(F)c(C)c1 ZINC000428392163 330522431 /nfs/dbraw/zinc/52/24/31/330522431.db2.gz IOANABJHYAEEAA-BLLLJJGKSA-N 1 2 293.382 3.517 20 0 CHADLO COc1cc(C)[nH+]c(COc2cccc(Cl)c2)c1 ZINC000149355734 330573932 /nfs/dbraw/zinc/57/39/32/330573932.db2.gz WSWZMBMLLHPBJI-UHFFFAOYSA-N 1 2 263.724 3.631 20 0 CHADLO Cc1nc2[nH]ccc2c(NCc2cc3ccccc3s2)[nH+]1 ZINC000432170146 330594389 /nfs/dbraw/zinc/59/43/89/330594389.db2.gz PWOYHOCPWQXZCL-UHFFFAOYSA-N 1 2 294.383 3.515 20 0 CHADLO OCc1cccc(NCc2ccc(-c3ccc(F)cc3)o2)[nH+]1 ZINC000432758107 330606091 /nfs/dbraw/zinc/60/60/91/330606091.db2.gz OTVHUWVHWWLYLX-UHFFFAOYSA-N 1 2 298.317 3.585 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)c(Cl)cc1F)c1ccn(C)n1 ZINC000433088753 330616909 /nfs/dbraw/zinc/61/69/09/330616909.db2.gz MWTUGJQANPUGMY-CYBMUJFWSA-N 1 2 299.752 3.593 20 0 CHADLO CC(C)c1nc(N2[C@H](C)C[C@@H]3CCCC[C@H]32)cc[nH+]1 ZINC000433805771 330631693 /nfs/dbraw/zinc/63/16/93/330631693.db2.gz XVLWRZYGICSZPI-HZSPNIEDSA-N 1 2 259.397 3.757 20 0 CHADLO CCc1ccnc(CNc2[nH+]ccc3ccc(F)cc32)c1 ZINC000435587459 330658085 /nfs/dbraw/zinc/65/80/85/330658085.db2.gz DJWWXRDISVQMBG-UHFFFAOYSA-N 1 2 281.334 3.943 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC[C@H](C)C[C@H](C)C2)c(C)[nH+]1 ZINC000436495331 330678777 /nfs/dbraw/zinc/67/87/77/330678777.db2.gz OTOAGDAFVSPILR-RYUDHWBXSA-N 1 2 289.423 3.907 20 0 CHADLO Cn1c[nH+]cc1COc1cc(Cl)c(Cl)cc1Cl ZINC000439556216 330740401 /nfs/dbraw/zinc/74/04/01/330740401.db2.gz KCGFLYIQSJNLRY-UHFFFAOYSA-N 1 2 291.565 3.959 20 0 CHADLO CCCc1ccc([C@@H](NC(=O)c2c[nH+]c(C)[nH]2)C(C)C)cc1 ZINC000439732073 330742486 /nfs/dbraw/zinc/74/24/86/330742486.db2.gz PLSNNTWJNWAYER-KRWDZBQOSA-N 1 2 299.418 3.798 20 0 CHADLO CO[C@H]1CCN(c2cc(C(C)C)[nH+]c(C(C)C)n2)[C@@H](C)C1 ZINC000440651514 330753540 /nfs/dbraw/zinc/75/35/40/330753540.db2.gz PZRXQDCZUFFBCN-KBPBESRZSA-N 1 2 291.439 3.727 20 0 CHADLO COc1cccc2c(N[C@H](C)[C@H]3CCCCO3)cc[nH+]c12 ZINC000440664967 330753747 /nfs/dbraw/zinc/75/37/47/330753747.db2.gz MIMKLZLPDWGQMO-IUODEOHRSA-N 1 2 286.375 3.613 20 0 CHADLO CCc1nc(C(C)(C)[NH2+]Cc2cccc(C(F)F)c2)no1 ZINC000441268801 330764621 /nfs/dbraw/zinc/76/46/21/330764621.db2.gz LMTQDRNLLBIKIL-UHFFFAOYSA-N 1 2 295.333 3.595 20 0 CHADLO CC[C@@H](NC(=O)c1ccc(C(C)(C)C)s1)c1[nH]cc[nH+]1 ZINC000443118447 330795402 /nfs/dbraw/zinc/79/54/02/330795402.db2.gz NBIJGVKZKZIODA-SNVBAGLBSA-N 1 2 291.420 3.650 20 0 CHADLO CCC[C@@H](CC1CCCCC1)C(=O)NCc1[nH]c[nH+]c1C ZINC000443226168 330797368 /nfs/dbraw/zinc/79/73/68/330797368.db2.gz PUJUREVCCHGJRP-HNNXBMFYSA-N 1 2 291.439 3.721 20 0 CHADLO Cc1cc(C)c(NC(=O)CCO[C@H](C)CC(C)C)c(C)[nH+]1 ZINC000445509439 330830277 /nfs/dbraw/zinc/83/02/77/330830277.db2.gz SWJHHHSLZBREJN-CQSZACIVSA-N 1 2 292.423 3.787 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H](CC(F)(F)F)C(C)C)[nH+]1 ZINC000445661852 330834265 /nfs/dbraw/zinc/83/42/65/330834265.db2.gz MALGZIAWOWTSCV-JTQLQIEISA-N 1 2 286.301 3.655 20 0 CHADLO Cn1c2ccccc2[nH+]c1N1CCCC2(CCCC2)C1 ZINC000445664961 330834281 /nfs/dbraw/zinc/83/42/81/330834281.db2.gz NLMVUFKEWKWVEH-UHFFFAOYSA-N 1 2 269.392 3.734 20 0 CHADLO Cc1ccc(NC(=O)CCC2CCCCC2)c(C)[nH+]1 ZINC000446440278 330848872 /nfs/dbraw/zinc/84/88/72/330848872.db2.gz DSTIVBDEDLYHNS-UHFFFAOYSA-N 1 2 260.381 3.997 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nnc(C(C)C)s2)c(C)o1 ZINC000447572247 330870557 /nfs/dbraw/zinc/87/05/57/330870557.db2.gz AVAWYYIVLASRET-JTQLQIEISA-N 1 2 279.409 3.722 20 0 CHADLO C[C@H]1CCC[C@]1(C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448840076 330885518 /nfs/dbraw/zinc/88/55/18/330885518.db2.gz HYPWNICRRGPKBF-SJCJKPOMSA-N 1 2 283.375 3.842 20 0 CHADLO CC[C@@H](CC(F)(F)F)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000448857268 330886014 /nfs/dbraw/zinc/88/60/14/330886014.db2.gz PIOILSMOVYWSOK-JTQLQIEISA-N 1 2 299.296 3.560 20 0 CHADLO CC1(C)CC[C@@H](Nc2ccccc2OCCn2cc[nH+]c2)C1 ZINC000449051666 330895466 /nfs/dbraw/zinc/89/54/66/330895466.db2.gz VMQDEGYLKMRFFO-OAHLLOKOSA-N 1 2 299.418 3.953 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](CC)C(F)F)cc1OCC ZINC000449356651 330918330 /nfs/dbraw/zinc/91/83/30/330918330.db2.gz AOIFFOKXUFVDKB-GFCCVEGCSA-N 1 2 287.350 3.617 20 0 CHADLO FCC(CF)[NH2+]Cc1cccc(Oc2ccccc2)c1 ZINC000449373828 330920136 /nfs/dbraw/zinc/92/01/36/330920136.db2.gz URYFYUAUNOFLBW-UHFFFAOYSA-N 1 2 277.314 3.876 20 0 CHADLO Cc1ccc(CCCNc2ccccc2OCCF)c[nH+]1 ZINC000449386993 330921528 /nfs/dbraw/zinc/92/15/28/330921528.db2.gz KGISZHWUNBUOFD-UHFFFAOYSA-N 1 2 288.366 3.783 20 0 CHADLO Cc1cc2[nH]c(C[NH2+][C@@H](C)C(C)(F)F)cc2c(C)c1 ZINC000449414753 330923907 /nfs/dbraw/zinc/92/39/07/330923907.db2.gz MWUURILQYMVIOJ-NSHDSACASA-N 1 2 266.335 3.918 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(-c2ccncc2)c1)C(C)(F)F ZINC000449415893 330924076 /nfs/dbraw/zinc/92/40/76/330924076.db2.gz RCKZCUDBNZEACT-GFCCVEGCSA-N 1 2 276.330 3.882 20 0 CHADLO CCCc1ncc(C[NH2+]Cc2ccc([C@@H]3C[C@@H]3C)o2)o1 ZINC000449610842 330939599 /nfs/dbraw/zinc/93/95/99/330939599.db2.gz IAKVHSGEBJPWRU-SMDDNHRTSA-N 1 2 274.364 3.633 20 0 CHADLO COc1cccc2c1cc[nH+]c2NC[C@@H](C)C(F)(F)F ZINC000450455212 330980969 /nfs/dbraw/zinc/98/09/69/330980969.db2.gz NQGGUXHYEGGBIK-SECBINFHSA-N 1 2 284.281 3.854 20 0 CHADLO CN(CCCCCO)c1cc[nH+]c2cc(F)c(Cl)cc21 ZINC000450486799 330983440 /nfs/dbraw/zinc/98/34/40/330983440.db2.gz UDLCGXYMZBPFOX-UHFFFAOYSA-N 1 2 296.773 3.626 20 0 CHADLO CC(F)(F)CCCNc1[nH+]ccc2ccc(F)cc21 ZINC000450560643 330986620 /nfs/dbraw/zinc/98/66/20/330986620.db2.gz QNIAHNCTKXVJQG-UHFFFAOYSA-N 1 2 268.282 3.643 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1cc(F)ccc1F ZINC000450670345 330992337 /nfs/dbraw/zinc/99/23/37/330992337.db2.gz SBAAGFWOQZMHJA-MRVPVSSYSA-N 1 2 295.358 3.545 20 0 CHADLO C[C@@H]([NH2+]CC1=CCCCC1)c1noc(Cc2ccccc2)n1 ZINC000450739525 330997097 /nfs/dbraw/zinc/99/70/97/330997097.db2.gz RBWGNKLACOQKGD-CQSZACIVSA-N 1 2 297.402 3.811 20 0 CHADLO Cc1cccc2nc(N[C@@H]3C[C@@H](C)n4cc[nH+]c43)sc21 ZINC000450827172 331001431 /nfs/dbraw/zinc/00/14/31/331001431.db2.gz HIARBVDFSOQTOM-ZYHUDNBSSA-N 1 2 284.388 3.919 20 0 CHADLO CC(C)c1nc(N2CC(c3ccc(Cl)cc3)C2)cc[nH+]1 ZINC000450831968 331001750 /nfs/dbraw/zinc/00/17/50/331001750.db2.gz UPVDHGPEQGNRJZ-UHFFFAOYSA-N 1 2 287.794 3.857 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)[C@@H](C)c1csnn1 ZINC000450844440 331002324 /nfs/dbraw/zinc/00/23/24/331002324.db2.gz HLASBEKKXGZJBI-SUIFULHWSA-N 1 2 273.405 3.634 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)[C@@H](C)c1csnn1 ZINC000450844440 331002325 /nfs/dbraw/zinc/00/23/25/331002325.db2.gz HLASBEKKXGZJBI-SUIFULHWSA-N 1 2 273.405 3.634 20 0 CHADLO CCc1ncc(CNc2c(C)c[nH+]c3c(OC)cccc23)o1 ZINC000450850720 331002880 /nfs/dbraw/zinc/00/28/80/331002880.db2.gz YGYOOBUIIWKLIR-UHFFFAOYSA-N 1 2 297.358 3.714 20 0 CHADLO Cc1cc(C)cc(C[N@H+](C)Cc2nnc(C3CC3)s2)c1 ZINC000450855451 331003061 /nfs/dbraw/zinc/00/30/61/331003061.db2.gz GITGRPYMQRDLTF-UHFFFAOYSA-N 1 2 287.432 3.664 20 0 CHADLO Cc1cc(C)cc(C[N@@H+](C)Cc2nnc(C3CC3)s2)c1 ZINC000450855451 331003062 /nfs/dbraw/zinc/00/30/62/331003062.db2.gz GITGRPYMQRDLTF-UHFFFAOYSA-N 1 2 287.432 3.664 20 0 CHADLO CO[C@H]([C@@H](C)Nc1[nH+]ccc2ccc(F)cc21)C1CC1 ZINC000450864352 331003658 /nfs/dbraw/zinc/00/36/58/331003658.db2.gz VEYMMXXLSHPSAG-MEBBXXQBSA-N 1 2 274.339 3.599 20 0 CHADLO FC1(F)CC[C@@H](C[N@@H+]2CCn3c(cc4ccccc43)C2)C1 ZINC000451048802 331013615 /nfs/dbraw/zinc/01/36/15/331013615.db2.gz HGRQOQYCLDNKIJ-CYBMUJFWSA-N 1 2 290.357 3.892 20 0 CHADLO FC1(F)CC[C@@H](C[N@H+]2CCn3c(cc4ccccc43)C2)C1 ZINC000451048802 331013616 /nfs/dbraw/zinc/01/36/16/331013616.db2.gz HGRQOQYCLDNKIJ-CYBMUJFWSA-N 1 2 290.357 3.892 20 0 CHADLO CC1=C(C(=O)N[C@H](c2[nH]cc[nH+]2)C(C)C)CCC(C)(C)C1 ZINC000451107135 331015679 /nfs/dbraw/zinc/01/56/79/331015679.db2.gz XVSZCHDFKXXVEV-AWEZNQCLSA-N 1 2 289.423 3.750 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@@H+]1CCC[C@](C)(F)C1 ZINC000451109075 331015692 /nfs/dbraw/zinc/01/56/92/331015692.db2.gz AENXQEAWMWJKJM-NHYWBVRUSA-N 1 2 268.376 3.857 20 0 CHADLO C[C@@H](c1ncc(C(C)(C)C)o1)[N@H+]1CCC[C@](C)(F)C1 ZINC000451109075 331015693 /nfs/dbraw/zinc/01/56/93/331015693.db2.gz AENXQEAWMWJKJM-NHYWBVRUSA-N 1 2 268.376 3.857 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000451161347 331018184 /nfs/dbraw/zinc/01/81/84/331018184.db2.gz KCQYUFMZKFWGAK-LLVKDONJSA-N 1 2 290.769 3.993 20 0 CHADLO C[C@H](c1ccccn1)[N@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000451161347 331018185 /nfs/dbraw/zinc/01/81/85/331018185.db2.gz KCQYUFMZKFWGAK-LLVKDONJSA-N 1 2 290.769 3.993 20 0 CHADLO CCn1cc[nH+]c1[C@@H]1CCCCN1C(=O)CCCC(C)C ZINC000451391404 331027122 /nfs/dbraw/zinc/02/71/22/331027122.db2.gz GASBTKLYOJEYHG-HNNXBMFYSA-N 1 2 291.439 3.783 20 0 CHADLO CCCC(CCC)C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000510601600 332907730 /nfs/dbraw/zinc/90/77/30/332907730.db2.gz RLZXSOVGDDXKEH-UHFFFAOYSA-N 1 2 273.380 3.798 20 0 CHADLO Cc1ccc2ccccc2c1C[N@@H+](CC(F)F)C[C@H](C)O ZINC000451856819 331044971 /nfs/dbraw/zinc/04/49/71/331044971.db2.gz UTQFSODYYGKWKH-ZDUSSCGKSA-N 1 2 293.357 3.596 20 0 CHADLO Cc1ccc2ccccc2c1C[N@H+](CC(F)F)C[C@H](C)O ZINC000451856819 331044972 /nfs/dbraw/zinc/04/49/72/331044972.db2.gz UTQFSODYYGKWKH-ZDUSSCGKSA-N 1 2 293.357 3.596 20 0 CHADLO c1ccc2[nH]c([C@H]3CCCC[N@H+]3Cc3ccncc3)nc2c1 ZINC000451877730 331045863 /nfs/dbraw/zinc/04/58/63/331045863.db2.gz JXVGPRVMNKGYRX-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO c1ccc2[nH]c([C@H]3CCCC[N@@H+]3Cc3ccncc3)nc2c1 ZINC000451877730 331045864 /nfs/dbraw/zinc/04/58/64/331045864.db2.gz JXVGPRVMNKGYRX-QGZVFWFLSA-N 1 2 292.386 3.685 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2nc3ccccc3[nH]2)on1 ZINC000451881420 331046189 /nfs/dbraw/zinc/04/61/89/331046189.db2.gz YRKOBOGUQQPGNH-MRXNPFEDSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2nc3ccccc3[nH]2)on1 ZINC000451881420 331046190 /nfs/dbraw/zinc/04/61/90/331046190.db2.gz YRKOBOGUQQPGNH-MRXNPFEDSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nc(C3CCCC3)no2)cc1C ZINC000452050392 331052050 /nfs/dbraw/zinc/05/20/50/331052050.db2.gz WKJQNTRTXKIMEV-UHFFFAOYSA-N 1 2 299.418 3.976 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nc(C3CCCC3)no2)cc1C ZINC000452050392 331052051 /nfs/dbraw/zinc/05/20/51/331052051.db2.gz WKJQNTRTXKIMEV-UHFFFAOYSA-N 1 2 299.418 3.976 20 0 CHADLO O=C(Nc1c[nH+]c2n1CCCC2)c1cccc2ccsc21 ZINC000452334393 331062612 /nfs/dbraw/zinc/06/26/12/331062612.db2.gz MMPYYHXDYWXXRZ-UHFFFAOYSA-N 1 2 297.383 3.686 20 0 CHADLO CC[C@@H](CC1CCCCC1)C(=O)NCc1[nH+]ccn1CC ZINC000452568803 331069393 /nfs/dbraw/zinc/06/93/93/331069393.db2.gz CBCJARVRBHEIQX-HNNXBMFYSA-N 1 2 291.439 3.516 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1Cl)c1ccncn1 ZINC000453151767 331094685 /nfs/dbraw/zinc/09/46/85/331094685.db2.gz OAHJOUUNMKQEHX-UWVGGRQHSA-N 1 2 279.746 3.681 20 0 CHADLO C[C@H]1C[C@@H](Nc2ccc3scnc3c2)c2[nH+]ccn21 ZINC000453209434 331101567 /nfs/dbraw/zinc/10/15/67/331101567.db2.gz LIGBXFJJLKCAAJ-JOYOIKCWSA-N 1 2 270.361 3.611 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc3scnc3c2)c2[nH+]ccn21 ZINC000453209428 331101611 /nfs/dbraw/zinc/10/16/11/331101611.db2.gz LIGBXFJJLKCAAJ-BXKDBHETSA-N 1 2 270.361 3.611 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(CCCC(C)(C)C)n1 ZINC000453222214 331103192 /nfs/dbraw/zinc/10/31/92/331103192.db2.gz KXAUYIWSEODOMB-UHFFFAOYSA-N 1 2 287.407 3.927 20 0 CHADLO CCn1cc([C@H](C)[NH2+][C@@H](c2ccco2)c2ccccc2)cn1 ZINC000194983477 331104915 /nfs/dbraw/zinc/10/49/15/331104915.db2.gz ICIWEWSETGKCGR-KBXCAEBGSA-N 1 2 295.386 3.936 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc2c(c1)C(C)(C)C(=O)N2)C(F)F ZINC000453251386 331107670 /nfs/dbraw/zinc/10/76/70/331107670.db2.gz TUBHSJJHSVNVLU-CABZTGNLSA-N 1 2 296.361 3.611 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1cccc(N2CCCC2=O)c1 ZINC000453293815 331112220 /nfs/dbraw/zinc/11/22/20/331112220.db2.gz PDXUUXFWEZGNLK-NEPJUHHUSA-N 1 2 296.361 3.508 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc(-n2cccn2)cc1 ZINC000453299562 331112925 /nfs/dbraw/zinc/11/29/25/331112925.db2.gz USNJPJKCJGCFFD-RYUDHWBXSA-N 1 2 279.334 3.567 20 0 CHADLO CCc1ncc(C[NH2+][C@@H](C)c2cc(Cl)ccc2OC)o1 ZINC000453371549 331124057 /nfs/dbraw/zinc/12/40/57/331124057.db2.gz NPGGJELTRINOSW-JTQLQIEISA-N 1 2 294.782 3.750 20 0 CHADLO Cc1cc(C)c(CNC(=O)NCC(C)(C)CC(C)C)c[nH+]1 ZINC000454621334 331149991 /nfs/dbraw/zinc/14/99/91/331149991.db2.gz RUPZOTIRTNIFKK-UHFFFAOYSA-N 1 2 291.439 3.570 20 0 CHADLO CC(C)=CCC[C@@H](C)NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000455328890 331166328 /nfs/dbraw/zinc/16/63/28/331166328.db2.gz RAAQYRJUSCMXNY-CYBMUJFWSA-N 1 2 286.379 3.591 20 0 CHADLO CCc1ccc(NC(=O)Nc2c(C)cc[nH+]c2C)cc1OC ZINC000455438353 331169937 /nfs/dbraw/zinc/16/99/37/331169937.db2.gz LGEVBNKIHGXVCL-UHFFFAOYSA-N 1 2 299.374 3.913 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000455447741 331170108 /nfs/dbraw/zinc/17/01/08/331170108.db2.gz VRWZKHHMLLWTCZ-LDDOYCOJSA-N 1 2 299.418 3.598 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@@H]2[C@@H]3CC[C@@H](C3)[C@@]2(C)C1 ZINC000455447740 331170116 /nfs/dbraw/zinc/17/01/16/331170116.db2.gz VRWZKHHMLLWTCZ-BASYENTBSA-N 1 2 299.418 3.598 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H](C)CCC2CC2)c(C)[nH+]1 ZINC000455496620 331171000 /nfs/dbraw/zinc/17/10/00/331171000.db2.gz PFQNXHPXQLPTIJ-NSHDSACASA-N 1 2 275.396 3.707 20 0 CHADLO Cc1cc(C)c(NC(=O)NCCC2CC(F)(F)C2)c(C)[nH+]1 ZINC000455514383 331171358 /nfs/dbraw/zinc/17/13/58/331171358.db2.gz JMHFLUOPXVZHFY-UHFFFAOYSA-N 1 2 297.349 3.564 20 0 CHADLO Cc1cc(C)c(NC(=O)NC[C@H]2CCC[C@H]2C)c(C)[nH+]1 ZINC000455543055 331172674 /nfs/dbraw/zinc/17/26/74/331172674.db2.gz ZSBPDJJTUSWVBC-QMTHXVAHSA-N 1 2 275.396 3.565 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1ccc(C2CCC2)cc1 ZINC000456337576 331190261 /nfs/dbraw/zinc/19/02/61/331190261.db2.gz BBZXFWIMBSNMGT-CYBMUJFWSA-N 1 2 297.402 3.662 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)[C@H](C)CCC(C)(C)C ZINC000456335501 331190292 /nfs/dbraw/zinc/19/02/92/331190292.db2.gz ABCPTLOYKXLXTI-OLZOCXBDSA-N 1 2 279.428 3.543 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)C1=C(C)CC(C)(C)CC1 ZINC000456344216 331190412 /nfs/dbraw/zinc/19/04/12/331190412.db2.gz SQDDMHWYUDPYEQ-CYBMUJFWSA-N 1 2 289.423 3.607 20 0 CHADLO C[C@H](CC(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21)C1CCCCC1 ZINC000456391413 331192087 /nfs/dbraw/zinc/19/20/87/331192087.db2.gz QVIADYYXBOVYCX-IPYPFGDCSA-N 1 2 289.423 3.612 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1csc2ccccc12 ZINC000456403113 331192223 /nfs/dbraw/zinc/19/22/23/331192223.db2.gz WRERQTBRYRCNPO-LLVKDONJSA-N 1 2 299.399 3.609 20 0 CHADLO Cc1cc(C)c(CNC(=O)CC[C@@H](C)c2ccccc2)c[nH+]1 ZINC000456842552 331207364 /nfs/dbraw/zinc/20/73/64/331207364.db2.gz PVUHGCPTEKAJOZ-CQSZACIVSA-N 1 2 296.414 3.899 20 0 CHADLO CC[C@@H]1CCCC[C@H]1C(=O)NCc1c[nH+]c(C)cc1C ZINC000456855017 331207571 /nfs/dbraw/zinc/20/75/71/331207571.db2.gz YVXXXQTXFFPBAQ-GDBMZVCRSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1ccc(CCC(=O)NCc2c[nH+]c(C)cc2C)c(C)c1 ZINC000456866797 331207901 /nfs/dbraw/zinc/20/79/01/331207901.db2.gz VCEADVKZSBMMDQ-UHFFFAOYSA-N 1 2 296.414 3.564 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc(C(F)F)cc2)c[nH+]1 ZINC000456870624 331208006 /nfs/dbraw/zinc/20/80/06/331208006.db2.gz ZTUMIIJMSRPZOW-UHFFFAOYSA-N 1 2 290.313 3.566 20 0 CHADLO C[NH+](C)Cc1csc(NC(=O)CCC2CCCCC2)n1 ZINC000457282275 331218025 /nfs/dbraw/zinc/21/80/25/331218025.db2.gz BMNPCNKKVDGOBU-UHFFFAOYSA-N 1 2 295.452 3.504 20 0 CHADLO CC(C)CCCCC(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000457530648 331226085 /nfs/dbraw/zinc/22/60/85/331226085.db2.gz ZTTJWUCKTGMFAJ-AWEZNQCLSA-N 1 2 277.412 3.680 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@H](C)C2CCC2)[nH+]1 ZINC000457653889 331230275 /nfs/dbraw/zinc/23/02/75/331230275.db2.gz CCAGIISOCSZZFR-GFCCVEGCSA-N 1 2 283.375 3.760 20 0 CHADLO Cc1cc(C)c(CNC(=O)CCCCC(C)C)c[nH+]1 ZINC000457841884 331236266 /nfs/dbraw/zinc/23/62/66/331236266.db2.gz IPHXWRGGBQDLPX-UHFFFAOYSA-N 1 2 262.397 3.531 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1cnc(C3CC3)nc1)C2 ZINC000459536153 331286404 /nfs/dbraw/zinc/28/64/04/331286404.db2.gz YBUIWNWNPSCFCX-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1cnc(C3CC3)nc1)C2 ZINC000459536153 331286405 /nfs/dbraw/zinc/28/64/05/331286405.db2.gz YBUIWNWNPSCFCX-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO CCOc1cc(C[NH2+]C2(C(F)F)CCCCC2)ccc1O ZINC000459546864 331287056 /nfs/dbraw/zinc/28/70/56/331287056.db2.gz UHGKGFLNQYUFOH-UHFFFAOYSA-N 1 2 299.361 3.849 20 0 CHADLO C/C(=C/c1cccc(C(F)(F)F)c1)C[NH2+]CC(F)F ZINC000459548046 331287186 /nfs/dbraw/zinc/28/71/86/331287186.db2.gz PWEKUTUJIYFIEE-UITAMQMPSA-N 1 2 279.252 3.963 20 0 CHADLO Cn1ccnc1C[N@@H+]1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC000459716085 331295558 /nfs/dbraw/zinc/29/55/58/331295558.db2.gz PMLPMCKNRJDXNR-GOSISDBHSA-N 1 2 295.430 3.928 20 0 CHADLO Cn1ccnc1C[N@H+]1CC2(CCCCC2)[C@H]1c1ccccc1 ZINC000459716085 331295559 /nfs/dbraw/zinc/29/55/59/331295559.db2.gz PMLPMCKNRJDXNR-GOSISDBHSA-N 1 2 295.430 3.928 20 0 CHADLO CC(C)n1nccc1C[N@@H+]1CCc2cccc(Cl)c2C1 ZINC000459719363 331295651 /nfs/dbraw/zinc/29/56/51/331295651.db2.gz YJNQLNDYQCCAAO-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC(C)n1nccc1C[N@H+]1CCc2cccc(Cl)c2C1 ZINC000459719363 331295652 /nfs/dbraw/zinc/29/56/52/331295652.db2.gz YJNQLNDYQCCAAO-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccn(C)n1)c1ccc(F)cc1F ZINC000459792701 331301258 /nfs/dbraw/zinc/30/12/58/331301258.db2.gz OIPDGKFAEXFUMB-QMTHXVAHSA-N 1 2 279.334 3.500 20 0 CHADLO CC[C@@H](CC(F)F)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000459933102 331303600 /nfs/dbraw/zinc/30/36/00/331303600.db2.gz QFPUJCAUJWKJGF-NSHDSACASA-N 1 2 270.323 3.627 20 0 CHADLO CCN(C[C@H](C)OC)c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000462087334 331317189 /nfs/dbraw/zinc/31/71/89/331317189.db2.gz BVNRMZQCWXEOFE-ZDUSSCGKSA-N 1 2 279.428 3.585 20 0 CHADLO CC[C@@H](c1ccccc1)[C@@H]1CCCN1c1cc[nH+]c(C)n1 ZINC000462801272 331329747 /nfs/dbraw/zinc/32/97/47/331329747.db2.gz BNHQPRMXOBPWHR-IRXDYDNUSA-N 1 2 281.403 3.948 20 0 CHADLO FC(F)(F)Cc1ccc(Nc2[nH+]cnc3[nH]ccc32)cc1 ZINC000462866709 331333156 /nfs/dbraw/zinc/33/31/56/331333156.db2.gz BKZSMMQEEYRLFK-UHFFFAOYSA-N 1 2 292.264 3.806 20 0 CHADLO CO[C@@H]1CCCC[C@H]1Nc1ccc2ccccc2[nH+]1 ZINC000462914637 331334921 /nfs/dbraw/zinc/33/49/21/331334921.db2.gz UEPFAESUXMHSIC-HUUCEWRRSA-N 1 2 256.349 3.604 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H](C)C(C)C)cc2)c1C ZINC000463031145 331337802 /nfs/dbraw/zinc/33/78/02/331337802.db2.gz XFMFLXXJTCLBNT-GFCCVEGCSA-N 1 2 257.381 3.946 20 0 CHADLO Cc1ccc([C@H]2CCC[C@@H]2N(C)c2cc(C)[nH+]cn2)cc1 ZINC000463071166 331339395 /nfs/dbraw/zinc/33/93/95/331339395.db2.gz QTZRCQYCLTXLQI-SJORKVTESA-N 1 2 281.403 3.866 20 0 CHADLO c1c2ccccc2n2c1C[N@H+]([C@@H]1C=CCCC1)CC2 ZINC000463426839 331347437 /nfs/dbraw/zinc/34/74/37/331347437.db2.gz RKOLUTBQLMMDRX-OAHLLOKOSA-N 1 2 252.361 3.566 20 0 CHADLO c1c2ccccc2n2c1C[N@@H+]([C@@H]1C=CCCC1)CC2 ZINC000463426839 331347438 /nfs/dbraw/zinc/34/74/38/331347438.db2.gz RKOLUTBQLMMDRX-OAHLLOKOSA-N 1 2 252.361 3.566 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc2cc(C)ccc2s1 ZINC000463819444 331352077 /nfs/dbraw/zinc/35/20/77/331352077.db2.gz RASICCSNSVCJRH-UHFFFAOYSA-N 1 2 296.395 3.841 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCS[C@H](CC)C2)cs1 ZINC000464784448 331368081 /nfs/dbraw/zinc/36/80/81/331368081.db2.gz FGQNKLFHFZRZQW-CYBMUJFWSA-N 1 2 284.494 3.813 20 0 CHADLO CCCCc1nc(C[N@H+]2CCS[C@H](CC)C2)cs1 ZINC000464784448 331368082 /nfs/dbraw/zinc/36/80/82/331368082.db2.gz FGQNKLFHFZRZQW-CYBMUJFWSA-N 1 2 284.494 3.813 20 0 CHADLO CCC[C@@]1(C)CCC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000464842574 331370768 /nfs/dbraw/zinc/37/07/68/331370768.db2.gz JCLYBUQXESYIBS-INIZCTEOSA-N 1 2 279.428 3.769 20 0 CHADLO CCC[C@@]1(C)CCC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000464842574 331370769 /nfs/dbraw/zinc/37/07/69/331370769.db2.gz JCLYBUQXESYIBS-INIZCTEOSA-N 1 2 279.428 3.769 20 0 CHADLO COc1ncccc1N[C@H]1CCC[C@@H](c2[nH+]cccc2C)C1 ZINC000467484539 331420606 /nfs/dbraw/zinc/42/06/06/331420606.db2.gz KWHQWVJJYWGHMI-CABCVRRESA-N 1 2 297.402 3.932 20 0 CHADLO CC[C@H]1CN(Cc2c[nH+]c3ccccn23)c2ccccc2O1 ZINC000469123687 331446300 /nfs/dbraw/zinc/44/63/00/331446300.db2.gz YZSOLFFGDSUQBD-HNNXBMFYSA-N 1 2 293.370 3.512 20 0 CHADLO CC[C@H](C[NH2+][C@H](C)C(=O)OC(C)(C)C)c1ccccc1 ZINC000470331645 331479752 /nfs/dbraw/zinc/47/97/52/331479752.db2.gz CISJLAHZZJXDGD-ZIAGYGMSSA-N 1 2 277.408 3.500 20 0 CHADLO CC[C@@H](NC(=O)CCCCc1ccc(C)cc1)c1[nH]cc[nH+]1 ZINC000470702977 331487821 /nfs/dbraw/zinc/48/78/21/331487821.db2.gz IKXGJFUMBBNGLX-MRXNPFEDSA-N 1 2 299.418 3.698 20 0 CHADLO CCN(Cc1cc(OC)cc(C)[nH+]1)c1ccc2[nH]ccc2c1 ZINC000471818052 331521682 /nfs/dbraw/zinc/52/16/82/331521682.db2.gz YIOCTPFXIYPUBV-UHFFFAOYSA-N 1 2 295.386 3.906 20 0 CHADLO Cc1ccc(CCC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)o1 ZINC000471989115 331525542 /nfs/dbraw/zinc/52/55/42/331525542.db2.gz RDPITINNPRTGCZ-UHFFFAOYSA-N 1 2 295.342 3.549 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H](C)C1CCCC1)c1ccc(Cl)cc1 ZINC000474931064 331604917 /nfs/dbraw/zinc/60/49/17/331604917.db2.gz YLQOXIWZCRAQSS-ABAIWWIYSA-N 1 2 295.810 3.722 20 0 CHADLO CCN(C)c1ccc(/C=C\c2nc3ccccc3o2)c[nH+]1 ZINC000478909979 331716458 /nfs/dbraw/zinc/71/64/58/331716458.db2.gz JOSPDBCZHOXUAR-LUAWRHEFSA-N 1 2 279.343 3.849 20 0 CHADLO CCOC(=O)[C@H]([NH2+][C@H](C)CC1CC1)c1cccc(Cl)c1 ZINC000479454675 331730712 /nfs/dbraw/zinc/73/07/12/331730712.db2.gz QTBOPYTWMFBOJT-IAQYHMDHSA-N 1 2 295.810 3.722 20 0 CHADLO CC[C@@H]([NH2+][C@H](CC)c1ccccc1)C(=O)OC(C)(C)C ZINC000479460838 331731480 /nfs/dbraw/zinc/73/14/80/331731480.db2.gz LUTDDJVQBDCQGP-HUUCEWRRSA-N 1 2 277.408 3.848 20 0 CHADLO CCOC(=O)[C@H]([NH2+]C(CC)CC)c1cccc(Cl)c1 ZINC000479480736 331732312 /nfs/dbraw/zinc/73/23/12/331732312.db2.gz PGZZUMFTIJQSCW-CQSZACIVSA-N 1 2 283.799 3.722 20 0 CHADLO Cc1cc(N2CC(C3CCCC3)C2)nc(C(C)C)[nH+]1 ZINC000480850306 331789843 /nfs/dbraw/zinc/78/98/43/331789843.db2.gz GDMGQXRZXPHDEX-UHFFFAOYSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1cc(C)cc(CCNC(=O)Nc2c(C)cc[nH+]c2C)c1 ZINC000485427301 331913954 /nfs/dbraw/zinc/91/39/54/331913954.db2.gz BYNBZRSLKQXLHI-UHFFFAOYSA-N 1 2 297.402 3.679 20 0 CHADLO CCOC(=O)C(C)(C)[NH2+]Cc1c(C)ccc2ccccc21 ZINC000485670325 331920037 /nfs/dbraw/zinc/92/00/37/331920037.db2.gz QEBQJVFEOHNHGE-UHFFFAOYSA-N 1 2 285.387 3.580 20 0 CHADLO Cc1cc(N2C[C@H](c3ccccc3)[C@H]3CCCC[C@@H]32)nc[nH+]1 ZINC000486027749 331929473 /nfs/dbraw/zinc/92/94/73/331929473.db2.gz KGGRVFPHPSTPRO-KURKYZTESA-N 1 2 293.414 3.948 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2cc(Cl)cs2)s1 ZINC000487280531 331962787 /nfs/dbraw/zinc/96/27/87/331962787.db2.gz RECYJFJZHPTPQA-UHFFFAOYSA-N 1 2 287.841 3.666 20 0 CHADLO CC(C)(C)C[C@@H]([NH2+]C[C@H]1CCSC1)C(F)(F)F ZINC000487579448 331976243 /nfs/dbraw/zinc/97/62/43/331976243.db2.gz WEVPTUNQFUOIIP-NXEZZACHSA-N 1 2 269.376 3.696 20 0 CHADLO C[C@@H]1C[C@H]1C[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000488338320 332000718 /nfs/dbraw/zinc/00/07/18/332000718.db2.gz KYMHYRSFIBETTL-IFUGULHKSA-N 1 2 283.774 3.508 20 0 CHADLO C[C@@H]1C[C@H]1C[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000488338320 332000720 /nfs/dbraw/zinc/00/07/20/332000720.db2.gz KYMHYRSFIBETTL-IFUGULHKSA-N 1 2 283.774 3.508 20 0 CHADLO Cc1ccc(N[C@@H]2CS[C@@H](C(C)C)C2)c(C)[nH+]1 ZINC000488440048 332008580 /nfs/dbraw/zinc/00/85/80/332008580.db2.gz IUOSEFRPKPXLJA-GXTWGEPZSA-N 1 2 250.411 3.640 20 0 CHADLO Cc1cc(N2CC(C)(C)[C@@H]2c2cccnc2)nc(C(C)C)[nH+]1 ZINC000488485823 332013219 /nfs/dbraw/zinc/01/32/19/332013219.db2.gz ZALNLUGNHLASFI-INIZCTEOSA-N 1 2 296.418 3.891 20 0 CHADLO Cc1cc(NCc2ccc(C3CC3)cc2F)nc(C2CC2)[nH+]1 ZINC000488525509 332015477 /nfs/dbraw/zinc/01/54/77/332015477.db2.gz DHQFIXQEFBYHGZ-UHFFFAOYSA-N 1 2 297.377 3.713 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+](C)Cc2sccc2C)n1 ZINC000490297925 332086361 /nfs/dbraw/zinc/08/63/61/332086361.db2.gz GXRNUAUTEQNJAU-LBPRGKRZSA-N 1 2 293.436 3.975 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+](C)Cc2sccc2C)n1 ZINC000490297925 332086362 /nfs/dbraw/zinc/08/63/62/332086362.db2.gz GXRNUAUTEQNJAU-LBPRGKRZSA-N 1 2 293.436 3.975 20 0 CHADLO CCCc1csc(C[NH2+]Cc2nc(C(C)C)cs2)n1 ZINC000494188943 332466034 /nfs/dbraw/zinc/46/60/34/332466034.db2.gz NOAGWBGNXGNDJP-UHFFFAOYSA-N 1 2 295.477 3.965 20 0 CHADLO CCCc1csc(C[NH2+]Cc2cc(F)cc(F)c2)n1 ZINC000494325500 332470487 /nfs/dbraw/zinc/47/04/87/332470487.db2.gz CQQASBXDUAJKIF-UHFFFAOYSA-N 1 2 282.359 3.664 20 0 CHADLO CCCCN(Cc1ccccc1F)c1cc(C)[nH+]cn1 ZINC000495344264 332515344 /nfs/dbraw/zinc/51/53/44/332515344.db2.gz VQNUPXJRJKPTNB-UHFFFAOYSA-N 1 2 273.355 3.731 20 0 CHADLO Cc1cc(N(CCC(C)C)C2CC2)nc(-c2ccncc2)[nH+]1 ZINC000498840823 332573958 /nfs/dbraw/zinc/57/39/58/332573958.db2.gz VVVNVZPJQUIJMH-UHFFFAOYSA-N 1 2 296.418 3.862 20 0 CHADLO Cc1cc(N(C)[C@@H]2CCCC[C@@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000498839136 332573961 /nfs/dbraw/zinc/57/39/61/332573961.db2.gz QICJEELZVYVYHU-XJKSGUPXSA-N 1 2 296.418 3.862 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)C1CCCCC1)c1ccsc1 ZINC000499601085 332589465 /nfs/dbraw/zinc/58/94/65/332589465.db2.gz SDXQSWCUNFHIEZ-SMDDNHRTSA-N 1 2 281.421 3.521 20 0 CHADLO CCCC[C@@H](C)C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000503288786 332685642 /nfs/dbraw/zinc/68/56/42/332685642.db2.gz BTBLGAYSOPMIHI-GFCCVEGCSA-N 1 2 271.364 3.842 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[NH2+]C1CC(c2ccccc2)C1 ZINC000503568583 332692424 /nfs/dbraw/zinc/69/24/24/332692424.db2.gz IOLYNIDOSVVKKG-JCYILVPMSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1ccoc1C[NH+]1CCN(c2ccccc2Cl)CC1 ZINC000505902338 332757469 /nfs/dbraw/zinc/75/74/69/332757469.db2.gz FIBYVANEHWJURT-UHFFFAOYSA-N 1 2 290.794 3.564 20 0 CHADLO CCc1ccc(C[NH2+]C2(C(F)F)CCCCC2)o1 ZINC000512859973 332990035 /nfs/dbraw/zinc/99/00/35/332990035.db2.gz FWINKEHEBZVMOD-UHFFFAOYSA-N 1 2 257.324 3.900 20 0 CHADLO C[C@@H](CCNc1cc(N2CCCC2)nc[nH+]1)c1ccccc1 ZINC000513066266 333002389 /nfs/dbraw/zinc/00/23/89/333002389.db2.gz XSUZRXMPGFYGDW-HNNXBMFYSA-N 1 2 296.418 3.683 20 0 CHADLO C[C@@H](CCNc1cc(N2CCCC2)[nH+]cn1)c1ccccc1 ZINC000513066266 333002390 /nfs/dbraw/zinc/00/23/90/333002390.db2.gz XSUZRXMPGFYGDW-HNNXBMFYSA-N 1 2 296.418 3.683 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(C(C)(C)C)o1)c1ccc2n[nH]cc2c1 ZINC000513210379 333008930 /nfs/dbraw/zinc/00/89/30/333008930.db2.gz PBWXQEDPJSSQJM-NSHDSACASA-N 1 2 298.390 3.699 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccncc1Cl)c1nccs1 ZINC000174871715 333009694 /nfs/dbraw/zinc/00/96/94/333009694.db2.gz QZBYPWCVEAIDLJ-CYBMUJFWSA-N 1 2 281.812 3.607 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@@H+]1Cc1ccc(Cl)cc1Cl ZINC000513708377 333034472 /nfs/dbraw/zinc/03/44/72/333034472.db2.gz RFUHJTYHORHRMT-GWCFXTLKSA-N 1 2 288.218 3.993 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@H+]1Cc1ccc(Cl)cc1Cl ZINC000513708377 333034473 /nfs/dbraw/zinc/03/44/73/333034473.db2.gz RFUHJTYHORHRMT-GWCFXTLKSA-N 1 2 288.218 3.993 20 0 CHADLO O=C(Nc1ccc2c(c1)[nH+]c1n2CCCCC1)C1=CCCC1 ZINC000177710321 333061991 /nfs/dbraw/zinc/06/19/91/333061991.db2.gz QDYNTFVLTDWFST-UHFFFAOYSA-N 1 2 295.386 3.812 20 0 CHADLO CCCC[C@@H](C)[C@H](C)[NH2+]c1ccc(NS(C)(=O)=O)cc1 ZINC000516963360 333088199 /nfs/dbraw/zinc/08/81/99/333088199.db2.gz XUOIIDWEAWYHIX-OLZOCXBDSA-N 1 2 298.452 3.685 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1cc(C(C)(C)C)on1 ZINC000516998551 333089118 /nfs/dbraw/zinc/08/91/18/333089118.db2.gz WNXUFLNVWJLEJN-ZDUSSCGKSA-N 1 2 284.403 3.919 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1cc(C(C)(C)C)on1 ZINC000516998551 333089119 /nfs/dbraw/zinc/08/91/19/333089119.db2.gz WNXUFLNVWJLEJN-ZDUSSCGKSA-N 1 2 284.403 3.919 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000517216135 333094867 /nfs/dbraw/zinc/09/48/67/333094867.db2.gz OIPXIJABHYBOIK-IMRBUKKESA-N 1 2 280.437 3.703 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc2nc(C)sc2c1 ZINC000517271678 333096718 /nfs/dbraw/zinc/09/67/18/333096718.db2.gz HDNKNQIUDHVURH-UHFFFAOYSA-N 1 2 285.372 3.620 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1ncc(-c2ccc(F)cc2)o1 ZINC000517509781 333100821 /nfs/dbraw/zinc/10/08/21/333100821.db2.gz SMQQLOWTFZCVFV-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1ncc(-c2ccc(F)cc2)o1 ZINC000517509781 333100822 /nfs/dbraw/zinc/10/08/22/333100822.db2.gz SMQQLOWTFZCVFV-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO CC[C@@H]([NH2+][C@H]1C[C@H](C)c2ccccc21)C(=O)OC(C)(C)C ZINC000564148660 333113169 /nfs/dbraw/zinc/11/31/69/333113169.db2.gz RQEVQKAXFFYIEF-MAZHCROVSA-N 1 2 289.419 3.945 20 0 CHADLO CCCc1ccccc1NCc1cc(OC)cc(C)[nH+]1 ZINC000214049236 333116253 /nfs/dbraw/zinc/11/62/53/333116253.db2.gz VDSARRAGLDDWMD-UHFFFAOYSA-N 1 2 270.376 3.963 20 0 CHADLO C[C@H](Cc1ccsc1)[N@@H+]1CC[C@@H](C)C(F)(F)C1 ZINC000521246474 333116323 /nfs/dbraw/zinc/11/63/23/333116323.db2.gz NITVYVYNKIGWHL-GHMZBOCLSA-N 1 2 259.365 3.656 20 0 CHADLO C[C@H](Cc1ccsc1)[N@H+]1CC[C@@H](C)C(F)(F)C1 ZINC000521246474 333116324 /nfs/dbraw/zinc/11/63/24/333116324.db2.gz NITVYVYNKIGWHL-GHMZBOCLSA-N 1 2 259.365 3.656 20 0 CHADLO CC[C@H](NC(=O)c1ccc(F)c2ccccc12)c1[nH]cc[nH+]1 ZINC000336862793 335121775 /nfs/dbraw/zinc/12/17/75/335121775.db2.gz LHASETQYOFJWDZ-HNNXBMFYSA-N 1 2 297.333 3.583 20 0 CHADLO CCn1nccc1CNc1[nH+]cccc1-c1ccccc1 ZINC000184304720 333126882 /nfs/dbraw/zinc/12/68/82/333126882.db2.gz RQHNAQHNPDPBJD-UHFFFAOYSA-N 1 2 278.359 3.577 20 0 CHADLO Cc1cncc([C@@H](C)[NH2+]Cc2cc(-c3ccccc3)on2)c1 ZINC000184336848 333127008 /nfs/dbraw/zinc/12/70/08/333127008.db2.gz HITLLFRSLDXIBG-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO CCCC[C@@H]([NH2+][C@H](C)c1cc(C)sc1C)C(=O)OC ZINC000219844766 333157938 /nfs/dbraw/zinc/15/79/38/333157938.db2.gz YMUBFZBVBJFGND-BXUZGUMPSA-N 1 2 283.437 3.747 20 0 CHADLO Cc1ccc2[nH+]c(CNc3ccsc3Cl)cn2c1 ZINC000187322355 333169618 /nfs/dbraw/zinc/16/96/18/333169618.db2.gz XLFMHDFJXBLHJG-UHFFFAOYSA-N 1 2 277.780 3.970 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2ccc(F)cc2F)o1 ZINC000220889036 333170213 /nfs/dbraw/zinc/17/02/13/333170213.db2.gz POPVPVRDZVPDLB-VHSXEESVSA-N 1 2 266.291 3.673 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@@H](C)c2ncc(C)o2)cc1F ZINC000220896914 333170322 /nfs/dbraw/zinc/17/03/22/333170322.db2.gz XDFKXNLTBDHGKL-QWRGUYRKSA-N 1 2 278.327 3.543 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@@H](C)c2ccc(OC(F)F)cc2)o1 ZINC000220902010 333170599 /nfs/dbraw/zinc/17/05/99/333170599.db2.gz QVOZXRDBWVBYRJ-QWRGUYRKSA-N 1 2 296.317 3.996 20 0 CHADLO Cc1ccc2c(c1)nc(C[NH2+]Cc1ccccc1Cl)n2C ZINC000187576163 333172518 /nfs/dbraw/zinc/17/25/18/333172518.db2.gz JSVOMHKZOYKOLL-UHFFFAOYSA-N 1 2 299.805 3.825 20 0 CHADLO C[C@H]1CC[C@@H]([NH2+]Cc2nn(C)cc2Cl)c2ccccc21 ZINC000393349751 333178433 /nfs/dbraw/zinc/17/84/33/333178433.db2.gz OYTFJNZWNLLACX-XHDPSFHLSA-N 1 2 289.810 3.802 20 0 CHADLO C[C@@H]1C[C@H]([NH2+][C@@H](CC(C)(C)C)C(F)(F)F)C[C@H](C)O1 ZINC000564162859 333181526 /nfs/dbraw/zinc/18/15/26/333181526.db2.gz GPCMPQIKTISXLD-QCNOEVLYSA-N 1 2 281.362 3.899 20 0 CHADLO Cc1c([C@@H](C)Nc2ccc(C)[nH+]c2)cnn1C(C)C ZINC000191447947 333219876 /nfs/dbraw/zinc/21/98/76/333219876.db2.gz MFWNOEPYWVRFIE-GFCCVEGCSA-N 1 2 258.369 3.649 20 0 CHADLO Fc1cc([NH2+]C[C@@H]2CCSC2)ccc1N1CCCC1 ZINC000224388349 333220190 /nfs/dbraw/zinc/22/01/90/333220190.db2.gz JNNSXPDPLZCZSJ-LBPRGKRZSA-N 1 2 280.412 3.591 20 0 CHADLO CCOc1ccccc1[C@H](CC)Nc1cc[nH+]c(C(C)C)n1 ZINC000521931084 333224065 /nfs/dbraw/zinc/22/40/65/333224065.db2.gz PHHAMRPMKOUCAN-HNNXBMFYSA-N 1 2 299.418 3.984 20 0 CHADLO CC(C)c1nc(NCCCc2c[nH]c3ccccc23)cc[nH+]1 ZINC000521965335 333228499 /nfs/dbraw/zinc/22/84/99/333228499.db2.gz DHBTWMZXZNVIFK-UHFFFAOYSA-N 1 2 294.402 3.548 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ncc(Cl)cc2Cl)CCS1 ZINC000192181685 333231979 /nfs/dbraw/zinc/23/19/79/333231979.db2.gz CTJVHFOTFSPIQM-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ncc(Cl)cc2Cl)CCS1 ZINC000192181685 333231981 /nfs/dbraw/zinc/23/19/81/333231981.db2.gz CTJVHFOTFSPIQM-VIFPVBQESA-N 1 2 291.247 3.716 20 0 CHADLO CC(=O)c1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)ccc1C ZINC000193068596 333242212 /nfs/dbraw/zinc/24/22/12/333242212.db2.gz QRBFTBJIPPXUFE-UHFFFAOYSA-N 1 2 296.370 3.770 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)CC3CCCC3)ccc2[nH+]1 ZINC000195742963 333263299 /nfs/dbraw/zinc/26/32/99/333263299.db2.gz MQWGMDSPXIEPEP-UHFFFAOYSA-N 1 2 297.402 3.820 20 0 CHADLO Cc1cc[nH+]cc1NCc1cccc(OC(F)(F)F)c1 ZINC000227600662 333286800 /nfs/dbraw/zinc/28/68/00/333286800.db2.gz PEHPWPWVUKGPMM-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccccc1)c1ccncc1 ZINC000227899257 333290455 /nfs/dbraw/zinc/29/04/55/333290455.db2.gz PQYHYCGKUWYNRQ-LBPRGKRZSA-N 1 2 262.303 3.524 20 0 CHADLO Cc1cccc([C@H]2CCCN2C(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000575927141 335132229 /nfs/dbraw/zinc/13/22/29/335132229.db2.gz VQKGKOKHPVSDPR-DOTOQJQBSA-N 1 2 297.402 3.506 20 0 CHADLO CCc1ccc([C@H](C)CC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000523138095 333310509 /nfs/dbraw/zinc/31/05/09/333310509.db2.gz CCUSQEWKKYIFJI-CYBMUJFWSA-N 1 2 282.387 3.507 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3cccc(F)c3[C@@H]2C)oc1C ZINC000523449474 333327705 /nfs/dbraw/zinc/32/77/05/333327705.db2.gz KLLVEGOAGQHTQO-NSHDSACASA-N 1 2 274.339 3.550 20 0 CHADLO Cc1nc(C[N@H+]2CCc3cccc(F)c3[C@@H]2C)oc1C ZINC000523449474 333327707 /nfs/dbraw/zinc/32/77/07/333327707.db2.gz KLLVEGOAGQHTQO-NSHDSACASA-N 1 2 274.339 3.550 20 0 CHADLO CO[C@@H]1CC[C@H](Nc2[nH+]c3ccccc3cc2C)C1 ZINC000231682877 333327936 /nfs/dbraw/zinc/32/79/36/333327936.db2.gz GYBWBSAABKWYFR-UONOGXRCSA-N 1 2 256.349 3.523 20 0 CHADLO CCC[N@H+](CCOc1ccc(Cl)cc1)CC(F)F ZINC000302988419 333336422 /nfs/dbraw/zinc/33/64/22/333336422.db2.gz FMHOWFFWJHSPCP-UHFFFAOYSA-N 1 2 277.742 3.696 20 0 CHADLO CCC[N@@H+](CCOc1ccc(Cl)cc1)CC(F)F ZINC000302988419 333336424 /nfs/dbraw/zinc/33/64/24/333336424.db2.gz FMHOWFFWJHSPCP-UHFFFAOYSA-N 1 2 277.742 3.696 20 0 CHADLO C[C@@H](C(=O)Nc1ccc2[nH+]c(N(C)C)ccc2c1)C(C)(C)C ZINC000523714873 333341262 /nfs/dbraw/zinc/34/12/62/333341262.db2.gz WGSLJBVTMTXSOP-LBPRGKRZSA-N 1 2 299.418 3.922 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2ccc(F)cc2F)C[C@H](C)O1 ZINC000303494574 333342778 /nfs/dbraw/zinc/34/27/78/333342778.db2.gz SWZWDYDAZSHBBF-DMDPSCGWSA-N 1 2 269.335 3.525 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2ccc(F)cc2F)C[C@H](C)O1 ZINC000303494574 333342779 /nfs/dbraw/zinc/34/27/79/333342779.db2.gz SWZWDYDAZSHBBF-DMDPSCGWSA-N 1 2 269.335 3.525 20 0 CHADLO CC(C)[C@H](CC1CCCCC1)C(=O)N(C)Cc1[nH]cc[nH+]1 ZINC000523759827 333344408 /nfs/dbraw/zinc/34/44/08/333344408.db2.gz OJJOQHDNJITATO-HNNXBMFYSA-N 1 2 291.439 3.611 20 0 CHADLO CC(C)c1ccc([C@@H](C)CC(=O)N(C)Cc2[nH]cc[nH+]2)cc1 ZINC000524113035 333353685 /nfs/dbraw/zinc/35/36/85/333353685.db2.gz JFYROFYLGBBUNZ-AWEZNQCLSA-N 1 2 299.418 3.685 20 0 CHADLO CCc1ccc(NC(=O)Nc2ccc3[nH+]c(C)cn3c2)cc1 ZINC000524128205 333353938 /nfs/dbraw/zinc/35/39/38/333353938.db2.gz HANOKRMQIRXHRE-UHFFFAOYSA-N 1 2 294.358 3.849 20 0 CHADLO COc1c(C)c[nH+]c(CN(C)c2ccc(F)c(F)c2)c1C ZINC000524176799 333355390 /nfs/dbraw/zinc/35/53/90/333355390.db2.gz DKIHVQPUNVIQEB-UHFFFAOYSA-N 1 2 292.329 3.622 20 0 CHADLO Cc1cc[nH+]c(NCC(C)(C)C)c1Br ZINC000235787909 333372232 /nfs/dbraw/zinc/37/22/32/333372232.db2.gz IHFSSIDJFOIGEP-UHFFFAOYSA-N 1 2 257.175 3.611 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCCC1(OC)CCC1 ZINC000315626334 333382662 /nfs/dbraw/zinc/38/26/62/333382662.db2.gz VYYYVFPPYJGZBR-UHFFFAOYSA-N 1 2 286.375 3.615 20 0 CHADLO COC(=O)[C@@H](CC(C)C)[NH2+][C@H](C)c1cc(C)sc1C ZINC000036980110 333448692 /nfs/dbraw/zinc/44/86/92/333448692.db2.gz UYVFYXAVCDKKSP-BXUZGUMPSA-N 1 2 283.437 3.603 20 0 CHADLO COC1(C)CC[NH+](Cc2c(F)ccc(F)c2Cl)CC1 ZINC000529541375 333495508 /nfs/dbraw/zinc/49/55/08/333495508.db2.gz KWHSWXNBAKAXKL-UHFFFAOYSA-N 1 2 289.753 3.619 20 0 CHADLO Clc1ccccc1CN[C@@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000125487412 333567151 /nfs/dbraw/zinc/56/71/51/333567151.db2.gz IJKYMGMATZYKTR-MRXNPFEDSA-N 1 2 297.789 3.942 20 0 CHADLO Cc1scc(C(=O)Nc2ccc3c(c2)[nH+]c(C)n3C)c1C ZINC000538021445 333571533 /nfs/dbraw/zinc/57/15/33/333571533.db2.gz RCHUNWNLMBBPOD-UHFFFAOYSA-N 1 2 299.399 3.812 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ncc[nH]2)c2ccccc2)s1 ZINC000126001517 333587266 /nfs/dbraw/zinc/58/72/66/333587266.db2.gz OUDOEAOZFNSXOB-HNNXBMFYSA-N 1 2 283.400 3.659 20 0 CHADLO COC[C@@H](CC(C)C)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000538638654 333610192 /nfs/dbraw/zinc/61/01/92/333610192.db2.gz JPQVHTRNXWYGJO-MRXNPFEDSA-N 1 2 291.439 3.545 20 0 CHADLO Cc1cc(NC(=O)CC(C)(C)c2ccccc2)cc[nH+]1 ZINC000067571382 333639706 /nfs/dbraw/zinc/63/97/06/333639706.db2.gz BNOWQMUHIDAHLJ-UHFFFAOYSA-N 1 2 268.360 3.696 20 0 CHADLO CC[C@H]([NH2+]Cc1c(Cl)cccc1Cl)c1nccn1C ZINC000540416925 333729207 /nfs/dbraw/zinc/72/92/07/333729207.db2.gz PCBSKEDQXHJCNO-ZDUSSCGKSA-N 1 2 298.217 3.968 20 0 CHADLO Cc1cccc([C@@H]2CCN(c3[nH+]c4ccccc4n3C)C2)c1 ZINC000544141388 333918349 /nfs/dbraw/zinc/91/83/49/333918349.db2.gz HHOSJUIEXNRCKD-MRXNPFEDSA-N 1 2 291.398 3.876 20 0 CHADLO CC[C@@H](C)[C@H](C(=O)Nc1c[nH+]ccc1OC)c1ccccc1 ZINC000131405188 333933992 /nfs/dbraw/zinc/93/39/92/333933992.db2.gz WEZFRJQIEGPUQL-DYVFJYSZSA-N 1 2 298.386 3.859 20 0 CHADLO CC(C)CCOc1ccc(C[NH2+][C@@H](C)c2ccon2)cc1 ZINC000544617087 333941018 /nfs/dbraw/zinc/94/10/18/333941018.db2.gz NACAZHBFQFAINT-AWEZNQCLSA-N 1 2 288.391 3.950 20 0 CHADLO Cc1ccc(-c2ncc(C[NH2+][C@H](C)c3ccon3)s2)cc1 ZINC000544617265 333941109 /nfs/dbraw/zinc/94/11/09/333941109.db2.gz LYJKYMLTHOLXLT-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1cc(NC(=O)C[C@H](C)c2ccccc2)cc[nH+]1 ZINC000072709912 333954176 /nfs/dbraw/zinc/95/41/76/333954176.db2.gz CUQKXBYYBIRUNT-LBPRGKRZSA-N 1 2 254.333 3.522 20 0 CHADLO C[C@H]1c2c(F)cccc2CCN1c1cc[nH+]c(C2CC2)n1 ZINC000545206107 333969036 /nfs/dbraw/zinc/96/90/36/333969036.db2.gz ZTZPCIISVSQNCJ-NSHDSACASA-N 1 2 283.350 3.617 20 0 CHADLO Cc1cc(C)cc(-c2noc(Cc3ccc(C)[nH+]c3)n2)c1 ZINC000545687157 333994660 /nfs/dbraw/zinc/99/46/60/333994660.db2.gz COQDFPMGUPFOSD-UHFFFAOYSA-N 1 2 279.343 3.648 20 0 CHADLO CCC(=Cc1nc(Cc2cn3cccc(C)c3[nH+]2)no1)CC ZINC000546387570 334030443 /nfs/dbraw/zinc/03/04/43/334030443.db2.gz BZIXMNSLFICOTK-UHFFFAOYSA-N 1 2 296.374 3.820 20 0 CHADLO CCC[C@](C)([NH2+]Cc1c(C)oc2ccccc21)C(=O)OC ZINC000546578761 334038687 /nfs/dbraw/zinc/03/86/87/334038687.db2.gz SAEBMUIDSDRIBH-KRWDZBQOSA-N 1 2 289.375 3.563 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nnsc2Cl)CC12CCCCC2 ZINC000546609432 334040220 /nfs/dbraw/zinc/04/02/20/334040220.db2.gz BYKKPMDBYAQRRF-NSHDSACASA-N 1 2 299.871 3.984 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nnsc2Cl)CC12CCCCC2 ZINC000546609432 334040221 /nfs/dbraw/zinc/04/02/21/334040221.db2.gz BYKKPMDBYAQRRF-NSHDSACASA-N 1 2 299.871 3.984 20 0 CHADLO CC[N@H+](Cc1ccccc1Br)CC1(F)CC1 ZINC000546692566 334046211 /nfs/dbraw/zinc/04/62/11/334046211.db2.gz DEGXJQIVZGBTFI-UHFFFAOYSA-N 1 2 286.188 3.773 20 0 CHADLO CC[N@@H+](Cc1ccccc1Br)CC1(F)CC1 ZINC000546692566 334046213 /nfs/dbraw/zinc/04/62/13/334046213.db2.gz DEGXJQIVZGBTFI-UHFFFAOYSA-N 1 2 286.188 3.773 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@@H]2c2cc(C)ccc2C)no1 ZINC000133699438 334050418 /nfs/dbraw/zinc/05/04/18/334050418.db2.gz FAPAJPJMGMTKOC-MRXNPFEDSA-N 1 2 299.418 3.976 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@@H]2c2cc(C)ccc2C)no1 ZINC000133699438 334050420 /nfs/dbraw/zinc/05/04/20/334050420.db2.gz FAPAJPJMGMTKOC-MRXNPFEDSA-N 1 2 299.418 3.976 20 0 CHADLO COc1cccc(F)c1[C@H](C)[NH2+]Cc1cc(F)ccc1F ZINC000134773594 334103694 /nfs/dbraw/zinc/10/36/94/334103694.db2.gz JUGBHXMQKHZARS-JTQLQIEISA-N 1 2 295.304 3.963 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2nc3c(s2)CCC3)sc1C ZINC000135043992 334113643 /nfs/dbraw/zinc/11/36/43/334113643.db2.gz DZZHYFAYOHSFBB-SECBINFHSA-N 1 2 293.461 3.556 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3[C@H](C)C[C@@H]3C)cs2)o1 ZINC000547768645 334116271 /nfs/dbraw/zinc/11/62/71/334116271.db2.gz JBGFVLCIIBPOOU-AOOOYVTPSA-N 1 2 262.378 3.694 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3[C@H](C)C[C@@H]3C)cs2)o1 ZINC000547768645 334116272 /nfs/dbraw/zinc/11/62/72/334116272.db2.gz JBGFVLCIIBPOOU-AOOOYVTPSA-N 1 2 262.378 3.694 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@@H](C)CC(C)(C)C)ccc2n1C ZINC000547797409 334119658 /nfs/dbraw/zinc/11/96/58/334119658.db2.gz ZMNBVHOGOODOAO-NSHDSACASA-N 1 2 287.407 3.893 20 0 CHADLO CC(C)(C)CCC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000078077807 334123387 /nfs/dbraw/zinc/12/33/87/334123387.db2.gz OTXGOLMCTXCLSQ-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO Cc1cnc([C@H](C)Nc2[nH+]c3ccccc3n2C)s1 ZINC000135544965 334132802 /nfs/dbraw/zinc/13/28/02/334132802.db2.gz YOWMMNXSTBFDTH-JTQLQIEISA-N 1 2 272.377 3.511 20 0 CHADLO Cc1cc(N2CCC(C(C)C)CC2)nc(-c2ccncc2)[nH+]1 ZINC000078424360 334133193 /nfs/dbraw/zinc/13/31/93/334133193.db2.gz RLVUAEWGVBIZGU-UHFFFAOYSA-N 1 2 296.418 3.719 20 0 CHADLO CCCCSc1nnc([C@H](CC)[NH+](C)C)n1CCCC ZINC000078448411 334133925 /nfs/dbraw/zinc/13/39/25/334133925.db2.gz OFLVMHPVHHZKKP-ZDUSSCGKSA-N 1 2 298.500 3.983 20 0 CHADLO CC(C)n1cccc1C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000135888852 334140621 /nfs/dbraw/zinc/14/06/21/334140621.db2.gz FNAUFUQTVSQSPN-UHFFFAOYSA-N 1 2 294.358 3.507 20 0 CHADLO Cc1cccn2cc(CNc3cccc4cc[nH]c43)[nH+]c12 ZINC000548140314 334142110 /nfs/dbraw/zinc/14/21/10/334142110.db2.gz UTKFHECVNSVEQT-UHFFFAOYSA-N 1 2 276.343 3.736 20 0 CHADLO Cc1ccc(CSC[C@H]2CCCCO2)c(C)[nH+]1 ZINC000548142156 334142343 /nfs/dbraw/zinc/14/23/43/334142343.db2.gz UVYWXRIOQFVFES-CQSZACIVSA-N 1 2 251.395 3.501 20 0 CHADLO Cc1ccc(CCCC(=O)Nc2cc[nH+]c(C)c2)s1 ZINC000079895343 334163878 /nfs/dbraw/zinc/16/38/78/334163878.db2.gz HEBFJTDGHHNZNQ-UHFFFAOYSA-N 1 2 274.389 3.721 20 0 CHADLO C[C@@H]1C[C@@H](C)[NH+]1Cc1cc(F)cc(Br)c1 ZINC000548754523 334169922 /nfs/dbraw/zinc/16/99/22/334169922.db2.gz SLHIADQFPAHUQW-RKDXNWHRSA-N 1 2 272.161 3.571 20 0 CHADLO Clc1ccsc1C[N@@H+]1CCOC2(CCCC2)C1 ZINC000549040519 334183391 /nfs/dbraw/zinc/18/33/91/334183391.db2.gz GDLZEFILMTWMLN-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Clc1ccsc1C[N@H+]1CCOC2(CCCC2)C1 ZINC000549040519 334183392 /nfs/dbraw/zinc/18/33/92/334183392.db2.gz GDLZEFILMTWMLN-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Cc1ccnc(CNc2cc(C)[nH+]c3c(F)cccc23)c1 ZINC000549609491 334199281 /nfs/dbraw/zinc/19/92/81/334199281.db2.gz XDHVVSPMYNVHOH-UHFFFAOYSA-N 1 2 281.334 3.998 20 0 CHADLO Cc1nc(N[C@H]2C[C@H](c3ccccc3Cl)C2)cc[nH+]1 ZINC000549698320 334202059 /nfs/dbraw/zinc/20/20/59/334202059.db2.gz BERCUANPXMEIQW-HAQNSBGRSA-N 1 2 273.767 3.797 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1c(Cl)cccc1Cl ZINC000081688589 334204151 /nfs/dbraw/zinc/20/41/51/334204151.db2.gz QIWCUEKPTOQPCW-UHFFFAOYSA-N 1 2 281.142 3.949 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@@H+]1CCC[C@@H]1CF ZINC000550029540 334211645 /nfs/dbraw/zinc/21/16/45/334211645.db2.gz VLDYABWVEPWTIU-NXEZZACHSA-N 1 2 259.727 3.974 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@H+]1CCC[C@@H]1CF ZINC000550029540 334211646 /nfs/dbraw/zinc/21/16/46/334211646.db2.gz VLDYABWVEPWTIU-NXEZZACHSA-N 1 2 259.727 3.974 20 0 CHADLO Cc1cc(NC(=O)N2[C@H](C)C[C@@H]3CCCC[C@H]32)cc[nH+]1 ZINC000245715335 334214637 /nfs/dbraw/zinc/21/46/37/334214637.db2.gz UAZQLHXSNISISD-VNHYZAJKSA-N 1 2 273.380 3.575 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[N@@H+]2C[C@H](C)[C@@H]2C)c(Cl)c1 ZINC000550304941 334219467 /nfs/dbraw/zinc/21/94/67/334219467.db2.gz PWQWGAUYEWPXBZ-AVGNSLFASA-N 1 2 294.826 3.624 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)[N@H+]2C[C@H](C)[C@@H]2C)c(Cl)c1 ZINC000550304941 334219468 /nfs/dbraw/zinc/21/94/68/334219468.db2.gz PWQWGAUYEWPXBZ-AVGNSLFASA-N 1 2 294.826 3.624 20 0 CHADLO Cc1cc(C)nc(Nc2ccc(NC3CCCC3)[nH+]c2)n1 ZINC000550442575 334222639 /nfs/dbraw/zinc/22/26/39/334222639.db2.gz JSTROYYMSLXKAV-UHFFFAOYSA-N 1 2 283.379 3.587 20 0 CHADLO COc1cc[nH+]cc1CSCc1ccc(F)cc1C ZINC000564372492 334224235 /nfs/dbraw/zinc/22/42/35/334224235.db2.gz STLCNBBYIJAQIY-UHFFFAOYSA-N 1 2 277.364 3.971 20 0 CHADLO Cc1cc(C)cc(N(C)Cc2cn3cc(C)ccc3[nH+]2)c1 ZINC000550946948 334234592 /nfs/dbraw/zinc/23/45/92/334234592.db2.gz SRQFYBPCIPLBNU-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO Cc1ccccc1C[N@@H+]([C@@H](C)C(=O)OC(C)(C)C)C1CC1 ZINC000564382034 334258653 /nfs/dbraw/zinc/25/86/53/334258653.db2.gz XDUSYVMLCKLRNQ-AWEZNQCLSA-N 1 2 289.419 3.690 20 0 CHADLO Cc1ccccc1C[N@H+]([C@@H](C)C(=O)OC(C)(C)C)C1CC1 ZINC000564382034 334258654 /nfs/dbraw/zinc/25/86/54/334258654.db2.gz XDUSYVMLCKLRNQ-AWEZNQCLSA-N 1 2 289.419 3.690 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccsc2C)cs1 ZINC000551947633 334267428 /nfs/dbraw/zinc/26/74/28/334267428.db2.gz XJWMLIHYDZHAMV-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccsc2C)cs1 ZINC000551947633 334267430 /nfs/dbraw/zinc/26/74/30/334267430.db2.gz XJWMLIHYDZHAMV-UHFFFAOYSA-N 1 2 266.435 3.707 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+][C@@H](C)c1ncc[nH]1 ZINC000552240040 334307099 /nfs/dbraw/zinc/30/70/99/334307099.db2.gz NNJBTTHXXIJPSW-STQMWFEESA-N 1 2 273.380 3.609 20 0 CHADLO Fc1cc(Cl)cc(C[N@@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000552424208 334326100 /nfs/dbraw/zinc/32/61/00/334326100.db2.gz PWWHZCUAFQBJQB-CVEARBPZSA-N 1 2 295.785 3.623 20 0 CHADLO Fc1cc(Cl)cc(C[N@H+]2C[C@@]3(CCCO3)[C@H]2C2CC2)c1 ZINC000552424208 334326102 /nfs/dbraw/zinc/32/61/02/334326102.db2.gz PWWHZCUAFQBJQB-CVEARBPZSA-N 1 2 295.785 3.623 20 0 CHADLO C[C@H](C(=O)Nc1ccsc1Cl)[NH+]1[C@H](C)CC[C@H]1C ZINC000249768103 334340247 /nfs/dbraw/zinc/34/02/47/334340247.db2.gz IWSVTVFTZPPNEG-OPRDCNLKSA-N 1 2 286.828 3.601 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)/C=C\c1nc2ccccc2s1 ZINC000254986546 334498049 /nfs/dbraw/zinc/49/80/49/334498049.db2.gz RXBCZFATWWYFDW-SREVYHEPSA-N 1 2 295.367 3.652 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccoc1)c1c(F)cccc1F ZINC000094868926 334506787 /nfs/dbraw/zinc/50/67/87/334506787.db2.gz DBTKWODUPJCHSY-VHSXEESVSA-N 1 2 251.276 3.970 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccc(F)cc1)c1cccnc1 ZINC000565313238 334551830 /nfs/dbraw/zinc/55/18/30/334551830.db2.gz DNNQLZYCPMUQIA-NSHDSACASA-N 1 2 280.293 3.663 20 0 CHADLO CCc1ccc(NC(=O)C[C@@H](C)n2cc[nH+]c2)cc1Cl ZINC000566309659 334642258 /nfs/dbraw/zinc/64/22/58/334642258.db2.gz QEDKEDAGRSEUHJ-LLVKDONJSA-N 1 2 291.782 3.689 20 0 CHADLO CO[C@@]1(C)CCCN(c2[nH+]ccc3cc(F)ccc32)CC1 ZINC000566558062 334655348 /nfs/dbraw/zinc/65/53/48/334655348.db2.gz NPDZERUPNSAJGM-KRWDZBQOSA-N 1 2 288.366 3.769 20 0 CHADLO Cc1nsc(C)c1C[NH2+][C@@H](C)c1c(F)cccc1F ZINC000566922475 334669391 /nfs/dbraw/zinc/66/93/91/334669391.db2.gz KRJJPBHKTSDGDH-VIFPVBQESA-N 1 2 282.359 3.889 20 0 CHADLO CCCC(CCC)[S@@](=O)Cc1cn2c(cccc2C)[nH+]1 ZINC000155573961 334724337 /nfs/dbraw/zinc/72/43/37/334724337.db2.gz PFTDJOWLEKMKNA-HXUWFJFHSA-N 1 2 292.448 3.860 20 0 CHADLO Cc1cc(C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)oc1C ZINC000158401554 334799500 /nfs/dbraw/zinc/79/95/00/334799500.db2.gz RWTUIPULWHHVAM-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Cc1[nH+]cccc1Cc1nc([C@@H]2CCCC[C@@H]2C)no1 ZINC000576312300 335188216 /nfs/dbraw/zinc/18/82/16/335188216.db2.gz SINKKQNVDJLSJO-SMDDNHRTSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2c(C)nsc2C)cs1 ZINC000576954427 335269156 /nfs/dbraw/zinc/26/91/56/335269156.db2.gz QDEOWJLYNNXTBZ-CQSZACIVSA-N 1 2 293.461 3.862 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2c(C)nsc2C)cs1 ZINC000576954427 335269157 /nfs/dbraw/zinc/26/91/57/335269157.db2.gz QDEOWJLYNNXTBZ-CQSZACIVSA-N 1 2 293.461 3.862 20 0 CHADLO Cc1c[nH+]c(C)nc1N1CC[C@@H](CSc2ccccc2)C1 ZINC000580659357 335314918 /nfs/dbraw/zinc/31/49/18/335314918.db2.gz OZMQLGKMIXVOBP-OAHLLOKOSA-N 1 2 299.443 3.712 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@H](C)n2ccnc21)c1cc(F)ccc1F ZINC000345350590 335316858 /nfs/dbraw/zinc/31/68/58/335316858.db2.gz IARNHTFMKBPCDU-PKFCDNJMSA-N 1 2 277.318 3.518 20 0 CHADLO O=C(Nc1ccc(Oc2cc[nH+]cc2)cc1)[C@@H]1C[C@@H]1C1CC1 ZINC000176970405 335348931 /nfs/dbraw/zinc/34/89/31/335348931.db2.gz LXZCSYHFVNSYNI-IAGOWNOFSA-N 1 2 294.354 3.859 20 0 CHADLO O=C(Nc1ccc(Oc2cc[nH+]cc2)cc1)[C@H]1C[C@@H]1C1CC1 ZINC000176970417 335349024 /nfs/dbraw/zinc/34/90/24/335349024.db2.gz LXZCSYHFVNSYNI-SJORKVTESA-N 1 2 294.354 3.859 20 0 CHADLO C[C@@H](CC(=O)NCC1(C)CCC(C)(C)CC1)n1cc[nH+]c1 ZINC000577497512 335359754 /nfs/dbraw/zinc/35/97/54/335359754.db2.gz VZDGASHNBSEKME-AWEZNQCLSA-N 1 2 291.439 3.557 20 0 CHADLO C[C@@H]([NH2+]Cc1cocn1)c1cc(Cl)ccc1Cl ZINC000346856329 335371648 /nfs/dbraw/zinc/37/16/48/335371648.db2.gz MRXNWOMCDUSUJQ-MRVPVSSYSA-N 1 2 271.147 3.832 20 0 CHADLO Cc1ccc(Cc2noc(/C=C\C3CCCC3)n2)c[nH+]1 ZINC000577609850 335377421 /nfs/dbraw/zinc/37/74/21/335377421.db2.gz PTNJDIFWMBFZEJ-HJWRWDBZSA-N 1 2 269.348 3.567 20 0 CHADLO C/C=C/c1ccc(NC(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)cc1 ZINC000577710529 335391257 /nfs/dbraw/zinc/39/12/57/335391257.db2.gz OVBOOQBDFJWXMO-NTKLBGAHSA-N 1 2 296.374 3.744 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(CC(C)(C)C2CC2)n1 ZINC000578029968 335468251 /nfs/dbraw/zinc/46/82/51/335468251.db2.gz FMXRZWVQGBMFAY-UHFFFAOYSA-N 1 2 285.391 3.537 20 0 CHADLO CC(C)c1cc(NCC2CCOCC2)nc(C(C)C)[nH+]1 ZINC000179515148 335498407 /nfs/dbraw/zinc/49/84/07/335498407.db2.gz VZXJUMZTJRRCKU-UHFFFAOYSA-N 1 2 277.412 3.562 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H]2CCCCS2)n1 ZINC000351125718 335570698 /nfs/dbraw/zinc/57/06/98/335570698.db2.gz BRLOJVMFWSOTNZ-ZDUSSCGKSA-N 1 2 289.404 3.516 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(-c2ccoc2C2CC2)n1 ZINC000351238619 335586197 /nfs/dbraw/zinc/58/61/97/335586197.db2.gz SZABIRXQIWHVRD-UHFFFAOYSA-N 1 2 281.315 3.501 20 0 CHADLO CC(C)[C@H]1CC[C@H]1Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000578400979 335627559 /nfs/dbraw/zinc/62/75/59/335627559.db2.gz UWGIRKFDDWSJDO-HZPDHXFCSA-N 1 2 269.392 3.934 20 0 CHADLO CC(C)c1nc(N[C@H]2CCc3sc(Cl)cc32)cc[nH+]1 ZINC000579300411 335732284 /nfs/dbraw/zinc/73/22/84/335732284.db2.gz FCTXMSAYDBYLJI-JTQLQIEISA-N 1 2 293.823 3.836 20 0 CHADLO COc1cc[nH+]cc1COc1ccc(OC)c2ccccc12 ZINC000579397537 335744572 /nfs/dbraw/zinc/74/45/72/335744572.db2.gz VHTILBFYISWZCR-UHFFFAOYSA-N 1 2 295.338 3.831 20 0 CHADLO Cc1nc(C[NH2+][C@@H](c2cccc(F)c2)C2CCCC2)no1 ZINC000181005143 335747653 /nfs/dbraw/zinc/74/76/53/335747653.db2.gz VLCIUPGLYNVZME-MRXNPFEDSA-N 1 2 289.354 3.538 20 0 CHADLO CC[C@@H]([NH2+]Cc1noc(C(C)C)n1)c1ccc(C)cc1 ZINC000181690789 335778630 /nfs/dbraw/zinc/77/86/30/335778630.db2.gz ZULNFJNIOBIPQY-CQSZACIVSA-N 1 2 273.380 3.742 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc(C)[nH+]c2)cc1NC(C)=O ZINC000182909814 335849694 /nfs/dbraw/zinc/84/96/94/335849694.db2.gz TUUAUIHYSNMVKF-GFCCVEGCSA-N 1 2 299.374 3.530 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCSc2c(F)cccc21 ZINC000579741415 335878438 /nfs/dbraw/zinc/87/84/38/335878438.db2.gz VLLFJDSMRZNBPI-JTQLQIEISA-N 1 2 261.312 3.607 20 0 CHADLO C[C@@H]1CC[C@@H](CC(=O)NCc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000581078017 336005247 /nfs/dbraw/zinc/00/52/47/336005247.db2.gz QJTNEAMHFVFMOH-ZIAGYGMSSA-N 1 2 297.402 3.519 20 0 CHADLO C=Cn1cc(C[NH2+][C@H](C)c2nc(C(C)(C)C)cs2)cn1 ZINC000194300943 336015830 /nfs/dbraw/zinc/01/58/30/336015830.db2.gz ZBKUOLAIEZGQMF-LLVKDONJSA-N 1 2 290.436 3.588 20 0 CHADLO Cc1ccc2c(c1)[C@@H]([NH2+]Cc1ccsc1Cl)CO2 ZINC000581226112 336027055 /nfs/dbraw/zinc/02/70/55/336027055.db2.gz PDTHVDJFZCCMQN-LBPRGKRZSA-N 1 2 279.792 3.933 20 0 CHADLO C[N@H+](CCc1c(F)cccc1Cl)Cc1cscn1 ZINC000195199726 336049301 /nfs/dbraw/zinc/04/93/01/336049301.db2.gz HPFBHOYZKBSDDX-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO C[N@@H+](CCc1c(F)cccc1Cl)Cc1cscn1 ZINC000195199726 336049303 /nfs/dbraw/zinc/04/93/03/336049303.db2.gz HPFBHOYZKBSDDX-UHFFFAOYSA-N 1 2 284.787 3.610 20 0 CHADLO Cc1cc(N2CCC[C@H](F)C2)c2cccc(F)c2[nH+]1 ZINC000581343183 336053165 /nfs/dbraw/zinc/05/31/65/336053165.db2.gz DSRKHNSKBDJJBD-NSHDSACASA-N 1 2 262.303 3.621 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCC1CC(F)(F)C1 ZINC000383094700 336103911 /nfs/dbraw/zinc/10/39/11/336103911.db2.gz ABZBOWDCKGFUPB-UHFFFAOYSA-N 1 2 278.302 3.701 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@H+](C)Cc1ncccc1O ZINC000581659438 336119522 /nfs/dbraw/zinc/11/95/22/336119522.db2.gz IEUPDKWAJBWSFC-NSHDSACASA-N 1 2 299.399 3.590 20 0 CHADLO C[C@@H](c1nc2ccccc2s1)[N@@H+](C)Cc1ncccc1O ZINC000581659438 336119524 /nfs/dbraw/zinc/11/95/24/336119524.db2.gz IEUPDKWAJBWSFC-NSHDSACASA-N 1 2 299.399 3.590 20 0 CHADLO CC(C)CN(Cc1ccccc1)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000581946477 336174548 /nfs/dbraw/zinc/17/45/48/336174548.db2.gz OWIPQSUDMRJFEE-INIZCTEOSA-N 1 2 299.418 3.519 20 0 CHADLO CC(C)c1noc(C(C)(C)Cc2[nH]c3ccccc3[nH+]2)n1 ZINC000581965859 336180539 /nfs/dbraw/zinc/18/05/39/336180539.db2.gz ZTUZXSRIAVCHKK-UHFFFAOYSA-N 1 2 284.363 3.590 20 0 CHADLO CCSc1cc(C[NH2+][C@@H](C)c2csc(C)n2)ccn1 ZINC000275098246 521734022 /nfs/dbraw/zinc/73/40/22/521734022.db2.gz CAZANNFPLOLLQA-JTQLQIEISA-N 1 2 293.461 3.809 20 0 CHADLO COc1c(C)c[nH+]c(CSc2ccncc2C)c1C ZINC000292628230 521816771 /nfs/dbraw/zinc/81/67/71/521816771.db2.gz ATLYPFVXVFWUKI-UHFFFAOYSA-N 1 2 274.389 3.703 20 0 CHADLO CCc1cnc(CNc2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000150934064 522042923 /nfs/dbraw/zinc/04/29/23/522042923.db2.gz UTBKIGFHZHZTDS-UHFFFAOYSA-N 1 2 276.409 3.539 20 0 CHADLO Cc1ccc(CC(=O)Nc2ccc(C)[nH+]c2C)c(Cl)c1 ZINC000354488462 533755141 /nfs/dbraw/zinc/75/51/41/533755141.db2.gz ZLXXRZWLLHVOTE-UHFFFAOYSA-N 1 2 288.778 3.841 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)cs1)c1c(F)cncc1F ZINC000353205188 533944487 /nfs/dbraw/zinc/94/44/87/533944487.db2.gz OTOIRTULSZSILR-QMMMGPOBSA-N 1 2 295.358 3.545 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC3(CCC3)C[C@@H]2C)c(C)[nH+]1 ZINC000334701018 534073215 /nfs/dbraw/zinc/07/32/15/534073215.db2.gz LURXIUSXWPLYRU-ZDUSSCGKSA-N 1 2 287.407 3.803 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)CC2CCCC2)c(C)[nH+]1 ZINC000336144951 534073499 /nfs/dbraw/zinc/07/34/99/534073499.db2.gz CYCOKYHBSGTAOQ-UHFFFAOYSA-N 1 2 275.396 3.661 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCC[C@@H](C)[C@H]2C)c(C)[nH+]1 ZINC000334717566 534074708 /nfs/dbraw/zinc/07/47/08/534074708.db2.gz LRLHEVYKFVQRNB-QMTHXVAHSA-N 1 2 275.396 3.659 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1nc2ccccc2n1C ZINC000350033657 534258846 /nfs/dbraw/zinc/25/88/46/534258846.db2.gz HESJFPUFHNKEBP-GFCCVEGCSA-N 1 2 297.427 3.754 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1nc2ccccc2n1C ZINC000350033657 534258851 /nfs/dbraw/zinc/25/88/51/534258851.db2.gz HESJFPUFHNKEBP-GFCCVEGCSA-N 1 2 297.427 3.754 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1csc(C(C)C)n1 ZINC000353108603 534328165 /nfs/dbraw/zinc/32/81/65/534328165.db2.gz HQGXXPMUYCZGCO-UHFFFAOYSA-N 1 2 293.436 3.896 20 0 CHADLO Cc1cc(N(C)[C@@H]2CCC[C@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000352432625 518187545 /nfs/dbraw/zinc/18/75/45/518187545.db2.gz UKDBJPUSMJXWQN-XJKSGUPXSA-N 1 2 296.418 3.862 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)C1CC(C)(C)C1 ZINC000354339708 518897374 /nfs/dbraw/zinc/89/73/74/518897374.db2.gz MIBVIBUZLSTRBQ-UHFFFAOYSA-N 1 2 276.380 3.634 20 0 CHADLO CC(C)(C)c1nnc(C[N@@H+]2CCC[C@H]2c2ccsc2)[nH]1 ZINC000292628020 519097086 /nfs/dbraw/zinc/09/70/86/519097086.db2.gz NHVAOWMJSSBYTK-LBPRGKRZSA-N 1 2 290.436 3.501 20 0 CHADLO CC(C)(C)c1nnc(C[N@H+]2CCC[C@H]2c2ccsc2)[nH]1 ZINC000292628020 519097090 /nfs/dbraw/zinc/09/70/90/519097090.db2.gz NHVAOWMJSSBYTK-LBPRGKRZSA-N 1 2 290.436 3.501 20 0 CHADLO CC(C)=CC(=O)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000277715882 519400808 /nfs/dbraw/zinc/40/08/08/519400808.db2.gz NWWXWSUNIDWGCK-UHFFFAOYSA-N 1 2 295.386 3.791 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[NH2+]Cc1cocn1 ZINC000236749090 519782059 /nfs/dbraw/zinc/78/20/59/519782059.db2.gz RLLVFIASIUUPCW-UHFFFAOYSA-N 1 2 296.370 3.905 20 0 CHADLO CC(C)[C@@H](NC(=O)CCCC1CCCC1)c1[nH]cc[nH+]1 ZINC000277830894 519796918 /nfs/dbraw/zinc/79/69/18/519796918.db2.gz ZJYSDLDHYVUTEZ-OAHLLOKOSA-N 1 2 277.412 3.584 20 0 CHADLO CC(C)c1ccc([C@H]2CCCC[N@@H+]2Cc2ncnn2C)cc1 ZINC000125888750 519840309 /nfs/dbraw/zinc/84/03/09/519840309.db2.gz KFUHQAQRCHBJLD-QGZVFWFLSA-N 1 2 298.434 3.666 20 0 CHADLO CC(C)c1ccc([C@H]2CCCC[N@H+]2Cc2ncnn2C)cc1 ZINC000125888750 519840310 /nfs/dbraw/zinc/84/03/10/519840310.db2.gz KFUHQAQRCHBJLD-QGZVFWFLSA-N 1 2 298.434 3.666 20 0 CHADLO CC(C)c1nc(NC[C@@H]2CCOc3ccccc32)cc[nH+]1 ZINC000110587512 519878703 /nfs/dbraw/zinc/87/87/03/519878703.db2.gz UQKGPICZILOGCC-ZDUSSCGKSA-N 1 2 283.375 3.578 20 0 CHADLO CCOCCCNc1cc(C)[nH+]c2c(F)cccc12 ZINC000119753584 519898357 /nfs/dbraw/zinc/89/83/57/519898357.db2.gz LSRNMLYPFGWMBI-UHFFFAOYSA-N 1 2 262.328 3.521 20 0 CHADLO CC(C)n1cc[nH+]c1CN[C@@H](c1ccccc1)C(F)F ZINC000292727511 520031591 /nfs/dbraw/zinc/03/15/91/520031591.db2.gz MMKLIHNHVRRXHC-AWEZNQCLSA-N 1 2 279.334 3.560 20 0 CHADLO Cc1ccsc1C[N@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC000353285827 534469386 /nfs/dbraw/zinc/46/93/86/534469386.db2.gz JWPXEKCYPGDOFE-UHFFFAOYSA-N 1 2 297.427 3.982 20 0 CHADLO Cc1ccsc1C[N@@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC000353285827 534469390 /nfs/dbraw/zinc/46/93/90/534469390.db2.gz JWPXEKCYPGDOFE-UHFFFAOYSA-N 1 2 297.427 3.982 20 0 CHADLO CC[N@@H+](C)[C@H](c1nc([C@@H](C)C2CC2)no1)c1ccccc1 ZINC000290179931 520274383 /nfs/dbraw/zinc/27/43/83/520274383.db2.gz VIQBWYAJOAYRDU-WFASDCNBSA-N 1 2 285.391 3.624 20 0 CHADLO CC[N@H+](C)[C@H](c1nc([C@@H](C)C2CC2)no1)c1ccccc1 ZINC000290179931 520274374 /nfs/dbraw/zinc/27/43/74/520274374.db2.gz VIQBWYAJOAYRDU-WFASDCNBSA-N 1 2 285.391 3.624 20 0 CHADLO CCCOc1ccc(C[NH2+]C2(C(F)F)CC2)cc1OCC ZINC000337280067 520363416 /nfs/dbraw/zinc/36/34/16/520363416.db2.gz GYYFPPCPDAIBOJ-UHFFFAOYSA-N 1 2 299.361 3.761 20 0 CHADLO CCCOc1cccc2c(NCc3ncoc3C)cc[nH+]c12 ZINC000280911633 520471493 /nfs/dbraw/zinc/47/14/93/520471493.db2.gz CJVCCVIYMGPSBB-UHFFFAOYSA-N 1 2 297.358 3.932 20 0 CHADLO CCC[C@@H](CC)[S@](=O)Cc1[nH+]ccn1-c1ccccc1 ZINC000279972837 520694143 /nfs/dbraw/zinc/69/41/43/520694143.db2.gz JYIQEBLETQBJCC-FOIQADDNSA-N 1 2 290.432 3.700 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3[C@@H]4CCO[C@@H]4C34CCC4)c2c1 ZINC000354877098 534510275 /nfs/dbraw/zinc/51/02/75/534510275.db2.gz KVLDDXXZQINDSO-IMJJTQAJSA-N 1 2 298.361 3.743 20 0 CHADLO CCN(CC)c1ccc(N[C@@H](C)c2nccs2)c[nH+]1 ZINC000086509035 520920576 /nfs/dbraw/zinc/92/05/76/520920576.db2.gz PIRGWQKOEJJTCV-NSHDSACASA-N 1 2 276.409 3.557 20 0 CHADLO CCOc1ccc(C2=CC[N@H+](Cc3ncc(C)o3)CC2)cc1 ZINC000130913329 520930681 /nfs/dbraw/zinc/93/06/81/520930681.db2.gz BMCLCNMKCFFTOA-UHFFFAOYSA-N 1 2 298.386 3.671 20 0 CHADLO CCOc1ccc(C2=CC[N@@H+](Cc3ncc(C)o3)CC2)cc1 ZINC000130913329 520930687 /nfs/dbraw/zinc/93/06/87/520930687.db2.gz BMCLCNMKCFFTOA-UHFFFAOYSA-N 1 2 298.386 3.671 20 0 CHADLO CCCC[C@@H](C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000337291110 520995232 /nfs/dbraw/zinc/99/52/32/520995232.db2.gz LMTZCBYUQPCOCM-SNVBAGLBSA-N 1 2 259.353 3.636 20 0 CHADLO CCCC[C@H](C)N(C)C(=O)c1ccc(Cn2cc[nH+]c2)cc1 ZINC000291179401 521141142 /nfs/dbraw/zinc/14/11/42/521141142.db2.gz HZOOBBYSDJPITK-HNNXBMFYSA-N 1 2 299.418 3.582 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H]2CCOc3c(F)cccc32)cs1 ZINC000336563122 534546858 /nfs/dbraw/zinc/54/68/58/534546858.db2.gz DWVWWRMXLBRYAS-NOZJJQNGSA-N 1 2 292.379 3.765 20 0 CHADLO Cc1cnc(C[N@@H+]2[C@@H](C)Cc3cc(F)ccc3[C@@H]2C)o1 ZINC000354826915 534553577 /nfs/dbraw/zinc/55/35/77/534553577.db2.gz FLHIYZHKEDZCOO-JQWIXIFHSA-N 1 2 274.339 3.630 20 0 CHADLO Cc1cnc(C[N@H+]2[C@@H](C)Cc3cc(F)ccc3[C@@H]2C)o1 ZINC000354826915 534553582 /nfs/dbraw/zinc/55/35/82/534553582.db2.gz FLHIYZHKEDZCOO-JQWIXIFHSA-N 1 2 274.339 3.630 20 0 CHADLO CCCc1nc(C)c(CNc2cc(C)[nH+]c(C3CC3)n2)o1 ZINC000292348387 521499932 /nfs/dbraw/zinc/49/99/32/521499932.db2.gz QOVBDLGUNNOOPY-UHFFFAOYSA-N 1 2 286.379 3.523 20 0 CHADLO CCC[N@H+](Cc1ncc(C)cn1)[C@@H](C)c1ccccc1OC ZINC000340689346 521694846 /nfs/dbraw/zinc/69/48/46/521694846.db2.gz ZBFCWSUXUXDGPE-HNNXBMFYSA-N 1 2 299.418 3.767 20 0 CHADLO CCC[N@@H+](Cc1ncc(C)cn1)[C@@H](C)c1ccccc1OC ZINC000340689346 521694849 /nfs/dbraw/zinc/69/48/49/521694849.db2.gz ZBFCWSUXUXDGPE-HNNXBMFYSA-N 1 2 299.418 3.767 20 0 CHADLO CCS[C@@H]1CCCC[C@@H]1NC(=O)Nc1cc[nH+]c(C)c1 ZINC000119927569 521723706 /nfs/dbraw/zinc/72/37/06/521723706.db2.gz GKSOTOIALFJVDR-UONOGXRCSA-N 1 2 293.436 3.576 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2cc(C)c(O)c(C)c2)s1 ZINC000290099696 522021570 /nfs/dbraw/zinc/02/15/70/522021570.db2.gz RXMBDEKXHPRHEH-UHFFFAOYSA-N 1 2 290.432 3.660 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2cc(C)c(O)c(C)c2)s1 ZINC000290099696 522021583 /nfs/dbraw/zinc/02/15/83/522021583.db2.gz RXMBDEKXHPRHEH-UHFFFAOYSA-N 1 2 290.432 3.660 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2cccc(Cl)c2)[nH]1 ZINC000277549100 522051514 /nfs/dbraw/zinc/05/15/14/522051514.db2.gz ZYBRPQBQUAYARA-WDEREUQCSA-N 1 2 292.814 3.822 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2cc3ccccc3o2)[nH]1 ZINC000277148136 522053199 /nfs/dbraw/zinc/05/31/99/522053199.db2.gz FMRXWCZKWKPMFS-NWDGAFQWSA-N 1 2 298.390 3.915 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+][C@@H](C)c2cccc(F)c2)[nH]1 ZINC000277382091 522056536 /nfs/dbraw/zinc/05/65/36/522056536.db2.gz XQJDVFDCSOVGCP-SRVKXCTJSA-N 1 2 290.386 3.869 20 0 CHADLO CCc1cnccc1[C@@H](C)[C@@H](c1[nH]cc[nH+]1)c1ccccn1 ZINC000278385175 522088321 /nfs/dbraw/zinc/08/83/21/522088321.db2.gz DIJZXIPSHNIDIW-CXAGYDPISA-N 1 2 292.386 3.698 20 0 CHADLO CCc1cnccc1[C@@H](C)[C@H](c1[nH]cc[nH+]1)c1ccccn1 ZINC000278385178 522088545 /nfs/dbraw/zinc/08/85/45/522088545.db2.gz DIJZXIPSHNIDIW-DYVFJYSZSA-N 1 2 292.386 3.698 20 0 CHADLO CCc1cnccc1[C@H](C)[C@H](c1[nH]cc[nH+]1)c1ccccn1 ZINC000278385181 522092837 /nfs/dbraw/zinc/09/28/37/522092837.db2.gz DIJZXIPSHNIDIW-GUYCJALGSA-N 1 2 292.386 3.698 20 0 CHADLO CCc1csc(N2CCC(c3ccc(C)[nH+]c3)CC2)n1 ZINC000340805747 522101650 /nfs/dbraw/zinc/10/16/50/522101650.db2.gz UZMYRUGPDOZXOY-UHFFFAOYSA-N 1 2 287.432 3.793 20 0 CHADLO CCc1nc(C2([NH2+]Cc3cc(C)cc(C)c3)CCCC2)no1 ZINC000237026344 522245144 /nfs/dbraw/zinc/24/51/44/522245144.db2.gz GLGLCUHBYIWWPO-UHFFFAOYSA-N 1 2 299.418 3.808 20 0 CHADLO CC[C@H](CSC)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000163803271 522287190 /nfs/dbraw/zinc/28/71/90/522287190.db2.gz RNBVHVQSASUACC-SECBINFHSA-N 1 2 264.316 3.654 20 0 CHADLO CC[C@@H](C)c1nnc([C@H](C)[NH2+]Cc2ccc(C)c(F)c2)[nH]1 ZINC000277163703 522442515 /nfs/dbraw/zinc/44/25/15/522442515.db2.gz HACLQDBCTHLLQO-PWSUYJOCSA-N 1 2 290.386 3.617 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000336545904 534645943 /nfs/dbraw/zinc/64/59/43/534645943.db2.gz JCCCOSKAJFPGFL-BLLLJJGKSA-N 1 2 287.794 3.946 20 0 CHADLO Cc1cnc([C@H](C)[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)cn1 ZINC000336545904 534645949 /nfs/dbraw/zinc/64/59/49/534645949.db2.gz JCCCOSKAJFPGFL-BLLLJJGKSA-N 1 2 287.794 3.946 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)c(Cl)cc1F)c1nccn1C ZINC000278599609 522640646 /nfs/dbraw/zinc/64/06/46/522640646.db2.gz BBNMOSSPWUMKEB-ZDUSSCGKSA-N 1 2 299.752 3.593 20 0 CHADLO COC1(C[C@H](C)Nc2ccc(SC)c[nH+]2)CCC1 ZINC000277106473 522641624 /nfs/dbraw/zinc/64/16/24/522641624.db2.gz MAIUHRPMRAHFPZ-NSHDSACASA-N 1 2 266.410 3.563 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1nc(C)no1)c1ccc(Cl)cc1 ZINC000341187233 522701224 /nfs/dbraw/zinc/70/12/24/522701224.db2.gz BQSQPIBJGKEJPK-ZANVPECISA-N 1 2 279.771 3.833 20 0 CHADLO CCc1nn(C)cc1N[C@@H]1CCC[C@@H](c2[nH+]cccc2C)C1 ZINC000281641309 522702431 /nfs/dbraw/zinc/70/24/31/522702431.db2.gz PALZZNYXQTZKGG-HUUCEWRRSA-N 1 2 298.434 3.824 20 0 CHADLO CCc1noc(C)c1C[NH2+]C(C)(C)c1nc(C)c(C)s1 ZINC000119509286 522841645 /nfs/dbraw/zinc/84/16/45/522841645.db2.gz SSBDTNNCWCSHOO-UHFFFAOYSA-N 1 2 293.436 3.644 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2ccccc2Cl)n1 ZINC000074037552 522916266 /nfs/dbraw/zinc/91/62/66/522916266.db2.gz BEFUGFRYHRAHLH-ZJUUUORDSA-N 1 2 279.771 3.697 20 0 CHADLO C[N@@H+](Cc1cn2cc(Cl)ccc2n1)Cc1cccs1 ZINC000338395928 523493726 /nfs/dbraw/zinc/49/37/26/523493726.db2.gz RFLWIMXACDBYRC-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO C[N@H+](Cc1cn2cc(Cl)ccc2n1)Cc1cccs1 ZINC000338395928 523493739 /nfs/dbraw/zinc/49/37/39/523493739.db2.gz RFLWIMXACDBYRC-UHFFFAOYSA-N 1 2 291.807 3.681 20 0 CHADLO Cn1c(Cl)cnc1C[N@H+](C)Cc1cccc(C(F)F)c1 ZINC000279176038 523581493 /nfs/dbraw/zinc/58/14/93/523581493.db2.gz ARUIWTWUVJRSLY-UHFFFAOYSA-N 1 2 299.752 3.643 20 0 CHADLO Cn1c(Cl)cnc1C[N@@H+](C)Cc1cccc(C(F)F)c1 ZINC000279176038 523581502 /nfs/dbraw/zinc/58/15/02/523581502.db2.gz ARUIWTWUVJRSLY-UHFFFAOYSA-N 1 2 299.752 3.643 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1cc(F)cc(Cl)c1 ZINC000275244952 523601474 /nfs/dbraw/zinc/60/14/74/523601474.db2.gz HJTMLRVOPXYJIM-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1cc(F)cc(Cl)c1 ZINC000275244952 523601484 /nfs/dbraw/zinc/60/14/84/523601484.db2.gz HJTMLRVOPXYJIM-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO COC(=O)[C@@H](c1ccsc1)[NH+]1CCC2(CCCC2)CC1 ZINC000337199816 523659091 /nfs/dbraw/zinc/65/90/91/523659091.db2.gz ZDUJXELDZUVERC-CQSZACIVSA-N 1 2 293.432 3.618 20 0 CHADLO CCc1c2ccccc2oc1[C@H](C)[NH2+][C@H](C)c1ncnn1C ZINC000276726042 523732338 /nfs/dbraw/zinc/73/23/38/523732338.db2.gz YBOBWVIYUYBQOU-NWDGAFQWSA-N 1 2 298.390 3.536 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@H](C)c1cc2ccccc2o1 ZINC000340872564 523736232 /nfs/dbraw/zinc/73/62/32/523736232.db2.gz BSQUNCUVURQZID-GFCCVEGCSA-N 1 2 294.354 3.554 20 0 CHADLO CCc1ccc(C2=CC[N@@H+]([C@@H](C)c3noc(C)n3)CC2)cc1 ZINC000289396426 523902621 /nfs/dbraw/zinc/90/26/21/523902621.db2.gz RTVDYHNDWLBERO-ZDUSSCGKSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1ccc(C2=CC[N@H+]([C@@H](C)c3noc(C)n3)CC2)cc1 ZINC000289396426 523902624 /nfs/dbraw/zinc/90/26/24/523902624.db2.gz RTVDYHNDWLBERO-ZDUSSCGKSA-N 1 2 297.402 3.791 20 0 CHADLO CCc1ccc(C[NH2+]Cc2nc(C(C)C)cs2)o1 ZINC000125647425 523944095 /nfs/dbraw/zinc/94/40/95/523944095.db2.gz DNSQYULRPYKGLX-UHFFFAOYSA-N 1 2 264.394 3.712 20 0 CHADLO Cc1ccc2oc(C(=O)N[C@H](c3[nH]cc[nH+]3)C(C)C)cc2c1 ZINC000279598634 524091851 /nfs/dbraw/zinc/09/18/51/524091851.db2.gz GCJYJZJOFZGXIR-HNNXBMFYSA-N 1 2 297.358 3.591 20 0 CHADLO Cc1ccc2oc(N[C@H](c3[nH]cc[nH+]3)C(C)C)nc2c1 ZINC000291073180 524096231 /nfs/dbraw/zinc/09/62/31/524096231.db2.gz HGMRASBROMPVEP-ZDUSSCGKSA-N 1 2 270.336 3.669 20 0 CHADLO C[C@H]1CCC[C@@H](C(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000151350401 524129221 /nfs/dbraw/zinc/12/92/21/524129221.db2.gz LVPZZZQWYOOORB-UONOGXRCSA-N 1 2 283.375 3.637 20 0 CHADLO COc1ccc(Cl)cc1C[NH2+][C@H](C)c1csc(C)n1 ZINC000264650601 524210557 /nfs/dbraw/zinc/21/05/57/524210557.db2.gz XAYQBRQNYFNUAY-SECBINFHSA-N 1 2 296.823 3.964 20 0 CHADLO Cc1cccc(C(=O)OCc2ccc(C(C)C)[nH+]c2C)n1 ZINC000293495498 524225394 /nfs/dbraw/zinc/22/53/94/524225394.db2.gz TWTMWBPHMOTDDH-UHFFFAOYSA-N 1 2 284.359 3.574 20 0 CHADLO C[C@@H]1CCC[C@H](C)CN1C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000150800515 524352472 /nfs/dbraw/zinc/35/24/72/524352472.db2.gz BOQGRFCZPJLQQY-LSDHHAIUSA-N 1 2 297.402 3.523 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(Cl)nc2Cl)o1 ZINC000234311954 524395919 /nfs/dbraw/zinc/39/59/19/524395919.db2.gz RWPUEKQGZAYVCG-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(Cl)nc2Cl)o1 ZINC000234311954 524395928 /nfs/dbraw/zinc/39/59/28/524395928.db2.gz RWPUEKQGZAYVCG-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1ccc(C[N@@H+](C)[C@H](C)c2nc(-c3ccccc3)no2)o1 ZINC000248067481 524400982 /nfs/dbraw/zinc/40/09/82/524400982.db2.gz FJECNLZHWZXSMJ-CYBMUJFWSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccc(C[N@H+](C)[C@H](C)c2nc(-c3ccccc3)no2)o1 ZINC000248067481 524400992 /nfs/dbraw/zinc/40/09/92/524400992.db2.gz FJECNLZHWZXSMJ-CYBMUJFWSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccc(C[N@@H+]2CCOC[C@@H]2CC(F)F)cc1Cl ZINC000289870025 524464892 /nfs/dbraw/zinc/46/48/92/524464892.db2.gz VSORGNSAAWEMKU-LBPRGKRZSA-N 1 2 289.753 3.504 20 0 CHADLO Cc1ccc(C[N@H+]2CCOC[C@@H]2CC(F)F)cc1Cl ZINC000289870025 524464899 /nfs/dbraw/zinc/46/48/99/524464899.db2.gz VSORGNSAAWEMKU-LBPRGKRZSA-N 1 2 289.753 3.504 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](c2nnc[nH]2)C2CCCCC2)s1 ZINC000292067390 524596970 /nfs/dbraw/zinc/59/69/70/524596970.db2.gz HEKYDEJQFLYNEL-CQSZACIVSA-N 1 2 290.436 3.586 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2nnc[nH]2)C2CCCCC2)c(C)c1 ZINC000292026506 524607982 /nfs/dbraw/zinc/60/79/82/524607982.db2.gz CXLDBZPFLDFYDP-KRWDZBQOSA-N 1 2 298.434 3.833 20 0 CHADLO Cc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC000155939716 524615755 /nfs/dbraw/zinc/61/57/55/524615755.db2.gz LQUWKQAKYVAXHY-UHFFFAOYSA-N 1 2 281.334 3.932 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(C(F)F)c2)no1 ZINC000279470549 524664017 /nfs/dbraw/zinc/66/40/17/524664017.db2.gz MYAPOKPUIFLEOO-UHFFFAOYSA-N 1 2 266.291 3.553 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(C(F)F)c2)no1 ZINC000279470549 524664026 /nfs/dbraw/zinc/66/40/26/524664026.db2.gz MYAPOKPUIFLEOO-UHFFFAOYSA-N 1 2 266.291 3.553 20 0 CHADLO Cc1cc(C[N@H+](Cc2cccc(F)c2)C(C)C)no1 ZINC000299120561 524667861 /nfs/dbraw/zinc/66/78/61/524667861.db2.gz FTNGANZELRIESU-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1cc(C[N@@H+](Cc2cccc(F)c2)C(C)C)no1 ZINC000299120561 524667874 /nfs/dbraw/zinc/66/78/74/524667874.db2.gz FTNGANZELRIESU-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO C[C@H]1CN(c2ccc([NH2+][C@H]3CCC34CCC4)cc2)CCO1 ZINC000282405791 524670835 /nfs/dbraw/zinc/67/08/35/524670835.db2.gz BZSDHBXLXMLISR-YOEHRIQHSA-N 1 2 286.419 3.656 20 0 CHADLO COc1ccccc1[C@@H](C)[NH2+]C/C(Cl)=C/Cl ZINC000088162773 524722011 /nfs/dbraw/zinc/72/20/11/524722011.db2.gz KRGHKSZDZHLKBD-SBMLRHLQSA-N 1 2 260.164 3.665 20 0 CHADLO Cc1cc(Cl)cc(C[NH2+]Cc2nccc(C(F)F)n2)c1 ZINC000339403199 524873029 /nfs/dbraw/zinc/87/30/29/524873029.db2.gz DMRXSKFFNXBILR-UHFFFAOYSA-N 1 2 297.736 3.666 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2csc(C)n2)cc1 ZINC000076718213 525130021 /nfs/dbraw/zinc/13/00/21/525130021.db2.gz IMKPCYLWMPRWMN-LLVKDONJSA-N 1 2 276.405 3.653 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2csc(C)n2)cc1 ZINC000076718213 525130031 /nfs/dbraw/zinc/13/00/31/525130031.db2.gz IMKPCYLWMPRWMN-LLVKDONJSA-N 1 2 276.405 3.653 20 0 CHADLO Cc1ccccc1[C@H](CC(C)C)NC(=O)CCc1[nH]cc[nH+]1 ZINC000353447177 534837345 /nfs/dbraw/zinc/83/73/45/534837345.db2.gz ZWEKJUQKITYKKV-INIZCTEOSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2C[C@H](c3ccccc3)C2)c(C)[nH+]1 ZINC000280578870 525183155 /nfs/dbraw/zinc/18/31/55/525183155.db2.gz BZABCKWARYOPJS-WKILWMFISA-N 1 2 295.386 3.766 20 0 CHADLO Cc1ccc(NCc2ccc(OC(F)(F)F)cc2)c[nH+]1 ZINC000149806654 525283644 /nfs/dbraw/zinc/28/36/44/525283644.db2.gz PBSXCHZRQSHWBT-UHFFFAOYSA-N 1 2 282.265 3.901 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2csc(C)n2)cc1 ZINC000076718210 525299899 /nfs/dbraw/zinc/29/98/99/525299899.db2.gz IMKPCYLWMPRWMN-NSHDSACASA-N 1 2 276.405 3.653 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2csc(C)n2)cc1 ZINC000076718210 525299905 /nfs/dbraw/zinc/29/99/05/525299905.db2.gz IMKPCYLWMPRWMN-NSHDSACASA-N 1 2 276.405 3.653 20 0 CHADLO C[C@H]([NH2+]Cc1ccon1)c1ccc(Cl)cc1Cl ZINC000077247253 525471660 /nfs/dbraw/zinc/47/16/60/525471660.db2.gz STFHEVGWKRSJCG-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO C[C@H]([NH2+]Cc1cnc2ccccc2c1)c1c(F)cncc1F ZINC000353115554 525476071 /nfs/dbraw/zinc/47/60/71/525476071.db2.gz YHIYKMZIXBFCTN-NSHDSACASA-N 1 2 299.324 3.759 20 0 CHADLO C[C@H]([NH2+]Cc1cnns1)c1ccc(Cl)cc1Cl ZINC000290142482 525481590 /nfs/dbraw/zinc/48/15/90/525481590.db2.gz VWHZVMSCQXTHRL-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C2CC2)cs1)c1ccc(Cl)cn1 ZINC000274984542 525485163 /nfs/dbraw/zinc/48/51/63/525485163.db2.gz ZSVZLUWSFQTYDJ-VIFPVBQESA-N 1 2 293.823 3.920 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C(C)(C)C)[nH]1)c1ccccc1Cl ZINC000292986222 525485202 /nfs/dbraw/zinc/48/52/02/525485202.db2.gz ROMWMZLFFDQGOG-JTQLQIEISA-N 1 2 292.814 3.606 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1nccs1 ZINC000080265792 525508434 /nfs/dbraw/zinc/50/84/34/525508434.db2.gz AFZVVNDYECHUHZ-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2ccc(C3CC3)cc2F)nn1C ZINC000340389041 525519859 /nfs/dbraw/zinc/51/98/59/525519859.db2.gz MACTWDYUUWJKAO-LBPRGKRZSA-N 1 2 287.382 3.596 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)C1CC1)c1nc(C2CC2)no1 ZINC000128094889 525545078 /nfs/dbraw/zinc/54/50/78/525545078.db2.gz IXZRYJVLQRCTGD-NHYWBVRUSA-N 1 2 283.375 3.749 20 0 CHADLO C[C@@H](NCc1cn2c([nH+]1)CCCC2)c1csc(Cl)c1 ZINC000276319927 525548126 /nfs/dbraw/zinc/54/81/26/525548126.db2.gz GQZYIZLACVZHRP-SNVBAGLBSA-N 1 2 295.839 3.785 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C(C)(C)C)[nH]1)c1ccccc1Cl ZINC000292986223 525555960 /nfs/dbraw/zinc/55/59/60/525555960.db2.gz ROMWMZLFFDQGOG-SNVBAGLBSA-N 1 2 292.814 3.606 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc[nH]1)c1cc(Cl)ccc1Cl ZINC000070939293 525561902 /nfs/dbraw/zinc/56/19/02/525561902.db2.gz NMLJTMQNTMICFW-MRVPVSSYSA-N 1 2 270.163 3.567 20 0 CHADLO C[C@H]([NH2+][C@@H]1CSc2ccccc21)c1nccs1 ZINC000156278368 525585152 /nfs/dbraw/zinc/58/51/52/525585152.db2.gz CLMHYZGGCCPRHR-GXSJLCMTSA-N 1 2 262.403 3.641 20 0 CHADLO C[C@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1ccncc1F ZINC000337853309 525642697 /nfs/dbraw/zinc/64/26/97/525642697.db2.gz KBYTYONFILUIHN-QMMMGPOBSA-N 1 2 285.244 3.808 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccc2ccccc2n1 ZINC000072822023 525733332 /nfs/dbraw/zinc/73/33/32/525733332.db2.gz BVJVIAGZAMQWGQ-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccc2ccccc2n1 ZINC000072822023 525733337 /nfs/dbraw/zinc/73/33/37/525733337.db2.gz BVJVIAGZAMQWGQ-CQSZACIVSA-N 1 2 277.371 3.613 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1cnc(Cl)s1 ZINC000094194846 525736278 /nfs/dbraw/zinc/73/62/78/525736278.db2.gz XRRUTBMHNJBFJQ-MRVPVSSYSA-N 1 2 284.837 3.977 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cnc(Cl)s1 ZINC000094194846 525736293 /nfs/dbraw/zinc/73/62/93/525736293.db2.gz XRRUTBMHNJBFJQ-MRVPVSSYSA-N 1 2 284.837 3.977 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@H+]2Cc2nnc(C(C)C)[nH]2)o1 ZINC000292347808 525802735 /nfs/dbraw/zinc/80/27/35/525802735.db2.gz DLZVWKQOFPHUSW-ZDUSSCGKSA-N 1 2 288.395 3.557 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@@H+]2Cc2nnc(C(C)C)[nH]2)o1 ZINC000292347808 525802746 /nfs/dbraw/zinc/80/27/46/525802746.db2.gz DLZVWKQOFPHUSW-ZDUSSCGKSA-N 1 2 288.395 3.557 20 0 CHADLO Cc1ccsc1CNc1cc[nH+]c(C(C)(C)C)n1 ZINC000301558330 525864741 /nfs/dbraw/zinc/86/47/41/525864741.db2.gz XHBKJISXKVURAZ-UHFFFAOYSA-N 1 2 261.394 3.756 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2cc(Cl)cc(Cl)c2)o1 ZINC000075640873 526007563 /nfs/dbraw/zinc/00/75/63/526007563.db2.gz INLFGOPLSQEBGG-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2cc(Cl)cc(Cl)c2)o1 ZINC000075640873 526007576 /nfs/dbraw/zinc/00/75/76/526007576.db2.gz INLFGOPLSQEBGG-UHFFFAOYSA-N 1 2 285.174 3.922 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(C(C)C)cc2)nc1 ZINC000340663262 526008610 /nfs/dbraw/zinc/00/86/10/526008610.db2.gz STRITCWKAIKTPY-UHFFFAOYSA-N 1 2 269.392 3.540 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(C(C)C)cc2)nc1 ZINC000340663262 526008617 /nfs/dbraw/zinc/00/86/17/526008617.db2.gz STRITCWKAIKTPY-UHFFFAOYSA-N 1 2 269.392 3.540 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000340664021 526019342 /nfs/dbraw/zinc/01/93/42/526019342.db2.gz WEFHIWXMISOYCB-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000340664021 526019348 /nfs/dbraw/zinc/01/93/48/526019348.db2.gz WEFHIWXMISOYCB-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cn[nH]c1C[NH2+]C1(c2ccc(Cl)c(Cl)c2)CC1 ZINC000289823205 526031434 /nfs/dbraw/zinc/03/14/34/526031434.db2.gz JIFMCONIWWLXJX-UHFFFAOYSA-N 1 2 296.201 3.804 20 0 CHADLO Cc1c[nH]nc1C[NH2+]C1(c2ccc(Cl)c(Cl)c2)CC1 ZINC000289823205 526031447 /nfs/dbraw/zinc/03/14/47/526031447.db2.gz JIFMCONIWWLXJX-UHFFFAOYSA-N 1 2 296.201 3.804 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2ncc(C)cn2)o1 ZINC000340691183 526032860 /nfs/dbraw/zinc/03/28/60/526032860.db2.gz QMVXBWBGLMIOIB-SWLSCSKDSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2ncc(C)cn2)o1 ZINC000340691183 526032870 /nfs/dbraw/zinc/03/28/70/526032870.db2.gz QMVXBWBGLMIOIB-SWLSCSKDSA-N 1 2 285.391 3.660 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@H](C)c1cc(Cl)ccc1Cl ZINC000289553211 526035147 /nfs/dbraw/zinc/03/51/47/526035147.db2.gz QHFDREWDLLQQLN-SECBINFHSA-N 1 2 284.190 3.876 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@H](C)c1cc(Cl)ccc1Cl ZINC000289553211 526035152 /nfs/dbraw/zinc/03/51/52/526035152.db2.gz QHFDREWDLLQQLN-SECBINFHSA-N 1 2 284.190 3.876 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+](C)Cc1cccnc1 ZINC000263773675 526036763 /nfs/dbraw/zinc/03/67/63/526036763.db2.gz NMHWFAYCIBYJFK-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+](C)Cc1cccnc1 ZINC000263773675 526036775 /nfs/dbraw/zinc/03/67/75/526036775.db2.gz NMHWFAYCIBYJFK-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1cnc[nH]1 ZINC000280481210 526038657 /nfs/dbraw/zinc/03/86/57/526038657.db2.gz NXVISFXGUKREPO-VIFPVBQESA-N 1 2 284.190 3.910 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1cnc[nH]1 ZINC000280481210 526038667 /nfs/dbraw/zinc/03/86/67/526038667.db2.gz NXVISFXGUKREPO-VIFPVBQESA-N 1 2 284.190 3.910 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1c[nH]cn1 ZINC000280481210 526038677 /nfs/dbraw/zinc/03/86/77/526038677.db2.gz NXVISFXGUKREPO-VIFPVBQESA-N 1 2 284.190 3.910 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1c[nH]cn1 ZINC000280481210 526038685 /nfs/dbraw/zinc/03/86/85/526038685.db2.gz NXVISFXGUKREPO-VIFPVBQESA-N 1 2 284.190 3.910 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1cocn1 ZINC000278211388 526067242 /nfs/dbraw/zinc/06/72/42/526067242.db2.gz RGJNHXVUPIUSFY-JTQLQIEISA-N 1 2 284.281 3.886 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1cocn1 ZINC000278211388 526067251 /nfs/dbraw/zinc/06/72/51/526067251.db2.gz RGJNHXVUPIUSFY-JTQLQIEISA-N 1 2 284.281 3.886 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+]1Cc2cccc(Cl)c2C1 ZINC000287469051 526113975 /nfs/dbraw/zinc/11/39/75/526113975.db2.gz RPPNBJLQBPGOIE-NSHDSACASA-N 1 2 258.752 3.812 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+]1Cc2cccc(Cl)c2C1 ZINC000287469051 526113983 /nfs/dbraw/zinc/11/39/83/526113983.db2.gz RPPNBJLQBPGOIE-NSHDSACASA-N 1 2 258.752 3.812 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000338592030 526126126 /nfs/dbraw/zinc/12/61/26/526126126.db2.gz XLWQSCHEWXIMFM-SUMWQHHRSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+]1CC[C@](F)(c2ccccc2F)C1 ZINC000338592030 526126131 /nfs/dbraw/zinc/12/61/31/526126131.db2.gz XLWQSCHEWXIMFM-SUMWQHHRSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000338592028 526134581 /nfs/dbraw/zinc/13/45/81/526134581.db2.gz XLWQSCHEWXIMFM-DYVFJYSZSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@H](c1cccnc1)[N@H+]1CC[C@@](F)(c2ccccc2F)C1 ZINC000338592028 526134590 /nfs/dbraw/zinc/13/45/90/526134590.db2.gz XLWQSCHEWXIMFM-DYVFJYSZSA-N 1 2 288.341 3.852 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278718770 526152206 /nfs/dbraw/zinc/15/22/06/526152206.db2.gz UYQFNAXHWFZKOL-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+](C)Cc1cc(C(C)(C)C)on1 ZINC000278718770 526152215 /nfs/dbraw/zinc/15/22/15/526152215.db2.gz UYQFNAXHWFZKOL-LBPRGKRZSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](C1CC1)N(C)C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000340340200 526202419 /nfs/dbraw/zinc/20/24/19/526202419.db2.gz GCIUPEWVGBBBJR-CYBMUJFWSA-N 1 2 296.370 3.744 20 0 CHADLO FC1(F)CCC[C@H](CNc2cc[nH+]c(C3CC3)n2)C1 ZINC000282020883 526276891 /nfs/dbraw/zinc/27/68/91/526276891.db2.gz VXLGRLPNFNLLKM-JTQLQIEISA-N 1 2 267.323 3.591 20 0 CHADLO Cc1nnc(C[N@@H+]2CCCC[C@H]2c2ccc(C)cc2)s1 ZINC000271375555 526337776 /nfs/dbraw/zinc/33/77/76/526337776.db2.gz CNWJEMYFQKYDTQ-HNNXBMFYSA-N 1 2 287.432 3.882 20 0 CHADLO Cc1nnc(C[N@H+]2CCCC[C@H]2c2ccc(C)cc2)s1 ZINC000271375555 526337783 /nfs/dbraw/zinc/33/77/83/526337783.db2.gz CNWJEMYFQKYDTQ-HNNXBMFYSA-N 1 2 287.432 3.882 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc3c(c2)CCCC3)o1 ZINC000112517226 526397799 /nfs/dbraw/zinc/39/77/99/526397799.db2.gz FSNZKVBCBWUJOO-NWDGAFQWSA-N 1 2 285.391 3.669 20 0 CHADLO c1ccc([C@H]([NH2+]Cc2noc(C3CC3)n2)C2CCC2)cc1 ZINC000104702296 526497166 /nfs/dbraw/zinc/49/71/66/526497166.db2.gz MUXPRDZKUUVPQK-INIZCTEOSA-N 1 2 283.375 3.578 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](c1ccccc1)C(C)(C)C ZINC000036994787 526555157 /nfs/dbraw/zinc/55/51/57/526555157.db2.gz STVUJCKGYHWGKV-HNNXBMFYSA-N 1 2 257.381 3.595 20 0 CHADLO Cc1noc(C[N@@H+]2CCCC[C@H]2c2cc3ccccc3[nH]2)n1 ZINC000108386042 526612947 /nfs/dbraw/zinc/61/29/47/526612947.db2.gz YHPOJASVLSVZJF-INIZCTEOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1noc(C[N@H+]2CCCC[C@H]2c2cc3ccccc3[nH]2)n1 ZINC000108386042 526612951 /nfs/dbraw/zinc/61/29/51/526612951.db2.gz YHPOJASVLSVZJF-INIZCTEOSA-N 1 2 296.374 3.587 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CSc1nccn2ccnc12 ZINC000292792126 526669157 /nfs/dbraw/zinc/66/91/57/526669157.db2.gz ZNDNZQFJDSKEMG-UHFFFAOYSA-N 1 2 298.415 3.848 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@H](C)[C@H](C)O ZINC000292542926 526669178 /nfs/dbraw/zinc/66/91/78/526669178.db2.gz BIYNLILOTVMNCV-NWDGAFQWSA-N 1 2 253.411 3.516 20 0 CHADLO Cc1nonc1C[NH2+][C@H](C)c1ccc(Cl)cc1Cl ZINC000070261616 526703241 /nfs/dbraw/zinc/70/32/41/526703241.db2.gz JAEFKMVFBVOZJC-SSDOTTSWSA-N 1 2 286.162 3.536 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(Cl)c(F)c2)cs1 ZINC000127663121 526840755 /nfs/dbraw/zinc/84/07/55/526840755.db2.gz YDJCACDYBUBBBE-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(Cl)c(F)c2)cs1 ZINC000127663121 526840757 /nfs/dbraw/zinc/84/07/57/526840757.db2.gz YDJCACDYBUBBBE-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2C)C2CC2)cs1 ZINC000340678994 526849435 /nfs/dbraw/zinc/84/94/35/526849435.db2.gz ZTIQCOWYJYICQX-UHFFFAOYSA-N 1 2 272.417 3.925 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2C)C2CC2)cs1 ZINC000340678994 526849439 /nfs/dbraw/zinc/84/94/39/526849439.db2.gz ZTIQCOWYJYICQX-UHFFFAOYSA-N 1 2 272.417 3.925 20 0 CHADLO Cc1sccc1CNc1ccc(N2CCCC2)[nH+]c1 ZINC000293229611 526921800 /nfs/dbraw/zinc/92/18/00/526921800.db2.gz KOTYSEHQCMLADH-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO CC(C)(CC1CCCCCC1)NC(=O)CCn1cc[nH+]c1 ZINC000353853983 526983402 /nfs/dbraw/zinc/98/34/02/526983402.db2.gz UTFAXNVTZWVHLR-UHFFFAOYSA-N 1 2 291.439 3.529 20 0 CHADLO Clc1nc(C[N@@H+]2CCS[C@@H]3CCCC[C@H]32)cs1 ZINC000289994859 527004020 /nfs/dbraw/zinc/00/40/20/527004020.db2.gz KSSSXHGTPMSNML-GHMZBOCLSA-N 1 2 288.869 3.657 20 0 CHADLO Clc1nc(C[N@H+]2CCS[C@@H]3CCCC[C@H]32)cs1 ZINC000289994859 527004024 /nfs/dbraw/zinc/00/40/24/527004024.db2.gz KSSSXHGTPMSNML-GHMZBOCLSA-N 1 2 288.869 3.657 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccc(F)c(F)c2)cs1 ZINC000049577450 527033202 /nfs/dbraw/zinc/03/32/02/527033202.db2.gz UTHFYABFCGMHSF-MRVPVSSYSA-N 1 2 268.332 3.581 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](c2ccc(F)c(C)c2)C2CC2)no1 ZINC000289654103 527033964 /nfs/dbraw/zinc/03/39/64/527033964.db2.gz XMPSBSLCPANZOB-BMIGLBTASA-N 1 2 289.354 3.627 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2cc(O)cc(F)c2)cs1 ZINC000275146058 527082094 /nfs/dbraw/zinc/08/20/94/527082094.db2.gz QLZRHRMAIJOPKR-OAHLLOKOSA-N 1 2 292.379 3.633 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2cc(O)cc(F)c2)cs1 ZINC000275146058 527082098 /nfs/dbraw/zinc/08/20/98/527082098.db2.gz QLZRHRMAIJOPKR-OAHLLOKOSA-N 1 2 292.379 3.633 20 0 CHADLO CC(=O)Nc1ccccc1C[N@H+](CC(F)F)CC1CCC1 ZINC000355095979 527093297 /nfs/dbraw/zinc/09/32/97/527093297.db2.gz CHBGQTXGKRWCAH-UHFFFAOYSA-N 1 2 296.361 3.512 20 0 CHADLO CC(=O)Nc1ccccc1C[N@@H+](CC(F)F)CC1CCC1 ZINC000355095979 527093302 /nfs/dbraw/zinc/09/33/02/527093302.db2.gz CHBGQTXGKRWCAH-UHFFFAOYSA-N 1 2 296.361 3.512 20 0 CHADLO Cc1[nH]c(C(=O)N[C@H](c2cccc(C)c2)C(C)(C)C)c[nH+]1 ZINC000339236898 527241788 /nfs/dbraw/zinc/24/17/88/527241788.db2.gz LAGKYBDDPNMQBL-OAHLLOKOSA-N 1 2 285.391 3.544 20 0 CHADLO Cc1nc(N2CCC(c3c[nH]c4ccccc43)CC2)cc[nH+]1 ZINC000266152531 527343686 /nfs/dbraw/zinc/34/36/86/527343686.db2.gz UHVGHFLHAXPHOZ-UHFFFAOYSA-N 1 2 292.386 3.650 20 0 CHADLO Cc1nc(N2C[C@@H](C)C[C@@H]2c2cccc(F)c2)cc[nH+]1 ZINC000266206768 527348035 /nfs/dbraw/zinc/34/80/35/527348035.db2.gz FKXXLYQHXMKGLU-XHDPSFHLSA-N 1 2 271.339 3.512 20 0 CHADLO CC(C)=CC[N@@H+]1CCCC[C@H]1c1nnc(C2CCC2)o1 ZINC000347304776 527430736 /nfs/dbraw/zinc/43/07/36/527430736.db2.gz LKSJFOVWOHJDQF-AWEZNQCLSA-N 1 2 275.396 3.830 20 0 CHADLO CC(C)=CC[N@H+]1CCCC[C@H]1c1nnc(C2CCC2)o1 ZINC000347304776 527430743 /nfs/dbraw/zinc/43/07/43/527430743.db2.gz LKSJFOVWOHJDQF-AWEZNQCLSA-N 1 2 275.396 3.830 20 0 CHADLO Cn1ccnc1C[NH2+]C1(c2ccc(Cl)c(Cl)c2)CC1 ZINC000299651401 527435027 /nfs/dbraw/zinc/43/50/27/527435027.db2.gz YBLPBWKLBGWOEQ-UHFFFAOYSA-N 1 2 296.201 3.506 20 0 CHADLO Cc1ncsc1C[N@@H+](C)[C@H](C)c1c(F)cccc1F ZINC000066566119 527500825 /nfs/dbraw/zinc/50/08/25/527500825.db2.gz CDOPVGIEBZGMAJ-SNVBAGLBSA-N 1 2 282.359 3.923 20 0 CHADLO Cc1ncsc1C[N@H+](C)[C@H](C)c1c(F)cccc1F ZINC000066566119 527500833 /nfs/dbraw/zinc/50/08/33/527500833.db2.gz CDOPVGIEBZGMAJ-SNVBAGLBSA-N 1 2 282.359 3.923 20 0 CHADLO C/C(=C/c1nc(Cc2ccc(C)[nH+]c2)no1)c1ccccc1 ZINC000356141423 527510488 /nfs/dbraw/zinc/51/04/88/527510488.db2.gz VTANVQFFJGQOEG-RAXLEYEMSA-N 1 2 291.354 3.924 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(SC(C)(C)C)cc1 ZINC000129115256 527510907 /nfs/dbraw/zinc/51/09/07/527510907.db2.gz OVAFITQVVDTSBT-UHFFFAOYSA-N 1 2 275.421 3.923 20 0 CHADLO OCCCOc1ccc([NH2+]C2CCC(C(F)F)CC2)cc1 ZINC000289529562 527541107 /nfs/dbraw/zinc/54/11/07/527541107.db2.gz HOGNGXJELVPTMJ-UHFFFAOYSA-N 1 2 299.361 3.684 20 0 CHADLO Cn1ncc(Cl)c1C[N@@H+]1CCC[C@H]1c1ccc(F)cc1 ZINC000275772948 527570709 /nfs/dbraw/zinc/57/07/09/527570709.db2.gz WFTZEDIVIZMQND-AWEZNQCLSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1ncc(Cl)c1C[N@H+]1CCC[C@H]1c1ccc(F)cc1 ZINC000275772948 527570715 /nfs/dbraw/zinc/57/07/15/527570715.db2.gz WFTZEDIVIZMQND-AWEZNQCLSA-N 1 2 293.773 3.550 20 0 CHADLO C/C=C/C=C\c1nc(-c2cccc(Cn3cc[nH+]c3)c2)no1 ZINC000355994649 527613889 /nfs/dbraw/zinc/61/38/89/527613889.db2.gz WWYGTGOLEVSTPE-HOJFPOIOSA-N 1 2 292.342 3.571 20 0 CHADLO CCC[C@@H]1CCc2ccccc2N1Cc1c[nH+]cn1C ZINC000347285914 527764588 /nfs/dbraw/zinc/76/45/88/527764588.db2.gz HFPLCVYLIZUKKH-OAHLLOKOSA-N 1 2 269.392 3.542 20 0 CHADLO CC(C)CN(Cc1[nH+]ccn1C)c1ccc(Cl)cc1 ZINC000353556435 527954436 /nfs/dbraw/zinc/95/44/36/527954436.db2.gz CFHXYHXWZLTSPG-UHFFFAOYSA-N 1 2 277.799 3.736 20 0 CHADLO CC1=CCC[C@@H](C)[C@@H]1CNc1ccc(Cn2cc[nH+]c2)cn1 ZINC000353141421 528106496 /nfs/dbraw/zinc/10/64/96/528106496.db2.gz QXMXFCAHCMNCTL-NVXWUHKLSA-N 1 2 296.418 3.731 20 0 CHADLO CC(C)c1cnc(C[NH2+][C@H](C)c2c(F)cncc2F)s1 ZINC000353145635 528216619 /nfs/dbraw/zinc/21/66/19/528216619.db2.gz WPNPUERDSONXKF-SECBINFHSA-N 1 2 297.374 3.791 20 0 CHADLO CC(C)c1ncc(C[NH2+][C@H](C)c2c(F)cncc2F)s1 ZINC000353122723 528351364 /nfs/dbraw/zinc/35/13/64/528351364.db2.gz WJMUGUNTVDJNCD-SECBINFHSA-N 1 2 297.374 3.791 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc(Cl)cc2)s1 ZINC000344634765 528441795 /nfs/dbraw/zinc/44/17/95/528441795.db2.gz CCOZGYXNJHVQAB-UHFFFAOYSA-N 1 2 281.812 3.605 20 0 CHADLO CC(C)C[C@H](CO)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000301875334 528550288 /nfs/dbraw/zinc/55/02/88/528550288.db2.gz ROUCYJCEWQUIMX-CYBMUJFWSA-N 1 2 279.428 3.542 20 0 CHADLO CC(C)Cn1cc[nH+]c1CNC(=O)[C@H](C)CCC(C)(C)C ZINC000347945168 528730856 /nfs/dbraw/zinc/73/08/56/528730856.db2.gz QAVRQSVEABHINH-CQSZACIVSA-N 1 2 293.455 3.618 20 0 CHADLO CC1(C)CC[C@H]([NH2+][C@@H](c2ncn[nH]2)C2CCCCC2)C1 ZINC000330204420 529048100 /nfs/dbraw/zinc/04/81/00/529048100.db2.gz BQRVTUOLAPXCHL-UONOGXRCSA-N 1 2 276.428 3.594 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+]Cc1nccs1 ZINC000353768243 529172461 /nfs/dbraw/zinc/17/24/61/529172461.db2.gz NQUQFHJUSVDMGH-UHFFFAOYSA-N 1 2 266.797 3.649 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccc(C)n1)c1ccc(Cl)s1 ZINC000347618502 529190371 /nfs/dbraw/zinc/19/03/71/529190371.db2.gz NWVSCBCRJBTLPY-SNVBAGLBSA-N 1 2 281.812 3.741 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc2ccc(F)cc2o1)c1nccs1 ZINC000347924282 529190692 /nfs/dbraw/zinc/19/06/92/529190692.db2.gz VPCUEVLPVADBBZ-SNVBAGLBSA-N 1 2 291.351 3.664 20 0 CHADLO COc1cc[nH+]cc1CSCc1cccc(F)c1F ZINC000341977323 537120918 /nfs/dbraw/zinc/12/09/18/537120918.db2.gz ZPVIVOQMIKPXCY-UHFFFAOYSA-N 1 2 281.327 3.802 20 0 CHADLO COc1cc[nH+]cc1CSCc1c(F)cccc1F ZINC000342004667 537120292 /nfs/dbraw/zinc/12/02/92/537120292.db2.gz OAQNGWFOOJXHFA-UHFFFAOYSA-N 1 2 281.327 3.802 20 0 CHADLO Cc1cc(NC(=O)c2ccc3ccccc3c2)c(C)c[nH+]1 ZINC000556732208 728642739 /nfs/dbraw/zinc/64/27/39/728642739.db2.gz IEUSBSJVTOEYKG-UHFFFAOYSA-N 1 2 276.339 3.526 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(Cl)c1F)C[C@@H]1CCCCO1 ZINC001137883093 1131428928 /nfs/dbraw/zinc/42/89/28/1131428928.db2.gz SULNBQPBGOGPRI-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO C[N@H+](Cc1c(F)ccc(Cl)c1F)C[C@@H]1CCCCO1 ZINC001137883093 1131428929 /nfs/dbraw/zinc/42/89/29/1131428929.db2.gz SULNBQPBGOGPRI-JTQLQIEISA-N 1 2 289.753 3.619 20 0 CHADLO Cc1cccc(C)c1[C@@H](C)OC(=O)CCCn1cc[nH+]c1 ZINC000133495089 1125462499 /nfs/dbraw/zinc/46/24/99/1125462499.db2.gz MYMYVECMACIAJK-OAHLLOKOSA-N 1 2 286.375 3.585 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1cccnc1Cl ZINC000109784914 1125469005 /nfs/dbraw/zinc/46/90/05/1125469005.db2.gz IFPJQCZYAIGTNX-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1cccnc1Cl ZINC000109784914 1125469009 /nfs/dbraw/zinc/46/90/09/1125469009.db2.gz IFPJQCZYAIGTNX-LLVKDONJSA-N 1 2 276.767 3.634 20 0 CHADLO Cc1cc(NC(=O)c2csc3ccccc23)c(C)c[nH+]1 ZINC000536574872 1125470693 /nfs/dbraw/zinc/47/06/93/1125470693.db2.gz SARKTRPEQZLLNW-UHFFFAOYSA-N 1 2 282.368 3.587 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(CC(F)(F)F)cc2)no1 ZINC000637928670 1129236481 /nfs/dbraw/zinc/23/64/81/1129236481.db2.gz CWAVALWNGJQXAC-UHFFFAOYSA-N 1 2 298.308 3.720 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(CC(F)(F)F)cc2)no1 ZINC000637928670 1129236482 /nfs/dbraw/zinc/23/64/82/1129236482.db2.gz CWAVALWNGJQXAC-UHFFFAOYSA-N 1 2 298.308 3.720 20 0 CHADLO Cc1cc(C)c(Nc2cc(Cl)c(F)cc2N)c[nH+]1 ZINC001213513476 1117874864 /nfs/dbraw/zinc/87/48/64/1117874864.db2.gz NABLURLMWRHLIZ-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO Cc1ccsc1C[N@H+](C)Cc1nnc(-c2ccccc2)o1 ZINC000048216843 1125481543 /nfs/dbraw/zinc/48/15/43/1125481543.db2.gz PTTKSNWVGOMSPD-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccsc1C[N@@H+](C)Cc1nnc(-c2ccccc2)o1 ZINC000048216843 1125481546 /nfs/dbraw/zinc/48/15/46/1125481546.db2.gz PTTKSNWVGOMSPD-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO CCC(F)(F)C[NH2+][C@@H]1CCCNc2ccccc21 ZINC000624149155 1117990332 /nfs/dbraw/zinc/99/03/32/1117990332.db2.gz XPQABHZECGAXJA-CYBMUJFWSA-N 1 2 254.324 3.568 20 0 CHADLO CC(C)=CCC[C@@H](C)CC(=O)OCCCn1cc[nH+]c1 ZINC000830090579 1131445194 /nfs/dbraw/zinc/44/51/94/1131445194.db2.gz HCVMLDLLQHZOAM-OAHLLOKOSA-N 1 2 278.396 3.589 20 0 CHADLO COc1ccc(F)c(Nc2c[nH+]c(C)c(N)c2)c1Cl ZINC001210289961 1118236362 /nfs/dbraw/zinc/23/63/62/1118236362.db2.gz CEFDVDJFXLOAEU-UHFFFAOYSA-N 1 2 281.718 3.517 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cc(C)cc(C)c2)cs1 ZINC000064517015 1118327020 /nfs/dbraw/zinc/32/70/20/1118327020.db2.gz QKAZHXGAYFPUHQ-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO Brc1cnccc1C[NH+]1CC2(C1)CCCCC2 ZINC000639250526 1118356236 /nfs/dbraw/zinc/35/62/36/1118356236.db2.gz SNOHBRDYQHAYLW-UHFFFAOYSA-N 1 2 295.224 3.610 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C)cc1SC ZINC001215871224 1118614933 /nfs/dbraw/zinc/61/49/33/1118614933.db2.gz XRZWLOUQYKKQTL-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO CCc1noc(C[NH2+][C@@H](CC)c2ccc(Cl)cc2)n1 ZINC000127747559 1119095831 /nfs/dbraw/zinc/09/58/31/1119095831.db2.gz HVMBVAYQISFTKO-LBPRGKRZSA-N 1 2 279.771 3.526 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1cc(F)cc(F)c1 ZINC000566501626 1119348180 /nfs/dbraw/zinc/34/81/80/1119348180.db2.gz RZTXLICVDJGEFL-BDAKNGLRSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(C)=C(C)C2)ncc1Br ZINC000628257610 1119788915 /nfs/dbraw/zinc/78/89/15/1119788915.db2.gz SYFDPUFWCGOMLA-UHFFFAOYSA-N 1 2 295.224 3.695 20 0 CHADLO Cc1cc(C[N@H+]2CCC(C)=C(C)C2)ncc1Br ZINC000628257610 1119788920 /nfs/dbraw/zinc/78/89/20/1119788920.db2.gz SYFDPUFWCGOMLA-UHFFFAOYSA-N 1 2 295.224 3.695 20 0 CHADLO Cc1ccc(Nc2c(F)cc(F)c(O)c2F)c(C)[nH+]1 ZINC001176662603 1121679070 /nfs/dbraw/zinc/67/90/70/1121679070.db2.gz TZBFLCVOOZVTAG-UHFFFAOYSA-N 1 2 268.238 3.565 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2nc(C)cs2)c(Cl)c1 ZINC000727837111 1121750672 /nfs/dbraw/zinc/75/06/72/1121750672.db2.gz KFNLYLKEMXCUBD-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO Cc1nnc([C@@H](C)[N@@H+]2CCC[C@@H](CC(F)(F)F)C2)s1 ZINC000420942651 1122052583 /nfs/dbraw/zinc/05/25/83/1122052583.db2.gz HGIXGFCUXRCNOJ-SCZZXKLOSA-N 1 2 293.358 3.572 20 0 CHADLO Cc1nnc([C@@H](C)[N@H+]2CCC[C@@H](CC(F)(F)F)C2)s1 ZINC000420942651 1122052589 /nfs/dbraw/zinc/05/25/89/1122052589.db2.gz HGIXGFCUXRCNOJ-SCZZXKLOSA-N 1 2 293.358 3.572 20 0 CHADLO Cc1cc(C[N@@H+](CC(=O)OC(C)(C)C)C(C)C)cs1 ZINC001192262544 1122637262 /nfs/dbraw/zinc/63/72/62/1122637262.db2.gz XXEHAQLWBVBMCO-UHFFFAOYSA-N 1 2 283.437 3.609 20 0 CHADLO Cc1cc(C[N@H+](CC(=O)OC(C)(C)C)C(C)C)cs1 ZINC001192262544 1122637266 /nfs/dbraw/zinc/63/72/66/1122637266.db2.gz XXEHAQLWBVBMCO-UHFFFAOYSA-N 1 2 283.437 3.609 20 0 CHADLO C[NH+](C)Cc1cccc(Nc2ccccc2S)c1 ZINC001201396536 1123091655 /nfs/dbraw/zinc/09/16/55/1123091655.db2.gz SNUYRAPLBAWRFD-UHFFFAOYSA-N 1 2 258.390 3.781 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CCC(=O)[C@@H](C)C1 ZINC000429673976 1123161690 /nfs/dbraw/zinc/16/16/90/1123161690.db2.gz SYNSAIIXWUDQLK-SMDDNHRTSA-N 1 2 265.784 3.702 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)[N@H+]1C/C=C/Cl ZINC000111694868 1123460724 /nfs/dbraw/zinc/46/07/24/1123460724.db2.gz CCXZJPBEZZCEMW-ITYKKCRKSA-N 1 2 265.784 3.589 20 0 CHADLO Cc1cc(NCC(C)(C)C(C)(F)F)nc(C2CC2)[nH+]1 ZINC000866185668 1124036767 /nfs/dbraw/zinc/03/67/67/1124036767.db2.gz DIMKMPYCXCQALQ-UHFFFAOYSA-N 1 2 269.339 3.756 20 0 CHADLO Clc1csc(C[NH2+][C@H]2CSCc3ccccc32)n1 ZINC000876974478 1124994289 /nfs/dbraw/zinc/99/42/89/1124994289.db2.gz ITPCFWQGDXEYJQ-NSHDSACASA-N 1 2 296.848 3.874 20 0 CHADLO CC[C@@H](Nc1cc[nH+]c2cc(F)c(Cl)cc12)[C@H](C)O ZINC000450787204 1125104239 /nfs/dbraw/zinc/10/42/39/1125104239.db2.gz FAZAHARGIHRWLO-QPUJVOFHSA-N 1 2 282.746 3.599 20 0 CHADLO C[N@H+](Cc1scnc1Cl)[C@H]1CCc2ccccc21 ZINC000877951889 1125105905 /nfs/dbraw/zinc/10/59/05/1125105905.db2.gz TXBMYOCULRDMID-LBPRGKRZSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1scnc1Cl)[C@H]1CCc2ccccc21 ZINC000877951889 1125105910 /nfs/dbraw/zinc/10/59/10/1125105910.db2.gz TXBMYOCULRDMID-LBPRGKRZSA-N 1 2 278.808 3.916 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CC[C@](C)(C(F)(F)F)C2)o1 ZINC000880001030 1125243901 /nfs/dbraw/zinc/24/39/01/1125243901.db2.gz OZRYUPYAKSWSGJ-ZDUSSCGKSA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CC[C@](C)(C(F)(F)F)C2)o1 ZINC000880001030 1125243906 /nfs/dbraw/zinc/24/39/06/1125243906.db2.gz OZRYUPYAKSWSGJ-ZDUSSCGKSA-N 1 2 290.329 3.746 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(F)cc2C)cs1 ZINC000125039792 1125443617 /nfs/dbraw/zinc/44/36/17/1125443617.db2.gz NXRJLKHGOCHZJM-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(F)cc2C)cs1 ZINC000125039792 1125443619 /nfs/dbraw/zinc/44/36/19/1125443619.db2.gz NXRJLKHGOCHZJM-UHFFFAOYSA-N 1 2 264.369 3.531 20 0 CHADLO C[C@@H]1CCC[N@@H+]1Cc1c(Br)ccc(F)c1F ZINC001143329561 1131500992 /nfs/dbraw/zinc/50/09/92/1131500992.db2.gz RSJUVXVQRBFSIY-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@@H]1CCC[N@H+]1Cc1c(Br)ccc(F)c1F ZINC001143329561 1131500997 /nfs/dbraw/zinc/50/09/97/1131500997.db2.gz RSJUVXVQRBFSIY-MRVPVSSYSA-N 1 2 290.151 3.712 20 0 CHADLO CCOc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)cc1Cl ZINC001139595733 1131619192 /nfs/dbraw/zinc/61/91/92/1131619192.db2.gz NLOGCZVCVPMTEP-SGMGOOAPSA-N 1 2 283.774 3.529 20 0 CHADLO CCOc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@H](F)C2)cc1Cl ZINC001139595733 1131619195 /nfs/dbraw/zinc/61/91/95/1131619195.db2.gz NLOGCZVCVPMTEP-SGMGOOAPSA-N 1 2 283.774 3.529 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCC[C@@H](F)C1 ZINC001139886023 1131646495 /nfs/dbraw/zinc/64/64/95/1131646495.db2.gz XJDBEXLGZOBFOF-CYBMUJFWSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCC[C@@H](F)C1 ZINC001139886023 1131646496 /nfs/dbraw/zinc/64/64/96/1131646496.db2.gz XJDBEXLGZOBFOF-CYBMUJFWSA-N 1 2 275.289 3.948 20 0 CHADLO Brc1ccc([C@@H]2C[C@@H]2Nc2cccc[nH+]2)s1 ZINC000348109585 1131888740 /nfs/dbraw/zinc/88/87/40/1131888740.db2.gz WVRPSUKSSYXISK-BDAKNGLRSA-N 1 2 295.205 3.874 20 0 CHADLO Cc1cc(Br)ccc1C[N@H+]1C[C@@H](F)C[C@H]1C ZINC001140815112 1131934327 /nfs/dbraw/zinc/93/43/27/1131934327.db2.gz DVZIYLVSYKLUKT-MFKMUULPSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1cc(Br)ccc1C[N@@H+]1C[C@@H](F)C[C@H]1C ZINC001140815112 1131934337 /nfs/dbraw/zinc/93/43/37/1131934337.db2.gz DVZIYLVSYKLUKT-MFKMUULPSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2ccns2)c(C)s1 ZINC000716033331 1132001620 /nfs/dbraw/zinc/00/16/20/1132001620.db2.gz KMPFQILYYADYMQ-YUMQZZPRSA-N 1 2 267.423 3.628 20 0 CHADLO Clc1cnc(C[NH+]2C3CCCC2CCC3)c(Cl)n1 ZINC001141136873 1132026873 /nfs/dbraw/zinc/02/68/73/1132026873.db2.gz CMPGVJGGRVATPS-UHFFFAOYSA-N 1 2 286.206 3.690 20 0 CHADLO C[C@H]1CC[N@H+](Cc2nc(C(F)(F)F)cs2)[C@@H](C)C1 ZINC001141763939 1132248317 /nfs/dbraw/zinc/24/83/17/1132248317.db2.gz HENACBYCDIQFOP-IUCAKERBSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2nc(C(F)(F)F)cs2)[C@@H](C)C1 ZINC001141763939 1132248323 /nfs/dbraw/zinc/24/83/23/1132248323.db2.gz HENACBYCDIQFOP-IUCAKERBSA-N 1 2 278.343 3.782 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@@H+]1Cc1cc(F)cc(OC(F)(F)F)c1 ZINC001143256337 1132525549 /nfs/dbraw/zinc/52/55/49/1132525549.db2.gz ICQIRLMQAZHXQT-LDYMZIIASA-N 1 2 295.251 3.657 20 0 CHADLO C[C@@H]1C[C@@H](F)C[N@H+]1Cc1cc(F)cc(OC(F)(F)F)c1 ZINC001143256337 1132525554 /nfs/dbraw/zinc/52/55/54/1132525554.db2.gz ICQIRLMQAZHXQT-LDYMZIIASA-N 1 2 295.251 3.657 20 0 CHADLO CCCO[C@@H]1CC[N@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875914 1132532247 /nfs/dbraw/zinc/53/22/47/1132532247.db2.gz HMQCJGQAFAFBQP-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@@H+](Cc2ccc(F)c(F)c2Cl)C1 ZINC001232875914 1132532254 /nfs/dbraw/zinc/53/22/54/1132532254.db2.gz HMQCJGQAFAFBQP-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO F[C@@H]1C[N@H+](Cc2ccc(C3CC3)cc2)CCC1(F)F ZINC001232984657 1132556453 /nfs/dbraw/zinc/55/64/53/1132556453.db2.gz PNRDOEBXONUOEX-CQSZACIVSA-N 1 2 269.310 3.743 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2ccc(C3CC3)cc2)CCC1(F)F ZINC001232984657 1132556458 /nfs/dbraw/zinc/55/64/58/1132556458.db2.gz PNRDOEBXONUOEX-CQSZACIVSA-N 1 2 269.310 3.743 20 0 CHADLO C[C@@H]1CCN(c2cccc(C3CC3)[nH+]2)CCC1(F)F ZINC001164118751 1133198880 /nfs/dbraw/zinc/19/88/80/1133198880.db2.gz YITNZJCQBDPOCU-LLVKDONJSA-N 1 2 266.335 3.831 20 0 CHADLO Clc1ccc(C[NH+]2CC3(CCC3)C2)c(Cl)c1 ZINC001203389198 1133320745 /nfs/dbraw/zinc/32/07/45/1133320745.db2.gz YIHKYTIPYJJWPV-UHFFFAOYSA-N 1 2 256.176 3.979 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2cccc(F)c2F)C1 ZINC001204193104 1133418300 /nfs/dbraw/zinc/41/83/00/1133418300.db2.gz FMTCPTHRTKWNEL-AWEZNQCLSA-N 1 2 257.299 3.679 20 0 CHADLO Cc1c[nH+]cc(Nc2ncc(Br)cc2F)c1C ZINC001213524696 1134459803 /nfs/dbraw/zinc/45/98/03/1134459803.db2.gz DPSFMEULVIGGND-UHFFFAOYSA-N 1 2 296.143 3.739 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3c(Cl)cccc3C2)nc1 ZINC000133411087 1125510463 /nfs/dbraw/zinc/51/04/63/1125510463.db2.gz QNSRXAWLVQQSQW-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3c(Cl)cccc3C2)nc1 ZINC000133411087 1125510471 /nfs/dbraw/zinc/51/04/71/1125510471.db2.gz QNSRXAWLVQQSQW-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H](C)c2cc(O)cc(F)c2)n1 ZINC000925282294 1125511064 /nfs/dbraw/zinc/51/10/64/1125511064.db2.gz HYGXOQWPBGDHND-JTQLQIEISA-N 1 2 294.395 3.962 20 0 CHADLO CCCc1noc(C[N@H+](CC)[C@H](C)c2ccc(F)cc2)n1 ZINC000054341203 1125529030 /nfs/dbraw/zinc/52/90/30/1125529030.db2.gz KOWVCFBWVXLRSW-GFCCVEGCSA-N 1 2 291.370 3.744 20 0 CHADLO CCCc1noc(C[N@@H+](CC)[C@H](C)c2ccc(F)cc2)n1 ZINC000054341203 1125529036 /nfs/dbraw/zinc/52/90/36/1125529036.db2.gz KOWVCFBWVXLRSW-GFCCVEGCSA-N 1 2 291.370 3.744 20 0 CHADLO CSCCCCC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000120376259 1125545932 /nfs/dbraw/zinc/54/59/32/1125545932.db2.gz IQZNUGUSOCOBPA-UHFFFAOYSA-N 1 2 289.404 3.549 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@@H](C)c2cncc(F)c2)on1 ZINC000121211065 1125557076 /nfs/dbraw/zinc/55/70/76/1125557076.db2.gz HOWJNAQQHLYVIA-NSHDSACASA-N 1 2 291.370 3.963 20 0 CHADLO C[C@H](F)CC[N@@H+]1C[C@@H](c2ccccc2Cl)OC[C@H]1C ZINC000509674072 1125572435 /nfs/dbraw/zinc/57/24/35/1125572435.db2.gz SUUXUZNTYXRXEV-ZOWXZIJZSA-N 1 2 285.790 3.850 20 0 CHADLO C[C@H](F)CC[N@H+]1C[C@@H](c2ccccc2Cl)OC[C@H]1C ZINC000509674072 1125572439 /nfs/dbraw/zinc/57/24/39/1125572439.db2.gz SUUXUZNTYXRXEV-ZOWXZIJZSA-N 1 2 285.790 3.850 20 0 CHADLO Cc1ccoc1C[N@@H+](C)[C@H](C)c1nnc(-c2ccccc2)o1 ZINC000429412042 1125579002 /nfs/dbraw/zinc/57/90/02/1125579002.db2.gz XREXTFRYNKUSBP-CYBMUJFWSA-N 1 2 297.358 3.831 20 0 CHADLO Cc1ccoc1C[N@H+](C)[C@H](C)c1nnc(-c2ccccc2)o1 ZINC000429412042 1125579006 /nfs/dbraw/zinc/57/90/06/1125579006.db2.gz XREXTFRYNKUSBP-CYBMUJFWSA-N 1 2 297.358 3.831 20 0 CHADLO Fc1c[nH+]ccc1N1Cc2ccccc2OC2(CCC2)C1 ZINC000884861799 1125592764 /nfs/dbraw/zinc/59/27/64/1125592764.db2.gz GYEKGZGAFJEZHJ-UHFFFAOYSA-N 1 2 284.334 3.542 20 0 CHADLO COc1cc(Nc2ccc(C)cc2N)[nH+]cc1C(F)(F)F ZINC001214184385 1125621859 /nfs/dbraw/zinc/62/18/59/1125621859.db2.gz BLRVMWFGKZZPPU-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO Cc1nc(CC(C)C)sc1C(=O)Nc1cc[nH+]c(C)c1 ZINC000066619754 1125624333 /nfs/dbraw/zinc/62/43/33/1125624333.db2.gz UADREMNGSNGMSC-UHFFFAOYSA-N 1 2 289.404 3.606 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)CC(=O)Nc1ccc(Cl)cc1 ZINC000069069281 1125629336 /nfs/dbraw/zinc/62/93/36/1125629336.db2.gz YMUILJPUXWHLKV-NSHDSACASA-N 1 2 292.766 3.565 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)CC(=O)Nc1ccc(Cl)cc1 ZINC000069069281 1125629340 /nfs/dbraw/zinc/62/93/40/1125629340.db2.gz YMUILJPUXWHLKV-NSHDSACASA-N 1 2 292.766 3.565 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)CC(=O)c1c[nH]c2ccccc21 ZINC000069068101 1125629524 /nfs/dbraw/zinc/62/95/24/1125629524.db2.gz CXOBNKVFCPDHDA-GFCCVEGCSA-N 1 2 282.343 3.637 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)CC(=O)c1c[nH]c2ccccc21 ZINC000069068101 1125629529 /nfs/dbraw/zinc/62/95/29/1125629529.db2.gz CXOBNKVFCPDHDA-GFCCVEGCSA-N 1 2 282.343 3.637 20 0 CHADLO Cc1[nH]nc(CNc2ccc([NH+]3CCC(C)CC3)cc2)c1C ZINC000886027031 1125639715 /nfs/dbraw/zinc/63/97/15/1125639715.db2.gz BDNSRHJUDMBLIW-UHFFFAOYSA-N 1 2 298.434 3.875 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCc3ccccc3C)cc2[nH+]1 ZINC000074819707 1125643023 /nfs/dbraw/zinc/64/30/23/1125643023.db2.gz JSNKUCHMUKNBLT-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO Cc1cc(C[N@H+]2CC[C@@H](C)C[C@H]2c2ccco2)no1 ZINC000247236773 1125654552 /nfs/dbraw/zinc/65/45/52/1125654552.db2.gz DJKXFNOSYNHAFY-RISCZKNCSA-N 1 2 260.337 3.549 20 0 CHADLO Cc1cc(C[N@@H+]2CC[C@@H](C)C[C@H]2c2ccco2)no1 ZINC000247236773 1125654557 /nfs/dbraw/zinc/65/45/57/1125654557.db2.gz DJKXFNOSYNHAFY-RISCZKNCSA-N 1 2 260.337 3.549 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247107735 1125654868 /nfs/dbraw/zinc/65/48/68/1125654868.db2.gz ZYVCDIBOZXXFGF-MLGOLLRUSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@H](c1cccc(F)c1)[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247107735 1125654871 /nfs/dbraw/zinc/65/48/71/1125654871.db2.gz ZYVCDIBOZXXFGF-MLGOLLRUSA-N 1 2 275.323 3.553 20 0 CHADLO Cc1cn2c(cccc2Nc2cc3ccccc3n2C)[nH+]1 ZINC001212040849 1125659366 /nfs/dbraw/zinc/65/93/66/1125659366.db2.gz OQZCPLURPSOXQZ-UHFFFAOYSA-N 1 2 276.343 3.878 20 0 CHADLO CCSc1cccc(C[NH2+][C@H](C)c2ncco2)c1 ZINC000886272080 1125664027 /nfs/dbraw/zinc/66/40/27/1125664027.db2.gz GKOAHOUOMONOEA-LLVKDONJSA-N 1 2 262.378 3.637 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC[C@@H](C)C[C@@H]1c1ccc(C)o1 ZINC000076120469 1125669267 /nfs/dbraw/zinc/66/92/67/1125669267.db2.gz MIADQPBLMYMGOC-UKRRQHHQSA-N 1 2 287.407 3.778 20 0 CHADLO CCn1ccnc1C[N@H+]1CC[C@@H](C)C[C@@H]1c1ccc(C)o1 ZINC000076120469 1125669271 /nfs/dbraw/zinc/66/92/71/1125669271.db2.gz MIADQPBLMYMGOC-UKRRQHHQSA-N 1 2 287.407 3.778 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(OC(F)F)c1 ZINC001212061027 1125679321 /nfs/dbraw/zinc/67/93/21/1125679321.db2.gz PRSICDQOEKIOKG-UHFFFAOYSA-N 1 2 289.285 3.518 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCc2cc(Cl)ccc21)c1ncco1 ZINC000886538261 1125685632 /nfs/dbraw/zinc/68/56/32/1125685632.db2.gz FIVADBCRJARZHQ-RNCFNFMXSA-N 1 2 262.740 3.666 20 0 CHADLO CCC(CC)c1cc(C[NH2+][C@@H]2CCc3cccnc32)on1 ZINC000886532145 1125685792 /nfs/dbraw/zinc/68/57/92/1125685792.db2.gz DSQVYENJBQNKBS-OAHLLOKOSA-N 1 2 285.391 3.750 20 0 CHADLO CCn1ccnc1C[N@H+](Cc1ccc(C)c(C)c1)C1CC1 ZINC000077361168 1125693440 /nfs/dbraw/zinc/69/34/40/1125693440.db2.gz AAQSIVUWEREVLC-UHFFFAOYSA-N 1 2 283.419 3.684 20 0 CHADLO CCn1ccnc1C[N@@H+](Cc1ccc(C)c(C)c1)C1CC1 ZINC000077361168 1125693443 /nfs/dbraw/zinc/69/34/43/1125693443.db2.gz AAQSIVUWEREVLC-UHFFFAOYSA-N 1 2 283.419 3.684 20 0 CHADLO F[C@@H]1CCc2ccccc2[C@@H]1[NH2+][C@H]1CCc2cccnc21 ZINC000886651668 1125703909 /nfs/dbraw/zinc/70/39/09/1125703909.db2.gz NVXPLTTTWYAJQA-RYRKJORJSA-N 1 2 282.362 3.684 20 0 CHADLO CC(=O)Oc1ccc(Nc2[nH+]cccc2C(C)C)cc1 ZINC001212075652 1125715936 /nfs/dbraw/zinc/71/59/36/1125715936.db2.gz QGFOBSQLZHGBBZ-UHFFFAOYSA-N 1 2 270.332 3.874 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(OC(C)=O)cc2)cc1 ZINC001212087828 1125727793 /nfs/dbraw/zinc/72/77/93/1125727793.db2.gz UEUFSUSIZVCEAR-UHFFFAOYSA-N 1 2 284.359 3.812 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(OC(C)=O)cc2)cc1 ZINC001212087828 1125727801 /nfs/dbraw/zinc/72/78/01/1125727801.db2.gz UEUFSUSIZVCEAR-UHFFFAOYSA-N 1 2 284.359 3.812 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnccc2Cl)cc1 ZINC001137235183 1125729517 /nfs/dbraw/zinc/72/95/17/1125729517.db2.gz FQBDUGXCBQDXNL-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnccc2Cl)cc1 ZINC001137235183 1125729521 /nfs/dbraw/zinc/72/95/21/1125729521.db2.gz FQBDUGXCBQDXNL-UHFFFAOYSA-N 1 2 260.768 3.675 20 0 CHADLO CSc1ccc(Cl)cc1C[N@@H+]1CCC[C@H]1C(C)=O ZINC001238680077 1131231561 /nfs/dbraw/zinc/23/15/61/1131231561.db2.gz HYWZQQMPJGRDQL-ZDUSSCGKSA-N 1 2 283.824 3.615 20 0 CHADLO CSc1ccc(Cl)cc1C[N@H+]1CCC[C@H]1C(C)=O ZINC001238680077 1131231565 /nfs/dbraw/zinc/23/15/65/1131231565.db2.gz HYWZQQMPJGRDQL-ZDUSSCGKSA-N 1 2 283.824 3.615 20 0 CHADLO Cc1ccc(NC(=O)Nc2ccc3[nH+]ccn3c2)c(C)c1 ZINC001202738549 1125780179 /nfs/dbraw/zinc/78/01/79/1125780179.db2.gz AQIYHQAMBBBFEI-UHFFFAOYSA-N 1 2 280.331 3.595 20 0 CHADLO Fc1cc(F)c2c(c1)C[N@@H+](C[C@H]1CC1(Cl)Cl)CC2 ZINC000766193926 1129282101 /nfs/dbraw/zinc/28/21/01/1129282101.db2.gz VWCBNWPMGIBAEU-SECBINFHSA-N 1 2 292.156 3.517 20 0 CHADLO Fc1cc(F)c2c(c1)C[N@H+](C[C@H]1CC1(Cl)Cl)CC2 ZINC000766193926 1129282104 /nfs/dbraw/zinc/28/21/04/1129282104.db2.gz VWCBNWPMGIBAEU-SECBINFHSA-N 1 2 292.156 3.517 20 0 CHADLO CCc1nc(C[NH2+][C@H](c2ccccn2)C(C)C)cs1 ZINC000736648406 1129378374 /nfs/dbraw/zinc/37/83/74/1129378374.db2.gz YXJNGBVDMMGGDR-HNNXBMFYSA-N 1 2 275.421 3.587 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(CO)c(Cl)c1 ZINC001212891157 1129373681 /nfs/dbraw/zinc/37/36/81/1129373681.db2.gz SHLBYEUJCPUCMX-UHFFFAOYSA-N 1 2 276.767 3.842 20 0 CHADLO CC(C)(C)OC(=O)CCNc1ccc(C(C)(C)C)c[nH+]1 ZINC001155682355 1129584287 /nfs/dbraw/zinc/58/42/87/1129584287.db2.gz JTGFLKVXWCSZPK-UHFFFAOYSA-N 1 2 278.396 3.523 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(Cl)nc(Cl)c2)n1 ZINC000746465458 1129668582 /nfs/dbraw/zinc/66/85/82/1129668582.db2.gz VDMWYMSFQVFDJQ-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(Cl)nc(Cl)c2)n1 ZINC000746465458 1129668584 /nfs/dbraw/zinc/66/85/84/1129668584.db2.gz VDMWYMSFQVFDJQ-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Fc1ccccc1[C@H]([NH2+]Cc1c[nH]cn1)C1CCCC1 ZINC000088765540 1129209521 /nfs/dbraw/zinc/20/95/21/1129209521.db2.gz UMIZCAKGSKOIPN-MRXNPFEDSA-N 1 2 273.355 3.570 20 0 CHADLO c1csc(C[N@@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000134985981 1126706495 /nfs/dbraw/zinc/70/64/95/1126706495.db2.gz RPPSVDACLRBBFY-NSHDSACASA-N 1 2 250.392 3.542 20 0 CHADLO c1csc(C[N@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000134985981 1126706497 /nfs/dbraw/zinc/70/64/97/1126706497.db2.gz RPPSVDACLRBBFY-NSHDSACASA-N 1 2 250.392 3.542 20 0 CHADLO Cc1c(F)nccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212842858 1126716830 /nfs/dbraw/zinc/71/68/30/1126716830.db2.gz QAFNAPIIUKWDQJ-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO CCc1nc2c(s1)[C@@H]([N@H+](C)Cc1nccs1)CCC2 ZINC000135212952 1126714107 /nfs/dbraw/zinc/71/41/07/1126714107.db2.gz WXWONHPUNYSPDF-NSHDSACASA-N 1 2 293.461 3.671 20 0 CHADLO CCc1nc2c(s1)[C@@H]([N@@H+](C)Cc1nccs1)CCC2 ZINC000135212952 1126714110 /nfs/dbraw/zinc/71/41/10/1126714110.db2.gz WXWONHPUNYSPDF-NSHDSACASA-N 1 2 293.461 3.671 20 0 CHADLO Cn1ccnc1C[N@H+](C)Cc1cccc(C2CCCC2)c1 ZINC000667947946 1126714761 /nfs/dbraw/zinc/71/47/61/1126714761.db2.gz IEDHRJYXYONAQB-UHFFFAOYSA-N 1 2 283.419 3.710 20 0 CHADLO Cn1ccnc1C[N@@H+](C)Cc1cccc(C2CCCC2)c1 ZINC000667947946 1126714765 /nfs/dbraw/zinc/71/47/65/1126714765.db2.gz IEDHRJYXYONAQB-UHFFFAOYSA-N 1 2 283.419 3.710 20 0 CHADLO CC(C)(C)C(C)(C)CNC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000348468678 1126721306 /nfs/dbraw/zinc/72/13/06/1126721306.db2.gz OOAMWRFGFSEGBN-UHFFFAOYSA-N 1 2 299.418 3.674 20 0 CHADLO Cc1[nH+]ccn1CCC[N@@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000136301989 1126725067 /nfs/dbraw/zinc/72/50/67/1126725067.db2.gz JWIUIKVOAMCQRU-LBPRGKRZSA-N 1 2 293.361 3.553 20 0 CHADLO Cc1[nH+]ccn1CCC[N@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000136301989 1126725072 /nfs/dbraw/zinc/72/50/72/1126725072.db2.gz JWIUIKVOAMCQRU-LBPRGKRZSA-N 1 2 293.361 3.553 20 0 CHADLO Cc1nccn1CCC[N@H+](C)[C@@H](C)c1c(F)cccc1F ZINC000136301989 1126725079 /nfs/dbraw/zinc/72/50/79/1126725079.db2.gz JWIUIKVOAMCQRU-LBPRGKRZSA-N 1 2 293.361 3.553 20 0 CHADLO CC(C)SCC[N@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC000338320768 1126733326 /nfs/dbraw/zinc/73/33/26/1126733326.db2.gz ZQBKDBZYDFWGFS-UHFFFAOYSA-N 1 2 289.448 3.554 20 0 CHADLO CC(C)SCC[N@@H+](Cc1cc[nH]n1)Cc1ccccc1 ZINC000338320768 1126733331 /nfs/dbraw/zinc/73/33/31/1126733331.db2.gz ZQBKDBZYDFWGFS-UHFFFAOYSA-N 1 2 289.448 3.554 20 0 CHADLO COc1ccccc1CNc1c[nH+]ccc1OC(C)(C)C ZINC000338335248 1126734946 /nfs/dbraw/zinc/73/49/46/1126734946.db2.gz YNWFAJATRBUMHX-UHFFFAOYSA-N 1 2 286.375 3.880 20 0 CHADLO CCc1ccccc1C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000157990085 1126743202 /nfs/dbraw/zinc/74/32/02/1126743202.db2.gz DUOZVNVOVZDYFQ-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO CC[C@](C)([NH2+]Cc1cccnc1Cl)c1nccs1 ZINC000152611228 1126757594 /nfs/dbraw/zinc/75/75/94/1126757594.db2.gz HLPIPYAJBFRJIK-ZDUSSCGKSA-N 1 2 281.812 3.607 20 0 CHADLO CC(C)=CCC[C@@H](C)[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000482520123 1126762542 /nfs/dbraw/zinc/76/25/42/1126762542.db2.gz QMZOTOXZSCYZIG-GDBMZVCRSA-N 1 2 284.407 3.619 20 0 CHADLO CC(C)CC[C@H](NC(=O)[C@@H](C)Cc1c[nH]c[nH+]1)C(C)(C)C ZINC000568199031 1126772189 /nfs/dbraw/zinc/77/21/89/1126772189.db2.gz OFFIWULMXUSXGZ-ZFWWWQNUSA-N 1 2 293.455 3.555 20 0 CHADLO CC(C)CC[C@H](NC(=O)[C@@H](C)Cc1c[nH+]c[nH]1)C(C)(C)C ZINC000568199031 1126772192 /nfs/dbraw/zinc/77/21/92/1126772192.db2.gz OFFIWULMXUSXGZ-ZFWWWQNUSA-N 1 2 293.455 3.555 20 0 CHADLO CC[N@H+](Cc1csc([C@@H](C)OC)n1)Cc1ccccc1 ZINC000175030100 1126775665 /nfs/dbraw/zinc/77/56/65/1126775665.db2.gz NHFZWEOUXGYDNV-CYBMUJFWSA-N 1 2 290.432 3.873 20 0 CHADLO CC[N@@H+](Cc1csc([C@@H](C)OC)n1)Cc1ccccc1 ZINC000175030100 1126775669 /nfs/dbraw/zinc/77/56/69/1126775669.db2.gz NHFZWEOUXGYDNV-CYBMUJFWSA-N 1 2 290.432 3.873 20 0 CHADLO Cc1ccccc1Cc1noc(C[N@@H+]2CCC(C)=C(C)C2)n1 ZINC000483382751 1126784120 /nfs/dbraw/zinc/78/41/20/1126784120.db2.gz XLRVUMAWMBITAH-UHFFFAOYSA-N 1 2 297.402 3.511 20 0 CHADLO Cc1ccccc1Cc1noc(C[N@H+]2CCC(C)=C(C)C2)n1 ZINC000483382751 1126784122 /nfs/dbraw/zinc/78/41/22/1126784122.db2.gz XLRVUMAWMBITAH-UHFFFAOYSA-N 1 2 297.402 3.511 20 0 CHADLO Cc1ccccc1-c1nc(C[N@@H+]2CCC(C)=C(C)C2)no1 ZINC000483389584 1126785014 /nfs/dbraw/zinc/78/50/14/1126785014.db2.gz XJCDMRDHKCWXOG-UHFFFAOYSA-N 1 2 283.375 3.587 20 0 CHADLO Cc1ccccc1-c1nc(C[N@H+]2CCC(C)=C(C)C2)no1 ZINC000483389584 1126785016 /nfs/dbraw/zinc/78/50/16/1126785016.db2.gz XJCDMRDHKCWXOG-UHFFFAOYSA-N 1 2 283.375 3.587 20 0 CHADLO Cc1cc(NC2CC(C)(C)OC(C)(C)C2)c[nH+]c1C ZINC001170361049 1130484170 /nfs/dbraw/zinc/48/41/70/1130484170.db2.gz CDXCHNLQAKJTPT-UHFFFAOYSA-N 1 2 262.397 3.847 20 0 CHADLO CCS[C@H](C)c1noc([C@@H]2CC[N@@H+]2C2CCCC2)n1 ZINC000923951734 1126792704 /nfs/dbraw/zinc/79/27/04/1126792704.db2.gz AQKLIAWMJRQNEK-PWSUYJOCSA-N 1 2 281.425 3.573 20 0 CHADLO CCS[C@H](C)c1noc([C@@H]2CC[N@H+]2C2CCCC2)n1 ZINC000923951734 1126792705 /nfs/dbraw/zinc/79/27/05/1126792705.db2.gz AQKLIAWMJRQNEK-PWSUYJOCSA-N 1 2 281.425 3.573 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1cccc2ccccc21 ZINC000177298558 1126799514 /nfs/dbraw/zinc/79/95/14/1126799514.db2.gz PXRVJSOLDUCORR-GFCCVEGCSA-N 1 2 293.370 3.951 20 0 CHADLO CC(C)C[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1ccccc1 ZINC000177298696 1126799849 /nfs/dbraw/zinc/79/98/49/1126799849.db2.gz HTAUNCQXVUKKKH-OAHLLOKOSA-N 1 2 285.391 3.824 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ccn(C3CCCC3)n1)C2 ZINC000484011177 1126807506 /nfs/dbraw/zinc/80/75/06/1126807506.db2.gz IQBIXQXDJAMYNB-UHFFFAOYSA-N 1 2 295.430 3.865 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ccn(C3CCCC3)n1)C2 ZINC000484011177 1126807512 /nfs/dbraw/zinc/80/75/12/1126807512.db2.gz IQBIXQXDJAMYNB-UHFFFAOYSA-N 1 2 295.430 3.865 20 0 CHADLO COc1ccc(F)cc1[C@@H](C)[NH2+]Cc1nc(C)c(C)s1 ZINC000178182529 1126810007 /nfs/dbraw/zinc/81/00/07/1126810007.db2.gz JBNABUGNDAGXRX-SNVBAGLBSA-N 1 2 294.395 3.758 20 0 CHADLO CC[N@H+](Cc1nccn1C(C)C)[C@@H](C)c1cccc(O)c1 ZINC000178710716 1126818923 /nfs/dbraw/zinc/81/89/23/1126818923.db2.gz OBENSBWMMRSSDI-AWEZNQCLSA-N 1 2 287.407 3.753 20 0 CHADLO CC[N@@H+](Cc1nccn1C(C)C)[C@@H](C)c1cccc(O)c1 ZINC000178710716 1126818925 /nfs/dbraw/zinc/81/89/25/1126818925.db2.gz OBENSBWMMRSSDI-AWEZNQCLSA-N 1 2 287.407 3.753 20 0 CHADLO CC[N@H+](Cc1nccs1)[C@@H](C)c1cccc(OC)c1 ZINC000179201142 1126824982 /nfs/dbraw/zinc/82/49/82/1126824982.db2.gz SDJUOVSXFSQFHK-LBPRGKRZSA-N 1 2 276.405 3.735 20 0 CHADLO CC[N@@H+](Cc1nccs1)[C@@H](C)c1cccc(OC)c1 ZINC000179201142 1126824986 /nfs/dbraw/zinc/82/49/86/1126824986.db2.gz SDJUOVSXFSQFHK-LBPRGKRZSA-N 1 2 276.405 3.735 20 0 CHADLO Cc1nc(C[NH2+][C@H](C)c2cccc(OC(C)C)c2)oc1C ZINC000179679348 1126831614 /nfs/dbraw/zinc/83/16/14/1126831614.db2.gz FSWXSFDSPHYASL-CYBMUJFWSA-N 1 2 288.391 3.929 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+]Cc1nc(C)c(C)o1 ZINC000179750270 1126833599 /nfs/dbraw/zinc/83/35/99/1126833599.db2.gz OKQGVDIUDVASOY-HNNXBMFYSA-N 1 2 288.391 3.931 20 0 CHADLO CCCc1nc(C[NH2+][C@@H](C)c2cccc(O)c2)cs1 ZINC000181486528 1126857039 /nfs/dbraw/zinc/85/70/39/1126857039.db2.gz HDWOJJOUAMHEOV-NSHDSACASA-N 1 2 276.405 3.652 20 0 CHADLO CC(C)n1ccnc1C[NH2+][C@@H](C)c1ccc(F)cc1F ZINC000181582542 1126857574 /nfs/dbraw/zinc/85/75/74/1126857574.db2.gz PJRPTAJKXLQCLK-NSHDSACASA-N 1 2 279.334 3.593 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1nccn1C ZINC000182188851 1126868228 /nfs/dbraw/zinc/86/82/28/1126868228.db2.gz SVJPOGTXWOKQGT-CYBMUJFWSA-N 1 2 298.217 3.968 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc(-c3ccsc3)no2)cc1 ZINC000182320993 1126870435 /nfs/dbraw/zinc/87/04/35/1126870435.db2.gz PBDBKLJFNROOLS-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1nccs1 ZINC000182954444 1126879587 /nfs/dbraw/zinc/87/95/87/1126879587.db2.gz ZXYRFOHPFVADCW-LLVKDONJSA-N 1 2 273.405 3.699 20 0 CHADLO C[C@@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1nccs1 ZINC000182954444 1126879593 /nfs/dbraw/zinc/87/95/93/1126879593.db2.gz ZXYRFOHPFVADCW-LLVKDONJSA-N 1 2 273.405 3.699 20 0 CHADLO CCCCCOc1ccc(C[N@H+](C)Cc2cnc[nH]2)cc1 ZINC000668423380 1126876479 /nfs/dbraw/zinc/87/64/79/1126876479.db2.gz WNJXODLIFCKWPT-UHFFFAOYSA-N 1 2 287.407 3.611 20 0 CHADLO CCCCCOc1ccc(C[N@@H+](C)Cc2cnc[nH]2)cc1 ZINC000668423380 1126876482 /nfs/dbraw/zinc/87/64/82/1126876482.db2.gz WNJXODLIFCKWPT-UHFFFAOYSA-N 1 2 287.407 3.611 20 0 CHADLO CCCCCOc1ccc(C[N@H+](C)Cc2c[nH]cn2)cc1 ZINC000668423380 1126876485 /nfs/dbraw/zinc/87/64/85/1126876485.db2.gz WNJXODLIFCKWPT-UHFFFAOYSA-N 1 2 287.407 3.611 20 0 CHADLO CCCCCOc1ccc(C[N@@H+](C)Cc2c[nH]cn2)cc1 ZINC000668423380 1126876488 /nfs/dbraw/zinc/87/64/88/1126876488.db2.gz WNJXODLIFCKWPT-UHFFFAOYSA-N 1 2 287.407 3.611 20 0 CHADLO Cc1cccc([C@H](C)[NH2+]Cc2nc(CC(C)C)no2)c1C ZINC000183722750 1126890819 /nfs/dbraw/zinc/89/08/19/1126890819.db2.gz FIJUCZXTODOIRX-AWEZNQCLSA-N 1 2 287.407 3.736 20 0 CHADLO Cc1cncc([C@@H](C)[NH2+]Cc2csc(-c3ccco3)n2)c1 ZINC000184393828 1126895142 /nfs/dbraw/zinc/89/51/42/1126895142.db2.gz FXJGKLQWFUVDKM-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO COCCC1C[NH+](Cc2ccc(F)c(Cl)c2Cl)C1 ZINC000668471999 1126911213 /nfs/dbraw/zinc/91/12/13/1126911213.db2.gz SCVZGDNPISWTDF-UHFFFAOYSA-N 1 2 292.181 3.601 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cc(F)ccc1Cl ZINC000188996156 1126926936 /nfs/dbraw/zinc/92/69/36/1126926936.db2.gz RZZAMJMGJFZCEY-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cc(F)ccc1Cl ZINC000188996156 1126926940 /nfs/dbraw/zinc/92/69/40/1126926940.db2.gz RZZAMJMGJFZCEY-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ccc2cc(C[N@H+](C)Cc3cscn3)ccc2n1 ZINC000189805892 1126935204 /nfs/dbraw/zinc/93/52/04/1126935204.db2.gz VDPFHAPNEITISG-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1ccc2cc(C[N@@H+](C)Cc3cscn3)ccc2n1 ZINC000189805892 1126935206 /nfs/dbraw/zinc/93/52/06/1126935206.db2.gz VDPFHAPNEITISG-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@@H+]2Cc2cocn2)o1 ZINC000191949374 1126957047 /nfs/dbraw/zinc/95/70/47/1126957047.db2.gz VFBLPQSAUVLRPE-IUODEOHRSA-N 1 2 274.364 3.803 20 0 CHADLO CCc1ccc([C@H]2C[C@H](C)CC[N@H+]2Cc2cocn2)o1 ZINC000191949374 1126957049 /nfs/dbraw/zinc/95/70/49/1126957049.db2.gz VFBLPQSAUVLRPE-IUODEOHRSA-N 1 2 274.364 3.803 20 0 CHADLO Cc1cc(C)c(C(=O)NC2CC(c3ccccc3)C2)c(C)[nH+]1 ZINC000906553449 1126958223 /nfs/dbraw/zinc/95/82/23/1126958223.db2.gz CAWCJYIZUKCBNW-UHFFFAOYSA-N 1 2 294.398 3.683 20 0 CHADLO Cc1cc(=O)[nH]c([C@H](C)[NH2+][C@H](C)c2cc3ccccc3o2)n1 ZINC000192779858 1126963841 /nfs/dbraw/zinc/96/38/41/1126963841.db2.gz BKVHCNCOUIZNKD-NEPJUHHUSA-N 1 2 297.358 3.649 20 0 CHADLO Cc1cc(NCc2ccc3ncccc3c2)nc(C2CC2)[nH+]1 ZINC000192998015 1126965669 /nfs/dbraw/zinc/96/56/69/1126965669.db2.gz JENZTIGQNGUBKI-UHFFFAOYSA-N 1 2 290.370 3.823 20 0 CHADLO CCc1nc([C@H](C)[NH2+][C@@H](C)c2cccc(O)c2)cs1 ZINC000194889318 1126977415 /nfs/dbraw/zinc/97/74/15/1126977415.db2.gz BCYAJAVSGPETGS-QWRGUYRKSA-N 1 2 276.405 3.823 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc(F)cc2)C(C)C)o1 ZINC000266564183 1126985194 /nfs/dbraw/zinc/98/51/94/1126985194.db2.gz YWSKPLDZLINXOU-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc(F)cc2)C(C)C)o1 ZINC000266564183 1126985197 /nfs/dbraw/zinc/98/51/97/1126985197.db2.gz YWSKPLDZLINXOU-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1ccccc1[C@H](C)N(CC(C)C)C(=O)Cc1c[nH+]c[nH]1 ZINC000908116076 1126990977 /nfs/dbraw/zinc/99/09/77/1126990977.db2.gz WMUAOMBFYLEGCE-HNNXBMFYSA-N 1 2 299.418 3.506 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2cccs2)c(C)o1 ZINC000659846401 1126993769 /nfs/dbraw/zinc/99/37/69/1126993769.db2.gz UILVPBSUSVIBNW-VIFPVBQESA-N 1 2 250.367 3.546 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2cccs2)c(C)o1 ZINC000659846401 1126993770 /nfs/dbraw/zinc/99/37/70/1126993770.db2.gz UILVPBSUSVIBNW-VIFPVBQESA-N 1 2 250.367 3.546 20 0 CHADLO CCN(CC(C)(C)C)C(=O)c1c(C)cc(C)[nH+]c1C ZINC000908321936 1126998213 /nfs/dbraw/zinc/99/82/13/1126998213.db2.gz DZRIVXDSDJRJEC-UHFFFAOYSA-N 1 2 262.397 3.515 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+]Cc2ccc(F)cc2C)cs1 ZINC000267606897 1127003002 /nfs/dbraw/zinc/00/30/02/1127003002.db2.gz GKGAXVFWXTXVOC-LLVKDONJSA-N 1 2 294.395 3.588 20 0 CHADLO Cn1ccnc1C[NH2+][C@@H](c1ccc(F)cc1F)C(C)(C)C ZINC000268194387 1127013298 /nfs/dbraw/zinc/01/32/98/1127013298.db2.gz LGKGMZCTASNMOV-HNNXBMFYSA-N 1 2 293.361 3.575 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1ccn(C2CCCC2)n1 ZINC000268654176 1127020220 /nfs/dbraw/zinc/02/02/20/1127020220.db2.gz KJCJONAADVHHHA-GOSISDBHSA-N 1 2 298.434 3.674 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1ccn(C2CCCC2)n1 ZINC000268654176 1127020224 /nfs/dbraw/zinc/02/02/24/1127020224.db2.gz KJCJONAADVHHHA-GOSISDBHSA-N 1 2 298.434 3.674 20 0 CHADLO Cc1csc(C[N@@H+](C)[C@@H]2CCCc3nc(C)sc32)n1 ZINC000268699424 1127021255 /nfs/dbraw/zinc/02/12/55/1127021255.db2.gz UTVNAVMBGDESTB-GFCCVEGCSA-N 1 2 293.461 3.726 20 0 CHADLO Cc1csc(C[N@H+](C)[C@@H]2CCCc3nc(C)sc32)n1 ZINC000268699424 1127021258 /nfs/dbraw/zinc/02/12/58/1127021258.db2.gz UTVNAVMBGDESTB-GFCCVEGCSA-N 1 2 293.461 3.726 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](CC(F)(F)F)O[C@@H](C)C2)s1 ZINC000668768459 1127027426 /nfs/dbraw/zinc/02/74/26/1127027426.db2.gz PUEGZRFQZNROJT-GXSJLCMTSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](CC(F)(F)F)O[C@@H](C)C2)s1 ZINC000668768459 1127027429 /nfs/dbraw/zinc/02/74/29/1127027429.db2.gz PUEGZRFQZNROJT-GXSJLCMTSA-N 1 2 293.354 3.598 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cccnc2Cl)s1 ZINC000268924730 1127028981 /nfs/dbraw/zinc/02/89/81/1127028981.db2.gz KREXMFZAYAFLOH-VIFPVBQESA-N 1 2 281.812 3.605 20 0 CHADLO Fc1cccc([C@H]2CCC[N@@H+]2Cc2nccs2)c1 ZINC000269624129 1127043461 /nfs/dbraw/zinc/04/34/61/1127043461.db2.gz FQKQPGBXYMRJJY-CYBMUJFWSA-N 1 2 262.353 3.619 20 0 CHADLO Fc1cccc([C@H]2CCC[N@H+]2Cc2nccs2)c1 ZINC000269624129 1127043464 /nfs/dbraw/zinc/04/34/64/1127043464.db2.gz FQKQPGBXYMRJJY-CYBMUJFWSA-N 1 2 262.353 3.619 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCC[C@@H]2c2ccsc2)c1 ZINC000270280043 1127052497 /nfs/dbraw/zinc/05/24/97/1127052497.db2.gz MFGPQMVFHAEAPT-MRXNPFEDSA-N 1 2 288.416 3.797 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1nc2ccccc2[nH]1 ZINC000487992565 1127050558 /nfs/dbraw/zinc/05/05/58/1127050558.db2.gz YRNMLSPTMCSRKV-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1nc2ccccc2[nH]1 ZINC000487992565 1127050563 /nfs/dbraw/zinc/05/05/63/1127050563.db2.gz YRNMLSPTMCSRKV-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccc(F)cc2C)o1 ZINC000488009567 1127052059 /nfs/dbraw/zinc/05/20/59/1127052059.db2.gz NQSCGDOAEBAOPM-GFCCVEGCSA-N 1 2 262.328 3.624 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccc(F)cc2C)o1 ZINC000488009567 1127052064 /nfs/dbraw/zinc/05/20/64/1127052064.db2.gz NQSCGDOAEBAOPM-GFCCVEGCSA-N 1 2 262.328 3.624 20 0 CHADLO CC1(C)C[N@H+](Cc2ncc(C3CC3)o2)Cc2ccccc21 ZINC000270546083 1127057748 /nfs/dbraw/zinc/05/77/48/1127057748.db2.gz SLCDRYNEMRFFJA-UHFFFAOYSA-N 1 2 282.387 3.845 20 0 CHADLO CC1(C)C[N@@H+](Cc2ncc(C3CC3)o2)Cc2ccccc21 ZINC000270546083 1127057751 /nfs/dbraw/zinc/05/77/51/1127057751.db2.gz SLCDRYNEMRFFJA-UHFFFAOYSA-N 1 2 282.387 3.845 20 0 CHADLO c1ccc(N2Cc3cccc(NCC4CCC4)c3C2)[nH+]c1 ZINC000271080242 1127068463 /nfs/dbraw/zinc/06/84/63/1127068463.db2.gz QNPGQZLLPMVCSF-UHFFFAOYSA-N 1 2 279.387 3.814 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)Cc1cnc([C@H]2CCCO2)s1 ZINC000271046966 1127065445 /nfs/dbraw/zinc/06/54/45/1127065445.db2.gz MOFNBWDRQYNMNH-BXUZGUMPSA-N 1 2 292.404 3.781 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)Cc1cnc([C@H]2CCCO2)s1 ZINC000271046966 1127065449 /nfs/dbraw/zinc/06/54/49/1127065449.db2.gz MOFNBWDRQYNMNH-BXUZGUMPSA-N 1 2 292.404 3.781 20 0 CHADLO CCOc1ccc(C[N@H+](Cc2cn[nH]c2C)C(C)C)cc1 ZINC000271080779 1127068689 /nfs/dbraw/zinc/06/86/89/1127068689.db2.gz VTDZEHFXGZZFIS-UHFFFAOYSA-N 1 2 287.407 3.527 20 0 CHADLO CCOc1ccc(C[N@@H+](Cc2cn[nH]c2C)C(C)C)cc1 ZINC000271080779 1127068691 /nfs/dbraw/zinc/06/86/91/1127068691.db2.gz VTDZEHFXGZZFIS-UHFFFAOYSA-N 1 2 287.407 3.527 20 0 CHADLO CC[C@@H](c1ccccc1)[N@H+](CC)Cc1cn[nH]c1C ZINC000271123547 1127069825 /nfs/dbraw/zinc/06/98/25/1127069825.db2.gz ZBXYYZIEJGOFQL-INIZCTEOSA-N 1 2 257.381 3.691 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2oc3ccccc3c2C)[nH]1 ZINC000271419514 1127079953 /nfs/dbraw/zinc/07/99/53/1127079953.db2.gz BSVZMBPHSLQPIX-NWDGAFQWSA-N 1 2 298.390 3.834 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2cn[nH]c2C)c2ccccc12 ZINC000271479981 1127084922 /nfs/dbraw/zinc/08/49/22/1127084922.db2.gz KCNAXLJAGXUMNH-CYBMUJFWSA-N 1 2 295.386 3.731 20 0 CHADLO CCC(C)(C)N(C)C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000668899955 1127087766 /nfs/dbraw/zinc/08/77/66/1127087766.db2.gz LIYSQNGCTFARMS-HNNXBMFYSA-N 1 2 299.418 3.579 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@H+](C)Cc1cn(C)cn1 ZINC000659860052 1127086245 /nfs/dbraw/zinc/08/62/45/1127086245.db2.gz RTAFPTVTEIDERL-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1ccc(Cl)c(Cl)c1)[N@@H+](C)Cc1cn(C)cn1 ZINC000659860052 1127086247 /nfs/dbraw/zinc/08/62/47/1127086247.db2.gz RTAFPTVTEIDERL-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO CCc1cccc2c1OCC[C@@H]2[NH2+][C@H](C)c1nccs1 ZINC000271501051 1127086845 /nfs/dbraw/zinc/08/68/45/1127086845.db2.gz QOZLWAVQURRSIF-RISCZKNCSA-N 1 2 288.416 3.880 20 0 CHADLO CCn1cc([C@@H](C)[NH2+][C@H](C)c2csc(C(C)C)n2)cn1 ZINC000271569516 1127093053 /nfs/dbraw/zinc/09/30/53/1127093053.db2.gz ZAYQXAJEESRWHV-VXGBXAGGSA-N 1 2 292.452 3.895 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nnc(OCC)s1)c1ccccc1 ZINC000271662992 1127096166 /nfs/dbraw/zinc/09/61/66/1127096166.db2.gz LJKGETLPSWAURV-CYBMUJFWSA-N 1 2 291.420 3.568 20 0 CHADLO CCc1nc(C)c([C@H](C)[NH2+][C@H](C)c2nnc(C)s2)s1 ZINC000274148348 1127109778 /nfs/dbraw/zinc/10/97/78/1127109778.db2.gz DYMKZUHEKHSMRX-IONNQARKSA-N 1 2 296.465 3.586 20 0 CHADLO c1ccc(-c2nnc(C[N@@H+]3CC[C@H]3c3ccccc3)o2)cc1 ZINC000348540023 1127121745 /nfs/dbraw/zinc/12/17/45/1127121745.db2.gz RSEBHQZQVBIQBR-INIZCTEOSA-N 1 2 291.354 3.684 20 0 CHADLO c1ccc(-c2nnc(C[N@H+]3CC[C@H]3c3ccccc3)o2)cc1 ZINC000348540023 1127121747 /nfs/dbraw/zinc/12/17/47/1127121747.db2.gz RSEBHQZQVBIQBR-INIZCTEOSA-N 1 2 291.354 3.684 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000348897619 1127133362 /nfs/dbraw/zinc/13/33/62/1127133362.db2.gz WFJOYUQOILGXCF-KGLIPLIRSA-N 1 2 291.439 3.578 20 0 CHADLO Fc1cc(C[N@@H+]2CCC[C@@H]2c2cccnc2)cc(F)c1F ZINC000348898081 1127133580 /nfs/dbraw/zinc/13/35/80/1127133580.db2.gz WMSGAJGXGYVGQQ-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO Fc1cc(C[N@H+]2CCC[C@@H]2c2cccnc2)cc(F)c1F ZINC000348898081 1127133583 /nfs/dbraw/zinc/13/35/83/1127133583.db2.gz WMSGAJGXGYVGQQ-OAHLLOKOSA-N 1 2 292.304 3.836 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1ccc2cccc(Br)c2n1 ZINC001237172028 1131064462 /nfs/dbraw/zinc/06/44/62/1131064462.db2.gz SIOXVRDYITXMCN-JTQLQIEISA-N 1 2 291.192 3.592 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1ccc2cccc(Br)c2n1 ZINC001237172028 1131064466 /nfs/dbraw/zinc/06/44/66/1131064466.db2.gz SIOXVRDYITXMCN-JTQLQIEISA-N 1 2 291.192 3.592 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccon1)c1ccc(F)cc1F ZINC000349232333 1127144475 /nfs/dbraw/zinc/14/44/75/1127144475.db2.gz BNGCAHASWGKPPU-RNCFNFMXSA-N 1 2 266.291 3.755 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Nc1csc2ccccc12 ZINC000679324096 1127150426 /nfs/dbraw/zinc/15/04/26/1127150426.db2.gz PEMQAABUOAMECA-UHFFFAOYSA-N 1 2 285.372 3.517 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cccc(O)c1Cl ZINC000646048891 1127158943 /nfs/dbraw/zinc/15/89/43/1127158943.db2.gz CBOIDDRPGMZCKD-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cccc(O)c1Cl ZINC000646048891 1127158944 /nfs/dbraw/zinc/15/89/44/1127158944.db2.gz CBOIDDRPGMZCKD-UHFFFAOYSA-N 1 2 267.781 3.739 20 0 CHADLO Fc1ccc(Cl)cc1SCCCn1cc[nH+]c1 ZINC000349819337 1127162692 /nfs/dbraw/zinc/16/26/92/1127162692.db2.gz PJRRPEJTBJFLRB-UHFFFAOYSA-N 1 2 270.760 3.858 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc2ccccc2n1)c1ccon1 ZINC000349837107 1127165067 /nfs/dbraw/zinc/16/50/67/1127165067.db2.gz UKJBLENPDLAROW-RYUDHWBXSA-N 1 2 267.332 3.635 20 0 CHADLO CCCOc1cccc2c(NCCCCOC)cc[nH+]c12 ZINC000350286211 1127175966 /nfs/dbraw/zinc/17/59/66/1127175966.db2.gz KOOUYFMWBAGUML-UHFFFAOYSA-N 1 2 288.391 3.862 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(Cl)cccc2OC(F)F)[C@H]1C ZINC000816646662 1131119103 /nfs/dbraw/zinc/11/91/03/1131119103.db2.gz HAEMFTOTZXPZFC-BDAKNGLRSA-N 1 2 275.726 3.782 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2ccc(C3CCC3)cc2)n1 ZINC000284315198 1127179809 /nfs/dbraw/zinc/17/98/09/1127179809.db2.gz ASMLHEWFJQRBJA-UHFFFAOYSA-N 1 2 285.391 3.670 20 0 CHADLO CCCC[N@H+](Cc1nccn1C)[C@@H](C)c1ccc(C)o1 ZINC000588236598 1127182812 /nfs/dbraw/zinc/18/28/12/1127182812.db2.gz MOEYISSNEYEEJL-AWEZNQCLSA-N 1 2 275.396 3.685 20 0 CHADLO CCCC[N@@H+](Cc1nccn1C)[C@@H](C)c1ccc(C)o1 ZINC000588236598 1127182814 /nfs/dbraw/zinc/18/28/14/1127182814.db2.gz MOEYISSNEYEEJL-AWEZNQCLSA-N 1 2 275.396 3.685 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(Cl)cccc2OC(F)F)[C@H]1C ZINC000816646662 1131119106 /nfs/dbraw/zinc/11/91/06/1131119106.db2.gz HAEMFTOTZXPZFC-BDAKNGLRSA-N 1 2 275.726 3.782 20 0 CHADLO O=C(C=C1CCCCC1)Nc1ccccc1-n1cc[nH+]c1 ZINC000055992308 1127188028 /nfs/dbraw/zinc/18/80/28/1127188028.db2.gz ZUUOZPATIFPBBG-UHFFFAOYSA-N 1 2 281.359 3.701 20 0 CHADLO Cc1cc(NC2(C)Cc3ccccc3C2)nc(C2CC2)[nH+]1 ZINC000351504301 1127190965 /nfs/dbraw/zinc/19/09/65/1127190965.db2.gz IZCLRJVALNRUOK-UHFFFAOYSA-N 1 2 279.387 3.632 20 0 CHADLO Cc1sccc1C[N@@H+](C)Cc1nc2ccccc2n1C ZINC000351595723 1127196206 /nfs/dbraw/zinc/19/62/06/1127196206.db2.gz GHHSJLLFABVJGH-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO Cc1sccc1C[N@H+](C)Cc1nc2ccccc2n1C ZINC000351595723 1127196208 /nfs/dbraw/zinc/19/62/08/1127196208.db2.gz GHHSJLLFABVJGH-UHFFFAOYSA-N 1 2 285.416 3.575 20 0 CHADLO CC[N@H+](Cc1ncc[nH]1)Cc1ccc2ccccc2c1 ZINC000351608298 1127198364 /nfs/dbraw/zinc/19/83/64/1127198364.db2.gz PKTWWQALDLNAIX-UHFFFAOYSA-N 1 2 265.360 3.585 20 0 CHADLO CC[N@@H+](Cc1ncc[nH]1)Cc1ccc2ccccc2c1 ZINC000351608298 1127198366 /nfs/dbraw/zinc/19/83/66/1127198366.db2.gz PKTWWQALDLNAIX-UHFFFAOYSA-N 1 2 265.360 3.585 20 0 CHADLO CCCN(Cc1c[nH+]cn1C)Cc1c(F)cccc1Cl ZINC000351607192 1127198506 /nfs/dbraw/zinc/19/85/06/1127198506.db2.gz IHWVAUNXRNLGTG-UHFFFAOYSA-N 1 2 295.789 3.625 20 0 CHADLO Oc1ccc(F)c(C[N@@H+]2CCS[C@@H]3CCCC[C@H]32)c1F ZINC000351664447 1127202143 /nfs/dbraw/zinc/20/21/43/1127202143.db2.gz KBKJHXJJORTUDV-TZMCWYRMSA-N 1 2 299.386 3.530 20 0 CHADLO Oc1ccc(F)c(C[N@H+]2CCS[C@@H]3CCCC[C@H]32)c1F ZINC000351664447 1127202146 /nfs/dbraw/zinc/20/21/46/1127202146.db2.gz KBKJHXJJORTUDV-TZMCWYRMSA-N 1 2 299.386 3.530 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cnn(C)c2)c(Cl)cc1Cl ZINC001237700971 1131130030 /nfs/dbraw/zinc/13/00/30/1131130030.db2.gz GTVCVYGUBNLNGW-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cnn(C)c2)c(Cl)cc1Cl ZINC001237700971 1131130033 /nfs/dbraw/zinc/13/00/33/1131130033.db2.gz GTVCVYGUBNLNGW-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1ncc(C[N@H+]2CC=C(c3ccccc3Cl)CC2)cn1 ZINC000351717480 1127205858 /nfs/dbraw/zinc/20/58/58/1127205858.db2.gz FQAAUVFKBTXVTI-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1ncc(C[N@@H+]2CC=C(c3ccccc3Cl)CC2)cn1 ZINC000351717480 1127205860 /nfs/dbraw/zinc/20/58/60/1127205860.db2.gz FQAAUVFKBTXVTI-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1sccc1C[N@@H+]1CCc2c(F)cc(F)cc2C1 ZINC000351713168 1127206048 /nfs/dbraw/zinc/20/60/48/1127206048.db2.gz LJUONGYYOLRIGP-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO Cc1sccc1C[N@H+]1CCc2c(F)cc(F)cc2C1 ZINC000351713168 1127206050 /nfs/dbraw/zinc/20/60/50/1127206050.db2.gz LJUONGYYOLRIGP-UHFFFAOYSA-N 1 2 279.355 3.893 20 0 CHADLO CCc1cc(C[N@H+]2CCOC[C@@H]2CC)ccc1Cl ZINC001237739988 1131136363 /nfs/dbraw/zinc/13/63/63/1131136363.db2.gz MKTOJEIIUQZMJN-AWEZNQCLSA-N 1 2 267.800 3.513 20 0 CHADLO CCc1cc(C[N@@H+]2CCOC[C@@H]2CC)ccc1Cl ZINC001237739988 1131136365 /nfs/dbraw/zinc/13/63/65/1131136365.db2.gz MKTOJEIIUQZMJN-AWEZNQCLSA-N 1 2 267.800 3.513 20 0 CHADLO CCCCSCCC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000351770539 1127208409 /nfs/dbraw/zinc/20/84/09/1127208409.db2.gz HILWOOKTUATIRW-UHFFFAOYSA-N 1 2 291.420 3.505 20 0 CHADLO Cc1cn2cc(NC(=O)c3cc(C(C)C)oc3C)ccc2[nH+]1 ZINC000351839321 1127211111 /nfs/dbraw/zinc/21/11/11/1127211111.db2.gz UMOYTZRCDKVOSU-UHFFFAOYSA-N 1 2 297.358 3.920 20 0 CHADLO Cc1oc2ncnc(NCCCc3cccc(C)[nH+]3)c2c1C ZINC000285036134 1127215518 /nfs/dbraw/zinc/21/55/18/1127215518.db2.gz KGGBQDDNJZNFIZ-UHFFFAOYSA-N 1 2 296.374 3.588 20 0 CHADLO Cc1cn(-c2cc[nH+]cc2Cl)c2cccc(N)c12 ZINC001212160397 1127230325 /nfs/dbraw/zinc/23/03/25/1127230325.db2.gz RBXHXMRQFRBGAC-UHFFFAOYSA-N 1 2 257.724 3.570 20 0 CHADLO c1csc([C@H]([NH2+]Cc2n[nH]c3ccccc32)C2CC2)c1 ZINC000589639062 1127232371 /nfs/dbraw/zinc/23/23/71/1127232371.db2.gz JMTGUMIOBAUMHM-MRXNPFEDSA-N 1 2 283.400 3.865 20 0 CHADLO Cc1noc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)cc2C)n1 ZINC000285492307 1127233276 /nfs/dbraw/zinc/23/32/76/1127233276.db2.gz CDVNLJGJMJUMSW-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO Cc1noc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)cc2C)n1 ZINC000285492307 1127233278 /nfs/dbraw/zinc/23/32/78/1127233278.db2.gz CDVNLJGJMJUMSW-AWEZNQCLSA-N 1 2 291.782 3.677 20 0 CHADLO CC(C)n1cc([C@H](C)[NH2+][C@H](C)c2c(F)cncc2F)cn1 ZINC000285677758 1127240672 /nfs/dbraw/zinc/24/06/72/1127240672.db2.gz NKWUQUNNSGTCOG-WDEREUQCSA-N 1 2 294.349 3.549 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1ccc(Cl)nc1 ZINC000285775668 1127243231 /nfs/dbraw/zinc/24/32/31/1127243231.db2.gz UGRGRJDGHCZURU-BDAKNGLRSA-N 1 2 297.736 3.820 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)o1 ZINC000285780259 1127243378 /nfs/dbraw/zinc/24/33/78/1127243378.db2.gz UMJJULRUNQXUKM-UWVGGRQHSA-N 1 2 280.318 3.927 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@H](C)c2c(F)cncc2F)o1 ZINC000285780261 1127243400 /nfs/dbraw/zinc/24/34/00/1127243400.db2.gz UMJJULRUNQXUKM-VHSXEESVSA-N 1 2 280.318 3.927 20 0 CHADLO C[N@H+]1CCC(=O)C[C@H]1c1ccc(-c2ccccc2)cc1 ZINC000629690592 1127264640 /nfs/dbraw/zinc/26/46/40/1127264640.db2.gz MVVYIJZEGNNVJK-SFHVURJKSA-N 1 2 265.356 3.689 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2cc(C)ccn2)s1 ZINC000286161918 1127261238 /nfs/dbraw/zinc/26/12/38/1127261238.db2.gz PYLWNEPHHNOPRU-NWDGAFQWSA-N 1 2 261.394 3.567 20 0 CHADLO FC(F)c1cccc(C[N@@H+]2CCC[C@H]2c2cc[nH]n2)c1 ZINC000286204966 1127262485 /nfs/dbraw/zinc/26/24/85/1127262485.db2.gz QEODKPXKESPIRK-AWEZNQCLSA-N 1 2 277.318 3.684 20 0 CHADLO FC(F)c1cccc(C[N@H+]2CCC[C@H]2c2cc[nH]n2)c1 ZINC000286204966 1127262488 /nfs/dbraw/zinc/26/24/88/1127262488.db2.gz QEODKPXKESPIRK-AWEZNQCLSA-N 1 2 277.318 3.684 20 0 CHADLO C[N@@H+]1CCC(=O)C[C@H]1c1ccc(-c2ccccc2)cc1 ZINC000629690592 1127264639 /nfs/dbraw/zinc/26/46/39/1127264639.db2.gz MVVYIJZEGNNVJK-SFHVURJKSA-N 1 2 265.356 3.689 20 0 CHADLO CC(C)Cc1ncc(C[N@H+]2CCOC[C@H]2CC(C)C)s1 ZINC000353590984 1127271245 /nfs/dbraw/zinc/27/12/45/1127271245.db2.gz IDDJMXFNKOUBDI-CQSZACIVSA-N 1 2 296.480 3.589 20 0 CHADLO CC(C)Cc1ncc(C[N@@H+]2CCOC[C@H]2CC(C)C)s1 ZINC000353590984 1127271248 /nfs/dbraw/zinc/27/12/48/1127271248.db2.gz IDDJMXFNKOUBDI-CQSZACIVSA-N 1 2 296.480 3.589 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1ccc(F)cc1Cl ZINC000353652710 1127271925 /nfs/dbraw/zinc/27/19/25/1127271925.db2.gz FTWFLVQBNRLIFA-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1ccc(F)cc1Cl ZINC000353652710 1127271928 /nfs/dbraw/zinc/27/19/28/1127271928.db2.gz FTWFLVQBNRLIFA-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO C[C@@H]1CCCC[N@H+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238143941 1131174360 /nfs/dbraw/zinc/17/43/60/1131174360.db2.gz RLBMNEYUNQKHOS-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO C[C@@H]1CCCC[N@@H+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238143941 1131174362 /nfs/dbraw/zinc/17/43/62/1131174362.db2.gz RLBMNEYUNQKHOS-SNVBAGLBSA-N 1 2 271.763 3.807 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC000590948860 1127278956 /nfs/dbraw/zinc/27/89/56/1127278956.db2.gz NDXJIVOGWDBNSX-MNOVXSKESA-N 1 2 291.420 3.902 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cnn3ccccc13)CC2 ZINC000354054598 1127289357 /nfs/dbraw/zinc/28/93/57/1127289357.db2.gz UBTAUFUORFSFMJ-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cnn3ccccc13)CC2 ZINC000354054598 1127289360 /nfs/dbraw/zinc/28/93/60/1127289360.db2.gz UBTAUFUORFSFMJ-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO c1snnc1C[NH2+][C@@H](CC1CCC1)c1ccccc1 ZINC000679357726 1127291154 /nfs/dbraw/zinc/29/11/54/1127291154.db2.gz DXCCJSPDZKRMFD-HNNXBMFYSA-N 1 2 273.405 3.559 20 0 CHADLO C[C@H]([NH2+][C@@H](C)[C@@H]1C[C@H]1c1cccs1)C(=O)OC(C)(C)C ZINC000591348218 1127299221 /nfs/dbraw/zinc/29/92/21/1127299221.db2.gz DXRITVUSGMDDQA-ZDEQEGDKSA-N 1 2 295.448 3.560 20 0 CHADLO CC[C@@H](C)[N@H+](C)Cc1c(Br)ccc(O)c1F ZINC001238309033 1131189450 /nfs/dbraw/zinc/18/94/50/1131189450.db2.gz INHXGJHXBZQWCM-MRVPVSSYSA-N 1 2 290.176 3.524 20 0 CHADLO CC[C@@H](C)[N@@H+](C)Cc1c(Br)ccc(O)c1F ZINC001238309033 1131189454 /nfs/dbraw/zinc/18/94/54/1131189454.db2.gz INHXGJHXBZQWCM-MRVPVSSYSA-N 1 2 290.176 3.524 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc(SC)s1 ZINC000354358026 1127305095 /nfs/dbraw/zinc/30/50/95/1127305095.db2.gz HFHQEKZIROZIES-UHFFFAOYSA-N 1 2 282.434 3.652 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc(SC)s1 ZINC000354358026 1127305098 /nfs/dbraw/zinc/30/50/98/1127305098.db2.gz HFHQEKZIROZIES-UHFFFAOYSA-N 1 2 282.434 3.652 20 0 CHADLO c1cc(C[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)no1 ZINC000646117932 1127301707 /nfs/dbraw/zinc/30/17/07/1127301707.db2.gz WOCKYSTUXJFUNU-MRXNPFEDSA-N 1 2 266.344 3.568 20 0 CHADLO c1cc(C[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)no1 ZINC000646117932 1127301709 /nfs/dbraw/zinc/30/17/09/1127301709.db2.gz WOCKYSTUXJFUNU-MRXNPFEDSA-N 1 2 266.344 3.568 20 0 CHADLO Cc1ncccc1C[N@@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000355271922 1127330185 /nfs/dbraw/zinc/33/01/85/1127330185.db2.gz LTRXREHRCQRYFA-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1ncccc1C[N@H+]1CCc2c(F)cc(F)cc2[C@@H]1C ZINC000355271922 1127330188 /nfs/dbraw/zinc/33/01/88/1127330188.db2.gz LTRXREHRCQRYFA-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2ccn3cc[nH+]c3c2)c(C)c1 ZINC000355285174 1127330818 /nfs/dbraw/zinc/33/08/18/1127330818.db2.gz CFHSBKSXBVZIAU-UHFFFAOYSA-N 1 2 279.343 3.512 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(C(C)(C)C)o1)c1ccsc1 ZINC000669938082 1127331916 /nfs/dbraw/zinc/33/19/16/1127331916.db2.gz CLSMCDCPESSNDR-JTQLQIEISA-N 1 2 264.394 3.884 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)c1cc2c(s1)CCCC2 ZINC000355300849 1127332094 /nfs/dbraw/zinc/33/20/94/1127332094.db2.gz CDVYKUZGZSYNJO-UHFFFAOYSA-N 1 2 297.383 3.527 20 0 CHADLO Cc1ccncc1C[N@@H+](C)Cc1cnc(CC(C)C)s1 ZINC000355599260 1127352976 /nfs/dbraw/zinc/35/29/76/1127352976.db2.gz CBDBTRZBMLRYOU-UHFFFAOYSA-N 1 2 289.448 3.677 20 0 CHADLO Cc1ccncc1C[N@H+](C)Cc1cnc(CC(C)C)s1 ZINC000355599260 1127352979 /nfs/dbraw/zinc/35/29/79/1127352979.db2.gz CBDBTRZBMLRYOU-UHFFFAOYSA-N 1 2 289.448 3.677 20 0 CHADLO COc1ccc2nc(C[N@H+](C)Cc3ccoc3)sc2c1 ZINC000355607607 1127353247 /nfs/dbraw/zinc/35/32/47/1127353247.db2.gz NJYFGJVQNJACBN-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO COc1ccc2nc(C[N@@H+](C)Cc3ccoc3)sc2c1 ZINC000355607607 1127353252 /nfs/dbraw/zinc/35/32/52/1127353252.db2.gz NJYFGJVQNJACBN-UHFFFAOYSA-N 1 2 288.372 3.530 20 0 CHADLO Fc1cccc(Cl)c1[C@H]1C[N@H+](CC2CCC2)CCO1 ZINC000646146215 1127354456 /nfs/dbraw/zinc/35/44/56/1127354456.db2.gz PTQWWXVIGACEBQ-CQSZACIVSA-N 1 2 283.774 3.653 20 0 CHADLO Fc1cccc(Cl)c1[C@H]1C[N@@H+](CC2CCC2)CCO1 ZINC000646146215 1127354460 /nfs/dbraw/zinc/35/44/60/1127354460.db2.gz PTQWWXVIGACEBQ-CQSZACIVSA-N 1 2 283.774 3.653 20 0 CHADLO CCc1cnc(C[N@H+](C)CCO[C@H]2CCCC[C@H]2C)s1 ZINC000355733457 1127361885 /nfs/dbraw/zinc/36/18/85/1127361885.db2.gz AMCGMYXSXYQGGG-HIFRSBDPSA-N 1 2 296.480 3.733 20 0 CHADLO CCc1cnc(C[N@@H+](C)CCO[C@H]2CCCC[C@H]2C)s1 ZINC000355733457 1127361888 /nfs/dbraw/zinc/36/18/88/1127361888.db2.gz AMCGMYXSXYQGGG-HIFRSBDPSA-N 1 2 296.480 3.733 20 0 CHADLO CCc1nc(C[N@@H+]2CCCc3sccc3C2)cs1 ZINC000592982083 1127366376 /nfs/dbraw/zinc/36/63/76/1127366376.db2.gz CAPZEOXYONYKQV-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO CCc1nc(C[N@H+]2CCCc3sccc3C2)cs1 ZINC000592982083 1127366380 /nfs/dbraw/zinc/36/63/80/1127366380.db2.gz CAPZEOXYONYKQV-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO Cc1cccc(C[NH2+]C(C)(C)c2nccs2)c1F ZINC000295154353 1129218943 /nfs/dbraw/zinc/21/89/43/1129218943.db2.gz JUKRIISVFXKFDI-UHFFFAOYSA-N 1 2 264.369 3.616 20 0 CHADLO Cc1cnn(C)c1C[N@@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000289239556 1127378252 /nfs/dbraw/zinc/37/82/52/1127378252.db2.gz ZLAOYSLTIIRKBP-MLGOLLRUSA-N 1 2 299.418 3.610 20 0 CHADLO Cc1cnn(C)c1C[N@H+](Cc1ccc([C@@H]2C[C@H]2C)o1)C1CC1 ZINC000289239556 1127378256 /nfs/dbraw/zinc/37/82/56/1127378256.db2.gz ZLAOYSLTIIRKBP-MLGOLLRUSA-N 1 2 299.418 3.610 20 0 CHADLO COc1cccc([C@@H](C)[NH2+]Cc2cc(C(C)(C)C)on2)c1 ZINC000289300395 1127379996 /nfs/dbraw/zinc/37/99/96/1127379996.db2.gz CNJUCIRMHIIUOG-GFCCVEGCSA-N 1 2 288.391 3.832 20 0 CHADLO COCc1cc[nH+]c(NC2CCC(CSC)CC2)c1 ZINC000593696964 1127394828 /nfs/dbraw/zinc/39/48/28/1127394828.db2.gz JKTWUUDIMWZWGO-UHFFFAOYSA-N 1 2 280.437 3.562 20 0 CHADLO CN(C(=O)c1ccc(-n2cc[nH+]c2)cc1)[C@H]1CCC(C)(C)C1 ZINC000358060385 1127400417 /nfs/dbraw/zinc/40/04/17/1127400417.db2.gz KFVDSFQIBXENAD-INIZCTEOSA-N 1 2 297.402 3.523 20 0 CHADLO CN(C(=O)c1cccc(-n2cc[nH+]c2)c1)[C@H]1CCC(C)(C)C1 ZINC000358067392 1127400427 /nfs/dbraw/zinc/40/04/27/1127400427.db2.gz BFHMJTVFBOEJKP-INIZCTEOSA-N 1 2 297.402 3.523 20 0 CHADLO C[C@@H]([NH2+]Cc1ccn(C)n1)c1ccc(-c2ccccc2)o1 ZINC000358251686 1127410955 /nfs/dbraw/zinc/41/09/55/1127410955.db2.gz NASCBBKIAMBSNB-CYBMUJFWSA-N 1 2 281.359 3.531 20 0 CHADLO CCCCOc1ccc(NCc2cccc3[nH+]ccn32)cn1 ZINC000358442906 1127422020 /nfs/dbraw/zinc/42/20/20/1127422020.db2.gz JZTXFVRKFMDMCA-UHFFFAOYSA-N 1 2 296.374 3.520 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CCc2cccnc21 ZINC000226805612 1127426252 /nfs/dbraw/zinc/42/62/52/1127426252.db2.gz VUAJFZRLPBTTJW-MRXNPFEDSA-N 1 2 267.376 3.555 20 0 CHADLO Cc1ccc(C[S@](=O)Cc2ccsc2Cl)c(C)[nH+]1 ZINC000594675330 1127427246 /nfs/dbraw/zinc/42/72/46/1127427246.db2.gz BBWXEOBHPRHRRL-SFHVURJKSA-N 1 2 299.848 3.862 20 0 CHADLO C[C@H](CSCCF)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000595035619 1127449952 /nfs/dbraw/zinc/44/99/52/1127449952.db2.gz YIBIAGVHKIJLOY-CYBMUJFWSA-N 1 2 297.443 3.575 20 0 CHADLO O=C(CCCn1cc[nH+]c1)N(c1ccccc1)C1CCCC1 ZINC000595298550 1127458202 /nfs/dbraw/zinc/45/82/02/1127458202.db2.gz AHWOJSUQHQGTJT-UHFFFAOYSA-N 1 2 297.402 3.639 20 0 CHADLO COCC1(COc2cc(C)[nH+]c3ccccc32)CCC1 ZINC000359392882 1127461916 /nfs/dbraw/zinc/46/19/16/1127461916.db2.gz OAWIRUROPMUPHE-UHFFFAOYSA-N 1 2 271.360 3.739 20 0 CHADLO Cc1nc(N[C@@H]2CSc3ccccc32)c2c([nH+]1)CCCC2 ZINC000359728439 1127475479 /nfs/dbraw/zinc/47/54/79/1127475479.db2.gz LDRQYTMYFDGJRG-OAHLLOKOSA-N 1 2 297.427 3.923 20 0 CHADLO Cc1nc(N[C@@H]2CCCC(F)(F)C2)c2c([nH+]1)CCCC2 ZINC000359727497 1127475661 /nfs/dbraw/zinc/47/56/61/1127475661.db2.gz KFYFXWZDCBQJRQ-LLVKDONJSA-N 1 2 281.350 3.654 20 0 CHADLO COc1cccc2c1CC[N@H+](Cc1ccc(F)cc1F)C2 ZINC000359888221 1127482149 /nfs/dbraw/zinc/48/21/49/1127482149.db2.gz ZNTIZGVEDFJWSF-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc2c1CC[N@@H+](Cc1ccc(F)cc1F)C2 ZINC000359888221 1127482152 /nfs/dbraw/zinc/48/21/52/1127482152.db2.gz ZNTIZGVEDFJWSF-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CS[C@@H]1CCCCN(c2[nH+]ccc3c(N)cccc32)C1 ZINC000596169223 1127485238 /nfs/dbraw/zinc/48/52/38/1127485238.db2.gz DEFMFNWMWIJKOP-GFCCVEGCSA-N 1 2 287.432 3.539 20 0 CHADLO Fc1ccccc1[C@H]1CC[C@@H](Nc2cccc[nH+]2)C1 ZINC000360274848 1127494622 /nfs/dbraw/zinc/49/46/22/1127494622.db2.gz MZYRMEFWQQLMPK-QWHCGFSZSA-N 1 2 256.324 3.969 20 0 CHADLO CSc1cccc(CSCCn2cc[nH+]c2)c1 ZINC000596380655 1127495115 /nfs/dbraw/zinc/49/51/15/1127495115.db2.gz KQTSEACIACKMFB-UHFFFAOYSA-N 1 2 264.419 3.538 20 0 CHADLO CCc1nc(C[N@@H+]2CCC[C@@H](C(F)(F)F)[C@H]2C)cs1 ZINC000360724567 1127508645 /nfs/dbraw/zinc/50/86/45/1127508645.db2.gz COZUUNFWHSPMMK-MWLCHTKSSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2CCC[C@@H](C(F)(F)F)[C@H]2C)cs1 ZINC000360724567 1127508648 /nfs/dbraw/zinc/50/86/48/1127508648.db2.gz COZUUNFWHSPMMK-MWLCHTKSSA-N 1 2 292.370 3.868 20 0 CHADLO C[C@H](C[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)c1ccccc1 ZINC000293593071 1127507326 /nfs/dbraw/zinc/50/73/26/1127507326.db2.gz ZGZNPSKJGMTNTK-RHSMWYFYSA-N 1 2 298.434 3.819 20 0 CHADLO Cc1nc(N[C@H](CC(C)C)c2ccccc2)cc[nH+]1 ZINC000361045949 1127533078 /nfs/dbraw/zinc/53/30/78/1127533078.db2.gz UDGWQFAHLQYXJN-OAHLLOKOSA-N 1 2 255.365 3.984 20 0 CHADLO Cc1nc(N[C@H](C)c2ccc(Cl)c(Cl)c2)cc[nH+]1 ZINC000361158780 1127543048 /nfs/dbraw/zinc/54/30/48/1127543048.db2.gz GKQUIPHCHWGRAF-MRVPVSSYSA-N 1 2 282.174 3.687 20 0 CHADLO CCS[C@H]1CC[C@H](N(C)c2cc(C)[nH+]c(C3CC3)n2)C1 ZINC000361235799 1127549167 /nfs/dbraw/zinc/54/91/67/1127549167.db2.gz FREUPDWKQGZUAJ-KBPBESRZSA-N 1 2 291.464 3.773 20 0 CHADLO Cc1nc(N[C@@H]2COc3c2ccc(Cl)c3Cl)cc[nH+]1 ZINC000361299271 1127554347 /nfs/dbraw/zinc/55/43/47/1127554347.db2.gz MQIKJIMLEVRVQY-SNVBAGLBSA-N 1 2 296.157 3.637 20 0 CHADLO C[C@H]1CC[N@H+](Cc2c(Cl)nc(Cl)n2C)CC1(C)C ZINC000761226908 1127552035 /nfs/dbraw/zinc/55/20/35/1127552035.db2.gz RPQUNGKJSQUIQA-VIFPVBQESA-N 1 2 290.238 3.595 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2c(Cl)nc(Cl)n2C)CC1(C)C ZINC000761226908 1127552037 /nfs/dbraw/zinc/55/20/37/1127552037.db2.gz RPQUNGKJSQUIQA-VIFPVBQESA-N 1 2 290.238 3.595 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncn(C)n1)c1ccc(F)c2ccccc21 ZINC000294267880 1127561580 /nfs/dbraw/zinc/56/15/80/1127561580.db2.gz LRUSXVVRALCPOY-NEPJUHHUSA-N 1 2 298.365 3.519 20 0 CHADLO c1cn(-c2ccccc2)c(C[N@@H+]2CC[C@H]2c2ccccc2)n1 ZINC000361511030 1127569332 /nfs/dbraw/zinc/56/93/32/1127569332.db2.gz NWGNZHSSTQCLEI-SFHVURJKSA-N 1 2 289.382 3.819 20 0 CHADLO c1cn(-c2ccccc2)c(C[N@H+]2CC[C@H]2c2ccccc2)n1 ZINC000361511030 1127569333 /nfs/dbraw/zinc/56/93/33/1127569333.db2.gz NWGNZHSSTQCLEI-SFHVURJKSA-N 1 2 289.382 3.819 20 0 CHADLO C[C@H]([NH2+]Cc1ccnc(F)c1)c1nc(C(C)(C)C)cs1 ZINC001118855380 1131239890 /nfs/dbraw/zinc/23/98/90/1131239890.db2.gz HAFHNAWHIGJFOE-JTQLQIEISA-N 1 2 293.411 3.826 20 0 CHADLO Cc1cc(N2CCc3ccccc3[C@H]2C)nc(C2CC2)[nH+]1 ZINC000361617208 1127577429 /nfs/dbraw/zinc/57/74/29/1127577429.db2.gz UOPFLZFSXCWVEL-CYBMUJFWSA-N 1 2 279.387 3.786 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)C[C@H]2C)cc(C)c1OC(C)C ZINC001238754075 1131239955 /nfs/dbraw/zinc/23/99/55/1131239955.db2.gz CYYBQOPNEZTEIF-OAHLLOKOSA-N 1 2 289.419 3.644 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)C[C@H]2C)cc(C)c1OC(C)C ZINC001238754075 1131239959 /nfs/dbraw/zinc/23/99/59/1131239959.db2.gz CYYBQOPNEZTEIF-OAHLLOKOSA-N 1 2 289.419 3.644 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1ccccc1OCC1CC1 ZINC000294694674 1127591925 /nfs/dbraw/zinc/59/19/25/1127591925.db2.gz AKYDZNBLJKLAMN-AWEZNQCLSA-N 1 2 299.418 3.543 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CS[C@@H](C)C2)c1 ZINC000602575606 1127596056 /nfs/dbraw/zinc/59/60/56/1127596056.db2.gz GLZOCTCHZZIOOJ-CMPLNLGQSA-N 1 2 268.451 3.641 20 0 CHADLO CC1(C)CCC([NH2+][C@H](c2cccs2)c2nnc[nH]2)CC1 ZINC000294897633 1127607088 /nfs/dbraw/zinc/60/70/88/1127607088.db2.gz VSRUTPYPBVOOOC-CYBMUJFWSA-N 1 2 290.436 3.514 20 0 CHADLO CC(C)(C)c1cccc(OCc2ccc[nH+]c2N)c1 ZINC000052755374 1127610570 /nfs/dbraw/zinc/61/05/70/1127610570.db2.gz OREYUDVJCZDWAX-UHFFFAOYSA-N 1 2 256.349 3.540 20 0 CHADLO C[C@@]1(CF)CC[N@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232096525 1127613816 /nfs/dbraw/zinc/61/38/16/1127613816.db2.gz CJXWCWNUONPIPC-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO C[C@@]1(CF)CC[N@@H+](Cc2c(F)cc(F)cc2Cl)C1 ZINC001232096525 1127613817 /nfs/dbraw/zinc/61/38/17/1127613817.db2.gz CJXWCWNUONPIPC-ZDUSSCGKSA-N 1 2 277.717 3.800 20 0 CHADLO CC(=O)c1ccc(C[N@H+]2CC=C(C(F)(F)F)CC2)cc1 ZINC000295021828 1127615286 /nfs/dbraw/zinc/61/52/86/1127615286.db2.gz UQHNSFVRZKSBHK-UHFFFAOYSA-N 1 2 283.293 3.584 20 0 CHADLO CC(=O)c1ccc(C[N@@H+]2CC=C(C(F)(F)F)CC2)cc1 ZINC000295021828 1127615287 /nfs/dbraw/zinc/61/52/87/1127615287.db2.gz UQHNSFVRZKSBHK-UHFFFAOYSA-N 1 2 283.293 3.584 20 0 CHADLO Cc1nc(N[C@@H]2C[C@@H]2c2cccc3ccccc32)cc[nH+]1 ZINC000362373646 1127630608 /nfs/dbraw/zinc/63/06/08/1127630608.db2.gz NRMGOSZAKZQLFS-IAGOWNOFSA-N 1 2 275.355 3.906 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H](C)c1ccc(F)cc1F ZINC000295872167 1127655734 /nfs/dbraw/zinc/65/57/34/1127655734.db2.gz HDOAFZCYCSKURW-GHMZBOCLSA-N 1 2 279.334 3.593 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H](C)c1ccc(F)cc1F ZINC000295872176 1127655920 /nfs/dbraw/zinc/65/59/20/1127655920.db2.gz HDOAFZCYCSKURW-QWRGUYRKSA-N 1 2 279.334 3.593 20 0 CHADLO CCOc1ccccc1C[N@@H+]1CCC[C@H]1c1cc(C)on1 ZINC000363075252 1127660506 /nfs/dbraw/zinc/66/05/06/1127660506.db2.gz LDYGGCOEHMUSNB-INIZCTEOSA-N 1 2 286.375 3.719 20 0 CHADLO CCOc1ccccc1C[N@H+]1CCC[C@H]1c1cc(C)on1 ZINC000363075252 1127660507 /nfs/dbraw/zinc/66/05/07/1127660507.db2.gz LDYGGCOEHMUSNB-INIZCTEOSA-N 1 2 286.375 3.719 20 0 CHADLO C[C@H](CC(=O)Nc1ccc2[nH+]ccn2c1)c1ccccc1F ZINC000603819476 1127673461 /nfs/dbraw/zinc/67/34/61/1127673461.db2.gz HGEBLYXYWQTGAJ-GFCCVEGCSA-N 1 2 297.333 3.606 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1cc2sccc2s1 ZINC000603882708 1127679540 /nfs/dbraw/zinc/67/95/40/1127679540.db2.gz WCLFTXLIJBPAGU-UHFFFAOYSA-N 1 2 299.380 3.863 20 0 CHADLO CC(C)(C)OC(=O)C(C)(C)[NH2+]C[C@@H]1C[C@H]1c1ccccc1 ZINC000599652502 1127686294 /nfs/dbraw/zinc/68/62/94/1127686294.db2.gz NOAPZXHOBGCGSG-GJZGRUSLSA-N 1 2 289.419 3.500 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@H](CO)c2c(F)cccc2F)cs1 ZINC000296966987 1127686344 /nfs/dbraw/zinc/68/63/44/1127686344.db2.gz RJCVRRSXBZSBBT-QMTHXVAHSA-N 1 2 297.370 3.719 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@@H](C(C)C)CC2)no1 ZINC000604053769 1127691530 /nfs/dbraw/zinc/69/15/30/1127691530.db2.gz BVWCCPIRFTVFQS-CQSZACIVSA-N 1 2 279.428 3.670 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@@H](C(C)C)CC2)no1 ZINC000604053769 1127691532 /nfs/dbraw/zinc/69/15/32/1127691532.db2.gz BVWCCPIRFTVFQS-CQSZACIVSA-N 1 2 279.428 3.670 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@@H]1C[C@H](C)n2ccnc21 ZINC000297412693 1127695709 /nfs/dbraw/zinc/69/57/09/1127695709.db2.gz UCTWYNLKOXZXFI-GXFFZTMASA-N 1 2 291.395 3.540 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2cc(F)cnc2Cl)o1 ZINC000297470413 1127697719 /nfs/dbraw/zinc/69/77/19/1127697719.db2.gz YOZDSKNYICJEDN-VIFPVBQESA-N 1 2 268.719 3.626 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)s2)C(C)(C)CO1 ZINC000604388141 1127704038 /nfs/dbraw/zinc/70/40/38/1127704038.db2.gz AZILZPZEFAKDFV-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)s2)C(C)(C)CO1 ZINC000604388141 1127704042 /nfs/dbraw/zinc/70/40/42/1127704042.db2.gz AZILZPZEFAKDFV-UHFFFAOYSA-N 1 2 273.829 3.791 20 0 CHADLO Cc1ccc(CN(c2cc(C)[nH+]c(C3CC3)n2)C2CC2)o1 ZINC000604757148 1127715889 /nfs/dbraw/zinc/71/58/89/1127715889.db2.gz WGAOKTVOLZRKHD-UHFFFAOYSA-N 1 2 283.375 3.733 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+](C)Cc2ccccc2)n1 ZINC000298208651 1127716447 /nfs/dbraw/zinc/71/64/47/1127716447.db2.gz QOAIVUSTEMEYAF-ZDUSSCGKSA-N 1 2 273.380 3.605 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+](C)Cc2ccccc2)n1 ZINC000298208651 1127716449 /nfs/dbraw/zinc/71/64/49/1127716449.db2.gz QOAIVUSTEMEYAF-ZDUSSCGKSA-N 1 2 273.380 3.605 20 0 CHADLO CC[N@H+](Cc1nccn1C(F)F)Cc1cccc(Cl)c1 ZINC000298588233 1127727303 /nfs/dbraw/zinc/72/73/03/1127727303.db2.gz QYVSAFGKAKEQHJ-UHFFFAOYSA-N 1 2 299.752 3.954 20 0 CHADLO CC[N@@H+](Cc1nccn1C(F)F)Cc1cccc(Cl)c1 ZINC000298588233 1127727304 /nfs/dbraw/zinc/72/73/04/1127727304.db2.gz QYVSAFGKAKEQHJ-UHFFFAOYSA-N 1 2 299.752 3.954 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(CN(C)Cc2cn3c([nH+]2)CCCC3)o1 ZINC000365446556 1127729443 /nfs/dbraw/zinc/72/94/43/1127729443.db2.gz IJSLLNPJIHOUSB-BBRMVZONSA-N 1 2 299.418 3.568 20 0 CHADLO CC(C)(Cc1ccccc1)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000605128636 1127729514 /nfs/dbraw/zinc/72/95/14/1127729514.db2.gz OHEHQXUCNYUDNA-UHFFFAOYSA-N 1 2 293.370 3.542 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1CCC[C@@H]1c1ccsc1 ZINC000298778079 1127732299 /nfs/dbraw/zinc/73/22/99/1127732299.db2.gz VCWPVQYFISQJEW-GXFFZTMASA-N 1 2 289.404 3.907 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1CCC[C@@H]1c1ccsc1 ZINC000298778079 1127732302 /nfs/dbraw/zinc/73/23/02/1127732302.db2.gz VCWPVQYFISQJEW-GXFFZTMASA-N 1 2 289.404 3.907 20 0 CHADLO CCS[C@H](C)c1noc(C[NH+]2CCC(C3CC3)CC2)n1 ZINC000366422454 1127745485 /nfs/dbraw/zinc/74/54/85/1127745485.db2.gz DZEXIBABRBDWNG-LLVKDONJSA-N 1 2 295.452 3.506 20 0 CHADLO C[N@H+](CCc1ccccc1F)Cc1c(Cl)ccnc1F ZINC001232618688 1127760481 /nfs/dbraw/zinc/76/04/81/1127760481.db2.gz CLLPCGOINVEPML-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO C[N@@H+](CCc1ccccc1F)Cc1c(Cl)ccnc1F ZINC001232618688 1127760488 /nfs/dbraw/zinc/76/04/88/1127760488.db2.gz CLLPCGOINVEPML-UHFFFAOYSA-N 1 2 296.748 3.688 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@@H+]1C[C@H](C)OC(C)(C)C1 ZINC000606431902 1127761534 /nfs/dbraw/zinc/76/15/34/1127761534.db2.gz CEVOIDSWLPMCSN-XHDPSFHLSA-N 1 2 283.362 3.915 20 0 CHADLO CC[C@H](c1ccc(F)cc1F)[N@H+]1C[C@H](C)OC(C)(C)C1 ZINC000606431902 1127761537 /nfs/dbraw/zinc/76/15/37/1127761537.db2.gz CEVOIDSWLPMCSN-XHDPSFHLSA-N 1 2 283.362 3.915 20 0 CHADLO C[C@@H](c1ccccc1)N(C)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000606580643 1127768115 /nfs/dbraw/zinc/76/81/15/1127768115.db2.gz XVELUTLFNTZPAE-ZDUSSCGKSA-N 1 2 294.358 3.559 20 0 CHADLO CC(C)SCC[N@@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000409446095 1127769734 /nfs/dbraw/zinc/76/97/34/1127769734.db2.gz OTPSINSBAYGUQR-OAHLLOKOSA-N 1 2 299.867 3.855 20 0 CHADLO CC(C)SCC[N@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000409446095 1127769738 /nfs/dbraw/zinc/76/97/38/1127769738.db2.gz OTPSINSBAYGUQR-OAHLLOKOSA-N 1 2 299.867 3.855 20 0 CHADLO Cc1cn2cc(NC(=O)[C@@H](C)c3cccc(C)c3)ccc2[nH+]1 ZINC000606775749 1127774119 /nfs/dbraw/zinc/77/41/19/1127774119.db2.gz ATIJVYOVDYTNJG-AWEZNQCLSA-N 1 2 293.370 3.693 20 0 CHADLO Cc1cc(F)cc([C@]2(F)CCN(c3cccc[nH+]3)C2)c1 ZINC000409669891 1127780072 /nfs/dbraw/zinc/78/00/72/1127780072.db2.gz PFKNOJAYMVJKCV-INIZCTEOSA-N 1 2 274.314 3.604 20 0 CHADLO CC[C@@]1(C)CCC[N@H+](Cn2nc(C(C)C)n(C)c2=S)C1 ZINC000173422762 1127826966 /nfs/dbraw/zinc/82/69/66/1127826966.db2.gz XFTOGKZTQYQOAJ-HNNXBMFYSA-N 1 2 296.484 3.544 20 0 CHADLO CC[C@@]1(C)CCC[N@@H+](Cn2nc(C(C)C)n(C)c2=S)C1 ZINC000173422762 1127826968 /nfs/dbraw/zinc/82/69/68/1127826968.db2.gz XFTOGKZTQYQOAJ-HNNXBMFYSA-N 1 2 296.484 3.544 20 0 CHADLO c1[nH+]c(SCCc2ccc3c(c2)CCO3)n2ccccc12 ZINC000607758631 1127827562 /nfs/dbraw/zinc/82/75/62/1127827562.db2.gz GXPXBCJWMRPEDT-UHFFFAOYSA-N 1 2 296.395 3.604 20 0 CHADLO CC[C@@]1(C)C[C@@H]1C(=O)Nc1cc(-c2[nH]cc[nH+]2)ccc1C ZINC000373697420 1127857309 /nfs/dbraw/zinc/85/73/09/1127857309.db2.gz QVTLDZZREBZZMW-DYVFJYSZSA-N 1 2 283.375 3.760 20 0 CHADLO FC[C@@H]1C[N@H+](Cc2cccc(Cl)c2Cl)CCCO1 ZINC000411850814 1127876710 /nfs/dbraw/zinc/87/67/10/1127876710.db2.gz GAHVHUSWUUWHFE-LLVKDONJSA-N 1 2 292.181 3.554 20 0 CHADLO FC[C@@H]1C[N@@H+](Cc2cccc(Cl)c2Cl)CCCO1 ZINC000411850814 1127876715 /nfs/dbraw/zinc/87/67/15/1127876715.db2.gz GAHVHUSWUUWHFE-LLVKDONJSA-N 1 2 292.181 3.554 20 0 CHADLO Brc1coc(C[NH+]2CC(c3ccccc3)C2)c1 ZINC001232857920 1127886506 /nfs/dbraw/zinc/88/65/06/1127886506.db2.gz XXZYLNKPADQJTM-UHFFFAOYSA-N 1 2 292.176 3.642 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ncnn2CC(C)C)cc1 ZINC000374877824 1127918469 /nfs/dbraw/zinc/91/84/69/1127918469.db2.gz OSCOJRKWOKVBQH-QGZVFWFLSA-N 1 2 298.434 3.580 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ncnn2CC(C)C)cc1 ZINC000374877824 1127918471 /nfs/dbraw/zinc/91/84/71/1127918471.db2.gz OSCOJRKWOKVBQH-QGZVFWFLSA-N 1 2 298.434 3.580 20 0 CHADLO Clc1cnc(C[NH+]2C3CCC2CC3)c(Cl)c1 ZINC000539723038 1127936718 /nfs/dbraw/zinc/93/67/18/1127936718.db2.gz KHIPGBKHRHMIOF-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO Fc1ccc([C@H]2CCCN(c3cccc[nH+]3)CC2)cc1 ZINC000539773114 1127939240 /nfs/dbraw/zinc/93/92/40/1127939240.db2.gz ZJEABAQMFLXEDT-AWEZNQCLSA-N 1 2 270.351 3.995 20 0 CHADLO CC(C)[N@H+](Cc1c(F)cccc1Br)C1CC1 ZINC000540066542 1127957907 /nfs/dbraw/zinc/95/79/07/1127957907.db2.gz KOWKZMQYIQULNR-UHFFFAOYSA-N 1 2 286.188 3.961 20 0 CHADLO CC(C)[N@@H+](Cc1c(F)cccc1Br)C1CC1 ZINC000540066542 1127957909 /nfs/dbraw/zinc/95/79/09/1127957909.db2.gz KOWKZMQYIQULNR-UHFFFAOYSA-N 1 2 286.188 3.961 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@@H+]1Cc1cnc(C2CCCC2)s1 ZINC000505605685 1127958233 /nfs/dbraw/zinc/95/82/33/1127958233.db2.gz DDUITXPYHMRBFI-GXTWGEPZSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@@H]1CO[C@@H](C)C[N@H+]1Cc1cnc(C2CCCC2)s1 ZINC000505605685 1127958235 /nfs/dbraw/zinc/95/82/35/1127958235.db2.gz DDUITXPYHMRBFI-GXTWGEPZSA-N 1 2 294.464 3.800 20 0 CHADLO Cc1nc(F)ccc1CNc1[nH+]c2ccccc2n1C1CC1 ZINC000784297762 1127990023 /nfs/dbraw/zinc/99/00/23/1127990023.db2.gz FLTUTUFFLODXLZ-UHFFFAOYSA-N 1 2 296.349 3.826 20 0 CHADLO Cc1ccc([N@H+](C)CC2CCC3(CC2)OCCO3)cc1C ZINC000753706258 1128001408 /nfs/dbraw/zinc/00/14/08/1128001408.db2.gz YWNRFSBDRMQKDO-UHFFFAOYSA-N 1 2 289.419 3.673 20 0 CHADLO Cc1ccc([N@@H+](C)CC2CCC3(CC2)OCCO3)cc1C ZINC000753706258 1128001413 /nfs/dbraw/zinc/00/14/13/1128001413.db2.gz YWNRFSBDRMQKDO-UHFFFAOYSA-N 1 2 289.419 3.673 20 0 CHADLO CCCC[C@@H]([NH2+]C1CC(c2ccccc2C)C1)C(=O)OC ZINC000545205278 1128004762 /nfs/dbraw/zinc/00/47/62/1128004762.db2.gz DUUBCUIYODPKSY-VMBOVVBDSA-N 1 2 289.419 3.562 20 0 CHADLO CC(C)OC[C@@H]1C[N@@H+]([C@H](C)c2cccc(Cl)c2)CCO1 ZINC000545324853 1128011648 /nfs/dbraw/zinc/01/16/48/1128011648.db2.gz FUCZRGDOEQDPBC-CJNGLKHVSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@@H]1C[N@H+]([C@H](C)c2cccc(Cl)c2)CCO1 ZINC000545324853 1128011652 /nfs/dbraw/zinc/01/16/52/1128011652.db2.gz FUCZRGDOEQDPBC-CJNGLKHVSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@@H]1C[N@@H+]([C@@H](C)c2cccc(Cl)c2)CCO1 ZINC000545324852 1128011971 /nfs/dbraw/zinc/01/19/71/1128011971.db2.gz FUCZRGDOEQDPBC-BBRMVZONSA-N 1 2 297.826 3.527 20 0 CHADLO CC(C)OC[C@@H]1C[N@H+]([C@@H](C)c2cccc(Cl)c2)CCO1 ZINC000545324852 1128011976 /nfs/dbraw/zinc/01/19/76/1128011976.db2.gz FUCZRGDOEQDPBC-BBRMVZONSA-N 1 2 297.826 3.527 20 0 CHADLO Cc1cc(NCc2ccc(CC(C)C)nc2)c[nH+]c1C ZINC001203486372 1128016549 /nfs/dbraw/zinc/01/65/49/1128016549.db2.gz ZBGWLBVZCZBBBY-UHFFFAOYSA-N 1 2 269.392 3.904 20 0 CHADLO C[N@@H+](Cc1noc(C2CCCCC2)n1)C[C@H]1CC=CCC1 ZINC000541314548 1128016855 /nfs/dbraw/zinc/01/68/55/1128016855.db2.gz FWVWFHZFAGUQNT-AWEZNQCLSA-N 1 2 289.423 3.905 20 0 CHADLO C[N@H+](Cc1noc(C2CCCCC2)n1)C[C@H]1CC=CCC1 ZINC000541314548 1128016858 /nfs/dbraw/zinc/01/68/58/1128016858.db2.gz FWVWFHZFAGUQNT-AWEZNQCLSA-N 1 2 289.423 3.905 20 0 CHADLO C[C@@H](COCC(F)(F)F)Nc1cc2ccccc2c[nH+]1 ZINC000631079585 1128035570 /nfs/dbraw/zinc/03/55/70/1128035570.db2.gz INZYBKMKXKDMLW-JTQLQIEISA-N 1 2 284.281 3.614 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2ccc(-c3ccccc3)cc2)o1 ZINC000541686251 1128033544 /nfs/dbraw/zinc/03/35/44/1128033544.db2.gz IAJIDVPIHVOOIM-CYBMUJFWSA-N 1 2 293.370 3.896 20 0 CHADLO Fc1ccccc1CC[N@@H+]1CCc2c3ccccc3[nH]c2C1 ZINC000469072494 1128034280 /nfs/dbraw/zinc/03/42/80/1128034280.db2.gz UFTKNENMBQUEAH-UHFFFAOYSA-N 1 2 294.373 3.908 20 0 CHADLO Fc1ccccc1CC[N@H+]1CCc2c3ccccc3[nH]c2C1 ZINC000469072494 1128034285 /nfs/dbraw/zinc/03/42/85/1128034285.db2.gz UFTKNENMBQUEAH-UHFFFAOYSA-N 1 2 294.373 3.908 20 0 CHADLO O=C(/C=C\c1ccsc1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000491366337 1128068423 /nfs/dbraw/zinc/06/84/23/1128068423.db2.gz LPNINUSCGPPBTN-BHQIHCQQSA-N 1 2 295.367 3.790 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@@H+]1CCOc2cccc(F)c2C1 ZINC000669941699 1128080367 /nfs/dbraw/zinc/08/03/67/1128080367.db2.gz ZDMCGZUKBFEUGV-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1ccc(F)cc1)[N@H+]1CCOc2cccc(F)c2C1 ZINC000669941699 1128080371 /nfs/dbraw/zinc/08/03/71/1128080371.db2.gz ZDMCGZUKBFEUGV-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO FC(F)C[N@H+]1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2 ZINC000669944707 1128084171 /nfs/dbraw/zinc/08/41/71/1128084171.db2.gz HYXAWCNBEUUVRF-BTTYYORXSA-N 1 2 251.320 3.662 20 0 CHADLO FC(F)C[N@@H+]1[C@@H]2CC[C@H]1C[C@@H](c1ccccc1)C2 ZINC000669944707 1128084176 /nfs/dbraw/zinc/08/41/76/1128084176.db2.gz HYXAWCNBEUUVRF-BTTYYORXSA-N 1 2 251.320 3.662 20 0 CHADLO CC(C)[C@H](C)CC(=O)N[C@@H](C)c1cccc(-n2cc[nH+]c2)c1 ZINC000173931527 1128095349 /nfs/dbraw/zinc/09/53/49/1128095349.db2.gz VBNIHESLIDTTRL-CABCVRRESA-N 1 2 299.418 3.732 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2ccncc2Cl)sc1C ZINC000174881402 1128108090 /nfs/dbraw/zinc/10/80/90/1128108090.db2.gz NVBJHANDCZQWDH-UHFFFAOYSA-N 1 2 295.839 3.833 20 0 CHADLO COc1ccnc([C@@H](C)[NH2+][C@@H](C)c2c(F)cccc2F)c1 ZINC000924720461 1128110664 /nfs/dbraw/zinc/11/06/64/1128110664.db2.gz IEOMCQSICWBORF-MNOVXSKESA-N 1 2 292.329 3.780 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+][C@H](C)c1ccccc1C(F)(F)F ZINC000924764953 1128112421 /nfs/dbraw/zinc/11/24/21/1128112421.db2.gz CWVNXMJHRYMXSJ-RKDXNWHRSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1nn(C)c(C[NH2+][C@H](C)c2ccccc2Cl)c1Cl ZINC000089232333 1128113183 /nfs/dbraw/zinc/11/31/83/1128113183.db2.gz GQCVJFBKYXENKA-SECBINFHSA-N 1 2 298.217 3.886 20 0 CHADLO CC(C)[C@H]1COCC[C@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000925728804 1128138753 /nfs/dbraw/zinc/13/87/53/1128138753.db2.gz WMANVOLBFPVTDD-QZTJIDSGSA-N 1 2 288.435 3.760 20 0 CHADLO CC(C)[C@H]1COCC[C@H]1[NH2+]c1ccc(N2CCCC2)cc1 ZINC000925728804 1128138755 /nfs/dbraw/zinc/13/87/55/1128138755.db2.gz WMANVOLBFPVTDD-QZTJIDSGSA-N 1 2 288.435 3.760 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCC(F)(F)C1)C(F)(F)c1ccccc1 ZINC000925928325 1128146725 /nfs/dbraw/zinc/14/67/25/1128146725.db2.gz BDHZFJBLXQWVGW-CMPLNLGQSA-N 1 2 275.289 3.944 20 0 CHADLO Cc1cc([NH2+][C@@H]2CC[C@H]3COCC[C@H]3C2)ccc1N(C)C ZINC000926053360 1128151104 /nfs/dbraw/zinc/15/11/04/1128151104.db2.gz ZYMSVHSRFKFHHR-YQQAZPJKSA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N[C@@H]2CC[C@H]3COCC[C@H]3C2)ccc1[NH+](C)C ZINC000926053360 1128151106 /nfs/dbraw/zinc/15/11/06/1128151106.db2.gz ZYMSVHSRFKFHHR-YQQAZPJKSA-N 1 2 288.435 3.678 20 0 CHADLO C[C@@H](Cc1ccccn1)Nc1ccc2c(c1)CCC[N@H+]2C ZINC000926066226 1128152686 /nfs/dbraw/zinc/15/26/86/1128152686.db2.gz KZRWADAWWVNUTK-AWEZNQCLSA-N 1 2 281.403 3.507 20 0 CHADLO C[C@@H](Cc1ccccn1)Nc1ccc2c(c1)CCC[N@@H+]2C ZINC000926066226 1128152687 /nfs/dbraw/zinc/15/26/87/1128152687.db2.gz KZRWADAWWVNUTK-AWEZNQCLSA-N 1 2 281.403 3.507 20 0 CHADLO C[C@@H](Cc1ccccn1)[NH2+]c1ccc2c(c1)CCCN2C ZINC000926066226 1128152690 /nfs/dbraw/zinc/15/26/90/1128152690.db2.gz KZRWADAWWVNUTK-AWEZNQCLSA-N 1 2 281.403 3.507 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@@H](C)c2nonc2C)cc1 ZINC000926351163 1128168508 /nfs/dbraw/zinc/16/85/08/1128168508.db2.gz GPXFVBCAMNCNCG-UWVGGRQHSA-N 1 2 277.393 3.512 20 0 CHADLO C/C(=C/c1ccccc1)C[N@@H+](C)Cc1nc(C(C)C)no1 ZINC000494290213 1128168765 /nfs/dbraw/zinc/16/87/65/1128168765.db2.gz JOWDCLUZYQITQB-UVTDQMKNSA-N 1 2 285.391 3.728 20 0 CHADLO C/C(=C/c1ccccc1)C[N@H+](C)Cc1nc(C(C)C)no1 ZINC000494290213 1128168768 /nfs/dbraw/zinc/16/87/68/1128168768.db2.gz JOWDCLUZYQITQB-UVTDQMKNSA-N 1 2 285.391 3.728 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2cc(-c3ccccc3)no2)o1 ZINC000926536599 1128179334 /nfs/dbraw/zinc/17/93/34/1128179334.db2.gz FJWKLTMRFNXJBN-GFCCVEGCSA-N 1 2 297.358 3.743 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCCCCCO ZINC000224479513 1128181772 /nfs/dbraw/zinc/18/17/72/1128181772.db2.gz PLBONBFXDLILOD-UHFFFAOYSA-N 1 2 258.365 3.508 20 0 CHADLO Cc1ccc([C@H](C)Nc2ccc([NH+](C)C)cc2)c(C)n1 ZINC000777601877 1128184831 /nfs/dbraw/zinc/18/48/31/1128184831.db2.gz GIKVLUQLFAFXQY-AWEZNQCLSA-N 1 2 269.392 3.938 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cn(C(C)C)nn1)c1ccccc1F ZINC000926660469 1128186958 /nfs/dbraw/zinc/18/69/58/1128186958.db2.gz LEWMQNQKALNVAW-IUODEOHRSA-N 1 2 290.386 3.800 20 0 CHADLO C[C@@H]([NH2+][C@H]1COCc2cccc(Cl)c21)c1ccns1 ZINC000926775080 1128193311 /nfs/dbraw/zinc/19/33/11/1128193311.db2.gz WBXXZSNGEFDGIB-SKDRFNHKSA-N 1 2 294.807 3.719 20 0 CHADLO Cl/C=C\C[NH+](C/C=C\Cl)Cc1ccccc1 ZINC000914875174 1128258503 /nfs/dbraw/zinc/25/85/03/1128258503.db2.gz YZOVFQDVVYVSBI-XEQVNJCQSA-N 1 2 256.176 3.994 20 0 CHADLO C[C@H]([NH2+]Cc1csc(C(C)(C)C)n1)c1cncs1 ZINC000153396521 1128258808 /nfs/dbraw/zinc/25/88/08/1128258808.db2.gz KSNKPEKKUJTRCJ-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO Cc1cc(F)c(C[NH2+][C@H](C)c2ccccn2)c(F)c1 ZINC000927093168 1128216770 /nfs/dbraw/zinc/21/67/70/1128216770.db2.gz JPTNNNYOKJOJKH-LLVKDONJSA-N 1 2 262.303 3.519 20 0 CHADLO C[N@H+](C/C=C\c1ccncc1)Cc1nc2c(s1)CCCC2 ZINC000494996221 1128222980 /nfs/dbraw/zinc/22/29/80/1128222980.db2.gz LFZGZFKFALVXBV-PLNGDYQASA-N 1 2 299.443 3.562 20 0 CHADLO C[N@@H+](C/C=C\c1ccncc1)Cc1nc2c(s1)CCCC2 ZINC000494996221 1128222984 /nfs/dbraw/zinc/22/29/84/1128222984.db2.gz LFZGZFKFALVXBV-PLNGDYQASA-N 1 2 299.443 3.562 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc(N3CCCCC3)c[nH+]2)[C@H]1C ZINC000495079407 1128229277 /nfs/dbraw/zinc/22/92/77/1128229277.db2.gz OETFXIFWXZFAMK-VNHYZAJKSA-N 1 2 259.397 3.528 20 0 CHADLO COC[C@H](CC(C)C)Nc1ccc([NH+](C)C)c(C)c1 ZINC000777640137 1128232348 /nfs/dbraw/zinc/23/23/48/1128232348.db2.gz JOHNQVPPNNGNPE-HNNXBMFYSA-N 1 2 264.413 3.534 20 0 CHADLO COC[C@@H](CC(C)C)Nc1ccc([NH+](C)C)c(C)c1 ZINC000777640138 1128232783 /nfs/dbraw/zinc/23/27/83/1128232783.db2.gz JOHNQVPPNNGNPE-OAHLLOKOSA-N 1 2 264.413 3.534 20 0 CHADLO CN1CCCc2cc([NH2+]C(C3CC3)C3CC3)ccc21 ZINC000777656875 1128233919 /nfs/dbraw/zinc/23/39/19/1128233919.db2.gz LYASSKNRWVRKFB-UHFFFAOYSA-N 1 2 256.393 3.670 20 0 CHADLO CCOc1ccc2c(c1)C[N@@H+](Cc1cnc(C3CC3)o1)CC2 ZINC001118938903 1131242799 /nfs/dbraw/zinc/24/27/99/1131242799.db2.gz PXKDIGSCTASABV-UHFFFAOYSA-N 1 2 298.386 3.509 20 0 CHADLO CCOc1ccc2c(c1)C[N@H+](Cc1cnc(C3CC3)o1)CC2 ZINC001118938903 1131242804 /nfs/dbraw/zinc/24/28/04/1131242804.db2.gz PXKDIGSCTASABV-UHFFFAOYSA-N 1 2 298.386 3.509 20 0 CHADLO C[N@H+](Cn1cc(-c2ccc(F)cc2)oc1=S)C1CC1 ZINC000914783975 1128242835 /nfs/dbraw/zinc/24/28/35/1128242835.db2.gz NINFNZQXGNMSSD-UHFFFAOYSA-N 1 2 278.352 3.668 20 0 CHADLO C[N@@H+](Cn1cc(-c2ccc(F)cc2)oc1=S)C1CC1 ZINC000914783975 1128242836 /nfs/dbraw/zinc/24/28/36/1128242836.db2.gz NINFNZQXGNMSSD-UHFFFAOYSA-N 1 2 278.352 3.668 20 0 CHADLO CC[C@H](c1ccccc1)[N@H+](CC)Cc1nncs1 ZINC000929385164 1128272555 /nfs/dbraw/zinc/27/25/55/1128272555.db2.gz NXUHIFGCOCTEFE-CYBMUJFWSA-N 1 2 261.394 3.511 20 0 CHADLO CC[C@H](c1ccccc1)[N@@H+](CC)Cc1nncs1 ZINC000929385164 1128272556 /nfs/dbraw/zinc/27/25/56/1128272556.db2.gz NXUHIFGCOCTEFE-CYBMUJFWSA-N 1 2 261.394 3.511 20 0 CHADLO C[C@H]1CC2(CCC2)C[N@@H+]1Cn1nc(C(C)(C)C)oc1=S ZINC000762139673 1128273615 /nfs/dbraw/zinc/27/36/15/1128273615.db2.gz BYJKUJISNWAHMM-NSHDSACASA-N 1 2 295.452 3.725 20 0 CHADLO C[C@H]1CC2(CCC2)C[N@H+]1Cn1nc(C(C)(C)C)oc1=S ZINC000762139673 1128273617 /nfs/dbraw/zinc/27/36/17/1128273617.db2.gz BYJKUJISNWAHMM-NSHDSACASA-N 1 2 295.452 3.725 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ncccn1)c1ccc(Cl)cc1 ZINC000929860684 1128280230 /nfs/dbraw/zinc/28/02/30/1128280230.db2.gz DESRLQLPUZFFSW-QWRGUYRKSA-N 1 2 261.756 3.542 20 0 CHADLO CC[C@H]([NH2+][C@@H](CC(C)(C)C)C(F)(F)F)[C@@H]1CCOC1 ZINC000495395967 1128280361 /nfs/dbraw/zinc/28/03/61/1128280361.db2.gz KHPZVKLDPLSBSF-WOPDTQHZSA-N 1 2 281.362 3.758 20 0 CHADLO CCc1onc(C)c1C[N@@H+]1CC[C@H]1c1cccc(F)c1 ZINC000929785969 1128287389 /nfs/dbraw/zinc/28/73/89/1128287389.db2.gz HAZIJCSGZAETKF-HNNXBMFYSA-N 1 2 274.339 3.632 20 0 CHADLO CCc1onc(C)c1C[N@H+]1CC[C@H]1c1cccc(F)c1 ZINC000929785969 1128287391 /nfs/dbraw/zinc/28/73/91/1128287391.db2.gz HAZIJCSGZAETKF-HNNXBMFYSA-N 1 2 274.339 3.632 20 0 CHADLO C(=C\[C@H]1CCCCC[N@H+]1Cc1nncs1)\c1ccccc1 ZINC000929826652 1128289435 /nfs/dbraw/zinc/28/94/35/1128289435.db2.gz YHNOHDMXSSPDPT-BLIJAFNYSA-N 1 2 299.443 3.996 20 0 CHADLO C(=C\[C@H]1CCCCC[N@@H+]1Cc1nncs1)\c1ccccc1 ZINC000929826652 1128289437 /nfs/dbraw/zinc/28/94/37/1128289437.db2.gz YHNOHDMXSSPDPT-BLIJAFNYSA-N 1 2 299.443 3.996 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000929766486 1128286169 /nfs/dbraw/zinc/28/61/69/1128286169.db2.gz MZRJQNIUPKYNEH-NWDGAFQWSA-N 1 2 256.324 3.859 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1Cc2ccccc2[C@H]1C ZINC000929766486 1128286174 /nfs/dbraw/zinc/28/61/74/1128286174.db2.gz MZRJQNIUPKYNEH-NWDGAFQWSA-N 1 2 256.324 3.859 20 0 CHADLO CCSc1nn(C[N@H+](C)[C@@H](C)C(C)C)c(=S)s1 ZINC000915208849 1128292300 /nfs/dbraw/zinc/29/23/00/1128292300.db2.gz IARUPWFENQDQRA-VIFPVBQESA-N 1 2 291.511 3.720 20 0 CHADLO CCSc1nn(C[N@@H+](C)[C@@H](C)C(C)C)c(=S)s1 ZINC000915208849 1128292302 /nfs/dbraw/zinc/29/23/02/1128292302.db2.gz IARUPWFENQDQRA-VIFPVBQESA-N 1 2 291.511 3.720 20 0 CHADLO CC[C@@H](c1ccncc1)[N@H+](C)Cc1ncc(C(C)C)o1 ZINC000762331383 1128292876 /nfs/dbraw/zinc/29/28/76/1128292876.db2.gz NXHJXQTUPVDFOD-AWEZNQCLSA-N 1 2 273.380 3.776 20 0 CHADLO CC[C@@H](c1ccncc1)[N@@H+](C)Cc1ncc(C(C)C)o1 ZINC000762331383 1128292879 /nfs/dbraw/zinc/29/28/79/1128292879.db2.gz NXHJXQTUPVDFOD-AWEZNQCLSA-N 1 2 273.380 3.776 20 0 CHADLO CC(C)c1nsc(C[NH2+]C(C)(C)c2cccc(F)c2)n1 ZINC000930221168 1128308499 /nfs/dbraw/zinc/30/84/99/1128308499.db2.gz ILBSPFYBLJUUCA-UHFFFAOYSA-N 1 2 293.411 3.826 20 0 CHADLO CCC[C@H]([NH2+]Cc1nn(C)cc1C)c1ccc(F)cc1F ZINC000930233584 1128309175 /nfs/dbraw/zinc/30/91/75/1128309175.db2.gz RJUQVMIMLDXUBI-HNNXBMFYSA-N 1 2 293.361 3.638 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@H](C)c1ncccn1 ZINC000930332260 1128314665 /nfs/dbraw/zinc/31/46/65/1128314665.db2.gz HQTURHHAHROGOD-VXGBXAGGSA-N 1 2 273.405 3.610 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1Cc2ccccc2O[C@@H](C)C1 ZINC000930555277 1128325456 /nfs/dbraw/zinc/32/54/56/1128325456.db2.gz BGOVUFUAYHHUMO-STQMWFEESA-N 1 2 286.350 3.565 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1Cc2ccccc2O[C@@H](C)C1 ZINC000930555277 1128325458 /nfs/dbraw/zinc/32/54/58/1128325458.db2.gz BGOVUFUAYHHUMO-STQMWFEESA-N 1 2 286.350 3.565 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+]1Cc2ccccc2O[C@@H](C)C1 ZINC000930555273 1128325502 /nfs/dbraw/zinc/32/55/02/1128325502.db2.gz BGOVUFUAYHHUMO-QWHCGFSZSA-N 1 2 286.350 3.565 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+]1Cc2ccccc2O[C@@H](C)C1 ZINC000930555273 1128325506 /nfs/dbraw/zinc/32/55/06/1128325506.db2.gz BGOVUFUAYHHUMO-QWHCGFSZSA-N 1 2 286.350 3.565 20 0 CHADLO CCCCNc1[nH+]c2ccccc2n1Cc1cccnc1 ZINC000915669669 1128333736 /nfs/dbraw/zinc/33/37/36/1128333736.db2.gz QBTXNLNNUGUULV-UHFFFAOYSA-N 1 2 280.375 3.692 20 0 CHADLO CC(C)(C)c1nc(C[NH+]2CCC(OC3CCC3)CC2)co1 ZINC000930743774 1128333768 /nfs/dbraw/zinc/33/37/68/1128333768.db2.gz VZWZRJKXUOUVNX-UHFFFAOYSA-N 1 2 292.423 3.506 20 0 CHADLO CC(C)N(Cc1cccs1)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000930621578 1128327982 /nfs/dbraw/zinc/32/79/82/1128327982.db2.gz NTAZOCICFWIRLH-UHFFFAOYSA-N 1 2 299.399 3.675 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@@H](F)Cc2ccccc2)c(C)[nH+]1 ZINC000777925100 1128330416 /nfs/dbraw/zinc/33/04/16/1128330416.db2.gz YIWFJDHLQCPHST-HNNXBMFYSA-N 1 2 286.350 3.526 20 0 CHADLO CC(C)c1ccccc1C[NH2+][C@@H](C)c1csnn1 ZINC000930725795 1128332950 /nfs/dbraw/zinc/33/29/50/1128332950.db2.gz HKRAOKQZYGJRFJ-NSHDSACASA-N 1 2 261.394 3.512 20 0 CHADLO Cc1nnc([C@H]2Cc3ccccc3C[N@@H+]2[C@H]2C=CCCC2)o1 ZINC000171733883 1128340821 /nfs/dbraw/zinc/34/08/21/1128340821.db2.gz BDSQAEZXKQESLV-DLBZAZTESA-N 1 2 295.386 3.586 20 0 CHADLO Cc1nnc([C@H]2Cc3ccccc3C[N@H+]2[C@H]2C=CCCC2)o1 ZINC000171733883 1128340825 /nfs/dbraw/zinc/34/08/25/1128340825.db2.gz BDSQAEZXKQESLV-DLBZAZTESA-N 1 2 295.386 3.586 20 0 CHADLO CCc1ccc(CCC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000074932091 1128355818 /nfs/dbraw/zinc/35/58/18/1128355818.db2.gz RHVIYMYGKIOJPE-UHFFFAOYSA-N 1 2 268.360 3.524 20 0 CHADLO Cc1cccc(C(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)c1 ZINC000054225344 1128359917 /nfs/dbraw/zinc/35/99/17/1128359917.db2.gz XYBCDOCWBMRZIY-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1cc(F)ccc1[C@H](C)[NH2+]Cc1nc2c(o1)CCCC2 ZINC000932561403 1128414764 /nfs/dbraw/zinc/41/47/64/1128414764.db2.gz POIMZVWCYIOTKL-LBPRGKRZSA-N 1 2 288.366 3.852 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccc(C(F)(F)F)c2)Cc2c[nH]nc21 ZINC000931454824 1128363096 /nfs/dbraw/zinc/36/30/96/1128363096.db2.gz FQQXLXLFCVRJFY-JTQLQIEISA-N 1 2 295.308 3.548 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccc(C(F)(F)F)c2)Cc2c[nH]nc21 ZINC000931454824 1128363100 /nfs/dbraw/zinc/36/31/00/1128363100.db2.gz FQQXLXLFCVRJFY-JTQLQIEISA-N 1 2 295.308 3.548 20 0 CHADLO C[C@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1ccc(F)nc1 ZINC000931559336 1128369045 /nfs/dbraw/zinc/36/90/45/1128369045.db2.gz YDPNTGPKKSHYDL-HZMBPMFUSA-N 1 2 280.293 3.721 20 0 CHADLO CCSc1ccccc1C[NH2+]C1(c2ncccn2)CCC1 ZINC000931693744 1128376867 /nfs/dbraw/zinc/37/68/67/1128376867.db2.gz YPOSWRXIVIWXGO-UHFFFAOYSA-N 1 2 299.443 3.758 20 0 CHADLO O=C(OC1CCCCCC1)c1cccc(Cn2cc[nH+]c2)c1 ZINC000916295712 1128415884 /nfs/dbraw/zinc/41/58/84/1128415884.db2.gz UALZDENKVOQRBI-UHFFFAOYSA-N 1 2 298.386 3.811 20 0 CHADLO CCc1ncc(C[NH2+]C(C)(C)c2ccc(F)cc2F)o1 ZINC000931754861 1128379761 /nfs/dbraw/zinc/37/97/61/1128379761.db2.gz MRFKJNZTOXKMRU-UHFFFAOYSA-N 1 2 280.318 3.540 20 0 CHADLO CCCCCOc1ccc(C[N@@H+]2CCOCC23CC3)cc1 ZINC000670273182 1128382695 /nfs/dbraw/zinc/38/26/95/1128382695.db2.gz RJPIQYMCGVBGGS-UHFFFAOYSA-N 1 2 289.419 3.620 20 0 CHADLO CCCCCOc1ccc(C[N@H+]2CCOCC23CC3)cc1 ZINC000670273182 1128382698 /nfs/dbraw/zinc/38/26/98/1128382698.db2.gz RJPIQYMCGVBGGS-UHFFFAOYSA-N 1 2 289.419 3.620 20 0 CHADLO Cc1nc(C(C)C)ccc1C[NH2+][C@@H](c1ncccn1)C1CC1 ZINC000932355217 1128405663 /nfs/dbraw/zinc/40/56/63/1128405663.db2.gz CLJPVJQDFGVDPV-QGZVFWFLSA-N 1 2 296.418 3.544 20 0 CHADLO c1sc(C[NH2+][C@@H](c2ccccn2)C2CC2)nc1C1CC1 ZINC000932382821 1128406287 /nfs/dbraw/zinc/40/62/87/1128406287.db2.gz KZHZATPOUJZSLY-MRXNPFEDSA-N 1 2 285.416 3.656 20 0 CHADLO CC(C)C[N@H+](Cc1coc(C2CC2)n1)Cc1ccccn1 ZINC000932448858 1128411228 /nfs/dbraw/zinc/41/12/28/1128411228.db2.gz XZPAZGBOSPKHHX-UHFFFAOYSA-N 1 2 285.391 3.605 20 0 CHADLO CC(C)C[N@@H+](Cc1coc(C2CC2)n1)Cc1ccccn1 ZINC000932448858 1128411229 /nfs/dbraw/zinc/41/12/29/1128411229.db2.gz XZPAZGBOSPKHHX-UHFFFAOYSA-N 1 2 285.391 3.605 20 0 CHADLO CCn1cnc2c1CC[N@H+](Cc1csc3ccccc13)C2 ZINC000933263102 1128432576 /nfs/dbraw/zinc/43/25/76/1128432576.db2.gz XRNTWWMQKRETQT-UHFFFAOYSA-N 1 2 297.427 3.676 20 0 CHADLO CCn1cnc2c1CC[N@@H+](Cc1csc3ccccc13)C2 ZINC000933263102 1128432577 /nfs/dbraw/zinc/43/25/77/1128432577.db2.gz XRNTWWMQKRETQT-UHFFFAOYSA-N 1 2 297.427 3.676 20 0 CHADLO CCOc1cccc(C[NH2+][C@H](C)c2c(F)cccc2F)n1 ZINC000763403456 1128432813 /nfs/dbraw/zinc/43/28/13/1128432813.db2.gz OCABYYZMYCIJIQ-LLVKDONJSA-N 1 2 292.329 3.609 20 0 CHADLO CC[C@@H](Cc1ccccc1)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000562944461 1128441909 /nfs/dbraw/zinc/44/19/09/1128441909.db2.gz WHWLNLMWFOCGOR-SFHVURJKSA-N 1 2 296.414 3.584 20 0 CHADLO CCOC[C@H]1CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000563037445 1128447535 /nfs/dbraw/zinc/44/75/35/1128447535.db2.gz CABFQANUWPJMHN-ZDUSSCGKSA-N 1 2 288.366 3.627 20 0 CHADLO CCC[C@](C)([NH2+]Cc1nc(C(C)C)no1)c1ccccc1 ZINC000934185189 1128453887 /nfs/dbraw/zinc/45/38/87/1128453887.db2.gz XTWAGZSGMSNROD-KRWDZBQOSA-N 1 2 287.407 3.998 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)cc1Cl)c1cncs1 ZINC000934195452 1128454744 /nfs/dbraw/zinc/45/47/44/1128454744.db2.gz OPXNXCFNIFEONY-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO c1cn(-c2ccc(-c3nc4cnccc4s3)cc2)c[nH+]1 ZINC000916854152 1128457991 /nfs/dbraw/zinc/45/79/91/1128457991.db2.gz BLYSITIAJDHRQB-UHFFFAOYSA-N 1 2 278.340 3.544 20 0 CHADLO Cc1ccc2c(c1)nc(-c1ccc(-n3cc[nH+]c3)cc1)n2C ZINC000916854731 1128458009 /nfs/dbraw/zinc/45/80/09/1128458009.db2.gz MPBSYKPNDIVCSP-UHFFFAOYSA-N 1 2 288.354 3.734 20 0 CHADLO Fc1cccnc1C[NH2+]Cc1ccc(-c2ccccc2)o1 ZINC000126355056 1128463536 /nfs/dbraw/zinc/46/35/36/1128463536.db2.gz YQDGBCPEAKRPOB-UHFFFAOYSA-N 1 2 282.318 3.771 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC=C(c3cccc(C)c3C)CC2)o1 ZINC000934844181 1128470866 /nfs/dbraw/zinc/47/08/66/1128470866.db2.gz LFMUCJRTWPJWTI-AWEZNQCLSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC=C(c3cccc(C)c3C)CC2)o1 ZINC000934844181 1128470868 /nfs/dbraw/zinc/47/08/68/1128470868.db2.gz LFMUCJRTWPJWTI-AWEZNQCLSA-N 1 2 297.402 3.845 20 0 CHADLO Cc1nc([C@@H](C)[NH+]2CCC(c3cc(C)ccc3C)CC2)no1 ZINC000934796811 1128470225 /nfs/dbraw/zinc/47/02/25/1128470225.db2.gz ITVPYTVLMJMPGJ-CQSZACIVSA-N 1 2 299.418 3.935 20 0 CHADLO Cc1ccc(C)c(C2CC[NH+](Cc3nncs3)CC2)c1 ZINC000934797883 1128470228 /nfs/dbraw/zinc/47/02/28/1128470228.db2.gz UTYRVHTVKBTLPH-UHFFFAOYSA-N 1 2 287.432 3.535 20 0 CHADLO Fc1ccc(C[N@H+](C/C=C\Cl)C2CC2)c(F)c1 ZINC000917063617 1128472950 /nfs/dbraw/zinc/47/29/50/1128472950.db2.gz LKUWYBPDAQEGLU-BHQIHCQQSA-N 1 2 257.711 3.682 20 0 CHADLO Fc1ccc(C[N@@H+](C/C=C\Cl)C2CC2)c(F)c1 ZINC000917063617 1128472952 /nfs/dbraw/zinc/47/29/52/1128472952.db2.gz LKUWYBPDAQEGLU-BHQIHCQQSA-N 1 2 257.711 3.682 20 0 CHADLO Cc1cc(NC(=O)c2ccccc2C2CCC2)c(C)c[nH+]1 ZINC000935015646 1128476414 /nfs/dbraw/zinc/47/64/14/1128476414.db2.gz VTJCCUZMBWHKDK-UHFFFAOYSA-N 1 2 280.371 3.640 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)C/C=C\c2ccc(Cl)cc2)n1 ZINC000563968847 1128487833 /nfs/dbraw/zinc/48/78/33/1128487833.db2.gz GVHQZHWHXKJSSH-DOGVGXBMSA-N 1 2 291.782 3.738 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)C/C=C\c2ccc(Cl)cc2)n1 ZINC000563968847 1128487835 /nfs/dbraw/zinc/48/78/35/1128487835.db2.gz GVHQZHWHXKJSSH-DOGVGXBMSA-N 1 2 291.782 3.738 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(Cc3ccccc3)n2)[C@H]1C ZINC000564231974 1128499754 /nfs/dbraw/zinc/49/97/54/1128499754.db2.gz RUELTOPTUCVQAQ-OLZOCXBDSA-N 1 2 272.417 3.574 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(Cc3ccccc3)n2)[C@H]1C ZINC000564231974 1128499755 /nfs/dbraw/zinc/49/97/55/1128499755.db2.gz RUELTOPTUCVQAQ-OLZOCXBDSA-N 1 2 272.417 3.574 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1cn(C)cn1)c1cc(F)ccc1F ZINC000925454793 1128558113 /nfs/dbraw/zinc/55/81/13/1128558113.db2.gz ZVTFAGUNQBWKJG-HZMBPMFUSA-N 1 2 279.334 3.500 20 0 CHADLO CCc1cnc(C[N@@H+]2CC[C@@H](C(F)(F)F)C(C)(C)C2)o1 ZINC000564836335 1128516285 /nfs/dbraw/zinc/51/62/85/1128516285.db2.gz OCHSDLKAJWLXNE-LLVKDONJSA-N 1 2 290.329 3.647 20 0 CHADLO CCc1cnc(C[N@H+]2CC[C@@H](C(F)(F)F)C(C)(C)C2)o1 ZINC000564836335 1128516288 /nfs/dbraw/zinc/51/62/88/1128516288.db2.gz OCHSDLKAJWLXNE-LLVKDONJSA-N 1 2 290.329 3.647 20 0 CHADLO Clc1nccc(Nc2cccn3cc[nH+]c23)c1Cl ZINC001212232121 1128536343 /nfs/dbraw/zinc/53/63/43/1128536343.db2.gz XTXIHTSLHBFEPI-UHFFFAOYSA-N 1 2 279.130 3.780 20 0 CHADLO Cc1cc(CNC(=O)C[C@H]2CCCC[C@H]2C)cc(C)[nH+]1 ZINC000566080344 1128538017 /nfs/dbraw/zinc/53/80/17/1128538017.db2.gz DKIUQFNKYDSVFH-MLGOLLRUSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(NC(=O)Cc2c[nH]c3cc(Cl)ccc23)cc[nH+]1 ZINC000069371980 1128538713 /nfs/dbraw/zinc/53/87/13/1128538713.db2.gz RSGUZPVILJHOPA-UHFFFAOYSA-N 1 2 299.761 3.706 20 0 CHADLO C[C@H](CC#N)[N@H+](C)Cc1cc(Cl)nc2ccccc12 ZINC000154723113 1128544908 /nfs/dbraw/zinc/54/49/08/1128544908.db2.gz KSTIXHBLXTUCNU-LLVKDONJSA-N 1 2 273.767 3.622 20 0 CHADLO C[C@H](CC#N)[N@@H+](C)Cc1cc(Cl)nc2ccccc12 ZINC000154723113 1128544910 /nfs/dbraw/zinc/54/49/10/1128544910.db2.gz KSTIXHBLXTUCNU-LLVKDONJSA-N 1 2 273.767 3.622 20 0 CHADLO Cc1[nH]c(CNCc2cc(Cl)sc2Cl)[nH+]c1C ZINC000566496740 1128546031 /nfs/dbraw/zinc/54/60/31/1128546031.db2.gz MTHPAMUUFVDWIK-UHFFFAOYSA-N 1 2 290.219 3.685 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@@H+]1CCC[C@@H]1CF ZINC000566810392 1128552237 /nfs/dbraw/zinc/55/22/37/1128552237.db2.gz JXRQKXFJEIHCBC-ZWNOBZJWSA-N 1 2 286.188 3.944 20 0 CHADLO C[C@H](c1ccc(Br)cc1)[N@H+]1CCC[C@@H]1CF ZINC000566810392 1128552239 /nfs/dbraw/zinc/55/22/39/1128552239.db2.gz JXRQKXFJEIHCBC-ZWNOBZJWSA-N 1 2 286.188 3.944 20 0 CHADLO CC[C@@H]1CC[C@@H](C)[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000566980280 1128555342 /nfs/dbraw/zinc/55/53/42/1128555342.db2.gz PUIZDNMDZKRRFT-RKDXNWHRSA-N 1 2 278.343 3.925 20 0 CHADLO CC[C@@H]1CC[C@@H](C)[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000566980280 1128555344 /nfs/dbraw/zinc/55/53/44/1128555344.db2.gz PUIZDNMDZKRRFT-RKDXNWHRSA-N 1 2 278.343 3.925 20 0 CHADLO CC(C)(CC[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)C1CC1 ZINC000556252462 1128569642 /nfs/dbraw/zinc/56/96/42/1128569642.db2.gz FJRIKBNMLGOHAU-OAHLLOKOSA-N 1 2 290.455 3.842 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3ccc(F)cc3F)n2c1 ZINC000130341905 1128580162 /nfs/dbraw/zinc/58/01/62/1128580162.db2.gz MESWWQLUJFOSKJ-UHFFFAOYSA-N 1 2 273.286 3.533 20 0 CHADLO Cc1[nH]c(CNc2cnn(CC(C)C)c2C(C)C)[nH+]c1C ZINC000568269414 1128581268 /nfs/dbraw/zinc/58/12/68/1128581268.db2.gz KNJUEXQAHIMQGO-UHFFFAOYSA-N 1 2 289.427 3.615 20 0 CHADLO CC(C)[C@H]1CCC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000568696629 1128590278 /nfs/dbraw/zinc/59/02/78/1128590278.db2.gz OVZKBOBFTKQBBS-IRXDYDNUSA-N 1 2 298.434 3.953 20 0 CHADLO Cc1cccnc1C[NH2+][C@H](C)c1nc(C(C)(C)C)cs1 ZINC000568792918 1128591164 /nfs/dbraw/zinc/59/11/64/1128591164.db2.gz UFJSANRCCCOGJK-GFCCVEGCSA-N 1 2 289.448 3.995 20 0 CHADLO C[C@@H](C(=O)C(C)(C)C)n1c[nH+]c(Cc2ccccc2)c1 ZINC000919156017 1128591828 /nfs/dbraw/zinc/59/18/28/1128591828.db2.gz JXESGMOJLUERHL-ZDUSSCGKSA-N 1 2 270.376 3.650 20 0 CHADLO Cc1nsc(C)c1Cn1c[nH+]c(Cc2ccccc2)c1 ZINC000919150144 1128592283 /nfs/dbraw/zinc/59/22/83/1128592283.db2.gz HMSOSNCKKXTXPG-UHFFFAOYSA-N 1 2 283.400 3.596 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2ccc(Br)o2)o1 ZINC000130688444 1128592310 /nfs/dbraw/zinc/59/23/10/1128592310.db2.gz YIMHRBFKANXTMO-UHFFFAOYSA-N 1 2 298.180 3.830 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2ccc(Br)o2)o1 ZINC000130688444 1128592312 /nfs/dbraw/zinc/59/23/12/1128592312.db2.gz YIMHRBFKANXTMO-UHFFFAOYSA-N 1 2 298.180 3.830 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2ccc3c(n2)CCC3)on1 ZINC000568847821 1128592974 /nfs/dbraw/zinc/59/29/74/1128592974.db2.gz HPBAJRJFJWWSPM-UHFFFAOYSA-N 1 2 299.418 3.752 20 0 CHADLO C[C@H](Cc1ccsc1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000569147074 1128599975 /nfs/dbraw/zinc/59/99/75/1128599975.db2.gz NODWJYWYSPETJT-CYBMUJFWSA-N 1 2 287.432 3.786 20 0 CHADLO CCC[C@@H](C)c1noc([C@@H](CC(C)C)n2cc[nH+]c2)n1 ZINC000923869149 1128622578 /nfs/dbraw/zinc/62/25/78/1128622578.db2.gz QDFDOUCWHLGTND-CHWSQXEVSA-N 1 2 276.384 3.805 20 0 CHADLO c1sc(C2CC2)nc1C[N@@H+]1CCC=C(c2ccccn2)C1 ZINC000570661213 1128628744 /nfs/dbraw/zinc/62/87/44/1128628744.db2.gz SUWDAUCOZVSSOW-UHFFFAOYSA-N 1 2 297.427 3.705 20 0 CHADLO c1sc(C2CC2)nc1C[N@H+]1CCC=C(c2ccccn2)C1 ZINC000570661213 1128628745 /nfs/dbraw/zinc/62/87/45/1128628745.db2.gz SUWDAUCOZVSSOW-UHFFFAOYSA-N 1 2 297.427 3.705 20 0 CHADLO Cc1ncccc1C[N@@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000131595575 1128628975 /nfs/dbraw/zinc/62/89/75/1128628975.db2.gz BCCVUYDPXWLDHT-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO Cc1ncccc1C[N@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000131595575 1128628979 /nfs/dbraw/zinc/62/89/79/1128628979.db2.gz BCCVUYDPXWLDHT-GFCCVEGCSA-N 1 2 276.330 3.861 20 0 CHADLO CCc1sc(C(=O)Nc2cc[nH+]c(C)c2)cc1C ZINC000072710064 1128629050 /nfs/dbraw/zinc/62/90/50/1128629050.db2.gz DNSMNVXWYWWGAZ-UHFFFAOYSA-N 1 2 260.362 3.575 20 0 CHADLO CCn1cc([C@H](C)Nc2ccc([NH+]3CCCC3)cc2)cn1 ZINC000044497775 1128633294 /nfs/dbraw/zinc/63/32/94/1128633294.db2.gz ZHQCJLNMTZQVFA-AWEZNQCLSA-N 1 2 284.407 3.676 20 0 CHADLO COc1cc(F)c(C[N@H+](C)[C@@H](C)c2ccco2)cc1OC ZINC000570932586 1128635029 /nfs/dbraw/zinc/63/50/29/1128635029.db2.gz PTJCNXDZJXRDAE-NSHDSACASA-N 1 2 293.338 3.629 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)[C@@H](C)c2ccco2)cc1OC ZINC000570932586 1128635033 /nfs/dbraw/zinc/63/50/33/1128635033.db2.gz PTJCNXDZJXRDAE-NSHDSACASA-N 1 2 293.338 3.629 20 0 CHADLO CC[C@@H](F)C[N@H+](C)[C@@]1(c2ccccc2)CCCCC1=O ZINC000572065387 1128641499 /nfs/dbraw/zinc/64/14/99/1128641499.db2.gz MNNSDJYHMRUVFF-NVXWUHKLSA-N 1 2 277.383 3.705 20 0 CHADLO CC[C@@H](F)C[N@@H+](C)[C@@]1(c2ccccc2)CCCCC1=O ZINC000572065387 1128641501 /nfs/dbraw/zinc/64/15/01/1128641501.db2.gz MNNSDJYHMRUVFF-NVXWUHKLSA-N 1 2 277.383 3.705 20 0 CHADLO C[C@@H]1CCC[C@H](C[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)[C@H]1C ZINC000573522063 1128655422 /nfs/dbraw/zinc/65/54/22/1128655422.db2.gz XBUCPUKFDKCNGC-YALNPMBYSA-N 1 2 298.434 3.556 20 0 CHADLO CC[N@H+](CC1CCC(=O)CC1)c1cc(C)cc(C)c1 ZINC000573842940 1128658388 /nfs/dbraw/zinc/65/83/88/1128658388.db2.gz ILTZHZWTIOFBRK-UHFFFAOYSA-N 1 2 259.393 3.889 20 0 CHADLO CC[N@@H+](CC1CCC(=O)CC1)c1cc(C)cc(C)c1 ZINC000573842940 1128658390 /nfs/dbraw/zinc/65/83/90/1128658390.db2.gz ILTZHZWTIOFBRK-UHFFFAOYSA-N 1 2 259.393 3.889 20 0 CHADLO C[NH+](C)c1ccc(NC[C@@H]2CCOc3ccccc32)cc1 ZINC000159125733 1128674383 /nfs/dbraw/zinc/67/43/83/1128674383.db2.gz OBGTVJKFWADFJS-AWEZNQCLSA-N 1 2 282.387 3.731 20 0 CHADLO CN(C)c1ccc([NH2+]C[C@@H]2CCOc3ccccc32)cc1 ZINC000159125733 1128674385 /nfs/dbraw/zinc/67/43/85/1128674385.db2.gz OBGTVJKFWADFJS-AWEZNQCLSA-N 1 2 282.387 3.731 20 0 CHADLO CCCC(C)=CC(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000920284985 1128676400 /nfs/dbraw/zinc/67/64/00/1128676400.db2.gz JHEHBFJANOFZSV-NTMALXAHSA-N 1 2 257.337 3.556 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCCC3(CCCCC3)C2)no1 ZINC000600747367 1128679610 /nfs/dbraw/zinc/67/96/10/1128679610.db2.gz VCGUPMHAKXBBQM-UHFFFAOYSA-N 1 2 291.439 3.959 20 0 CHADLO CCCCc1nc(C[N@H+]2CCCC3(CCCCC3)C2)no1 ZINC000600747367 1128679613 /nfs/dbraw/zinc/67/96/13/1128679613.db2.gz VCGUPMHAKXBBQM-UHFFFAOYSA-N 1 2 291.439 3.959 20 0 CHADLO COC(=O)c1ccc2c(c1)C[N@@H+](C/C=C/c1ccccc1)C2 ZINC000609317201 1128684578 /nfs/dbraw/zinc/68/45/78/1128684578.db2.gz UAUQJFJCLJWPHV-VMPITWQZSA-N 1 2 293.366 3.502 20 0 CHADLO COC(=O)c1ccc2c(c1)C[N@H+](C/C=C/c1ccccc1)C2 ZINC000609317201 1128684580 /nfs/dbraw/zinc/68/45/80/1128684580.db2.gz UAUQJFJCLJWPHV-VMPITWQZSA-N 1 2 293.366 3.502 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2cc(C)cnc2Cl)o1 ZINC000921490076 1128710365 /nfs/dbraw/zinc/71/03/65/1128710365.db2.gz YHMOZFABAYZSHO-NSHDSACASA-N 1 2 264.756 3.796 20 0 CHADLO C[C@H]1C[N@H+](Cc2cccnc2Cl)[C@@H]1c1ccccc1 ZINC000174977596 1128713004 /nfs/dbraw/zinc/71/30/04/1128713004.db2.gz UUVVVORLUXRTGI-WFASDCNBSA-N 1 2 272.779 3.928 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cccnc2Cl)[C@@H]1c1ccccc1 ZINC000174977596 1128713007 /nfs/dbraw/zinc/71/30/07/1128713007.db2.gz UUVVVORLUXRTGI-WFASDCNBSA-N 1 2 272.779 3.928 20 0 CHADLO Cc1cscc1C[NH2+][C@H](c1nnc[nH]1)C1CCCCC1 ZINC000578345187 1128715602 /nfs/dbraw/zinc/71/56/02/1128715602.db2.gz YHNASLFZTOLQHK-AWEZNQCLSA-N 1 2 290.436 3.586 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](c1ccccc1)C1CCOCC1 ZINC000779065503 1128716222 /nfs/dbraw/zinc/71/62/22/1128716222.db2.gz PDKLXRDIFPBRFD-ACJLOTCBSA-N 1 2 299.418 3.537 20 0 CHADLO C[C@@H]1[C@H](c2ccccc2)CC[N@@H+]1Cn1ncsc1=S ZINC000175267588 1128721931 /nfs/dbraw/zinc/72/19/31/1128721931.db2.gz KDXXLOVPHBIYMM-DGCLKSJQSA-N 1 2 291.445 3.510 20 0 CHADLO C[C@@H]1[C@H](c2ccccc2)CC[N@H+]1Cn1ncsc1=S ZINC000175267588 1128721933 /nfs/dbraw/zinc/72/19/33/1128721933.db2.gz KDXXLOVPHBIYMM-DGCLKSJQSA-N 1 2 291.445 3.510 20 0 CHADLO Cc1nc(C[NH2+][C@H]2CCSc3ccc(F)cc32)cs1 ZINC000035043876 1117763359 /nfs/dbraw/zinc/76/33/59/1117763359.db2.gz ZXMDVHUIPCFELG-ZDUSSCGKSA-N 1 2 294.420 3.917 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccsc2C(F)(F)F)cs1 ZINC000623364113 1117841775 /nfs/dbraw/zinc/84/17/75/1117841775.db2.gz SXUHCIBZYLMVNU-UHFFFAOYSA-N 1 2 292.351 3.822 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(F)cc2F)cs1 ZINC000111406453 1117847530 /nfs/dbraw/zinc/84/75/30/1117847530.db2.gz WCUCVTOFOKVHCQ-SECBINFHSA-N 1 2 282.359 3.835 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CC[N@H+](C)C(C)(C)C1)c1ccc(F)cc1F ZINC000623426460 1117853563 /nfs/dbraw/zinc/85/35/63/1117853563.db2.gz MNRNYZOXSRKUGD-CZUORRHYSA-N 1 2 296.405 3.878 20 0 CHADLO CC(C)(C)c1ccc(N2CC(c3ccccc3)C2)[nH+]c1 ZINC001167065059 1117853785 /nfs/dbraw/zinc/85/37/85/1117853785.db2.gz MQTLHXPFLWCALP-UHFFFAOYSA-N 1 2 266.388 3.983 20 0 CHADLO CC[C@H]([NH2+][C@H]1CC[N@H+](C)C(C)(C)C1)c1ccc(F)cc1F ZINC000623426458 1117854368 /nfs/dbraw/zinc/85/43/68/1117854368.db2.gz MNRNYZOXSRKUGD-BBRMVZONSA-N 1 2 296.405 3.878 20 0 CHADLO Fc1cccnc1C[NH2+]Cc1csc(C(F)(F)F)c1 ZINC000623470576 1117859458 /nfs/dbraw/zinc/85/94/58/1117859458.db2.gz QKSLYRZMBJVOCA-UHFFFAOYSA-N 1 2 290.285 3.591 20 0 CHADLO CCC(F)(F)C(C)(C)CNc1[nH+]cccc1OC ZINC000623476964 1117863670 /nfs/dbraw/zinc/86/36/70/1117863670.db2.gz WLBLBGNBHZCMAH-UHFFFAOYSA-N 1 2 258.312 3.574 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3C[C@H](C)[C@H]3C)cs2)o1 ZINC000339571151 1117884441 /nfs/dbraw/zinc/88/44/41/1117884441.db2.gz BGHWYQRDQATUCI-GXSJLCMTSA-N 1 2 262.378 3.552 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3C[C@H](C)[C@H]3C)cs2)o1 ZINC000339571151 1117884450 /nfs/dbraw/zinc/88/44/50/1117884450.db2.gz BGHWYQRDQATUCI-GXSJLCMTSA-N 1 2 262.378 3.552 20 0 CHADLO CCC(F)(F)C[NH2+]Cc1ccc(SC)c(OC)c1 ZINC000623679889 1117889232 /nfs/dbraw/zinc/88/92/32/1117889232.db2.gz OIDSLJQRYUOAET-UHFFFAOYSA-N 1 2 275.364 3.552 20 0 CHADLO CN(C)c1cccc(C[N@H+](C)Cc2c(F)cccc2F)c1 ZINC000339600448 1117903902 /nfs/dbraw/zinc/90/39/02/1117903902.db2.gz VCVFKKFLZLNLKK-UHFFFAOYSA-N 1 2 290.357 3.663 20 0 CHADLO CN(C)c1cccc(C[N@@H+](C)Cc2c(F)cccc2F)c1 ZINC000339600448 1117903907 /nfs/dbraw/zinc/90/39/07/1117903907.db2.gz VCVFKKFLZLNLKK-UHFFFAOYSA-N 1 2 290.357 3.663 20 0 CHADLO CCOc1ccccc1[C@@H](CC)[NH2+]Cc1cc(C)on1 ZINC000179746273 1117912185 /nfs/dbraw/zinc/91/21/85/1117912185.db2.gz QPRTVCRGOTXBOC-OAHLLOKOSA-N 1 2 274.364 3.623 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)c[nH+]1)c1ccoc1 ZINC000179919178 1117915654 /nfs/dbraw/zinc/91/56/54/1117915654.db2.gz UZPDZRCOSNWEBH-CYBMUJFWSA-N 1 2 271.364 3.838 20 0 CHADLO Cc1[nH]ncc1C[NH2+]C(C)(C)c1ccc2ccccc2c1 ZINC000623803540 1117937783 /nfs/dbraw/zinc/93/77/83/1117937783.db2.gz KHYDSESRZJFPLI-UHFFFAOYSA-N 1 2 279.387 3.896 20 0 CHADLO Cc1ccnc(C[N@@H+]([C@@H](C)c2ccccc2)C2CC2)n1 ZINC000339659404 1117948881 /nfs/dbraw/zinc/94/88/81/1117948881.db2.gz QCESQIQUBXEQIQ-AWEZNQCLSA-N 1 2 267.376 3.511 20 0 CHADLO Cc1ccnc(C[N@H+]([C@@H](C)c2ccccc2)C2CC2)n1 ZINC000339659404 1117948886 /nfs/dbraw/zinc/94/88/86/1117948886.db2.gz QCESQIQUBXEQIQ-AWEZNQCLSA-N 1 2 267.376 3.511 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1ncn(C)n1)c1cc(C)sc1C ZINC000623965455 1117962852 /nfs/dbraw/zinc/96/28/52/1117962852.db2.gz JNKIZTXZYJSIKW-FZMZJTMJSA-N 1 2 292.452 3.685 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc(-n2cccn2)cc1 ZINC000624147516 1117989474 /nfs/dbraw/zinc/98/94/74/1117989474.db2.gz CIJKLOXAUMTYJU-GFCCVEGCSA-N 1 2 279.334 3.568 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1CCc1ccc(Cl)cc1Cl ZINC001209080881 1117994757 /nfs/dbraw/zinc/99/47/57/1117994757.db2.gz INPGOCOSRYSRBX-CYBMUJFWSA-N 1 2 288.218 3.647 20 0 CHADLO CC[C@@H]1COCC[N@H+]1CCc1ccc(Cl)cc1Cl ZINC001209080881 1117994759 /nfs/dbraw/zinc/99/47/59/1117994759.db2.gz INPGOCOSRYSRBX-CYBMUJFWSA-N 1 2 288.218 3.647 20 0 CHADLO Cn1c[nH+]cc1CNc1nc2c(ccc3ccccc23)s1 ZINC000181543977 1118002020 /nfs/dbraw/zinc/00/20/20/1118002020.db2.gz COEJTCJYQGSTMW-UHFFFAOYSA-N 1 2 294.383 3.795 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](CC)c2ccc(C)cc2)n1 ZINC000181703567 1118004673 /nfs/dbraw/zinc/00/46/73/1118004673.db2.gz MPLGGLAOLLAYHX-TZMCWYRMSA-N 1 2 273.380 3.742 20 0 CHADLO CCc1cncc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001209190657 1118009862 /nfs/dbraw/zinc/00/98/62/1118009862.db2.gz FLIOWVNRHXIUST-UHFFFAOYSA-N 1 2 278.359 3.632 20 0 CHADLO CCC(CC)N(CC)c1[nH+]cc(C)cc1/C=C/C(=O)OC ZINC001167111854 1118026213 /nfs/dbraw/zinc/02/62/13/1118026213.db2.gz ZOZRQZKCQKVEHV-MDZDMXLPSA-N 1 2 290.407 3.591 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(F)F)nc1)c1c(F)cncc1F ZINC000631136423 1118032913 /nfs/dbraw/zinc/03/29/13/1118032913.db2.gz UWYYLSYAESXGAE-QMMMGPOBSA-N 1 2 299.271 3.543 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NC1CC(OC(C)(C)C)C1 ZINC000779149333 1128751232 /nfs/dbraw/zinc/75/12/32/1128751232.db2.gz GYSZPZKWZJNGLT-UHFFFAOYSA-N 1 2 276.424 3.819 20 0 CHADLO C[N@H+](Cc1cc(-c2ccc(F)cc2)on1)C1CC(F)(F)C1 ZINC001206833068 1118040608 /nfs/dbraw/zinc/04/06/08/1118040608.db2.gz FRWAIBURRWBQCY-UHFFFAOYSA-N 1 2 296.292 3.710 20 0 CHADLO C[N@@H+](Cc1cc(-c2ccc(F)cc2)on1)C1CC(F)(F)C1 ZINC001206833068 1118040612 /nfs/dbraw/zinc/04/06/12/1118040612.db2.gz FRWAIBURRWBQCY-UHFFFAOYSA-N 1 2 296.292 3.710 20 0 CHADLO Cc1csc([C@H]([NH2+]Cc2ccncc2Cl)C2CC2)n1 ZINC000183502961 1118046603 /nfs/dbraw/zinc/04/66/03/1118046603.db2.gz JFORUARRPMKRHT-CYBMUJFWSA-N 1 2 293.823 3.741 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1cc(F)c(F)cc1F ZINC000183719086 1118048575 /nfs/dbraw/zinc/04/85/75/1118048575.db2.gz SGLMZQAPKQVZOW-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1cc(F)c(F)cc1F ZINC000183719086 1118048579 /nfs/dbraw/zinc/04/85/79/1118048579.db2.gz SGLMZQAPKQVZOW-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[N@H+](Cc1cc(-c2ccccc2)on1)C1CC(F)(F)C1 ZINC001206838944 1118050921 /nfs/dbraw/zinc/05/09/21/1118050921.db2.gz IBZIAZJPMYVFMT-UHFFFAOYSA-N 1 2 278.302 3.571 20 0 CHADLO C[N@@H+](Cc1cc(-c2ccccc2)on1)C1CC(F)(F)C1 ZINC001206838944 1118050925 /nfs/dbraw/zinc/05/09/25/1118050925.db2.gz IBZIAZJPMYVFMT-UHFFFAOYSA-N 1 2 278.302 3.571 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1cc(F)c(F)cc1F ZINC000120488750 1118051808 /nfs/dbraw/zinc/05/18/08/1118051808.db2.gz PMMIBLUXUAETGY-UHFFFAOYSA-N 1 2 271.307 3.797 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1cc(F)c(F)cc1F ZINC000120488750 1118051814 /nfs/dbraw/zinc/05/18/14/1118051814.db2.gz PMMIBLUXUAETGY-UHFFFAOYSA-N 1 2 271.307 3.797 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2cccc(F)c2F)c1 ZINC000083512478 1118073423 /nfs/dbraw/zinc/07/34/23/1118073423.db2.gz XEODCLNYRMHKKK-UHFFFAOYSA-N 1 2 269.241 3.533 20 0 CHADLO c1cc(C2CC2)ccc1-c1c[nH+]c2c(c1)CCCN2 ZINC001238836379 1118088099 /nfs/dbraw/zinc/08/80/99/1118088099.db2.gz OBOLXILEXKWCHP-UHFFFAOYSA-N 1 2 250.345 3.627 20 0 CHADLO C[NH+](C)c1ccc(NCc2cccc3cc[nH]c32)cc1 ZINC000083482379 1118140871 /nfs/dbraw/zinc/14/08/71/1118140871.db2.gz HMQCILXZZZCDIQ-UHFFFAOYSA-N 1 2 265.360 3.846 20 0 CHADLO Cc1ccc2nc(C[NH2+][C@@H]3CCCc4occc43)[nH]c2c1 ZINC000579913730 1118155594 /nfs/dbraw/zinc/15/55/94/1118155594.db2.gz DNZQYZQNOTXXGF-CYBMUJFWSA-N 1 2 281.359 3.632 20 0 CHADLO C[C@H](c1cc(Cl)ccc1Cl)[N@@H+]1CCCOCC1 ZINC000468124983 1118159558 /nfs/dbraw/zinc/15/95/58/1118159558.db2.gz CSCGSEOMHQYIJP-SNVBAGLBSA-N 1 2 274.191 3.777 20 0 CHADLO C[C@H](c1cc(Cl)ccc1Cl)[N@H+]1CCCOCC1 ZINC000468124983 1118159560 /nfs/dbraw/zinc/15/95/60/1118159560.db2.gz CSCGSEOMHQYIJP-SNVBAGLBSA-N 1 2 274.191 3.777 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+][C@@H](C)c1cscn1 ZINC000162330098 1118160506 /nfs/dbraw/zinc/16/05/06/1118160506.db2.gz VPEVVWWJPROVQB-RYUDHWBXSA-N 1 2 276.405 3.872 20 0 CHADLO CC(C)n1ccc(C[N@H+](C)[C@H](C)c2ccc(F)c(F)c2)n1 ZINC000172011388 1118167490 /nfs/dbraw/zinc/16/74/90/1118167490.db2.gz KFWCOJMYDFGCAT-GFCCVEGCSA-N 1 2 293.361 3.935 20 0 CHADLO CC(C)n1ccc(C[N@@H+](C)[C@H](C)c2ccc(F)c(F)c2)n1 ZINC000172011388 1118167491 /nfs/dbraw/zinc/16/74/91/1118167491.db2.gz KFWCOJMYDFGCAT-GFCCVEGCSA-N 1 2 293.361 3.935 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCC[C@@H]2c2cccc(F)c2)n1 ZINC000172012439 1118167514 /nfs/dbraw/zinc/16/75/14/1118167514.db2.gz MXFDPVYNPCPKGO-QGZVFWFLSA-N 1 2 287.382 3.940 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCC[C@@H]2c2cccc(F)c2)n1 ZINC000172012439 1118167515 /nfs/dbraw/zinc/16/75/15/1118167515.db2.gz MXFDPVYNPCPKGO-QGZVFWFLSA-N 1 2 287.382 3.940 20 0 CHADLO Cc1nc(COc2cc(C)[nH+]c3c(C)cccc23)co1 ZINC000655672591 1118181166 /nfs/dbraw/zinc/18/11/66/1118181166.db2.gz LNNSZYXGBBJLRZ-UHFFFAOYSA-N 1 2 268.316 3.727 20 0 CHADLO Clc1cccc(C[NH2+][C@@H]2CCCn3ccnc32)c1Cl ZINC000655703199 1118189192 /nfs/dbraw/zinc/18/91/92/1118189192.db2.gz BQSQYDORIGRKRH-GFCCVEGCSA-N 1 2 296.201 3.815 20 0 CHADLO CCc1[nH]nc(Cl)c1C[NH2+]Cc1ccc([C@@H]2C[C@@H]2C)o1 ZINC000921551227 1118191590 /nfs/dbraw/zinc/19/15/90/1118191590.db2.gz BFFSTAZINRGVNL-GXSJLCMTSA-N 1 2 293.798 3.632 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC000671626303 1118201416 /nfs/dbraw/zinc/20/14/16/1118201416.db2.gz PZEBOCOEWKZXHR-GFCCVEGCSA-N 1 2 296.292 3.726 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@@H+](Cc2cc(-c3ccccc3)on2)C1 ZINC000671626303 1118201417 /nfs/dbraw/zinc/20/14/17/1118201417.db2.gz PZEBOCOEWKZXHR-GFCCVEGCSA-N 1 2 296.292 3.726 20 0 CHADLO Fc1cc(C2CC2)ccc1C[NH2+][C@H]1CCCn2ccnc21 ZINC000655769765 1118204779 /nfs/dbraw/zinc/20/47/79/1118204779.db2.gz OLOMUKLQTDDPSI-INIZCTEOSA-N 1 2 285.366 3.524 20 0 CHADLO Cc1cc(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)cs1 ZINC000671678922 1118233410 /nfs/dbraw/zinc/23/34/10/1118233410.db2.gz MNWXCNZKWVPXSV-UHFFFAOYSA-N 1 2 283.356 3.699 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1ncc(Cl)n1C ZINC000044894561 1118251705 /nfs/dbraw/zinc/25/17/05/1118251705.db2.gz USNNAZNJLATCKO-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1ncc(Cl)n1C ZINC000044894561 1118251708 /nfs/dbraw/zinc/25/17/08/1118251708.db2.gz USNNAZNJLATCKO-SNVBAGLBSA-N 1 2 298.217 3.920 20 0 CHADLO CCCc1ccccc1NCc1cccc2[nH+]ccn21 ZINC001648884803 1118271079 /nfs/dbraw/zinc/27/10/79/1118271079.db2.gz YVRLZFDYCXYKHR-UHFFFAOYSA-N 1 2 265.360 3.899 20 0 CHADLO FC(F)C[NH2+][C@@H](c1cccc(OC(F)(F)F)c1)C1CC1 ZINC000671708429 1118278968 /nfs/dbraw/zinc/27/89/68/1118278968.db2.gz KKYRRMYWEHBSRZ-GFCCVEGCSA-N 1 2 295.251 3.891 20 0 CHADLO CCCc1cccc(Nc2ccc[nH+]c2N2CCOCC2)c1 ZINC001212368417 1118284413 /nfs/dbraw/zinc/28/44/13/1118284413.db2.gz BBIXXZBABROALF-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO CC(C)c1ncc(C[N@H+](Cc2cccnc2)C2CC2)s1 ZINC000349251993 1118288301 /nfs/dbraw/zinc/28/83/01/1118288301.db2.gz RUTDKMBPAPOFNB-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CC(C)c1ncc(C[N@@H+](Cc2cccnc2)C2CC2)s1 ZINC000349251993 1118288305 /nfs/dbraw/zinc/28/83/05/1118288305.db2.gz RUTDKMBPAPOFNB-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO C(=C/c1ccccc1)\C[N@@H+]1CCO[C@H](c2ccccc2)C1 ZINC000058576262 1118289158 /nfs/dbraw/zinc/28/91/58/1118289158.db2.gz LPXDJXGGMVDYIA-VQFGERMISA-N 1 2 279.383 3.773 20 0 CHADLO C(=C/c1ccccc1)\C[N@H+]1CCO[C@H](c2ccccc2)C1 ZINC000058576262 1118289162 /nfs/dbraw/zinc/28/91/62/1118289162.db2.gz LPXDJXGGMVDYIA-VQFGERMISA-N 1 2 279.383 3.773 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1cc(C)n(C)n1)c1ccc(F)cc1F ZINC000282208067 1118290729 /nfs/dbraw/zinc/29/07/29/1118290729.db2.gz OFSKZDBTFFJTJH-ABAIWWIYSA-N 1 2 293.361 3.809 20 0 CHADLO OCc1ccc(Nc2cc[nH+]c3cc(Cl)ccc23)cn1 ZINC001212372422 1118296636 /nfs/dbraw/zinc/29/66/36/1118296636.db2.gz JAYATHIUBORTAH-UHFFFAOYSA-N 1 2 285.734 3.519 20 0 CHADLO CCn1ccnc1C[NH2+]C(c1ccccc1)c1ccccc1 ZINC000060324371 1118297565 /nfs/dbraw/zinc/29/75/65/1118297565.db2.gz VJNRDQDPJKPUAW-UHFFFAOYSA-N 1 2 291.398 3.782 20 0 CHADLO Clc1cccc(Cl)c1C1([NH2+]Cc2cn[nH]c2)CCC1 ZINC000683707266 1118298713 /nfs/dbraw/zinc/29/87/13/1118298713.db2.gz FTZMUQNURAQPBL-UHFFFAOYSA-N 1 2 296.201 3.886 20 0 CHADLO CC(C)C[C@@H](C)CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000340505875 1118310825 /nfs/dbraw/zinc/31/08/25/1118310825.db2.gz PKFIUSRYLXPDJS-CQSZACIVSA-N 1 2 285.391 3.883 20 0 CHADLO Cc1ccc2[nH]c(CNc3ccc(N(C)C)[nH+]c3)cc2c1C ZINC000471483289 1118311469 /nfs/dbraw/zinc/31/14/69/1118311469.db2.gz BCEKJTNKYWKZIO-UHFFFAOYSA-N 1 2 294.402 3.858 20 0 CHADLO Fc1ccc([C@H]([NH2+][C@@H]2CCC[C@@H]2F)c2ccccn2)cc1 ZINC000340523977 1118316299 /nfs/dbraw/zinc/31/62/99/1118316299.db2.gz JIJDWRPMVMLKOP-UXLLHSPISA-N 1 2 288.341 3.790 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cc(C)cc(C)c2)cs1 ZINC000064517015 1118327015 /nfs/dbraw/zinc/32/70/15/1118327015.db2.gz QKAZHXGAYFPUHQ-UHFFFAOYSA-N 1 2 274.433 3.954 20 0 CHADLO Cc1nc(C2([NH2+]Cc3cccc(C)c3)CCCCC2)no1 ZINC000066376597 1118344658 /nfs/dbraw/zinc/34/46/58/1118344658.db2.gz VNZUSTJMAVMCOO-UHFFFAOYSA-N 1 2 285.391 3.636 20 0 CHADLO Cc1cc(N[C@H]2CSC[C@@H]2C)[nH+]c2ccccc12 ZINC000397785779 1118348348 /nfs/dbraw/zinc/34/83/48/1118348348.db2.gz FVMQQIGPHTUUKA-FZMZJTMJSA-N 1 2 258.390 3.707 20 0 CHADLO c1ccc(SC2(CNc3cccc[nH+]3)CC2)cc1 ZINC000068773263 1118364448 /nfs/dbraw/zinc/36/44/48/1118364448.db2.gz INYIYQZPAMVRLG-UHFFFAOYSA-N 1 2 256.374 3.818 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H](C)c2c(F)cncc2F)c(C)n1 ZINC000671770774 1118365754 /nfs/dbraw/zinc/36/57/54/1118365754.db2.gz RHKCIAAQULMKPF-NWDGAFQWSA-N 1 2 291.345 3.783 20 0 CHADLO c1[nH]c2ccccc2c1C1CCN(c2cccc[nH+]2)CC1 ZINC000073350374 1118381404 /nfs/dbraw/zinc/38/14/04/1118381404.db2.gz WKVUHYFJPSSJKY-UHFFFAOYSA-N 1 2 277.371 3.947 20 0 CHADLO CCCCN(C(=O)Cn1cc[nH+]c1)[C@@H](CC)c1ccccc1 ZINC000073726339 1118383468 /nfs/dbraw/zinc/38/34/68/1118383468.db2.gz LEJWFLVRBHOBRC-KRWDZBQOSA-N 1 2 299.418 3.663 20 0 CHADLO COc1cc(Nc2c(F)ccc(OC)c2Cl)cc(C)[nH+]1 ZINC001210781052 1118384829 /nfs/dbraw/zinc/38/48/29/1118384829.db2.gz WBUNZRUVYXFUSZ-UHFFFAOYSA-N 1 2 296.729 3.943 20 0 CHADLO COc1cc(Nc2cc(O)c(C)cc2Cl)cc(C)[nH+]1 ZINC001210781063 1118385763 /nfs/dbraw/zinc/38/57/63/1118385763.db2.gz WLRUOIKOUXDQOK-UHFFFAOYSA-N 1 2 278.739 3.810 20 0 CHADLO CC(C)Oc1ccccc1[C@H](C)[NH2+]Cc1nccs1 ZINC000110908277 1118386270 /nfs/dbraw/zinc/38/62/70/1118386270.db2.gz NQKYGIOXWIBOPM-LBPRGKRZSA-N 1 2 276.405 3.781 20 0 CHADLO C[C@@H]([NH2+]C/C=C\c1ccccc1)c1nc(C(C)(C)C)no1 ZINC000255373114 1118419349 /nfs/dbraw/zinc/41/93/49/1118419349.db2.gz YPTSVWJYJSGQPK-BIRHUZIMSA-N 1 2 285.391 3.731 20 0 CHADLO Cc1cccc(Nc2ccc3c(c2)C(=O)CCC3)[nH+]1 ZINC001210931482 1118426143 /nfs/dbraw/zinc/42/61/43/1118426143.db2.gz NMGZXGQWTQOCGZ-UHFFFAOYSA-N 1 2 252.317 3.653 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@H]2C(=O)OC(C)(C)C)oc1C ZINC001204534484 1118428723 /nfs/dbraw/zinc/42/87/23/1118428723.db2.gz IEFGTPLCNKTZLH-HNNXBMFYSA-N 1 2 293.407 3.593 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@H]2C(=O)OC(C)(C)C)oc1C ZINC001204534484 1118428724 /nfs/dbraw/zinc/42/87/24/1118428724.db2.gz IEFGTPLCNKTZLH-HNNXBMFYSA-N 1 2 293.407 3.593 20 0 CHADLO c1cc2cc(NCc3coc(-c4ccccc4)n3)[nH+]cc2[nH]1 ZINC000683893279 1118431429 /nfs/dbraw/zinc/43/14/29/1118431429.db2.gz GPXNLYNHSYOTTD-UHFFFAOYSA-N 1 2 290.326 3.830 20 0 CHADLO Cc1ccc(Nc2ccc3c(C)cc(=O)[nH]c3c2)[nH+]c1 ZINC001211012162 1118439101 /nfs/dbraw/zinc/43/91/01/1118439101.db2.gz YHZFFVQDZROASJ-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1ccc(Nc2cc(N)cc(C(F)(F)F)c2)[nH+]c1 ZINC001211013305 1118439365 /nfs/dbraw/zinc/43/93/65/1118439365.db2.gz GVIUSEMJHGTGNP-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO CCOc1ccc(F)c(Nc2cccn3cc[nH+]c23)c1 ZINC001211050244 1118446359 /nfs/dbraw/zinc/44/63/59/1118446359.db2.gz IFYIFUAZTVYMRR-UHFFFAOYSA-N 1 2 271.295 3.616 20 0 CHADLO C[C@@H](C1CC1)[N@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000782183343 1118447794 /nfs/dbraw/zinc/44/77/94/1118447794.db2.gz KFOJGURSUMPYLX-ZUZCIYMTSA-N 1 2 283.774 3.651 20 0 CHADLO C[C@@H](C1CC1)[N@@H+]1CCO[C@@H](c2ccc(F)c(Cl)c2)C1 ZINC000782183343 1118447792 /nfs/dbraw/zinc/44/77/92/1118447792.db2.gz KFOJGURSUMPYLX-ZUZCIYMTSA-N 1 2 283.774 3.651 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2ncc(F)cc2F)cc1 ZINC001207100271 1118454918 /nfs/dbraw/zinc/45/49/18/1118454918.db2.gz XBGTYKLDKORKIY-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2ncc(F)cc2F)cc1 ZINC001207100271 1118454922 /nfs/dbraw/zinc/45/49/22/1118454922.db2.gz XBGTYKLDKORKIY-LLVKDONJSA-N 1 2 292.329 3.561 20 0 CHADLO CC[N@H+](Cc1cc(C)no1)Cc1ccc(Cl)s1 ZINC000053399606 1118460224 /nfs/dbraw/zinc/46/02/24/1118460224.db2.gz OMTSRGLAYPGFSA-UHFFFAOYSA-N 1 2 270.785 3.720 20 0 CHADLO CC[N@@H+](Cc1cc(C)no1)Cc1ccc(Cl)s1 ZINC000053399606 1118460228 /nfs/dbraw/zinc/46/02/28/1118460228.db2.gz OMTSRGLAYPGFSA-UHFFFAOYSA-N 1 2 270.785 3.720 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C(F)(F)F)ccc1N ZINC001211150290 1118472908 /nfs/dbraw/zinc/47/29/08/1118472908.db2.gz WIHPPHZOCQPMIR-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO Cc1ccc(C)c(Nc2cnccc2Br)[nH+]1 ZINC001211222998 1118483545 /nfs/dbraw/zinc/48/35/45/1118483545.db2.gz LPLHQWBAVBFBDZ-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO C[NH+](C)c1ccc(NCc2ccc3cnccc3c2)cc1 ZINC000234251071 1118486118 /nfs/dbraw/zinc/48/61/18/1118486118.db2.gz NBPJARODMGVECI-UHFFFAOYSA-N 1 2 277.371 3.913 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(CO)c2F)cc1 ZINC001211447622 1118511685 /nfs/dbraw/zinc/51/16/85/1118511685.db2.gz ZECGNFZBAIRVNI-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(CO)c2F)cc1 ZINC001211447622 1118511688 /nfs/dbraw/zinc/51/16/88/1118511688.db2.gz ZECGNFZBAIRVNI-UHFFFAOYSA-N 1 2 274.339 3.518 20 0 CHADLO F[C@@H]1CCC[N@H+](Cc2cnc(-c3cccs3)s2)C1 ZINC000580839386 1118512687 /nfs/dbraw/zinc/51/26/87/1118512687.db2.gz QPQMQFWFJDJUTJ-SNVBAGLBSA-N 1 2 282.409 3.806 20 0 CHADLO F[C@@H]1CCC[N@@H+](Cc2cnc(-c3cccs3)s2)C1 ZINC000580839386 1118512691 /nfs/dbraw/zinc/51/26/91/1118512691.db2.gz QPQMQFWFJDJUTJ-SNVBAGLBSA-N 1 2 282.409 3.806 20 0 CHADLO Cc1cc(C)c(Nc2cccc3c2OCCC3)c[nH+]1 ZINC001213518494 1118518094 /nfs/dbraw/zinc/51/80/94/1118518094.db2.gz QXBSNTYVJOEELA-UHFFFAOYSA-N 1 2 254.333 3.767 20 0 CHADLO Cc1cnc(F)c(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001211504180 1118519605 /nfs/dbraw/zinc/51/96/05/1118519605.db2.gz VNPNKJJTIUZYBP-UHFFFAOYSA-N 1 2 282.322 3.518 20 0 CHADLO Fc1cc(-c2ccco2)ccc1C[NH2+]Cc1nccs1 ZINC000684110907 1118522402 /nfs/dbraw/zinc/52/24/02/1118522402.db2.gz FRJQKHYFMRPKQB-UHFFFAOYSA-N 1 2 288.347 3.832 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(-c3cccnc3)ccn2)c1C ZINC001213523042 1118525256 /nfs/dbraw/zinc/52/52/56/1118525256.db2.gz KTJXNPGLNDTKBF-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO CC/C(C)=C\C(=O)Nc1ccc(-n2cc[nH+]c2)c(Cl)c1 ZINC001125480098 1118527149 /nfs/dbraw/zinc/52/71/49/1118527149.db2.gz BUXMNSJDKJNLJS-FLIBITNWSA-N 1 2 289.766 3.821 20 0 CHADLO Cc1ccsc1C[N@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000048216945 1118532400 /nfs/dbraw/zinc/53/24/00/1118532400.db2.gz SNFXRHKBWLWTRR-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccsc1C[N@@H+](C)Cc1nc(-c2ccccc2)no1 ZINC000048216945 1118532403 /nfs/dbraw/zinc/53/24/03/1118532403.db2.gz SNFXRHKBWLWTRR-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2C(F)(F)F)[C@H](C)[C@@H](C)O1 ZINC000352412362 1118533122 /nfs/dbraw/zinc/53/31/22/1118533122.db2.gz FDCMYEJJLKZWFM-IJLUTSLNSA-N 1 2 287.325 3.703 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2C(F)(F)F)[C@H](C)[C@@H](C)O1 ZINC000352412362 1118533124 /nfs/dbraw/zinc/53/31/24/1118533124.db2.gz FDCMYEJJLKZWFM-IJLUTSLNSA-N 1 2 287.325 3.703 20 0 CHADLO COc1cccc(-n2nccc2Nc2c[nH+]cc(C)c2C)c1 ZINC001213527428 1118533488 /nfs/dbraw/zinc/53/34/88/1118533488.db2.gz KOVBGHDLCXGQBX-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2csc(C(C)C)n2)cn1 ZINC000155993954 1118537445 /nfs/dbraw/zinc/53/74/45/1118537445.db2.gz YWNRNDRNLVTLAL-LBPRGKRZSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1nnc([C@H](C)[N@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000048275080 1118544198 /nfs/dbraw/zinc/54/41/98/1118544198.db2.gz SQQQTDDIUXDGPC-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)o1 ZINC000048275080 1118544201 /nfs/dbraw/zinc/54/42/01/1118544201.db2.gz SQQQTDDIUXDGPC-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]Cc2ccc(Cl)o2)o1 ZINC000071007010 1118551083 /nfs/dbraw/zinc/55/10/83/1118551083.db2.gz QFRGEOQRGZJIBE-CABZTGNLSA-N 1 2 265.740 3.939 20 0 CHADLO Cc1cn(-c2c[nH+]cc(C)c2C)c2cccc(N)c12 ZINC001213534073 1118556770 /nfs/dbraw/zinc/55/67/70/1118556770.db2.gz KXFBLMQVRRYJEK-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO CC(=O)[C@H]1CCC[N@H+](Cc2ccc(Cl)cc2Cl)C1 ZINC000111689987 1118580569 /nfs/dbraw/zinc/58/05/69/1118580569.db2.gz LFHQXQGIGOEMBQ-NSHDSACASA-N 1 2 286.202 3.794 20 0 CHADLO CC(=O)[C@H]1CCC[N@@H+](Cc2ccc(Cl)cc2Cl)C1 ZINC000111689987 1118580571 /nfs/dbraw/zinc/58/05/71/1118580571.db2.gz LFHQXQGIGOEMBQ-NSHDSACASA-N 1 2 286.202 3.794 20 0 CHADLO Cc1cc(CNC(=O)[C@H]2[C@@H]3CCCCCC[C@@H]32)cc(C)[nH+]1 ZINC000616363068 1128787304 /nfs/dbraw/zinc/78/73/04/1128787304.db2.gz FZWVQGPNPFRWGX-FVQHAEBGSA-N 1 2 286.419 3.531 20 0 CHADLO CCOc1cc(C)ccc1Nc1c[nH+]ccc1OC ZINC001215875572 1118616721 /nfs/dbraw/zinc/61/67/21/1118616721.db2.gz VWILSYAPKDMONN-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nc(C(C)C)no1)c1ccccc1 ZINC000341203301 1118620836 /nfs/dbraw/zinc/62/08/36/1118620836.db2.gz CKJKCYSJNKIEEB-OCCSQVGLSA-N 1 2 273.380 3.995 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C(C)(C)C)no1)c1ccccc1 ZINC000341232041 1118630248 /nfs/dbraw/zinc/63/02/48/1118630248.db2.gz YSONXDAXLQVPLH-ZDUSSCGKSA-N 1 2 273.380 3.608 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2c1cc(F)cc2F)c1cscn1 ZINC000656681284 1118634265 /nfs/dbraw/zinc/63/42/65/1118634265.db2.gz CNZLUIXLCJEOOO-SDBXPKJASA-N 1 2 280.343 3.759 20 0 CHADLO Fc1ccc(/C=C\C[NH+]2CC(CC(F)(F)F)C2)c(F)c1 ZINC000656689020 1118637451 /nfs/dbraw/zinc/63/74/51/1118637451.db2.gz ZEACAQWXXXVOHS-UPHRSURJSA-N 1 2 291.263 3.862 20 0 CHADLO CC(C)c1cc(N[C@@H](C)Cc2ccncc2)nc(C(C)C)[nH+]1 ZINC000341256235 1118643049 /nfs/dbraw/zinc/64/30/49/1118643049.db2.gz GRIRAHOXJOAPMA-AWEZNQCLSA-N 1 2 298.434 3.583 20 0 CHADLO O=C(/C=C/c1cccc2[nH+]ccn21)c1csc(Cl)c1 ZINC000188865810 1118646514 /nfs/dbraw/zinc/64/65/14/1118646514.db2.gz FGEZEOYZMLUJGQ-SNAWJCMRSA-N 1 2 288.759 3.945 20 0 CHADLO Cc1c[nH+]c(CNc2ccccc2N2CCCCCC2)n1C ZINC000341272107 1118647212 /nfs/dbraw/zinc/64/72/12/1118647212.db2.gz CMQBLCTZDVXCNB-UHFFFAOYSA-N 1 2 298.434 3.721 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)C[C@H](C)O1 ZINC000341270826 1118647521 /nfs/dbraw/zinc/64/75/21/1118647521.db2.gz DLYCLUXCBWOXOI-PHIMTYICSA-N 1 2 276.767 3.502 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)C[C@@H](C)O1 ZINC000341270823 1118647578 /nfs/dbraw/zinc/64/75/78/1118647578.db2.gz DLYCLUXCBWOXOI-GHMZBOCLSA-N 1 2 276.767 3.502 20 0 CHADLO Cc1c[nH+]c(CNc2csc3ccccc23)n1C ZINC000341295154 1118660339 /nfs/dbraw/zinc/66/03/39/1118660339.db2.gz VRDVEYHEFCHUHU-UHFFFAOYSA-N 1 2 257.362 3.555 20 0 CHADLO CN(Cc1cccnc1)c1cc[nH+]c2c(Cl)cccc12 ZINC000341354130 1118672537 /nfs/dbraw/zinc/67/25/37/1118672537.db2.gz WPYMIUCEKNDZFO-UHFFFAOYSA-N 1 2 283.762 3.920 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@H+](C)Cc1cccc(=O)[nH]1 ZINC000933400357 1118686894 /nfs/dbraw/zinc/68/68/94/1118686894.db2.gz PLGBVWSAHAKURP-SNVBAGLBSA-N 1 2 294.757 3.773 20 0 CHADLO C[C@H](c1cccc(Cl)c1F)[N@@H+](C)Cc1cccc(=O)[nH]1 ZINC000933400357 1118686895 /nfs/dbraw/zinc/68/68/95/1118686895.db2.gz PLGBVWSAHAKURP-SNVBAGLBSA-N 1 2 294.757 3.773 20 0 CHADLO CC(C)([NH2+]C/C=C/c1ccc(F)cc1F)C(F)F ZINC000684414085 1118688235 /nfs/dbraw/zinc/68/82/35/1118688235.db2.gz RVLLUVGWOAARIX-ONEGZZNKSA-N 1 2 261.262 3.611 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1c(Cl)n[nH]c1C1CC1 ZINC000891473545 1118691655 /nfs/dbraw/zinc/69/16/55/1118691655.db2.gz GBWQUUXAYDKCED-UHFFFAOYSA-N 1 2 281.812 3.634 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1c(Cl)n[nH]c1C1CC1 ZINC000891473545 1118691658 /nfs/dbraw/zinc/69/16/58/1118691658.db2.gz GBWQUUXAYDKCED-UHFFFAOYSA-N 1 2 281.812 3.634 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(COC)ccc1C ZINC001213572106 1118692725 /nfs/dbraw/zinc/69/27/25/1118692725.db2.gz XNUINHKSPWHUHS-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO Fc1ccc(C[NH+]2CCC(F)(F)CC2)cc1Cl ZINC000684428351 1118704126 /nfs/dbraw/zinc/70/41/26/1118704126.db2.gz GWDQDORMYLGNDV-UHFFFAOYSA-N 1 2 263.690 3.710 20 0 CHADLO COc1cc(F)c(F)cc1C[NH+]1CC2(C1)CCCCC2 ZINC001143399727 1118712399 /nfs/dbraw/zinc/71/23/99/1118712399.db2.gz CQLZWTINDNREFR-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO c1cn(CCSCc2cc3ccccc3o2)c[nH+]1 ZINC000341463582 1118713376 /nfs/dbraw/zinc/71/33/76/1118713376.db2.gz VILVZAQQOJSCKB-UHFFFAOYSA-N 1 2 258.346 3.563 20 0 CHADLO Cc1cc(N[C@@H]2CCc3c2cccc3Cl)nc(C2CC2)[nH+]1 ZINC000341463169 1118713466 /nfs/dbraw/zinc/71/34/66/1118713466.db2.gz CHPHBAZNGJBODX-OAHLLOKOSA-N 1 2 299.805 3.837 20 0 CHADLO Cc1cc(N(C)[C@H](C)c2ccccc2F)nc(C2CC2)[nH+]1 ZINC000341502942 1118717490 /nfs/dbraw/zinc/71/74/90/1118717490.db2.gz PXYMMKDFNUKFIO-GFCCVEGCSA-N 1 2 285.366 3.999 20 0 CHADLO COc1ccc(C[N@H+](C)[C@H](C)c2ccccc2F)o1 ZINC000891593786 1118718061 /nfs/dbraw/zinc/71/80/61/1118718061.db2.gz ZMOLLILIIPYIGS-LLVKDONJSA-N 1 2 263.312 3.620 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@H](C)c2ccccc2F)o1 ZINC000891593786 1118718063 /nfs/dbraw/zinc/71/80/63/1118718063.db2.gz ZMOLLILIIPYIGS-LLVKDONJSA-N 1 2 263.312 3.620 20 0 CHADLO Cn1c[nH+]cc1CSCc1ccccc1C1CC1 ZINC000341539616 1118727322 /nfs/dbraw/zinc/72/73/22/1118727322.db2.gz TZDMMFSRWRFCEN-UHFFFAOYSA-N 1 2 258.390 3.731 20 0 CHADLO CCCc1csc(C[NH2+][C@H](C)c2cnn(C(C)C)c2)n1 ZINC000520933451 1118769733 /nfs/dbraw/zinc/76/97/33/1118769733.db2.gz USPRQZMDISMKRE-GFCCVEGCSA-N 1 2 292.452 3.724 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(OCC(C)C)cc1 ZINC001212285988 1128799653 /nfs/dbraw/zinc/79/96/53/1128799653.db2.gz YTDIYFSXPJYJOA-UHFFFAOYSA-N 1 2 295.386 3.951 20 0 CHADLO c1cn(-c2cccc(N[C@H]3CC[C@H]3C3CCC3)c2)c[nH+]1 ZINC000510653556 1118783414 /nfs/dbraw/zinc/78/34/14/1118783414.db2.gz SFSIKHKKXQWTMW-IRXDYDNUSA-N 1 2 267.376 3.863 20 0 CHADLO Cc1cc(NC(=O)c2cccc(C(C)(C)C)c2)c(C)c[nH+]1 ZINC001266899706 1118783515 /nfs/dbraw/zinc/78/35/15/1118783515.db2.gz NFAXXGJXPAQPQF-UHFFFAOYSA-N 1 2 282.387 3.670 20 0 CHADLO CCc1cc(C[NH2+][C@H](C)c2ccc(Cl)cc2OC)on1 ZINC000562951165 1118794005 /nfs/dbraw/zinc/79/40/05/1118794005.db2.gz JPUOLXXZTDKZHC-SNVBAGLBSA-N 1 2 294.782 3.750 20 0 CHADLO CCCCn1c(SC2CCC2)nnc1[C@@H](CC)[NH+](C)C ZINC000430972662 1118796954 /nfs/dbraw/zinc/79/69/54/1118796954.db2.gz QPLRFGBUQJVDQI-CYBMUJFWSA-N 1 2 296.484 3.736 20 0 CHADLO C[C@H]([N@H+](C)Cc1cccc(Cl)c1O)C1(C)CC1 ZINC000180702514 1128802422 /nfs/dbraw/zinc/80/24/22/1128802422.db2.gz LJIBVOMNYKHCHG-JTQLQIEISA-N 1 2 253.773 3.666 20 0 CHADLO C[C@H]([N@@H+](C)Cc1cccc(Cl)c1O)C1(C)CC1 ZINC000180702514 1128802426 /nfs/dbraw/zinc/80/24/26/1128802426.db2.gz LJIBVOMNYKHCHG-JTQLQIEISA-N 1 2 253.773 3.666 20 0 CHADLO FC(F)(F)c1nn(CC2CCCC2)c2cc[nH+]cc21 ZINC000092919050 1118853029 /nfs/dbraw/zinc/85/30/29/1118853029.db2.gz OPPRBLSDAWKFIM-UHFFFAOYSA-N 1 2 269.270 3.640 20 0 CHADLO CC(C)c1ccc(CC/[NH+]=C/c2ccc(O)c(O)c2O)cc1 ZINC000022769373 1118884080 /nfs/dbraw/zinc/88/40/80/1118884080.db2.gz RMOYSTGANPRBEX-YBFXNURJSA-N 1 2 299.370 3.588 20 0 CHADLO CC[C@H]1CCCC[C@@H]1N(C)C(=O)Nc1cc(C)[nH+]cc1C ZINC000535775019 1118884514 /nfs/dbraw/zinc/88/45/14/1118884514.db2.gz RHHDEXFPVVMAOJ-HOCLYGCPSA-N 1 2 289.423 3.553 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCC[C@H]1c1ccccn1 ZINC000535780476 1118887624 /nfs/dbraw/zinc/88/76/24/1118887624.db2.gz COINCMODRVLBGH-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCC[C@H]1c1ccccn1 ZINC000535780476 1118887626 /nfs/dbraw/zinc/88/76/26/1118887626.db2.gz COINCMODRVLBGH-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO O=C1SCCN1C[N@H+]1CCC[C@H]1c1ccccc1Cl ZINC000077446914 1128810344 /nfs/dbraw/zinc/81/03/44/1128810344.db2.gz RHIPNZNPJQQQLQ-ZDUSSCGKSA-N 1 2 296.823 3.603 20 0 CHADLO O=C1SCCN1C[N@@H+]1CCC[C@H]1c1ccccc1Cl ZINC000077446914 1128810346 /nfs/dbraw/zinc/81/03/46/1128810346.db2.gz RHIPNZNPJQQQLQ-ZDUSSCGKSA-N 1 2 296.823 3.603 20 0 CHADLO CC(C)c1nc(C[NH2+]Cc2ccc(O)c(Cl)c2)cs1 ZINC000707865128 1118939032 /nfs/dbraw/zinc/93/90/32/1118939032.db2.gz ANZSMAZPFYTZBE-UHFFFAOYSA-N 1 2 296.823 3.915 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CCCc3occc3C2)c(C)[nH+]1 ZINC000653187682 1118967877 /nfs/dbraw/zinc/96/78/77/1118967877.db2.gz DMYQROYKWUDMQY-UHFFFAOYSA-N 1 2 299.374 3.580 20 0 CHADLO C[NH+](C)c1ccc(NCc2nc(C(C)(C)C)co2)cc1 ZINC000724395977 1118986041 /nfs/dbraw/zinc/98/60/41/1118986041.db2.gz YCUDXAYNCCVUQF-UHFFFAOYSA-N 1 2 273.380 3.650 20 0 CHADLO Cc1[nH]c(CN2CCC[C@@H]2c2ccc(F)cc2)[nH+]c1C ZINC000628127512 1128814736 /nfs/dbraw/zinc/81/47/36/1128814736.db2.gz MRQWCMBZTJSPNE-OAHLLOKOSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@@H]2c2ccc(F)cc2)[nH]c1C ZINC000628127512 1128814740 /nfs/dbraw/zinc/81/47/40/1128814740.db2.gz MRQWCMBZTJSPNE-OAHLLOKOSA-N 1 2 273.355 3.503 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@@H]2c2ccc(F)cc2)[nH]c1C ZINC000628127512 1128814745 /nfs/dbraw/zinc/81/47/45/1128814745.db2.gz MRQWCMBZTJSPNE-OAHLLOKOSA-N 1 2 273.355 3.503 20 0 CHADLO CCCn1nccc1C[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000657384900 1119045083 /nfs/dbraw/zinc/04/50/83/1119045083.db2.gz SHAHKVFTNASRGN-LLVKDONJSA-N 1 2 295.789 3.936 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+]Cc1ccc(F)c(F)c1F)CO2 ZINC000657397532 1119055787 /nfs/dbraw/zinc/05/57/87/1119055787.db2.gz AGTQVYPRAZDUPL-CYBMUJFWSA-N 1 2 293.288 3.636 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CC3)o2)C[C@H](c2ccccc2)O1 ZINC000628155180 1128819626 /nfs/dbraw/zinc/81/96/26/1128819626.db2.gz SUICMOGYWJMWMC-CXAGYDPISA-N 1 2 298.386 3.514 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CC3)o2)C[C@H](c2ccccc2)O1 ZINC000628155180 1128819630 /nfs/dbraw/zinc/81/96/30/1128819630.db2.gz SUICMOGYWJMWMC-CXAGYDPISA-N 1 2 298.386 3.514 20 0 CHADLO C[C@H]([NH2+]Cc1nc2ccccc2[nH]1)c1ccc(F)cc1F ZINC000048777533 1119082771 /nfs/dbraw/zinc/08/27/71/1119082771.db2.gz NBAWHABBMXNHLQ-JTQLQIEISA-N 1 2 287.313 3.692 20 0 CHADLO CCC[N@H+](CC(=O)OC)Cc1ccc(SC(C)C)cc1 ZINC000511143401 1119101139 /nfs/dbraw/zinc/10/11/39/1119101139.db2.gz GXYZVLVRADLMCZ-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO CCC[N@@H+](CC(=O)OC)Cc1ccc(SC(C)C)cc1 ZINC000511143401 1119101141 /nfs/dbraw/zinc/10/11/41/1119101141.db2.gz GXYZVLVRADLMCZ-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO C[C@H]1OCCN(c2cc[nH+]c3c(Cl)cccc23)[C@H]1C ZINC000342635456 1119107587 /nfs/dbraw/zinc/10/75/87/1119107587.db2.gz LICYGWBWYLDAKJ-WDEREUQCSA-N 1 2 276.767 3.502 20 0 CHADLO C[C@@H]([NH2+]Cc1cscc1Cl)c1nccs1 ZINC000309125851 1119115655 /nfs/dbraw/zinc/11/56/55/1119115655.db2.gz POFROLPWTFEUDA-SSDOTTSWSA-N 1 2 258.799 3.709 20 0 CHADLO Cc1nc(C[N@H+]2CC[C@@H](C)[C@@H]2c2cccc(F)c2)[nH]c1C ZINC000628185453 1128822592 /nfs/dbraw/zinc/82/25/92/1128822592.db2.gz ZHQRCOKGIYOCMR-PIGZYNQJSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@@H+]2CC[C@@H](C)[C@@H]2c2cccc(F)c2)[nH]c1C ZINC000628185453 1128822595 /nfs/dbraw/zinc/82/25/95/1128822595.db2.gz ZHQRCOKGIYOCMR-PIGZYNQJSA-N 1 2 287.382 3.749 20 0 CHADLO CCCCc1nc(C[N@@H+]2CC[C@H](OCC(C)C)C2)cs1 ZINC000119916386 1119117660 /nfs/dbraw/zinc/11/76/60/1119117660.db2.gz AEQBJBLUDDFKJB-HNNXBMFYSA-N 1 2 296.480 3.733 20 0 CHADLO CCCCc1nc(C[N@H+]2CC[C@H](OCC(C)C)C2)cs1 ZINC000119916386 1119117663 /nfs/dbraw/zinc/11/76/63/1119117663.db2.gz AEQBJBLUDDFKJB-HNNXBMFYSA-N 1 2 296.480 3.733 20 0 CHADLO CCOc1ccc(C[NH2+][C@H](CC)C(F)F)c(C)c1 ZINC000657477010 1119119718 /nfs/dbraw/zinc/11/97/18/1119119718.db2.gz OMBCDOXMKFEVQK-CYBMUJFWSA-N 1 2 257.324 3.527 20 0 CHADLO COCc1cnc(C[N@@H+]2CCC[C@H]2c2cccs2)s1 ZINC000342671614 1119120215 /nfs/dbraw/zinc/12/02/15/1119120215.db2.gz AUQDOWOVWYIORT-LBPRGKRZSA-N 1 2 294.445 3.688 20 0 CHADLO COCc1cnc(C[N@H+]2CCC[C@H]2c2cccs2)s1 ZINC000342671614 1119120218 /nfs/dbraw/zinc/12/02/18/1119120218.db2.gz AUQDOWOVWYIORT-LBPRGKRZSA-N 1 2 294.445 3.688 20 0 CHADLO COCc1cnc(C[N@H+](C)[C@H](C)c2ccc(C)cc2)s1 ZINC000342674086 1119121081 /nfs/dbraw/zinc/12/10/81/1119121081.db2.gz ICGKEAZVTCRINX-CYBMUJFWSA-N 1 2 290.432 3.791 20 0 CHADLO COCc1cnc(C[N@@H+](C)[C@H](C)c2ccc(C)cc2)s1 ZINC000342674086 1119121082 /nfs/dbraw/zinc/12/10/82/1119121082.db2.gz ICGKEAZVTCRINX-CYBMUJFWSA-N 1 2 290.432 3.791 20 0 CHADLO FC[C@H]1CCC[N@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001207313785 1119147553 /nfs/dbraw/zinc/14/75/53/1119147553.db2.gz KAIGNNQTPSNWFU-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO FC[C@H]1CCC[N@@H+](Cc2cncc(Cl)c2Cl)C1 ZINC001207313785 1119147554 /nfs/dbraw/zinc/14/75/54/1119147554.db2.gz KAIGNNQTPSNWFU-SECBINFHSA-N 1 2 277.170 3.570 20 0 CHADLO CC(C)C[C@H]1CCCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934582177 1119150454 /nfs/dbraw/zinc/15/04/54/1119150454.db2.gz KOWYVTSYRPKRKN-CQSZACIVSA-N 1 2 285.391 3.604 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2nc(C3CC3)cs2)cc1 ZINC000342765131 1119157847 /nfs/dbraw/zinc/15/78/47/1119157847.db2.gz MAWHRIKBGODSCO-UHFFFAOYSA-N 1 2 288.416 3.661 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2nc(C3CC3)cs2)cc1 ZINC000342765131 1119157851 /nfs/dbraw/zinc/15/78/51/1119157851.db2.gz MAWHRIKBGODSCO-UHFFFAOYSA-N 1 2 288.416 3.661 20 0 CHADLO CSCCC[N@@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC000075023459 1119170706 /nfs/dbraw/zinc/17/07/06/1119170706.db2.gz ACNJFHSZMCJONU-JTQLQIEISA-N 1 2 291.869 3.528 20 0 CHADLO CSCCC[N@H+]1CCO[C@H](c2ccc(Cl)s2)C1 ZINC000075023459 1119170709 /nfs/dbraw/zinc/17/07/09/1119170709.db2.gz ACNJFHSZMCJONU-JTQLQIEISA-N 1 2 291.869 3.528 20 0 CHADLO Cc1c[nH+]c(CNc2cccc(Oc3ccccn3)c2)n1C ZINC000342797221 1119174044 /nfs/dbraw/zinc/17/40/44/1119174044.db2.gz NRWFJYVLFSBLTA-UHFFFAOYSA-N 1 2 294.358 3.528 20 0 CHADLO COCc1nc(C[N@@H+]2CCC[C@@H]2c2ccsc2)cs1 ZINC000075626319 1119178134 /nfs/dbraw/zinc/17/81/34/1119178134.db2.gz XGZKZZLBXWXBLI-CYBMUJFWSA-N 1 2 294.445 3.688 20 0 CHADLO COCc1nc(C[N@H+]2CCC[C@@H]2c2ccsc2)cs1 ZINC000075626319 1119178136 /nfs/dbraw/zinc/17/81/36/1119178136.db2.gz XGZKZZLBXWXBLI-CYBMUJFWSA-N 1 2 294.445 3.688 20 0 CHADLO CC(C)([NH2+]Cc1ccon1)c1cccc(C(F)(F)F)c1 ZINC000077278824 1119191950 /nfs/dbraw/zinc/19/19/50/1119191950.db2.gz BFBVZXVEVQBCRE-UHFFFAOYSA-N 1 2 284.281 3.718 20 0 CHADLO Fc1ccccc1[C@H](Cc1ccccc1)[NH2+]Cc1ncc[nH]1 ZINC000077454217 1119195045 /nfs/dbraw/zinc/19/50/45/1119195045.db2.gz BDIVIMBZOYGPOU-KRWDZBQOSA-N 1 2 295.361 3.622 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(C(C)(C)C)s1)c1cscn1 ZINC000657599431 1119204797 /nfs/dbraw/zinc/20/47/97/1119204797.db2.gz FKNJBZSAZSAFTQ-SECBINFHSA-N 1 2 281.450 3.748 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)ccn1 ZINC000342864254 1119216649 /nfs/dbraw/zinc/21/66/49/1119216649.db2.gz MYCAYGLRTPBSRZ-OAHLLOKOSA-N 1 2 288.778 3.691 20 0 CHADLO COc1cc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)ccn1 ZINC000342864254 1119216652 /nfs/dbraw/zinc/21/66/52/1119216652.db2.gz MYCAYGLRTPBSRZ-OAHLLOKOSA-N 1 2 288.778 3.691 20 0 CHADLO Cc1ccc2ccc(NCc3cc[nH+]c(N)c3)cc2c1 ZINC001167618043 1119217691 /nfs/dbraw/zinc/21/76/91/1119217691.db2.gz CSMYJNUYYGJAFY-UHFFFAOYSA-N 1 2 263.344 3.738 20 0 CHADLO Cc1nc(NCCc2c[nH]c3ccc(Cl)cc23)cc[nH+]1 ZINC000078469445 1119225643 /nfs/dbraw/zinc/22/56/43/1119225643.db2.gz VUOFEUJRRUIPEF-UHFFFAOYSA-N 1 2 286.766 3.574 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+][C@H](c2ccncc2)C2CC2)o1 ZINC000934688669 1119227702 /nfs/dbraw/zinc/22/77/02/1119227702.db2.gz WTNBIOMELSYMHQ-INIZCTEOSA-N 1 2 285.391 3.608 20 0 CHADLO COC1(CNc2cc[nH+]c3c(Cl)cccc23)CCC1 ZINC000342916218 1119245357 /nfs/dbraw/zinc/24/53/57/1119245357.db2.gz IPIAGVZRBNERPM-UHFFFAOYSA-N 1 2 276.767 3.869 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cccc3nccn31)CC2 ZINC000342915657 1119245544 /nfs/dbraw/zinc/24/55/44/1119245544.db2.gz ASISFDKQPSYQBM-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cccc3nccn31)CC2 ZINC000342915657 1119245547 /nfs/dbraw/zinc/24/55/47/1119245547.db2.gz ASISFDKQPSYQBM-UHFFFAOYSA-N 1 2 297.789 3.546 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1nc2ccccc2s1 ZINC000120798933 1119249633 /nfs/dbraw/zinc/24/96/33/1119249633.db2.gz UIEPDFGDQUWXNQ-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1nc2ccccc2s1 ZINC000120798933 1119249637 /nfs/dbraw/zinc/24/96/37/1119249637.db2.gz UIEPDFGDQUWXNQ-UHFFFAOYSA-N 1 2 272.373 3.830 20 0 CHADLO Cc1noc([C@@H](C)[N@@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000078899811 1119258972 /nfs/dbraw/zinc/25/89/72/1119258972.db2.gz DBBKFNQWXVPIDR-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1noc([C@@H](C)[N@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000078899811 1119258973 /nfs/dbraw/zinc/25/89/73/1119258973.db2.gz DBBKFNQWXVPIDR-GFCCVEGCSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccsc1C[N@@H+](C)[C@H](C)c1nc(C(C)C)no1 ZINC000078900595 1119259051 /nfs/dbraw/zinc/25/90/51/1119259051.db2.gz CWJONNHBIJFRRD-LLVKDONJSA-N 1 2 279.409 3.756 20 0 CHADLO Cc1ccsc1C[N@H+](C)[C@H](C)c1nc(C(C)C)no1 ZINC000078900595 1119259053 /nfs/dbraw/zinc/25/90/53/1119259053.db2.gz CWJONNHBIJFRRD-LLVKDONJSA-N 1 2 279.409 3.756 20 0 CHADLO CN(CC[C@H]1CCCO1)c1cc[nH+]c2c(Cl)cccc12 ZINC000342965287 1119266245 /nfs/dbraw/zinc/26/62/45/1119266245.db2.gz LBJHKVSYFBHHJA-GFCCVEGCSA-N 1 2 290.794 3.894 20 0 CHADLO CN(CC[C@@H]1CCCO1)c1cc[nH+]c2c(Cl)cccc12 ZINC000342965288 1119266310 /nfs/dbraw/zinc/26/63/10/1119266310.db2.gz LBJHKVSYFBHHJA-LBPRGKRZSA-N 1 2 290.794 3.894 20 0 CHADLO Cc1ncc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(Cl)n1 ZINC001213086572 1119270621 /nfs/dbraw/zinc/27/06/21/1119270621.db2.gz SJKYQQLPURTIOP-UHFFFAOYSA-N 1 2 285.738 3.572 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H]2CCCC2(F)F)c(C)c1OC ZINC000672621954 1119289987 /nfs/dbraw/zinc/28/99/87/1119289987.db2.gz PGXFJJWGMNYZMV-BXUZGUMPSA-N 1 2 299.361 3.851 20 0 CHADLO CCOc1cc(Nc2ccc(-c3ncc[nH]3)cc2)cc(C)[nH+]1 ZINC001213087182 1119296947 /nfs/dbraw/zinc/29/69/47/1119296947.db2.gz KNMFWUFSHASJHG-UHFFFAOYSA-N 1 2 294.358 3.922 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(OC(F)F)c1)c1ccon1 ZINC000349859810 1119329518 /nfs/dbraw/zinc/32/95/18/1119329518.db2.gz YAMSKGDGSTXQIJ-UWVGGRQHSA-N 1 2 282.290 3.688 20 0 CHADLO C[C@@H]([NH2+][C@H]1CSc2ccccc21)c1cc2n(n1)CCCC2 ZINC000647290173 1119352538 /nfs/dbraw/zinc/35/25/38/1119352538.db2.gz YZJCZAZBLFWAGK-WBMJQRKESA-N 1 2 299.443 3.717 20 0 CHADLO Clc1ccc2c(ccn2CCNc2cccc[nH+]2)c1 ZINC000343222295 1119354754 /nfs/dbraw/zinc/35/47/54/1119354754.db2.gz MZJZSSHYYUIQHC-UHFFFAOYSA-N 1 2 271.751 3.802 20 0 CHADLO Cn1ncc2c1cccc2Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213088805 1119371554 /nfs/dbraw/zinc/37/15/54/1119371554.db2.gz FFCXNNQHKCARPN-UHFFFAOYSA-N 1 2 289.342 3.707 20 0 CHADLO CC[C@H](c1ccccc1OC)N(C)Cc1[nH]c(C)c(C)[nH+]1 ZINC000628282037 1128841868 /nfs/dbraw/zinc/84/18/68/1128841868.db2.gz LEBTYSXWOMCMFN-OAHLLOKOSA-N 1 2 287.407 3.618 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2ccccn2)c(F)c1 ZINC000449732993 1119386591 /nfs/dbraw/zinc/38/65/91/1119386591.db2.gz NHRQEQMGYNETPS-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2ccccn2)c(F)c1 ZINC000449732993 1119386592 /nfs/dbraw/zinc/38/65/92/1119386592.db2.gz NHRQEQMGYNETPS-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO CCc1cccc2cc(C[NH2+][C@@H](C)c3nccn3C)oc21 ZINC000657794631 1119413365 /nfs/dbraw/zinc/41/33/65/1119413365.db2.gz YVWBNGOECZMKJE-LBPRGKRZSA-N 1 2 283.375 3.580 20 0 CHADLO Cc1c[nH]c(C[N@H+]2CC=C(c3ccc(Cl)cc3)CC2)n1 ZINC000628129805 1119415374 /nfs/dbraw/zinc/41/53/74/1119415374.db2.gz VLINHRBFGKRIMN-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1c[nH]c(C[N@@H+]2CC=C(c3ccc(Cl)cc3)CC2)n1 ZINC000628129805 1119415376 /nfs/dbraw/zinc/41/53/76/1119415376.db2.gz VLINHRBFGKRIMN-UHFFFAOYSA-N 1 2 287.794 3.661 20 0 CHADLO Cc1cn2cccc(Nc3ccn(C(C)C)c3)c2[nH+]1 ZINC001203159465 1119432703 /nfs/dbraw/zinc/43/27/03/1119432703.db2.gz MMWZCALXYHDJSL-UHFFFAOYSA-N 1 2 254.337 3.769 20 0 CHADLO Cc1cc(NC[C@H]2CCCCS2)nc(C(C)C)[nH+]1 ZINC000158328510 1119443779 /nfs/dbraw/zinc/44/37/79/1119443779.db2.gz LGEQIOXFJBGVHN-GFCCVEGCSA-N 1 2 265.426 3.606 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccc(F)cn1 ZINC000147767856 1119455824 /nfs/dbraw/zinc/45/58/24/1119455824.db2.gz JRVUBWPRUFDPPO-NXEZZACHSA-N 1 2 280.293 3.911 20 0 CHADLO Cc1nc(C[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)[nH]c1C ZINC000628322775 1128847750 /nfs/dbraw/zinc/84/77/50/1128847750.db2.gz LCYTUSRKNMBBRO-SFHVURJKSA-N 1 2 293.414 3.920 20 0 CHADLO Cc1nc(C[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)[nH]c1C ZINC000628322775 1128847753 /nfs/dbraw/zinc/84/77/53/1128847753.db2.gz LCYTUSRKNMBBRO-SFHVURJKSA-N 1 2 293.414 3.920 20 0 CHADLO Cc1[nH]c(CN2CC3(CC=CC3)[C@@H]2c2ccccc2)[nH+]c1C ZINC000628322775 1128847756 /nfs/dbraw/zinc/84/77/56/1128847756.db2.gz LCYTUSRKNMBBRO-SFHVURJKSA-N 1 2 293.414 3.920 20 0 CHADLO CCc1ccc(C[NH2+][C@@H](CC)c2nc(C)cs2)o1 ZINC000133017863 1119462216 /nfs/dbraw/zinc/46/22/16/1119462216.db2.gz OBSGXENSLPPINS-ZDUSSCGKSA-N 1 2 264.394 3.848 20 0 CHADLO c1coc([C@@H]([NH2+][C@@H]2CCCn3nccc32)c2ccccc2)c1 ZINC000657826673 1119472935 /nfs/dbraw/zinc/47/29/35/1119472935.db2.gz JLSMHMOCWDMPBO-QAPCUYQASA-N 1 2 293.370 3.690 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@@H+]1Cc1nc(C2CC2)cs1 ZINC000343626367 1119474474 /nfs/dbraw/zinc/47/44/74/1119474474.db2.gz ZNOVEHBQHBTPJJ-OAHLLOKOSA-N 1 2 287.432 3.696 20 0 CHADLO Cn1cccc1[C@H]1CCC[N@H+]1Cc1nc(C2CC2)cs1 ZINC000343626367 1119474476 /nfs/dbraw/zinc/47/44/76/1119474476.db2.gz ZNOVEHBQHBTPJJ-OAHLLOKOSA-N 1 2 287.432 3.696 20 0 CHADLO FC1(c2cccc(Cl)c2)CC[NH+](Cc2cocn2)CC1 ZINC000343653940 1119480093 /nfs/dbraw/zinc/48/00/93/1119480093.db2.gz GRZNWONSAUFQQS-UHFFFAOYSA-N 1 2 294.757 3.789 20 0 CHADLO C[C@H]1C[C@H]([NH2+]Cc2cscn2)c2ccsc2S1 ZINC000127321417 1119480156 /nfs/dbraw/zinc/48/01/56/1119480156.db2.gz ZUKBPGNAAVUASM-KWQFWETISA-N 1 2 282.459 3.920 20 0 CHADLO Cc1nc(C[N@@H+]2C[C@@H](C)C[C@H]2c2cccc(F)c2)[nH]c1C ZINC000628180494 1119491962 /nfs/dbraw/zinc/49/19/62/1119491962.db2.gz RDAPRCDMKKZQHX-ZBEGNZNMSA-N 1 2 287.382 3.749 20 0 CHADLO Cc1nc(C[N@H+]2C[C@@H](C)C[C@H]2c2cccc(F)c2)[nH]c1C ZINC000628180494 1119491966 /nfs/dbraw/zinc/49/19/66/1119491966.db2.gz RDAPRCDMKKZQHX-ZBEGNZNMSA-N 1 2 287.382 3.749 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@H+]2Cc2cc3ccccc3o2)n1 ZINC000135274155 1119496521 /nfs/dbraw/zinc/49/65/21/1119496521.db2.gz ITYFHDRSJGNCLI-HNNXBMFYSA-N 1 2 281.359 3.883 20 0 CHADLO c1c[nH]c([C@@H]2CCCC[N@@H+]2Cc2cc3ccccc3o2)n1 ZINC000135274155 1119496524 /nfs/dbraw/zinc/49/65/24/1119496524.db2.gz ITYFHDRSJGNCLI-HNNXBMFYSA-N 1 2 281.359 3.883 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2nc(C)c(C)[nH]2)o1 ZINC000628189307 1119504626 /nfs/dbraw/zinc/50/46/26/1119504626.db2.gz XHWUHEMFVFXFMX-XHDPSFHLSA-N 1 2 287.407 3.901 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2nc(C)c(C)[nH]2)o1 ZINC000628189307 1119504630 /nfs/dbraw/zinc/50/46/30/1119504630.db2.gz XHWUHEMFVFXFMX-XHDPSFHLSA-N 1 2 287.407 3.901 20 0 CHADLO Cc1ccc([C@H]2C[C@@H](C)CCN2Cc2[nH]c(C)c(C)[nH+]2)o1 ZINC000628189307 1119504633 /nfs/dbraw/zinc/50/46/33/1119504633.db2.gz XHWUHEMFVFXFMX-XHDPSFHLSA-N 1 2 287.407 3.901 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(F)c(F)c2F)C[C@H](C)C1(F)F ZINC000628392970 1128852722 /nfs/dbraw/zinc/85/27/22/1128852722.db2.gz YNFOZGWCUDSPOT-DTORHVGOSA-N 1 2 293.279 3.827 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(F)c(F)c2F)C[C@H](C)C1(F)F ZINC000628392970 1128852726 /nfs/dbraw/zinc/85/27/26/1128852726.db2.gz YNFOZGWCUDSPOT-DTORHVGOSA-N 1 2 293.279 3.827 20 0 CHADLO CCC(C)(C)[N@H+](C)Cc1noc(Cc2ccccc2C)n1 ZINC000083172796 1119524682 /nfs/dbraw/zinc/52/46/82/1119524682.db2.gz WSTHSYNODVTLFP-UHFFFAOYSA-N 1 2 287.407 3.589 20 0 CHADLO CCC(C)(C)[N@@H+](C)Cc1noc(Cc2ccccc2C)n1 ZINC000083172796 1119524685 /nfs/dbraw/zinc/52/46/85/1119524685.db2.gz WSTHSYNODVTLFP-UHFFFAOYSA-N 1 2 287.407 3.589 20 0 CHADLO CC(C)c1cc(NC[C@H]2CCC[C@H](O)C2)nc(C(C)C)[nH+]1 ZINC000090094929 1119546057 /nfs/dbraw/zinc/54/60/57/1119546057.db2.gz BYGNTFADCKAXJE-KBPBESRZSA-N 1 2 291.439 3.686 20 0 CHADLO COc1ccc(Cl)cc1[C@H](C)[NH2+]Cc1ncccc1F ZINC000090720735 1119548902 /nfs/dbraw/zinc/54/89/02/1119548902.db2.gz ZLXLNWBAJKPCBV-JTQLQIEISA-N 1 2 294.757 3.734 20 0 CHADLO Fc1cccnc1C[NH2+][C@H]1CC2(CCC2)Oc2ccccc21 ZINC000090722308 1119548959 /nfs/dbraw/zinc/54/89/59/1119548959.db2.gz FNWHCWUHKHXQJZ-HNNXBMFYSA-N 1 2 298.361 3.757 20 0 CHADLO c1cn(-c2ccccc2CNc2nc3ccccc3o2)c[nH+]1 ZINC000091506138 1119556980 /nfs/dbraw/zinc/55/69/80/1119556980.db2.gz FZUIPYUDGUQVKX-UHFFFAOYSA-N 1 2 290.326 3.626 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C(C)(C)C)cs1)c1ccc(F)cn1 ZINC000092746790 1119566675 /nfs/dbraw/zinc/56/66/75/1119566675.db2.gz XSUCLQLWGCDOLU-SNVBAGLBSA-N 1 2 293.411 3.826 20 0 CHADLO CCc1cnc(C[N@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000092821774 1119567322 /nfs/dbraw/zinc/56/73/22/1119567322.db2.gz YHXUHNPKMOTZDI-UHFFFAOYSA-N 1 2 282.387 3.835 20 0 CHADLO CCc1cnc(C[N@@H+]2CC=C(c3cccc(C)c3)CC2)o1 ZINC000092821774 1119567323 /nfs/dbraw/zinc/56/73/23/1119567323.db2.gz YHXUHNPKMOTZDI-UHFFFAOYSA-N 1 2 282.387 3.835 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3C2)c(Cl)c1Cl ZINC000628410863 1128856075 /nfs/dbraw/zinc/85/60/75/1128856075.db2.gz UGKVJIZTENFABZ-DTORHVGOSA-N 1 2 260.139 3.584 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3C2)c(Cl)c1Cl ZINC000628410863 1128856081 /nfs/dbraw/zinc/85/60/81/1128856081.db2.gz UGKVJIZTENFABZ-DTORHVGOSA-N 1 2 260.139 3.584 20 0 CHADLO Cc1cnc([C@@H]([NH2+]Cc2cnc(C3CC3)o2)C2CC2)s1 ZINC000628220023 1119593292 /nfs/dbraw/zinc/59/32/92/1119593292.db2.gz HIADDYIGPQLKJS-ZDUSSCGKSA-N 1 2 289.404 3.558 20 0 CHADLO CCc1nc(C[N@H+](C)CCO[C@H]2CCCC[C@@H]2C)cs1 ZINC000138948089 1119596981 /nfs/dbraw/zinc/59/69/81/1119596981.db2.gz JVQGROYIWRSCAD-ZFWWWQNUSA-N 1 2 296.480 3.733 20 0 CHADLO CCc1nc(C[N@@H+](C)CCO[C@H]2CCCC[C@@H]2C)cs1 ZINC000138948089 1119596983 /nfs/dbraw/zinc/59/69/83/1119596983.db2.gz JVQGROYIWRSCAD-ZFWWWQNUSA-N 1 2 296.480 3.733 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1c(F)cccc1Cl ZINC000139170666 1119597406 /nfs/dbraw/zinc/59/74/06/1119597406.db2.gz TZLABXUQKZONQF-UHFFFAOYSA-N 1 2 273.779 3.726 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1c(F)cccc1Cl ZINC000139170666 1119597407 /nfs/dbraw/zinc/59/74/07/1119597407.db2.gz TZLABXUQKZONQF-UHFFFAOYSA-N 1 2 273.779 3.726 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccccc2Oc2ccccc2)CCO1 ZINC000685424959 1119605520 /nfs/dbraw/zinc/60/55/20/1119605520.db2.gz ARDXOWUMOQYJPI-OAHLLOKOSA-N 1 2 283.371 3.700 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccccc2Oc2ccccc2)CCO1 ZINC000685424959 1119605522 /nfs/dbraw/zinc/60/55/22/1119605522.db2.gz ARDXOWUMOQYJPI-OAHLLOKOSA-N 1 2 283.371 3.700 20 0 CHADLO Cc1nc(C[N@@H+]2CCC[C@H]2c2cccc(F)c2)oc1C ZINC000139988856 1119607625 /nfs/dbraw/zinc/60/76/25/1119607625.db2.gz AACAGEJCMJUNQP-HNNXBMFYSA-N 1 2 274.339 3.768 20 0 CHADLO Cc1nc(C[N@H+]2CCC[C@H]2c2cccc(F)c2)oc1C ZINC000139988856 1119607626 /nfs/dbraw/zinc/60/76/26/1119607626.db2.gz AACAGEJCMJUNQP-HNNXBMFYSA-N 1 2 274.339 3.768 20 0 CHADLO CC(C)n1cc(C[NH2+][C@@H](c2ccco2)c2ccccc2)cn1 ZINC000171839972 1119620998 /nfs/dbraw/zinc/62/09/98/1119620998.db2.gz KTWRCCJYPKIPGR-GOSISDBHSA-N 1 2 295.386 3.936 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)o1 ZINC000685566116 1119631221 /nfs/dbraw/zinc/63/12/21/1119631221.db2.gz CWSVHASQXLHWHO-CQSZACIVSA-N 1 2 276.767 3.892 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)o1 ZINC000685566116 1119631222 /nfs/dbraw/zinc/63/12/22/1119631222.db2.gz CWSVHASQXLHWHO-CQSZACIVSA-N 1 2 276.767 3.892 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1cc(C(C)(C)C)on1 ZINC000685572346 1119632667 /nfs/dbraw/zinc/63/26/67/1119632667.db2.gz UBKGCRKUCUSNFS-LBPRGKRZSA-N 1 2 273.380 3.526 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1cc(C(C)(C)C)on1 ZINC000685572345 1119633117 /nfs/dbraw/zinc/63/31/17/1119633117.db2.gz UBKGCRKUCUSNFS-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)CCc2c[nH+]cn2C)c1 ZINC000628431700 1128860134 /nfs/dbraw/zinc/86/01/34/1128860134.db2.gz DNDBSMSCLFEQKQ-ZDUSSCGKSA-N 1 2 285.391 3.505 20 0 CHADLO c1cn(-c2cccc(N[C@H]3CCCSCC3)c2)c[nH+]1 ZINC000657959015 1119652244 /nfs/dbraw/zinc/65/22/44/1119652244.db2.gz CXQYOHIAVZDXNJ-ZDUSSCGKSA-N 1 2 273.405 3.570 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@@H](C)Cc2c[nH]c[nH+]2)c1 ZINC000628430676 1128860940 /nfs/dbraw/zinc/86/09/40/1128860940.db2.gz FFZQUTKLSZRFQG-STQMWFEESA-N 1 2 285.391 3.741 20 0 CHADLO CC[C@H](C)c1cccc(NC(=O)[C@@H](C)Cc2c[nH+]c[nH]2)c1 ZINC000628430676 1128860944 /nfs/dbraw/zinc/86/09/44/1128860944.db2.gz FFZQUTKLSZRFQG-STQMWFEESA-N 1 2 285.391 3.741 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(C(F)F)nc2)c1 ZINC000658042703 1119670807 /nfs/dbraw/zinc/67/08/07/1119670807.db2.gz AHKWJCKJWAEGBP-JTQLQIEISA-N 1 2 293.317 3.734 20 0 CHADLO COc1cccc2c1C[N@H+](C/C=C\c1ccccc1OC)C2 ZINC000628462438 1128863422 /nfs/dbraw/zinc/86/34/22/1128863422.db2.gz UIXGATCYRSADKR-TWGQIWQCSA-N 1 2 295.382 3.733 20 0 CHADLO COc1cccc2c1C[N@@H+](C/C=C\c1ccccc1OC)C2 ZINC000628462438 1128863426 /nfs/dbraw/zinc/86/34/26/1128863426.db2.gz UIXGATCYRSADKR-TWGQIWQCSA-N 1 2 295.382 3.733 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H]1c2cc(C)ccc2C[C@@H]1C ZINC000658246619 1119711557 /nfs/dbraw/zinc/71/15/57/1119711557.db2.gz TUJXLPWWEFMUSZ-NVGCLXPQSA-N 1 2 269.392 3.611 20 0 CHADLO C[C@H](Nc1ccc([NH+]2CCCC2)cc1)C1(Cl)CC1 ZINC000695650118 1119740242 /nfs/dbraw/zinc/74/02/42/1119740242.db2.gz URFWWZJINJOVKS-LBPRGKRZSA-N 1 2 264.800 3.859 20 0 CHADLO Cc1nonc1[C@@H](C)[NH2+]C1(c2cccc(Cl)c2)CCC1 ZINC000926638761 1119759484 /nfs/dbraw/zinc/75/94/84/1119759484.db2.gz MKVDPSVKULPSJG-SNVBAGLBSA-N 1 2 291.782 3.761 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)c1 ZINC000375895116 1119780006 /nfs/dbraw/zinc/78/00/06/1119780006.db2.gz JNTDYVFMHZBRQO-LRDDRELGSA-N 1 2 285.391 3.537 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(C2CCCC2)cc1 ZINC000570076814 1119797292 /nfs/dbraw/zinc/79/72/92/1119797292.db2.gz KFJXGADJSAJNHV-UHFFFAOYSA-N 1 2 270.376 3.964 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(C2CCCC2)cc1 ZINC000570076814 1119797296 /nfs/dbraw/zinc/79/72/96/1119797296.db2.gz KFJXGADJSAJNHV-UHFFFAOYSA-N 1 2 270.376 3.964 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)CC/C=C\c1ccccc1 ZINC001625884032 1119808174 /nfs/dbraw/zinc/80/81/74/1119808174.db2.gz JOYWVGHNHAVDEA-POHAHGRESA-N 1 2 280.371 3.552 20 0 CHADLO CCC1(C(=O)Nc2cc(C)[nH+]cc2C)CCC(F)(F)CC1 ZINC000612748308 1119820884 /nfs/dbraw/zinc/82/08/84/1119820884.db2.gz ZFYQRVSWBAQOSM-UHFFFAOYSA-N 1 2 296.361 3.665 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OCOC)cc1 ZINC001211637192 1119851716 /nfs/dbraw/zinc/85/17/16/1119851716.db2.gz FPMQBJVKYRLRBM-UHFFFAOYSA-N 1 2 272.348 3.679 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@@H]2C[C@H]2c2c[nH]c[nH+]2)c1 ZINC000636253449 1119863091 /nfs/dbraw/zinc/86/30/91/1119863091.db2.gz RCBKFRVCYFMIQO-KCPJHIHWSA-N 1 2 283.375 3.665 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@@H]2C[C@H]2c2c[nH+]c[nH]2)c1 ZINC000636253449 1119863093 /nfs/dbraw/zinc/86/30/93/1119863093.db2.gz RCBKFRVCYFMIQO-KCPJHIHWSA-N 1 2 283.375 3.665 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(F)c1F)c1cc2n(n1)CCC2 ZINC000414104187 1119900377 /nfs/dbraw/zinc/90/03/77/1119900377.db2.gz OCPFBJLWNBMUOJ-WDEREUQCSA-N 1 2 291.345 3.519 20 0 CHADLO CC(C)CCOC1CC[NH+](C/C(Cl)=C/Cl)CC1 ZINC000763493675 1128878674 /nfs/dbraw/zinc/87/86/74/1128878674.db2.gz WNKOGJLJPGCRIT-XFXZXTDPSA-N 1 2 280.239 3.833 20 0 CHADLO CCCCCNC(=O)C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000613486004 1119937431 /nfs/dbraw/zinc/93/74/31/1119937431.db2.gz KILNWMICGTURGL-ZDUSSCGKSA-N 1 2 296.842 3.639 20 0 CHADLO CCCCCNC(=O)C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000613486004 1119937433 /nfs/dbraw/zinc/93/74/33/1119937433.db2.gz KILNWMICGTURGL-ZDUSSCGKSA-N 1 2 296.842 3.639 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCCN(c2ccccc2)CC1 ZINC000763493458 1128879274 /nfs/dbraw/zinc/87/92/74/1128879274.db2.gz QQVYRRWIALVQRM-QBFSEMIESA-N 1 2 285.218 3.518 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCCN(c2ccccc2)CC1 ZINC000763493458 1128879278 /nfs/dbraw/zinc/87/92/78/1128879278.db2.gz QQVYRRWIALVQRM-QBFSEMIESA-N 1 2 285.218 3.518 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccc(C(F)(F)F)n1)c1ccccc1 ZINC000414230240 1119948307 /nfs/dbraw/zinc/94/83/07/1119948307.db2.gz AUUZOAGEQASKRA-GFCCVEGCSA-N 1 2 295.308 3.736 20 0 CHADLO CCc1cccnc1[C@H](C)[NH2+][C@@H](C)c1nccs1 ZINC000414227707 1119949512 /nfs/dbraw/zinc/94/95/12/1119949512.db2.gz WUENDWLJRAAWHZ-QWRGUYRKSA-N 1 2 261.394 3.512 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](C)c2cc(C)sc2C)n1 ZINC000193130405 1119978641 /nfs/dbraw/zinc/97/86/41/1119978641.db2.gz MSDZSQLMXILSRE-NSHDSACASA-N 1 2 293.436 3.941 20 0 CHADLO Cc1cccc(C[NH2+][C@H](C)c2csc(C(C)C)n2)n1 ZINC000186262335 1119982562 /nfs/dbraw/zinc/98/25/62/1119982562.db2.gz KFJMYMJEYVNMOS-GFCCVEGCSA-N 1 2 275.421 3.821 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccsc1 ZINC000414325881 1119983659 /nfs/dbraw/zinc/98/36/59/1119983659.db2.gz MYARKFUKAFKPDO-LLVKDONJSA-N 1 2 279.434 3.718 20 0 CHADLO CCOc1ccc(C[NH2+]Cc2ccc([C@H]3C[C@@H]3C)o2)o1 ZINC000892622686 1119989558 /nfs/dbraw/zinc/98/95/58/1119989558.db2.gz QXFWNMFAPBITRR-FZMZJTMJSA-N 1 2 275.348 3.685 20 0 CHADLO CC(C)c1ccc(C[NH2+][C@@H]2CCCc3[nH]ncc32)cc1 ZINC000375849865 1120016132 /nfs/dbraw/zinc/01/61/32/1120016132.db2.gz IHPHKMBKBYAYQP-MRXNPFEDSA-N 1 2 269.392 3.700 20 0 CHADLO Cc1occc1C[N@H+]1C[C@H](C)OC[C@H]1c1ccccc1 ZINC000414394056 1120017041 /nfs/dbraw/zinc/01/70/41/1120017041.db2.gz HPRXMAHVMDLTMP-GUYCJALGSA-N 1 2 271.360 3.550 20 0 CHADLO Cc1occc1C[N@@H+]1C[C@H](C)OC[C@H]1c1ccccc1 ZINC000414394056 1120017043 /nfs/dbraw/zinc/01/70/43/1120017043.db2.gz HPRXMAHVMDLTMP-GUYCJALGSA-N 1 2 271.360 3.550 20 0 CHADLO CC(C)O[C@H]1C[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)C1(C)C ZINC000765810046 1120047024 /nfs/dbraw/zinc/04/70/24/1120047024.db2.gz MQIXRZFORZTHQQ-SJORKVTESA-N 1 2 299.418 3.876 20 0 CHADLO COc1ccc([C@@H](C)Nc2ccc(C)[nH+]c2C)c(OC)c1 ZINC000094664336 1120053837 /nfs/dbraw/zinc/05/38/37/1120053837.db2.gz SWILNLXIFNQVPL-GFCCVEGCSA-N 1 2 286.375 3.889 20 0 CHADLO CCC(F)(F)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921654700 1120055026 /nfs/dbraw/zinc/05/50/26/1120055026.db2.gz OPBOZHMCAYSPKQ-UHFFFAOYSA-N 1 2 293.317 3.677 20 0 CHADLO C/C(=C/C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1)C1CC1 ZINC000921666332 1120062087 /nfs/dbraw/zinc/06/20/87/1120062087.db2.gz ZMEKWHYCRHSCFC-KHPPLWFESA-N 1 2 295.386 3.988 20 0 CHADLO CC(=CC(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1)C1CC1 ZINC000921666332 1120062092 /nfs/dbraw/zinc/06/20/92/1120062092.db2.gz ZMEKWHYCRHSCFC-KHPPLWFESA-N 1 2 295.386 3.988 20 0 CHADLO Cc1cc(NC(=O)c2cc(Cl)c(Cl)nc2C)cc[nH+]1 ZINC000311543015 1120089019 /nfs/dbraw/zinc/08/90/19/1120089019.db2.gz UHVVMZLDTCKZDS-UHFFFAOYSA-N 1 2 296.157 3.653 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2cccc(OC(F)F)c2)oc1C ZINC000179593905 1120095166 /nfs/dbraw/zinc/09/51/66/1120095166.db2.gz PORKHTJBAOOMNU-JTQLQIEISA-N 1 2 296.317 3.744 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(O)c1)c1nc2ccccc2o1 ZINC000181228674 1120102790 /nfs/dbraw/zinc/10/27/90/1120102790.db2.gz XTKHTGICFBZYNK-NWDGAFQWSA-N 1 2 282.343 3.945 20 0 CHADLO CCc1cnc(CNc2cc(C)[nH+]c(C3CCC3)n2)s1 ZINC000892704178 1120104895 /nfs/dbraw/zinc/10/48/95/1120104895.db2.gz GJNRVBAOZXIDAJ-UHFFFAOYSA-N 1 2 288.420 3.684 20 0 CHADLO CC(C)COc1ccc([C@@H](C)[NH2+]Cc2cocn2)cc1 ZINC000182065740 1120114478 /nfs/dbraw/zinc/11/44/78/1120114478.db2.gz YXFOJIKZCWNBMZ-CYBMUJFWSA-N 1 2 274.364 3.560 20 0 CHADLO CCc1nnc([C@H](C)[N@H+](C)Cc2ccc3ccccc3c2)[nH]1 ZINC000659820516 1120117626 /nfs/dbraw/zinc/11/76/26/1120117626.db2.gz HKQKXLREUPQTJP-ZDUSSCGKSA-N 1 2 294.402 3.713 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)[nH]1 ZINC000659820516 1120117629 /nfs/dbraw/zinc/11/76/29/1120117629.db2.gz HKQKXLREUPQTJP-ZDUSSCGKSA-N 1 2 294.402 3.713 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccnn1C1CCC1 ZINC000926828679 1120118071 /nfs/dbraw/zinc/11/80/71/1120118071.db2.gz JSVQTGLCMJXPJP-SECBINFHSA-N 1 2 296.827 3.569 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2ccon2)cc1Cl ZINC000542700912 1120122154 /nfs/dbraw/zinc/12/21/54/1120122154.db2.gz YHHXMRUXVFNKTH-ZJUUUORDSA-N 1 2 280.755 3.748 20 0 CHADLO CCSc1ccc(C[NH2+][C@@H](C)c2ncco2)cc1 ZINC000926838799 1120126736 /nfs/dbraw/zinc/12/67/36/1120126736.db2.gz VALXLRRMUKTRFA-NSHDSACASA-N 1 2 262.378 3.637 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2cncc(F)c2)c[nH+]1 ZINC000183165350 1120130570 /nfs/dbraw/zinc/13/05/70/1120130570.db2.gz IXRXDWYXKTZPIY-GFCCVEGCSA-N 1 2 288.370 3.635 20 0 CHADLO C[N@H+](Cc1ccco1)Cc1cc(F)ccc1Cl ZINC000188777421 1120132710 /nfs/dbraw/zinc/13/27/10/1120132710.db2.gz ZUVIFURVEYJCIU-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO C[N@@H+](Cc1ccco1)Cc1cc(F)ccc1Cl ZINC000188777421 1120132714 /nfs/dbraw/zinc/13/27/14/1120132714.db2.gz ZUVIFURVEYJCIU-UHFFFAOYSA-N 1 2 253.704 3.704 20 0 CHADLO CC[C@@H](OCC[N@H+](C)Cc1cc(C)on1)c1ccccc1 ZINC000649486576 1128892639 /nfs/dbraw/zinc/89/26/39/1128892639.db2.gz RRGVDOXWTORKSZ-QGZVFWFLSA-N 1 2 288.391 3.583 20 0 CHADLO CC[C@@H](OCC[N@@H+](C)Cc1cc(C)on1)c1ccccc1 ZINC000649486576 1128892641 /nfs/dbraw/zinc/89/26/41/1128892641.db2.gz RRGVDOXWTORKSZ-QGZVFWFLSA-N 1 2 288.391 3.583 20 0 CHADLO C[C@@H]1C[C@@H](CO[NH+]=C(N)c2cccc3ccccc32)CCO1 ZINC000783029732 1120176051 /nfs/dbraw/zinc/17/60/51/1120176051.db2.gz PEKKWHONRRYUBJ-KGLIPLIRSA-N 1 2 298.386 3.501 20 0 CHADLO COc1cc(C[N@H+](C)[C@H](C)c2ccccc2Cl)sn1 ZINC000659848049 1120185231 /nfs/dbraw/zinc/18/52/31/1120185231.db2.gz WEFRNJUCXVDPMK-SNVBAGLBSA-N 1 2 296.823 3.998 20 0 CHADLO COc1cc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)sn1 ZINC000659848049 1120185234 /nfs/dbraw/zinc/18/52/34/1120185234.db2.gz WEFRNJUCXVDPMK-SNVBAGLBSA-N 1 2 296.823 3.998 20 0 CHADLO CCCCCN(C(=O)CCc1c[nH]c[nH+]1)[C@@H](C)CCC ZINC000475252663 1120186929 /nfs/dbraw/zinc/18/69/29/1120186929.db2.gz DODZAHNNBLVRDR-AWEZNQCLSA-N 1 2 279.428 3.550 20 0 CHADLO CCCCCN(C(=O)CCc1c[nH+]c[nH]1)[C@@H](C)CCC ZINC000475252663 1120186930 /nfs/dbraw/zinc/18/69/30/1120186930.db2.gz DODZAHNNBLVRDR-AWEZNQCLSA-N 1 2 279.428 3.550 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cccc(F)c1OC(F)F ZINC000659851350 1120191033 /nfs/dbraw/zinc/19/10/33/1120191033.db2.gz FTTVLIVDEYAWCQ-UHFFFAOYSA-N 1 2 299.292 3.961 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cccc(F)c1OC(F)F ZINC000659851350 1120191035 /nfs/dbraw/zinc/19/10/35/1120191035.db2.gz FTTVLIVDEYAWCQ-UHFFFAOYSA-N 1 2 299.292 3.961 20 0 CHADLO Clc1cccc(C2([NH2+]Cc3nccn3C3CC3)CC2)c1 ZINC000893009607 1120195942 /nfs/dbraw/zinc/19/59/42/1120195942.db2.gz SHHRBYZFTURWHY-UHFFFAOYSA-N 1 2 287.794 3.650 20 0 CHADLO CC[C@@H]([NH2+]Cc1nccn1C1CC1)c1cc(F)ccc1F ZINC000893019826 1120199822 /nfs/dbraw/zinc/19/98/22/1120199822.db2.gz RZBULKVEQOLLPR-OAHLLOKOSA-N 1 2 291.345 3.737 20 0 CHADLO C[C@@H]1CCC[N@H+](Cc2ncsc2Br)CC1 ZINC000659857970 1120200923 /nfs/dbraw/zinc/20/09/23/1120200923.db2.gz RLNDJRROHVLEEI-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO C[C@@H]1CCC[N@@H+](Cc2ncsc2Br)CC1 ZINC000659857970 1120200925 /nfs/dbraw/zinc/20/09/25/1120200925.db2.gz RLNDJRROHVLEEI-SECBINFHSA-N 1 2 289.242 3.528 20 0 CHADLO COc1ccc2ccc(NC3C[C@H](C)O[C@@H](C)C3)[nH+]c2c1 ZINC000893070707 1120213723 /nfs/dbraw/zinc/21/37/23/1120213723.db2.gz IRFUEDSGTQQLBY-RYUDHWBXSA-N 1 2 286.375 3.611 20 0 CHADLO CC[C@H](Nc1cc(C)[nH+]c(C2CC2)n1)c1ccc(C)cc1 ZINC000462915972 1120220620 /nfs/dbraw/zinc/22/06/20/1120220620.db2.gz AEYQAVMXBSQGCD-INIZCTEOSA-N 1 2 281.403 3.956 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCCC3(CCCC3)C2)no1 ZINC000445845193 1120220811 /nfs/dbraw/zinc/22/08/11/1120220811.db2.gz PMGHWCXAFZMBEA-UHFFFAOYSA-N 1 2 277.412 3.523 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCCC3(CCCC3)C2)no1 ZINC000445845193 1120220814 /nfs/dbraw/zinc/22/08/14/1120220814.db2.gz PMGHWCXAFZMBEA-UHFFFAOYSA-N 1 2 277.412 3.523 20 0 CHADLO CC(C)OCCSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000177844423 1120222775 /nfs/dbraw/zinc/22/27/75/1120222775.db2.gz JSLRILZOSJICPP-UHFFFAOYSA-N 1 2 276.405 3.531 20 0 CHADLO Cc1cncc(C[NH2+][C@@H](C)c2ncc(-c3ccccc3)o2)c1 ZINC000179245868 1120244194 /nfs/dbraw/zinc/24/41/94/1120244194.db2.gz XVZZHPFWRKHKEH-AWEZNQCLSA-N 1 2 293.370 3.896 20 0 CHADLO CNc1ccc(Nc2nc3c(cccc3C)s2)c[nH+]1 ZINC001203448041 1120246304 /nfs/dbraw/zinc/24/63/04/1120246304.db2.gz SYXORKOLALXQMQ-UHFFFAOYSA-N 1 2 270.361 3.785 20 0 CHADLO Cc1ccc(CNc2cc3cc[nH]c3c[nH+]2)c(C)c1 ZINC000672167876 1120248217 /nfs/dbraw/zinc/24/82/17/1120248217.db2.gz ZVVBZBYPUBOSAD-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1ccc(-n2cccn2)c(F)c1 ZINC000338748260 1120250282 /nfs/dbraw/zinc/25/02/82/1120250282.db2.gz HLYWJCKKJBCDKN-GFCCVEGCSA-N 1 2 282.322 3.580 20 0 CHADLO C[C@H](F)CCNc1[nH+]cccc1OCc1ccccc1 ZINC000338751803 1120255271 /nfs/dbraw/zinc/25/52/71/1120255271.db2.gz QHDSODOJQGUBQE-ZDUSSCGKSA-N 1 2 274.339 3.821 20 0 CHADLO CC1(C)CN(c2cc[nH+]c3c(Cl)cccc23)CCCO1 ZINC000672218809 1120257031 /nfs/dbraw/zinc/25/70/31/1120257031.db2.gz PFXAHCABBGSOCB-UHFFFAOYSA-N 1 2 290.794 3.894 20 0 CHADLO Cc1ccc(CSc2nc(C(C)C)c[nH]2)c(C)[nH+]1 ZINC000629221062 1128903053 /nfs/dbraw/zinc/90/30/53/1128903053.db2.gz KUKYDVILMHAGJH-UHFFFAOYSA-N 1 2 261.394 3.837 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ccco2)c2cccnc2)cc1 ZINC000106471257 1120267473 /nfs/dbraw/zinc/26/74/73/1120267473.db2.gz HIOLHMWXQHFSMC-QGZVFWFLSA-N 1 2 282.318 3.693 20 0 CHADLO CC[C@@H](C)C[C@H](C)Nc1[nH+]c(C)nc2c1ccn2C ZINC001168696498 1120291182 /nfs/dbraw/zinc/29/11/82/1120291182.db2.gz TWJJZOQJUCMIGO-MNOVXSKESA-N 1 2 260.385 3.513 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1[nH+]c(C)nc2c1ccn2C ZINC001168696501 1120291485 /nfs/dbraw/zinc/29/14/85/1120291485.db2.gz TWJJZOQJUCMIGO-WDEREUQCSA-N 1 2 260.385 3.513 20 0 CHADLO C[C@@H]([NH2+]Cc1cncs1)c1c(F)cccc1Cl ZINC000136277623 1120301062 /nfs/dbraw/zinc/30/10/62/1120301062.db2.gz FGPDHZSWMGKZJH-MRVPVSSYSA-N 1 2 270.760 3.786 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@@H+]1Cc1cccnc1Cl ZINC000780387858 1120324240 /nfs/dbraw/zinc/32/42/40/1120324240.db2.gz WWHARPYAPSCDCQ-MWLCHTKSSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1CC[C@@H](C(F)(F)F)C[N@H+]1Cc1cccnc1Cl ZINC000780387858 1120324244 /nfs/dbraw/zinc/32/42/44/1120324244.db2.gz WWHARPYAPSCDCQ-MWLCHTKSSA-N 1 2 292.732 3.898 20 0 CHADLO CCSCc1cc[nH+]c(NCc2ccc(OC)o2)c1 ZINC000893244169 1120331900 /nfs/dbraw/zinc/33/19/00/1120331900.db2.gz LQLSMFFHIQAOJL-UHFFFAOYSA-N 1 2 278.377 3.548 20 0 CHADLO C[C@H]1C[C@@H]1c1ccc(C[NH2+]C(C)(C)c2nccs2)o1 ZINC000116380826 1120332803 /nfs/dbraw/zinc/33/28/03/1120332803.db2.gz JCGFOIPWPIDEQB-JQWIXIFHSA-N 1 2 276.405 3.884 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cnc(F)cc2C)c1 ZINC000893244811 1120333726 /nfs/dbraw/zinc/33/37/26/1120333726.db2.gz VRCGXSCDPNVRCD-UHFFFAOYSA-N 1 2 291.395 3.789 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCC1(F)F)CCC2 ZINC000672622223 1120336025 /nfs/dbraw/zinc/33/60/25/1120336025.db2.gz CTWZJNVZUIDPQB-UONOGXRCSA-N 1 2 269.310 3.981 20 0 CHADLO NC(Cc1cccc(F)c1)=[NH+]OCc1ccc(CF)cc1 ZINC000783150951 1120368545 /nfs/dbraw/zinc/36/85/45/1120368545.db2.gz GDDCINSATJBZGW-UHFFFAOYSA-N 1 2 290.313 3.536 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cccc(C)n2)c1Cl ZINC000660080044 1120368927 /nfs/dbraw/zinc/36/89/27/1120368927.db2.gz FVQCTQMQWLHYGW-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cccc(C)n2)c1Cl ZINC000660080044 1120368932 /nfs/dbraw/zinc/36/89/32/1120368932.db2.gz FVQCTQMQWLHYGW-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO CC[C@H](C)[C@@H](C(=O)OCc1ccc[nH+]c1N)c1ccccc1 ZINC000922141426 1120382509 /nfs/dbraw/zinc/38/25/09/1120382509.db2.gz SPLKWLLLLUAJMA-XJKSGUPXSA-N 1 2 298.386 3.537 20 0 CHADLO Cc1nc(C[NH2+]Cc2ccccc2OCC(C)C)cs1 ZINC000618466238 1128912829 /nfs/dbraw/zinc/91/28/29/1128912829.db2.gz FFZJERJCHNWYSE-UHFFFAOYSA-N 1 2 290.432 3.776 20 0 CHADLO Cc1cccn2cc(C[N@@H+]([C@@H](C)c3ccco3)C3CC3)nc12 ZINC000086235122 1120387415 /nfs/dbraw/zinc/38/74/15/1120387415.db2.gz WXLSUVQZZWRCGE-AWEZNQCLSA-N 1 2 295.386 3.961 20 0 CHADLO Cc1cccn2cc(C[N@H+]([C@@H](C)c3ccco3)C3CC3)nc12 ZINC000086235122 1120387420 /nfs/dbraw/zinc/38/74/20/1120387420.db2.gz WXLSUVQZZWRCGE-AWEZNQCLSA-N 1 2 295.386 3.961 20 0 CHADLO CCCc1noc(C[N@@H+]2CCc3ccccc3[C@@H]2CC)n1 ZINC000114563893 1120389874 /nfs/dbraw/zinc/38/98/74/1120389874.db2.gz LWUJUVOFCQZUME-HNNXBMFYSA-N 1 2 285.391 3.532 20 0 CHADLO CCCc1noc(C[N@H+]2CCc3ccccc3[C@@H]2CC)n1 ZINC000114563893 1120389879 /nfs/dbraw/zinc/38/98/79/1120389879.db2.gz LWUJUVOFCQZUME-HNNXBMFYSA-N 1 2 285.391 3.532 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@@H](C)c1c(F)cccc1F ZINC000078679187 1120399266 /nfs/dbraw/zinc/39/92/66/1120399266.db2.gz HQQGZLBXHAZJND-IUCAKERBSA-N 1 2 280.318 3.981 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc3n(n2)CCCC3)[C@@H](c2ccco2)C1 ZINC000649546665 1128914414 /nfs/dbraw/zinc/91/44/14/1128914414.db2.gz XOCNHPAOGVVNQG-WMLDXEAASA-N 1 2 299.418 3.786 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc3n(n2)CCCC3)[C@@H](c2ccco2)C1 ZINC000649546665 1128914415 /nfs/dbraw/zinc/91/44/15/1128914415.db2.gz XOCNHPAOGVVNQG-WMLDXEAASA-N 1 2 299.418 3.786 20 0 CHADLO COc1c(F)ccc(Nc2ccc3c(c2)[nH+]cn3C)c1F ZINC001212503543 1120412468 /nfs/dbraw/zinc/41/24/68/1120412468.db2.gz NQYGOMYWUFRIKG-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc3n(n2)CCCC3)[C@H](c2ccco2)C1 ZINC000649546666 1128914773 /nfs/dbraw/zinc/91/47/73/1128914773.db2.gz XOCNHPAOGVVNQG-YOEHRIQHSA-N 1 2 299.418 3.786 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc3n(n2)CCCC3)[C@H](c2ccco2)C1 ZINC000649546666 1128914777 /nfs/dbraw/zinc/91/47/77/1128914777.db2.gz XOCNHPAOGVVNQG-YOEHRIQHSA-N 1 2 299.418 3.786 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H](c3ccccc3)CC2)no1 ZINC000066897668 1120417408 /nfs/dbraw/zinc/41/74/08/1120417408.db2.gz SACUQNNRINPLJC-INIZCTEOSA-N 1 2 299.418 3.963 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H](c3ccccc3)CC2)no1 ZINC000066897668 1120417412 /nfs/dbraw/zinc/41/74/12/1120417412.db2.gz SACUQNNRINPLJC-INIZCTEOSA-N 1 2 299.418 3.963 20 0 CHADLO CC/C=C(\C)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000364073914 1120424941 /nfs/dbraw/zinc/42/49/41/1120424941.db2.gz LSMZFGQROIIZNS-YRNVUSSQSA-N 1 2 283.375 3.988 20 0 CHADLO CCC=C(C)C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000364073914 1120424942 /nfs/dbraw/zinc/42/49/42/1120424942.db2.gz LSMZFGQROIIZNS-YRNVUSSQSA-N 1 2 283.375 3.988 20 0 CHADLO CC(C)c1cc(N[C@@]2(C)CCO[C@@H]2C)nc(C(C)C)[nH+]1 ZINC000543133844 1120438743 /nfs/dbraw/zinc/43/87/43/1120438743.db2.gz BPNRYQHJONEVQK-WBMJQRKESA-N 1 2 277.412 3.703 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@H+](Cc2csc(C3CC3)n2)C1 ZINC000364103091 1120440822 /nfs/dbraw/zinc/44/08/22/1120440822.db2.gz QGPXKIZGMCKBIM-LRDDRELGSA-N 1 2 299.443 3.999 20 0 CHADLO C[C@H]1C[C@@H](c2cccnc2)[N@@H+](Cc2csc(C3CC3)n2)C1 ZINC000364103091 1120440825 /nfs/dbraw/zinc/44/08/25/1120440825.db2.gz QGPXKIZGMCKBIM-LRDDRELGSA-N 1 2 299.443 3.999 20 0 CHADLO Cc1csc([C@@H]([NH2+]Cc2cc(C3CC3)no2)C2CC2)n1 ZINC000660210650 1120442977 /nfs/dbraw/zinc/44/29/77/1120442977.db2.gz CSEHLDVBGNOUOV-AWEZNQCLSA-N 1 2 289.404 3.558 20 0 CHADLO c1cn(-c2ccc(CSc3ccncc3)cc2)c[nH+]1 ZINC000188067469 1120445257 /nfs/dbraw/zinc/44/52/57/1120445257.db2.gz LEWHFSGMHFTOMI-UHFFFAOYSA-N 1 2 267.357 3.560 20 0 CHADLO CCCc1noc(C[N@@H+]2CCCC[C@H]2c2ccccc2)n1 ZINC000358023133 1120467022 /nfs/dbraw/zinc/46/70/22/1120467022.db2.gz PTLMDAZTWXFHCV-HNNXBMFYSA-N 1 2 285.391 3.749 20 0 CHADLO CCCc1noc(C[N@H+]2CCCC[C@H]2c2ccccc2)n1 ZINC000358023133 1120467025 /nfs/dbraw/zinc/46/70/25/1120467025.db2.gz PTLMDAZTWXFHCV-HNNXBMFYSA-N 1 2 285.391 3.749 20 0 CHADLO CCc1cccc2cc(C[NH2+][C@H]3C[C@H](C)n4ccnc43)oc21 ZINC000657483151 1120470280 /nfs/dbraw/zinc/47/02/80/1120470280.db2.gz HGNBUZMLIVIUOX-LRDDRELGSA-N 1 2 295.386 3.987 20 0 CHADLO CCCn1cncc1C[NH2+][C@H](c1ccco1)c1ccccc1 ZINC000388293903 1120474063 /nfs/dbraw/zinc/47/40/63/1120474063.db2.gz SUCNZAVTNLOXRK-SFHVURJKSA-N 1 2 295.386 3.765 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+]Cc2cccc(C(C)(C)C)c2)o1 ZINC000660273145 1120477888 /nfs/dbraw/zinc/47/78/88/1120477888.db2.gz VVOOGZONXMVVEY-LLVKDONJSA-N 1 2 273.380 3.526 20 0 CHADLO C[N@H+](Cc1nc2ccccc2o1)Cc1ccc(Cl)nc1 ZINC000080913872 1120480626 /nfs/dbraw/zinc/48/06/26/1120480626.db2.gz PGELMYHOYFHMGY-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@@H+](Cc1nc2ccccc2o1)Cc1ccc(Cl)nc1 ZINC000080913872 1120480629 /nfs/dbraw/zinc/48/06/29/1120480629.db2.gz PGELMYHOYFHMGY-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[C@H](OCCn1cc[nH+]c1)c1cccc(C(F)(F)F)c1 ZINC001222134747 1120491669 /nfs/dbraw/zinc/49/16/69/1120491669.db2.gz MWEJYXITCRITPO-NSHDSACASA-N 1 2 284.281 3.680 20 0 CHADLO Cc1cc(C(=O)Nc2c(C)cc(C)[nH+]c2C)cs1 ZINC000673460559 1120498314 /nfs/dbraw/zinc/49/83/14/1120498314.db2.gz MANKKQIXWQFDDR-UHFFFAOYSA-N 1 2 260.362 3.629 20 0 CHADLO Cc1cc(C)c2cc(NCc3cnn(CCF)c3)ccc2[nH+]1 ZINC000922344237 1120522538 /nfs/dbraw/zinc/52/25/38/1120522538.db2.gz JTXVIFBUKIZIFJ-UHFFFAOYSA-N 1 2 298.365 3.630 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2CCCC2(F)F)cc1Cl ZINC000398041821 1120558989 /nfs/dbraw/zinc/55/89/89/1120558989.db2.gz SOMWFRUHLYSPBT-GFCCVEGCSA-N 1 2 259.727 3.926 20 0 CHADLO Cc1cc(NC(=O)c2ccccc2OC2CCC2)cc[nH+]1 ZINC000118340218 1120528501 /nfs/dbraw/zinc/52/85/01/1120528501.db2.gz CXPSXDSZROLLCY-UHFFFAOYSA-N 1 2 282.343 3.574 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NCc1cccc(O)c1 ZINC000158430780 1120532783 /nfs/dbraw/zinc/53/27/83/1120532783.db2.gz GCRRCEKSOPOJCV-UHFFFAOYSA-N 1 2 272.348 3.577 20 0 CHADLO C[NH2+]c1ccc(NCc2scnc2C2CC2)cc1 ZINC000629653236 1128922795 /nfs/dbraw/zinc/92/27/95/1128922795.db2.gz IOVWDHRRFORBEE-UHFFFAOYSA-N 1 2 259.378 3.674 20 0 CHADLO C[NH2+]c1ccc(NCc2ncc(C(F)(F)F)s2)cc1 ZINC000629655880 1128923136 /nfs/dbraw/zinc/92/31/36/1128923136.db2.gz LNNXKRKDMQRSKS-UHFFFAOYSA-N 1 2 287.310 3.816 20 0 CHADLO Cc1sccc1C[NH2+][C@@H]1c2ccccc2OC[C@@H]1F ZINC000922521460 1120546878 /nfs/dbraw/zinc/54/68/78/1120546878.db2.gz KBQIHJYCYXNGEX-DZGCQCFKSA-N 1 2 277.364 3.618 20 0 CHADLO COc1ccccc1C[NH2+][C@H](C)c1c(F)cccc1F ZINC000019903178 1120546967 /nfs/dbraw/zinc/54/69/67/1120546967.db2.gz CYYHPJUKUXDQLG-LLVKDONJSA-N 1 2 277.314 3.824 20 0 CHADLO Cc1sccc1C[NH2+][C@H]1c2ccccc2OC[C@H]1F ZINC000922521461 1120546994 /nfs/dbraw/zinc/54/69/94/1120546994.db2.gz KBQIHJYCYXNGEX-HIFRSBDPSA-N 1 2 277.364 3.618 20 0 CHADLO Cc1cc(F)ccc1C[NH2+][C@H]1c2ccccc2OC[C@@H]1F ZINC000922524997 1120547059 /nfs/dbraw/zinc/54/70/59/1120547059.db2.gz IKQMXXADJTXADG-RDJZCZTQSA-N 1 2 289.325 3.696 20 0 CHADLO Cc1ccncc1CNc1[nH+]c2ccccc2n1CC1CC1 ZINC000922559233 1120550367 /nfs/dbraw/zinc/55/03/67/1120550367.db2.gz JMUIBXWTVHUVPQ-UHFFFAOYSA-N 1 2 292.386 3.762 20 0 CHADLO FC1(F)CCC[C@@H]1[NH2+]Cc1cccc2cccnc21 ZINC000398011300 1120553232 /nfs/dbraw/zinc/55/32/32/1120553232.db2.gz BVGLEBPUMUBQCS-ZDUSSCGKSA-N 1 2 262.303 3.512 20 0 CHADLO Fc1ccc2c(c1)CC[C@@H]2[NH2+][C@@H]1CCCC1(F)F ZINC000398035111 1120557549 /nfs/dbraw/zinc/55/75/49/1120557549.db2.gz MXSKJWVMIXBOQA-QWHCGFSZSA-N 1 2 255.283 3.590 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+][C@@H]1CCCc2sccc21 ZINC000398045277 1120559970 /nfs/dbraw/zinc/55/99/70/1120559970.db2.gz VHYDCICQEACBFH-ZYHUDNBSSA-N 1 2 257.349 3.903 20 0 CHADLO FC1(F)CCC[C@H]1[NH2+]Cc1ccc2cnccc2c1 ZINC000398085585 1120565422 /nfs/dbraw/zinc/56/54/22/1120565422.db2.gz TZJXAYQICDAMHD-CQSZACIVSA-N 1 2 262.303 3.512 20 0 CHADLO COc1cc(C[NH2+][C@@H]2CCCC2(F)F)ccc1OC(C)C ZINC000398107005 1120569379 /nfs/dbraw/zinc/56/93/79/1120569379.db2.gz MXQHCWPMJVVTMB-OAHLLOKOSA-N 1 2 299.361 3.760 20 0 CHADLO CC(C)(C)c1coc(C[NH2+]C(C)(C)/C=C\Cl)n1 ZINC000922644966 1120570522 /nfs/dbraw/zinc/57/05/22/1120570522.db2.gz MWNYTGFKNXYKNI-SREVYHEPSA-N 1 2 256.777 3.593 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCC[C@@H]2C2CC2)c1 ZINC000652068817 1120577643 /nfs/dbraw/zinc/57/76/43/1120577643.db2.gz PSDKINMQQGBBEC-CABCVRRESA-N 1 2 260.381 3.609 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccc(C)cc2F)n1 ZINC000631061717 1120578351 /nfs/dbraw/zinc/57/83/51/1120578351.db2.gz FFPQWXNSDYZGJF-NSHDSACASA-N 1 2 264.369 3.750 20 0 CHADLO CCCc1nc(C)c(C[N@@H+]2Cc3ccc(C)cc3C2)o1 ZINC000428942570 1120580299 /nfs/dbraw/zinc/58/02/99/1120580299.db2.gz BDMBQISFTZSTNG-UHFFFAOYSA-N 1 2 270.376 3.760 20 0 CHADLO CCCc1nc(C)c(C[N@H+]2Cc3ccc(C)cc3C2)o1 ZINC000428942570 1120580304 /nfs/dbraw/zinc/58/03/04/1120580304.db2.gz BDMBQISFTZSTNG-UHFFFAOYSA-N 1 2 270.376 3.760 20 0 CHADLO CCn1ccc(C[N@H+](C)Cc2nsc3ccccc32)c1 ZINC000660397688 1120580715 /nfs/dbraw/zinc/58/07/15/1120580715.db2.gz YWTXGVBLYFTDKX-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO CCn1ccc(C[N@@H+](C)Cc2nsc3ccccc32)c1 ZINC000660397688 1120580719 /nfs/dbraw/zinc/58/07/19/1120580719.db2.gz YWTXGVBLYFTDKX-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO COc1ccc2c(c1)[C@@H]([NH2+][C@@H]1CCCC1(F)F)CCC2 ZINC000398197032 1120589834 /nfs/dbraw/zinc/58/98/34/1120589834.db2.gz OHKGVUFKLWGJEK-LSDHHAIUSA-N 1 2 281.346 3.850 20 0 CHADLO Cc1cnc(C[NH2+]Cc2ncc(C(C)C)s2)c(C)c1 ZINC000922741454 1120589911 /nfs/dbraw/zinc/58/99/11/1120589911.db2.gz NBRGJYMXFJZKIR-UHFFFAOYSA-N 1 2 275.421 3.568 20 0 CHADLO CCCc1ccc(C[N@H+](C)Cc2cc(C)on2)cc1 ZINC000194380755 1120599363 /nfs/dbraw/zinc/59/93/63/1120599363.db2.gz GEONVLCKYLAQNR-UHFFFAOYSA-N 1 2 258.365 3.568 20 0 CHADLO CCCc1ccc(C[N@@H+](C)Cc2cc(C)on2)cc1 ZINC000194380755 1120599366 /nfs/dbraw/zinc/59/93/66/1120599366.db2.gz GEONVLCKYLAQNR-UHFFFAOYSA-N 1 2 258.365 3.568 20 0 CHADLO CCCOc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1OC ZINC000673618052 1120600812 /nfs/dbraw/zinc/60/08/12/1120600812.db2.gz RNYKKWWNQKTPSC-HNNXBMFYSA-N 1 2 299.361 3.761 20 0 CHADLO CCc1onc(C)c1CNc1ccc2c(c1)CCC[N@H+]2C ZINC000893369384 1120609602 /nfs/dbraw/zinc/60/96/02/1120609602.db2.gz SVCLFVCYGCCRSF-UHFFFAOYSA-N 1 2 285.391 3.540 20 0 CHADLO CCc1onc(C)c1CNc1ccc2c(c1)CCC[N@@H+]2C ZINC000893369384 1120609606 /nfs/dbraw/zinc/60/96/06/1120609606.db2.gz SVCLFVCYGCCRSF-UHFFFAOYSA-N 1 2 285.391 3.540 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1ncc(C(C)C)o1)CC2 ZINC000759897790 1120612353 /nfs/dbraw/zinc/61/23/53/1120612353.db2.gz BWFHDRCEWMTZIE-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1ncc(C(C)C)o1)CC2 ZINC000759897790 1120612356 /nfs/dbraw/zinc/61/23/56/1120612356.db2.gz BWFHDRCEWMTZIE-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Fc1ccc2oc(C[NH2+][C@@H]3CCCc4cccnc43)nc2c1 ZINC000922877911 1120616152 /nfs/dbraw/zinc/61/61/52/1120616152.db2.gz PLQIRYOCBDSJEA-CYBMUJFWSA-N 1 2 297.333 3.529 20 0 CHADLO C[C@@H]([NH2+]Cc1csnn1)c1cc2ccccc2s1 ZINC000398388648 1120616911 /nfs/dbraw/zinc/61/69/11/1120616911.db2.gz WEAMUBIGVJZDHO-SECBINFHSA-N 1 2 275.402 3.604 20 0 CHADLO CCc1nnc([C@@H](C)[N@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000110106374 1120631444 /nfs/dbraw/zinc/63/14/44/1120631444.db2.gz MHTZJXNCUMOKAF-CYBMUJFWSA-N 1 2 287.407 3.948 20 0 CHADLO CCc1nnc([C@@H](C)[N@@H+](C)Cc2ccc(C(C)C)cc2)o1 ZINC000110106374 1120631446 /nfs/dbraw/zinc/63/14/46/1120631446.db2.gz MHTZJXNCUMOKAF-CYBMUJFWSA-N 1 2 287.407 3.948 20 0 CHADLO C1=CC[C@]2(CC1)CCC[N@@H+](Cc1noc(C3CCC3)n1)C2 ZINC000625165242 1120640901 /nfs/dbraw/zinc/64/09/01/1120640901.db2.gz CACJERDSRZAMAL-KRWDZBQOSA-N 1 2 287.407 3.659 20 0 CHADLO C1=CC[C@]2(CC1)CCC[N@H+](Cc1noc(C3CCC3)n1)C2 ZINC000625165242 1120640902 /nfs/dbraw/zinc/64/09/02/1120640902.db2.gz CACJERDSRZAMAL-KRWDZBQOSA-N 1 2 287.407 3.659 20 0 CHADLO Cc1ccc(C[C@H](C)[N@H+](C)Cc2noc(C3CCC3)n2)cc1 ZINC000625179690 1120643067 /nfs/dbraw/zinc/64/30/67/1120643067.db2.gz FMCXAKWEUFLTPE-AWEZNQCLSA-N 1 2 299.418 3.709 20 0 CHADLO Cc1ccc(C[C@H](C)[N@@H+](C)Cc2noc(C3CCC3)n2)cc1 ZINC000625179690 1120643070 /nfs/dbraw/zinc/64/30/70/1120643070.db2.gz FMCXAKWEUFLTPE-AWEZNQCLSA-N 1 2 299.418 3.709 20 0 CHADLO CCCC[C@@H](CC)C[C@@H](C)OCc1c[nH+]cn1C ZINC001222600662 1120651348 /nfs/dbraw/zinc/65/13/48/1120651348.db2.gz UBJVOIYWKPLBCJ-ZIAGYGMSSA-N 1 2 252.402 3.932 20 0 CHADLO Cc1cc(C(=O)Nc2cccc(-n3cc[nH+]c3)c2)c(C)s1 ZINC000047514568 1120661312 /nfs/dbraw/zinc/66/13/12/1120661312.db2.gz BQPHEUDYKODCQZ-UHFFFAOYSA-N 1 2 297.383 3.803 20 0 CHADLO Cc1nc2c(s1)C[N@@H+]([C@@H]1Cc3cccc(Cl)c3C1)C2 ZINC001168741698 1120670908 /nfs/dbraw/zinc/67/09/08/1120670908.db2.gz ACLLEJUCCWQERM-LLVKDONJSA-N 1 2 290.819 3.588 20 0 CHADLO Cc1nc2c(s1)C[N@H+]([C@@H]1Cc3cccc(Cl)c3C1)C2 ZINC001168741698 1120670909 /nfs/dbraw/zinc/67/09/09/1120670909.db2.gz ACLLEJUCCWQERM-LLVKDONJSA-N 1 2 290.819 3.588 20 0 CHADLO CC[C@H](C[N@H+](C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1)OC ZINC000660707767 1120680816 /nfs/dbraw/zinc/68/08/16/1120680816.db2.gz JPFVURSPZJITFS-CMPLNLGQSA-N 1 2 295.814 3.634 20 0 CHADLO CC[C@H](C[N@@H+](C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1)OC ZINC000660707767 1120680818 /nfs/dbraw/zinc/68/08/18/1120680818.db2.gz JPFVURSPZJITFS-CMPLNLGQSA-N 1 2 295.814 3.634 20 0 CHADLO CC[C@@H](C[N@H+](C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1)OC ZINC000660707768 1120681025 /nfs/dbraw/zinc/68/10/25/1120681025.db2.gz JPFVURSPZJITFS-JQWIXIFHSA-N 1 2 295.814 3.634 20 0 CHADLO CC[C@@H](C[N@@H+](C)[C@@H](C)c1nc2ccc(Cl)cc2[nH]1)OC ZINC000660707768 1120681027 /nfs/dbraw/zinc/68/10/27/1120681027.db2.gz JPFVURSPZJITFS-JQWIXIFHSA-N 1 2 295.814 3.634 20 0 CHADLO CC(C)([NH2+]Cc1noc(-c2ccccc2)n1)c1cccs1 ZINC000543501391 1120688045 /nfs/dbraw/zinc/68/80/45/1120688045.db2.gz QJBXAVWGZXITPO-UHFFFAOYSA-N 1 2 299.399 3.823 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661170809 1120730918 /nfs/dbraw/zinc/73/09/18/1120730918.db2.gz RWSFHQZYVOYWFD-BDJLRTHQSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661170809 1120730922 /nfs/dbraw/zinc/73/09/22/1120730922.db2.gz RWSFHQZYVOYWFD-BDJLRTHQSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169534 1120731027 /nfs/dbraw/zinc/73/10/27/1120731027.db2.gz MNEHOXWRRGZUNI-BDJLRTHQSA-N 1 2 281.346 3.527 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169534 1120731031 /nfs/dbraw/zinc/73/10/31/1120731031.db2.gz MNEHOXWRRGZUNI-BDJLRTHQSA-N 1 2 281.346 3.527 20 0 CHADLO CN(C)c1ccc(NCc2csc3ccccc23)c[nH+]1 ZINC000054463308 1120751514 /nfs/dbraw/zinc/75/15/14/1120751514.db2.gz QSGMDTUOPJTJKG-UHFFFAOYSA-N 1 2 283.400 3.974 20 0 CHADLO Cc1cn[nH]c1C[NH2+]C1(c2ccccc2Cl)CCC1 ZINC000651861561 1120765777 /nfs/dbraw/zinc/76/57/77/1120765777.db2.gz RSWZXKDCEDMGLH-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO Cc1c[nH]nc1C[NH2+]C1(c2ccccc2Cl)CCC1 ZINC000651861561 1120765780 /nfs/dbraw/zinc/76/57/80/1120765780.db2.gz RSWZXKDCEDMGLH-UHFFFAOYSA-N 1 2 275.783 3.541 20 0 CHADLO CCCCCOc1ccc(C[NH2+]Cc2ccon2)cc1 ZINC000093305807 1120777511 /nfs/dbraw/zinc/77/75/11/1120777511.db2.gz LCQHFUJZAOWHFB-UHFFFAOYSA-N 1 2 274.364 3.533 20 0 CHADLO CC[C@H](OCC[NH2+][C@@H](C)c1cn[nH]c1C)c1ccccc1 ZINC000652236483 1120802471 /nfs/dbraw/zinc/80/24/71/1120802471.db2.gz OXKJPXJXZNPIDY-GUYCJALGSA-N 1 2 287.407 3.537 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2CCCc3[nH]ncc32)c(C)s1 ZINC000335942818 1120814962 /nfs/dbraw/zinc/81/49/62/1120814962.db2.gz LUEXSJYNTWEELE-QMTHXVAHSA-N 1 2 275.421 3.816 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)c(C)s1 ZINC000335942816 1120815463 /nfs/dbraw/zinc/81/54/63/1120815463.db2.gz LUEXSJYNTWEELE-HZMBPMFUSA-N 1 2 275.421 3.816 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2csc(C(C)C)n2)c1 ZINC000652330882 1120828215 /nfs/dbraw/zinc/82/82/15/1120828215.db2.gz RPCKPXOGMZDIPA-NSHDSACASA-N 1 2 291.420 3.981 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(C)cc2OC)c1 ZINC000652331010 1120829665 /nfs/dbraw/zinc/82/96/65/1120829665.db2.gz VMZQHOTXRQRQQV-ZDUSSCGKSA-N 1 2 286.375 3.718 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CC(C)(C)Cc3occc32)c1 ZINC000652331143 1120829859 /nfs/dbraw/zinc/82/98/59/1120829859.db2.gz ZGPSGIUSJOVZRS-AWEZNQCLSA-N 1 2 286.375 3.947 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)[C@@H]2C[C@H]2c2cccs2)c1 ZINC000652331470 1120830485 /nfs/dbraw/zinc/83/04/85/1120830485.db2.gz IBFURRAMDFJTJO-FPMFFAJLSA-N 1 2 288.416 3.894 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](CC(C)C)c2ccncc2)c1 ZINC000652331760 1120831180 /nfs/dbraw/zinc/83/11/80/1120831180.db2.gz SHLZAEWHLIPYPP-INIZCTEOSA-N 1 2 285.391 3.822 20 0 CHADLO COc1c(F)cc(Nc2c[nH+]c(C)cc2C)cc1F ZINC001211677228 1120841907 /nfs/dbraw/zinc/84/19/07/1120841907.db2.gz WJMMCXIPJLZPMU-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N3CCOCC3)c2)cc1Cl ZINC000630060588 1128947053 /nfs/dbraw/zinc/94/70/53/1128947053.db2.gz MZNUOJKWDYIFHW-UHFFFAOYSA-N 1 2 288.778 3.547 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@H]2c2ccc(F)cc2F)o1 ZINC000628302702 1120891695 /nfs/dbraw/zinc/89/16/95/1120891695.db2.gz OPXCGDUJWWCTKZ-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@H]2c2ccc(F)cc2F)o1 ZINC000628302702 1120891701 /nfs/dbraw/zinc/89/17/01/1120891701.db2.gz OPXCGDUJWWCTKZ-HNNXBMFYSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc(C[N@@H+]2CCO[C@@H](CC(F)(F)F)C2)c(C)s1 ZINC000662229322 1120906452 /nfs/dbraw/zinc/90/64/52/1120906452.db2.gz TYMFPPDYYGRZNL-LBPRGKRZSA-N 1 2 293.354 3.518 20 0 CHADLO Cc1cc(C[N@H+]2CCO[C@@H](CC(F)(F)F)C2)c(C)s1 ZINC000662229322 1120906456 /nfs/dbraw/zinc/90/64/56/1120906456.db2.gz TYMFPPDYYGRZNL-LBPRGKRZSA-N 1 2 293.354 3.518 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](CC(F)(F)F)O[C@@H](C)C2)cs1 ZINC000662241971 1120910166 /nfs/dbraw/zinc/91/01/66/1120910166.db2.gz ZTMDBDODOOAOQF-JOYOIKCWSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](CC(F)(F)F)O[C@@H](C)C2)cs1 ZINC000662241971 1120910169 /nfs/dbraw/zinc/91/01/69/1120910169.db2.gz ZTMDBDODOOAOQF-JOYOIKCWSA-N 1 2 293.354 3.598 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccccc1Br ZINC000186426246 1120919743 /nfs/dbraw/zinc/91/97/43/1120919743.db2.gz SJHNCVDGNWAWJH-UHFFFAOYSA-N 1 2 281.128 3.595 20 0 CHADLO Fc1c[nH+]ccc1NCc1ccc(Br)cc1 ZINC000186426228 1120919812 /nfs/dbraw/zinc/91/98/12/1120919812.db2.gz VBPOHGIBWKWASO-UHFFFAOYSA-N 1 2 281.128 3.595 20 0 CHADLO CC[C@H]1C[C@H](Nc2ccc([NH+]3CCCC3)cc2)CCO1 ZINC000078608889 1128950939 /nfs/dbraw/zinc/95/09/39/1128950939.db2.gz SRNNYUGQZUSTMT-WBVHZDCISA-N 1 2 274.408 3.656 20 0 CHADLO CC[C@H]1C[C@H]([NH2+]c2ccc(N3CCCC3)cc2)CCO1 ZINC000078608889 1128950943 /nfs/dbraw/zinc/95/09/43/1128950943.db2.gz SRNNYUGQZUSTMT-WBVHZDCISA-N 1 2 274.408 3.656 20 0 CHADLO CCCc1ccc([C@@H]([NH2+]Cc2ccn[nH]2)C(C)C)cc1 ZINC000066970826 1120952839 /nfs/dbraw/zinc/95/28/39/1120952839.db2.gz RIMUXVPGMLJCIL-KRWDZBQOSA-N 1 2 271.408 3.849 20 0 CHADLO Fc1ccccc1-c1ccc(C[N@@H+]2CCO[C@@H]3C[C@@H]32)s1 ZINC000644838282 1120958068 /nfs/dbraw/zinc/95/80/68/1120958068.db2.gz ROWGEBMUUDCCHE-LSDHHAIUSA-N 1 2 289.375 3.527 20 0 CHADLO Fc1ccccc1-c1ccc(C[N@H+]2CCO[C@@H]3C[C@@H]32)s1 ZINC000644838282 1120958072 /nfs/dbraw/zinc/95/80/72/1120958072.db2.gz ROWGEBMUUDCCHE-LSDHHAIUSA-N 1 2 289.375 3.527 20 0 CHADLO C/C(=C\C=C\[NH3+])c1c(F)ccc(OC(C)C)c1F ZINC001204662404 1120974224 /nfs/dbraw/zinc/97/42/24/1120974224.db2.gz MOURXPBJTSFMNG-DXNUHORPSA-N 1 2 253.292 3.628 20 0 CHADLO Cc1nonc1[C@H](C)[NH2+]C1(c2ccc(Cl)cc2)CCC1 ZINC000925777553 1120985754 /nfs/dbraw/zinc/98/57/54/1120985754.db2.gz FOWJAZFTNTZZLF-JTQLQIEISA-N 1 2 291.782 3.761 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2cccc(Cl)c2)s1 ZINC000273722457 1120987853 /nfs/dbraw/zinc/98/78/53/1120987853.db2.gz RXVNBFZMHQIWHO-RKDXNWHRSA-N 1 2 281.812 3.912 20 0 CHADLO C[NH+](C)c1ccc(NCc2cc(F)ccc2F)cc1 ZINC000057611126 1120997695 /nfs/dbraw/zinc/99/76/95/1120997695.db2.gz ZBBUMAPJWUZYPW-UHFFFAOYSA-N 1 2 262.303 3.643 20 0 CHADLO CCCCC[C@H](C)NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000030603671 1121001716 /nfs/dbraw/zinc/00/17/16/1121001716.db2.gz NAYCMUOKQZYNQR-AWEZNQCLSA-N 1 2 285.391 3.571 20 0 CHADLO CC(C)[C@@H](c1ccccc1Cl)[N@H+](C)Cc1cc[nH]n1 ZINC000093422465 1128955539 /nfs/dbraw/zinc/95/55/39/1128955539.db2.gz QZFIIZOBBPUOTI-HNNXBMFYSA-N 1 2 277.799 3.892 20 0 CHADLO CC(C)[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1cc[nH]n1 ZINC000093422465 1128955543 /nfs/dbraw/zinc/95/55/43/1128955543.db2.gz QZFIIZOBBPUOTI-HNNXBMFYSA-N 1 2 277.799 3.892 20 0 CHADLO CC1(C)C(c2csc(Cn3cc[nH+]c3)n2)C1(C)C ZINC000630386677 1128955642 /nfs/dbraw/zinc/95/56/42/1128955642.db2.gz UIJVQXIMRKFJKS-UHFFFAOYSA-N 1 2 261.394 3.538 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)O[C@@H]3CC[C@@H](C)C[C@@H]3C)ccn12 ZINC001126302340 1121014645 /nfs/dbraw/zinc/01/46/45/1121014645.db2.gz BPFIKHMPXCEHPO-TYNCELHUSA-N 1 2 286.375 3.624 20 0 CHADLO Cc1ccc(C)c(Nc2cncc(C(F)(F)F)c2)[nH+]1 ZINC001174739948 1121019879 /nfs/dbraw/zinc/01/98/79/1121019879.db2.gz RFYZFMBECITBAZ-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1O ZINC001211698096 1121025816 /nfs/dbraw/zinc/02/58/16/1121025816.db2.gz XDKDMAWAUDBEHQ-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@H+](C)Cc1coc(C)n1 ZINC000660558700 1121030619 /nfs/dbraw/zinc/03/06/19/1121030619.db2.gz RWXBQFYMIQMSOU-HNNXBMFYSA-N 1 2 274.364 3.575 20 0 CHADLO CC[C@@H](c1ccccc1OC)[N@@H+](C)Cc1coc(C)n1 ZINC000660558700 1121030628 /nfs/dbraw/zinc/03/06/28/1121030628.db2.gz RWXBQFYMIQMSOU-HNNXBMFYSA-N 1 2 274.364 3.575 20 0 CHADLO CNc1ccc(Nc2ccnc(Cl)c2Cl)c[nH+]1 ZINC001203448867 1121046944 /nfs/dbraw/zinc/04/69/44/1121046944.db2.gz OFUNMPCSBPOBQY-UHFFFAOYSA-N 1 2 269.135 3.569 20 0 CHADLO Cc1cc2ccc(N)cc2n1-c1ccc([NH+](C)C)cc1 ZINC001174884203 1121058974 /nfs/dbraw/zinc/05/89/74/1121058974.db2.gz LBCBJALOZSSACK-UHFFFAOYSA-N 1 2 265.360 3.587 20 0 CHADLO Oc1cccc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001174887459 1121061785 /nfs/dbraw/zinc/06/17/85/1121061785.db2.gz SLWTUMJPKXHGLD-UHFFFAOYSA-N 1 2 269.348 3.521 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c(Cl)oc3ccccc32)[C@@H](C)CO1 ZINC000351053065 1121066080 /nfs/dbraw/zinc/06/60/80/1121066080.db2.gz PAARDJTZNYPSKU-WDEREUQCSA-N 1 2 279.767 3.695 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c(Cl)oc3ccccc32)[C@@H](C)CO1 ZINC000351053065 1121066087 /nfs/dbraw/zinc/06/60/87/1121066087.db2.gz PAARDJTZNYPSKU-WDEREUQCSA-N 1 2 279.767 3.695 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@@H](C)C3CCCC3)cc2[nH+]1 ZINC000674079942 1121076251 /nfs/dbraw/zinc/07/62/51/1121076251.db2.gz YONQFBVJOXOUSZ-JTQLQIEISA-N 1 2 271.364 3.636 20 0 CHADLO FC(F)Oc1cccc(C[NH2+]C2(C(F)F)CCCC2)c1 ZINC000674144042 1121092546 /nfs/dbraw/zinc/09/25/46/1121092546.db2.gz OIPTUBUFYOJQDD-UHFFFAOYSA-N 1 2 291.288 3.956 20 0 CHADLO O=c1[nH]c2ccccc2cc1C[NH2+]C1(C(F)F)CCCC1 ZINC000674135569 1121094642 /nfs/dbraw/zinc/09/46/42/1121094642.db2.gz UMGBKJLDMJYYIH-UHFFFAOYSA-N 1 2 292.329 3.608 20 0 CHADLO CC(C)N(C)c1ccc(C[NH2+]C2(C(F)F)CCCC2)cn1 ZINC000674135458 1121094893 /nfs/dbraw/zinc/09/48/93/1121094893.db2.gz RHHFTNCMMMGNRX-UHFFFAOYSA-N 1 2 297.393 3.594 20 0 CHADLO CC(C)c1cccc(Nc2ccc(N3CCOCC3)[nH+]c2)c1 ZINC001174977441 1121101206 /nfs/dbraw/zinc/10/12/06/1121101206.db2.gz RHVVVOSQBOMNJN-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO C[C@@H]1C[C@H]2CCCC[C@@H]2N1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000674386237 1121125899 /nfs/dbraw/zinc/12/58/99/1121125899.db2.gz KZZSYUVSPHXINQ-NFAWXSAZSA-N 1 2 298.390 3.519 20 0 CHADLO COc1cc(Nc2ccc[nH+]c2N2CCCC2)ccc1C ZINC001175013293 1121133262 /nfs/dbraw/zinc/13/32/62/1121133262.db2.gz YNYSOYHFUSAEHD-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](CCCc1c(F)cccc1F)C2 ZINC000625728391 1121145919 /nfs/dbraw/zinc/14/59/19/1121145919.db2.gz NCQAXKGICGLEFS-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](CCCc1c(F)cccc1F)C2 ZINC000625728391 1121145921 /nfs/dbraw/zinc/14/59/21/1121145921.db2.gz NCQAXKGICGLEFS-UHFFFAOYSA-N 1 2 289.325 3.619 20 0 CHADLO Cc1cc(CO[C@H](C)Cc2ccccc2)cc(C)[nH+]1 ZINC001223421255 1121149370 /nfs/dbraw/zinc/14/93/70/1121149370.db2.gz PONWZJVHOCKISB-OAHLLOKOSA-N 1 2 255.361 3.846 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)ccc(OCC)c1F ZINC001175037589 1121153815 /nfs/dbraw/zinc/15/38/15/1121153815.db2.gz RVQDQUDDZDHSCD-UHFFFAOYSA-N 1 2 294.301 3.901 20 0 CHADLO O=C(CCc1ccsc1)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000162730115 1121155083 /nfs/dbraw/zinc/15/50/83/1121155083.db2.gz ILTMOFKGNNIBEM-UHFFFAOYSA-N 1 2 297.383 3.710 20 0 CHADLO c1ccc(CNc2ccc([NH+]3CCCC3)cc2)cc1 ZINC000000505959 1121159078 /nfs/dbraw/zinc/15/90/78/1121159078.db2.gz KNVYCKJRUNHAOX-UHFFFAOYSA-N 1 2 252.361 3.899 20 0 CHADLO CCOc1cc(Nc2ccc(N(C)CC)[nH+]c2)ccc1F ZINC001175050487 1121159773 /nfs/dbraw/zinc/15/97/73/1121159773.db2.gz VJFQDWHZZMJSSR-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO Oc1cc(F)c(Nc2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC001175099815 1121165120 /nfs/dbraw/zinc/16/51/20/1121165120.db2.gz AOQPYJQZPQLOIV-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(F)cc2C(F)(F)F)c1 ZINC001175101241 1121166538 /nfs/dbraw/zinc/16/65/38/1121166538.db2.gz ALTHSYQRDQOGAY-UHFFFAOYSA-N 1 2 286.228 3.992 20 0 CHADLO COc1ccc(F)c(Nc2cccc3[nH+]c(C)cn32)c1F ZINC001175116025 1121170688 /nfs/dbraw/zinc/17/06/88/1121170688.db2.gz CWCALQCKHBYLGW-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO CCOc1ccc(F)cc1Nc1c[nH+]c(C)cc1C ZINC001175079409 1121174550 /nfs/dbraw/zinc/17/45/50/1121174550.db2.gz JNIAZLGUKLDQOY-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cc(F)c(F)cc1F ZINC001175085234 1121178095 /nfs/dbraw/zinc/17/80/95/1121178095.db2.gz ANKOIVOILPGUNI-UHFFFAOYSA-N 1 2 277.249 3.734 20 0 CHADLO Cc1ccc(-c2ncc(C[NH2+][C@H](C)c3ccon3)s2)o1 ZINC000351580326 1121178428 /nfs/dbraw/zinc/17/84/28/1121178428.db2.gz LMTQNVJLSVPKQL-SNVBAGLBSA-N 1 2 289.360 3.550 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccnc2)C(C)C)sc1C ZINC000336799374 1121178492 /nfs/dbraw/zinc/17/84/92/1121178492.db2.gz IJLBAIGSLQSONN-UHFFFAOYSA-N 1 2 275.421 3.566 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccnc2)C(C)C)sc1C ZINC000336799374 1121178497 /nfs/dbraw/zinc/17/84/97/1121178497.db2.gz IJLBAIGSLQSONN-UHFFFAOYSA-N 1 2 275.421 3.566 20 0 CHADLO CCOc1cccc(Nc2cc[nH+]c(SC)c2)c1 ZINC001175092074 1121181191 /nfs/dbraw/zinc/18/11/91/1121181191.db2.gz OJPCYHQFYAMZFI-UHFFFAOYSA-N 1 2 260.362 3.946 20 0 CHADLO CCOc1ccc(Nc2cc(C)[nH+]c(OC)c2)c(F)c1F ZINC001175153331 1121186642 /nfs/dbraw/zinc/18/66/42/1121186642.db2.gz ZRTNPROMIIJNEN-UHFFFAOYSA-N 1 2 294.301 3.819 20 0 CHADLO CC[N@H+](Cc1ccsc1Cl)Cc1ccncc1 ZINC000348895797 1121187395 /nfs/dbraw/zinc/18/73/95/1121187395.db2.gz SCFIOCXYCYGTHG-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO CC[N@@H+](Cc1ccsc1Cl)Cc1ccncc1 ZINC000348895797 1121187399 /nfs/dbraw/zinc/18/73/99/1121187399.db2.gz SCFIOCXYCYGTHG-UHFFFAOYSA-N 1 2 266.797 3.819 20 0 CHADLO Cc1cnc(C[NH2+]Cc2cc(Cl)cc(Cl)c2)o1 ZINC000235954491 1121197188 /nfs/dbraw/zinc/19/71/88/1121197188.db2.gz NDRGTRKJBWYLQU-UHFFFAOYSA-N 1 2 271.147 3.580 20 0 CHADLO Cc1cc(C)cc(C[NH2+][C@@H](c2nnc[nH]2)C2CCCCC2)c1 ZINC000658175958 1121204889 /nfs/dbraw/zinc/20/48/89/1121204889.db2.gz DKDXDRGBTLPJEI-QGZVFWFLSA-N 1 2 298.434 3.833 20 0 CHADLO CC[C@H]1CC[C@H](C)N1c1[nH+]ccc2c(N)cccc21 ZINC000663768340 1121209666 /nfs/dbraw/zinc/20/96/66/1121209666.db2.gz LIYXFIKULNOJLM-RYUDHWBXSA-N 1 2 255.365 3.584 20 0 CHADLO CC[C@@H]1CC[C@@H](C)N1c1[nH+]ccc2c(N)cccc21 ZINC000663768341 1121209756 /nfs/dbraw/zinc/20/97/56/1121209756.db2.gz LIYXFIKULNOJLM-VXGBXAGGSA-N 1 2 255.365 3.584 20 0 CHADLO CCOc1ccc(Nc2[nH+]c(C)ccc2O)cc1C ZINC001175166809 1121212804 /nfs/dbraw/zinc/21/28/04/1121212804.db2.gz SBNOGMYXVWJMSU-UHFFFAOYSA-N 1 2 258.321 3.546 20 0 CHADLO Cc1cc(NCC(C)(C)[C@@H]2CCCCO2)nc(C2CC2)[nH+]1 ZINC000675153990 1121222076 /nfs/dbraw/zinc/22/20/76/1121222076.db2.gz ICUDLAKTAHJNQV-AWEZNQCLSA-N 1 2 289.423 3.670 20 0 CHADLO Cc1cc(NCC(C)(C)[C@H]2CCCCO2)nc(C2CC2)[nH+]1 ZINC000675153991 1121222288 /nfs/dbraw/zinc/22/22/88/1121222288.db2.gz ICUDLAKTAHJNQV-CQSZACIVSA-N 1 2 289.423 3.670 20 0 CHADLO CCOc1ccc(OC)cc1Nc1cccc2[nH+]c(C)cn21 ZINC001175211126 1121224591 /nfs/dbraw/zinc/22/45/91/1121224591.db2.gz PIIMBZVZVDPUPW-UHFFFAOYSA-N 1 2 297.358 3.794 20 0 CHADLO CCOc1ccc(OC)cc1Nc1[nH+]cc(C)cc1C ZINC001175212370 1121225764 /nfs/dbraw/zinc/22/57/64/1121225764.db2.gz WWVNHYBNCPMQBR-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@]1(C)CCCO1 ZINC000094804809 1121230365 /nfs/dbraw/zinc/23/03/65/1121230365.db2.gz WHLJHUPNQHFSSS-INIZCTEOSA-N 1 2 256.349 3.524 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC001175231289 1121233949 /nfs/dbraw/zinc/23/39/49/1121233949.db2.gz WSGZWYREEGXQMU-UHFFFAOYSA-N 1 2 295.317 3.958 20 0 CHADLO CCOc1cccc(Nc2ccc3c(c2)[nH+]cn3C)c1F ZINC001175239292 1121239442 /nfs/dbraw/zinc/23/94/42/1121239442.db2.gz RNCQYUQPZCKNKW-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO CCOc1ccc(Nc2[nH+]cc(C)cc2C)c(F)c1 ZINC001175247705 1121244608 /nfs/dbraw/zinc/24/46/08/1121244608.db2.gz IOUOFKGVJINWKD-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO CCOc1ccc(Nc2[nH+]c(C)ccc2C)c(F)c1 ZINC001175247871 1121244338 /nfs/dbraw/zinc/24/43/38/1121244338.db2.gz RCUAESARJIKNPK-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1oc(C)nc1C ZINC000670989489 1128975065 /nfs/dbraw/zinc/97/50/65/1128975065.db2.gz LJMUIYHJPLLIQA-UHFFFAOYSA-N 1 2 294.782 3.585 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1oc(C)nc1C ZINC000670989489 1128975068 /nfs/dbraw/zinc/97/50/68/1128975068.db2.gz LJMUIYHJPLLIQA-UHFFFAOYSA-N 1 2 294.782 3.585 20 0 CHADLO CCc1nc(C[N@H+](C)[C@@H](c2ccccc2C)C(C)C)no1 ZINC000112357839 1121255622 /nfs/dbraw/zinc/25/56/22/1121255622.db2.gz IZTYXZATHOJROO-QGZVFWFLSA-N 1 2 287.407 3.770 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@@H](c2ccccc2C)C(C)C)no1 ZINC000112357839 1121255627 /nfs/dbraw/zinc/25/56/27/1121255627.db2.gz IZTYXZATHOJROO-QGZVFWFLSA-N 1 2 287.407 3.770 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)ccc2F)c[nH+]1 ZINC001175296524 1121260808 /nfs/dbraw/zinc/26/08/08/1121260808.db2.gz IMRKCLZIPORTCI-UHFFFAOYSA-N 1 2 265.719 3.684 20 0 CHADLO CCCc1nc2ccc(Nc3ccc(N(C)C)[nH+]c3)cc2o1 ZINC001175343362 1121272849 /nfs/dbraw/zinc/27/28/49/1121272849.db2.gz GUIXDPCEXWKZLM-UHFFFAOYSA-N 1 2 296.374 3.985 20 0 CHADLO CN(C)c1ccc(Nc2cc(C(C)(C)C)ccc2N)c[nH+]1 ZINC001175345613 1121273997 /nfs/dbraw/zinc/27/39/97/1121273997.db2.gz YNOUNBRLJDZLLQ-UHFFFAOYSA-N 1 2 284.407 3.771 20 0 CHADLO CC(C)Oc1cc(F)ccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001175345391 1121274563 /nfs/dbraw/zinc/27/45/63/1121274563.db2.gz AOCQFQZTTLYIJQ-UHFFFAOYSA-N 1 2 289.354 3.818 20 0 CHADLO Cc1nnc([C@@H](C)[NH2+][C@H](C)c2cc(F)cc(F)c2)s1 ZINC000274266426 1121285845 /nfs/dbraw/zinc/28/58/45/1121285845.db2.gz VWKYCEWKCYZMEJ-HTQZYQBOSA-N 1 2 283.347 3.537 20 0 CHADLO COc1ccc(Cl)c(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001175341127 1121291005 /nfs/dbraw/zinc/29/10/05/1121291005.db2.gz CJMUVSYRUSTXBP-UHFFFAOYSA-N 1 2 277.755 3.553 20 0 CHADLO c1cc2cc(Nc3ccc(N4CCCC4)[nH+]c3)cnc2o1 ZINC001175352027 1121293049 /nfs/dbraw/zinc/29/30/49/1121293049.db2.gz YTXFFBTTWDXHJG-UHFFFAOYSA-N 1 2 280.331 3.567 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(N3CCCC3)[nH+]c2)c(F)c1 ZINC001175351489 1121293957 /nfs/dbraw/zinc/29/39/57/1121293957.db2.gz NRQSEHGPEUJBAF-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2ccc(C(F)F)nc2)c1 ZINC000630955350 1128976179 /nfs/dbraw/zinc/97/61/79/1128976179.db2.gz YDOMFUSPWNTZHR-UHFFFAOYSA-N 1 2 263.291 3.643 20 0 CHADLO COc1cc[nH+]cc1Nc1c(F)c(F)c(F)c(F)c1F ZINC001175382959 1121308836 /nfs/dbraw/zinc/30/88/36/1121308836.db2.gz INVMTSMGVSWZGP-UHFFFAOYSA-N 1 2 290.191 3.529 20 0 CHADLO CCc1ccc(C[NH2+][C@H]2COc3c2cccc3Cl)o1 ZINC000675477688 1121314485 /nfs/dbraw/zinc/31/44/85/1121314485.db2.gz IHCGPONYVYYMOL-AWEZNQCLSA-N 1 2 277.751 3.719 20 0 CHADLO CCC(CC)(CC)C(=O)N1CC[C@H](C)[C@H](n2cc[nH+]c2)C1 ZINC000675481285 1121316167 /nfs/dbraw/zinc/31/61/67/1121316167.db2.gz AOZAKIUUPIXEAR-LSDHHAIUSA-N 1 2 291.439 3.509 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3n[nH]cc3c2C)c1 ZINC001175466398 1121330501 /nfs/dbraw/zinc/33/05/01/1121330501.db2.gz UHKJFEXKAFPCAF-UHFFFAOYSA-N 1 2 266.348 3.962 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1C/C(Cl)=C/Cl ZINC000763540974 1128978680 /nfs/dbraw/zinc/97/86/80/1128978680.db2.gz XZGPOCUOKFWGQB-UVIKPUKZSA-N 1 2 256.176 3.925 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1C/C(Cl)=C/Cl ZINC000763540974 1128978682 /nfs/dbraw/zinc/97/86/82/1128978682.db2.gz XZGPOCUOKFWGQB-UVIKPUKZSA-N 1 2 256.176 3.925 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnc(Cl)cc1N ZINC001213491180 1121343653 /nfs/dbraw/zinc/34/36/53/1121343653.db2.gz AUISMIGPGGMFFQ-UHFFFAOYSA-N 1 2 274.755 3.642 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCCc2ccccc2C1 ZINC000763540762 1128978974 /nfs/dbraw/zinc/97/89/74/1128978974.db2.gz WHULJKJEDASLKL-JYRVWZFOSA-N 1 2 256.176 3.754 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCCc2ccccc2C1 ZINC000763540762 1128978977 /nfs/dbraw/zinc/97/89/77/1128978977.db2.gz WHULJKJEDASLKL-JYRVWZFOSA-N 1 2 256.176 3.754 20 0 CHADLO C[C@@H]1CC[C@H](C)[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000336155987 1121354552 /nfs/dbraw/zinc/35/45/52/1121354552.db2.gz XUHCBMJVUSXQJD-OCAPTIKFSA-N 1 2 264.316 3.535 20 0 CHADLO C[C@@H]1CC[C@H](C)[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000336155987 1121354561 /nfs/dbraw/zinc/35/45/61/1121354561.db2.gz XUHCBMJVUSXQJD-OCAPTIKFSA-N 1 2 264.316 3.535 20 0 CHADLO Fc1ccccc1[C@H]1CC[N@H+](C/C(Cl)=C/Cl)C1 ZINC000763538211 1128979840 /nfs/dbraw/zinc/97/98/40/1128979840.db2.gz JRHGJBZYUKJIED-QBQSQJOESA-N 1 2 274.166 3.934 20 0 CHADLO Fc1ccccc1[C@H]1CC[N@@H+](C/C(Cl)=C/Cl)C1 ZINC000763538211 1128979846 /nfs/dbraw/zinc/97/98/46/1128979846.db2.gz JRHGJBZYUKJIED-QBQSQJOESA-N 1 2 274.166 3.934 20 0 CHADLO C[N@@H+]1CCC=C(Nc2c(F)cc(Cl)cc2Cl)C1 ZINC001175582959 1121374108 /nfs/dbraw/zinc/37/41/08/1121374108.db2.gz SEAIMJXZSAYGNV-UHFFFAOYSA-N 1 2 275.154 3.764 20 0 CHADLO C[N@H+]1CCC=C(Nc2c(F)cc(Cl)cc2Cl)C1 ZINC001175582959 1121374117 /nfs/dbraw/zinc/37/41/17/1121374117.db2.gz SEAIMJXZSAYGNV-UHFFFAOYSA-N 1 2 275.154 3.764 20 0 CHADLO Cn1cc2c(cccc2Nc2ccccc2-n2cc[nH+]c2)n1 ZINC001175591115 1121375458 /nfs/dbraw/zinc/37/54/58/1121375458.db2.gz WENQZHKLTDYTHY-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1ccccc1[C@H](C)[N@@H+]1C[C@@H](C)O[C@H](C(F)(F)F)C1 ZINC000675891863 1121376703 /nfs/dbraw/zinc/37/67/03/1121376703.db2.gz DABSGZNZKINVEZ-DYEKYZERSA-N 1 2 287.325 3.708 20 0 CHADLO Cc1ccccc1[C@H](C)[N@H+]1C[C@@H](C)O[C@H](C(F)(F)F)C1 ZINC000675891863 1121376712 /nfs/dbraw/zinc/37/67/12/1121376712.db2.gz DABSGZNZKINVEZ-DYEKYZERSA-N 1 2 287.325 3.708 20 0 CHADLO CCCOc1cccc(-n2c(C)[nH+]c3ccc(N)cc32)c1 ZINC001175600479 1121381794 /nfs/dbraw/zinc/38/17/94/1121381794.db2.gz GQCXIMNCECRVEO-UHFFFAOYSA-N 1 2 281.359 3.705 20 0 CHADLO CCN(Cc1cc(OC)cc(C)[nH+]1)c1cccc(C)c1F ZINC001175569664 1121392337 /nfs/dbraw/zinc/39/23/37/1121392337.db2.gz PUJLFIOIARNAKV-UHFFFAOYSA-N 1 2 288.366 3.873 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(c1)OCO2 ZINC001213497540 1121395271 /nfs/dbraw/zinc/39/52/71/1121395271.db2.gz UUMBTZQQSNZURL-UHFFFAOYSA-N 1 2 268.316 3.740 20 0 CHADLO Clc1cnccc1C[N@@H+]1CCOC[C@@H]1C1CCCCC1 ZINC001139849300 1121408799 /nfs/dbraw/zinc/40/87/99/1121408799.db2.gz GCNYPONQRBBYCZ-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cnccc1C[N@H+]1CCOC[C@@H]1C1CCCCC1 ZINC001139849300 1121408807 /nfs/dbraw/zinc/40/88/07/1121408807.db2.gz GCNYPONQRBBYCZ-MRXNPFEDSA-N 1 2 294.826 3.516 20 0 CHADLO C[N@H+](C/C(Cl)=C\Cl)[C@H]1CCCN(c2ccccc2)C1 ZINC000763560870 1128983710 /nfs/dbraw/zinc/98/37/10/1128983710.db2.gz PTAJNMYUZALOML-VOMSXAGXSA-N 1 2 299.245 3.906 20 0 CHADLO C[N@@H+](C/C(Cl)=C\Cl)[C@H]1CCCN(c2ccccc2)C1 ZINC000763560870 1128983714 /nfs/dbraw/zinc/98/37/14/1128983714.db2.gz PTAJNMYUZALOML-VOMSXAGXSA-N 1 2 299.245 3.906 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1C/C(Cl)=C/Cl ZINC000763560328 1128983846 /nfs/dbraw/zinc/98/38/46/1128983846.db2.gz MYQVUWLCAVYAOJ-QVASGMJFSA-N 1 2 256.176 3.752 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1C/C(Cl)=C/Cl ZINC000763560328 1128983848 /nfs/dbraw/zinc/98/38/48/1128983848.db2.gz MYQVUWLCAVYAOJ-QVASGMJFSA-N 1 2 256.176 3.752 20 0 CHADLO Cc1n[nH]c2ccc(Nc3cc(Cl)c4[nH+]ccn4c3)cc12 ZINC001175693549 1121415046 /nfs/dbraw/zinc/41/50/46/1121415046.db2.gz CURJMTFFRRACIO-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO Cc1[nH]nc2ccc(Nc3cc(Cl)c4[nH+]ccn4c3)cc12 ZINC001175693549 1121415047 /nfs/dbraw/zinc/41/50/47/1121415047.db2.gz CURJMTFFRRACIO-UHFFFAOYSA-N 1 2 297.749 3.916 20 0 CHADLO CCc1cccc(Nc2ccc3c(C)n[nH]c3c2)[nH+]1 ZINC001175660514 1121424424 /nfs/dbraw/zinc/42/44/24/1121424424.db2.gz QJMUFOVZBWHTSN-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1cn2cccc(Nc3ccc4c(C)n[nH]c4c3)c2[nH+]1 ZINC001175660334 1121424683 /nfs/dbraw/zinc/42/46/83/1121424683.db2.gz WHCMHZADJUEVQZ-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1n[nH]c2cc(Nc3ccccc3-n3cc[nH+]c3)ccc12 ZINC001175661147 1121424862 /nfs/dbraw/zinc/42/48/62/1121424862.db2.gz AQKRUFWVUOUJIO-UHFFFAOYSA-N 1 2 289.342 3.801 20 0 CHADLO Cc1ccc(F)c(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175713911 1121435415 /nfs/dbraw/zinc/43/54/15/1121435415.db2.gz GJLSKBPDTROGND-UHFFFAOYSA-N 1 2 285.244 3.874 20 0 CHADLO Fc1cc(C[N@@H+]2CCc3ncsc3C2)ccc1C(F)F ZINC000893887801 1121436413 /nfs/dbraw/zinc/43/64/13/1121436413.db2.gz OEASWDWODDVRCW-UHFFFAOYSA-N 1 2 298.333 3.778 20 0 CHADLO Fc1cc(C[N@H+]2CCc3ncsc3C2)ccc1C(F)F ZINC000893887801 1121436418 /nfs/dbraw/zinc/43/64/18/1121436418.db2.gz OEASWDWODDVRCW-UHFFFAOYSA-N 1 2 298.333 3.778 20 0 CHADLO Cc1ncoc1C[N@@H+](C)[C@@H](C)c1ccccc1Cl ZINC000893930580 1121441108 /nfs/dbraw/zinc/44/11/08/1121441108.db2.gz DLFQTZLTYFLSMR-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO Cc1ncoc1C[N@H+](C)[C@@H](C)c1ccccc1Cl ZINC000893930580 1121441113 /nfs/dbraw/zinc/44/11/13/1121441113.db2.gz DLFQTZLTYFLSMR-NSHDSACASA-N 1 2 264.756 3.829 20 0 CHADLO c1cn(Cc2ccc(NC3=CCCCC3)cc2)c[nH+]1 ZINC001175752542 1121444221 /nfs/dbraw/zinc/44/42/21/1121444221.db2.gz GKNDJUIPLVXREW-UHFFFAOYSA-N 1 2 253.349 3.801 20 0 CHADLO C[C@H]1COCCC[N@@H+]1Cc1cc(Cl)ccc1Cl ZINC000676713586 1121458509 /nfs/dbraw/zinc/45/85/09/1121458509.db2.gz QNTNZZRFZXSYIJ-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO C[C@H]1COCCC[N@H+]1Cc1cc(Cl)ccc1Cl ZINC000676713586 1121458514 /nfs/dbraw/zinc/45/85/14/1121458514.db2.gz QNTNZZRFZXSYIJ-JTQLQIEISA-N 1 2 274.191 3.604 20 0 CHADLO COCCOc1ccc(Nc2cc[nH+]c(SC)c2)cc1 ZINC001175785249 1121464465 /nfs/dbraw/zinc/46/44/65/1121464465.db2.gz NAYAFFWTJZYTKX-UHFFFAOYSA-N 1 2 290.388 3.572 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1cc(OC(F)(F)F)ccc1F ZINC001143551126 1121464780 /nfs/dbraw/zinc/46/47/80/1121464780.db2.gz PGGJKBZSZNITFB-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1cc(OC(F)(F)F)ccc1F ZINC001143551126 1121464782 /nfs/dbraw/zinc/46/47/82/1121464782.db2.gz PGGJKBZSZNITFB-SNVBAGLBSA-N 1 2 295.251 3.658 20 0 CHADLO Cc1conc1C[NH2+][C@@H](C)c1cc2ccccc2o1 ZINC000708370613 1121464737 /nfs/dbraw/zinc/46/47/37/1121464737.db2.gz DAOVIUBPQJJWHS-NSHDSACASA-N 1 2 256.305 3.580 20 0 CHADLO C/C=C/C[C@H]([NH2+][C@H](C)CCc1ccsc1)C(=O)OCC ZINC000432904138 1121475460 /nfs/dbraw/zinc/47/54/60/1121475460.db2.gz GUVISGWKIKWLEE-HNLSUCLVSA-N 1 2 295.448 3.557 20 0 CHADLO Cc1cc(CC(C)C)[nH+]cc1Nc1ccc(F)cn1 ZINC001175851798 1121481769 /nfs/dbraw/zinc/48/17/69/1121481769.db2.gz SOZGQCMLMPFCIC-UHFFFAOYSA-N 1 2 259.328 3.866 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2nnc(C(C)(C)C)[nH]2)c1 ZINC000432930467 1121484735 /nfs/dbraw/zinc/48/47/35/1121484735.db2.gz YAGUIXWPUJUVLH-HNNXBMFYSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2nnc(C(C)(C)C)[nH]2)c1 ZINC000432930467 1121484736 /nfs/dbraw/zinc/48/47/36/1121484736.db2.gz YAGUIXWPUJUVLH-HNNXBMFYSA-N 1 2 298.434 3.748 20 0 CHADLO CC(C)Oc1ccc(N(C)c2[nH+]cccc2N)cc1Cl ZINC001175869433 1121486335 /nfs/dbraw/zinc/48/63/35/1121486335.db2.gz OAMBVZNGVBMFKT-UHFFFAOYSA-N 1 2 291.782 3.872 20 0 CHADLO Nc1cccc2c1cc[nH+]c2N1CCC2(CCC2)CC1 ZINC000663907022 1121505218 /nfs/dbraw/zinc/50/52/18/1121505218.db2.gz ORJUAVUTDBYHJF-UHFFFAOYSA-N 1 2 267.376 3.588 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000246697331 1121508993 /nfs/dbraw/zinc/50/89/93/1121508993.db2.gz ZXHBUKYXSWQWGP-WWGRRREGSA-N 1 2 288.416 3.666 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+]1C[C@@H](C)O[C@H](c2ccsc2)C1 ZINC000246697331 1121508997 /nfs/dbraw/zinc/50/89/97/1121508997.db2.gz ZXHBUKYXSWQWGP-WWGRRREGSA-N 1 2 288.416 3.666 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)[C@H](C)c2nc(C3CC3)no2)c1 ZINC000429312279 1121521206 /nfs/dbraw/zinc/52/12/06/1121521206.db2.gz RYHJSQSVVKRNES-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)[C@H](C)c2nc(C3CC3)no2)c1 ZINC000429312279 1121521212 /nfs/dbraw/zinc/52/12/12/1121521212.db2.gz RYHJSQSVVKRNES-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO FC(F)Oc1ccccc1Nc1cccn2cc[nH+]c12 ZINC001176010647 1121523215 /nfs/dbraw/zinc/52/32/15/1121523215.db2.gz YQQKIXGRGKXTRF-UHFFFAOYSA-N 1 2 275.258 3.679 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(C)c(F)c1F ZINC001176094395 1121538848 /nfs/dbraw/zinc/53/88/48/1121538848.db2.gz QMQUNGMMDATWON-UHFFFAOYSA-N 1 2 273.286 3.503 20 0 CHADLO COc1cccc2[nH]c(Nc3cccn4cc[nH+]c34)cc21 ZINC001176062180 1121544186 /nfs/dbraw/zinc/54/41/86/1121544186.db2.gz QZKWJQADIHLDTM-UHFFFAOYSA-N 1 2 278.315 3.568 20 0 CHADLO CCc1ccc([C@H]2CC[N@H+](Cc3noc(C(C)C)n3)C2)cc1 ZINC000433068424 1121546917 /nfs/dbraw/zinc/54/69/17/1121546917.db2.gz PDPZYOQTWNDDTP-INIZCTEOSA-N 1 2 299.418 3.745 20 0 CHADLO CCc1ccc([C@H]2CC[N@@H+](Cc3noc(C(C)C)n3)C2)cc1 ZINC000433068424 1121546924 /nfs/dbraw/zinc/54/69/24/1121546924.db2.gz PDPZYOQTWNDDTP-INIZCTEOSA-N 1 2 299.418 3.745 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCC[C@H](c3ccccc3)C2)no1 ZINC000433065323 1121547094 /nfs/dbraw/zinc/54/70/94/1121547094.db2.gz BQHAXWJOODZLJX-INIZCTEOSA-N 1 2 299.418 3.792 20 0 CHADLO CCCCc1nc(C[N@H+]2CCC[C@H](c3ccccc3)C2)no1 ZINC000433065323 1121547098 /nfs/dbraw/zinc/54/70/98/1121547098.db2.gz BQHAXWJOODZLJX-INIZCTEOSA-N 1 2 299.418 3.792 20 0 CHADLO C[C@@H](Nc1cccc[nH+]1)c1ccc(NC(=O)C2CC2)cc1 ZINC000051823572 1121548865 /nfs/dbraw/zinc/54/88/65/1121548865.db2.gz DCRBYAHIFIZICS-GFCCVEGCSA-N 1 2 281.359 3.603 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(F)(F)F)nc1C ZINC001176119357 1121556337 /nfs/dbraw/zinc/55/63/37/1121556337.db2.gz XDLADYQWEGIYPT-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)cnc1Cl ZINC001176116469 1121557349 /nfs/dbraw/zinc/55/73/49/1121557349.db2.gz FXWLHWDDEKZFJR-UHFFFAOYSA-N 1 2 270.119 3.536 20 0 CHADLO COc1cc[nH+]cc1Nc1ncccc1-c1ccccc1 ZINC001176117077 1121557202 /nfs/dbraw/zinc/55/72/02/1121557202.db2.gz QJSJCBPDCOMRTM-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc2c(C)ccnc12 ZINC001176124067 1121558342 /nfs/dbraw/zinc/55/83/42/1121558342.db2.gz HIIJDNUZXCQTEH-UHFFFAOYSA-N 1 2 265.316 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1c(C)cnn1-c1cccc(C)c1 ZINC001176121534 1121558926 /nfs/dbraw/zinc/55/89/26/1121558926.db2.gz KWGUZLKUVQVHPD-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(-c2cccnc2)c1 ZINC001176122022 1121558955 /nfs/dbraw/zinc/55/89/55/1121558955.db2.gz ZDFYFYYJWBAICB-UHFFFAOYSA-N 1 2 277.327 3.896 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(Br)c1F ZINC001176122591 1121559277 /nfs/dbraw/zinc/55/92/77/1121559277.db2.gz CLGCTONFXPHXFS-UHFFFAOYSA-N 1 2 297.127 3.735 20 0 CHADLO Nc1[nH+]cccc1-c1ccc(OCc2ccccc2)cc1 ZINC000716027763 1121581672 /nfs/dbraw/zinc/58/16/72/1121581672.db2.gz HHLSPCLSOMDVHX-UHFFFAOYSA-N 1 2 276.339 3.910 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+]1CCc2c(O)cccc2C1 ZINC000626026494 1121583273 /nfs/dbraw/zinc/58/32/73/1121583273.db2.gz MFGQDMVIVZZUHH-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+]1CCc2c(O)cccc2C1 ZINC000626026494 1121583281 /nfs/dbraw/zinc/58/32/81/1121583281.db2.gz MFGQDMVIVZZUHH-NSHDSACASA-N 1 2 289.325 3.790 20 0 CHADLO Cn1c2ccccc2[nH+]c1Nc1cncc(C2CC2)c1 ZINC001176281897 1121585296 /nfs/dbraw/zinc/58/52/96/1121585296.db2.gz IWQFZMOXFZEDFG-UHFFFAOYSA-N 1 2 264.332 3.589 20 0 CHADLO Cc1cn2cccc(Nc3cncc(C4CC4)c3)c2[nH+]1 ZINC001176282188 1121586416 /nfs/dbraw/zinc/58/64/16/1121586416.db2.gz HIKAJOOPKOFRNU-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO c1cn(-c2ccccc2Nc2cncc(C3CC3)c2)c[nH+]1 ZINC001176285457 1121588635 /nfs/dbraw/zinc/58/86/35/1121588635.db2.gz MYOMBJFHOXRKBU-UHFFFAOYSA-N 1 2 276.343 3.888 20 0 CHADLO CN(c1[nH+]ccc2c(N)cccc21)C1CC(OC(C)(C)C)C1 ZINC000664028151 1121589301 /nfs/dbraw/zinc/58/93/01/1121589301.db2.gz RJAZPWQEGHWSAP-UHFFFAOYSA-N 1 2 299.418 3.599 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000614280691 1121592233 /nfs/dbraw/zinc/59/22/33/1121592233.db2.gz FINUXOULRMDMBB-YUELXQCFSA-N 1 2 295.386 3.760 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2cc(C)sn2)cc1 ZINC001249588879 1121596081 /nfs/dbraw/zinc/59/60/81/1121596081.db2.gz OHXQZMFNPKHLBO-LBPRGKRZSA-N 1 2 276.405 3.653 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2cc(C)sn2)cc1 ZINC001249588879 1121596085 /nfs/dbraw/zinc/59/60/85/1121596085.db2.gz OHXQZMFNPKHLBO-LBPRGKRZSA-N 1 2 276.405 3.653 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(F)cc(F)c(F)c1F ZINC001176346225 1121603432 /nfs/dbraw/zinc/60/34/32/1121603432.db2.gz FGGCDCQFYIBENX-UHFFFAOYSA-N 1 2 286.228 3.780 20 0 CHADLO Cc1[nH+]c2cc(OC[C@H]3CCC(F)(F)C3)ccc2n1C ZINC000664046647 1121605995 /nfs/dbraw/zinc/60/59/95/1121605995.db2.gz KLVDJCRPZOEVPN-NSHDSACASA-N 1 2 280.318 3.696 20 0 CHADLO Cc1nc(C)c(C[NH2+][C@H](CF)c2ccc(F)cc2)s1 ZINC000631282821 1128996998 /nfs/dbraw/zinc/99/69/98/1128996998.db2.gz DNEJOFPQVBVGQA-CYBMUJFWSA-N 1 2 282.359 3.699 20 0 CHADLO Cc1nnc(C[N@@H+](C)[C@H](c2ccc(F)cc2)C(C)C)s1 ZINC000434623343 1121612641 /nfs/dbraw/zinc/61/26/41/1121612641.db2.gz LDGFZTMMIMSMMV-HNNXBMFYSA-N 1 2 293.411 3.815 20 0 CHADLO Cc1nnc(C[N@H+](C)[C@H](c2ccc(F)cc2)C(C)C)s1 ZINC000434623343 1121612645 /nfs/dbraw/zinc/61/26/45/1121612645.db2.gz LDGFZTMMIMSMMV-HNNXBMFYSA-N 1 2 293.411 3.815 20 0 CHADLO Cc1ccc(C[NH2+]Cc2cc(F)c(Cl)cc2F)o1 ZINC000433194047 1121614238 /nfs/dbraw/zinc/61/42/38/1121614238.db2.gz MARNOPZEXMJWGR-UHFFFAOYSA-N 1 2 271.694 3.809 20 0 CHADLO CCc1nc(C[N@@H+](CC)Cc2ccc(OC)cc2)cs1 ZINC000052039466 1121628112 /nfs/dbraw/zinc/62/81/12/1121628112.db2.gz AMWWAELTPZJLLC-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO CCc1nc(C[N@H+](CC)Cc2ccc(OC)cc2)cs1 ZINC000052039466 1121628117 /nfs/dbraw/zinc/62/81/17/1121628117.db2.gz AMWWAELTPZJLLC-UHFFFAOYSA-N 1 2 290.432 3.736 20 0 CHADLO Fc1cc(Nc2cccn3cc[nH+]c23)cc(N2CCCC2)c1 ZINC001176418392 1121629309 /nfs/dbraw/zinc/62/93/09/1121629309.db2.gz NHZKUDUCDSCXSC-UHFFFAOYSA-N 1 2 296.349 3.817 20 0 CHADLO CCCc1cc[nH+]c(Nc2cccc(C(=O)N(C)CC)c2)c1 ZINC001176464010 1121635054 /nfs/dbraw/zinc/63/50/54/1121635054.db2.gz HOTKFAJLGVSDMJ-UHFFFAOYSA-N 1 2 297.402 3.870 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000513272900 1121677036 /nfs/dbraw/zinc/67/70/36/1121677036.db2.gz TWGJYPFGGQHGTM-ZFWWWQNUSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000513272900 1121677042 /nfs/dbraw/zinc/67/70/42/1121677042.db2.gz TWGJYPFGGQHGTM-ZFWWWQNUSA-N 1 2 299.418 3.950 20 0 CHADLO CC(C)(C)c1nccc(Nc2ccc[nH+]c2N2CCCC2)n1 ZINC001176749159 1121687072 /nfs/dbraw/zinc/68/70/72/1121687072.db2.gz YGOFWGHEEQBKBB-UHFFFAOYSA-N 1 2 297.406 3.513 20 0 CHADLO Cc1cnn(C2CCC2)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001176749075 1121687127 /nfs/dbraw/zinc/68/71/27/1121687127.db2.gz RYQZPYMTRRDWSW-UHFFFAOYSA-N 1 2 297.406 3.655 20 0 CHADLO Cc1nc2ccc(Nc3ccc[nH+]c3N3CCCC3)cc2o1 ZINC001176750374 1121687536 /nfs/dbraw/zinc/68/75/36/1121687536.db2.gz DVAITPWGZTZHFZ-UHFFFAOYSA-N 1 2 294.358 3.875 20 0 CHADLO Oc1ccc2c(c1)C[N@@H+](Cc1ccccc1N1CCCC1)C2 ZINC001118944162 1131243771 /nfs/dbraw/zinc/24/37/71/1131243771.db2.gz WNIHZRLIHMMYEU-UHFFFAOYSA-N 1 2 294.398 3.508 20 0 CHADLO COCOc1ccc(F)cc1Nc1cc(C)cc(C)[nH+]1 ZINC001176714140 1121690450 /nfs/dbraw/zinc/69/04/50/1121690450.db2.gz JLAPLKQBOJJCPX-UHFFFAOYSA-N 1 2 276.311 3.564 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cc(F)ccc1OCOC ZINC001176714520 1121690703 /nfs/dbraw/zinc/69/07/03/1121690703.db2.gz NYULAFNDPOZGDV-UHFFFAOYSA-N 1 2 290.338 3.818 20 0 CHADLO CCOc1ccccc1C[N@H+](C)Cc1nc2ccccc2o1 ZINC000052164169 1121691804 /nfs/dbraw/zinc/69/18/04/1121691804.db2.gz VPYICWXQNOZZHA-UHFFFAOYSA-N 1 2 296.370 3.859 20 0 CHADLO CCOc1ccccc1C[N@@H+](C)Cc1nc2ccccc2o1 ZINC000052164169 1121691807 /nfs/dbraw/zinc/69/18/07/1121691807.db2.gz VPYICWXQNOZZHA-UHFFFAOYSA-N 1 2 296.370 3.859 20 0 CHADLO CC(C)[N@H+](Cc1cc(-c2ccco2)on1)Cc1ccccn1 ZINC000052218123 1121695379 /nfs/dbraw/zinc/69/53/79/1121695379.db2.gz QHVQCVACQXSVNR-UHFFFAOYSA-N 1 2 297.358 3.740 20 0 CHADLO CC(C)[N@@H+](Cc1cc(-c2ccco2)on1)Cc1ccccn1 ZINC000052218123 1121695381 /nfs/dbraw/zinc/69/53/81/1121695381.db2.gz QHVQCVACQXSVNR-UHFFFAOYSA-N 1 2 297.358 3.740 20 0 CHADLO COc1cc(C)[nH+]c(CN2CCc3cc(Cl)ccc32)c1 ZINC001176813430 1121695513 /nfs/dbraw/zinc/69/55/13/1121695513.db2.gz AWCKALZIEQUUOC-UHFFFAOYSA-N 1 2 288.778 3.615 20 0 CHADLO Cc1noc([C@H]([NH2+]Cc2cccc(C(C)C)c2)C(C)C)n1 ZINC001177044420 1121709405 /nfs/dbraw/zinc/70/94/05/1121709405.db2.gz WSIDVAHCELMUBE-MRXNPFEDSA-N 1 2 287.407 3.988 20 0 CHADLO Cc1csc(C[NH2+]Cc2cc3cc(Cl)cnc3o2)n1 ZINC001177203953 1121716184 /nfs/dbraw/zinc/71/61/84/1121716184.db2.gz HJOOZPNDWWKJBZ-UHFFFAOYSA-N 1 2 293.779 3.536 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2coc(C3CC3)n2)cc1F ZINC000727464262 1121718886 /nfs/dbraw/zinc/71/88/86/1121718886.db2.gz NGWNYHSDCQEPJH-SNVBAGLBSA-N 1 2 290.338 3.551 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2coc(C3CC3)n2)cc1F ZINC000727464258 1121719423 /nfs/dbraw/zinc/71/94/23/1121719423.db2.gz NGWNYHSDCQEPJH-JTQLQIEISA-N 1 2 290.338 3.551 20 0 CHADLO CCc1ccc(NCc2cccc3[nH+]ccn32)cc1 ZINC001177374481 1121726470 /nfs/dbraw/zinc/72/64/70/1121726470.db2.gz JNXQGMGXBVJJLI-UHFFFAOYSA-N 1 2 251.333 3.509 20 0 CHADLO C[N@H+](CCC(=O)c1cccs1)Cc1cccc(F)c1F ZINC001204203219 1121749793 /nfs/dbraw/zinc/74/97/93/1121749793.db2.gz UNYXKRIUEDHYEG-UHFFFAOYSA-N 1 2 295.354 3.731 20 0 CHADLO C[N@@H+](CCC(=O)c1cccs1)Cc1cccc(F)c1F ZINC001204203219 1121749802 /nfs/dbraw/zinc/74/98/02/1121749802.db2.gz UNYXKRIUEDHYEG-UHFFFAOYSA-N 1 2 295.354 3.731 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+][C@@H](C)c1ncco1 ZINC000924895011 1121759312 /nfs/dbraw/zinc/75/93/12/1121759312.db2.gz CGBRWLSHGYJWQO-JSGCOSHPSA-N 1 2 274.364 3.875 20 0 CHADLO CC(C)c1nc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2)no1 ZINC000285892726 1121763229 /nfs/dbraw/zinc/76/32/29/1121763229.db2.gz ZJDCKWKHYDFXCT-UKRRQHHQSA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)c1nc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2)no1 ZINC000285892726 1121763234 /nfs/dbraw/zinc/76/32/34/1121763234.db2.gz ZJDCKWKHYDFXCT-UKRRQHHQSA-N 1 2 285.391 3.776 20 0 CHADLO Cc1ccc(CNc2[nH+]c3cc(Cl)ccc3n2C)cn1 ZINC000167764111 1121768260 /nfs/dbraw/zinc/76/82/60/1121768260.db2.gz SYABMKHVFNZTPC-UHFFFAOYSA-N 1 2 286.766 3.542 20 0 CHADLO CC[C@H](C)Oc1cc(C)ccc1C[NH2+]Cc1nccs1 ZINC001178176359 1121769966 /nfs/dbraw/zinc/76/99/66/1121769966.db2.gz MKKNJVFLMRLDPG-ZDUSSCGKSA-N 1 2 290.432 3.919 20 0 CHADLO CCN(CC)c1ccc(NCc2ccc(SC)o2)c[nH+]1 ZINC001178254459 1121774389 /nfs/dbraw/zinc/77/43/89/1121774389.db2.gz OEDQOKJNWYYDIB-UHFFFAOYSA-N 1 2 291.420 3.855 20 0 CHADLO Cc1cccc2c(C)cc(N3CCn4cccc4C3)[nH+]c12 ZINC001166600635 1121775635 /nfs/dbraw/zinc/77/56/35/1121775635.db2.gz XRYJQSUHZUQCSU-UHFFFAOYSA-N 1 2 277.371 3.673 20 0 CHADLO Cc1cc(N2[C@H](C)C[C@@H]3CCCC[C@@H]32)nc(C2CC2)[nH+]1 ZINC000433767017 1121807254 /nfs/dbraw/zinc/80/72/54/1121807254.db2.gz QWQYGHWXJJOPQN-SNPRPXQTSA-N 1 2 271.408 3.820 20 0 CHADLO CCS[C@@H]1CCC[C@@H](Nc2[nH+]c(C)nc3[nH]ccc32)C1 ZINC000433784629 1121809286 /nfs/dbraw/zinc/80/92/86/1121809286.db2.gz XBGPVCWSUNRRPS-VXGBXAGGSA-N 1 2 290.436 3.743 20 0 CHADLO Cc1cc(N2CCC(c3ccco3)CC2)nc(C2CC2)[nH+]1 ZINC000664176188 1121812044 /nfs/dbraw/zinc/81/20/44/1121812044.db2.gz HDTCNFFIFMQXCO-UHFFFAOYSA-N 1 2 283.375 3.639 20 0 CHADLO COC1(C[C@@H](C)[NH2+]c2ccc3c(c2)CCCN3C)CCC1 ZINC000760015462 1121820195 /nfs/dbraw/zinc/82/01/95/1121820195.db2.gz KYIWSSOYNWMDEL-CQSZACIVSA-N 1 2 288.435 3.829 20 0 CHADLO COC1(C[C@@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)CCC1 ZINC000760015462 1121820201 /nfs/dbraw/zinc/82/02/01/1121820201.db2.gz KYIWSSOYNWMDEL-CQSZACIVSA-N 1 2 288.435 3.829 20 0 CHADLO COC1(C[C@@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)CCC1 ZINC000760015462 1121820208 /nfs/dbraw/zinc/82/02/08/1121820208.db2.gz KYIWSSOYNWMDEL-CQSZACIVSA-N 1 2 288.435 3.829 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@@H](C)c1cc(C)ccc1OC ZINC000414132827 1121830613 /nfs/dbraw/zinc/83/06/13/1121830613.db2.gz AAIYUYYCCLRJMF-KBPBESRZSA-N 1 2 287.407 3.632 20 0 CHADLO CC(C)c1ccc(CNc2cc(N3CCCC3)nc[nH+]2)cc1 ZINC000434082837 1121841963 /nfs/dbraw/zinc/84/19/63/1121841963.db2.gz ZKLLTNLPVAQLMZ-UHFFFAOYSA-N 1 2 296.418 3.812 20 0 CHADLO CC(C)c1ccc(CNc2cc(N3CCCC3)[nH+]cn2)cc1 ZINC000434082837 1121841973 /nfs/dbraw/zinc/84/19/73/1121841973.db2.gz ZKLLTNLPVAQLMZ-UHFFFAOYSA-N 1 2 296.418 3.812 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)NCc1c[nH]c(C(C)C)[nH+]1 ZINC001179091675 1121849436 /nfs/dbraw/zinc/84/94/36/1121849436.db2.gz ABZNIRQAYSZYCE-CQSZACIVSA-N 1 2 299.418 3.652 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)NCc1c[nH+]c(C(C)C)[nH]1 ZINC001179091675 1121849444 /nfs/dbraw/zinc/84/94/44/1121849444.db2.gz ABZNIRQAYSZYCE-CQSZACIVSA-N 1 2 299.418 3.652 20 0 CHADLO Cc1cc2nc(/C=C/c3[nH]cc[nH+]3)sc2cc1C ZINC000731155918 1121850025 /nfs/dbraw/zinc/85/00/25/1121850025.db2.gz WQBVTGHSVUBSCU-ONEGZZNKSA-N 1 2 255.346 3.807 20 0 CHADLO c1cc(-c2noc(C[N@@H+]3CC[C@H]3c3ccccc3)n2)cs1 ZINC000348526820 1121850909 /nfs/dbraw/zinc/85/09/09/1121850909.db2.gz OOLOVANZMDKDJT-AWEZNQCLSA-N 1 2 297.383 3.745 20 0 CHADLO c1cc(-c2noc(C[N@H+]3CC[C@H]3c3ccccc3)n2)cs1 ZINC000348526820 1121850915 /nfs/dbraw/zinc/85/09/15/1121850915.db2.gz OOLOVANZMDKDJT-AWEZNQCLSA-N 1 2 297.383 3.745 20 0 CHADLO Cc1cc(NCc2c(Cl)n(C)nc2C(C)C)c[nH+]c1C ZINC001179447400 1121877007 /nfs/dbraw/zinc/87/70/07/1121877007.db2.gz FRQHSBJFZPZFOE-UHFFFAOYSA-N 1 2 292.814 3.821 20 0 CHADLO Cc1cc(C)c(/C=C/C[NH2+][C@H](C)C(F)F)c(C)c1 ZINC001179438116 1121878214 /nfs/dbraw/zinc/87/82/14/1121878214.db2.gz RFHOXRFPNRYOKE-URWSZGRFSA-N 1 2 253.336 3.868 20 0 CHADLO CCc1cc(C(=O)Nc2ccn3cc[nH+]c3c2)sc1C ZINC000350175909 1121892189 /nfs/dbraw/zinc/89/21/89/1121892189.db2.gz JWTUZGCJMOWVRY-UHFFFAOYSA-N 1 2 285.372 3.519 20 0 CHADLO CC[C@@H](C)C[N@H+](C)Cn1nc(-c2ccccc2)oc1=S ZINC000732183161 1121910693 /nfs/dbraw/zinc/91/06/93/1121910693.db2.gz IJUVWEBCAHROSZ-GFCCVEGCSA-N 1 2 291.420 3.808 20 0 CHADLO CC[C@@H](C)C[N@@H+](C)Cn1nc(-c2ccccc2)oc1=S ZINC000732183161 1121910701 /nfs/dbraw/zinc/91/07/01/1121910701.db2.gz IJUVWEBCAHROSZ-GFCCVEGCSA-N 1 2 291.420 3.808 20 0 CHADLO Cc1cnccc1CNc1[nH+]c2cc(Cl)ccc2n1C ZINC001179810787 1121913351 /nfs/dbraw/zinc/91/33/51/1121913351.db2.gz QOXPNYZSODNSHF-UHFFFAOYSA-N 1 2 286.766 3.542 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CC[C@]3(CC3(F)F)C2)c1Cl ZINC000628326847 1121917334 /nfs/dbraw/zinc/91/73/34/1121917334.db2.gz XUVHQSIUJAOISF-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CC[C@]3(CC3(F)F)C2)c1Cl ZINC000628326847 1121917341 /nfs/dbraw/zinc/91/73/41/1121917341.db2.gz XUVHQSIUJAOISF-LBPRGKRZSA-N 1 2 293.691 3.849 20 0 CHADLO CO[C@H](C)C[N@H+](Cc1c(Cl)ccnc1Cl)C(C)C ZINC001179898533 1121918866 /nfs/dbraw/zinc/91/88/66/1121918866.db2.gz PGKKSTQSCNUUOW-SNVBAGLBSA-N 1 2 291.222 3.634 20 0 CHADLO CO[C@H](C)C[N@@H+](Cc1c(Cl)ccnc1Cl)C(C)C ZINC001179898533 1121918870 /nfs/dbraw/zinc/91/88/70/1121918870.db2.gz PGKKSTQSCNUUOW-SNVBAGLBSA-N 1 2 291.222 3.634 20 0 CHADLO Clc1ccc(C2([NH2+]Cc3coc(C4CC4)n3)CC2)cc1 ZINC000732672523 1121933470 /nfs/dbraw/zinc/93/34/70/1121933470.db2.gz OYOMJSPMTRFFFL-UHFFFAOYSA-N 1 2 288.778 3.984 20 0 CHADLO CCCC[N@H+](C)c1ccc(Nc2cc(C)ncn2)cc1 ZINC000732923199 1121944127 /nfs/dbraw/zinc/94/41/27/1121944127.db2.gz WTYCIMFGGOJKSY-UHFFFAOYSA-N 1 2 270.380 3.765 20 0 CHADLO CCCC[N@@H+](C)c1ccc(Nc2cc(C)ncn2)cc1 ZINC000732923199 1121944133 /nfs/dbraw/zinc/94/41/33/1121944133.db2.gz WTYCIMFGGOJKSY-UHFFFAOYSA-N 1 2 270.380 3.765 20 0 CHADLO CO[C@H](CSCc1ccc(C)[nH+]c1C)CC(C)C ZINC000419464579 1121947063 /nfs/dbraw/zinc/94/70/63/1121947063.db2.gz PNEIEWNSGYZIPP-HNNXBMFYSA-N 1 2 267.438 3.993 20 0 CHADLO COc1ccccc1C[NH2+]Cc1c(F)cc(F)c(F)c1F ZINC000430038397 1121973514 /nfs/dbraw/zinc/97/35/14/1121973514.db2.gz XNZKHZFZUQQCSL-UHFFFAOYSA-N 1 2 299.267 3.541 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@@H+]1CC[C@@](C)(F)C1 ZINC000501407702 1121976644 /nfs/dbraw/zinc/97/66/44/1121976644.db2.gz DUHFWJYCSLXUAW-GXFFZTMASA-N 1 2 286.188 3.944 20 0 CHADLO C[C@@H](c1cccc(Br)c1)[N@H+]1CC[C@@](C)(F)C1 ZINC000501407702 1121976650 /nfs/dbraw/zinc/97/66/50/1121976650.db2.gz DUHFWJYCSLXUAW-GXFFZTMASA-N 1 2 286.188 3.944 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@@H+]1CC[C@@](C)(F)C1 ZINC000501412420 1121976828 /nfs/dbraw/zinc/97/68/28/1121976828.db2.gz UMFNSDRLRZEOJN-BLLLJJGKSA-N 1 2 274.339 3.837 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@H+]1CC[C@@](C)(F)C1 ZINC000501412420 1121976834 /nfs/dbraw/zinc/97/68/34/1121976834.db2.gz UMFNSDRLRZEOJN-BLLLJJGKSA-N 1 2 274.339 3.837 20 0 CHADLO COc1cc(C[NH+]2CC(OCC(C)C)C2)cc2ccccc21 ZINC001180344149 1121979010 /nfs/dbraw/zinc/97/90/10/1121979010.db2.gz HCBPVFQAVCFSEU-UHFFFAOYSA-N 1 2 299.414 3.705 20 0 CHADLO Cc1ccc(-c2cnc(C[N@@H+]3CC[C@@](C)(F)C3)o2)cc1 ZINC000501448276 1121979765 /nfs/dbraw/zinc/97/97/65/1121979765.db2.gz QUJFROLWGQSYLC-MRXNPFEDSA-N 1 2 274.339 3.584 20 0 CHADLO Cc1ccc(-c2cnc(C[N@H+]3CC[C@@](C)(F)C3)o2)cc1 ZINC000501448276 1121979773 /nfs/dbraw/zinc/97/97/73/1121979773.db2.gz QUJFROLWGQSYLC-MRXNPFEDSA-N 1 2 274.339 3.584 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nc(C)cs1)c1cccc(OC)n1 ZINC000924925446 1122022543 /nfs/dbraw/zinc/02/25/43/1122022543.db2.gz LPVISLSRVVAKSM-NWDGAFQWSA-N 1 2 291.420 3.657 20 0 CHADLO CCn1ccc(C[NH2+]Cc2cc3cc(C)c(C)cc3o2)n1 ZINC000414479497 1122044485 /nfs/dbraw/zinc/04/44/85/1122044485.db2.gz IKOFKPIFBPQPTH-UHFFFAOYSA-N 1 2 283.375 3.556 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@H+](Cc2nc3ccccc3[nH]2)C1 ZINC000420938376 1122046170 /nfs/dbraw/zinc/04/61/70/1122046170.db2.gz ASDGBGQXPCAWPA-NSHDSACASA-N 1 2 297.324 3.727 20 0 CHADLO FC(F)(F)C[C@@H]1CCC[N@@H+](Cc2nc3ccccc3[nH]2)C1 ZINC000420938376 1122046176 /nfs/dbraw/zinc/04/61/76/1122046176.db2.gz ASDGBGQXPCAWPA-NSHDSACASA-N 1 2 297.324 3.727 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2nc3ccccc3o2)C[C@H](CC)O1 ZINC000420956208 1122051930 /nfs/dbraw/zinc/05/19/30/1122051930.db2.gz MSLULGPJFDWVHQ-MCIONIFRSA-N 1 2 288.391 3.778 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2nc3ccccc3o2)C[C@H](CC)O1 ZINC000420956208 1122051936 /nfs/dbraw/zinc/05/19/36/1122051936.db2.gz MSLULGPJFDWVHQ-MCIONIFRSA-N 1 2 288.391 3.778 20 0 CHADLO CCCC[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC001181383352 1122052900 /nfs/dbraw/zinc/05/29/00/1122052900.db2.gz SLOFXAQCCZEWAI-OKILXGFUSA-N 1 2 262.397 3.512 20 0 CHADLO CC(C)[N@H+](Cc1cnns1)[C@@H](C)c1ccsc1 ZINC000421014964 1122062691 /nfs/dbraw/zinc/06/26/91/1122062691.db2.gz SKBKTYQWJJEIQL-JTQLQIEISA-N 1 2 267.423 3.571 20 0 CHADLO CC(C)[N@@H+](Cc1cnns1)[C@@H](C)c1ccsc1 ZINC000421014964 1122062697 /nfs/dbraw/zinc/06/26/97/1122062697.db2.gz SKBKTYQWJJEIQL-JTQLQIEISA-N 1 2 267.423 3.571 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@H](CF)c2ccc(F)cc2)c(C)n1 ZINC000631798549 1129029514 /nfs/dbraw/zinc/02/95/14/1129029514.db2.gz VBLHRIISHWJMHM-MEDUHNTESA-N 1 2 291.345 3.594 20 0 CHADLO Cc1ccc(Nc2snnc2-c2ccccc2)c(C)[nH+]1 ZINC001203368010 1122073881 /nfs/dbraw/zinc/07/38/81/1122073881.db2.gz SJLKCQWMHKFEIK-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@H](CF)c2ccc(F)cc2)s1 ZINC000631798396 1129029582 /nfs/dbraw/zinc/02/95/82/1129029582.db2.gz RUFHFTRGKNYBSQ-TVQRCGJNSA-N 1 2 282.359 3.952 20 0 CHADLO C[C@@H]1C[C@H]1c1ccc(C[NH+]2Cc3ccccc3C2)o1 ZINC000353388886 1122083124 /nfs/dbraw/zinc/08/31/24/1122083124.db2.gz SJVDBWNBIOQYLU-MLGOLLRUSA-N 1 2 253.345 3.919 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H](C)c2ccc(C)cc2)nn1C ZINC000421324076 1122094431 /nfs/dbraw/zinc/09/44/31/1122094431.db2.gz HNRTUCXBVIWIAP-LLVKDONJSA-N 1 2 277.799 3.541 20 0 CHADLO COc1cccc(CNc2ccc([NH+](C)C)c(C)c2)c1F ZINC000192698735 1129030941 /nfs/dbraw/zinc/03/09/41/1129030941.db2.gz HRNWRAVXRNTWNW-UHFFFAOYSA-N 1 2 288.366 3.821 20 0 CHADLO CC(C)[N@H+](Cc1cocn1)[C@@H](C)c1ccsc1 ZINC000421375073 1122103753 /nfs/dbraw/zinc/10/37/53/1122103753.db2.gz PRFHMVWNZZWOFF-NSHDSACASA-N 1 2 250.367 3.708 20 0 CHADLO CC(C)[N@@H+](Cc1cocn1)[C@@H](C)c1ccsc1 ZINC000421375073 1122103758 /nfs/dbraw/zinc/10/37/58/1122103758.db2.gz PRFHMVWNZZWOFF-NSHDSACASA-N 1 2 250.367 3.708 20 0 CHADLO COc1ncc(Nc2ccc(C)[nH+]c2C)cc1C(F)(F)F ZINC001203369759 1122114944 /nfs/dbraw/zinc/11/49/44/1122114944.db2.gz SDWGTTTWGAIFPJ-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@H](C)c2cccc(Cl)c2)CCO1 ZINC000502607866 1122120570 /nfs/dbraw/zinc/12/05/70/1122120570.db2.gz NDHYIIVVTYLOBW-BXUZGUMPSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@@H]1C[N@H+]([C@H](C)c2cccc(Cl)c2)CCO1 ZINC000502607866 1122120574 /nfs/dbraw/zinc/12/05/74/1122120574.db2.gz NDHYIIVVTYLOBW-BXUZGUMPSA-N 1 2 253.773 3.512 20 0 CHADLO CCC1(C)C[NH+](Cc2cccc(F)c2OC(F)F)C1 ZINC001182228200 1122122059 /nfs/dbraw/zinc/12/20/59/1122122059.db2.gz VKTVEUBHJNFJMG-UHFFFAOYSA-N 1 2 273.298 3.659 20 0 CHADLO CCOc1cc(Nc2ccc(C)[nH+]c2C)cc(C)n1 ZINC001203370816 1122122802 /nfs/dbraw/zinc/12/28/02/1122122802.db2.gz VGPVTTNEUWCRLS-UHFFFAOYSA-N 1 2 257.337 3.544 20 0 CHADLO CCN(CC)C(=O)[C@H](c1ccccc1Cl)[NH+](CC)CC ZINC001182219453 1122123114 /nfs/dbraw/zinc/12/31/14/1122123114.db2.gz RXUINMUDMOBGAG-HNNXBMFYSA-N 1 2 296.842 3.591 20 0 CHADLO CC[C@@H](CC(=O)NCc1cc(C)[nH+]c(C)c1)c1ccccc1 ZINC000421576478 1122139964 /nfs/dbraw/zinc/13/99/64/1122139964.db2.gz MTORVTBIFVNREH-KRWDZBQOSA-N 1 2 296.414 3.899 20 0 CHADLO COc1cccc(CCC[N@@H+]2CCCC[C@@H]2C(F)F)c1 ZINC000502825722 1122149708 /nfs/dbraw/zinc/14/97/08/1122149708.db2.gz RBGFWVYCYWFKRL-OAHLLOKOSA-N 1 2 283.362 3.747 20 0 CHADLO COc1cccc(CCC[N@H+]2CCCC[C@@H]2C(F)F)c1 ZINC000502825722 1122149711 /nfs/dbraw/zinc/14/97/11/1122149711.db2.gz RBGFWVYCYWFKRL-OAHLLOKOSA-N 1 2 283.362 3.747 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nnc(C3CC3)s2)cc1Cl ZINC000414561560 1122162990 /nfs/dbraw/zinc/16/29/90/1122162990.db2.gz ZKRURRYWGMLDPY-UHFFFAOYSA-N 1 2 293.823 3.667 20 0 CHADLO Cc1cc(F)cc([C@@]2(F)CC[N@@H+](Cc3cccn3C)C2)c1 ZINC000503043493 1122163601 /nfs/dbraw/zinc/16/36/01/1122163601.db2.gz CSFOLCWCOCDMRO-QGZVFWFLSA-N 1 2 290.357 3.543 20 0 CHADLO Cc1cc(F)cc([C@@]2(F)CC[N@H+](Cc3cccn3C)C2)c1 ZINC000503043493 1122163605 /nfs/dbraw/zinc/16/36/05/1122163605.db2.gz CSFOLCWCOCDMRO-QGZVFWFLSA-N 1 2 290.357 3.543 20 0 CHADLO Cc1cc(F)cc([C@]2(F)CC[N@H+](Cc3ccco3)C2)c1 ZINC000503047655 1122164246 /nfs/dbraw/zinc/16/42/46/1122164246.db2.gz IXSLMEQFHTXALK-INIZCTEOSA-N 1 2 277.314 3.798 20 0 CHADLO Cc1cc(F)cc([C@]2(F)CC[N@@H+](Cc3ccco3)C2)c1 ZINC000503047655 1122164249 /nfs/dbraw/zinc/16/42/49/1122164249.db2.gz IXSLMEQFHTXALK-INIZCTEOSA-N 1 2 277.314 3.798 20 0 CHADLO CC[C@H](C)C[N@@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503179458 1122171256 /nfs/dbraw/zinc/17/12/56/1122171256.db2.gz DYTUVDVLHNZNPP-DZGCQCFKSA-N 1 2 284.407 3.655 20 0 CHADLO CC[C@H](C)C[N@H+]1CCC[C@@H]1c1nc(-c2ccccc2)n[nH]1 ZINC000503179458 1122171259 /nfs/dbraw/zinc/17/12/59/1122171259.db2.gz DYTUVDVLHNZNPP-DZGCQCFKSA-N 1 2 284.407 3.655 20 0 CHADLO CCCCc1noc(C[NH2+][C@@H](CC)c2ccccc2)n1 ZINC000271702732 1122171912 /nfs/dbraw/zinc/17/19/12/1122171912.db2.gz SUYDDHFUHDLHJZ-AWEZNQCLSA-N 1 2 273.380 3.653 20 0 CHADLO CC[C@@H]([NH2+]C[C@H](C)c1ccc(C)cc1)C(=O)OC(C)(C)C ZINC001182566106 1122176229 /nfs/dbraw/zinc/17/62/29/1122176229.db2.gz LHXQKTSVZUAHPG-GOEBONIOSA-N 1 2 291.435 3.808 20 0 CHADLO Cc1csc(C2([NH2+][C@@H](C)c3ccccn3)CCC2)n1 ZINC000271940908 1122183568 /nfs/dbraw/zinc/18/35/68/1122183568.db2.gz HKMUFHYLGAHPDG-LBPRGKRZSA-N 1 2 273.405 3.577 20 0 CHADLO Cc1csc(C2([NH2+][C@H](C)c3ccc(F)cn3)CCC2)n1 ZINC000271963226 1122184567 /nfs/dbraw/zinc/18/45/67/1122184567.db2.gz LPSRIDRDWMBVGZ-LLVKDONJSA-N 1 2 291.395 3.716 20 0 CHADLO CCC1(CC)[C@@H](Nc2c[nH+]cc3c2CCCC3)C[C@@H]1OC ZINC001182845098 1122190659 /nfs/dbraw/zinc/19/06/59/1122190659.db2.gz RLNYPLVEBQEQFF-IRXDYDNUSA-N 1 2 288.435 3.966 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@H](C)C2)cc1)C1CC1 ZINC000272165329 1122192155 /nfs/dbraw/zinc/19/21/55/1122192155.db2.gz NKWFUTRLRLCLEU-MCIONIFRSA-N 1 2 274.408 3.511 20 0 CHADLO Cc1ncc([C@@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)c(C)n1 ZINC000272143994 1122192241 /nfs/dbraw/zinc/19/22/41/1122192241.db2.gz LTOHMKKPSLDTPE-CYBMUJFWSA-N 1 2 296.418 3.649 20 0 CHADLO Cc1ncc([C@@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)c(C)n1 ZINC000272143994 1122192243 /nfs/dbraw/zinc/19/22/43/1122192243.db2.gz LTOHMKKPSLDTPE-CYBMUJFWSA-N 1 2 296.418 3.649 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1)C1CC1 ZINC000272165335 1122192400 /nfs/dbraw/zinc/19/24/00/1122192400.db2.gz NKWFUTRLRLCLEU-MJBXVCDLSA-N 1 2 274.408 3.511 20 0 CHADLO Cc1ccncc1[C@H](C)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000272207807 1122194730 /nfs/dbraw/zinc/19/47/30/1122194730.db2.gz COTWURZSHAEPRY-HNNXBMFYSA-N 1 2 296.418 3.948 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)N[C@H](C)c1ccc(-n2cc[nH+]c2)cc1 ZINC001183019161 1122201806 /nfs/dbraw/zinc/20/18/06/1122201806.db2.gz VMDADLZSLFJOIQ-RRFJBIMHSA-N 1 2 299.418 3.732 20 0 CHADLO COCC[C@H]([NH2+]Cc1cc(F)c(F)cc1F)c1ccco1 ZINC000272519224 1122205128 /nfs/dbraw/zinc/20/51/28/1122205128.db2.gz IQOZBFQPWOSCCP-AWEZNQCLSA-N 1 2 299.292 3.564 20 0 CHADLO C[C@]1(C(F)(F)F)C[N@H+](Cc2cccc3ccoc32)CCO1 ZINC001183420157 1122222596 /nfs/dbraw/zinc/22/25/96/1122222596.db2.gz XGTKVRGMKQEHGF-CQSZACIVSA-N 1 2 299.292 3.586 20 0 CHADLO C[C@]1(C(F)(F)F)C[N@@H+](Cc2cccc3ccoc32)CCO1 ZINC001183420157 1122222601 /nfs/dbraw/zinc/22/26/01/1122222601.db2.gz XGTKVRGMKQEHGF-CQSZACIVSA-N 1 2 299.292 3.586 20 0 CHADLO CCSCc1ccc[nH+]c1N[C@H](C)C1CCC1 ZINC001183491866 1122226403 /nfs/dbraw/zinc/22/64/03/1122226403.db2.gz SMUBNNRQAQCQBQ-LLVKDONJSA-N 1 2 250.411 3.935 20 0 CHADLO Cc1nc(C[NH2+][C@@]2(c3ccc(Cl)cc3)C[C@H]2C)oc1C ZINC000503376960 1122258654 /nfs/dbraw/zinc/25/86/54/1122258654.db2.gz LGHCDEZRENALOD-HWPZZCPQSA-N 1 2 290.794 3.970 20 0 CHADLO CO[C@@H]1CCC[C@@H]([NH2+][C@@H](c2ccccc2)C(F)F)C1 ZINC001184462224 1122278216 /nfs/dbraw/zinc/27/82/16/1122278216.db2.gz IFHUUDGXTDHJJV-MCIONIFRSA-N 1 2 269.335 3.540 20 0 CHADLO CC[C@@H](C)Cc1noc(-c2ccc(Cn3cc[nH+]c3)cc2)n1 ZINC000632246013 1129044308 /nfs/dbraw/zinc/04/43/08/1129044308.db2.gz JNBBAYYWDHWKPS-CYBMUJFWSA-N 1 2 296.374 3.570 20 0 CHADLO CCN(Cc1cccs1)c1nc(C)[nH+]c2c1CCCC2 ZINC001184775475 1122297040 /nfs/dbraw/zinc/29/70/40/1122297040.db2.gz OAWXKFCXBVDYHU-UHFFFAOYSA-N 1 2 287.432 3.752 20 0 CHADLO CC(C)(O)c1ccccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001184968456 1122304621 /nfs/dbraw/zinc/30/46/21/1122304621.db2.gz LVVXXOXOAOTCPZ-UHFFFAOYSA-N 1 2 297.402 3.653 20 0 CHADLO CC(=O)c1ccc(Nc2ccc[nH+]c2N2CCCC2)c(F)c1 ZINC001184967459 1122305078 /nfs/dbraw/zinc/30/50/78/1122305078.db2.gz CUUADOSZJNDCMU-UHFFFAOYSA-N 1 2 299.349 3.767 20 0 CHADLO COc1ccc(C)cc1[C@@H](C)[NH2+]C/C(Cl)=C\Cl ZINC000774823673 1122314264 /nfs/dbraw/zinc/31/42/64/1122314264.db2.gz LJTMGFJKMLJSAL-UEUZTHOGSA-N 1 2 274.191 3.973 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]C/C(Cl)=C\Cl ZINC000774823674 1122314825 /nfs/dbraw/zinc/31/48/25/1122314825.db2.gz LJTMGFJKMLJSAL-VUBLIPMDSA-N 1 2 274.191 3.973 20 0 CHADLO Cc1cn2cc(NC(=O)Nc3cc(C)ccc3F)ccc2[nH+]1 ZINC001185228736 1122328044 /nfs/dbraw/zinc/32/80/44/1122328044.db2.gz RQJZFBMLWJRDMY-UHFFFAOYSA-N 1 2 298.321 3.734 20 0 CHADLO CCc1cc(N2[C@H](C)C[C@@H]3CCCC[C@H]32)nc(CC)[nH+]1 ZINC001185421844 1122339473 /nfs/dbraw/zinc/33/94/73/1122339473.db2.gz ZEDHRCACDNOVNK-VNHYZAJKSA-N 1 2 273.424 3.759 20 0 CHADLO Cc1cc(NC2C[C@@H](C)C[C@H](C)C2)nc(C2CC2)[nH+]1 ZINC001185451831 1122341615 /nfs/dbraw/zinc/34/16/15/1122341615.db2.gz YKHLDQPZVIOYKE-QWRGUYRKSA-N 1 2 259.397 3.899 20 0 CHADLO Cc1cc(NC2C[C@H](C)C[C@@H](C)C2)nc(C2CC2)[nH+]1 ZINC001185451830 1122342061 /nfs/dbraw/zinc/34/20/61/1122342061.db2.gz YKHLDQPZVIOYKE-GHMZBOCLSA-N 1 2 259.397 3.899 20 0 CHADLO Cc1nc(N[C@H](c2ccc(F)cc2F)C2CC2)cc[nH+]1 ZINC001185555464 1122346192 /nfs/dbraw/zinc/34/61/92/1122346192.db2.gz SERDHVHFNBEKNI-HNNXBMFYSA-N 1 2 275.302 3.626 20 0 CHADLO CC[C@H]1C[C@@H](C)CN1c1nc2ccccc2n2c[nH+]cc12 ZINC001185555085 1122346774 /nfs/dbraw/zinc/34/67/74/1122346774.db2.gz HPSZFIVYWXHJFF-OLZOCXBDSA-N 1 2 280.375 3.507 20 0 CHADLO C[N@H+](Cc1ccccc1)Cc1cccc(Cl)c1O ZINC000183369687 1129048384 /nfs/dbraw/zinc/04/83/84/1129048384.db2.gz LNFCVXHZHMPNLM-UHFFFAOYSA-N 1 2 261.752 3.678 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cc1cccc(Cl)c1O ZINC000183369687 1129048388 /nfs/dbraw/zinc/04/83/88/1129048388.db2.gz LNFCVXHZHMPNLM-UHFFFAOYSA-N 1 2 261.752 3.678 20 0 CHADLO COc1c(C)ccc(F)c1C[N@H+](C)Cc1cccc(F)c1 ZINC001250224628 1122369319 /nfs/dbraw/zinc/36/93/19/1122369319.db2.gz OMQQEDNEWYVZQU-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1c(C)ccc(F)c1C[N@@H+](C)Cc1cccc(F)c1 ZINC001250224628 1122369324 /nfs/dbraw/zinc/36/93/24/1122369324.db2.gz OMQQEDNEWYVZQU-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1ncccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001205346397 1122379039 /nfs/dbraw/zinc/37/90/39/1122379039.db2.gz ZWFZCSRTOQPNGR-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO CC(C)SCC[N@@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000514187195 1122385097 /nfs/dbraw/zinc/38/50/97/1122385097.db2.gz PXNNTLCXIQVKAI-HNNXBMFYSA-N 1 2 299.867 3.855 20 0 CHADLO CC(C)SCC[N@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000514187195 1122385101 /nfs/dbraw/zinc/38/51/01/1122385101.db2.gz PXNNTLCXIQVKAI-HNNXBMFYSA-N 1 2 299.867 3.855 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)cn1 ZINC000430104179 1122401159 /nfs/dbraw/zinc/40/11/59/1122401159.db2.gz RFJULDUQRKVTKB-KRWDZBQOSA-N 1 2 281.403 3.622 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)cn1 ZINC000430104179 1122401157 /nfs/dbraw/zinc/40/11/57/1122401157.db2.gz RFJULDUQRKVTKB-KRWDZBQOSA-N 1 2 281.403 3.622 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)c(Cl)n1 ZINC000688594118 1129052081 /nfs/dbraw/zinc/05/20/81/1129052081.db2.gz HKJKCIQAELFMDN-LLVKDONJSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)c(Cl)n1 ZINC000688594118 1129052086 /nfs/dbraw/zinc/05/20/86/1129052086.db2.gz HKJKCIQAELFMDN-LLVKDONJSA-N 1 2 292.732 3.818 20 0 CHADLO Fc1ccc(Cl)c(C[N@H+]2CCCC[C@@H](F)C2)c1 ZINC001138671401 1129052492 /nfs/dbraw/zinc/05/24/92/1129052492.db2.gz AALHFHMXMYOISI-GFCCVEGCSA-N 1 2 259.727 3.803 20 0 CHADLO Fc1ccc(Cl)c(C[N@@H+]2CCCC[C@@H](F)C2)c1 ZINC001138671401 1129052494 /nfs/dbraw/zinc/05/24/94/1129052494.db2.gz AALHFHMXMYOISI-GFCCVEGCSA-N 1 2 259.727 3.803 20 0 CHADLO COCCCCOc1cc(C)[nH+]c2c(C)cccc12 ZINC000505514757 1122410974 /nfs/dbraw/zinc/41/09/74/1122410974.db2.gz SPGWKHGIJXCURL-UHFFFAOYSA-N 1 2 259.349 3.657 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCSC(C)(C)C2)cs1 ZINC000434906469 1122421360 /nfs/dbraw/zinc/42/13/60/1122421360.db2.gz WRLIABMEXWSOBG-UHFFFAOYSA-N 1 2 284.494 3.813 20 0 CHADLO CCCCc1nc(C[N@H+]2CCSC(C)(C)C2)cs1 ZINC000434906469 1122421364 /nfs/dbraw/zinc/42/13/64/1122421364.db2.gz WRLIABMEXWSOBG-UHFFFAOYSA-N 1 2 284.494 3.813 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncccc2N2CCCCC2)c1 ZINC001203656885 1122423834 /nfs/dbraw/zinc/42/38/34/1122423834.db2.gz BKIDFINZASKPON-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO CC1(C)C[N@H+](Cc2nnc(-c3ccccc3)s2)C1(C)C ZINC000155321789 1122424909 /nfs/dbraw/zinc/42/49/09/1122424909.db2.gz QWRQCJCTHBCGEN-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO CC1(C)C[N@@H+](Cc2nnc(-c3ccccc3)s2)C1(C)C ZINC000155321789 1122424911 /nfs/dbraw/zinc/42/49/11/1122424911.db2.gz QWRQCJCTHBCGEN-UHFFFAOYSA-N 1 2 287.432 3.826 20 0 CHADLO COc1ncc(Nc2cc(C)c[nH+]c2C)cc1C(F)(F)F ZINC001203657630 1122424970 /nfs/dbraw/zinc/42/49/70/1122424970.db2.gz SKFVTGPFERZRID-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO CC[C@H]1[C@@H](C)CC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434981025 1122426269 /nfs/dbraw/zinc/42/62/69/1122426269.db2.gz CSOKOAUIGAGQCS-IUCAKERBSA-N 1 2 278.343 3.782 20 0 CHADLO CC[C@H]1[C@@H](C)CC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434981025 1122426272 /nfs/dbraw/zinc/42/62/72/1122426272.db2.gz CSOKOAUIGAGQCS-IUCAKERBSA-N 1 2 278.343 3.782 20 0 CHADLO CC[C@@H]1[C@H](C)CC[N@@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434981026 1122426736 /nfs/dbraw/zinc/42/67/36/1122426736.db2.gz CSOKOAUIGAGQCS-RKDXNWHRSA-N 1 2 278.343 3.782 20 0 CHADLO CC[C@@H]1[C@H](C)CC[N@H+]1Cc1ncc(C(F)(F)F)s1 ZINC000434981026 1122426740 /nfs/dbraw/zinc/42/67/40/1122426740.db2.gz CSOKOAUIGAGQCS-RKDXNWHRSA-N 1 2 278.343 3.782 20 0 CHADLO C[N@H+](Cc1cccc(F)c1)Cc1cc(F)c(F)cc1F ZINC000505603561 1122433931 /nfs/dbraw/zinc/43/39/31/1122433931.db2.gz QDTXQTNFBJTMOM-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1)Cc1cc(F)c(F)cc1F ZINC000505603561 1122433935 /nfs/dbraw/zinc/43/39/35/1122433935.db2.gz QDTXQTNFBJTMOM-UHFFFAOYSA-N 1 2 283.268 3.875 20 0 CHADLO CCc1c[nH]c2nc[nH+]c(Nc3ccc4c(c3)C[C@H](C)O4)c12 ZINC001187765657 1122442248 /nfs/dbraw/zinc/44/22/48/1122442248.db2.gz NABJEUUNKBPEJD-JTQLQIEISA-N 1 2 294.358 3.587 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc3c(c2)C[C@@H](C)C(=O)N3C)c1 ZINC001203668193 1122442576 /nfs/dbraw/zinc/44/25/76/1122442576.db2.gz BXCNHRFHLBIHSP-GFCCVEGCSA-N 1 2 295.386 3.597 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)nc2)CC2(CCCC2)O1 ZINC000190963580 1122447307 /nfs/dbraw/zinc/44/73/07/1122447307.db2.gz TXYYDERYMTZMLH-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)nc2)CC2(CCCC2)O1 ZINC000190963580 1122447311 /nfs/dbraw/zinc/44/73/11/1122447311.db2.gz TXYYDERYMTZMLH-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO COc1ccccc1C[N@H+](Cc1cncn1C(C)C)C1CC1 ZINC000425330507 1122455107 /nfs/dbraw/zinc/45/51/07/1122455107.db2.gz VYKWPCFUAYNSRH-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO COc1ccccc1C[N@@H+](Cc1cncn1C(C)C)C1CC1 ZINC000425330507 1122455108 /nfs/dbraw/zinc/45/51/08/1122455108.db2.gz VYKWPCFUAYNSRH-UHFFFAOYSA-N 1 2 299.418 3.637 20 0 CHADLO Cc1[nH]ncc1C[N@@H+](C)[C@H](c1ccc(F)cc1)C(C)C ZINC000435297855 1122456348 /nfs/dbraw/zinc/45/63/48/1122456348.db2.gz LHAQKPJUQOGVBB-INIZCTEOSA-N 1 2 275.371 3.686 20 0 CHADLO Cc1[nH]ncc1C[N@H+](C)[C@H](c1ccc(F)cc1)C(C)C ZINC000435297855 1122456349 /nfs/dbraw/zinc/45/63/49/1122456349.db2.gz LHAQKPJUQOGVBB-INIZCTEOSA-N 1 2 275.371 3.686 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)[C@@H](C)c1c(F)cccc1F ZINC000425327581 1122456525 /nfs/dbraw/zinc/45/65/25/1122456525.db2.gz POSBAOAYDPGCHZ-LBPRGKRZSA-N 1 2 293.361 3.935 20 0 CHADLO Fc1ccc(C(F)(F)F)cc1C[NH+]1CC(CC(F)F)C1 ZINC000425363451 1122462930 /nfs/dbraw/zinc/46/29/30/1122462930.db2.gz BRZXACSLDYHWKB-UHFFFAOYSA-N 1 2 297.242 3.932 20 0 CHADLO FCC1([NH2+]Cc2csc(-c3ccsc3)n2)CCC1 ZINC000514938703 1122465708 /nfs/dbraw/zinc/46/57/08/1122465708.db2.gz YSICHSKJKDFZME-UHFFFAOYSA-N 1 2 282.409 3.853 20 0 CHADLO C[N@H+](Cc1nnsc1Cl)[C@H]1CCC[C@H]1C(C)(C)C ZINC000515005210 1122469697 /nfs/dbraw/zinc/46/96/97/1122469697.db2.gz ANZXWHWIJHKRSW-KOLCDFICSA-N 1 2 287.860 3.838 20 0 CHADLO C[N@@H+](Cc1nnsc1Cl)[C@H]1CCC[C@H]1C(C)(C)C ZINC000515005210 1122469698 /nfs/dbraw/zinc/46/96/98/1122469698.db2.gz ANZXWHWIJHKRSW-KOLCDFICSA-N 1 2 287.860 3.838 20 0 CHADLO Cc1cc(N[C@H]2C[C@H](c3ccccc3F)C2)nc(C2CC2)[nH+]1 ZINC000435593548 1122477471 /nfs/dbraw/zinc/47/74/71/1122477471.db2.gz NIXJDARRVXTGEM-HDJSIYSDSA-N 1 2 297.377 3.582 20 0 CHADLO CC(C)c1cc(N2CC[C@@H]3C[C@@H]3C2)nc(C(C)C)[nH+]1 ZINC000664525979 1122515571 /nfs/dbraw/zinc/51/55/71/1122515571.db2.gz SLKMYFHBQQSVCL-CHWSQXEVSA-N 1 2 259.397 3.570 20 0 CHADLO CC(C)(C)c1cn(CCCOc2ccccc2)c[nH+]1 ZINC001189876593 1122518103 /nfs/dbraw/zinc/51/81/03/1122518103.db2.gz PSFLCFBNDYNHFP-UHFFFAOYSA-N 1 2 258.365 3.650 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](CC(=O)OC(C)(C)C)C1CC1 ZINC000505780564 1122527677 /nfs/dbraw/zinc/52/76/77/1122527677.db2.gz ADCAJXXAZAUQLR-CYBMUJFWSA-N 1 2 275.392 3.554 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](CC(=O)OC(C)(C)C)C1CC1 ZINC000505780564 1122527681 /nfs/dbraw/zinc/52/76/81/1122527681.db2.gz ADCAJXXAZAUQLR-CYBMUJFWSA-N 1 2 275.392 3.554 20 0 CHADLO CC1=CCC[N@H+](Cc2csc(Br)c2)C1 ZINC000436261279 1122528244 /nfs/dbraw/zinc/52/82/44/1122528244.db2.gz JOFPPKREPIPSPN-UHFFFAOYSA-N 1 2 272.211 3.663 20 0 CHADLO CC1=CCC[N@@H+](Cc2csc(Br)c2)C1 ZINC000436261279 1122528246 /nfs/dbraw/zinc/52/82/46/1122528246.db2.gz JOFPPKREPIPSPN-UHFFFAOYSA-N 1 2 272.211 3.663 20 0 CHADLO Cc1nonc1C[NH2+][C@@H](c1ccccc1Cl)C(C)C ZINC000775434986 1122570399 /nfs/dbraw/zinc/57/03/99/1122570399.db2.gz SMNOCPMRKUJLSC-CQSZACIVSA-N 1 2 279.771 3.518 20 0 CHADLO CC[C@H]1CCC[N@@H+]([C@@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001191409884 1122597566 /nfs/dbraw/zinc/59/75/66/1122597566.db2.gz XHKOYMAMGFUBBI-SWLSCSKDSA-N 1 2 295.810 3.676 20 0 CHADLO CC[C@H]1CCC[N@H+]([C@@H](C(=O)OC)c2cccc(Cl)c2)C1 ZINC001191409884 1122597569 /nfs/dbraw/zinc/59/75/69/1122597569.db2.gz XHKOYMAMGFUBBI-SWLSCSKDSA-N 1 2 295.810 3.676 20 0 CHADLO CC[N@H+](C)[C@@H](C(=O)Oc1ccccc1C)c1ccccc1 ZINC000775463792 1122604653 /nfs/dbraw/zinc/60/46/53/1122604653.db2.gz ADUOFGGFKDQREQ-QGZVFWFLSA-N 1 2 283.371 3.593 20 0 CHADLO CC[N@@H+](C)[C@@H](C(=O)Oc1ccccc1C)c1ccccc1 ZINC000775463792 1122604656 /nfs/dbraw/zinc/60/46/56/1122604656.db2.gz ADUOFGGFKDQREQ-QGZVFWFLSA-N 1 2 283.371 3.593 20 0 CHADLO CC(C)COc1cccc(C[N@@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000437997559 1122670780 /nfs/dbraw/zinc/67/07/80/1122670780.db2.gz LZFFFIGHNVNXMT-QGZVFWFLSA-N 1 2 299.418 3.782 20 0 CHADLO CC(C)COc1cccc(C[N@H+]2CCC[C@@H]2c2ncc[nH]2)c1 ZINC000437997559 1122670785 /nfs/dbraw/zinc/67/07/85/1122670785.db2.gz LZFFFIGHNVNXMT-QGZVFWFLSA-N 1 2 299.418 3.782 20 0 CHADLO COC(=O)[C@H]1CCCCC[N@@H+]1Cc1c(C)cccc1Cl ZINC001193272157 1122683447 /nfs/dbraw/zinc/68/34/47/1122683447.db2.gz GCYDZUDBCMROSD-OAHLLOKOSA-N 1 2 295.810 3.566 20 0 CHADLO COC(=O)[C@H]1CCCCC[N@H+]1Cc1c(C)cccc1Cl ZINC001193272157 1122683449 /nfs/dbraw/zinc/68/34/49/1122683449.db2.gz GCYDZUDBCMROSD-OAHLLOKOSA-N 1 2 295.810 3.566 20 0 CHADLO Cc1cccc(F)c1NCc1c[nH+]cn1Cc1ccccc1 ZINC000438312973 1122691214 /nfs/dbraw/zinc/69/12/14/1122691214.db2.gz LKTJSDDSIHAJDF-UHFFFAOYSA-N 1 2 295.361 3.991 20 0 CHADLO CCSCC[N@@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000438543708 1122709198 /nfs/dbraw/zinc/70/91/98/1122709198.db2.gz DJDFIBYAVGDETH-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO CCSCC[N@H+]1C[C@@H](c2cccc(Cl)c2)OC[C@@H]1C ZINC000438543708 1122709201 /nfs/dbraw/zinc/70/92/01/1122709201.db2.gz DJDFIBYAVGDETH-WFASDCNBSA-N 1 2 299.867 3.855 20 0 CHADLO C(=C/c1ccccc1)\C[N@@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000506325594 1122719788 /nfs/dbraw/zinc/71/97/88/1122719788.db2.gz LPXDJXGGMVDYIA-QPGLYAHGSA-N 1 2 279.383 3.773 20 0 CHADLO C(=C/c1ccccc1)\C[N@H+]1CCO[C@@H](c2ccccc2)C1 ZINC000506325594 1122719790 /nfs/dbraw/zinc/71/97/90/1122719790.db2.gz LPXDJXGGMVDYIA-QPGLYAHGSA-N 1 2 279.383 3.773 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccccc2OC2CCCC2)CCO1 ZINC000506369197 1122726816 /nfs/dbraw/zinc/72/68/16/1122726816.db2.gz KZHSPZPQYCJQCO-MRXNPFEDSA-N 1 2 289.419 3.619 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccccc2OC2CCCC2)CCO1 ZINC000506369197 1122726818 /nfs/dbraw/zinc/72/68/18/1122726818.db2.gz KZHSPZPQYCJQCO-MRXNPFEDSA-N 1 2 289.419 3.619 20 0 CHADLO CCCC[C@H](CC)COC(=O)N(CC)CCn1cc[nH+]c1 ZINC001193966658 1122731731 /nfs/dbraw/zinc/73/17/31/1122731731.db2.gz ZLAYTLIUHAOLTK-HNNXBMFYSA-N 1 2 295.427 3.558 20 0 CHADLO C[C@@H]1CCCC[C@@H]1[NH2+]c1ccc(N2CCOCC2)cc1 ZINC000022152796 1122734915 /nfs/dbraw/zinc/73/49/15/1122734915.db2.gz YDYCNKMVKHTIJO-PBHICJAKSA-N 1 2 274.408 3.514 20 0 CHADLO Cc1ccc(OC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001194420027 1122774683 /nfs/dbraw/zinc/77/46/83/1122774683.db2.gz XICVKSLUVIGAEK-UHFFFAOYSA-N 1 2 293.326 3.792 20 0 CHADLO CSc1cccc(F)c1C[NH2+]Cc1nc(C)c(C)s1 ZINC000894389292 1122808986 /nfs/dbraw/zinc/80/89/86/1122808986.db2.gz OXYKHOPWUXENIJ-UHFFFAOYSA-N 1 2 296.436 3.911 20 0 CHADLO CCc1noc(C[N@H+](C2CC2)[C@@H]2CCCc3ccccc32)n1 ZINC000120969198 1122817342 /nfs/dbraw/zinc/81/73/42/1122817342.db2.gz BWSHJQFSLXPSGK-MRXNPFEDSA-N 1 2 297.402 3.674 20 0 CHADLO CCc1noc(C[N@@H+](C2CC2)[C@@H]2CCCc3ccccc32)n1 ZINC000120969198 1122817348 /nfs/dbraw/zinc/81/73/48/1122817348.db2.gz BWSHJQFSLXPSGK-MRXNPFEDSA-N 1 2 297.402 3.674 20 0 CHADLO CC[C@@H](C)C[C@@H](C)NC(=O)c1cccc(-c2c[nH+]cn2C)c1 ZINC001194917914 1122822362 /nfs/dbraw/zinc/82/23/62/1122822362.db2.gz RBDVKJXKHRBJFI-ZIAGYGMSSA-N 1 2 299.418 3.642 20 0 CHADLO CCCc1nc(C)c(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)o1 ZINC000664816918 1122858460 /nfs/dbraw/zinc/85/84/60/1122858460.db2.gz ANRWKDJDYNYUHH-KRWDZBQOSA-N 1 2 299.418 3.914 20 0 CHADLO CCCc1nc(C)c(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)o1 ZINC000664816918 1122858464 /nfs/dbraw/zinc/85/84/64/1122858464.db2.gz ANRWKDJDYNYUHH-KRWDZBQOSA-N 1 2 299.418 3.914 20 0 CHADLO Cc1ccc([N@@H+](CC[C@@H]2COC(C)(C)O2)CC(C)C)cc1 ZINC001195276751 1122859953 /nfs/dbraw/zinc/85/99/53/1122859953.db2.gz AQQHNYBQEOJOKQ-QGZVFWFLSA-N 1 2 291.435 3.999 20 0 CHADLO Cc1ccc([N@H+](CC[C@@H]2COC(C)(C)O2)CC(C)C)cc1 ZINC001195276751 1122859960 /nfs/dbraw/zinc/85/99/60/1122859960.db2.gz AQQHNYBQEOJOKQ-QGZVFWFLSA-N 1 2 291.435 3.999 20 0 CHADLO CCc1ccc(C[N@@H+]2CC(C)(C)[C@H]2c2cccnc2)nc1 ZINC000664820290 1122863359 /nfs/dbraw/zinc/86/33/59/1122863359.db2.gz OVDXFAXJZXPIII-QGZVFWFLSA-N 1 2 281.403 3.622 20 0 CHADLO CCc1ccc(C[N@H+]2CC(C)(C)[C@H]2c2cccnc2)nc1 ZINC000664820290 1122863361 /nfs/dbraw/zinc/86/33/61/1122863361.db2.gz OVDXFAXJZXPIII-QGZVFWFLSA-N 1 2 281.403 3.622 20 0 CHADLO CC[C@H](CSC)[N@H+](C)Cc1csc(C(F)(F)F)n1 ZINC000894494558 1122864141 /nfs/dbraw/zinc/86/41/41/1122864141.db2.gz GMJCEFVAJIZYFH-SECBINFHSA-N 1 2 298.399 3.735 20 0 CHADLO CC[C@H](CSC)[N@@H+](C)Cc1csc(C(F)(F)F)n1 ZINC000894494558 1122864146 /nfs/dbraw/zinc/86/41/46/1122864146.db2.gz GMJCEFVAJIZYFH-SECBINFHSA-N 1 2 298.399 3.735 20 0 CHADLO Clc1cc(Cl)cc(C[NH+]2CC3(CCC3)C2)c1 ZINC001203808611 1122868978 /nfs/dbraw/zinc/86/89/78/1122868978.db2.gz MXSPZDCVFNNYMI-UHFFFAOYSA-N 1 2 256.176 3.979 20 0 CHADLO Cc1cc(N[C@H]2C[C@H](O)c3ccccc32)nc(C2CCC2)[nH+]1 ZINC000894550153 1122872170 /nfs/dbraw/zinc/87/21/70/1122872170.db2.gz VRBLHKTVYILDLL-HOTGVXAUSA-N 1 2 295.386 3.643 20 0 CHADLO C[C@@H]1CC[C@H](C)C[N@H+]1Cc1noc(C2CCCCC2)n1 ZINC000154178325 1129084289 /nfs/dbraw/zinc/08/42/89/1129084289.db2.gz FSDBTQXHXLBZPE-QWHCGFSZSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]1CC[C@H](C)C[N@@H+]1Cc1noc(C2CCCCC2)n1 ZINC000154178325 1129084291 /nfs/dbraw/zinc/08/42/91/1129084291.db2.gz FSDBTQXHXLBZPE-QWHCGFSZSA-N 1 2 277.412 3.738 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2C[C@H](C)C[C@H]2c2ccccc2)c1C ZINC000894757543 1122902039 /nfs/dbraw/zinc/90/20/39/1122902039.db2.gz WRXRJKXOCXPKQY-PXAZEXFGSA-N 1 2 269.392 3.610 20 0 CHADLO Cc1[nH]nc(C[N@H+]2C[C@H](C)C[C@H]2c2ccccc2)c1C ZINC000894757543 1122902044 /nfs/dbraw/zinc/90/20/44/1122902044.db2.gz WRXRJKXOCXPKQY-PXAZEXFGSA-N 1 2 269.392 3.610 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2)c1C ZINC000894757563 1122902235 /nfs/dbraw/zinc/90/22/35/1122902235.db2.gz WRXRJKXOCXPKQY-YVEFUNNKSA-N 1 2 269.392 3.610 20 0 CHADLO Cc1[nH]nc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2)c1C ZINC000894757563 1122902240 /nfs/dbraw/zinc/90/22/40/1122902240.db2.gz WRXRJKXOCXPKQY-YVEFUNNKSA-N 1 2 269.392 3.610 20 0 CHADLO CC[C@@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1ccc(F)cc1 ZINC000506864586 1122908227 /nfs/dbraw/zinc/90/82/27/1122908227.db2.gz JRMHWCMHAPOFEN-OAHLLOKOSA-N 1 2 297.333 3.606 20 0 CHADLO CC(C)c1cc(NCCCCCCO)nc(C(C)C)[nH+]1 ZINC000775698800 1122912235 /nfs/dbraw/zinc/91/22/35/1122912235.db2.gz ZYTYPOZBDJCHLA-UHFFFAOYSA-N 1 2 279.428 3.688 20 0 CHADLO Cc1nc(CC(C)C)c(C(=O)Nc2cc[nH+]c(C)c2)s1 ZINC000154366683 1129086758 /nfs/dbraw/zinc/08/67/58/1129086758.db2.gz KSWUBOCEMKRZOU-UHFFFAOYSA-N 1 2 289.404 3.606 20 0 CHADLO C[N@H+](Cc1c(F)ccc(O)c1F)[C@H]1CCc2ccccc21 ZINC000507093310 1122923553 /nfs/dbraw/zinc/92/35/53/1122923553.db2.gz KGNWIHGDZSUMQN-HNNXBMFYSA-N 1 2 289.325 3.790 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(O)c1F)[C@H]1CCc2ccccc21 ZINC000507093310 1122923557 /nfs/dbraw/zinc/92/35/57/1122923557.db2.gz KGNWIHGDZSUMQN-HNNXBMFYSA-N 1 2 289.325 3.790 20 0 CHADLO FC(F)(F)[C@H]1CC=C(Nc2cccn3cc[nH+]c23)CC1 ZINC001196766550 1122931012 /nfs/dbraw/zinc/93/10/12/1122931012.db2.gz CZXDEHLFLDNKHI-JTQLQIEISA-N 1 2 281.281 3.993 20 0 CHADLO Cc1nccc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)n1 ZINC000507192963 1122938169 /nfs/dbraw/zinc/93/81/69/1122938169.db2.gz UBJQAACDFVFRQL-NSHDSACASA-N 1 2 275.783 3.631 20 0 CHADLO Cc1nccc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)n1 ZINC000507192963 1122938173 /nfs/dbraw/zinc/93/81/73/1122938173.db2.gz UBJQAACDFVFRQL-NSHDSACASA-N 1 2 275.783 3.631 20 0 CHADLO Cc1ccc(O)c(C[N@@H+](C)[C@H](C)c2c(F)cccc2F)n1 ZINC000507252199 1122943250 /nfs/dbraw/zinc/94/32/50/1122943250.db2.gz MEWBKBBFVBDGCD-LLVKDONJSA-N 1 2 292.329 3.567 20 0 CHADLO Cc1ccc(O)c(C[N@H+](C)[C@H](C)c2c(F)cccc2F)n1 ZINC000507252199 1122943254 /nfs/dbraw/zinc/94/32/54/1122943254.db2.gz MEWBKBBFVBDGCD-LLVKDONJSA-N 1 2 292.329 3.567 20 0 CHADLO FC[C@H]([NH2+]Cc1ccnc(Cl)c1)c1ccc(F)cc1 ZINC001197135283 1122945690 /nfs/dbraw/zinc/94/56/90/1122945690.db2.gz JJZTVHYLLMLFCE-ZDUSSCGKSA-N 1 2 282.721 3.675 20 0 CHADLO Fc1ccc([C@H]2C[N@H+](C/C=C\c3ccccc3)CCO2)cc1 ZINC000507356598 1122950086 /nfs/dbraw/zinc/95/00/86/1122950086.db2.gz HTIGBKCDUSQHRL-BQHGXPCASA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc([C@H]2C[N@@H+](C/C=C\c3ccccc3)CCO2)cc1 ZINC000507356598 1122950088 /nfs/dbraw/zinc/95/00/88/1122950088.db2.gz HTIGBKCDUSQHRL-BQHGXPCASA-N 1 2 297.373 3.912 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)cnc1OC(C)C ZINC001203311061 1122957168 /nfs/dbraw/zinc/95/71/68/1122957168.db2.gz HEWGXKIUJXNFJM-UHFFFAOYSA-N 1 2 293.754 3.669 20 0 CHADLO Cc1cc([C@@H]2CCC[N@@H+]2Cc2cccc(O)c2Cl)on1 ZINC000334240328 1122972563 /nfs/dbraw/zinc/97/25/63/1122972563.db2.gz LWHFIAQWDSXSQW-LBPRGKRZSA-N 1 2 292.766 3.679 20 0 CHADLO Cc1cc([C@@H]2CCC[N@H+]2Cc2cccc(O)c2Cl)on1 ZINC000334240328 1122972567 /nfs/dbraw/zinc/97/25/67/1122972567.db2.gz LWHFIAQWDSXSQW-LBPRGKRZSA-N 1 2 292.766 3.679 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@H](C)C(C)C)c(C)[nH+]1 ZINC000334230258 1122973252 /nfs/dbraw/zinc/97/32/52/1122973252.db2.gz JMDZLCABXJGVAY-CYBMUJFWSA-N 1 2 263.385 3.515 20 0 CHADLO CCOC(=O)[C@H](CC)[NH2+][C@@H]1CCc2cccc3cccc1c32 ZINC001197989804 1122978338 /nfs/dbraw/zinc/97/83/38/1122978338.db2.gz NHLPWTMWWJNXAG-DLBZAZTESA-N 1 2 297.398 3.758 20 0 CHADLO CCCOc1cccc2c(NC[C@H]3CCCO3)cc[nH+]c12 ZINC000350244917 1122983901 /nfs/dbraw/zinc/98/39/01/1122983901.db2.gz HITJJKJEGPFPNZ-CYBMUJFWSA-N 1 2 286.375 3.615 20 0 CHADLO C[C@@H](CC(=O)N(c1ccccc1)[C@@H](C)C1CC1)n1cc[nH+]c1 ZINC000633844526 1129092073 /nfs/dbraw/zinc/09/20/73/1129092073.db2.gz ABBXZAVLYLJLIV-GJZGRUSLSA-N 1 2 297.402 3.666 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+]2CCc3c(C)cccc3C2)n1 ZINC000729480252 1123006870 /nfs/dbraw/zinc/00/68/70/1123006870.db2.gz QVMWOXRMYXEASE-AWEZNQCLSA-N 1 2 299.418 3.840 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+]2CCc3c(C)cccc3C2)n1 ZINC000729480252 1123006876 /nfs/dbraw/zinc/00/68/76/1123006876.db2.gz QVMWOXRMYXEASE-AWEZNQCLSA-N 1 2 299.418 3.840 20 0 CHADLO CCc1ccc(-c2noc([C@H](C)[NH2+]C[C@H](F)CC)n2)cc1 ZINC000440514383 1123009542 /nfs/dbraw/zinc/00/95/42/1123009542.db2.gz BWNBRJNEZRMMFW-SMDDNHRTSA-N 1 2 291.370 3.698 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@@]1(F)c1ccccc1)c1cn2ccccc2n1 ZINC000519659196 1123011886 /nfs/dbraw/zinc/01/18/86/1123011886.db2.gz WPNNSLWFCOYGQT-FDQGKXFDSA-N 1 2 295.361 3.622 20 0 CHADLO COc1cccc2c(N3CCCC[C@@H]3C)cc[nH+]c12 ZINC000440653086 1123024901 /nfs/dbraw/zinc/02/49/01/1123024901.db2.gz ZWICPMYSKGQFIY-LBPRGKRZSA-N 1 2 256.349 3.622 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@H+](Cc2ncc(C(C)(C)C)o2)C1 ZINC000083248130 1123027469 /nfs/dbraw/zinc/02/74/69/1123027469.db2.gz BUGNYIRRADAZDI-CQSZACIVSA-N 1 2 294.439 3.609 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@@H+](Cc2ncc(C(C)(C)C)o2)C1 ZINC000083248130 1123027475 /nfs/dbraw/zinc/02/74/75/1123027475.db2.gz BUGNYIRRADAZDI-CQSZACIVSA-N 1 2 294.439 3.609 20 0 CHADLO CC(C)(C)c1ccc2c(c1)CCN2CCCn1cc[nH+]c1 ZINC000775985204 1123032294 /nfs/dbraw/zinc/03/22/94/1123032294.db2.gz LNDWHETYJJYZCS-UHFFFAOYSA-N 1 2 283.419 3.633 20 0 CHADLO CC[C@@H](F)C[N@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000440719299 1123033785 /nfs/dbraw/zinc/03/37/85/1123033785.db2.gz XHNHTKRHPHIEOH-LLVKDONJSA-N 1 2 257.299 3.677 20 0 CHADLO CC[C@@H](F)C[N@@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000440719299 1123033787 /nfs/dbraw/zinc/03/37/87/1123033787.db2.gz XHNHTKRHPHIEOH-LLVKDONJSA-N 1 2 257.299 3.677 20 0 CHADLO CC[C@H](F)C[N@@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000440789330 1123040505 /nfs/dbraw/zinc/04/05/05/1123040505.db2.gz AIXFFYQGECYVGH-ZJUUUORDSA-N 1 2 257.299 3.632 20 0 CHADLO CC[C@H](F)C[N@H+]1CCc2c(F)cc(F)cc2[C@H]1C ZINC000440789330 1123040508 /nfs/dbraw/zinc/04/05/08/1123040508.db2.gz AIXFFYQGECYVGH-ZJUUUORDSA-N 1 2 257.299 3.632 20 0 CHADLO Cc1cc(F)c(C[NH2+]Cc2ccc(F)cc2F)c(F)c1 ZINC001199851889 1123041967 /nfs/dbraw/zinc/04/19/67/1123041967.db2.gz XTVVLYFAELZWGS-UHFFFAOYSA-N 1 2 283.268 3.841 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(C)o1)c1nc(C)cs1 ZINC000105503997 1123054395 /nfs/dbraw/zinc/05/43/95/1123054395.db2.gz FKCWRYCEEDCWCC-GFCCVEGCSA-N 1 2 250.367 3.594 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncc(-c3cccs3)o2)CCC1(F)F ZINC000334491182 1123057747 /nfs/dbraw/zinc/05/77/47/1123057747.db2.gz YEMWUZRVZGQRCM-JTQLQIEISA-N 1 2 298.358 3.880 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncc(-c3cccs3)o2)CCC1(F)F ZINC000334491182 1123057751 /nfs/dbraw/zinc/05/77/51/1123057751.db2.gz YEMWUZRVZGQRCM-JTQLQIEISA-N 1 2 298.358 3.880 20 0 CHADLO CC(C)(C)CCNc1ccc(Cn2cc[nH+]c2)cc1 ZINC001161675842 1123077607 /nfs/dbraw/zinc/07/76/07/1123077607.db2.gz BBCPKKQYYXFVTP-UHFFFAOYSA-N 1 2 257.381 3.780 20 0 CHADLO C[C@H]1C[C@H](c2cccc(F)c2)[N@H+](CC(=O)OC(C)(C)C)C1 ZINC000441324286 1123078378 /nfs/dbraw/zinc/07/83/78/1123078378.db2.gz NEWLXANXIZTISO-SWLSCSKDSA-N 1 2 293.382 3.550 20 0 CHADLO C[C@H]1C[C@H](c2cccc(F)c2)[N@@H+](CC(=O)OC(C)(C)C)C1 ZINC000441324286 1123078380 /nfs/dbraw/zinc/07/83/80/1123078380.db2.gz NEWLXANXIZTISO-SWLSCSKDSA-N 1 2 293.382 3.550 20 0 CHADLO Nc1ccc(Nc2cccc3ncc(Cl)cc32)c[nH+]1 ZINC001201291454 1123082853 /nfs/dbraw/zinc/08/28/53/1123082853.db2.gz IGIHQYMDDPNSTF-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2cccnc2Oc2ccccc2F)c[nH+]1 ZINC001201276356 1123085186 /nfs/dbraw/zinc/08/51/86/1123085186.db2.gz VEOZFOCSOFINTQ-UHFFFAOYSA-N 1 2 296.305 3.734 20 0 CHADLO Nc1ccc(Nc2cnc3cc(Cl)ccc3c2)c[nH+]1 ZINC001201278742 1123085445 /nfs/dbraw/zinc/08/54/45/1123085445.db2.gz MJZRRSBGZJSXII-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2cccnc2Oc2ccc(F)cc2)c[nH+]1 ZINC001201276274 1123085670 /nfs/dbraw/zinc/08/56/70/1123085670.db2.gz RRVYKJGFGPFHSN-UHFFFAOYSA-N 1 2 296.305 3.734 20 0 CHADLO CCc1cc(N[C@@H](C)c2cnc(C)s2)nc(CC)[nH+]1 ZINC000894924619 1123089731 /nfs/dbraw/zinc/08/97/31/1123089731.db2.gz WHFPQHSYGYALQV-VIFPVBQESA-N 1 2 276.409 3.539 20 0 CHADLO Cc1cc[nH+]c2cc(NC3=CCSCC3)ccc12 ZINC001201342017 1123089881 /nfs/dbraw/zinc/08/98/81/1123089881.db2.gz GALBECSZTCZYDJ-UHFFFAOYSA-N 1 2 256.374 3.976 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000894945064 1123090709 /nfs/dbraw/zinc/09/07/09/1123090709.db2.gz YUSGIVGSMHFTMJ-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ncoc1C[N@H+]1CCC[C@H]1c1ccc(F)cc1F ZINC000894945064 1123090714 /nfs/dbraw/zinc/09/07/14/1123090714.db2.gz YUSGIVGSMHFTMJ-AWEZNQCLSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1ccccc1CC1C[NH+](Cc2nc(C(C)C)c[nH]2)C1 ZINC000894970844 1123095218 /nfs/dbraw/zinc/09/52/18/1123095218.db2.gz NJSBNULRQWULJF-UHFFFAOYSA-N 1 2 283.419 3.516 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cn1)c1cccnc1Cl ZINC000776170299 1123100749 /nfs/dbraw/zinc/10/07/49/1123100749.db2.gz JEWPZIRDRFHKLY-SECBINFHSA-N 1 2 282.174 3.634 20 0 CHADLO Clc1ccc(C[NH2+][C@H]2COc3ccc(Cl)cc32)nc1 ZINC000776169450 1123100943 /nfs/dbraw/zinc/10/09/43/1123100943.db2.gz GTTIHNDKVSDUPO-ZDUSSCGKSA-N 1 2 295.169 3.612 20 0 CHADLO CCc1c(NCc2cc(C)[nH+]c(C)c2)cnn1CC(C)C ZINC001201465027 1123103331 /nfs/dbraw/zinc/10/33/31/1123103331.db2.gz IZKJENGBACEUQH-UHFFFAOYSA-N 1 2 286.423 3.725 20 0 CHADLO COc1nc(C(C)C)ccc1Nc1cccn2cc(C)[nH+]c12 ZINC001201437801 1123104029 /nfs/dbraw/zinc/10/40/29/1123104029.db2.gz LRNWHTJCJCDCEW-UHFFFAOYSA-N 1 2 296.374 3.913 20 0 CHADLO Cc1ccc(CNc2cc(C)[nH+]c(C(C)C)n2)s1 ZINC000048337208 1123105121 /nfs/dbraw/zinc/10/51/21/1123105121.db2.gz CLNYCWHEJFJAIX-UHFFFAOYSA-N 1 2 261.394 3.890 20 0 CHADLO O=C(CCCNc1cccc[nH+]1)Nc1ccc(Cl)cc1 ZINC000048566553 1123107145 /nfs/dbraw/zinc/10/71/45/1123107145.db2.gz PSNUGQZOOOVRAH-UHFFFAOYSA-N 1 2 289.766 3.566 20 0 CHADLO Cc1nn(C)c(C)c1Nc1ccc([NH2+]C(C)C)cc1 ZINC001201486958 1123107778 /nfs/dbraw/zinc/10/77/78/1123107778.db2.gz CXCRYXSKNHCDIL-UHFFFAOYSA-N 1 2 258.369 3.601 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2cc(C3CC3)nn2C)cc1 ZINC001201487354 1123107909 /nfs/dbraw/zinc/10/79/09/1123107909.db2.gz GCNMEXWEBPLSAX-UHFFFAOYSA-N 1 2 270.380 3.861 20 0 CHADLO CC(C)Oc1cncc(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001201540883 1123116676 /nfs/dbraw/zinc/11/66/76/1123116676.db2.gz GVOVZNBQOYPDCV-UHFFFAOYSA-N 1 2 294.358 3.798 20 0 CHADLO CCOc1cc(Nc2cncc(OC(C)C)c2)cc(C)[nH+]1 ZINC001201542017 1123117193 /nfs/dbraw/zinc/11/71/93/1123117193.db2.gz HXCIHHMNLPPPDV-UHFFFAOYSA-N 1 2 287.363 3.715 20 0 CHADLO CSc1ccncc1NCc1cc(C)[nH+]c2c1CCCC2 ZINC001201587775 1123128145 /nfs/dbraw/zinc/12/81/45/1123128145.db2.gz SRSNAVQVDVMGLD-UHFFFAOYSA-N 1 2 299.443 3.998 20 0 CHADLO CSCCC[N@@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001201697629 1123137894 /nfs/dbraw/zinc/13/78/94/1123137894.db2.gz LDHGOYNEBUDFTA-AWEZNQCLSA-N 1 2 285.403 3.864 20 0 CHADLO CSCCC[N@H+]1CC[C@@H](c2ccccc2)C(F)(F)C1 ZINC001201697629 1123137896 /nfs/dbraw/zinc/13/78/96/1123137896.db2.gz LDHGOYNEBUDFTA-AWEZNQCLSA-N 1 2 285.403 3.864 20 0 CHADLO Cc1ccc2c(Nc3cnn(C(F)F)c3)cccc2[nH+]1 ZINC001201741336 1123143392 /nfs/dbraw/zinc/14/33/92/1123143392.db2.gz FASABZHIVARAPX-UHFFFAOYSA-N 1 2 274.274 3.878 20 0 CHADLO Cc1c[nH+]c(Nc2cc3ccccc3[nH]c2=O)c(C)c1 ZINC001201753303 1123146398 /nfs/dbraw/zinc/14/63/98/1123146398.db2.gz QHKRDIJWEREONA-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO CC[C@H](C)Nc1ccc(C2(C(F)(F)F)CC2)c[nH+]1 ZINC001168000200 1123146772 /nfs/dbraw/zinc/14/67/72/1123146772.db2.gz RPLYBRUCCSGZHC-VIFPVBQESA-N 1 2 258.287 3.886 20 0 CHADLO CCCc1noc(C[N@H+](CC)Cc2c[nH]c3ccccc23)n1 ZINC000190450553 1123149501 /nfs/dbraw/zinc/14/95/01/1123149501.db2.gz MFMRUNRQGJTBES-UHFFFAOYSA-N 1 2 298.390 3.526 20 0 CHADLO CCCc1noc(C[N@@H+](CC)Cc2c[nH]c3ccccc23)n1 ZINC000190450553 1123149504 /nfs/dbraw/zinc/14/95/04/1123149504.db2.gz MFMRUNRQGJTBES-UHFFFAOYSA-N 1 2 298.390 3.526 20 0 CHADLO C=C(Cl)C[N@@H+](CC)Cc1ccc(Cl)s1 ZINC000057598934 1123155538 /nfs/dbraw/zinc/15/55/38/1123155538.db2.gz JLXOLWDECNPZSA-UHFFFAOYSA-N 1 2 250.194 3.976 20 0 CHADLO C=C(Cl)C[N@H+](CC)Cc1ccc(Cl)s1 ZINC000057598934 1123155540 /nfs/dbraw/zinc/15/55/40/1123155540.db2.gz JLXOLWDECNPZSA-UHFFFAOYSA-N 1 2 250.194 3.976 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CCC(=O)[C@@H](C)C1 ZINC000429673976 1123161689 /nfs/dbraw/zinc/16/16/89/1123161689.db2.gz SYNSAIIXWUDQLK-SMDDNHRTSA-N 1 2 265.784 3.702 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccccc2)[C@@H](C)C(C)(C)C)no1 ZINC000346033579 1123183970 /nfs/dbraw/zinc/18/39/70/1123183970.db2.gz QXCVCKYEZHJBCQ-ZDUSSCGKSA-N 1 2 287.407 3.815 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccccc2)[C@@H](C)C(C)(C)C)no1 ZINC000346033579 1123183971 /nfs/dbraw/zinc/18/39/71/1123183971.db2.gz QXCVCKYEZHJBCQ-ZDUSSCGKSA-N 1 2 287.407 3.815 20 0 CHADLO Cc1cccc(NC(=O)Nc2cc[nH+]c(N3CCCC3)c2)c1 ZINC001202306240 1123193943 /nfs/dbraw/zinc/19/39/43/1123193943.db2.gz CDZHWRVZKHXIOU-UHFFFAOYSA-N 1 2 296.374 3.634 20 0 CHADLO C[C@@H](c1cccs1)[N@H+](C)Cc1cn(-c2ccccc2)nn1 ZINC000338920257 1123223229 /nfs/dbraw/zinc/22/32/29/1123223229.db2.gz ZIUSDGONHUQMLJ-ZDUSSCGKSA-N 1 2 298.415 3.522 20 0 CHADLO C[C@@H](c1cccs1)[N@@H+](C)Cc1cn(-c2ccccc2)nn1 ZINC000338920257 1123223232 /nfs/dbraw/zinc/22/32/32/1123223232.db2.gz ZIUSDGONHUQMLJ-ZDUSSCGKSA-N 1 2 298.415 3.522 20 0 CHADLO OCC1([C@@H]([NH2+]CC(F)F)c2ccccc2)CCCCC1 ZINC000665207075 1123229660 /nfs/dbraw/zinc/22/96/60/1123229660.db2.gz MDYOGFYHULDZEK-HNNXBMFYSA-N 1 2 283.362 3.525 20 0 CHADLO CCC(C)(C)c1ccc(C[NH2+][C@@H](C)c2nc(C)no2)cc1 ZINC000507823819 1123235036 /nfs/dbraw/zinc/23/50/36/1123235036.db2.gz HCPBNCAPBJQRIS-LBPRGKRZSA-N 1 2 287.407 3.916 20 0 CHADLO c1cn(-c2ccc(NCc3csc(C4CC4)n3)cc2)c[nH+]1 ZINC000155947657 1129107902 /nfs/dbraw/zinc/10/79/02/1129107902.db2.gz WHGRLXGVYIKKEO-UHFFFAOYSA-N 1 2 296.399 3.818 20 0 CHADLO Cc1[nH]c(CNc2ccc(N(C)C(C)C)c(F)c2)[nH+]c1C ZINC000850055710 1123239601 /nfs/dbraw/zinc/23/96/01/1123239601.db2.gz ILGPYOWYQWMBME-UHFFFAOYSA-N 1 2 290.386 3.622 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccc(F)cc2F)C2CC2)nc1 ZINC000156223549 1129111071 /nfs/dbraw/zinc/11/10/71/1129111071.db2.gz FZHNJQYPDJUJBX-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccc(F)cc2F)C2CC2)nc1 ZINC000156223549 1129111073 /nfs/dbraw/zinc/11/10/73/1129111073.db2.gz FZHNJQYPDJUJBX-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO CC[C@@H](C)Oc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1 ZINC000850073796 1123240639 /nfs/dbraw/zinc/24/06/39/1123240639.db2.gz POEXYRDSERYDKH-LLVKDONJSA-N 1 2 273.380 3.816 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1cnc(C2CC2)nc1 ZINC000334566667 1123259794 /nfs/dbraw/zinc/25/97/94/1123259794.db2.gz UGMRUCQMXRRHRF-NSHDSACASA-N 1 2 285.416 3.535 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1cnc(C2CC2)nc1 ZINC000334566667 1123259799 /nfs/dbraw/zinc/25/97/99/1123259799.db2.gz UGMRUCQMXRRHRF-NSHDSACASA-N 1 2 285.416 3.535 20 0 CHADLO COCc1ccc(C[N@@H+]2Cc3ccccc3[C@H]2C)o1 ZINC000353847175 1123263854 /nfs/dbraw/zinc/26/38/54/1123263854.db2.gz RITJMLDOYASNPE-GFCCVEGCSA-N 1 2 257.333 3.503 20 0 CHADLO COCc1ccc(C[N@H+]2Cc3ccccc3[C@H]2C)o1 ZINC000353847175 1123263858 /nfs/dbraw/zinc/26/38/58/1123263858.db2.gz RITJMLDOYASNPE-GFCCVEGCSA-N 1 2 257.333 3.503 20 0 CHADLO c1cc(N2CCSCC2)ccc1[NH2+][C@@H]1CCCC12CC2 ZINC000850458697 1123270240 /nfs/dbraw/zinc/27/02/40/1123270240.db2.gz VVFXRDYIWIMEQR-MRXNPFEDSA-N 1 2 288.460 3.984 20 0 CHADLO C[C@H]1COCCN1c1ccc([NH2+][C@@H]2CCCC23CC3)cc1 ZINC000850458603 1123270343 /nfs/dbraw/zinc/27/03/43/1123270343.db2.gz VROJDURWLDOXBT-WMLDXEAASA-N 1 2 286.419 3.656 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+][C@H]1C=CCC1 ZINC000850511099 1123278194 /nfs/dbraw/zinc/27/81/94/1123278194.db2.gz ZIMIRQPACNOSDB-KBPBESRZSA-N 1 2 251.320 3.561 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H]3CS[C@@H](C)C3)cc2)c1C ZINC000639199212 1123289348 /nfs/dbraw/zinc/28/93/48/1123289348.db2.gz VYQUTXHGVKLDKB-NHYWBVRUSA-N 1 2 287.432 3.795 20 0 CHADLO Cc1nc([C@@H](C)Nc2ccc3c(c2)CC[NH2+]3)cs1 ZINC000335056000 1123290133 /nfs/dbraw/zinc/29/01/33/1123290133.db2.gz UQJBUKOTATWQOL-SECBINFHSA-N 1 2 259.378 3.593 20 0 CHADLO CC(C)(C)C(F)(F)C[NH2+][C@@H]1CCc2nc(Cl)ccc21 ZINC000850572814 1123295301 /nfs/dbraw/zinc/29/53/01/1123295301.db2.gz PKQGAELUDIKHMP-SNVBAGLBSA-N 1 2 288.769 3.993 20 0 CHADLO Cn1ccnc1[C@H](Nc1ccc([NH+]2CCCC2)cc1)C1CC1 ZINC000776482173 1123297009 /nfs/dbraw/zinc/29/70/09/1123297009.db2.gz HZZPUDBTSJKMLM-QGZVFWFLSA-N 1 2 296.418 3.584 20 0 CHADLO CC1=CC[C@@](C)(C(=O)OCc2cccc3[nH+]ccn32)CC1 ZINC000850899041 1123308377 /nfs/dbraw/zinc/30/83/77/1123308377.db2.gz GRSSFOFUUDUVPR-QGZVFWFLSA-N 1 2 284.359 3.514 20 0 CHADLO Clc1oc2ccccc2c1C[N@@H+]1CCOCC12CCC2 ZINC000353878516 1123309092 /nfs/dbraw/zinc/30/90/92/1123309092.db2.gz DRQPDOZRWMODDJ-UHFFFAOYSA-N 1 2 291.778 3.841 20 0 CHADLO Clc1oc2ccccc2c1C[N@H+]1CCOCC12CCC2 ZINC000353878516 1123309095 /nfs/dbraw/zinc/30/90/95/1123309095.db2.gz DRQPDOZRWMODDJ-UHFFFAOYSA-N 1 2 291.778 3.841 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@H](C)C[C@@H](C)C2)c(C)[nH+]1 ZINC000335154531 1123310288 /nfs/dbraw/zinc/31/02/88/1123310288.db2.gz DRNBYXTZJOMMQA-GHMZBOCLSA-N 1 2 275.396 3.517 20 0 CHADLO CC[C@@H](CC(=O)N1CCC(n2cc[nH+]c2)CC1)C(C)(C)C ZINC000630864694 1123315803 /nfs/dbraw/zinc/31/58/03/1123315803.db2.gz AWPAEHIRHDVGTQ-AWEZNQCLSA-N 1 2 291.439 3.509 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC(C)(C)C[C@H]2C)c(C)[nH+]1 ZINC000335211022 1123322465 /nfs/dbraw/zinc/32/24/65/1123322465.db2.gz KUFXDLUDHFABHV-GFCCVEGCSA-N 1 2 275.396 3.659 20 0 CHADLO CC(C)c1ncc(C[N@H+](C)Cc2ccc([C@@H]3C[C@@H]3C)o2)cn1 ZINC000443070949 1123354310 /nfs/dbraw/zinc/35/43/10/1123354310.db2.gz PNXDKQYXNGSEMA-XJKSGUPXSA-N 1 2 299.418 3.948 20 0 CHADLO CC(C)c1ncc(C[N@@H+](C)Cc2ccc([C@@H]3C[C@@H]3C)o2)cn1 ZINC000443070949 1123354313 /nfs/dbraw/zinc/35/43/13/1123354313.db2.gz PNXDKQYXNGSEMA-XJKSGUPXSA-N 1 2 299.418 3.948 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)nn1C ZINC000487423894 1123356607 /nfs/dbraw/zinc/35/66/07/1123356607.db2.gz CCCQOFDQANDUSB-CYBMUJFWSA-N 1 2 283.375 3.668 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)nn1C ZINC000487423894 1123356602 /nfs/dbraw/zinc/35/66/02/1123356602.db2.gz CCCQOFDQANDUSB-CYBMUJFWSA-N 1 2 283.375 3.668 20 0 CHADLO CCC[C@@H]1CCCC[C@H]1OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000851453153 1123359588 /nfs/dbraw/zinc/35/95/88/1123359588.db2.gz NSZSQUCYUQOHAV-RBSFLKMASA-N 1 2 278.396 3.736 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC[C@@H]2c2cccnc2)o1 ZINC000335329400 1123359669 /nfs/dbraw/zinc/35/96/69/1123359669.db2.gz SEWUDGOXNZRNDF-CYBMUJFWSA-N 1 2 262.740 3.665 20 0 CHADLO Clc1ccc(C[N@H+]2CCC[C@@H]2c2cccnc2)o1 ZINC000335329400 1123359672 /nfs/dbraw/zinc/35/96/72/1123359672.db2.gz SEWUDGOXNZRNDF-CYBMUJFWSA-N 1 2 262.740 3.665 20 0 CHADLO CCO[C@H]1CCN(c2[nH+]ccc3cc(F)ccc32)C[C@@H]1C ZINC000335348967 1123362082 /nfs/dbraw/zinc/36/20/82/1123362082.db2.gz CVPKSXBGKMSVDH-LRDDRELGSA-N 1 2 288.366 3.625 20 0 CHADLO Cc1cccc(C)c1NC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000034306337 1123373512 /nfs/dbraw/zinc/37/35/12/1123373512.db2.gz IPPZONGDFMBXHV-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CC[N@@H+](CC=C(Cl)Cl)CCC(F)(F)F ZINC000851744770 1123378631 /nfs/dbraw/zinc/37/86/31/1123378631.db2.gz ODUNFPAJVHFNNK-UHFFFAOYSA-N 1 2 250.091 3.580 20 0 CHADLO CC[N@H+](CC=C(Cl)Cl)CCC(F)(F)F ZINC000851744770 1123378634 /nfs/dbraw/zinc/37/86/34/1123378634.db2.gz ODUNFPAJVHFNNK-UHFFFAOYSA-N 1 2 250.091 3.580 20 0 CHADLO Cc1ccoc1CN(C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000335590565 1123380931 /nfs/dbraw/zinc/38/09/31/1123380931.db2.gz RIWWVMGXGJCWPA-UHFFFAOYSA-N 1 2 287.363 3.572 20 0 CHADLO COc1ccsc1[C@@H](C)[NH2+][C@H](C)c1c(F)cncc1F ZINC000353931059 1123385148 /nfs/dbraw/zinc/38/51/48/1123385148.db2.gz HSPVCSYHWNWVJH-RKDXNWHRSA-N 1 2 298.358 3.842 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cccc(Cl)n1)CC2 ZINC000852404755 1123404674 /nfs/dbraw/zinc/40/46/74/1123404674.db2.gz UMABQVHAPVBYBC-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cccc(Cl)n1)CC2 ZINC000852404755 1123404676 /nfs/dbraw/zinc/40/46/76/1123404676.db2.gz UMABQVHAPVBYBC-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC(C)(C)SCC[N@@H+]1CCOC[C@H]1c1cccc(F)c1 ZINC000852550229 1123407853 /nfs/dbraw/zinc/40/78/53/1123407853.db2.gz WXCSEOHCRBYYIM-HNNXBMFYSA-N 1 2 297.439 3.731 20 0 CHADLO CC(C)(C)SCC[N@H+]1CCOC[C@H]1c1cccc(F)c1 ZINC000852550229 1123407856 /nfs/dbraw/zinc/40/78/56/1123407856.db2.gz WXCSEOHCRBYYIM-HNNXBMFYSA-N 1 2 297.439 3.731 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2ccc(F)cc2)C(C)(C)C)o1 ZINC000173243176 1123416932 /nfs/dbraw/zinc/41/69/32/1123416932.db2.gz KPJOTZOHBHATOO-OAHLLOKOSA-N 1 2 276.355 3.999 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@@H](C)c2nccs2)cnn1C(C)C ZINC000191273533 1123417384 /nfs/dbraw/zinc/41/73/84/1123417384.db2.gz NPRGNHWMXCERMD-MNOVXSKESA-N 1 2 278.425 3.641 20 0 CHADLO CC[C@@H](C)C[N@H+](C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000111165432 1123428033 /nfs/dbraw/zinc/42/80/33/1123428033.db2.gz SYGGXVDOEJOING-GFCCVEGCSA-N 1 2 290.436 3.543 20 0 CHADLO CC[C@@H](C)C[N@@H+](C)Cn1nc(-c2ccccc2)[nH]c1=S ZINC000111165432 1123428034 /nfs/dbraw/zinc/42/80/34/1123428034.db2.gz SYGGXVDOEJOING-GFCCVEGCSA-N 1 2 290.436 3.543 20 0 CHADLO CCC(CC)(CC)C[NH2+]c1ccc(OCCC(N)=O)cc1 ZINC000395123821 1123442803 /nfs/dbraw/zinc/44/28/03/1123442803.db2.gz MUCAXODEUOFWQS-UHFFFAOYSA-N 1 2 292.423 3.569 20 0 CHADLO Cc1nc(C[N@@H+]2CCOC3(C2)CCCCCC3)c(C)s1 ZINC000443604397 1123443116 /nfs/dbraw/zinc/44/31/16/1123443116.db2.gz NZAPRYHJMNIASC-UHFFFAOYSA-N 1 2 294.464 3.685 20 0 CHADLO Cc1nc(C[N@H+]2CCOC3(C2)CCCCCC3)c(C)s1 ZINC000443604397 1123443119 /nfs/dbraw/zinc/44/31/19/1123443119.db2.gz NZAPRYHJMNIASC-UHFFFAOYSA-N 1 2 294.464 3.685 20 0 CHADLO Cc1[nH+]c2cc(OCC[C@@H]3CC3(Cl)Cl)ccc2n1C ZINC000853706458 1123448826 /nfs/dbraw/zinc/44/88/26/1123448826.db2.gz KLDOHMCOTPWJPT-SNVBAGLBSA-N 1 2 299.201 3.844 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2ccc(F)c(Cl)c2)s1 ZINC000273128782 1129122775 /nfs/dbraw/zinc/12/27/75/1129122775.db2.gz IJOGECMZPTVINF-MRVPVSSYSA-N 1 2 299.802 3.744 20 0 CHADLO COCc1csc(CNc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000853846256 1123459250 /nfs/dbraw/zinc/45/92/50/1123459250.db2.gz HJXHQLHEAQOBON-UHFFFAOYSA-N 1 2 291.420 3.728 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)[N@@H+]1C/C=C/Cl ZINC000111694868 1123460723 /nfs/dbraw/zinc/46/07/23/1123460723.db2.gz CCXZJPBEZZCEMW-ITYKKCRKSA-N 1 2 265.784 3.589 20 0 CHADLO Cc1cn(C(C)(C)C(=O)Nc2ccc(C(C)(C)C)cc2)c[nH+]1 ZINC000895452871 1123482488 /nfs/dbraw/zinc/48/24/88/1123482488.db2.gz ZMFATIKDJXYSCH-UHFFFAOYSA-N 1 2 299.418 3.863 20 0 CHADLO Cc1ncoc1C[NH2+]Cc1cc(Cl)cc(Cl)c1F ZINC000895460875 1123483463 /nfs/dbraw/zinc/48/34/63/1123483463.db2.gz IHLRXABHTSQYPS-UHFFFAOYSA-N 1 2 289.137 3.719 20 0 CHADLO CCO[P@](C)(=O)CC[C@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC000895645325 1123501468 /nfs/dbraw/zinc/50/14/68/1123501468.db2.gz PDBOUCQNXJEWSG-BUXKBTBVSA-N 1 2 284.340 3.833 20 0 CHADLO Cc1cc(C)c2cc(N[C@@H]3CCOC[C@@H]3C)ccc2[nH+]1 ZINC000895879713 1123524324 /nfs/dbraw/zinc/52/43/24/1123524324.db2.gz UVTBRAGBUAFUOT-BLLLJJGKSA-N 1 2 270.376 3.689 20 0 CHADLO Oc1ccc2c(c1)C[N@H+](Cc1ccccc1N1CCCC1)C2 ZINC001118944162 1131243776 /nfs/dbraw/zinc/24/37/76/1131243776.db2.gz WNIHZRLIHMMYEU-UHFFFAOYSA-N 1 2 294.398 3.508 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1cnc(F)cc1C ZINC000896031885 1123543234 /nfs/dbraw/zinc/54/32/34/1123543234.db2.gz ZBOLRVNWRNGRIM-UHFFFAOYSA-N 1 2 291.395 3.789 20 0 CHADLO CCc1onc(C)c1C[NH2+][C@@H]1c2ccccc2CC[C@@H]1F ZINC000896029193 1123543932 /nfs/dbraw/zinc/54/39/32/1123543932.db2.gz AYLULQLZEDONHA-DOTOQJQBSA-N 1 2 288.366 3.661 20 0 CHADLO CCOc1ccc(CNc2[nH+]cccc2CSCC)o1 ZINC000896029741 1123544208 /nfs/dbraw/zinc/54/42/08/1123544208.db2.gz DKIPRENUMGLTNK-UHFFFAOYSA-N 1 2 292.404 3.939 20 0 CHADLO O=C(Nc1cccc(C(F)F)c1)c1cccc2[nH+]ccn21 ZINC000776872244 1123544961 /nfs/dbraw/zinc/54/49/61/1123544961.db2.gz ARJQMAIJJZQSPY-UHFFFAOYSA-N 1 2 287.269 3.524 20 0 CHADLO Cc1nn(C[N@@H+]2CCC[C@@]3(CC=CCC3)C2)c(=S)s1 ZINC000114445154 1123563552 /nfs/dbraw/zinc/56/35/52/1123563552.db2.gz GSTKPSFDGKACCL-AWEZNQCLSA-N 1 2 295.477 3.762 20 0 CHADLO Cc1nn(C[N@H+]2CCC[C@@]3(CC=CCC3)C2)c(=S)s1 ZINC000114445154 1123563555 /nfs/dbraw/zinc/56/35/55/1123563555.db2.gz GSTKPSFDGKACCL-AWEZNQCLSA-N 1 2 295.477 3.762 20 0 CHADLO C[N@@H+](Cc1cn2c(n1)CCCC2)Cc1cc2ccccc2o1 ZINC000429539250 1123575314 /nfs/dbraw/zinc/57/53/14/1123575314.db2.gz UXLVCOSVCZUHCC-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO C[N@H+](Cc1cn2c(n1)CCCC2)Cc1cc2ccccc2o1 ZINC000429539250 1123575321 /nfs/dbraw/zinc/57/53/21/1123575321.db2.gz UXLVCOSVCZUHCC-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO CN(Cc1cn2c([nH+]1)CCCC2)Cc1cc2ccccc2o1 ZINC000429539250 1123575324 /nfs/dbraw/zinc/57/53/24/1123575324.db2.gz UXLVCOSVCZUHCC-UHFFFAOYSA-N 1 2 295.386 3.598 20 0 CHADLO CC1(c2ccccc2)C[NH+](Cc2cccnc2Cl)C1 ZINC000857057948 1123582340 /nfs/dbraw/zinc/58/23/40/1123582340.db2.gz HNEQPGHDFGYTCX-UHFFFAOYSA-N 1 2 272.779 3.509 20 0 CHADLO Cc1cc(NCC[C@@H]2CCC3(CCC3)O2)nc(C2CC2)[nH+]1 ZINC000896556153 1123597876 /nfs/dbraw/zinc/59/78/76/1123597876.db2.gz SPCYXKKEIYUJJA-AWEZNQCLSA-N 1 2 287.407 3.566 20 0 CHADLO CNc1ccc(Nc2ccc(OC(C)C)cc2)c[nH+]1 ZINC001203455334 1129132453 /nfs/dbraw/zinc/13/24/53/1129132453.db2.gz RMVQNNJOJGPHJE-UHFFFAOYSA-N 1 2 257.337 3.654 20 0 CHADLO CCCc1nc(C)c(C[N@@H+]2CCc3cccc(F)c3C2)o1 ZINC000428306752 1123621504 /nfs/dbraw/zinc/62/15/04/1123621504.db2.gz QPIFLXJUHGRLRP-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO CCCc1nc(C)c(C[N@H+]2CCc3cccc(F)c3C2)o1 ZINC000428306752 1123621506 /nfs/dbraw/zinc/62/15/06/1123621506.db2.gz QPIFLXJUHGRLRP-UHFFFAOYSA-N 1 2 288.366 3.633 20 0 CHADLO Cc1c[nH+]c2c(c1)N(C(=O)CCCC1CCCC1)CCN2 ZINC000857996781 1123627918 /nfs/dbraw/zinc/62/79/18/1123627918.db2.gz FVHMADKZPTZDAX-UHFFFAOYSA-N 1 2 287.407 3.509 20 0 CHADLO CCC(CC)c1cc(C[NH2+]Cc2ccc(C)cn2)on1 ZINC000134365531 1123638683 /nfs/dbraw/zinc/63/86/83/1123638683.db2.gz VQTCLZOHNCTJKM-UHFFFAOYSA-N 1 2 273.380 3.571 20 0 CHADLO c1nc(C[NH2+]Cc2ccc(COc3ccccc3)cc2)co1 ZINC000192285946 1123638763 /nfs/dbraw/zinc/63/87/63/1123638763.db2.gz PZWKAIACNZRTAH-UHFFFAOYSA-N 1 2 294.354 3.543 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+]1CCOC2(CCCC2)C1 ZINC000157947877 1129135353 /nfs/dbraw/zinc/13/53/53/1129135353.db2.gz FBNBQWMQSWVBJK-GFCCVEGCSA-N 1 2 281.346 3.671 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+]1CCOC2(CCCC2)C1 ZINC000157947877 1129135355 /nfs/dbraw/zinc/13/53/55/1129135355.db2.gz FBNBQWMQSWVBJK-GFCCVEGCSA-N 1 2 281.346 3.671 20 0 CHADLO Cc1ccccc1OC[C@H](C)Oc1c(C)cc[nH+]c1C ZINC001227263802 1123660044 /nfs/dbraw/zinc/66/00/44/1123660044.db2.gz IJRHSROVTNHJIX-AWEZNQCLSA-N 1 2 271.360 3.853 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1ccc(SC(F)F)cc1 ZINC000777035445 1123661469 /nfs/dbraw/zinc/66/14/69/1123661469.db2.gz FUVJYHIUUBRYNI-VIFPVBQESA-N 1 2 284.331 3.840 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@H]3CCC[C@H]3C)cc2[nH+]1 ZINC000346093673 1123663763 /nfs/dbraw/zinc/66/37/63/1123663763.db2.gz NSJLATJSVHPZIF-ZYHUDNBSSA-N 1 2 271.364 3.636 20 0 CHADLO CC1(c2noc(-c3ccc(-n4cc[nH+]c4)cc3)n2)CCCC1 ZINC000858853200 1123674250 /nfs/dbraw/zinc/67/42/50/1123674250.db2.gz FXLYAMFFKRPQHH-UHFFFAOYSA-N 1 2 294.358 3.754 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@H+](C)Cc2nc(C3CC3)no2)c1 ZINC000346317245 1123680377 /nfs/dbraw/zinc/68/03/77/1123680377.db2.gz JHPTVQPHCMTVIX-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc(C)c([C@@H](C)[N@@H+](C)Cc2nc(C3CC3)no2)c1 ZINC000346317245 1123680380 /nfs/dbraw/zinc/68/03/80/1123680380.db2.gz JHPTVQPHCMTVIX-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+](C)Cc1cc(Cl)n(C)n1 ZINC000859080524 1123683799 /nfs/dbraw/zinc/68/37/99/1123683799.db2.gz MFPUDSKDKBGPRC-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cc(Cl)n(C)n1 ZINC000859080524 1123683801 /nfs/dbraw/zinc/68/38/01/1123683801.db2.gz MFPUDSKDKBGPRC-JTQLQIEISA-N 1 2 298.217 3.920 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@@H+]1Cc1occc1C ZINC000281236245 1123690123 /nfs/dbraw/zinc/69/01/23/1123690123.db2.gz VYGLUSRIKBEMKK-CQSZACIVSA-N 1 2 273.380 3.532 20 0 CHADLO CCn1ccnc1[C@H]1CCCC[N@H+]1Cc1occc1C ZINC000281236245 1123690124 /nfs/dbraw/zinc/69/01/24/1123690124.db2.gz VYGLUSRIKBEMKK-CQSZACIVSA-N 1 2 273.380 3.532 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(Cl)c(F)c2)C[C@H](C)C1(F)F ZINC000859415468 1123696859 /nfs/dbraw/zinc/69/68/59/1123696859.db2.gz UXOGUIZLHUNURQ-DTORHVGOSA-N 1 2 292.732 3.597 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(Cl)c(F)c2)C[C@H](C)C1(F)F ZINC000859415468 1123696861 /nfs/dbraw/zinc/69/68/61/1123696861.db2.gz UXOGUIZLHUNURQ-DTORHVGOSA-N 1 2 292.732 3.597 20 0 CHADLO COc1ccc([C@H](C)[NH2+]C/C(Cl)=C\Cl)c(OC)c1 ZINC000859633693 1123703957 /nfs/dbraw/zinc/70/39/57/1123703957.db2.gz VFRDOMGBLFSXGB-HZAKCSEPSA-N 1 2 290.190 3.673 20 0 CHADLO CC(C)C[N@H+](Cc1noc(C(C)C)n1)Cc1ccccc1 ZINC000346787809 1123712382 /nfs/dbraw/zinc/71/23/82/1123712382.db2.gz AJYCLSJYMHISCM-UHFFFAOYSA-N 1 2 287.407 3.851 20 0 CHADLO CC(C)C[N@@H+](Cc1noc(C(C)C)n1)Cc1ccccc1 ZINC000346787809 1123712385 /nfs/dbraw/zinc/71/23/85/1123712385.db2.gz AJYCLSJYMHISCM-UHFFFAOYSA-N 1 2 287.407 3.851 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]C2(c3ccc(F)cc3)CC2)cs1 ZINC000346789906 1123712911 /nfs/dbraw/zinc/71/29/11/1123712911.db2.gz FILYXGQFSPEYCO-SNVBAGLBSA-N 1 2 276.380 3.931 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+]Cc2nc(C(C)C)no2)cc1 ZINC000281525344 1123715324 /nfs/dbraw/zinc/71/53/24/1123715324.db2.gz VSSNMAFDEAZRQG-LLVKDONJSA-N 1 2 291.420 3.766 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@H+](Cc2csc(Cl)n2)C1 ZINC000860184815 1123724250 /nfs/dbraw/zinc/72/42/50/1123724250.db2.gz KBTINDMIWCAJBT-KXMPLOMGSA-N 1 2 270.829 3.975 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@@H+](Cc2csc(Cl)n2)C1 ZINC000860184815 1123724252 /nfs/dbraw/zinc/72/42/52/1123724252.db2.gz KBTINDMIWCAJBT-KXMPLOMGSA-N 1 2 270.829 3.975 20 0 CHADLO c1sc(C[N@@H+]2CCC[C@H]2c2cccnc2)nc1C1CC1 ZINC000346968658 1123738232 /nfs/dbraw/zinc/73/82/32/1123738232.db2.gz LKWKQKCEOSVFDG-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO c1sc(C[N@H+]2CCC[C@H]2c2cccnc2)nc1C1CC1 ZINC000346968658 1123738233 /nfs/dbraw/zinc/73/82/33/1123738233.db2.gz LKWKQKCEOSVFDG-HNNXBMFYSA-N 1 2 285.416 3.753 20 0 CHADLO Cc1sc(C[NH2+][C@@H](C)c2ccccn2)nc1C(C)C ZINC000346990923 1123739545 /nfs/dbraw/zinc/73/95/45/1123739545.db2.gz XQUSKQNPMBZYAX-NSHDSACASA-N 1 2 275.421 3.821 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)cc(Cl)n1 ZINC000320451871 1123748128 /nfs/dbraw/zinc/74/81/28/1123748128.db2.gz LMHKVHOMIKQNBU-LLVKDONJSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)cc(Cl)n1 ZINC000320451871 1123748130 /nfs/dbraw/zinc/74/81/30/1123748130.db2.gz LMHKVHOMIKQNBU-LLVKDONJSA-N 1 2 292.732 3.818 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2c1cccc2Cl)c1csnn1 ZINC000336280830 1123756481 /nfs/dbraw/zinc/75/64/81/1123756481.db2.gz HQPJSLUUMDNAST-PRHODGIISA-N 1 2 279.796 3.530 20 0 CHADLO Cc1c(C[N@@H+]2CCc3cccc(F)c3[C@@H]2C)ccnc1F ZINC000862245821 1123794052 /nfs/dbraw/zinc/79/40/52/1123794052.db2.gz YHXWTUMJCFGISW-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1c(C[N@H+]2CCc3cccc(F)c3[C@@H]2C)ccnc1F ZINC000862245821 1123794054 /nfs/dbraw/zinc/79/40/54/1123794054.db2.gz YHXWTUMJCFGISW-LBPRGKRZSA-N 1 2 288.341 3.788 20 0 CHADLO Cc1c(F)nccc1C[N@@H+]1CCc2c(Cl)cccc2C1 ZINC000862241881 1123794346 /nfs/dbraw/zinc/79/43/46/1123794346.db2.gz NCIYQGUXSLWMQF-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1c(F)nccc1C[N@H+]1CCc2c(Cl)cccc2C1 ZINC000862241881 1123794351 /nfs/dbraw/zinc/79/43/51/1123794351.db2.gz NCIYQGUXSLWMQF-UHFFFAOYSA-N 1 2 290.769 3.741 20 0 CHADLO Cc1c(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)ccnc1F ZINC000862376224 1123804938 /nfs/dbraw/zinc/80/49/38/1123804938.db2.gz HSWKESPGTABEQT-OAHLLOKOSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1c(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)ccnc1F ZINC000862376224 1123804944 /nfs/dbraw/zinc/80/49/44/1123804944.db2.gz HSWKESPGTABEQT-OAHLLOKOSA-N 1 2 285.366 3.507 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)c(F)cc1F ZINC000475282129 1123833771 /nfs/dbraw/zinc/83/37/71/1123833771.db2.gz UOXMZKPRUIVQIL-IONNQARKSA-N 1 2 297.242 3.877 20 0 CHADLO C[C@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)c(F)cc1F ZINC000475282129 1123833777 /nfs/dbraw/zinc/83/37/77/1123833777.db2.gz UOXMZKPRUIVQIL-IONNQARKSA-N 1 2 297.242 3.877 20 0 CHADLO CC1(C)CC[N@@H+]1Cc1c(F)cccc1Br ZINC000526970507 1123852335 /nfs/dbraw/zinc/85/23/35/1123852335.db2.gz ABBOWRCAQQZBND-UHFFFAOYSA-N 1 2 272.161 3.573 20 0 CHADLO CC1(C)CC[N@H+]1Cc1c(F)cccc1Br ZINC000526970507 1123852337 /nfs/dbraw/zinc/85/23/37/1123852337.db2.gz ABBOWRCAQQZBND-UHFFFAOYSA-N 1 2 272.161 3.573 20 0 CHADLO CCO[C@@H](CCNc1cc(C(F)(F)F)cc[nH+]1)C(C)C ZINC000339053588 1123858064 /nfs/dbraw/zinc/85/80/64/1123858064.db2.gz ASMKOPZYTCRTGU-LBPRGKRZSA-N 1 2 290.329 3.964 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2cc(Cl)ccc2Cl)nn1 ZINC000396536693 1123863127 /nfs/dbraw/zinc/86/31/27/1123863127.db2.gz JLVOZAPXZBLFQN-JTQLQIEISA-N 1 2 296.201 3.943 20 0 CHADLO COC1CCC(CNc2cc(C(F)(F)F)cc[nH+]2)CC1 ZINC000339055116 1123866551 /nfs/dbraw/zinc/86/65/51/1123866551.db2.gz WLYHKCUTADNBFD-UHFFFAOYSA-N 1 2 288.313 3.718 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2nc(C3CCC3)no2)C2CC2)cc1 ZINC000639567823 1123868622 /nfs/dbraw/zinc/86/86/22/1123868622.db2.gz CVZABFSVMBSPSF-MRXNPFEDSA-N 1 2 283.375 3.578 20 0 CHADLO c1ccc(NCCNc2cccc3ccccc32)[nH+]c1 ZINC000301055595 1123870431 /nfs/dbraw/zinc/87/04/31/1123870431.db2.gz CBLJILKLRIVDHY-UHFFFAOYSA-N 1 2 263.344 3.759 20 0 CHADLO c1c(CCNc2ccc3ccccc3n2)[nH+]c2ccccn12 ZINC000301059488 1123870928 /nfs/dbraw/zinc/87/09/28/1123870928.db2.gz CLLSQBDHBHTGCL-UHFFFAOYSA-N 1 2 288.354 3.537 20 0 CHADLO FC(F)(F)c1ccc(OCCNc2cccc[nH+]2)cc1 ZINC000301057898 1123870955 /nfs/dbraw/zinc/87/09/55/1123870955.db2.gz CGJJMCIKBIVGMR-UHFFFAOYSA-N 1 2 282.265 3.591 20 0 CHADLO Cc1ccc(C)c(OC2CCN(c3cccc[nH+]3)CC2)c1 ZINC000301069943 1123871635 /nfs/dbraw/zinc/87/16/35/1123871635.db2.gz FITMWFWDVFMSHR-UHFFFAOYSA-N 1 2 282.387 3.746 20 0 CHADLO CCC[NH2+][C@H](c1cccc(OC(C)C)c1)C(F)F ZINC000158691328 1129151049 /nfs/dbraw/zinc/15/10/49/1129151049.db2.gz VLRSBUBECCLQPY-CYBMUJFWSA-N 1 2 257.324 3.780 20 0 CHADLO CC(C)C[C@@H](C)Oc1cc(CNc2cccc[nH+]2)ccn1 ZINC000301235290 1123883669 /nfs/dbraw/zinc/88/36/69/1123883669.db2.gz HKTQZXNBHPBLEM-CQSZACIVSA-N 1 2 285.391 3.902 20 0 CHADLO Cc1cc(N2C[C@H]3CCCC[C@@H]3C2)nc(C(C)C)[nH+]1 ZINC000301528675 1123909140 /nfs/dbraw/zinc/90/91/40/1123909140.db2.gz GXCMRJSTMQBMKY-ZIAGYGMSSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1cc(N[C@H](CCO)C2CCCCC2)nc(C(C)C)[nH+]1 ZINC000301548781 1123911106 /nfs/dbraw/zinc/91/11/06/1123911106.db2.gz QAAGWWJRLDVYBZ-OAHLLOKOSA-N 1 2 291.439 3.652 20 0 CHADLO Cc1cc(NCCCNc2ccccc2)nc(C(C)C)[nH+]1 ZINC000301629239 1123918836 /nfs/dbraw/zinc/91/88/36/1123918836.db2.gz IHOHADUXTMHGLG-UHFFFAOYSA-N 1 2 284.407 3.823 20 0 CHADLO Cc1cc(N[C@@H]2[C@@H]3Cc4ccccc4[C@@H]32)nc(C(C)C)[nH+]1 ZINC000301651731 1123921892 /nfs/dbraw/zinc/92/18/92/1123921892.db2.gz GJRBBHFSLROBAK-HYVNUMGLSA-N 1 2 279.387 3.659 20 0 CHADLO COCCC1(CNc2cc(C)[nH+]c(C(C)C)n2)CCCC1 ZINC000301666443 1123922302 /nfs/dbraw/zinc/92/23/02/1123922302.db2.gz ONPIKDSJMZNVBX-UHFFFAOYSA-N 1 2 291.439 3.917 20 0 CHADLO Cc1ccc([C@H](C)Nc2nc(N)c3ccccc3[nH+]2)cc1 ZINC000301883182 1123940607 /nfs/dbraw/zinc/94/06/07/1123940607.db2.gz NHBWBCZJIGCHAQ-LBPRGKRZSA-N 1 2 278.359 3.694 20 0 CHADLO Cc1ccc([C@@H](C)Nc2nc(N)c3ccccc3[nH+]2)s1 ZINC000301884365 1123940858 /nfs/dbraw/zinc/94/08/58/1123940858.db2.gz NWGNODJWJDDDDT-SNVBAGLBSA-N 1 2 284.388 3.755 20 0 CHADLO Cc1cccc(CNc2[nH+]cnc3c2cnn3C(C)(C)C)c1 ZINC000301882337 1123941026 /nfs/dbraw/zinc/94/10/26/1123941026.db2.gz KOSFDEPCRNDLOV-UHFFFAOYSA-N 1 2 295.390 3.502 20 0 CHADLO C[C@H]1CCCC[C@@H]1Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000301889639 1123941598 /nfs/dbraw/zinc/94/15/98/1123941598.db2.gz NQRHCGCEJWAMHX-AAEUAGOBSA-N 1 2 287.411 3.572 20 0 CHADLO CC(C)CC[C@@H](C)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000301895895 1123941717 /nfs/dbraw/zinc/94/17/17/1123941717.db2.gz QDSGMVBWHOOYOO-GFCCVEGCSA-N 1 2 289.427 3.818 20 0 CHADLO NC(Cc1ccccc1)=[NH+]OCc1cccc(C(F)F)c1 ZINC000794716772 1123942506 /nfs/dbraw/zinc/94/25/06/1123942506.db2.gz ZUIHVQVQSLJLFX-UHFFFAOYSA-N 1 2 290.313 3.865 20 0 CHADLO COc1c(C)c[nH+]c(CSc2ccc3n[nH]cc3c2)c1C ZINC000665688561 1123944707 /nfs/dbraw/zinc/94/47/07/1123944707.db2.gz IHQQLCMZBPGWIR-UHFFFAOYSA-N 1 2 299.399 3.876 20 0 CHADLO COc1cc(CNc2nc(C)[nH+]c3c2CCCC3)ccc1C ZINC000302049169 1123952425 /nfs/dbraw/zinc/95/24/25/1123952425.db2.gz MTBIMRWAFMLEQD-UHFFFAOYSA-N 1 2 297.402 3.593 20 0 CHADLO CCc1cc(N(Cc2cccs2)C2CC2)nc(C)[nH+]1 ZINC000302045950 1123952565 /nfs/dbraw/zinc/95/25/65/1123952565.db2.gz KMZIEVCKNFPOCJ-UHFFFAOYSA-N 1 2 273.405 3.578 20 0 CHADLO CS[C@@H]1CCC[C@@H](Nc2nc(C)[nH+]c3c2CCCC3)C1 ZINC000302048522 1123952571 /nfs/dbraw/zinc/95/25/71/1123952571.db2.gz MHOVRXWLYGJILN-CHWSQXEVSA-N 1 2 291.464 3.750 20 0 CHADLO Cc1cc(N(C)C[C@H](C)c2nccs2)nc(C(C)C)[nH+]1 ZINC000302124093 1123956127 /nfs/dbraw/zinc/95/61/27/1123956127.db2.gz FHVZLDQCQDTOAU-NSHDSACASA-N 1 2 290.436 3.605 20 0 CHADLO Cn1cc2c(n1)CCC[C@@H]2Nc1ccc([NH+]2CCCC2)cc1 ZINC000794818630 1123960873 /nfs/dbraw/zinc/96/08/73/1123960873.db2.gz WGGGSEOWQJDMAG-KRWDZBQOSA-N 1 2 296.418 3.510 20 0 CHADLO C[C@@H](CCC1CC1)Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000302211077 1123961280 /nfs/dbraw/zinc/96/12/80/1123961280.db2.gz DEGCQPZLOAUJMY-NSHDSACASA-N 1 2 287.411 3.572 20 0 CHADLO Cc1cc(NCCC[C@H]2CCCCO2)nc(C(C)C)[nH+]1 ZINC000302215777 1123961559 /nfs/dbraw/zinc/96/15/59/1123961559.db2.gz JBPZBMGVNABSMN-CQSZACIVSA-N 1 2 277.412 3.670 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2oc(C(C)C)nc2C)o1 ZINC000428347160 1123962479 /nfs/dbraw/zinc/96/24/79/1123962479.db2.gz QANPAIHPTDKWDM-LLVKDONJSA-N 1 2 262.353 3.859 20 0 CHADLO COc1cccc2c(NCc3ccc(F)cc3)cc[nH+]c12 ZINC000302462695 1123969364 /nfs/dbraw/zinc/96/93/64/1123969364.db2.gz RWXGMLRQYNDUTP-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N[C@H]1CCC[C@H]1CCO ZINC000302465385 1123969629 /nfs/dbraw/zinc/96/96/29/1123969629.db2.gz SIAFESDFRGQWDR-ZFWWWQNUSA-N 1 2 270.376 3.506 20 0 CHADLO COc1cccc2c(N3C[C@@H](C)S[C@@H](C)C3)cc[nH+]c12 ZINC000302500937 1123969997 /nfs/dbraw/zinc/96/99/97/1123969997.db2.gz CRWXBYPUYACTQT-TXEJJXNPSA-N 1 2 288.416 3.574 20 0 CHADLO CSCCCCCNc1nc(C)[nH+]c2c1CCCC2 ZINC000302521097 1123971206 /nfs/dbraw/zinc/97/12/06/1123971206.db2.gz YXKAGTQNOMSTFK-UHFFFAOYSA-N 1 2 279.453 3.609 20 0 CHADLO COc1cccc2c(N3CCC4(CCC4)C3)cc[nH+]c12 ZINC000302522068 1123970977 /nfs/dbraw/zinc/97/09/77/1123970977.db2.gz JATKEWKGLJEYQN-UHFFFAOYSA-N 1 2 268.360 3.624 20 0 CHADLO Cc1ccc(Cn2c[nH+]c(C(C)(C)C)c2)nc1Cl ZINC000865376254 1123976437 /nfs/dbraw/zinc/97/64/37/1123976437.db2.gz GKTJANYZXRAORY-UHFFFAOYSA-N 1 2 263.772 3.586 20 0 CHADLO CC(C)(C)c1cn(Cc2ccc3ncccc3c2)c[nH+]1 ZINC000865376363 1123976536 /nfs/dbraw/zinc/97/65/36/1123976536.db2.gz KTLWGHKCHINMAA-UHFFFAOYSA-N 1 2 265.360 3.777 20 0 CHADLO CC(C)(C)c1cn(Cc2ncc(-c3ccccc3)o2)c[nH+]1 ZINC000865375584 1123976647 /nfs/dbraw/zinc/97/66/47/1123976647.db2.gz ZDOWOLBSBKVHPT-UHFFFAOYSA-N 1 2 281.359 3.884 20 0 CHADLO CC(C)(C)c1cn(CC[C@@H]2CC2(Cl)Cl)c[nH+]1 ZINC000865376326 1123976682 /nfs/dbraw/zinc/97/66/82/1123976682.db2.gz JINARTBJNKREHS-SECBINFHSA-N 1 2 261.196 3.765 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C2CC2)cn1)c1ccc(F)cc1Cl ZINC000865468357 1123987453 /nfs/dbraw/zinc/98/74/53/1123987453.db2.gz GTTGKXBSTAVMLU-JTQLQIEISA-N 1 2 293.773 3.861 20 0 CHADLO Cc1cc(NCc2cnc(Cl)c(F)c2)ccc1[NH+](C)C ZINC000865498932 1123990447 /nfs/dbraw/zinc/99/04/47/1123990447.db2.gz NTIQBSUHIHIOOW-UHFFFAOYSA-N 1 2 293.773 3.861 20 0 CHADLO Cc1cc(C[NH2+][C@H](CF)c2ccc(F)cc2)cnc1Cl ZINC000865822992 1124017788 /nfs/dbraw/zinc/01/77/88/1124017788.db2.gz PZMRQYCVDRHLCN-CQSZACIVSA-N 1 2 296.748 3.983 20 0 CHADLO Cc1[nH]c(CNc2ccc(C3CC3)cc2F)[nH+]c1C ZINC000865833997 1124019289 /nfs/dbraw/zinc/01/92/89/1124019289.db2.gz DHKVBANDGHPYEN-UHFFFAOYSA-N 1 2 259.328 3.655 20 0 CHADLO C[C@@H]([NH2+]Cc1coc(C2CC2)n1)c1cc2cnccc2o1 ZINC000865855306 1124021131 /nfs/dbraw/zinc/02/11/31/1124021131.db2.gz XTDHGUHMMCQIAW-SNVBAGLBSA-N 1 2 283.331 3.544 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(C(F)(F)F)c1)C(C)(F)F ZINC000389436438 1124023248 /nfs/dbraw/zinc/02/32/48/1124023248.db2.gz DASNGJMMKCDVTN-MRVPVSSYSA-N 1 2 267.241 3.839 20 0 CHADLO CCc1ccc(C[NH2+][C@@H]2c3ccccc3OC[C@@H]2F)s1 ZINC000866232100 1124039619 /nfs/dbraw/zinc/03/96/19/1124039619.db2.gz LYLSPEOTDBTGNF-GOEBONIOSA-N 1 2 291.391 3.872 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000866314694 1124051252 /nfs/dbraw/zinc/05/12/52/1124051252.db2.gz GDBNHNCFXKUMRS-BZSNNMDCSA-N 1 2 298.430 3.656 20 0 CHADLO C[C@H](CCc1cccnc1)Nc1ccc(N2CCCC2)[nH+]c1 ZINC000866324024 1124051818 /nfs/dbraw/zinc/05/18/18/1124051818.db2.gz NXJPCLAVTJGEHZ-OAHLLOKOSA-N 1 2 296.418 3.510 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@@H+]2CC[C@@H](CC(F)(F)F)C2)n1 ZINC000444711234 1124056220 /nfs/dbraw/zinc/05/62/20/1124056220.db2.gz UECNVCUWKPPQPW-ZJUUUORDSA-N 1 2 291.317 3.528 20 0 CHADLO CC(C)c1noc([C@@H](C)[N@H+]2CC[C@@H](CC(F)(F)F)C2)n1 ZINC000444711234 1124056225 /nfs/dbraw/zinc/05/62/25/1124056225.db2.gz UECNVCUWKPPQPW-ZJUUUORDSA-N 1 2 291.317 3.528 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+](C)Cc2ccc(F)c(C)c2)o1 ZINC000444743527 1124059013 /nfs/dbraw/zinc/05/90/13/1124059013.db2.gz LZBJMQBSCUZDDC-GFCCVEGCSA-N 1 2 262.328 3.624 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+](C)Cc2ccc(F)c(C)c2)o1 ZINC000444743527 1124059016 /nfs/dbraw/zinc/05/90/16/1124059016.db2.gz LZBJMQBSCUZDDC-GFCCVEGCSA-N 1 2 262.328 3.624 20 0 CHADLO Cc1coc(CNc2ccc([NH+]3CCCC3)cc2)c1 ZINC000718053314 1129165203 /nfs/dbraw/zinc/16/52/03/1129165203.db2.gz PQPAXUHXIHNJTJ-UHFFFAOYSA-N 1 2 256.349 3.800 20 0 CHADLO CC(C)N(C)c1ccccc1CNc1cccc[nH+]1 ZINC000445617812 1124093617 /nfs/dbraw/zinc/09/36/17/1124093617.db2.gz DZXNJYCGKSSDFK-UHFFFAOYSA-N 1 2 255.365 3.538 20 0 CHADLO COc1cccc(Cl)c1C[NH2+]Cc1cc(C)co1 ZINC000718037259 1129165799 /nfs/dbraw/zinc/16/57/99/1129165799.db2.gz YBFIDHZFXVCHNA-UHFFFAOYSA-N 1 2 265.740 3.540 20 0 CHADLO C[C@@H](Cc1ccccc1)C[N@H+](CC(F)F)C1CC1 ZINC000544407126 1124105912 /nfs/dbraw/zinc/10/59/12/1124105912.db2.gz LHOQOVBCVJTCIB-LBPRGKRZSA-N 1 2 253.336 3.595 20 0 CHADLO C[C@@H](Cc1ccccc1)C[N@@H+](CC(F)F)C1CC1 ZINC000544407126 1124105914 /nfs/dbraw/zinc/10/59/14/1124105914.db2.gz LHOQOVBCVJTCIB-LBPRGKRZSA-N 1 2 253.336 3.595 20 0 CHADLO C[C@H](Cc1ccccc1)C[N@H+](CC(F)F)C1CC1 ZINC000544407125 1124106036 /nfs/dbraw/zinc/10/60/36/1124106036.db2.gz LHOQOVBCVJTCIB-GFCCVEGCSA-N 1 2 253.336 3.595 20 0 CHADLO C[C@H](Cc1ccccc1)C[N@@H+](CC(F)F)C1CC1 ZINC000544407125 1124106037 /nfs/dbraw/zinc/10/60/37/1124106037.db2.gz LHOQOVBCVJTCIB-GFCCVEGCSA-N 1 2 253.336 3.595 20 0 CHADLO C[N@H+](Cc1c(F)ccc(Br)c1Cl)C1CC1 ZINC001142080068 1124107052 /nfs/dbraw/zinc/10/70/52/1124107052.db2.gz DAKQJSNNARQKNB-UHFFFAOYSA-N 1 2 292.579 3.836 20 0 CHADLO C[N@@H+](Cc1c(F)ccc(Br)c1Cl)C1CC1 ZINC001142080068 1124107053 /nfs/dbraw/zinc/10/70/53/1124107053.db2.gz DAKQJSNNARQKNB-UHFFFAOYSA-N 1 2 292.579 3.836 20 0 CHADLO CCCC[N@H+](Cc1nc(C)no1)[C@H](C)c1ccc(C)o1 ZINC000621317616 1129166728 /nfs/dbraw/zinc/16/67/28/1129166728.db2.gz OJSVIMFFBMBPHE-GFCCVEGCSA-N 1 2 277.368 3.643 20 0 CHADLO CCCC[N@@H+](Cc1nc(C)no1)[C@H](C)c1ccc(C)o1 ZINC000621317616 1129166731 /nfs/dbraw/zinc/16/67/31/1129166731.db2.gz OJSVIMFFBMBPHE-GFCCVEGCSA-N 1 2 277.368 3.643 20 0 CHADLO c1cc(C[NH2+]Cc2ccc(OC3CCCCC3)cc2)no1 ZINC000634957433 1129167372 /nfs/dbraw/zinc/16/73/72/1129167372.db2.gz MHAGILHNYFQCSW-UHFFFAOYSA-N 1 2 286.375 3.676 20 0 CHADLO Cc1cc(N2CC[C@@](C)(c3ccccc3)C2)nc(C2CC2)[nH+]1 ZINC000446851495 1124125406 /nfs/dbraw/zinc/12/54/06/1124125406.db2.gz CWDNKIRSVIZWKR-LJQANCHMSA-N 1 2 293.414 3.830 20 0 CHADLO Cc1ccn2c(C[N@@H+](C)Cc3cc(C)ccc3F)cnc2c1 ZINC000446974997 1124129200 /nfs/dbraw/zinc/12/92/00/1124129200.db2.gz NPWZPSJKQSMLLT-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO Cc1ccn2c(C[N@H+](C)Cc3cc(C)ccc3F)cnc2c1 ZINC000446974997 1124129201 /nfs/dbraw/zinc/12/92/01/1124129201.db2.gz NPWZPSJKQSMLLT-UHFFFAOYSA-N 1 2 297.377 3.722 20 0 CHADLO CC[C@@H](NC(=O)[C@H](CC(C)C)n1cc[nH+]c1)c1ccccc1 ZINC000635224744 1129176145 /nfs/dbraw/zinc/17/61/45/1129176145.db2.gz CXRQINOTVDKWGO-SJORKVTESA-N 1 2 299.418 3.738 20 0 CHADLO Cc1cc(NC[C@@H](O)[C@H](C)c2ccccc2)ccc1[NH+](C)C ZINC000819407848 1131245730 /nfs/dbraw/zinc/24/57/30/1131245730.db2.gz CXCZAMSEZVXPQS-DNVCBOLYSA-N 1 2 298.430 3.638 20 0 CHADLO c1oc(C2CC2)nc1C[NH+]1CCC(Nc2ccccc2)CC1 ZINC001119305080 1131250220 /nfs/dbraw/zinc/25/02/20/1131250220.db2.gz AWVOXAJQSZLDMR-UHFFFAOYSA-N 1 2 297.402 3.629 20 0 CHADLO Cc1ccccc1[C@@H]1C[N@H+](Cc2ccccc2F)CCO1 ZINC000525639434 1124453744 /nfs/dbraw/zinc/45/37/44/1124453744.db2.gz IQYWOZAZSVWFAN-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccccc1[C@@H]1C[N@@H+](Cc2ccccc2F)CCO1 ZINC000525639434 1124453747 /nfs/dbraw/zinc/45/37/47/1124453747.db2.gz IQYWOZAZSVWFAN-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccco2)Cc2ccccc2)ncn1 ZINC000428474667 1124455987 /nfs/dbraw/zinc/45/59/87/1124455987.db2.gz TYLUTJNGXDSTRR-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccco2)Cc2ccccc2)ncn1 ZINC000428474667 1124455993 /nfs/dbraw/zinc/45/59/93/1124455993.db2.gz TYLUTJNGXDSTRR-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO C[N@H+](Cc1cnc(C(F)(F)F)s1)Cc1ccccc1 ZINC000447705420 1124512449 /nfs/dbraw/zinc/51/24/49/1124512449.db2.gz ZJSSLHZHCVESBW-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1cnc(C(F)(F)F)s1)Cc1ccccc1 ZINC000447705420 1124512454 /nfs/dbraw/zinc/51/24/54/1124512454.db2.gz ZJSSLHZHCVESBW-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO COc1cc(CNc2ccc(-n3cc[nH+]c3)cc2)ccc1C ZINC000447729488 1124514208 /nfs/dbraw/zinc/51/42/08/1124514208.db2.gz NYQUNPACACCJGN-UHFFFAOYSA-N 1 2 293.370 3.801 20 0 CHADLO Fc1cccc(Cl)c1CCC[N@@H+]1CCOC[C@@H]1C1CC1 ZINC000447733205 1124516362 /nfs/dbraw/zinc/51/63/62/1124516362.db2.gz XBUDQAKAFDIVDT-MRXNPFEDSA-N 1 2 297.801 3.523 20 0 CHADLO Fc1cccc(Cl)c1CCC[N@H+]1CCOC[C@@H]1C1CC1 ZINC000447733205 1124516368 /nfs/dbraw/zinc/51/63/68/1124516368.db2.gz XBUDQAKAFDIVDT-MRXNPFEDSA-N 1 2 297.801 3.523 20 0 CHADLO CCOCc1cncc(-c2ccccc2Cn2cc[nH+]c2)c1 ZINC001238929152 1131271757 /nfs/dbraw/zinc/27/17/57/1131271757.db2.gz DDEYDLAINDCZAU-UHFFFAOYSA-N 1 2 293.370 3.530 20 0 CHADLO CC[C@@H]1CCC[C@@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448840659 1124617288 /nfs/dbraw/zinc/61/72/88/1124617288.db2.gz MNWQKWGUUYNBSY-DOMZBBRYSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1ccncc1F)C1CC1 ZINC000449018014 1124630503 /nfs/dbraw/zinc/63/05/03/1124630503.db2.gz IRLXXAXMOXTHBN-NSHDSACASA-N 1 2 260.312 3.539 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1ccncc1F)C1CC1 ZINC000449018014 1124630506 /nfs/dbraw/zinc/63/05/06/1124630506.db2.gz IRLXXAXMOXTHBN-NSHDSACASA-N 1 2 260.312 3.539 20 0 CHADLO Fc1cnccc1C[N@@H+]1CCSC[C@H]1c1ccccc1 ZINC000449012015 1124633118 /nfs/dbraw/zinc/63/31/18/1124633118.db2.gz XNDISGATSVAKTN-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO Fc1cnccc1C[N@H+]1CCSC[C@H]1c1ccccc1 ZINC000449012015 1124633126 /nfs/dbraw/zinc/63/31/26/1124633126.db2.gz XNDISGATSVAKTN-INIZCTEOSA-N 1 2 288.391 3.511 20 0 CHADLO FC(F)(F)c1ncccc1C[N@@H+]1CCC[C@H]1c1ccc[nH]1 ZINC000528404862 1124655745 /nfs/dbraw/zinc/65/57/45/1124655745.db2.gz CMUMWOABKVIOET-ZDUSSCGKSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1ncccc1C[N@H+]1CCC[C@H]1c1ccc[nH]1 ZINC000528404862 1124655746 /nfs/dbraw/zinc/65/57/46/1124655746.db2.gz CMUMWOABKVIOET-ZDUSSCGKSA-N 1 2 295.308 3.766 20 0 CHADLO Cc1cc(N)nc(S[C@H]2CCc3cc(Cl)ccc32)[nH+]1 ZINC000795197816 1124657317 /nfs/dbraw/zinc/65/73/17/1124657317.db2.gz UNZJITDVDIODOB-LBPRGKRZSA-N 1 2 291.807 3.800 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cc(F)cc(OC)c2)cs1 ZINC000449159345 1124658462 /nfs/dbraw/zinc/65/84/62/1124658462.db2.gz ZLAUUXFVZAKGDF-JTQLQIEISA-N 1 2 294.395 3.704 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+][C@H](C)c1cccc(O)c1F ZINC000872034471 1124661449 /nfs/dbraw/zinc/66/14/49/1124661449.db2.gz JIBBSONZKFXDDU-RKDXNWHRSA-N 1 2 278.327 3.548 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CCOC2(CCCC2)C1 ZINC000872055147 1124667591 /nfs/dbraw/zinc/66/75/91/1124667591.db2.gz FTTHTRMAXABPTB-OAHLLOKOSA-N 1 2 288.435 3.965 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@@H]1CCOC2(CCCC2)C1 ZINC000872055147 1124667592 /nfs/dbraw/zinc/66/75/92/1124667592.db2.gz FTTHTRMAXABPTB-OAHLLOKOSA-N 1 2 288.435 3.965 20 0 CHADLO C/C(Cl)=C/C[N@H+]1CCC[C@@H]1c1nc2c(n1C)CCCC2 ZINC000528458606 1124669636 /nfs/dbraw/zinc/66/96/36/1124669636.db2.gz GMWDRMYLMHYLDO-HMVFGEFZSA-N 1 2 293.842 3.578 20 0 CHADLO C/C(Cl)=C/C[N@@H+]1CCC[C@@H]1c1nc2c(n1C)CCCC2 ZINC000528458606 1124669638 /nfs/dbraw/zinc/66/96/38/1124669638.db2.gz GMWDRMYLMHYLDO-HMVFGEFZSA-N 1 2 293.842 3.578 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@@H](C)c2cc(O)ccc2F)o1 ZINC000872071928 1124674634 /nfs/dbraw/zinc/67/46/34/1124674634.db2.gz ABGMWINHIOQNSU-JTQLQIEISA-N 1 2 292.354 3.631 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2cccc(O)c2F)o1 ZINC000872073441 1124675727 /nfs/dbraw/zinc/67/57/27/1124675727.db2.gz PJPZCWFMKOAJSH-SNVBAGLBSA-N 1 2 292.354 3.631 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cnc(C(C)C)nc2)c1F ZINC000449301834 1124687300 /nfs/dbraw/zinc/68/73/00/1124687300.db2.gz IKPCGNRMHXADHK-UHFFFAOYSA-N 1 2 287.382 3.680 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cnc(C(C)C)nc2)c1F ZINC000449301834 1124687305 /nfs/dbraw/zinc/68/73/05/1124687305.db2.gz IKPCGNRMHXADHK-UHFFFAOYSA-N 1 2 287.382 3.680 20 0 CHADLO COc1cc(C)ccc1C[NH2+][C@H](C)c1c(F)cncc1F ZINC000449321312 1124693938 /nfs/dbraw/zinc/69/39/38/1124693938.db2.gz SIKAEUAXHLYOIA-LLVKDONJSA-N 1 2 292.329 3.528 20 0 CHADLO CC[C@@H]([NH2+]Cc1c(C)oc2ccccc21)C(F)F ZINC000449361917 1124711476 /nfs/dbraw/zinc/71/14/76/1124711476.db2.gz LTDZNDUZTHJEBA-GFCCVEGCSA-N 1 2 253.292 3.875 20 0 CHADLO Cc1cc(C)cc(C[N@@H+]2CC(C)(C)[C@H]2c2nccn2C)c1 ZINC000449416926 1124726950 /nfs/dbraw/zinc/72/69/50/1124726950.db2.gz WKVURJABNKHZQL-MRXNPFEDSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1cc(C)cc(C[N@H+]2CC(C)(C)[C@H]2c2nccn2C)c1 ZINC000449416926 1124726954 /nfs/dbraw/zinc/72/69/54/1124726954.db2.gz WKVURJABNKHZQL-MRXNPFEDSA-N 1 2 283.419 3.620 20 0 CHADLO C[C@H]([NH2+]C/C=C/c1ccc(F)cc1F)C(C)(F)F ZINC000449412069 1124730417 /nfs/dbraw/zinc/73/04/17/1124730417.db2.gz DPFXIWBEXJINQJ-NWALNABHSA-N 1 2 261.262 3.611 20 0 CHADLO CCOc1cc(C)c(-c2cccc(-c3c[nH+]cn3C)c2)cn1 ZINC001238978072 1131283057 /nfs/dbraw/zinc/28/30/57/1131283057.db2.gz PDERWYKSJGQZEM-UHFFFAOYSA-N 1 2 293.370 3.856 20 0 CHADLO CCc1ccc(N2CCC(OC3CCCC3)CC2)[nH+]c1 ZINC001120892433 1131286599 /nfs/dbraw/zinc/28/65/99/1131286599.db2.gz KDZRQJPMFGSELH-UHFFFAOYSA-N 1 2 274.408 3.572 20 0 CHADLO Clc1cccc2c(N[C@@H]3C[C@@H]4CC[C@H]3O4)cc[nH+]c12 ZINC001120955676 1131287685 /nfs/dbraw/zinc/28/76/85/1131287685.db2.gz BKINCQDIGJWSPA-CUOATXAZSA-N 1 2 274.751 3.620 20 0 CHADLO CN(C)C(=O)c1ccc(-c2cc3[nH]ccc3c[nH+]2)cc1Cl ZINC001238996620 1131289259 /nfs/dbraw/zinc/28/92/59/1131289259.db2.gz OZTDBQBMGDOMOP-UHFFFAOYSA-N 1 2 299.761 3.585 20 0 CHADLO CC(C)(O)/C=C/c1[nH+]c(N2CCCC2)cc2ccccc21 ZINC001239148288 1131303133 /nfs/dbraw/zinc/30/31/33/1131303133.db2.gz GJWGHIGUAJFCQN-MDZDMXLPSA-N 1 2 282.387 3.619 20 0 CHADLO CCc1cccc(Cl)c1C[NH2+][C@H]1C[C@@H](C)n2ccnc21 ZINC000449575654 1124809156 /nfs/dbraw/zinc/80/91/56/1124809156.db2.gz YNCVKIUODAMZSJ-ABAIWWIYSA-N 1 2 289.810 3.895 20 0 CHADLO CC[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1cc(C)ccc1C ZINC000528796864 1124809381 /nfs/dbraw/zinc/80/93/81/1124809381.db2.gz BYUSBNSNDZUCIX-HNNXBMFYSA-N 1 2 285.391 3.805 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1cc(C)ccc1C ZINC000528801956 1124811383 /nfs/dbraw/zinc/81/13/83/1124811383.db2.gz YZRVXBFMSOUNGJ-GFCCVEGCSA-N 1 2 295.333 3.865 20 0 CHADLO CCCC1(CCC)CCCN1C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000874627945 1124847252 /nfs/dbraw/zinc/84/72/52/1124847252.db2.gz VNMQITXTPSYUEV-HNNXBMFYSA-N 1 2 291.439 3.796 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000450064223 1124923673 /nfs/dbraw/zinc/92/36/73/1124923673.db2.gz XKXGISAUSKGHRI-HNNXBMFYSA-N 1 2 291.439 3.578 20 0 CHADLO CCC[C@@H](CC(C)C)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000450064223 1124923679 /nfs/dbraw/zinc/92/36/79/1124923679.db2.gz XKXGISAUSKGHRI-HNNXBMFYSA-N 1 2 291.439 3.578 20 0 CHADLO Clc1cncc(Cl)c1C[NH+]1C2CCC1CC2 ZINC000876560941 1124960825 /nfs/dbraw/zinc/96/08/25/1124960825.db2.gz GZHIDJMFECEWNI-UHFFFAOYSA-N 1 2 257.164 3.515 20 0 CHADLO CCCn1cc(C[N@H+](C)[C@@H](C)c2cccc(Cl)c2)nn1 ZINC000876573112 1124961230 /nfs/dbraw/zinc/96/12/30/1124961230.db2.gz MOOLKXWEXZEUOA-LBPRGKRZSA-N 1 2 292.814 3.535 20 0 CHADLO CCCn1cc(C[N@@H+](C)[C@@H](C)c2cccc(Cl)c2)nn1 ZINC000876573112 1124961235 /nfs/dbraw/zinc/96/12/35/1124961235.db2.gz MOOLKXWEXZEUOA-LBPRGKRZSA-N 1 2 292.814 3.535 20 0 CHADLO COc1ccc([C@@H](C)[N@H+](C)Cc2nc(Cl)cs2)cc1 ZINC000876560389 1124961361 /nfs/dbraw/zinc/96/13/61/1124961361.db2.gz DHKXTUPTJQQQGV-SNVBAGLBSA-N 1 2 296.823 3.998 20 0 CHADLO COc1ccc([C@@H](C)[N@@H+](C)Cc2nc(Cl)cs2)cc1 ZINC000876560389 1124961365 /nfs/dbraw/zinc/96/13/65/1124961365.db2.gz DHKXTUPTJQQQGV-SNVBAGLBSA-N 1 2 296.823 3.998 20 0 CHADLO Fc1ccc([C@H]2CCC[N@@H+]2Cc2ccns2)cc1F ZINC000876734897 1124973725 /nfs/dbraw/zinc/97/37/25/1124973725.db2.gz BJYVZQINQGBOPX-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO Fc1ccc([C@H]2CCC[N@H+]2Cc2ccns2)cc1F ZINC000876734897 1124973729 /nfs/dbraw/zinc/97/37/29/1124973729.db2.gz BJYVZQINQGBOPX-CQSZACIVSA-N 1 2 280.343 3.758 20 0 CHADLO CC1CCN(c2ccc([NH2+]CCC[C@@H]3CCO3)cc2)CC1 ZINC000876839316 1124983632 /nfs/dbraw/zinc/98/36/32/1124983632.db2.gz TVALNILOUFHFEN-GOSISDBHSA-N 1 2 288.435 3.904 20 0 CHADLO C[C@H](Nc1ccc(N2CCCCC2)[nH+]c1)C1CC(F)(F)C1 ZINC000529543251 1124987700 /nfs/dbraw/zinc/98/77/00/1124987700.db2.gz SOWMJUXPYWJZKA-LBPRGKRZSA-N 1 2 295.377 3.918 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCC2)[nH+]c1)C1CC(F)(F)C1 ZINC000529547590 1124991251 /nfs/dbraw/zinc/99/12/51/1124991251.db2.gz XSGCSAVNGFVXSQ-LLVKDONJSA-N 1 2 281.350 3.528 20 0 CHADLO Cc1ccccc1C1CC[NH+]([C@H](C)c2csnn2)CC1 ZINC000450386600 1125007705 /nfs/dbraw/zinc/00/77/05/1125007705.db2.gz HTEAYEZUWNHYIT-CYBMUJFWSA-N 1 2 287.432 3.787 20 0 CHADLO C[C@H](c1ccc(C[N@H+](C)Cc2cc[nH]n2)cc1)C(F)(F)F ZINC000450399341 1125011529 /nfs/dbraw/zinc/01/15/29/1125011529.db2.gz CSIIWGANJHGMKZ-LLVKDONJSA-N 1 2 297.324 3.708 20 0 CHADLO C[C@H](c1ccc(C[N@@H+](C)Cc2cc[nH]n2)cc1)C(F)(F)F ZINC000450399341 1125011537 /nfs/dbraw/zinc/01/15/37/1125011537.db2.gz CSIIWGANJHGMKZ-LLVKDONJSA-N 1 2 297.324 3.708 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(Cl)cs1)c1c(C)noc1C ZINC000877120135 1125012009 /nfs/dbraw/zinc/01/20/09/1125012009.db2.gz OFTSKSMDFYFICA-SECBINFHSA-N 1 2 285.800 3.642 20 0 CHADLO Cc1ccc(C(C)(C)[NH2+]Cc2nc(Cl)cs2)cn1 ZINC000877349756 1125023990 /nfs/dbraw/zinc/02/39/90/1125023990.db2.gz OZTHEFZXBOXUOY-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO O=C(Cc1[nH]cc[nH+]1)Nc1cccc(C2CCCCC2)c1 ZINC000529648465 1125031069 /nfs/dbraw/zinc/03/10/69/1125031069.db2.gz DWLRDKFXHJKEJT-UHFFFAOYSA-N 1 2 283.375 3.639 20 0 CHADLO C[C@@H](c1ccccc1)[C@@H](O)C[N@H+](CC(F)F)CC1CCC1 ZINC000450638905 1125077326 /nfs/dbraw/zinc/07/73/26/1125077326.db2.gz USUKQLJXJSPIED-BBRMVZONSA-N 1 2 297.389 3.518 20 0 CHADLO C[C@@H](c1ccccc1)[C@@H](O)C[N@@H+](CC(F)F)CC1CCC1 ZINC000450638905 1125077333 /nfs/dbraw/zinc/07/73/33/1125077333.db2.gz USUKQLJXJSPIED-BBRMVZONSA-N 1 2 297.389 3.518 20 0 CHADLO C[C@@H]1C[C@@H](Nc2[nH+]c3ccccc3n2C)c2ccccc2O1 ZINC000450657198 1125081011 /nfs/dbraw/zinc/08/10/11/1125081011.db2.gz YXCVNIFPRNUQDW-IUODEOHRSA-N 1 2 293.370 3.898 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@H+](Cc2cc(Cl)cs2)CCO1 ZINC000877821719 1125087952 /nfs/dbraw/zinc/08/79/52/1125087952.db2.gz ZXHRRZRLUCYILU-JTQLQIEISA-N 1 2 299.745 3.555 20 0 CHADLO FC(F)(F)[C@@H]1CC[N@@H+](Cc2cc(Cl)cs2)CCO1 ZINC000877821719 1125087960 /nfs/dbraw/zinc/08/79/60/1125087960.db2.gz ZXHRRZRLUCYILU-JTQLQIEISA-N 1 2 299.745 3.555 20 0 CHADLO FC(F)Cn1ccnc1C[N@@H+]1CCC[C@@H]1c1cccs1 ZINC000877903125 1125098526 /nfs/dbraw/zinc/09/85/26/1125098526.db2.gz KHSFATHIEHCYKT-LLVKDONJSA-N 1 2 297.374 3.547 20 0 CHADLO FC(F)Cn1ccnc1C[N@H+]1CCC[C@@H]1c1cccs1 ZINC000877903125 1125098535 /nfs/dbraw/zinc/09/85/35/1125098535.db2.gz KHSFATHIEHCYKT-LLVKDONJSA-N 1 2 297.374 3.547 20 0 CHADLO Cc1ccccc1C[N@H+](C)Cc1scnc1Cl ZINC000877899921 1125098638 /nfs/dbraw/zinc/09/86/38/1125098638.db2.gz LCMXRRAHWWUMMQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccccc1C[N@@H+](C)Cc1scnc1Cl ZINC000877899921 1125098647 /nfs/dbraw/zinc/09/86/47/1125098647.db2.gz LCMXRRAHWWUMMQ-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2CC[C@@H]2CCCC2(F)F)no1 ZINC000877979688 1125110869 /nfs/dbraw/zinc/11/08/69/1125110869.db2.gz KHXGSDLULCTSCP-QWHCGFSZSA-N 1 2 299.365 3.731 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2CC[C@@H]2CCCC2(F)F)no1 ZINC000877979688 1125110874 /nfs/dbraw/zinc/11/08/74/1125110874.db2.gz KHXGSDLULCTSCP-QWHCGFSZSA-N 1 2 299.365 3.731 20 0 CHADLO Cc1conc1C[N@H+]1CCS[C@H](C)[C@H]1c1ccccc1 ZINC000878116796 1125120661 /nfs/dbraw/zinc/12/06/61/1125120661.db2.gz WEUXOMHFOVVGTN-CJNGLKHVSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1conc1C[N@@H+]1CCS[C@H](C)[C@H]1c1ccccc1 ZINC000878116796 1125120664 /nfs/dbraw/zinc/12/06/64/1125120664.db2.gz WEUXOMHFOVVGTN-CJNGLKHVSA-N 1 2 288.416 3.662 20 0 CHADLO Cc1ccc(C[NH+]2CCC(C(F)(F)F)CC2)nc1Cl ZINC000878147446 1125124319 /nfs/dbraw/zinc/12/43/19/1125124319.db2.gz FMNJBNSDKMMGFX-UHFFFAOYSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccccn2)C2CC2)nc1Cl ZINC000878339878 1125142322 /nfs/dbraw/zinc/14/23/22/1125142322.db2.gz QRHGBGBWAISYFV-UHFFFAOYSA-N 1 2 287.794 3.603 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccccn2)C2CC2)nc1Cl ZINC000878339878 1125142327 /nfs/dbraw/zinc/14/23/27/1125142327.db2.gz QRHGBGBWAISYFV-UHFFFAOYSA-N 1 2 287.794 3.603 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nc3c(o2)CCCC3)cc1 ZINC000878348605 1125143363 /nfs/dbraw/zinc/14/33/63/1125143363.db2.gz QLDSJKPWDSJCDL-CYBMUJFWSA-N 1 2 270.376 3.713 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+]Cc1cc(C(F)(F)F)co1 ZINC000878364419 1125144375 /nfs/dbraw/zinc/14/43/75/1125144375.db2.gz HXADWENLXXYQFY-ZETCQYMHSA-N 1 2 288.269 3.754 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nocc1C)c1ccc(F)cc1F ZINC000878371142 1125146324 /nfs/dbraw/zinc/14/63/24/1125146324.db2.gz SOMANDGRMJHDQQ-CQSZACIVSA-N 1 2 280.318 3.892 20 0 CHADLO FC(F)O[C@H]1CCC[N@H+](Cc2cc(C(F)(F)F)co2)C1 ZINC000878393359 1125148504 /nfs/dbraw/zinc/14/85/04/1125148504.db2.gz CKMKUNJPZLNNBX-VIFPVBQESA-N 1 2 299.239 3.502 20 0 CHADLO FC(F)O[C@H]1CCC[N@@H+](Cc2cc(C(F)(F)F)co2)C1 ZINC000878393359 1125148509 /nfs/dbraw/zinc/14/85/09/1125148509.db2.gz CKMKUNJPZLNNBX-VIFPVBQESA-N 1 2 299.239 3.502 20 0 CHADLO C[C@@H]1CC[C@@H](CC(=O)Nc2cccc(-n3cc[nH+]c3)c2)C1 ZINC000122012588 1125169001 /nfs/dbraw/zinc/16/90/01/1125169001.db2.gz SFKWMHQCZGHHRG-ZIAGYGMSSA-N 1 2 283.375 3.637 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](C[C@@H]1CCC3(CCC3)O1)C2 ZINC000878704255 1125172936 /nfs/dbraw/zinc/17/29/36/1125172936.db2.gz SBVXYLVEBWQQFB-HNNXBMFYSA-N 1 2 277.795 3.757 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](C[C@@H]1CCC3(CCC3)O1)C2 ZINC000878704255 1125172939 /nfs/dbraw/zinc/17/29/39/1125172939.db2.gz SBVXYLVEBWQQFB-HNNXBMFYSA-N 1 2 277.795 3.757 20 0 CHADLO Fc1cccc(COc2ccccc2-n2cc[nH+]c2)c1F ZINC000530716978 1125174741 /nfs/dbraw/zinc/17/47/41/1125174741.db2.gz AAQRNRYSHKWWAL-UHFFFAOYSA-N 1 2 286.281 3.730 20 0 CHADLO Cl/C=C(\Cl)C[N@@H+]1CCC[C@H](c2cccnc2)C1 ZINC000878752921 1125177016 /nfs/dbraw/zinc/17/70/16/1125177016.db2.gz DAZVCIZAPMHCSG-BPLGCGBFSA-N 1 2 271.191 3.580 20 0 CHADLO Cl/C=C(\Cl)C[N@H+]1CCC[C@H](c2cccnc2)C1 ZINC000878752921 1125177018 /nfs/dbraw/zinc/17/70/18/1125177018.db2.gz DAZVCIZAPMHCSG-BPLGCGBFSA-N 1 2 271.191 3.580 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCC[C@@H]2c2ccccn2)c1 ZINC000530756862 1125179031 /nfs/dbraw/zinc/17/90/31/1125179031.db2.gz SRPBEKLRTPXGIN-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCC[C@@H]2c2ccccn2)c1 ZINC000530756862 1125179035 /nfs/dbraw/zinc/17/90/35/1125179035.db2.gz SRPBEKLRTPXGIN-MRXNPFEDSA-N 1 2 274.314 3.697 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1noc2c1CC(C)(C)CC2 ZINC000879053592 1125193976 /nfs/dbraw/zinc/19/39/76/1125193976.db2.gz WUWWBFLGNJLYSL-NSHDSACASA-N 1 2 298.377 3.809 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1noc2c1CC(C)(C)CC2 ZINC000879053592 1125193978 /nfs/dbraw/zinc/19/39/78/1125193978.db2.gz WUWWBFLGNJLYSL-NSHDSACASA-N 1 2 298.377 3.809 20 0 CHADLO C[C@H]([NH2+]Cc1c(Cl)cncc1Cl)c1ccns1 ZINC000879061571 1125195627 /nfs/dbraw/zinc/19/56/27/1125195627.db2.gz DRBGVIGOTPYTFS-ZETCQYMHSA-N 1 2 288.203 3.696 20 0 CHADLO Cc1cncc([C@H]2CCC[N@@H+]2Cc2noc3c2CCCC3)c1 ZINC000879747211 1125229344 /nfs/dbraw/zinc/22/93/44/1125229344.db2.gz KNHURCOZMQNKMU-QGZVFWFLSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1cncc([C@H]2CCC[N@H+]2Cc2noc3c2CCCC3)c1 ZINC000879747211 1125229349 /nfs/dbraw/zinc/22/93/49/1125229349.db2.gz KNHURCOZMQNKMU-QGZVFWFLSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1cc(N2CCC[C@@H]2c2ccccn2)nc(C(C)C)[nH+]1 ZINC000532115610 1125235657 /nfs/dbraw/zinc/23/56/57/1125235657.db2.gz ZFNSAPSUBYQDTD-OAHLLOKOSA-N 1 2 282.391 3.645 20 0 CHADLO CC(C)(C)c1nnc(C[NH2+][C@H](c2ccccc2)C2CC2)o1 ZINC000128065352 1125237571 /nfs/dbraw/zinc/23/75/71/1125237571.db2.gz CDCUTIJZGYSNRE-OAHLLOKOSA-N 1 2 285.391 3.608 20 0 CHADLO C[C@H]1c2sccc2CC[N@H+]1Cc1ncc(C2CC2)o1 ZINC000880377578 1125269954 /nfs/dbraw/zinc/26/99/54/1125269954.db2.gz ZOZYTUTYWHKUOE-JTQLQIEISA-N 1 2 274.389 3.733 20 0 CHADLO C[C@H]1c2sccc2CC[N@@H+]1Cc1ncc(C2CC2)o1 ZINC000880377578 1125269957 /nfs/dbraw/zinc/26/99/57/1125269957.db2.gz ZOZYTUTYWHKUOE-JTQLQIEISA-N 1 2 274.389 3.733 20 0 CHADLO CO[C@H]1C[C@@H](C[NH2+][C@H](c2cccc(Cl)c2)C(F)F)C1 ZINC000880534540 1125278121 /nfs/dbraw/zinc/27/81/21/1125278121.db2.gz RYCOHSVPFAJJRK-JIMOISOXSA-N 1 2 289.753 3.661 20 0 CHADLO Cc1csc(C[NH+]2CC(Oc3ccc(F)c(F)c3)C2)c1 ZINC001137157189 1125282563 /nfs/dbraw/zinc/28/25/63/1125282563.db2.gz OEPUTBHFSBCTAN-UHFFFAOYSA-N 1 2 295.354 3.598 20 0 CHADLO C[N@H+](Cc1nccn1-c1ccccc1)Cc1ccccc1 ZINC000191146360 1125323162 /nfs/dbraw/zinc/32/31/62/1125323162.db2.gz MZWUKWMGXYYPNX-UHFFFAOYSA-N 1 2 277.371 3.504 20 0 CHADLO C[N@@H+](Cc1nccn1-c1ccccc1)Cc1ccccc1 ZINC000191146360 1125323165 /nfs/dbraw/zinc/32/31/65/1125323165.db2.gz MZWUKWMGXYYPNX-UHFFFAOYSA-N 1 2 277.371 3.504 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nccn2-c2ccccc2)s1 ZINC000191318098 1125324410 /nfs/dbraw/zinc/32/44/10/1125324410.db2.gz WIXMLNPTUKGMTK-UHFFFAOYSA-N 1 2 297.427 3.874 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nccn2-c2ccccc2)s1 ZINC000191318098 1125324421 /nfs/dbraw/zinc/32/44/21/1125324421.db2.gz WIXMLNPTUKGMTK-UHFFFAOYSA-N 1 2 297.427 3.874 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@@H+]2Cc2ccc(Cl)nc2)cn1 ZINC001137168432 1125328606 /nfs/dbraw/zinc/32/86/06/1125328606.db2.gz AYRLTOMIXDEKJG-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@@H]2CCC[N@H+]2Cc2ccc(Cl)nc2)cn1 ZINC001137168432 1125328612 /nfs/dbraw/zinc/32/86/12/1125328612.db2.gz AYRLTOMIXDEKJG-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2ncccn2)o1 ZINC000192050469 1125330220 /nfs/dbraw/zinc/33/02/20/1125330220.db2.gz WODDNWCWXQXIES-DZGCQCFKSA-N 1 2 285.391 3.605 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2ncccn2)o1 ZINC000192050469 1125330222 /nfs/dbraw/zinc/33/02/22/1125330222.db2.gz WODDNWCWXQXIES-DZGCQCFKSA-N 1 2 285.391 3.605 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)[C@@H](C)c2ccccn2)c1 ZINC000192135497 1125331080 /nfs/dbraw/zinc/33/10/80/1125331080.db2.gz FWWWADYGHZQZLH-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)[C@@H](C)c2ccccn2)c1 ZINC000192135497 1125331084 /nfs/dbraw/zinc/33/10/84/1125331084.db2.gz FWWWADYGHZQZLH-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO CN(C)c1cccc([C@H]2CCCN2c2cc[nH+]cc2F)c1 ZINC000881994384 1125331539 /nfs/dbraw/zinc/33/15/39/1125331539.db2.gz WRQYNUGUNCYNNU-MRXNPFEDSA-N 1 2 285.366 3.628 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nnc(C(C)C)o2)cc1 ZINC000170692198 1125344359 /nfs/dbraw/zinc/34/43/59/1125344359.db2.gz CTBRZPJUMCLAID-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nnc(C(C)C)o2)cc1 ZINC000170692198 1125344363 /nfs/dbraw/zinc/34/43/63/1125344363.db2.gz CTBRZPJUMCLAID-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO CCCCCCC(=O)N[C@H](Cn1cc[nH+]c1)c1ccccc1 ZINC000882873875 1125359957 /nfs/dbraw/zinc/35/99/57/1125359957.db2.gz NMNMSZHWHASAOU-QGZVFWFLSA-N 1 2 299.418 3.711 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cccc(Cl)c2N)cs1 ZINC000882993389 1125366021 /nfs/dbraw/zinc/36/60/21/1125366021.db2.gz RNQKQYBQKBJUPE-VIFPVBQESA-N 1 2 295.839 3.792 20 0 CHADLO CCOc1cc(C)ccc1C[NH2+]Cc1nc(C(C)C)c[nH]1 ZINC000883039021 1125369104 /nfs/dbraw/zinc/36/91/04/1125369104.db2.gz ZXLSLZLAQTUBNB-UHFFFAOYSA-N 1 2 287.407 3.530 20 0 CHADLO C[C@H](O[NH+]=C(N)c1ccccc1)c1ncc(C(C)(C)C)o1 ZINC000883048828 1125369717 /nfs/dbraw/zinc/36/97/17/1125369717.db2.gz WQLBDMCFGQPTNT-NSHDSACASA-N 1 2 287.363 3.580 20 0 CHADLO CC[N@H+](Cc1nc2c(s1)CCCC2)Cc1occc1C ZINC000666458220 1125372192 /nfs/dbraw/zinc/37/21/92/1125372192.db2.gz JKRGCTKAJADSDB-UHFFFAOYSA-N 1 2 290.432 3.945 20 0 CHADLO CC[N@@H+](Cc1nc2c(s1)CCCC2)Cc1occc1C ZINC000666458220 1125372194 /nfs/dbraw/zinc/37/21/94/1125372194.db2.gz JKRGCTKAJADSDB-UHFFFAOYSA-N 1 2 290.432 3.945 20 0 CHADLO Cc1ccc2oc(C[NH2+]Cc3nc(C(C)C)c[nH]3)cc2c1 ZINC000883174671 1125374975 /nfs/dbraw/zinc/37/49/75/1125374975.db2.gz PYBBHIFHCBUIKG-UHFFFAOYSA-N 1 2 283.375 3.878 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000626162835 1125379650 /nfs/dbraw/zinc/37/96/50/1125379650.db2.gz ZONCLFNLVAWLRC-URWSZGRFSA-N 1 2 277.412 3.545 20 0 CHADLO C/C=C/C[C@@H]1CCC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000626162835 1125379653 /nfs/dbraw/zinc/37/96/53/1125379653.db2.gz ZONCLFNLVAWLRC-URWSZGRFSA-N 1 2 277.412 3.545 20 0 CHADLO Cc1ccccc1-c1ccc(C[NH+]2CCOCC2)cc1F ZINC001239503377 1131351509 /nfs/dbraw/zinc/35/15/09/1131351509.db2.gz XYPLUBAZPZIUKH-UHFFFAOYSA-N 1 2 285.362 3.633 20 0 CHADLO Cc1sc(/C=C\c2c[nH+]c3c(C)cccn23)cc1C=O ZINC000760262030 1125392476 /nfs/dbraw/zinc/39/24/76/1125392476.db2.gz AKYZPSNYOQWRRN-WAYWQWQTSA-N 1 2 282.368 3.996 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](C)c2cc(O)ccc2F)c(Cl)n1 ZINC000883302206 1125392880 /nfs/dbraw/zinc/39/28/80/1125392880.db2.gz SUWPZZCMHHAMLN-JTQLQIEISA-N 1 2 294.757 3.739 20 0 CHADLO c1cc2c(s1)CCC[C@H]2[NH2+]C1(c2ncccn2)CCC1 ZINC000883314251 1125394265 /nfs/dbraw/zinc/39/42/65/1125394265.db2.gz YZIJSOIMTUQRCI-CYBMUJFWSA-N 1 2 285.416 3.585 20 0 CHADLO Cc1cc(N[C@@H]2CCOC3(CCCC3)C2)c[nH+]c1C ZINC000883342568 1125398340 /nfs/dbraw/zinc/39/83/40/1125398340.db2.gz CVFUZKOBJWMIJQ-CQSZACIVSA-N 1 2 260.381 3.602 20 0 CHADLO C[NH+](C)c1ccc(N[C@@H]2CCc3c2cccc3F)cc1 ZINC000214573157 1125403067 /nfs/dbraw/zinc/40/30/67/1125403067.db2.gz FDOKWGCLVUMJKW-QGZVFWFLSA-N 1 2 270.351 3.991 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@H]1F)c1ccc(F)cn1 ZINC000883376422 1125404021 /nfs/dbraw/zinc/40/40/21/1125404021.db2.gz KLSUVWKKIVPLPQ-CXMBCZLWSA-N 1 2 288.341 3.897 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccoc1C ZINC000883377511 1125404864 /nfs/dbraw/zinc/40/48/64/1125404864.db2.gz JQYUSLWCVLZJRA-UHFFFAOYSA-N 1 2 262.378 3.848 20 0 CHADLO CCSCc1ccc[nH+]c1N[C@H](C)COC(C)C ZINC000883378004 1125405003 /nfs/dbraw/zinc/40/50/03/1125405003.db2.gz XGNZMDFOIWJMKD-GFCCVEGCSA-N 1 2 268.426 3.560 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2c3ccccc3CC[C@H]2F)sn1 ZINC000883381171 1125405900 /nfs/dbraw/zinc/40/59/00/1125405900.db2.gz ICFNVPQFXCBUJG-HUUCEWRRSA-N 1 2 276.380 3.567 20 0 CHADLO F[C@H]1CCc2ccccc2[C@H]1[NH2+]Cc1ccc2c(n1)CCC2 ZINC000883381341 1125406221 /nfs/dbraw/zinc/40/62/21/1125406221.db2.gz KVRQMMSADNTZEE-PKOBYXMFSA-N 1 2 296.389 3.686 20 0 CHADLO Cc1ncc(C[NH2+][C@H]2c3ccccc3CC[C@H]2F)s1 ZINC000883384026 1125407845 /nfs/dbraw/zinc/40/78/45/1125407845.db2.gz KLFXPBOAHLVUDO-CABCVRRESA-N 1 2 276.380 3.567 20 0 CHADLO CC1(C)Nc2ccccc2[C@H]1[NH2+]Cc1ncc(Cl)s1 ZINC000883463943 1125411277 /nfs/dbraw/zinc/41/12/77/1125411277.db2.gz BQCKBAKXNBUOKM-CYBMUJFWSA-N 1 2 293.823 3.832 20 0 CHADLO CC1(C)Nc2ccccc2[C@@H]1[NH2+]Cc1nc2c(o1)CCCC2 ZINC000883464519 1125411285 /nfs/dbraw/zinc/41/12/85/1125411285.db2.gz PCXMYYPQHXMGKF-KRWDZBQOSA-N 1 2 297.402 3.588 20 0 CHADLO Cc1ccc2c(Nc3cccnc3F)cccc2[nH+]1 ZINC001212795142 1125416121 /nfs/dbraw/zinc/41/61/21/1125416121.db2.gz QJOAAVVZUWOQOG-UHFFFAOYSA-N 1 2 253.280 3.821 20 0 CHADLO Cc1ccc2c(Nc3ccn4nccc4c3)cccc2[nH+]1 ZINC001212795810 1125417429 /nfs/dbraw/zinc/41/74/29/1125417429.db2.gz CLCBILKZYJBQQR-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)c1cccc(Cl)c1 ZINC000030608414 1125418022 /nfs/dbraw/zinc/41/80/22/1125418022.db2.gz UAAGBUSMNHOREV-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO Cc1ccc2c(Nc3ccc4c(c3)C(=O)NC4)cccc2[nH+]1 ZINC001212796907 1125429411 /nfs/dbraw/zinc/42/94/11/1125429411.db2.gz LXWLEGIFBYJULI-UHFFFAOYSA-N 1 2 289.338 3.530 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cc(-c3ccco3)on1)CC2 ZINC000429556500 1125431348 /nfs/dbraw/zinc/43/13/48/1125431348.db2.gz DVAPUSJMVBRWCB-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cc(-c3ccco3)on1)CC2 ZINC000429556500 1125431349 /nfs/dbraw/zinc/43/13/49/1125431349.db2.gz DVAPUSJMVBRWCB-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1csc(-c2ccco2)n1 ZINC000124763963 1125441577 /nfs/dbraw/zinc/44/15/77/1125441577.db2.gz WBWFDYHQCUSCKQ-UHFFFAOYSA-N 1 2 274.345 3.594 20 0 CHADLO CCCCc1noc([C@H](C)[N@@H+]2CCc3cccc(C)c3C2)n1 ZINC000429559137 1125442204 /nfs/dbraw/zinc/44/22/04/1125442204.db2.gz GTVYBTYMVJSCHU-AWEZNQCLSA-N 1 2 299.418 3.840 20 0 CHADLO CCCCc1noc([C@H](C)[N@H+]2CCc3cccc(C)c3C2)n1 ZINC000429559137 1125442207 /nfs/dbraw/zinc/44/22/07/1125442207.db2.gz GTVYBTYMVJSCHU-AWEZNQCLSA-N 1 2 299.418 3.840 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C2CC2)no1)c1cccc(Cl)c1 ZINC000125267788 1125446598 /nfs/dbraw/zinc/44/65/98/1125446598.db2.gz JGQVGXGRDHCMHE-CYBMUJFWSA-N 1 2 291.782 3.841 20 0 CHADLO Cc1ccc2c(Nc3cc4c(cc3N)OCO4)cccc2[nH+]1 ZINC001212798006 1125451437 /nfs/dbraw/zinc/45/14/37/1125451437.db2.gz XVCSUDJTNHLBHU-UHFFFAOYSA-N 1 2 293.326 3.598 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccsc1 ZINC001239514053 1131356149 /nfs/dbraw/zinc/35/61/49/1131356149.db2.gz UFACEVKGZNONRM-UHFFFAOYSA-N 1 2 256.330 3.609 20 0 CHADLO CC(C)C[C@H](C(=O)Nc1cccc2[nH]ccc21)n1cc[nH+]c1 ZINC000635471050 1129182532 /nfs/dbraw/zinc/18/25/32/1129182532.db2.gz FWBTVDCSSOEYSD-MRXNPFEDSA-N 1 2 296.374 3.590 20 0 CHADLO CC(C)C[C@H](C(=O)N(C(C)C)C1CCCC1)n1cc[nH+]c1 ZINC000635513163 1129183826 /nfs/dbraw/zinc/18/38/26/1129183826.db2.gz XDWASHOGDJWELM-MRXNPFEDSA-N 1 2 291.439 3.650 20 0 CHADLO COc1ccc(F)c(C[NH2+][C@@H](C)c2nc(C)sc2C)c1 ZINC000621733711 1129187549 /nfs/dbraw/zinc/18/75/49/1129187549.db2.gz VMZKFFMFBLJBPE-VIFPVBQESA-N 1 2 294.395 3.758 20 0 CHADLO CCCC[C@@H](C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)C(C)C ZINC000621853075 1129200074 /nfs/dbraw/zinc/20/00/74/1129200074.db2.gz SFNPBISKISQXFS-HUUCEWRRSA-N 1 2 291.439 3.578 20 0 CHADLO FC(F)(F)c1cccc(-c2c[nH+]c3c(c2)CCCN3)c1 ZINC001239516858 1131358220 /nfs/dbraw/zinc/35/82/20/1131358220.db2.gz GCUCOEQIBZXGEQ-UHFFFAOYSA-N 1 2 278.277 3.768 20 0 CHADLO Cc1cnc(CNc2ccc([NH+]3CCCC3)cc2)s1 ZINC000294732871 1129216826 /nfs/dbraw/zinc/21/68/26/1129216826.db2.gz GVJVYIRESIQNBP-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO COc1ccccc1-c1cc(C[NH2+][C@@H](C)c2ccco2)on1 ZINC000637635722 1129223875 /nfs/dbraw/zinc/22/38/75/1129223875.db2.gz ZZUPASOOWVQMKH-LBPRGKRZSA-N 1 2 298.342 3.794 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+](C)[C@H](c1nccn1C)C1CC1 ZINC000637898438 1129234167 /nfs/dbraw/zinc/23/41/67/1129234167.db2.gz QPFSUHLTZVJPTB-LRDDRELGSA-N 1 2 287.382 3.703 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+](C)[C@H](c1nccn1C)C1CC1 ZINC000637898438 1129234169 /nfs/dbraw/zinc/23/41/69/1129234169.db2.gz QPFSUHLTZVJPTB-LRDDRELGSA-N 1 2 287.382 3.703 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1ccns1 ZINC000637901554 1129235886 /nfs/dbraw/zinc/23/58/86/1129235886.db2.gz MKMVLCIQFQBNAF-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1ccns1 ZINC000637901554 1129235888 /nfs/dbraw/zinc/23/58/88/1129235888.db2.gz MKMVLCIQFQBNAF-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO COc1cc(Cl)c(C[N@H+](C)Cc2ccoc2)cc1OC ZINC000637966619 1129238782 /nfs/dbraw/zinc/23/87/82/1129238782.db2.gz UTAUIBPQZMMYHN-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO COc1cc(Cl)c(C[N@@H+](C)Cc2ccoc2)cc1OC ZINC000637966619 1129238785 /nfs/dbraw/zinc/23/87/85/1129238785.db2.gz UTAUIBPQZMMYHN-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)C(C)(C)C ZINC000246081718 1129249041 /nfs/dbraw/zinc/24/90/41/1129249041.db2.gz HHJHTFAUHGQPJJ-ZIAGYGMSSA-N 1 2 276.424 3.758 20 0 CHADLO CCCc1cc(C(=O)Nc2cc[nH+]c(C)c2)ccc1Cl ZINC000622367906 1129253652 /nfs/dbraw/zinc/25/36/52/1129253652.db2.gz BHXOLGKYDQREMZ-UHFFFAOYSA-N 1 2 288.778 3.670 20 0 CHADLO Clc1ccc2c(c1)CC[C@H]2SCCn1cc[nH+]c1 ZINC000795385442 1129257067 /nfs/dbraw/zinc/25/70/67/1129257067.db2.gz ZFKNJYNFMYQMRU-CQSZACIVSA-N 1 2 278.808 3.957 20 0 CHADLO c1nnc([C@@H]([NH2+]C2(c3ccccc3)CC2)C2CCCCC2)[nH]1 ZINC000638529993 1129261539 /nfs/dbraw/zinc/26/15/39/1129261539.db2.gz VYPQCJBCXLWXSY-INIZCTEOSA-N 1 2 296.418 3.705 20 0 CHADLO CC[C@H]([NH2+][C@@H](c1nnc[nH]1)C1CCCCC1)c1ccncc1 ZINC000638678847 1129265748 /nfs/dbraw/zinc/26/57/48/1129265748.db2.gz UPUHWIPZZRSKGO-JKSUJKDBSA-N 1 2 299.422 3.562 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cnc(C(C)C)nc2)c(F)c1 ZINC000639135100 1129285744 /nfs/dbraw/zinc/28/57/44/1129285744.db2.gz FYVPGCUBXQERNN-UHFFFAOYSA-N 1 2 287.382 3.680 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cnc(C(C)C)nc2)c(F)c1 ZINC000639135100 1129285747 /nfs/dbraw/zinc/28/57/47/1129285747.db2.gz FYVPGCUBXQERNN-UHFFFAOYSA-N 1 2 287.382 3.680 20 0 CHADLO Fc1ccc(C2CC2)cc1C[NH2+]Cc1cc(C2CC2)no1 ZINC000639216262 1129294330 /nfs/dbraw/zinc/29/43/30/1129294330.db2.gz FNDVNDLNNFBLLM-UHFFFAOYSA-N 1 2 286.350 3.858 20 0 CHADLO CC(=O)C[NH2+][C@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000639647714 1129327998 /nfs/dbraw/zinc/32/79/98/1129327998.db2.gz LQZHSQOEFVSQCQ-ZDUSSCGKSA-N 1 2 272.175 3.623 20 0 CHADLO CC(C)[N@H+](Cc1cccs1)Cc1nc(C2CCC2)no1 ZINC000639458942 1129317673 /nfs/dbraw/zinc/31/76/73/1129317673.db2.gz FJFXBJFHUOTSRB-UHFFFAOYSA-N 1 2 291.420 3.809 20 0 CHADLO CC(C)[N@@H+](Cc1cccs1)Cc1nc(C2CCC2)no1 ZINC000639458942 1129317678 /nfs/dbraw/zinc/31/76/78/1129317678.db2.gz FJFXBJFHUOTSRB-UHFFFAOYSA-N 1 2 291.420 3.809 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nc(CC3CC3)no2)c(C)s1 ZINC000639563066 1129323845 /nfs/dbraw/zinc/32/38/45/1129323845.db2.gz UAOBRWZSBSAWPO-JTQLQIEISA-N 1 2 291.420 3.551 20 0 CHADLO CC(=O)C[NH2+][C@@H](c1c(Cl)cccc1Cl)C1CC1 ZINC000639647712 1129328150 /nfs/dbraw/zinc/32/81/50/1129328150.db2.gz LQZHSQOEFVSQCQ-CYBMUJFWSA-N 1 2 272.175 3.623 20 0 CHADLO Cc1n[nH]cc1C[N@@H+](C)Cc1c(Cl)oc2ccccc21 ZINC000639971494 1129342956 /nfs/dbraw/zinc/34/29/56/1129342956.db2.gz DTKOXYRZFHBCAW-UHFFFAOYSA-N 1 2 289.766 3.750 20 0 CHADLO Cc1n[nH]cc1C[N@H+](C)Cc1c(Cl)oc2ccccc21 ZINC000639971494 1129342960 /nfs/dbraw/zinc/34/29/60/1129342960.db2.gz DTKOXYRZFHBCAW-UHFFFAOYSA-N 1 2 289.766 3.750 20 0 CHADLO Fc1ccc(C[NH2+]Cc2nc3c(s2)CCC3)c(Cl)c1 ZINC000719536717 1129343214 /nfs/dbraw/zinc/34/32/14/1129343214.db2.gz DUNMKPWDXQLPOV-UHFFFAOYSA-N 1 2 296.798 3.714 20 0 CHADLO O=C(/C=C/C1CCCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000735425820 1129343630 /nfs/dbraw/zinc/34/36/30/1129343630.db2.gz QJZPHWVZSUQVLU-IZZDOVSWSA-N 1 2 295.386 3.947 20 0 CHADLO CCO[C@@H](C)c1nc(C[NH+]2CCC(C)(F)CC2)cs1 ZINC000640075949 1129347829 /nfs/dbraw/zinc/34/78/29/1129347829.db2.gz ZFJDADABTPFKTP-NSHDSACASA-N 1 2 286.416 3.565 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](CF)c2ccc(F)cc2)c(C)n1 ZINC000640154247 1129350628 /nfs/dbraw/zinc/35/06/28/1129350628.db2.gz DTXUMRNXNCUJAM-INIZCTEOSA-N 1 2 276.330 3.638 20 0 CHADLO CC(C)(C)OC(=O)C1([NH2+]CCc2cccs2)CCCC1 ZINC000735874223 1129359589 /nfs/dbraw/zinc/35/95/89/1129359589.db2.gz WEYPXRAIQHLHDT-UHFFFAOYSA-N 1 2 295.448 3.535 20 0 CHADLO C[N@H+](CC(F)(F)C(F)F)C1CCCCCCC1 ZINC000736621365 1129377949 /nfs/dbraw/zinc/37/79/49/1129377949.db2.gz VRQOJELDNBMOKJ-UHFFFAOYSA-N 1 2 255.299 3.932 20 0 CHADLO C[N@@H+](CC(F)(F)C(F)F)C1CCCCCCC1 ZINC000736621365 1129377951 /nfs/dbraw/zinc/37/79/51/1129377951.db2.gz VRQOJELDNBMOKJ-UHFFFAOYSA-N 1 2 255.299 3.932 20 0 CHADLO CCCCn1c(C)c(C)nc1SCc1ccc[nH+]c1N ZINC000641438850 1129381375 /nfs/dbraw/zinc/38/13/75/1129381375.db2.gz MOELLTPNRIZSPO-UHFFFAOYSA-N 1 2 290.436 3.570 20 0 CHADLO COCCCC[C@H](Nc1cc[nH+]cc1F)c1ccccc1 ZINC000641545003 1129383551 /nfs/dbraw/zinc/38/35/51/1129383551.db2.gz IAQZNZWOVYEBAT-INIZCTEOSA-N 1 2 288.366 3.613 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@H](C)c1nc2ccccc2s1 ZINC000092328861 1129386551 /nfs/dbraw/zinc/38/65/51/1129386551.db2.gz ZUIPCCYNTZWCHH-SNVBAGLBSA-N 1 2 287.388 3.752 20 0 CHADLO CSc1ccc(C[NH2+]C(C)(C)c2nc(C)cs2)o1 ZINC000641725778 1129389162 /nfs/dbraw/zinc/38/91/62/1129389162.db2.gz BOBNSLVRKICDGX-UHFFFAOYSA-N 1 2 282.434 3.791 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(F)F)c1ccc(OCC(F)(F)F)cc1 ZINC000641791268 1129392567 /nfs/dbraw/zinc/39/25/67/1129392567.db2.gz GCFGUNRTLOUVES-RKDXNWHRSA-N 1 2 297.267 3.932 20 0 CHADLO COc1cccc(F)c1-c1cc2[nH]ccc2c(C)[nH+]1 ZINC001241011497 1129402022 /nfs/dbraw/zinc/40/20/22/1129402022.db2.gz IPIZLDMPXNXUOM-UHFFFAOYSA-N 1 2 256.280 3.686 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)[N@@H+]1Cc1ccc(C#N)o1 ZINC000093322745 1129421226 /nfs/dbraw/zinc/42/12/26/1129421226.db2.gz JIJOIVMALJJGFL-GLJUWKHASA-N 1 2 296.370 3.502 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2)[C@H](C)[N@H+]1Cc1ccc(C#N)o1 ZINC000093322745 1129421227 /nfs/dbraw/zinc/42/12/27/1129421227.db2.gz JIJOIVMALJJGFL-GLJUWKHASA-N 1 2 296.370 3.502 20 0 CHADLO c1cc(N2CCCC2)[nH+]cc1NCCC1=CCCCC1 ZINC001168772374 1129432202 /nfs/dbraw/zinc/43/22/02/1129432202.db2.gz RYIMYMSGKILLHB-UHFFFAOYSA-N 1 2 271.408 3.984 20 0 CHADLO CC(C)=C[C@H]1[C@@H](C(=O)NCc2cc(C)[nH+]c(C)c2)C1(C)C ZINC000643318605 1129438109 /nfs/dbraw/zinc/43/81/09/1129438109.db2.gz UGODCLWCJZCTJO-HOTGVXAUSA-N 1 2 286.419 3.553 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cn[nH]c1C)c1ccc(OC)cc1 ZINC000180001525 1129442931 /nfs/dbraw/zinc/44/29/31/1129442931.db2.gz DRUBBDJGAUKGAU-MEDUHNTESA-N 1 2 273.380 3.529 20 0 CHADLO CCC[C@H]([NH2+][C@H](C)c1cn[nH]c1C)c1ccccc1 ZINC000180001876 1129443914 /nfs/dbraw/zinc/44/39/14/1129443914.db2.gz ZYPXRSWEYKDVTL-WBMJQRKESA-N 1 2 257.381 3.910 20 0 CHADLO CCOc1ccc(F)cc1-c1cccc(C(=[NH2+])OC)c1 ZINC001241104139 1129448120 /nfs/dbraw/zinc/44/81/20/1129448120.db2.gz STCIMDDOKMAXQG-UHFFFAOYSA-N 1 2 273.307 3.863 20 0 CHADLO CC(C)CC1CC[NH+](Cc2nnc(C3CC3)s2)CC1 ZINC000828047502 1131376013 /nfs/dbraw/zinc/37/60/13/1131376013.db2.gz OYMOUTVFBBJFEZ-UHFFFAOYSA-N 1 2 279.453 3.674 20 0 CHADLO Clc1ccc2c(c1)CC[C@H]2[N@@H+]1CCc2ncsc2C1 ZINC000796031531 1129463255 /nfs/dbraw/zinc/46/32/55/1129463255.db2.gz BJJVBMQAFZIYRL-CQSZACIVSA-N 1 2 290.819 3.842 20 0 CHADLO Clc1ccc2c(c1)CC[C@H]2[N@H+]1CCc2ncsc2C1 ZINC000796031531 1129463256 /nfs/dbraw/zinc/46/32/56/1129463256.db2.gz BJJVBMQAFZIYRL-CQSZACIVSA-N 1 2 290.819 3.842 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]CCOc1cccc2ccccc21 ZINC000180292050 1129467623 /nfs/dbraw/zinc/46/76/23/1129467623.db2.gz GTCGNSLWTNCKJE-ZDUSSCGKSA-N 1 2 295.386 3.601 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCc3ccccc3[C@@H]2C(C)C)[nH]1 ZINC000644673610 1129474359 /nfs/dbraw/zinc/47/43/59/1129474359.db2.gz OIHDADSBHRYXLM-KRWDZBQOSA-N 1 2 298.434 3.684 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCc3ccccc3[C@@H]2C(C)C)[nH]1 ZINC000644673610 1129474360 /nfs/dbraw/zinc/47/43/60/1129474360.db2.gz OIHDADSBHRYXLM-KRWDZBQOSA-N 1 2 298.434 3.684 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCc3ccccc3[C@H]2C(C)C)[nH]1 ZINC000644673611 1129474385 /nfs/dbraw/zinc/47/43/85/1129474385.db2.gz OIHDADSBHRYXLM-QGZVFWFLSA-N 1 2 298.434 3.684 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCc3ccccc3[C@H]2C(C)C)[nH]1 ZINC000644673611 1129474386 /nfs/dbraw/zinc/47/43/86/1129474386.db2.gz OIHDADSBHRYXLM-QGZVFWFLSA-N 1 2 298.434 3.684 20 0 CHADLO Cc1nc(NC(C2CCCC2)C2CCCC2)cc[nH+]1 ZINC000742408346 1129477003 /nfs/dbraw/zinc/47/70/03/1129477003.db2.gz UYOSBDRMFSVGRO-UHFFFAOYSA-N 1 2 259.397 3.946 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@H](C)c1cc(C)cc(C)c1 ZINC000180499818 1129484614 /nfs/dbraw/zinc/48/46/14/1129484614.db2.gz LDTZMSQDEQJBEE-CHWSQXEVSA-N 1 2 257.381 3.747 20 0 CHADLO FC(F)(F)c1ccccc1-c1c[nH+]c2c(c1)CCCN2 ZINC001239545365 1131377717 /nfs/dbraw/zinc/37/77/17/1131377717.db2.gz KNNKTZVLFIYCLU-UHFFFAOYSA-N 1 2 278.277 3.768 20 0 CHADLO CC[C@H]1CN(c2[nH+]ccc3cc(F)ccc32)CCS1 ZINC000645181817 1129490969 /nfs/dbraw/zinc/49/09/69/1129490969.db2.gz SLQYAWYNSBGTEK-ZDUSSCGKSA-N 1 2 276.380 3.706 20 0 CHADLO CCC[C@@H]1CN(c2[nH+]ccc3c(C)cccc32)CCO1 ZINC000645201835 1129491789 /nfs/dbraw/zinc/49/17/89/1129491789.db2.gz JREIFQIOJLSJLS-CQSZACIVSA-N 1 2 270.376 3.549 20 0 CHADLO C[C@@H](c1ccncc1)[N@@H+]1CCc2sc(Cl)cc2C1 ZINC000645954072 1129514290 /nfs/dbraw/zinc/51/42/90/1129514290.db2.gz IVYXDYUGYKCFRS-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H](c1ccncc1)[N@H+]1CCc2sc(Cl)cc2C1 ZINC000645954072 1129514293 /nfs/dbraw/zinc/51/42/93/1129514293.db2.gz IVYXDYUGYKCFRS-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1cccc(C[NH2+]C2(c3ccccc3Cl)CC2)n1 ZINC000645977015 1129515496 /nfs/dbraw/zinc/51/54/96/1129515496.db2.gz KOVBZKPDXZGAGE-UHFFFAOYSA-N 1 2 272.779 3.822 20 0 CHADLO Cc1nc(C)c(C[NH2+]C2(c3ccccc3Cl)CC2)o1 ZINC000645978362 1129515526 /nfs/dbraw/zinc/51/55/26/1129515526.db2.gz GVOOMVBCTVQXOT-UHFFFAOYSA-N 1 2 276.767 3.724 20 0 CHADLO CCc1cnc(C[NH2+]C2(c3cccc(F)c3)CCC2)o1 ZINC000646003105 1129517426 /nfs/dbraw/zinc/51/74/26/1129517426.db2.gz ROYNQDRLVLWALH-UHFFFAOYSA-N 1 2 274.339 3.545 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)[nH]1 ZINC000646118505 1129523911 /nfs/dbraw/zinc/52/39/11/1129523911.db2.gz LHGHDOKVXXVXEV-XJKSGUPXSA-N 1 2 294.402 3.567 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)[nH]1 ZINC000646118505 1129523914 /nfs/dbraw/zinc/52/39/14/1129523914.db2.gz LHGHDOKVXXVXEV-XJKSGUPXSA-N 1 2 294.402 3.567 20 0 CHADLO Cc1cnc(C[N@@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)o1 ZINC000646117752 1129524047 /nfs/dbraw/zinc/52/40/47/1129524047.db2.gz PEYJJDGVYJXIKT-KRWDZBQOSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1cnc(C[N@H+]2CC3(CC=CC3)[C@@H]2c2ccccc2)o1 ZINC000646117752 1129524049 /nfs/dbraw/zinc/52/40/49/1129524049.db2.gz PEYJJDGVYJXIKT-KRWDZBQOSA-N 1 2 280.371 3.876 20 0 CHADLO Cc1cc(C)c(-c2c[nH+]c3c(c2)CCCN3)c(C)c1 ZINC001239550181 1131381234 /nfs/dbraw/zinc/38/12/34/1131381234.db2.gz IKYUDPLYLZLXEM-UHFFFAOYSA-N 1 2 252.361 3.675 20 0 CHADLO CS[C@@H]1CC[C@@H]1Nc1ccc([NH+]2CCCC2)cc1 ZINC000822447066 1131381579 /nfs/dbraw/zinc/38/15/79/1131381579.db2.gz NLTXBHHMEIXTAJ-LSDHHAIUSA-N 1 2 262.422 3.593 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@@H+]2Cc2nonc2C)o1 ZINC000744427939 1129576935 /nfs/dbraw/zinc/57/69/35/1129576935.db2.gz BABKIYSKUUZRRV-XHDPSFHLSA-N 1 2 289.379 3.507 20 0 CHADLO CCc1ccc([C@H]2C[C@@H](C)CC[N@H+]2Cc2nonc2C)o1 ZINC000744427939 1129576939 /nfs/dbraw/zinc/57/69/39/1129576939.db2.gz BABKIYSKUUZRRV-XHDPSFHLSA-N 1 2 289.379 3.507 20 0 CHADLO Cc1ccc(-c2nn(C)cc2CNc2ccc(C)[nH+]c2C)o1 ZINC000647643671 1129583045 /nfs/dbraw/zinc/58/30/45/1129583045.db2.gz NQRDLIPAVOXTHE-UHFFFAOYSA-N 1 2 296.374 3.612 20 0 CHADLO CC(C)[C@H]1[N@H+](Cc2ncc(Cl)s2)CC12CC=CC2 ZINC000648072539 1129596260 /nfs/dbraw/zinc/59/62/60/1129596260.db2.gz HTPFJJIHAZVFBY-CYBMUJFWSA-N 1 2 282.840 3.973 20 0 CHADLO CC(C)[C@H]1[N@@H+](Cc2ncc(Cl)s2)CC12CC=CC2 ZINC000648072539 1129596262 /nfs/dbraw/zinc/59/62/62/1129596262.db2.gz HTPFJJIHAZVFBY-CYBMUJFWSA-N 1 2 282.840 3.973 20 0 CHADLO Cc1cc(C[N@H+]2C[C@@H](C)[C@@H](F)C2)ccc1Br ZINC001137613071 1131384488 /nfs/dbraw/zinc/38/44/88/1131384488.db2.gz IAYGMFCMUDWIRK-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO Cc1cc(C[N@@H+]2C[C@@H](C)[C@@H](F)C2)ccc1Br ZINC001137613071 1131384495 /nfs/dbraw/zinc/38/44/95/1131384495.db2.gz IAYGMFCMUDWIRK-MFKMUULPSA-N 1 2 286.188 3.547 20 0 CHADLO CC[C@@H]1C[C@H](Nc2ccc(-n3c[nH+]c(C)c3C)cc2)CCO1 ZINC000648592378 1129615563 /nfs/dbraw/zinc/61/55/63/1129615563.db2.gz XSMONXMBMGUIDV-SJLPKXTDSA-N 1 2 299.418 3.859 20 0 CHADLO CCOc1ccccc1-c1ccccc1Cn1cc[nH+]c1 ZINC001239561117 1131386939 /nfs/dbraw/zinc/38/69/39/1131386939.db2.gz TZYRTVPORVJURH-UHFFFAOYSA-N 1 2 278.355 3.997 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)N1CCC[C@@H](n2cc[nH+]c2)C1 ZINC000648912158 1129630020 /nfs/dbraw/zinc/63/00/20/1129630020.db2.gz SNFMPRPEFJLJTQ-HZPDHXFCSA-N 1 2 291.439 3.509 20 0 CHADLO C[C@H]([NH2+]Cc1cnsn1)c1cccc2ccccc21 ZINC001168776952 1129635285 /nfs/dbraw/zinc/63/52/85/1129635285.db2.gz YTYKROAIHRCXRT-NSHDSACASA-N 1 2 269.373 3.542 20 0 CHADLO COC(=O)c1cc(-c2cc(C)[nH+]c(C)c2)c(C)cc1F ZINC001241991844 1129641675 /nfs/dbraw/zinc/64/16/75/1129641675.db2.gz TZVXURNDJLUSSL-UHFFFAOYSA-N 1 2 273.307 3.600 20 0 CHADLO COC(=O)[C@H](C)c1ccc(-c2cc(C)[nH+]c(C)c2)cc1 ZINC001241993275 1129642333 /nfs/dbraw/zinc/64/23/33/1129642333.db2.gz JQFWHSRFJNXRBS-CYBMUJFWSA-N 1 2 269.344 3.642 20 0 CHADLO Cc1cccc([C@H](NC(=O)CCc2c[nH]c[nH+]2)C(C)(C)C)c1 ZINC000193455088 1129644732 /nfs/dbraw/zinc/64/47/32/1129644732.db2.gz AKEAEGVGBSUYEY-KRWDZBQOSA-N 1 2 299.418 3.554 20 0 CHADLO Cc1cccc([C@H](NC(=O)CCc2c[nH+]c[nH]2)C(C)(C)C)c1 ZINC000193455088 1129644734 /nfs/dbraw/zinc/64/47/34/1129644734.db2.gz AKEAEGVGBSUYEY-KRWDZBQOSA-N 1 2 299.418 3.554 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@H+](C)Cc1cc2n(n1)CCC2 ZINC000649489637 1129653297 /nfs/dbraw/zinc/65/32/97/1129653297.db2.gz AXTHZKKZGQHDTH-LBPRGKRZSA-N 1 2 289.810 3.676 20 0 CHADLO C[C@@H](c1cccc(Cl)c1)[N@@H+](C)Cc1cc2n(n1)CCC2 ZINC000649489637 1129653299 /nfs/dbraw/zinc/65/32/99/1129653299.db2.gz AXTHZKKZGQHDTH-LBPRGKRZSA-N 1 2 289.810 3.676 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H]2CCc3ccccc3C2)c(C)[nH+]1 ZINC000649566362 1129658284 /nfs/dbraw/zinc/65/82/84/1129658284.db2.gz JINQOIYDCUKHLB-KRWDZBQOSA-N 1 2 294.398 3.750 20 0 CHADLO CCOc1ccc(-c2ccc(Cn3cc[nH+]c3)cc2)cc1 ZINC001239564112 1131388748 /nfs/dbraw/zinc/38/87/48/1131388748.db2.gz DAZIYNCVQYGLBM-UHFFFAOYSA-N 1 2 278.355 3.997 20 0 CHADLO Cc1cc(-c2cccc(Cl)c2)c[nH+]c1N1CCOCC1 ZINC001242147042 1129662073 /nfs/dbraw/zinc/66/20/73/1129662073.db2.gz MELZEFUISPTVNH-UHFFFAOYSA-N 1 2 288.778 3.547 20 0 CHADLO CC[C@@H]1CCC[C@@H]1Nc1[nH+]cnc2c1cnn2C(C)(C)C ZINC000766427887 1129666219 /nfs/dbraw/zinc/66/62/19/1129666219.db2.gz LPLWQPUQAOQTJC-YPMHNXCESA-N 1 2 287.411 3.572 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2nc3ccccc3s2)[C@H](CC)CO1 ZINC000649717257 1129672703 /nfs/dbraw/zinc/67/27/03/1129672703.db2.gz ACTIWAJNZVPIJB-CHWSQXEVSA-N 1 2 290.432 3.686 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2nc3ccccc3s2)[C@H](CC)CO1 ZINC000649717257 1129672706 /nfs/dbraw/zinc/67/27/06/1129672706.db2.gz ACTIWAJNZVPIJB-CHWSQXEVSA-N 1 2 290.432 3.686 20 0 CHADLO CC[C@H]1C[N@H+](Cc2nc3ccccc3s2)[C@@H](CC)CO1 ZINC000649717260 1129672868 /nfs/dbraw/zinc/67/28/68/1129672868.db2.gz ACTIWAJNZVPIJB-STQMWFEESA-N 1 2 290.432 3.686 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2nc3ccccc3s2)[C@@H](CC)CO1 ZINC000649717260 1129672870 /nfs/dbraw/zinc/67/28/70/1129672870.db2.gz ACTIWAJNZVPIJB-STQMWFEESA-N 1 2 290.432 3.686 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@@H+]1Cc1nc2ccccc2s1 ZINC000649715296 1129673025 /nfs/dbraw/zinc/67/30/25/1129673025.db2.gz YZZZPVMRRNPFGJ-LBPRGKRZSA-N 1 2 290.432 3.686 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@H+]1Cc1nc2ccccc2s1 ZINC000649715296 1129673027 /nfs/dbraw/zinc/67/30/27/1129673027.db2.gz YZZZPVMRRNPFGJ-LBPRGKRZSA-N 1 2 290.432 3.686 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(N(C)C)cc(Cl)[nH+]2)nc1 ZINC001168849528 1129679514 /nfs/dbraw/zinc/67/95/14/1129679514.db2.gz AGCAHJMRHOJYNO-LLVKDONJSA-N 1 2 290.798 3.678 20 0 CHADLO Fc1ccc(NCCC2CCC2)cc1-n1cc[nH+]c1 ZINC001168891083 1129680896 /nfs/dbraw/zinc/68/08/96/1129680896.db2.gz OTUBHOOWYZMUAP-UHFFFAOYSA-N 1 2 259.328 3.614 20 0 CHADLO CC(C)Oc1cc(N[C@@H]2CCCC(F)(F)C2)cc[nH+]1 ZINC001169213342 1129708089 /nfs/dbraw/zinc/70/80/89/1129708089.db2.gz ILSDNDWOIGKSDG-GFCCVEGCSA-N 1 2 270.323 3.859 20 0 CHADLO COc1c[nH+]c(N[C@@H]2CCCC(F)(F)C2)c2[nH]ccc21 ZINC001169214787 1129708807 /nfs/dbraw/zinc/70/88/07/1129708807.db2.gz KSCKTJKMHJEKIB-SECBINFHSA-N 1 2 281.306 3.561 20 0 CHADLO Fc1cc(C[NH+]2CC3(C2)C[C@H](F)CS3)ccc1Cl ZINC001137654570 1131392666 /nfs/dbraw/zinc/39/26/66/1131392666.db2.gz ZORLJNUTTOICPN-JTQLQIEISA-N 1 2 289.778 3.509 20 0 CHADLO C[C@@H]1C[C@H](Nc2cc(N3CCCC3)nc[nH+]2)c2ccccc21 ZINC001169368327 1129721109 /nfs/dbraw/zinc/72/11/09/1129721109.db2.gz WXTRINPRFXVWAA-CJNGLKHVSA-N 1 2 294.402 3.737 20 0 CHADLO C[C@@H]1C[C@H](Nc2cc(N3CCCC3)[nH+]cn2)c2ccccc21 ZINC001169368327 1129721111 /nfs/dbraw/zinc/72/11/11/1129721111.db2.gz WXTRINPRFXVWAA-CJNGLKHVSA-N 1 2 294.402 3.737 20 0 CHADLO COCCOc1cc(N[C@H]2C[C@H](C)c3ccccc32)cc[nH+]1 ZINC001169374882 1129722212 /nfs/dbraw/zinc/72/22/12/1129722212.db2.gz CXUHGWTXXSZELT-GUYCJALGSA-N 1 2 298.386 3.767 20 0 CHADLO COc1cc[nH+]cc1N[C@@H]1C[C@@H](C)c2ccccc21 ZINC001169379236 1129723203 /nfs/dbraw/zinc/72/32/03/1129723203.db2.gz WNZMYBMJXXQUDL-BXUZGUMPSA-N 1 2 254.333 3.751 20 0 CHADLO Cc1cc[nH+]cc1NCCc1ccc(OC(F)(F)F)cc1 ZINC001169353942 1129723681 /nfs/dbraw/zinc/72/36/81/1129723681.db2.gz YOWGTBVWXMMQRS-UHFFFAOYSA-N 1 2 296.292 3.943 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2ccc(Cl)cc2F)C1 ZINC001137656380 1131394113 /nfs/dbraw/zinc/39/41/13/1131394113.db2.gz BKHHWIKOYXSWSF-CABZTGNLSA-N 1 2 259.727 3.659 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2ccc(Cl)cc2F)C1 ZINC001137656380 1131394118 /nfs/dbraw/zinc/39/41/18/1131394118.db2.gz BKHHWIKOYXSWSF-CABZTGNLSA-N 1 2 259.727 3.659 20 0 CHADLO Fc1ccc2c(c1Cl)[C@@H]([NH2+]Cc1nccs1)CC2 ZINC000651683877 1129744623 /nfs/dbraw/zinc/74/46/23/1129744623.db2.gz RNSCXPJXRPMHSV-JTQLQIEISA-N 1 2 282.771 3.713 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(NCc2ncccc2O)c1 ZINC001169735966 1129753320 /nfs/dbraw/zinc/75/33/20/1129753320.db2.gz CUYHCWREQAAQCW-UHFFFAOYSA-N 1 2 285.391 3.554 20 0 CHADLO COCc1cc[nH+]c(N[C@H]2CCCC23CCCC3)c1 ZINC000652067734 1129757516 /nfs/dbraw/zinc/75/75/16/1129757516.db2.gz FFNGTLAFQWBZPZ-AWEZNQCLSA-N 1 2 260.381 3.753 20 0 CHADLO Clc1ccccc1C1([NH2+][C@@H]2CCn3ccnc32)CCC1 ZINC000652217147 1129760059 /nfs/dbraw/zinc/76/00/59/1129760059.db2.gz PSBIZLGPUVLNLY-CQSZACIVSA-N 1 2 287.794 3.650 20 0 CHADLO CCc1nc(C)c([C@@H](C)Nc2cc(COC)cc[nH+]2)s1 ZINC000652330314 1129763147 /nfs/dbraw/zinc/76/31/47/1129763147.db2.gz CEBRIAXDHFNMOH-SNVBAGLBSA-N 1 2 291.420 3.728 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2cc(F)cc(F)c2)c1 ZINC000652330306 1129763189 /nfs/dbraw/zinc/76/31/89/1129763189.db2.gz CBIOWYQQGWLMQI-SNVBAGLBSA-N 1 2 278.302 3.679 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2C[C@H](OC(C)(C)C)C2(C)C)c1 ZINC000652331789 1129763815 /nfs/dbraw/zinc/76/38/15/1129763815.db2.gz UJHYKRNZVQMPSE-KGLIPLIRSA-N 1 2 292.423 3.622 20 0 CHADLO C[C@@]1(C2CCCCC2)CCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000828708886 1131396853 /nfs/dbraw/zinc/39/68/53/1131396853.db2.gz RPWJRPBJZMXRGO-SFHVURJKSA-N 1 2 297.402 3.748 20 0 CHADLO COc1cc(Cl)ccc1C[NH+]1CCC(F)(F)CC1 ZINC000796540656 1129777109 /nfs/dbraw/zinc/77/71/09/1129777109.db2.gz CEWUOESPGLRREJ-UHFFFAOYSA-N 1 2 275.726 3.580 20 0 CHADLO c1csc(-c2ccc(C[N@@H+]3C[C@H]4CC[C@@H]3CO4)s2)c1 ZINC001139020048 1129778412 /nfs/dbraw/zinc/77/84/12/1129778412.db2.gz USKFEGIQCGBUMZ-VXGBXAGGSA-N 1 2 291.441 3.840 20 0 CHADLO c1csc(-c2ccc(C[N@H+]3C[C@H]4CC[C@@H]3CO4)s2)c1 ZINC001139020048 1129778415 /nfs/dbraw/zinc/77/84/15/1129778415.db2.gz USKFEGIQCGBUMZ-VXGBXAGGSA-N 1 2 291.441 3.840 20 0 CHADLO CC(=O)c1cc(C[N@@H+](C)[C@H](C)c2cc3ccccc3o2)on1 ZINC000653474649 1129780131 /nfs/dbraw/zinc/78/01/31/1129780131.db2.gz YJFXYCABWYJQKR-LLVKDONJSA-N 1 2 298.342 3.816 20 0 CHADLO CC(=O)c1cc(C[N@H+](C)[C@H](C)c2cc3ccccc3o2)on1 ZINC000653474649 1129780135 /nfs/dbraw/zinc/78/01/35/1129780135.db2.gz YJFXYCABWYJQKR-LLVKDONJSA-N 1 2 298.342 3.816 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@@H]2c2cc[nH]n2)c(Cl)c1 ZINC000653649235 1129790178 /nfs/dbraw/zinc/79/01/78/1129790178.db2.gz VTBONPKYEJKVNF-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@@H]2c2cc[nH]n2)c(Cl)c1 ZINC000653649235 1129790181 /nfs/dbraw/zinc/79/01/81/1129790181.db2.gz VTBONPKYEJKVNF-OAHLLOKOSA-N 1 2 275.783 3.709 20 0 CHADLO CCCC[C@H](C)C(=O)Nc1ccc(-n2cc[nH+]c2)c(C)c1 ZINC001136624948 1131397781 /nfs/dbraw/zinc/39/77/81/1131397781.db2.gz QWFOMRFNUBAQQZ-ZDUSSCGKSA-N 1 2 285.391 3.946 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H](OC3CCC3)C2)cs1 ZINC000653814211 1129796498 /nfs/dbraw/zinc/79/64/98/1129796498.db2.gz KVLKTNUQRJNSRH-OAHLLOKOSA-N 1 2 294.464 3.800 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H](OC3CCC3)C2)cs1 ZINC000653814211 1129796502 /nfs/dbraw/zinc/79/65/02/1129796502.db2.gz KVLKTNUQRJNSRH-OAHLLOKOSA-N 1 2 294.464 3.800 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1csc(C)c1)C2 ZINC000653834236 1129797255 /nfs/dbraw/zinc/79/72/55/1129797255.db2.gz ONAKZLQNLYFVIK-UHFFFAOYSA-N 1 2 259.374 3.581 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1csc(C)c1)C2 ZINC000653834236 1129797258 /nfs/dbraw/zinc/79/72/58/1129797258.db2.gz ONAKZLQNLYFVIK-UHFFFAOYSA-N 1 2 259.374 3.581 20 0 CHADLO CCCCCC[C@H](C)C(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000742066276 1129807679 /nfs/dbraw/zinc/80/76/79/1129807679.db2.gz SEOYBBPOOLPJRK-HOTGVXAUSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1nc(C[NH2+]Cc2cscc2Cl)sc1C ZINC000381419535 1129839508 /nfs/dbraw/zinc/83/95/08/1129839508.db2.gz WWKWXCJTEOSSPA-UHFFFAOYSA-N 1 2 272.826 3.765 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+](C)Cc1coc(C2CC2)n1 ZINC000768215360 1129844508 /nfs/dbraw/zinc/84/45/08/1129844508.db2.gz DVOAWCGSICKHSY-LLVKDONJSA-N 1 2 274.339 3.884 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1coc(C2CC2)n1 ZINC000768215360 1129844512 /nfs/dbraw/zinc/84/45/12/1129844512.db2.gz DVOAWCGSICKHSY-LLVKDONJSA-N 1 2 274.339 3.884 20 0 CHADLO CC[C@H]([NH2+]C/C(Cl)=C/Cl)c1c(C)noc1C ZINC000393776639 1129847142 /nfs/dbraw/zinc/84/71/42/1129847142.db2.gz NLNCKDVJDVEOJR-BSKOKIOFSA-N 1 2 263.168 3.651 20 0 CHADLO CC(C)OC[C@@H](C)Nc1ccc([NH+]2CCCC2)cc1 ZINC000800225360 1129856928 /nfs/dbraw/zinc/85/69/28/1129856928.db2.gz KIVCTVMQWJWBCK-CQSZACIVSA-N 1 2 262.397 3.512 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2N[C@H]1CCC(C)(C)C1 ZINC000768526367 1129859787 /nfs/dbraw/zinc/85/97/87/1129859787.db2.gz FKLWVSRHDVXYDA-NSHDSACASA-N 1 2 287.411 3.572 20 0 CHADLO CO[C@@H](CNc1[nH+]c2ccccc2cc1C)C(F)(F)F ZINC000768523121 1129860276 /nfs/dbraw/zinc/86/02/76/1129860276.db2.gz XCDJXNMUEWMQDT-LBPRGKRZSA-N 1 2 284.281 3.532 20 0 CHADLO Cc1ccc(C(C)(C)[NH2+]Cc2csc(Cl)n2)cn1 ZINC000828867449 1131404120 /nfs/dbraw/zinc/40/41/20/1131404120.db2.gz CMEFMYOIZFPHPI-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@H+](Cc2ccc(F)nc2)C1 ZINC000800536133 1129882984 /nfs/dbraw/zinc/88/29/84/1129882984.db2.gz MRADAHLEUQWVMU-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@@H+](Cc2ccc(F)nc2)C1 ZINC000800536133 1129882988 /nfs/dbraw/zinc/88/29/88/1129882988.db2.gz MRADAHLEUQWVMU-KRWDZBQOSA-N 1 2 288.341 3.600 20 0 CHADLO Oc1ccc([C@H]2CCC[N@@H+]2Cc2coc(C3CC3)n2)cc1 ZINC000769103998 1129890793 /nfs/dbraw/zinc/89/07/93/1129890793.db2.gz FPOVRZPOIGPHGP-MRXNPFEDSA-N 1 2 284.359 3.595 20 0 CHADLO Oc1ccc([C@H]2CCC[N@H+]2Cc2coc(C3CC3)n2)cc1 ZINC000769103998 1129890800 /nfs/dbraw/zinc/89/08/00/1129890800.db2.gz FPOVRZPOIGPHGP-MRXNPFEDSA-N 1 2 284.359 3.595 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@@H+]2Cc2coc(C3CC3)n2)n1 ZINC000769425773 1129907395 /nfs/dbraw/zinc/90/73/95/1129907395.db2.gz KUYHPWIJXSRQNK-INIZCTEOSA-N 1 2 283.375 3.593 20 0 CHADLO Cc1cccc([C@@H]2CCC[N@H+]2Cc2coc(C3CC3)n2)n1 ZINC000769425773 1129907397 /nfs/dbraw/zinc/90/73/97/1129907397.db2.gz KUYHPWIJXSRQNK-INIZCTEOSA-N 1 2 283.375 3.593 20 0 CHADLO CC1(C)CC[N@@H+]1Cc1c(Cl)cccc1OC(F)F ZINC000769447223 1129910510 /nfs/dbraw/zinc/91/05/10/1129910510.db2.gz WCKQZOQVQJAAPA-UHFFFAOYSA-N 1 2 275.726 3.926 20 0 CHADLO CC1(C)CC[N@H+]1Cc1c(Cl)cccc1OC(F)F ZINC000769447223 1129910511 /nfs/dbraw/zinc/91/05/11/1129910511.db2.gz WCKQZOQVQJAAPA-UHFFFAOYSA-N 1 2 275.726 3.926 20 0 CHADLO FCc1ccc(C[N@@H+]2CCOc3cccc(F)c3C2)cc1 ZINC000801583771 1129929408 /nfs/dbraw/zinc/92/94/08/1129929408.db2.gz MUFJNVRCQVPBPQ-UHFFFAOYSA-N 1 2 289.325 3.690 20 0 CHADLO FCc1ccc(C[N@H+]2CCOc3cccc(F)c3C2)cc1 ZINC000801583771 1129929411 /nfs/dbraw/zinc/92/94/11/1129929411.db2.gz MUFJNVRCQVPBPQ-UHFFFAOYSA-N 1 2 289.325 3.690 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ncc(Cl)s1)c1ccccn1 ZINC000769914741 1129929791 /nfs/dbraw/zinc/92/97/91/1129929791.db2.gz PMNDCUQYISPBCJ-CYBMUJFWSA-N 1 2 281.812 3.678 20 0 CHADLO Clc1ccc2c(c1)CC[C@H]2[N@@H+]1Cc2cccnc2C1 ZINC000822996215 1131409780 /nfs/dbraw/zinc/40/97/80/1131409780.db2.gz JEBFYYBTRPGQHW-MRXNPFEDSA-N 1 2 270.763 3.738 20 0 CHADLO Clc1ccc2c(c1)CC[C@H]2[N@H+]1Cc2cccnc2C1 ZINC000822996215 1131409783 /nfs/dbraw/zinc/40/97/83/1131409783.db2.gz JEBFYYBTRPGQHW-MRXNPFEDSA-N 1 2 270.763 3.738 20 0 CHADLO O=C(OCc1cccc2[nH+]ccn21)c1sccc1C1CC1 ZINC000770921972 1129963707 /nfs/dbraw/zinc/96/37/07/1129963707.db2.gz HSJNRUOYYFBEGT-UHFFFAOYSA-N 1 2 298.367 3.630 20 0 CHADLO CCn1cnc(C[NH2+][C@@H](C)c2ccccc2OC(C)C)c1 ZINC000902075832 1129977464 /nfs/dbraw/zinc/97/74/64/1129977464.db2.gz FQWMVRYYBOPCKZ-AWEZNQCLSA-N 1 2 287.407 3.541 20 0 CHADLO Cc1nn(C(C)C)cc1CNc1cc(C)[nH+]c(C(C)C)n1 ZINC000902185908 1129986628 /nfs/dbraw/zinc/98/66/28/1129986628.db2.gz QEILKUULXKXDAJ-UHFFFAOYSA-N 1 2 287.411 3.606 20 0 CHADLO CC[C@@H](OC(=O)[C@@H](c1ccccc1)[NH+](C)C)c1ccccc1 ZINC000780088061 1129993950 /nfs/dbraw/zinc/99/39/50/1129993950.db2.gz HRZBGRBYCFNUAH-QZTJIDSGSA-N 1 2 297.398 3.984 20 0 CHADLO FC1(F)[C@@H]2C[C@@H](COc3ccccc3-n3cc[nH+]c3)C[C@@H]21 ZINC000902262968 1129994954 /nfs/dbraw/zinc/99/49/54/1129994954.db2.gz HFHKQHVZALBSGG-XYYAHUGASA-N 1 2 290.313 3.542 20 0 CHADLO Cc1ncc(CCNc2[nH+]c3ccccc3cc2C)s1 ZINC000771927689 1129995169 /nfs/dbraw/zinc/99/51/69/1129995169.db2.gz MRNVLRCZMLGLEI-UHFFFAOYSA-N 1 2 283.400 3.963 20 0 CHADLO Cc1ncc(CCNc2cc(C(F)(F)F)cc[nH+]2)s1 ZINC000771928751 1129995317 /nfs/dbraw/zinc/99/53/17/1129995317.db2.gz QBXUCHCKUHZKHK-UHFFFAOYSA-N 1 2 287.310 3.520 20 0 CHADLO C/C(=C/C(C)(C)C)C(=O)Nc1ccccc1-n1cc[nH+]c1 ZINC000772109865 1130005071 /nfs/dbraw/zinc/00/50/71/1130005071.db2.gz ODISVVYFHZGPEY-QBFSEMIESA-N 1 2 283.375 3.803 20 0 CHADLO CC(=O)c1ccc(-c2cccc3[nH+]c(C)cn32)cc1 ZINC001239629944 1130022561 /nfs/dbraw/zinc/02/25/61/1130022561.db2.gz PIOCTJQJSHHCSH-UHFFFAOYSA-N 1 2 250.301 3.512 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2cc(O)ccc2F)cc1 ZINC001242765707 1130027316 /nfs/dbraw/zinc/02/73/16/1130027316.db2.gz XFJUWCHKAHHJDZ-UHFFFAOYSA-N 1 2 268.291 3.599 20 0 CHADLO CC(=O)c1cccc(-c2cn3cc[nH+]c3cc2C)c1 ZINC001239636136 1130027841 /nfs/dbraw/zinc/02/78/41/1130027841.db2.gz SPORCHKIHAPLIK-UHFFFAOYSA-N 1 2 250.301 3.512 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cccc(C(C)=O)c1 ZINC001239637893 1130028965 /nfs/dbraw/zinc/02/89/65/1130028965.db2.gz DWZBALTYVICCIM-UHFFFAOYSA-N 1 2 292.338 3.751 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccc[nH]2)cnc1Cl ZINC000772596811 1130032812 /nfs/dbraw/zinc/03/28/12/1130032812.db2.gz BBPCCXMVCSZHEA-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccc[nH]2)cnc1Cl ZINC000772596811 1130032819 /nfs/dbraw/zinc/03/28/19/1130032819.db2.gz BBPCCXMVCSZHEA-CQSZACIVSA-N 1 2 275.783 3.709 20 0 CHADLO C[C@H]([NH2+]c1ccc(N2CCOC[C@@H]2C)cc1)[C@@H]1CC1(C)C ZINC000785496982 1130036664 /nfs/dbraw/zinc/03/66/64/1130036664.db2.gz PEGHXFXCBYJTLV-ZQIUZPCESA-N 1 2 288.435 3.758 20 0 CHADLO Cc1ccc2[nH+]c(C)cc(OCc3ncnn3C(C)C)c2c1 ZINC000902692787 1130039278 /nfs/dbraw/zinc/03/92/78/1130039278.db2.gz BAJBYKDKTUGDEB-UHFFFAOYSA-N 1 2 296.374 3.603 20 0 CHADLO COc1ccc(OC)c(-c2ccc(-c3c[nH+]cn3C)cc2)c1 ZINC001239667540 1130042712 /nfs/dbraw/zinc/04/27/12/1130042712.db2.gz MBOROBLJIJWZLN-UHFFFAOYSA-N 1 2 294.354 3.771 20 0 CHADLO CCOC(=[NH2+])c1cccc(-c2cc(OC)ccc2OC)c1 ZINC001239667228 1130042854 /nfs/dbraw/zinc/04/28/54/1130042854.db2.gz GLJSCCUVSSCOMF-UHFFFAOYSA-N 1 2 285.343 3.733 20 0 CHADLO CCc1cc(C[NH2+][C@@H](C)c2ccc(C(F)(F)F)cn2)on1 ZINC000902816348 1130062584 /nfs/dbraw/zinc/06/25/84/1130062584.db2.gz ONDQGNJIZOXKFM-VIFPVBQESA-N 1 2 299.296 3.502 20 0 CHADLO CCC[N@H+](C/C=C\Cl)[C@@H](C)C(=O)Nc1ccccc1C ZINC000786127646 1130081497 /nfs/dbraw/zinc/08/14/97/1130081497.db2.gz CWYLMJJELKEKBT-YHAOYDPOSA-N 1 2 294.826 3.787 20 0 CHADLO CCC[N@@H+](C/C=C\Cl)[C@@H](C)C(=O)Nc1ccccc1C ZINC000786127646 1130081504 /nfs/dbraw/zinc/08/15/04/1130081504.db2.gz CWYLMJJELKEKBT-YHAOYDPOSA-N 1 2 294.826 3.787 20 0 CHADLO CCc1ccccc1-c1ccc(-n2c[nH+]c(C)c2C)nn1 ZINC001239745722 1130086635 /nfs/dbraw/zinc/08/66/35/1130086635.db2.gz VTXJKQKGHVGUMY-UHFFFAOYSA-N 1 2 278.359 3.509 20 0 CHADLO CCc1ccccc1-c1ccc(C[NH+]2CCOCC2)cc1F ZINC001239745498 1130087173 /nfs/dbraw/zinc/08/71/73/1130087173.db2.gz QAEAQWXTPVXSII-UHFFFAOYSA-N 1 2 299.389 3.887 20 0 CHADLO CCc1ccccc1-c1cn2cc[nH+]c2cc1OC ZINC001239746226 1130088020 /nfs/dbraw/zinc/08/80/20/1130088020.db2.gz PPORHPLUXYYSGS-UHFFFAOYSA-N 1 2 252.317 3.572 20 0 CHADLO Clc1ccc2c(cc[nH+]c2N2CCC[C@@H]3C[C@@H]32)c1 ZINC001157132946 1130089207 /nfs/dbraw/zinc/08/92/07/1130089207.db2.gz GPUKNDMOYPDBHH-RISCZKNCSA-N 1 2 258.752 3.877 20 0 CHADLO COc1ccc(C)cc1-c1ccc(-n2cc[nH+]c2)cc1OC ZINC001239770083 1130101360 /nfs/dbraw/zinc/10/13/60/1130101360.db2.gz HXMICCZUFHALIY-UHFFFAOYSA-N 1 2 294.354 3.865 20 0 CHADLO c1c[nH+]c(-c2ccc(-c3ccc4c(c3)CCO4)cc2)[nH]1 ZINC001239805482 1130115531 /nfs/dbraw/zinc/11/55/31/1130115531.db2.gz ATXNVRDAZUNITO-UHFFFAOYSA-N 1 2 262.312 3.679 20 0 CHADLO Cc1ccc(-c2c[nH+]c(N3CCOCC3)cc2C)c(C)c1 ZINC001239812099 1130119732 /nfs/dbraw/zinc/11/97/32/1130119732.db2.gz OVZKOULYDUWOFE-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N3CCCC3)c2)cc1F ZINC001239821512 1130123897 /nfs/dbraw/zinc/12/38/97/1130123897.db2.gz PLROONUIFDGRCU-UHFFFAOYSA-N 1 2 256.324 3.796 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2nc3c(s2)CCC3)o1 ZINC000903192046 1130127157 /nfs/dbraw/zinc/12/71/57/1130127157.db2.gz FEEFALXJCQFEPU-JTQLQIEISA-N 1 2 276.405 3.638 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1cnn(CC2CCC2)c1 ZINC000903199257 1130129458 /nfs/dbraw/zinc/12/94/58/1130129458.db2.gz JLYILQCGJRRUFD-NEPJUHHUSA-N 1 2 290.436 3.552 20 0 CHADLO Cc1cc(-c2ccnc3ccccc32)c2[nH+]ccn2c1 ZINC001239851813 1130134902 /nfs/dbraw/zinc/13/49/02/1130134902.db2.gz UMFPLVUGMUDLFB-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO CCC[C@H]1C[N@H+](Cc2ccc(C(F)F)c(F)c2)CCO1 ZINC001461958610 1130135094 /nfs/dbraw/zinc/13/50/94/1130135094.db2.gz KAJKDSAFMZEGLO-LBPRGKRZSA-N 1 2 287.325 3.764 20 0 CHADLO CCC[C@H]1C[N@@H+](Cc2ccc(C(F)F)c(F)c2)CCO1 ZINC001461958610 1130135096 /nfs/dbraw/zinc/13/50/96/1130135096.db2.gz KAJKDSAFMZEGLO-LBPRGKRZSA-N 1 2 287.325 3.764 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2ccc(Cl)nc2C(F)(F)F)C1 ZINC000787156048 1130138442 /nfs/dbraw/zinc/13/84/42/1130138442.db2.gz VUKUDZDCQOFTHP-VIFPVBQESA-N 1 2 292.732 3.986 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2ccc(Cl)nc2C(F)(F)F)C1 ZINC000787156048 1130138445 /nfs/dbraw/zinc/13/84/45/1130138445.db2.gz VUKUDZDCQOFTHP-VIFPVBQESA-N 1 2 292.732 3.986 20 0 CHADLO CC[C@@H](C)Nc1[nH+]cccc1OCc1ccccc1 ZINC001157238127 1130152011 /nfs/dbraw/zinc/15/20/11/1130152011.db2.gz LIRDGPGPNFTITR-CYBMUJFWSA-N 1 2 256.349 3.871 20 0 CHADLO Cc1cnc(C)c(-c2ccc(F)c(-n3cc[nH+]c3)c2)c1 ZINC001239913623 1130152138 /nfs/dbraw/zinc/15/21/38/1130152138.db2.gz YWBCTFKSOBKGHT-UHFFFAOYSA-N 1 2 267.307 3.690 20 0 CHADLO COc1cc2[nH+]ccn2cc1-c1ccc2c(ccn2C)c1 ZINC001239941843 1130162500 /nfs/dbraw/zinc/16/25/00/1130162500.db2.gz ANPPNCVIXPEXPD-UHFFFAOYSA-N 1 2 277.327 3.502 20 0 CHADLO Cn1cnc(C[NH2+][C@@H]2CCc3cc(Cl)cc(Cl)c32)c1 ZINC000903352871 1130165640 /nfs/dbraw/zinc/16/56/40/1130165640.db2.gz YJMSVKUEFDGFDC-CYBMUJFWSA-N 1 2 296.201 3.504 20 0 CHADLO Cc1cc(-c2cncc3ccccc32)cn2cc[nH+]c12 ZINC001239947812 1130166247 /nfs/dbraw/zinc/16/62/47/1130166247.db2.gz WAIQNCYTSGMOIY-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO C/C(=C\C=C\[NH3+])c1cccc(C(=O)Nc2ccccc2)c1 ZINC001240114406 1130213703 /nfs/dbraw/zinc/21/37/03/1130213703.db2.gz WQZPFBWTZVQQND-XYRFXFQBSA-N 1 2 278.355 3.815 20 0 CHADLO Cc1ccc(N2CC[NH+](Cc3ccc(Cl)o3)CC2)cc1 ZINC000753585614 1130221978 /nfs/dbraw/zinc/22/19/78/1130221978.db2.gz JAJXNQCIVNRNTH-UHFFFAOYSA-N 1 2 290.794 3.564 20 0 CHADLO Cc1ccc(C(F)(F)F)nc1-c1ccc2[nH+]ccn2c1 ZINC001240214869 1130233287 /nfs/dbraw/zinc/23/32/87/1130233287.db2.gz PYZKMYXGLIZBEI-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Fc1cnc(-c2ccc3[nH+]ccn3c2)cc1C(F)(F)F ZINC001240214941 1130233669 /nfs/dbraw/zinc/23/36/69/1130233669.db2.gz ROVBBNYZZXDHNI-UHFFFAOYSA-N 1 2 281.212 3.554 20 0 CHADLO Fc1ccc2cnc(-c3ccc4[nH+]ccn4c3)cc2c1 ZINC001240213821 1130233913 /nfs/dbraw/zinc/23/39/13/1130233913.db2.gz HNHUBOVEKRCJDB-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1ccc(-c2ccc3[nH+]ccn3c2)nc1C(F)(F)F ZINC001240215636 1130234643 /nfs/dbraw/zinc/23/46/43/1130234643.db2.gz ZZXFVYQBKGXBFD-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Cc1ccc(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2)s1 ZINC000748494754 1130234844 /nfs/dbraw/zinc/23/48/44/1130234844.db2.gz LRZQBNJNVIEJPE-UHFFFAOYSA-N 1 2 298.367 3.599 20 0 CHADLO Cc1c(F)c(F)cc(-c2ccc3[nH+]ccn3c2)c1F ZINC001240218124 1130235462 /nfs/dbraw/zinc/23/54/62/1130235462.db2.gz BRGLOSPTVALOJJ-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO c1csc(-c2cc(-c3ccc4[nH+]ccn4c3)ncn2)c1 ZINC001240217050 1130235610 /nfs/dbraw/zinc/23/56/10/1130235610.db2.gz ZBFBFOIJLZCJRZ-UHFFFAOYSA-N 1 2 278.340 3.520 20 0 CHADLO Cc1nn(C[N@@H+](CC2CCCCC2)C2CC2)c(=S)s1 ZINC000748534900 1130236222 /nfs/dbraw/zinc/23/62/22/1130236222.db2.gz NGHJRCBUIBRNNW-UHFFFAOYSA-N 1 2 297.493 3.985 20 0 CHADLO Cc1nn(C[N@H+](CC2CCCCC2)C2CC2)c(=S)s1 ZINC000748534900 1130236219 /nfs/dbraw/zinc/23/62/19/1130236219.db2.gz NGHJRCBUIBRNNW-UHFFFAOYSA-N 1 2 297.493 3.985 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2)nc(-c2ccsc2)n1 ZINC001240217852 1130236319 /nfs/dbraw/zinc/23/63/19/1130236319.db2.gz YNNZFLUJCZXOQT-UHFFFAOYSA-N 1 2 292.367 3.828 20 0 CHADLO CC1(C)CC(=O)Nc2cc(-c3ccc4[nH+]ccn4c3)ccc21 ZINC001240218831 1130236819 /nfs/dbraw/zinc/23/68/19/1130236819.db2.gz LBCIOIZLMNBHFV-UHFFFAOYSA-N 1 2 291.354 3.621 20 0 CHADLO CC(C)(C)c1cc(-c2ccc3[nH+]ccn3c2)ccn1 ZINC001240218851 1130237100 /nfs/dbraw/zinc/23/71/00/1130237100.db2.gz MLTXLOIFVICFCF-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO Cn1c[nH+]cc1-c1ccc2cc(C(F)F)cnc2c1 ZINC001243072975 1130237658 /nfs/dbraw/zinc/23/76/58/1130237658.db2.gz VKNUYQPVIIATEF-UHFFFAOYSA-N 1 2 259.259 3.573 20 0 CHADLO COc1cc2c(cc1C)nccc2-c1ccc2[nH+]ccn2c1 ZINC001240220911 1130238058 /nfs/dbraw/zinc/23/80/58/1130238058.db2.gz NLOOMKGOINWLIO-UHFFFAOYSA-N 1 2 289.338 3.867 20 0 CHADLO COC(=[NH2+])c1cccc(-c2c3cc[nH]c3ccc2F)c1 ZINC001240220068 1130238636 /nfs/dbraw/zinc/23/86/36/1130238636.db2.gz UVXQNKZTEFGLCC-UHFFFAOYSA-N 1 2 268.291 3.946 20 0 CHADLO Cc1ccc2cncc(-c3ccc4[nH+]ccn4c3)c2c1 ZINC001240219594 1130238925 /nfs/dbraw/zinc/23/89/25/1130238925.db2.gz PJFPXDVGBRNSEP-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO O=C(CCCn1cc[nH+]c1)Oc1cc(Cl)ccc1Cl ZINC000748604642 1130239198 /nfs/dbraw/zinc/23/91/98/1130239198.db2.gz KPSSATOAKZGWNY-UHFFFAOYSA-N 1 2 299.157 3.576 20 0 CHADLO COc1ccc(-c2ccc3[nH+]ccn3c2)cc1SC ZINC001240221268 1130239588 /nfs/dbraw/zinc/23/95/88/1130239588.db2.gz KDKBVICUUSPCKI-UHFFFAOYSA-N 1 2 270.357 3.732 20 0 CHADLO CC(C)COC(=O)[C@H](C)[NH2+][C@@H]1CCC[C@H]1c1ccccc1 ZINC000789579386 1130261174 /nfs/dbraw/zinc/26/11/74/1130261174.db2.gz GFRHTXHPPJPRHT-BHYGNILZSA-N 1 2 289.419 3.500 20 0 CHADLO Cn1ncc2ccc(-c3ccc(F)c(-n4cc[nH+]c4)c3)cc21 ZINC001240310561 1130261582 /nfs/dbraw/zinc/26/15/82/1130261582.db2.gz NJRKAJNDYNDHEU-UHFFFAOYSA-N 1 2 292.317 3.565 20 0 CHADLO COc1ccc(Cl)c(C[N@H+](C)Cc2cccnc2C)c1 ZINC001137265465 1130273209 /nfs/dbraw/zinc/27/32/09/1130273209.db2.gz GQQGSRHVIOYLAQ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(Cl)c(C[N@@H+](C)Cc2cccnc2C)c1 ZINC001137265465 1130273213 /nfs/dbraw/zinc/27/32/13/1130273213.db2.gz GQQGSRHVIOYLAQ-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COC(=[NH2+])c1cccc(-c2ccc3ncsc3c2)c1 ZINC001240347283 1130274002 /nfs/dbraw/zinc/27/40/02/1130274002.db2.gz YBFIVCQTFPHKQP-UHFFFAOYSA-N 1 2 268.341 3.935 20 0 CHADLO Cc1csc(C[NH+]2CC3(C2)CC(F)(F)C3)c1Cl ZINC001137287190 1130291735 /nfs/dbraw/zinc/29/17/35/1130291735.db2.gz BVDPYOMNOMROJS-UHFFFAOYSA-N 1 2 277.767 3.941 20 0 CHADLO CC(C)C[N@H+](CCC[C@@H]1CCOC1)c1ccccc1 ZINC001170128266 1130292093 /nfs/dbraw/zinc/29/20/93/1130292093.db2.gz OHTUJEZZFZDKIA-MRXNPFEDSA-N 1 2 261.409 3.966 20 0 CHADLO CC(C)C[N@@H+](CCC[C@@H]1CCOC1)c1ccccc1 ZINC001170128266 1130292096 /nfs/dbraw/zinc/29/20/96/1130292096.db2.gz OHTUJEZZFZDKIA-MRXNPFEDSA-N 1 2 261.409 3.966 20 0 CHADLO Cc1csc(C[N@@H+]2CCCC(=O)[C@H](C)C2)c1Cl ZINC001137287446 1130292518 /nfs/dbraw/zinc/29/25/18/1130292518.db2.gz KQUGICFCQYECBL-SECBINFHSA-N 1 2 271.813 3.511 20 0 CHADLO Cc1csc(C[N@H+]2CCCC(=O)[C@H](C)C2)c1Cl ZINC001137287446 1130292525 /nfs/dbraw/zinc/29/25/25/1130292525.db2.gz KQUGICFCQYECBL-SECBINFHSA-N 1 2 271.813 3.511 20 0 CHADLO Cc1csc(C[N@H+](C)Cc2cncs2)c1Cl ZINC001137292902 1130305884 /nfs/dbraw/zinc/30/58/84/1130305884.db2.gz VDPJPQWWDJBDNR-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1csc(C[N@@H+](C)Cc2cncs2)c1Cl ZINC001137292902 1130305890 /nfs/dbraw/zinc/30/58/90/1130305890.db2.gz VDPJPQWWDJBDNR-UHFFFAOYSA-N 1 2 272.826 3.798 20 0 CHADLO Cc1cc(-c2ccc(C(=O)OC(C)(C)C)cc2)c[nH+]c1N ZINC001240440637 1130314182 /nfs/dbraw/zinc/31/41/82/1130314182.db2.gz GRMQWBFPCCOUAM-UHFFFAOYSA-N 1 2 284.359 3.595 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+]c2ccc(N3C[C@@H](C)O[C@@H](C)C3)cc2)C1 ZINC000790504407 1130317693 /nfs/dbraw/zinc/31/76/93/1130317693.db2.gz FRMJJXITTKHQOJ-AIANPOQGSA-N 1 2 288.435 3.901 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@H+]1C/C(Cl)=C\Cl ZINC000791585706 1130387422 /nfs/dbraw/zinc/38/74/22/1130387422.db2.gz UCAWSGLOMHUGGS-CSFIIIEKSA-N 1 2 262.102 3.578 20 0 CHADLO Cc1cc2cc(-c3ccc(Cn4cc[nH+]c4)cc3)cnc2[nH]1 ZINC001240489204 1130325339 /nfs/dbraw/zinc/32/53/39/1130325339.db2.gz ALJQQLITPHAWRQ-UHFFFAOYSA-N 1 2 288.354 3.783 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000678270748 1130385613 /nfs/dbraw/zinc/38/56/13/1130385613.db2.gz SWNPFLNRAOFHJC-QMMMGPOBSA-N 1 2 294.609 3.868 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(Cl)ccc(Cl)c2Cl)C1 ZINC000678270748 1130385616 /nfs/dbraw/zinc/38/56/16/1130385616.db2.gz SWNPFLNRAOFHJC-QMMMGPOBSA-N 1 2 294.609 3.868 20 0 CHADLO COc1cc(F)cc2ccc(-c3ccn4cc[nH+]c4c3)nc21 ZINC001240496707 1130329677 /nfs/dbraw/zinc/32/96/77/1130329677.db2.gz GJBCQRKPOMJGAQ-UHFFFAOYSA-N 1 2 293.301 3.697 20 0 CHADLO COc1ccc2cc(-c3ccn4cc[nH+]c4c3)ccc2n1 ZINC001240503118 1130333056 /nfs/dbraw/zinc/33/30/56/1130333056.db2.gz GGFSQJPSZYWFFC-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO F[C@@H]1C[N@H+](Cc2cc3ccccc3[nH]2)CCCC1(F)F ZINC001137313564 1130333877 /nfs/dbraw/zinc/33/38/77/1130333877.db2.gz FUCFSPASQFJMBH-CQSZACIVSA-N 1 2 282.309 3.737 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2cc3ccccc3[nH]2)CCCC1(F)F ZINC001137313564 1130333883 /nfs/dbraw/zinc/33/38/83/1130333883.db2.gz FUCFSPASQFJMBH-CQSZACIVSA-N 1 2 282.309 3.737 20 0 CHADLO CC1(C)COC(c2ccc(-c3ccn4cc[nH+]c4c3)cc2)=N1 ZINC001240510129 1130335214 /nfs/dbraw/zinc/33/52/14/1130335214.db2.gz CNSOGTPIRAJPKS-UHFFFAOYSA-N 1 2 291.354 3.557 20 0 CHADLO Cc1cc(-c2ccn3cc[nH+]c3c2)cc2cccnc12 ZINC001240511608 1130335527 /nfs/dbraw/zinc/33/55/27/1130335527.db2.gz JGIHQGXWHSGARX-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO c1cn2ccc(-c3ccc(OCC4CCC4)nc3)cc2[nH+]1 ZINC001240510736 1130335927 /nfs/dbraw/zinc/33/59/27/1130335927.db2.gz QHOZMABPNOYVJN-UHFFFAOYSA-N 1 2 279.343 3.575 20 0 CHADLO Fc1cc2ccncc2cc1-c1ccn2cc[nH+]c2c1 ZINC001240511196 1130336208 /nfs/dbraw/zinc/33/62/08/1130336208.db2.gz BDJMAESSCGOYRD-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Cc1cc(N(C)C)cc(C)c1-c1ccn2cc[nH+]c2c1 ZINC001240512705 1130336774 /nfs/dbraw/zinc/33/67/74/1130336774.db2.gz YAUZSLGYZTXQKG-UHFFFAOYSA-N 1 2 265.360 3.684 20 0 CHADLO CCOc1c(F)cccc1-c1ccn2cc[nH+]c2c1 ZINC001240512960 1130336857 /nfs/dbraw/zinc/33/68/57/1130336857.db2.gz DTBFJRPPYQXCDP-UHFFFAOYSA-N 1 2 256.280 3.539 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1cnn(CC2CC2)c1 ZINC001240513767 1130337291 /nfs/dbraw/zinc/33/72/91/1130337291.db2.gz DIMZYBRIBLUWPW-UHFFFAOYSA-N 1 2 296.418 3.654 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)[C@H](C)c1nc(C)no1 ZINC000677887295 1130337362 /nfs/dbraw/zinc/33/73/62/1130337362.db2.gz GBFKOUSYCCSJHA-SNVBAGLBSA-N 1 2 279.771 3.615 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)[C@H](C)c1nc(C)no1 ZINC000677887295 1130337368 /nfs/dbraw/zinc/33/73/68/1130337368.db2.gz GBFKOUSYCCSJHA-SNVBAGLBSA-N 1 2 279.771 3.615 20 0 CHADLO Fc1cccc2ccnc(-c3ccn4cc[nH+]c4c3)c12 ZINC001240512531 1130337432 /nfs/dbraw/zinc/33/74/32/1130337432.db2.gz VEFHTILCWPPQBN-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO Fc1cc(-c2ccn3cc[nH+]c3c2)cc2ccoc21 ZINC001240517931 1130340946 /nfs/dbraw/zinc/34/09/46/1130340946.db2.gz IYNLARLREMNCMH-UHFFFAOYSA-N 1 2 252.248 3.887 20 0 CHADLO c1cn2c(cccc2-c2cccc(N3CCCC3)c2)[nH+]1 ZINC001240536090 1130347960 /nfs/dbraw/zinc/34/79/60/1130347960.db2.gz KEFOAUJNQLBPHB-UHFFFAOYSA-N 1 2 263.344 3.602 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1c[nH]c(-c2ccccc2)n1 ZINC000678126548 1130355806 /nfs/dbraw/zinc/35/58/06/1130355806.db2.gz XBQYVRCEQQBMDH-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1c[nH]c(-c2ccccc2)n1 ZINC000678126548 1130355812 /nfs/dbraw/zinc/35/58/12/1130355812.db2.gz XBQYVRCEQQBMDH-UHFFFAOYSA-N 1 2 283.400 3.770 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@H+]1Cc1cnn(C(C)(C)C)c1 ZINC000678196794 1130369434 /nfs/dbraw/zinc/36/94/34/1130369434.db2.gz HNLYDMNPLQRVAZ-CQSZACIVSA-N 1 2 299.418 3.594 20 0 CHADLO C[C@@H]1c2ccccc2OCC[N@@H+]1Cc1cnn(C(C)(C)C)c1 ZINC000678196794 1130369440 /nfs/dbraw/zinc/36/94/40/1130369440.db2.gz HNLYDMNPLQRVAZ-CQSZACIVSA-N 1 2 299.418 3.594 20 0 CHADLO CC(C)C[C@H]1COCC[N@H+]1Cc1cscc1Cl ZINC000678260673 1130380040 /nfs/dbraw/zinc/38/00/40/1130380040.db2.gz KNGVZNBYSWBMPA-LBPRGKRZSA-N 1 2 273.829 3.648 20 0 CHADLO CC(C)C[C@H]1COCC[N@@H+]1Cc1cscc1Cl ZINC000678260673 1130380036 /nfs/dbraw/zinc/38/00/36/1130380036.db2.gz KNGVZNBYSWBMPA-LBPRGKRZSA-N 1 2 273.829 3.648 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2nc3ccccc3n2C)s1 ZINC000678264050 1130382774 /nfs/dbraw/zinc/38/27/74/1130382774.db2.gz KOYKMFYMGIZCCA-UHFFFAOYSA-N 1 2 299.443 3.829 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2nc3ccccc3n2C)s1 ZINC000678264050 1130382780 /nfs/dbraw/zinc/38/27/80/1130382780.db2.gz KOYKMFYMGIZCCA-UHFFFAOYSA-N 1 2 299.443 3.829 20 0 CHADLO C[C@@H]1[C@@H](C(F)(F)F)CC[N@@H+]1C/C(Cl)=C\Cl ZINC000791585706 1130387417 /nfs/dbraw/zinc/38/74/17/1130387417.db2.gz UCAWSGLOMHUGGS-CSFIIIEKSA-N 1 2 262.102 3.578 20 0 CHADLO Clc1cscc1C[N@@H+]1CCOCC12CCCC2 ZINC000678294783 1130393400 /nfs/dbraw/zinc/39/34/00/1130393400.db2.gz TYTCAQBWIJEGKG-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Clc1cscc1C[N@H+]1CCOCC12CCCC2 ZINC000678294783 1130393406 /nfs/dbraw/zinc/39/34/06/1130393406.db2.gz TYTCAQBWIJEGKG-UHFFFAOYSA-N 1 2 271.813 3.547 20 0 CHADLO Cc1cc(O)ccc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001240644072 1130395290 /nfs/dbraw/zinc/39/52/90/1130395290.db2.gz ZAANDOMBOMWTGM-UHFFFAOYSA-N 1 2 250.301 3.553 20 0 CHADLO c1ccc2c(c1)SC[C@H]2[NH2+]Cc1nc2c(s1)CCC2 ZINC000678497625 1130424094 /nfs/dbraw/zinc/42/40/94/1130424094.db2.gz VZTPVDRFKDYIDF-GFCCVEGCSA-N 1 2 288.441 3.568 20 0 CHADLO Cc1ccc(-c2ccc(Cn3cc[nH+]c3)cn2)cc1C ZINC001240700043 1130425636 /nfs/dbraw/zinc/42/56/36/1130425636.db2.gz ILPSMKWVRUGCSU-UHFFFAOYSA-N 1 2 263.344 3.610 20 0 CHADLO Cc1ccc(-c2c[nH+]c(N3CCOCC3)c(C)c2)cc1C ZINC001240700833 1130426358 /nfs/dbraw/zinc/42/63/58/1130426358.db2.gz GBCFYIQOMMWGAT-UHFFFAOYSA-N 1 2 282.387 3.510 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1c(F)c(F)cc(F)c1F ZINC000678595449 1130435639 /nfs/dbraw/zinc/43/56/39/1130435639.db2.gz ORYOWBPGEBHMMW-VIFPVBQESA-N 1 2 298.283 3.797 20 0 CHADLO CC[N@H+](Cc1ccc(C(F)(F)F)cc1)Cc1ncccn1 ZINC000792213578 1130441002 /nfs/dbraw/zinc/44/10/02/1130441002.db2.gz ZNNQTTPIQSZKRN-UHFFFAOYSA-N 1 2 295.308 3.518 20 0 CHADLO CC[N@@H+](Cc1ccc(C(F)(F)F)cc1)Cc1ncccn1 ZINC000792213578 1130441005 /nfs/dbraw/zinc/44/10/05/1130441005.db2.gz ZNNQTTPIQSZKRN-UHFFFAOYSA-N 1 2 295.308 3.518 20 0 CHADLO CCSc1ccc([C@H](C)[NH2+]Cc2nc(CC)no2)cc1 ZINC000678765031 1130450770 /nfs/dbraw/zinc/45/07/70/1130450770.db2.gz VZAUQMRKUQNVPI-NSHDSACASA-N 1 2 291.420 3.595 20 0 CHADLO CC(C)(C)c1noc(C[NH2+][C@@H](CC2CC2)c2ccccc2)n1 ZINC000678777115 1130453185 /nfs/dbraw/zinc/45/31/85/1130453185.db2.gz MUHJSDYUUNYRCL-HNNXBMFYSA-N 1 2 299.418 3.998 20 0 CHADLO CC[C@]([NH2+]C[C@H](C)CC(C)C)(C(=O)OC)c1ccccc1 ZINC001170195247 1130461156 /nfs/dbraw/zinc/46/11/56/1130461156.db2.gz SGXXZOBGPYBVNL-CRAIPNDOSA-N 1 2 291.435 3.737 20 0 CHADLO COC[C@H]1CCC[N@@H+]1Cc1c(Cl)ccc(F)c1Cl ZINC001137353585 1130465936 /nfs/dbraw/zinc/46/59/36/1130465936.db2.gz ROGRRVMULVCDAX-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@H]1CCC[N@H+]1Cc1c(Cl)ccc(F)c1Cl ZINC001137353585 1130465938 /nfs/dbraw/zinc/46/59/38/1130465938.db2.gz ROGRRVMULVCDAX-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc(O)c(F)c2)c1 ZINC001240788039 1130471884 /nfs/dbraw/zinc/47/18/84/1130471884.db2.gz XKXUDRJWKDWMMC-UHFFFAOYSA-N 1 2 268.291 3.599 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3cscc3Cl)cn2c1 ZINC000678931861 1130474345 /nfs/dbraw/zinc/47/43/45/1130474345.db2.gz QBKZFBLLBSVOEG-UHFFFAOYSA-N 1 2 291.807 3.647 20 0 CHADLO c1ccc([C@@H]2CC[N@H+]2Cc2nc3c(s2)CCCC3)cc1 ZINC000678940406 1130476064 /nfs/dbraw/zinc/47/60/64/1130476064.db2.gz DWAAJUBOKKZWAM-HNNXBMFYSA-N 1 2 284.428 3.969 20 0 CHADLO c1ccc([C@@H]2CC[N@@H+]2Cc2nc3c(s2)CCCC3)cc1 ZINC000678940406 1130476067 /nfs/dbraw/zinc/47/60/67/1130476067.db2.gz DWAAJUBOKKZWAM-HNNXBMFYSA-N 1 2 284.428 3.969 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc3c(s2)CCCC3)o1 ZINC000678946475 1130477282 /nfs/dbraw/zinc/47/72/82/1130477282.db2.gz NMHHSHGWTCREFU-NSHDSACASA-N 1 2 276.405 3.774 20 0 CHADLO CC(C)c1cc(C[NH2+][C@@H](C)c2cccc(F)c2F)on1 ZINC000678946401 1130477309 /nfs/dbraw/zinc/47/73/09/1130477309.db2.gz WFHHKGSDNZQQIT-JTQLQIEISA-N 1 2 280.318 3.927 20 0 CHADLO FC(F)(F)c1ccc(-c2c[nH+]c3c(c2)CCCN3)cc1 ZINC001240823781 1130486989 /nfs/dbraw/zinc/48/69/89/1130486989.db2.gz ZNMAJIDVHXYSNC-UHFFFAOYSA-N 1 2 278.277 3.768 20 0 CHADLO COc1ccc(-c2cn3cc[nH+]c3cc2C)cc1C ZINC001240864798 1130496756 /nfs/dbraw/zinc/49/67/56/1130496756.db2.gz IDHAYANBCXYJCX-UHFFFAOYSA-N 1 2 252.317 3.627 20 0 CHADLO Nc1[nH+]cccc1-c1ccc(Oc2cccnc2)cc1 ZINC001240881751 1130501267 /nfs/dbraw/zinc/50/12/67/1130501267.db2.gz GLMQAXFZCUXQOU-UHFFFAOYSA-N 1 2 263.300 3.518 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CCOc2ccccc2C1 ZINC000679212719 1130502078 /nfs/dbraw/zinc/50/20/78/1130502078.db2.gz ISYPIQXCACLDTA-ZDUSSCGKSA-N 1 2 294.354 3.783 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CCOc2ccccc2C1 ZINC000679212719 1130502081 /nfs/dbraw/zinc/50/20/81/1130502081.db2.gz ISYPIQXCACLDTA-ZDUSSCGKSA-N 1 2 294.354 3.783 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccccc2C(F)(F)F)C[C@@H]1F ZINC000679220185 1130503358 /nfs/dbraw/zinc/50/33/58/1130503358.db2.gz CRNYXBVAHKVACQ-MFKMUULPSA-N 1 2 275.289 3.885 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccccc2C(F)(F)F)C[C@@H]1F ZINC000679220185 1130503363 /nfs/dbraw/zinc/50/33/63/1130503363.db2.gz CRNYXBVAHKVACQ-MFKMUULPSA-N 1 2 275.289 3.885 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccccc2C(F)(F)F)C[C@H]1F ZINC000679220186 1130503487 /nfs/dbraw/zinc/50/34/87/1130503487.db2.gz CRNYXBVAHKVACQ-ZWNOBZJWSA-N 1 2 275.289 3.885 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccccc2C(F)(F)F)C[C@H]1F ZINC000679220186 1130503492 /nfs/dbraw/zinc/50/34/92/1130503492.db2.gz CRNYXBVAHKVACQ-ZWNOBZJWSA-N 1 2 275.289 3.885 20 0 CHADLO Cc1cc(-c2cccc3cccnc32)c2[nH+]ccn2c1 ZINC001240894935 1130506308 /nfs/dbraw/zinc/50/63/08/1130506308.db2.gz RHMOXYYFXCLOOG-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO FC(F)Oc1ccc(Cl)cc1C[N@H+]1CC=CCC1 ZINC000679322811 1130514828 /nfs/dbraw/zinc/51/48/28/1130514828.db2.gz BWHSBYJWYIFXME-UHFFFAOYSA-N 1 2 273.710 3.703 20 0 CHADLO FC(F)Oc1ccc(Cl)cc1C[N@@H+]1CC=CCC1 ZINC000679322811 1130514829 /nfs/dbraw/zinc/51/48/29/1130514829.db2.gz BWHSBYJWYIFXME-UHFFFAOYSA-N 1 2 273.710 3.703 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@H](c1ccc(C)cc1)C1CC1 ZINC000679332188 1130515955 /nfs/dbraw/zinc/51/59/55/1130515955.db2.gz SAOYGDJAVNAWJB-YVEFUNNKSA-N 1 2 269.392 3.828 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](c1ccccc1)C(C)C ZINC000679330227 1130516283 /nfs/dbraw/zinc/51/62/83/1130516283.db2.gz DUQQLHGKYAYFTA-MLGOLLRUSA-N 1 2 257.381 3.766 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@H+]2CC[C@H](C)[C@H](F)C2)c1 ZINC000679362577 1130522358 /nfs/dbraw/zinc/52/23/58/1130522358.db2.gz ZPTYNDFUMZXGDJ-WCQYABFASA-N 1 2 287.325 3.776 20 0 CHADLO Cc1ccc(OC(F)F)c(C[N@@H+]2CC[C@H](C)[C@H](F)C2)c1 ZINC000679362577 1130522362 /nfs/dbraw/zinc/52/23/62/1130522362.db2.gz ZPTYNDFUMZXGDJ-WCQYABFASA-N 1 2 287.325 3.776 20 0 CHADLO COc1cc(Cl)c(C[N@H+]2CC=CCC2)cc1Cl ZINC000679360253 1130522685 /nfs/dbraw/zinc/52/26/85/1130522685.db2.gz UHULJTPESVLTKP-UHFFFAOYSA-N 1 2 272.175 3.764 20 0 CHADLO COc1cc(Cl)c(C[N@@H+]2CC=CCC2)cc1Cl ZINC000679360253 1130522691 /nfs/dbraw/zinc/52/26/91/1130522691.db2.gz UHULJTPESVLTKP-UHFFFAOYSA-N 1 2 272.175 3.764 20 0 CHADLO COc1cccc(C[N@@H+]2CC[C@@H](C)[C@H](F)C2)c1OC(C)C ZINC000679359954 1130522752 /nfs/dbraw/zinc/52/27/52/1130522752.db2.gz SXPJEKQWZYNHCS-UKRRQHHQSA-N 1 2 295.398 3.662 20 0 CHADLO COc1cccc(C[N@H+]2CC[C@@H](C)[C@H](F)C2)c1OC(C)C ZINC000679359954 1130522756 /nfs/dbraw/zinc/52/27/56/1130522756.db2.gz SXPJEKQWZYNHCS-UKRRQHHQSA-N 1 2 295.398 3.662 20 0 CHADLO Cc1ccc([C@H]([NH2+]Cc2ccoc2)c2ccccn2)cc1 ZINC000793250823 1130535938 /nfs/dbraw/zinc/53/59/38/1130535938.db2.gz NHYKWYQZIHFYKV-SFHVURJKSA-N 1 2 278.355 3.862 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N3CCCCC3)c2)cc1N ZINC001240962642 1130539437 /nfs/dbraw/zinc/53/94/37/1130539437.db2.gz VGSSQBLETKNGMU-UHFFFAOYSA-N 1 2 267.376 3.630 20 0 CHADLO Cc1csc(C[N@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)n1 ZINC000679544914 1130540139 /nfs/dbraw/zinc/54/01/39/1130540139.db2.gz IWRBSVGYVKAAAX-NXEZZACHSA-N 1 2 278.343 3.614 20 0 CHADLO Cc1csc(C[N@@H+]2C[C@H](C(F)(F)F)CC[C@H]2C)n1 ZINC000679544914 1130540140 /nfs/dbraw/zinc/54/01/40/1130540140.db2.gz IWRBSVGYVKAAAX-NXEZZACHSA-N 1 2 278.343 3.614 20 0 CHADLO CN(C)c1cccc(-c2cc[nH+]c(N3CCCCC3)c2)c1 ZINC001240985214 1130551814 /nfs/dbraw/zinc/55/18/14/1130551814.db2.gz WSTVOEVLJRVNMI-UHFFFAOYSA-N 1 2 281.403 3.805 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@@H](OC(F)F)C2)cc1Cl ZINC000679739900 1130554120 /nfs/dbraw/zinc/55/41/20/1130554120.db2.gz ISHYRXSAIQQTTQ-SNVBAGLBSA-N 1 2 293.716 3.683 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@@H](OC(F)F)C2)cc1Cl ZINC000679739900 1130554127 /nfs/dbraw/zinc/55/41/27/1130554127.db2.gz ISHYRXSAIQQTTQ-SNVBAGLBSA-N 1 2 293.716 3.683 20 0 CHADLO CN(C)c1cccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)c1 ZINC001240988265 1130554705 /nfs/dbraw/zinc/55/47/05/1130554705.db2.gz NHTIRWIQEJCAMK-UHFFFAOYSA-N 1 2 290.357 3.759 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H](OC(F)F)C2)cc1Cl ZINC000679739898 1130554770 /nfs/dbraw/zinc/55/47/70/1130554770.db2.gz ISHYRXSAIQQTTQ-JTQLQIEISA-N 1 2 293.716 3.683 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H](OC(F)F)C2)cc1Cl ZINC000679739898 1130554772 /nfs/dbraw/zinc/55/47/72/1130554772.db2.gz ISHYRXSAIQQTTQ-JTQLQIEISA-N 1 2 293.716 3.683 20 0 CHADLO Cc1c(-c2ccc(F)c(F)c2F)ccc2[nH+]ccn21 ZINC001240993827 1130557627 /nfs/dbraw/zinc/55/76/27/1130557627.db2.gz QYTCYHQOMOMMQQ-UHFFFAOYSA-N 1 2 262.234 3.727 20 0 CHADLO C[C@H](CCC(C)(C)C)[NH2+]c1ccc(N(C)CCO)cc1 ZINC000793544575 1130567587 /nfs/dbraw/zinc/56/75/87/1130567587.db2.gz QRWMHKOAPBYZEP-CQSZACIVSA-N 1 2 278.440 3.742 20 0 CHADLO Nc1cc(COC(=O)c2ccc(C3CCCC3)cc2)cc[nH+]1 ZINC000811414231 1130607821 /nfs/dbraw/zinc/60/78/21/1130607821.db2.gz NUYNVHSUPAQHSO-UHFFFAOYSA-N 1 2 296.370 3.678 20 0 CHADLO CC1CC[NH+](Cc2ccnc(Cl)c2Cl)CC1 ZINC000811453600 1130610082 /nfs/dbraw/zinc/61/00/82/1130610082.db2.gz OQLRDRARENQXQC-UHFFFAOYSA-N 1 2 259.180 3.620 20 0 CHADLO C[C@@H](C[N@H+](C)Cc1nc2ccccc2s1)C(F)(F)F ZINC000441149021 1130635094 /nfs/dbraw/zinc/63/50/94/1130635094.db2.gz UWJQGMQSYCLICX-VIFPVBQESA-N 1 2 288.338 3.927 20 0 CHADLO C[C@@H](C[N@@H+](C)Cc1nc2ccccc2s1)C(F)(F)F ZINC000441149021 1130635096 /nfs/dbraw/zinc/63/50/96/1130635096.db2.gz UWJQGMQSYCLICX-VIFPVBQESA-N 1 2 288.338 3.927 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@@H]2CCc3cccnc32)cc1 ZINC001234588362 1130659174 /nfs/dbraw/zinc/65/91/74/1130659174.db2.gz MVHRSYWTHZWCHA-OAHLLOKOSA-N 1 2 282.343 3.510 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H]2CCCc3cccnc32)cc1 ZINC001234587238 1130659404 /nfs/dbraw/zinc/65/94/04/1130659404.db2.gz ZMRFEGBRDLOQKU-INIZCTEOSA-N 1 2 296.370 3.900 20 0 CHADLO CCCCOC[C@H](C)Oc1ccc(C(=[NH2+])OCC)cc1 ZINC001234588287 1130659701 /nfs/dbraw/zinc/65/97/01/1130659701.db2.gz KTJIMVSEGXOYJN-ZDUSSCGKSA-N 1 2 279.380 3.632 20 0 CHADLO CCc1cccc(OC2C[NH+](Cc3ccccc3)C2)c1OC ZINC001234644118 1130666959 /nfs/dbraw/zinc/66/69/59/1130666959.db2.gz IKFFPAOYPVNODR-UHFFFAOYSA-N 1 2 297.398 3.521 20 0 CHADLO CC(C)[C@@H]1CCC[N@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000780496850 1130681430 /nfs/dbraw/zinc/68/14/30/1130681430.db2.gz JPTZXWOJGKAUPJ-GFCCVEGCSA-N 1 2 297.493 3.877 20 0 CHADLO CC(C)[C@@H]1CCC[N@@H+](Cn2nc(C3CC3)sc2=S)C1 ZINC000780496850 1130681433 /nfs/dbraw/zinc/68/14/33/1130681433.db2.gz JPTZXWOJGKAUPJ-GFCCVEGCSA-N 1 2 297.493 3.877 20 0 CHADLO c1ccc(COc2ccc[nH+]c2NC[C@H]2CCC=CO2)cc1 ZINC000780622838 1130686733 /nfs/dbraw/zinc/68/67/33/1130686733.db2.gz PJTZQGNWGMATNG-MRXNPFEDSA-N 1 2 296.370 3.765 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@H]3CC[C@H]3C)cc2)c1C ZINC000812785250 1130714071 /nfs/dbraw/zinc/71/40/71/1130714071.db2.gz JSFFGNXJIJBJRW-BZNIZROVSA-N 1 2 255.365 3.700 20 0 CHADLO Cc1cc(Cl)c(C[N@@H+](C)[C@@H]2CCOC2)c(Cl)c1 ZINC001235167177 1130715969 /nfs/dbraw/zinc/71/59/69/1130715969.db2.gz BZKFEIBNNZWVTB-SNVBAGLBSA-N 1 2 274.191 3.523 20 0 CHADLO Cc1cc(Cl)c(C[N@H+](C)[C@@H]2CCOC2)c(Cl)c1 ZINC001235167177 1130715974 /nfs/dbraw/zinc/71/59/74/1130715974.db2.gz BZKFEIBNNZWVTB-SNVBAGLBSA-N 1 2 274.191 3.523 20 0 CHADLO CC[N@H+](C/C(Cl)=C\Cl)[C@H](C)[C@H](O)c1ccccc1 ZINC000812848567 1130722019 /nfs/dbraw/zinc/72/20/19/1130722019.db2.gz KXDGOPHIGURSIA-QKBIWAADSA-N 1 2 288.218 3.749 20 0 CHADLO CC[N@@H+](C/C(Cl)=C\Cl)[C@H](C)[C@H](O)c1ccccc1 ZINC000812848567 1130722024 /nfs/dbraw/zinc/72/20/24/1130722024.db2.gz KXDGOPHIGURSIA-QKBIWAADSA-N 1 2 288.218 3.749 20 0 CHADLO Clc1ccnc(Cl)c1-c1cc(-n2cc[nH+]c2)ccn1 ZINC001244937885 1130722212 /nfs/dbraw/zinc/72/22/12/1130722212.db2.gz VNWVXHFALTXHCA-UHFFFAOYSA-N 1 2 291.141 3.636 20 0 CHADLO Clc1ccnc(Cl)c1-c1ccc2[nH+]ccn2c1 ZINC001244938797 1130723164 /nfs/dbraw/zinc/72/31/64/1130723164.db2.gz GBYQEHSQSCRNGW-UHFFFAOYSA-N 1 2 264.115 3.703 20 0 CHADLO FC(F)(F)c1ccc(Cl)nc1C[NH+]1CC2CC(C2)C1 ZINC001235240667 1130725754 /nfs/dbraw/zinc/72/57/54/1130725754.db2.gz CMHGIHCJOPZZPL-UHFFFAOYSA-N 1 2 290.716 3.596 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cc3cccc(F)c3[nH]2)C1 ZINC001235240777 1130725839 /nfs/dbraw/zinc/72/58/39/1130725839.db2.gz UMXLUTMALBQVEE-OAHLLOKOSA-N 1 2 264.319 3.631 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cc3cccc(F)c3[nH]2)C1 ZINC001235240777 1130725842 /nfs/dbraw/zinc/72/58/42/1130725842.db2.gz UMXLUTMALBQVEE-OAHLLOKOSA-N 1 2 264.319 3.631 20 0 CHADLO CC[N@H+](CC(=O)OC)Cc1cccc(-c2ccc(C)cc2)c1 ZINC001235320611 1130732621 /nfs/dbraw/zinc/73/26/21/1130732621.db2.gz POGNLZNIHAYJPH-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO CC[N@@H+](CC(=O)OC)Cc1cccc(-c2ccc(C)cc2)c1 ZINC001235320611 1130732623 /nfs/dbraw/zinc/73/26/23/1130732623.db2.gz POGNLZNIHAYJPH-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2cc(F)c(F)c(F)c2F)CC1 ZINC001235338324 1130734279 /nfs/dbraw/zinc/73/42/79/1130734279.db2.gz FOCBGRDUIUBPFK-AWEZNQCLSA-N 1 2 293.279 3.957 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2cc(F)c(F)c(F)c2F)CC1 ZINC001235338324 1130734283 /nfs/dbraw/zinc/73/42/83/1130734283.db2.gz FOCBGRDUIUBPFK-AWEZNQCLSA-N 1 2 293.279 3.957 20 0 CHADLO CNc1cc(-c2ccc(OC(F)F)c(F)c2)cc[nH+]1 ZINC001244973368 1130741045 /nfs/dbraw/zinc/74/10/45/1130741045.db2.gz NMRSORLQPZRCBH-UHFFFAOYSA-N 1 2 268.238 3.531 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2nccc(C)c2Cl)cc1 ZINC001235582158 1130755299 /nfs/dbraw/zinc/75/52/99/1130755299.db2.gz WOFBBFPPCUHBDW-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2nccc(C)c2Cl)cc1 ZINC001235582158 1130755306 /nfs/dbraw/zinc/75/53/06/1130755306.db2.gz WOFBBFPPCUHBDW-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO Cc1ccnc(C[N@@H+]2CCCC[C@@](C)(F)C2)c1Cl ZINC001235577716 1130755323 /nfs/dbraw/zinc/75/53/23/1130755323.db2.gz SVYSZWXWIHPEGY-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO Cc1ccnc(C[N@H+]2CCCC[C@@](C)(F)C2)c1Cl ZINC001235577716 1130755326 /nfs/dbraw/zinc/75/53/26/1130755326.db2.gz SVYSZWXWIHPEGY-CQSZACIVSA-N 1 2 270.779 3.758 20 0 CHADLO CC[C@@H](Cc1cccc(C)c1)C(=O)Nc1c(C)c[nH+]cc1C ZINC001133686200 1130755670 /nfs/dbraw/zinc/75/56/70/1130755670.db2.gz XGUPEMXPIRIGPC-KRWDZBQOSA-N 1 2 296.414 3.636 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)[C@@H]1CCC[C@@H]2CCCC[C@H]12 ZINC001133686109 1130755704 /nfs/dbraw/zinc/75/57/04/1130755704.db2.gz RXMXYLJFYLQFSN-HRCADAONSA-N 1 2 286.419 3.665 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)CC(C1CCC1)C1CCC1 ZINC001133685718 1130755867 /nfs/dbraw/zinc/75/58/67/1130755867.db2.gz AOJVHDPKONORJO-UHFFFAOYSA-N 1 2 286.419 3.665 20 0 CHADLO Cc1ccnc(C[N@@H+]2CC[C@@H]2c2ccccc2)c1Cl ZINC001235589208 1130757176 /nfs/dbraw/zinc/75/71/76/1130757176.db2.gz SMTDKDGHDFBHHZ-OAHLLOKOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccnc(C[N@H+]2CC[C@@H]2c2ccccc2)c1Cl ZINC001235589208 1130757182 /nfs/dbraw/zinc/75/71/82/1130757182.db2.gz SMTDKDGHDFBHHZ-OAHLLOKOSA-N 1 2 272.779 3.990 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2cc(Cl)c(Cl)c(Cl)c2)C1 ZINC001235590674 1130757773 /nfs/dbraw/zinc/75/77/73/1130757773.db2.gz KETZPZGXUHAQGS-VIFPVBQESA-N 1 2 294.609 3.868 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2cc(Cl)c(Cl)c(Cl)c2)C1 ZINC001235590674 1130757779 /nfs/dbraw/zinc/75/77/79/1130757779.db2.gz KETZPZGXUHAQGS-VIFPVBQESA-N 1 2 294.609 3.868 20 0 CHADLO CCO[C@@H]1CC[N@H+](Cc2c(F)cc(Cl)cc2Cl)C1 ZINC001235751969 1130775997 /nfs/dbraw/zinc/77/59/97/1130775997.db2.gz YZPLZCJOZGHMPM-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO CCO[C@@H]1CC[N@@H+](Cc2c(F)cc(Cl)cc2Cl)C1 ZINC001235751969 1130776006 /nfs/dbraw/zinc/77/60/06/1130776006.db2.gz YZPLZCJOZGHMPM-SNVBAGLBSA-N 1 2 292.181 3.743 20 0 CHADLO C[C@@H](C[NH2+][C@@H](c1ncn[nH]1)C1CCCCC1)C(C)(C)C ZINC000813857989 1130790161 /nfs/dbraw/zinc/79/01/61/1130790161.db2.gz RMRTXTVMQDBBIM-GXTWGEPZSA-N 1 2 278.444 3.698 20 0 CHADLO CCOCOc1ccc(CNc2ccc(C)[nH+]c2C)cc1 ZINC000782963155 1130793150 /nfs/dbraw/zinc/79/31/50/1130793150.db2.gz IRIITJBYKBQTJY-UHFFFAOYSA-N 1 2 286.375 3.683 20 0 CHADLO Cc1cc(Cl)ccc1-c1cc[nH+]c(N2CCOCC2)c1 ZINC001245088151 1130809180 /nfs/dbraw/zinc/80/91/80/1130809180.db2.gz VCHRSMILSXQPGO-UHFFFAOYSA-N 1 2 288.778 3.547 20 0 CHADLO CC(C)CCCCCC(=O)N1CCC(c2[nH]cc[nH+]2)CC1 ZINC000747906832 1130832657 /nfs/dbraw/zinc/83/26/57/1130832657.db2.gz CRFXEKAMUZNKRC-UHFFFAOYSA-N 1 2 291.439 3.722 20 0 CHADLO CN(C)c1cc(CSCCSC(C)(C)C)cc[nH+]1 ZINC000783551748 1130837115 /nfs/dbraw/zinc/83/71/15/1130837115.db2.gz QKAVKDWYIBMYQF-UHFFFAOYSA-N 1 2 284.494 3.913 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1ccc3c(c1)CCN3)C2 ZINC001236073019 1130848596 /nfs/dbraw/zinc/84/85/96/1130848596.db2.gz NNYIFNZXGSYJCB-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1ccc3c(c1)CCN3)C2 ZINC001236073019 1130848605 /nfs/dbraw/zinc/84/86/05/1130848605.db2.gz NNYIFNZXGSYJCB-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1ccc3c(c1)CCN3)C2 ZINC001236072270 1130849153 /nfs/dbraw/zinc/84/91/53/1130849153.db2.gz QJGSCQVMUWINOS-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1ccc3c(c1)CCN3)C2 ZINC001236072270 1130849160 /nfs/dbraw/zinc/84/91/60/1130849160.db2.gz QJGSCQVMUWINOS-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO Cc1cc(-c2cccc(C3CC3)c2)c[nH+]c1N1CCOCC1 ZINC001236085090 1130853660 /nfs/dbraw/zinc/85/36/60/1130853660.db2.gz RCWRVXDAEPEINJ-UHFFFAOYSA-N 1 2 294.398 3.771 20 0 CHADLO COc1cc2[nH+]c[nH]c2cc1-c1ccc(Cl)cc1 ZINC001236092524 1130857216 /nfs/dbraw/zinc/85/72/16/1130857216.db2.gz ZHDFAVALEZTYCA-UHFFFAOYSA-N 1 2 258.708 3.892 20 0 CHADLO Cc1ccc(Nc2c(Cl)cccc2CO)c(C)[nH+]1 ZINC001159108008 1130858342 /nfs/dbraw/zinc/85/83/42/1130858342.db2.gz ZKOKWIHCQCSRAY-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO C[C@@H]1C[N@H+](Cn2nc(C3CC3)sc2=S)CC(C)(C)C1 ZINC000784009233 1130867641 /nfs/dbraw/zinc/86/76/41/1130867641.db2.gz QWJWGKMZMIZSML-JTQLQIEISA-N 1 2 297.493 3.877 20 0 CHADLO C[C@@H]1C[N@@H+](Cn2nc(C3CC3)sc2=S)CC(C)(C)C1 ZINC000784009233 1130867647 /nfs/dbraw/zinc/86/76/47/1130867647.db2.gz QWJWGKMZMIZSML-JTQLQIEISA-N 1 2 297.493 3.877 20 0 CHADLO COc1cc(C)cc(F)c1-c1ccc2[nH+]c(C)cn2c1 ZINC001236221867 1130894879 /nfs/dbraw/zinc/89/48/79/1130894879.db2.gz KWGIOEPGIOCGAY-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO COc1c(C)cccc1-c1cc(N)c(C(F)(F)F)c[nH+]1 ZINC001245360311 1130948469 /nfs/dbraw/zinc/94/84/69/1130948469.db2.gz GEQUHMDHXCFPCS-UHFFFAOYSA-N 1 2 282.265 3.667 20 0 CHADLO COc1ccc(-c2ccc(-c3[nH]cc[nH+]3)cc2)c2c[nH]nc21 ZINC001236469326 1130949082 /nfs/dbraw/zinc/94/90/82/1130949082.db2.gz QUNGIAPOZQCUEB-UHFFFAOYSA-N 1 2 290.326 3.629 20 0 CHADLO CC(C)Nc1ccc(-c2cc[nH+]c(N(C)C)c2)cc1 ZINC001236485444 1130953725 /nfs/dbraw/zinc/95/37/25/1130953725.db2.gz VQHCAQSMMGUTIP-UHFFFAOYSA-N 1 2 255.365 3.635 20 0 CHADLO Cc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)c2c[nH]nc21 ZINC001236493164 1130956802 /nfs/dbraw/zinc/95/68/02/1130956802.db2.gz OABQYCUCLRSVRY-UHFFFAOYSA-N 1 2 288.354 3.939 20 0 CHADLO Cc1ccccc1C(C)(C)[NH2+]Cc1nnc(C(C)(C)C)o1 ZINC000815149509 1130967432 /nfs/dbraw/zinc/96/74/32/1130967432.db2.gz POKWNYXIWWEATA-UHFFFAOYSA-N 1 2 287.407 3.700 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2cnc(Cl)c(C(F)(F)F)c2)C1 ZINC001236619010 1130984844 /nfs/dbraw/zinc/98/48/44/1130984844.db2.gz KLCMDAVCXPHGBN-VIFPVBQESA-N 1 2 292.732 3.986 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2cnc(Cl)c(C(F)(F)F)c2)C1 ZINC001236619010 1130984846 /nfs/dbraw/zinc/98/48/46/1130984846.db2.gz KLCMDAVCXPHGBN-VIFPVBQESA-N 1 2 292.732 3.986 20 0 CHADLO Cc1c(F)ccc(C[N@@H+]2C[C@@H](C)C[C@H]2CF)c1Cl ZINC001236708140 1130998098 /nfs/dbraw/zinc/99/80/98/1130998098.db2.gz NCPWEXGQMIBZNK-CABZTGNLSA-N 1 2 273.754 3.967 20 0 CHADLO Cc1c(F)ccc(C[N@H+]2C[C@@H](C)C[C@H]2CF)c1Cl ZINC001236708140 1130998103 /nfs/dbraw/zinc/99/81/03/1130998103.db2.gz NCPWEXGQMIBZNK-CABZTGNLSA-N 1 2 273.754 3.967 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ccc(OC(F)F)cc2F)C1 ZINC001236717733 1130999352 /nfs/dbraw/zinc/99/93/52/1130999352.db2.gz FYZZEBNWVDBNCU-AWEZNQCLSA-N 1 2 291.288 3.751 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ccc(OC(F)F)cc2F)C1 ZINC001236717733 1130999355 /nfs/dbraw/zinc/99/93/55/1130999355.db2.gz FYZZEBNWVDBNCU-AWEZNQCLSA-N 1 2 291.288 3.751 20 0 CHADLO Cc1cc(Br)sc1C[N@@H+]1CCC[C@@H]1CF ZINC001236838761 1131018350 /nfs/dbraw/zinc/01/83/50/1131018350.db2.gz FGNVBOVDVYYFNU-SECBINFHSA-N 1 2 292.217 3.753 20 0 CHADLO Cc1cc(Br)sc1C[N@H+]1CCC[C@@H]1CF ZINC001236838761 1131018357 /nfs/dbraw/zinc/01/83/57/1131018357.db2.gz FGNVBOVDVYYFNU-SECBINFHSA-N 1 2 292.217 3.753 20 0 CHADLO Cc1cccc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)c1Cl ZINC001236849844 1131020984 /nfs/dbraw/zinc/02/09/84/1131020984.db2.gz BPLPCJPVZNHOHK-HUUCEWRRSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1cccc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)c1Cl ZINC001236849844 1131020986 /nfs/dbraw/zinc/02/09/86/1131020986.db2.gz BPLPCJPVZNHOHK-HUUCEWRRSA-N 1 2 291.822 3.982 20 0 CHADLO CC1(C)C[NH+](Cc2cc3sccc3nc2Cl)C1 ZINC001236861941 1131024615 /nfs/dbraw/zinc/02/46/15/1131024615.db2.gz YNZBMFDCPJCEMJ-UHFFFAOYSA-N 1 2 266.797 3.792 20 0 CHADLO Cc1nn(-c2cc(C)ccc2C)c(Cl)c1C[NH2+]CCF ZINC000798087072 1131024917 /nfs/dbraw/zinc/02/49/17/1131024917.db2.gz FCGOBERZEHDROM-UHFFFAOYSA-N 1 2 295.789 3.510 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1nc2ccccc2cc1Cl ZINC001236871069 1131027036 /nfs/dbraw/zinc/02/70/36/1131027036.db2.gz NSJNNQZNIWYTLE-GFCCVEGCSA-N 1 2 278.758 3.822 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1nc2ccccc2cc1Cl ZINC001236871069 1131027042 /nfs/dbraw/zinc/02/70/42/1131027042.db2.gz NSJNNQZNIWYTLE-GFCCVEGCSA-N 1 2 278.758 3.822 20 0 CHADLO Clc1nc2ccsc2cc1C[NH+]1CC2(CCC2)C1 ZINC001236870331 1131027058 /nfs/dbraw/zinc/02/70/58/1131027058.db2.gz QJXPPAVCPCKIDI-UHFFFAOYSA-N 1 2 278.808 3.936 20 0 CHADLO O=C(/C=C/c1ccccc1Cl)Nc1ccn2cc[nH+]c2c1 ZINC000798262136 1131031995 /nfs/dbraw/zinc/03/19/95/1131031995.db2.gz JANGQRKGRRNVIT-AATRIKPKSA-N 1 2 297.745 3.640 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+](C)Cc1cnc(Cl)cn1 ZINC000799107101 1131069140 /nfs/dbraw/zinc/06/91/40/1131069140.db2.gz AMZPAFARKWSYBM-VIFPVBQESA-N 1 2 297.736 3.601 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+](C)Cc1cnc(Cl)cn1 ZINC000799107101 1131069144 /nfs/dbraw/zinc/06/91/44/1131069144.db2.gz AMZPAFARKWSYBM-VIFPVBQESA-N 1 2 297.736 3.601 20 0 CHADLO COc1nc(Cl)ccc1C[N@H+](C)Cc1ccc(F)cc1 ZINC001237243670 1131072129 /nfs/dbraw/zinc/07/21/29/1131072129.db2.gz XIZQFCVSJYMOSN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1nc(Cl)ccc1C[N@@H+](C)Cc1ccc(F)cc1 ZINC001237243670 1131072134 /nfs/dbraw/zinc/07/21/34/1131072134.db2.gz XIZQFCVSJYMOSN-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OCc1cnc2ccccc2c1 ZINC000799174858 1131074609 /nfs/dbraw/zinc/07/46/09/1131074609.db2.gz SIWGHFWWPWRCDG-UHFFFAOYSA-N 1 2 291.354 3.590 20 0 CHADLO COc1cccc2c(N[C@@H](C)CCSC)cc[nH+]c12 ZINC000799320742 1131079599 /nfs/dbraw/zinc/07/95/99/1131079599.db2.gz IQTHYYHBXGLGHL-NSHDSACASA-N 1 2 276.405 3.797 20 0 CHADLO CSc1ccc(C[N@@H+]2CCC=C(Br)C2)cc1 ZINC000799326474 1131079896 /nfs/dbraw/zinc/07/98/96/1131079896.db2.gz RDHQXCZVECWSQH-UHFFFAOYSA-N 1 2 298.249 3.893 20 0 CHADLO CSc1ccc(C[N@H+]2CCC=C(Br)C2)cc1 ZINC000799326474 1131079903 /nfs/dbraw/zinc/07/99/03/1131079903.db2.gz RDHQXCZVECWSQH-UHFFFAOYSA-N 1 2 298.249 3.893 20 0 CHADLO Cc1ccc(Cl)c(C[NH+]2CCSCC2)c1Cl ZINC001237331340 1131086086 /nfs/dbraw/zinc/08/60/86/1131086086.db2.gz LMUFAACXIDWTLD-UHFFFAOYSA-N 1 2 276.232 3.851 20 0 CHADLO Fc1cc(C[N@@H+]2CCc3occc3C2)ccc1OC(F)F ZINC001237356374 1131091082 /nfs/dbraw/zinc/09/10/82/1131091082.db2.gz YDFJEZKQVIAWNT-UHFFFAOYSA-N 1 2 297.276 3.578 20 0 CHADLO Fc1cc(C[N@H+]2CCc3occc3C2)ccc1OC(F)F ZINC001237356374 1131091086 /nfs/dbraw/zinc/09/10/86/1131091086.db2.gz YDFJEZKQVIAWNT-UHFFFAOYSA-N 1 2 297.276 3.578 20 0 CHADLO CC(C)c1cccc(CN2CC[NH+](Cc3ccco3)CC2)c1 ZINC001237462596 1131102748 /nfs/dbraw/zinc/10/27/48/1131102748.db2.gz NFPLGMQRVNJGPH-UHFFFAOYSA-N 1 2 298.430 3.721 20 0 CHADLO CC[C@H]1CCC[N@H+](Cc2cc(Cl)nc(Cl)c2O)C1 ZINC001237540318 1131113103 /nfs/dbraw/zinc/11/31/03/1131113103.db2.gz WECHZMBTSUTNGQ-VIFPVBQESA-N 1 2 289.206 3.716 20 0 CHADLO CC[C@H]1CCC[N@@H+](Cc2cc(Cl)nc(Cl)c2O)C1 ZINC001237540318 1131113107 /nfs/dbraw/zinc/11/31/07/1131113107.db2.gz WECHZMBTSUTNGQ-VIFPVBQESA-N 1 2 289.206 3.716 20 0 CHADLO CCc1n[nH]cc1C[N@@H+]1CCC[C@H]1c1c(F)cccc1F ZINC001237653714 1131125795 /nfs/dbraw/zinc/12/57/95/1131125795.db2.gz NKCWKPUROZWJGB-HNNXBMFYSA-N 1 2 291.345 3.587 20 0 CHADLO CCc1n[nH]cc1C[N@H+]1CCC[C@H]1c1c(F)cccc1F ZINC001237653714 1131125798 /nfs/dbraw/zinc/12/57/98/1131125798.db2.gz NKCWKPUROZWJGB-HNNXBMFYSA-N 1 2 291.345 3.587 20 0 CHADLO CCc1cc(C[N@H+]2CCC(=O)[C@@H](C)CC2)ccc1Cl ZINC001237739485 1131136519 /nfs/dbraw/zinc/13/65/19/1131136519.db2.gz ZUGXXFGBCQODIS-LBPRGKRZSA-N 1 2 279.811 3.703 20 0 CHADLO CCc1cc(C[N@@H+]2CCC(=O)[C@@H](C)CC2)ccc1Cl ZINC001237739485 1131136522 /nfs/dbraw/zinc/13/65/22/1131136522.db2.gz ZUGXXFGBCQODIS-LBPRGKRZSA-N 1 2 279.811 3.703 20 0 CHADLO FC(F)C1C[NH+](Cc2cc(-c3cccc(Cl)c3)no2)C1 ZINC001237773033 1131138776 /nfs/dbraw/zinc/13/87/76/1131138776.db2.gz AWLBIDMPWLMIRE-UHFFFAOYSA-N 1 2 298.720 3.692 20 0 CHADLO COc1ccoc1C[N@@H+]1CCC[C@H]1c1cc(F)ccc1F ZINC001237872925 1131146694 /nfs/dbraw/zinc/14/66/94/1131146694.db2.gz ZZKMNPZSXGIYJW-AWEZNQCLSA-N 1 2 293.313 3.904 20 0 CHADLO COc1ccoc1C[N@H+]1CCC[C@H]1c1cc(F)ccc1F ZINC001237872925 1131146696 /nfs/dbraw/zinc/14/66/96/1131146696.db2.gz ZZKMNPZSXGIYJW-AWEZNQCLSA-N 1 2 293.313 3.904 20 0 CHADLO COc1cc(C[N@@H+]2CC[C@H]2c2cccc(F)c2)ccc1F ZINC001116444873 1131147204 /nfs/dbraw/zinc/14/72/04/1131147204.db2.gz CGRPCOBAHQAVEL-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO COc1cc(C[N@H+]2CC[C@H]2c2cccc(F)c2)ccc1F ZINC001116444873 1131147206 /nfs/dbraw/zinc/14/72/06/1131147206.db2.gz CGRPCOBAHQAVEL-INIZCTEOSA-N 1 2 289.325 3.920 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@H+](C/C(Cl)=C\Cl)C1 ZINC000817281847 1131151035 /nfs/dbraw/zinc/15/10/35/1131151035.db2.gz QWGZNRRXBZWHFS-CBVZUMJXSA-N 1 2 276.129 3.970 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@@H+](C/C(Cl)=C\Cl)C1 ZINC000817281847 1131151036 /nfs/dbraw/zinc/15/10/36/1131151036.db2.gz QWGZNRRXBZWHFS-CBVZUMJXSA-N 1 2 276.129 3.970 20 0 CHADLO CCOc1cc(F)cc(C[N@@H+]2CCC[C@](C)(F)C2)c1 ZINC001237913213 1131152047 /nfs/dbraw/zinc/15/20/47/1131152047.db2.gz NREZIUPABNGADV-HNNXBMFYSA-N 1 2 269.335 3.548 20 0 CHADLO CCOc1cc(F)cc(C[N@H+]2CCC[C@](C)(F)C2)c1 ZINC001237913213 1131152051 /nfs/dbraw/zinc/15/20/51/1131152051.db2.gz NREZIUPABNGADV-HNNXBMFYSA-N 1 2 269.335 3.548 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccc(C(C)(C)C)cc3C2)[nH]n1 ZINC001116522245 1131152315 /nfs/dbraw/zinc/15/23/15/1131152315.db2.gz WRSAGDURYNQTOX-UHFFFAOYSA-N 1 2 283.419 3.574 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccc(C(C)(C)C)cc3C2)[nH]n1 ZINC001116522245 1131152318 /nfs/dbraw/zinc/15/23/18/1131152318.db2.gz WRSAGDURYNQTOX-UHFFFAOYSA-N 1 2 283.419 3.574 20 0 CHADLO Clc1cccc(-c2nc(C[NH+]3CCC3)cs2)c1 ZINC001237978105 1131156741 /nfs/dbraw/zinc/15/67/41/1131156741.db2.gz QMJYMHUGLAZXNF-UHFFFAOYSA-N 1 2 264.781 3.669 20 0 CHADLO Cc1sccc1C[NH+]1CC(Oc2ccc(F)c(F)c2)C1 ZINC001237972070 1131157286 /nfs/dbraw/zinc/15/72/86/1131157286.db2.gz MUDVMEZLWXLNKZ-UHFFFAOYSA-N 1 2 295.354 3.598 20 0 CHADLO C[N@H+](Cc1cccc(F)c1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237984363 1131157518 /nfs/dbraw/zinc/15/75/18/1131157518.db2.gz OGNGDQOVMZRJSE-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO C[N@@H+](Cc1cccc(F)c1)Cc1cc(F)c(O)c(Cl)c1 ZINC001237984363 1131157522 /nfs/dbraw/zinc/15/75/22/1131157522.db2.gz OGNGDQOVMZRJSE-UHFFFAOYSA-N 1 2 297.732 3.956 20 0 CHADLO CC(C)[NH+](Cc1cc(F)c(O)c(Cl)c1)C(C)C ZINC001237991101 1131157941 /nfs/dbraw/zinc/15/79/41/1131157941.db2.gz VRFQRIBBXHGXJO-UHFFFAOYSA-N 1 2 259.752 3.804 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@@H+]1CC[C@H]2CCC[C@@H]2C1 ZINC001237995031 1131159481 /nfs/dbraw/zinc/15/94/81/1131159481.db2.gz CASMNGNLLPSRLP-VXGBXAGGSA-N 1 2 289.810 3.838 20 0 CHADLO Clc1ccc2c(c1)[nH]nc2C[N@H+]1CC[C@H]2CCC[C@@H]2C1 ZINC001237995031 1131159486 /nfs/dbraw/zinc/15/94/86/1131159486.db2.gz CASMNGNLLPSRLP-VXGBXAGGSA-N 1 2 289.810 3.838 20 0 CHADLO Cc1ncc(CNc2[nH+]c3ccccc3n2C(C)(C)C)cn1 ZINC001116726628 1131161165 /nfs/dbraw/zinc/16/11/65/1131161165.db2.gz OESVEPLVDSREAA-UHFFFAOYSA-N 1 2 295.390 3.502 20 0 CHADLO CC1(F)CC[NH+](Cc2cncc(Cl)c2Cl)CC1 ZINC001238008878 1131161663 /nfs/dbraw/zinc/16/16/63/1131161663.db2.gz SLOSAFGUSZDECM-UHFFFAOYSA-N 1 2 277.170 3.712 20 0 CHADLO CCCCCC[C@H](C)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000817458488 1131162066 /nfs/dbraw/zinc/16/20/66/1131162066.db2.gz IJVWJSMDHSXPSB-ZDUSSCGKSA-N 1 2 276.424 3.921 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2C[C@@H](C)C[C@H]2CF)c1F ZINC001138030415 1131164058 /nfs/dbraw/zinc/16/40/58/1131164058.db2.gz FBMCYHADSWARLM-ONGXEEELSA-N 1 2 273.754 3.967 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2C[C@@H](C)C[C@H]2CF)c1F ZINC001138030415 1131164060 /nfs/dbraw/zinc/16/40/60/1131164060.db2.gz FBMCYHADSWARLM-ONGXEEELSA-N 1 2 273.754 3.967 20 0 CHADLO CO[C@H]1CCCC[C@@H]1Nc1cc(C)c2ccccc2[nH+]1 ZINC001116907929 1131170005 /nfs/dbraw/zinc/17/00/05/1131170005.db2.gz IWJCKOFWNQCIIA-HOTGVXAUSA-N 1 2 270.376 3.913 20 0 CHADLO CCC[C@H](C)[N@@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116918661 1131171266 /nfs/dbraw/zinc/17/12/66/1131171266.db2.gz HXYZSEQLTNSGFH-WDEREUQCSA-N 1 2 273.829 3.963 20 0 CHADLO CCC[C@H](C)[N@H+]1CCO[C@@H](c2ccc(Cl)s2)C1 ZINC001116918661 1131171269 /nfs/dbraw/zinc/17/12/69/1131171269.db2.gz HXYZSEQLTNSGFH-WDEREUQCSA-N 1 2 273.829 3.963 20 0 CHADLO COc1cc([C@H](C)Nc2ccc([NH+](C)C)c(C)c2)ccn1 ZINC001117061347 1131172120 /nfs/dbraw/zinc/17/21/20/1131172120.db2.gz WRZQFTLDTRHAFP-ZDUSSCGKSA-N 1 2 285.391 3.638 20 0 CHADLO C[C@@H]1C[C@@H](C)C[NH+](Cc2cc(Cl)c(O)cc2F)C1 ZINC001238150654 1131173813 /nfs/dbraw/zinc/17/38/13/1131173813.db2.gz WJETZBDSARLRNK-NXEZZACHSA-N 1 2 271.763 3.663 20 0 CHADLO C[N@@H+](Cc1cc(Cl)c(O)cc1F)CC1CCCC1 ZINC001238150412 1131174389 /nfs/dbraw/zinc/17/43/89/1131174389.db2.gz TXJRZCPKTONZIJ-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO C[N@H+](Cc1cc(Cl)c(O)cc1F)CC1CCCC1 ZINC001238150412 1131174392 /nfs/dbraw/zinc/17/43/92/1131174392.db2.gz TXJRZCPKTONZIJ-UHFFFAOYSA-N 1 2 271.763 3.807 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCC[C@@H]3CCC[C@@H]32)cc1Cl ZINC001238150029 1131175235 /nfs/dbraw/zinc/17/52/35/1131175235.db2.gz PPYGSVYCBZBDCN-HZMBPMFUSA-N 1 2 283.774 3.949 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCC[C@@H]3CCC[C@@H]32)cc1Cl ZINC001238150029 1131175236 /nfs/dbraw/zinc/17/52/36/1131175236.db2.gz PPYGSVYCBZBDCN-HZMBPMFUSA-N 1 2 283.774 3.949 20 0 CHADLO CC[C@H]1CCC[N@@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238151497 1131176058 /nfs/dbraw/zinc/17/60/58/1131176058.db2.gz FZWWOPCJCNUEGZ-JTQLQIEISA-N 1 2 257.736 3.559 20 0 CHADLO CC[C@H]1CCC[N@H+]1Cc1cc(Cl)c(O)cc1F ZINC001238151497 1131176062 /nfs/dbraw/zinc/17/60/62/1131176062.db2.gz FZWWOPCJCNUEGZ-JTQLQIEISA-N 1 2 257.736 3.559 20 0 CHADLO C[N@H+](CCc1ccccc1)Cc1c(F)ccnc1Cl ZINC001238224266 1131184928 /nfs/dbraw/zinc/18/49/28/1131184928.db2.gz GOUXJLFMKZMWJA-UHFFFAOYSA-N 1 2 278.758 3.549 20 0 CHADLO C[N@@H+](CCc1ccccc1)Cc1c(F)ccnc1Cl ZINC001238224266 1131184931 /nfs/dbraw/zinc/18/49/31/1131184931.db2.gz GOUXJLFMKZMWJA-UHFFFAOYSA-N 1 2 278.758 3.549 20 0 CHADLO Cc1cc(C[NH+]2CCC(=Cc3cccc(F)c3)CC2)[nH]n1 ZINC001117640308 1131189909 /nfs/dbraw/zinc/18/99/09/1131189909.db2.gz WSNYMIXCASCFOR-UHFFFAOYSA-N 1 2 285.366 3.537 20 0 CHADLO CC[N@H+](Cc1c(Br)ccc(O)c1F)C(C)C ZINC001238304509 1131190240 /nfs/dbraw/zinc/19/02/40/1131190240.db2.gz FPPLLHOWHHRGSS-UHFFFAOYSA-N 1 2 290.176 3.524 20 0 CHADLO CC[N@@H+](Cc1c(Br)ccc(O)c1F)C(C)C ZINC001238304509 1131190246 /nfs/dbraw/zinc/19/02/46/1131190246.db2.gz FPPLLHOWHHRGSS-UHFFFAOYSA-N 1 2 290.176 3.524 20 0 CHADLO CC(C)Oc1c(F)cccc1C[NH+]1CC2(C1)CC(F)(F)C2 ZINC001238333939 1131191257 /nfs/dbraw/zinc/19/12/57/1131191257.db2.gz XTIJVUUDOWYPCW-UHFFFAOYSA-N 1 2 299.336 3.844 20 0 CHADLO CCCC[N@H+](C)Cc1c(Cl)cncc1Br ZINC001238392300 1131194064 /nfs/dbraw/zinc/19/40/64/1131194064.db2.gz WDTFHGLHVHIGTQ-UHFFFAOYSA-N 1 2 291.620 3.729 20 0 CHADLO CCCC[N@@H+](C)Cc1c(Cl)cncc1Br ZINC001238392300 1131194069 /nfs/dbraw/zinc/19/40/69/1131194069.db2.gz WDTFHGLHVHIGTQ-UHFFFAOYSA-N 1 2 291.620 3.729 20 0 CHADLO CC(C)C[N@H+](C)Cc1c(Cl)cncc1Br ZINC001238388973 1131194453 /nfs/dbraw/zinc/19/44/53/1131194453.db2.gz APNUEXGMMUDDDS-UHFFFAOYSA-N 1 2 291.620 3.585 20 0 CHADLO CC(C)C[N@@H+](C)Cc1c(Cl)cncc1Br ZINC001238388973 1131194454 /nfs/dbraw/zinc/19/44/54/1131194454.db2.gz APNUEXGMMUDDDS-UHFFFAOYSA-N 1 2 291.620 3.585 20 0 CHADLO CC(C)Oc1cccc(C[N@H+](C)Cc2ccncc2)c1F ZINC001238423709 1131197008 /nfs/dbraw/zinc/19/70/08/1131197008.db2.gz LURXUNYBYVLUNZ-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+](C)Cc2ccncc2)c1F ZINC001238423709 1131197011 /nfs/dbraw/zinc/19/70/11/1131197011.db2.gz LURXUNYBYVLUNZ-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO Cc1cccc2c1C[N@H+](Cc1cncc(OC(C)C)c1)C2 ZINC001238462059 1131204014 /nfs/dbraw/zinc/20/40/14/1131204014.db2.gz LTGHIQWFKLMBFO-UHFFFAOYSA-N 1 2 282.387 3.693 20 0 CHADLO Cc1cccc2c1C[N@@H+](Cc1cncc(OC(C)C)c1)C2 ZINC001238462059 1131204019 /nfs/dbraw/zinc/20/40/19/1131204019.db2.gz LTGHIQWFKLMBFO-UHFFFAOYSA-N 1 2 282.387 3.693 20 0 CHADLO CCc1noc(C)c1[C@H](C)[NH2+][C@@H]1C[C@@]1(F)c1ccccc1 ZINC000818355855 1131210625 /nfs/dbraw/zinc/21/06/25/1131210625.db2.gz KEHQRFBUVVLJRP-UXEPBGEESA-N 1 2 288.366 3.833 20 0 CHADLO COc1cc(C)cc(F)c1C[N@@H+]1CCc2ccsc2C1 ZINC001238592328 1131219097 /nfs/dbraw/zinc/21/90/97/1131219097.db2.gz SLIWSNFQPMWOSN-UHFFFAOYSA-N 1 2 291.391 3.763 20 0 CHADLO COc1cc(C)cc(F)c1C[N@H+]1CCc2ccsc2C1 ZINC001238592328 1131219101 /nfs/dbraw/zinc/21/91/01/1131219101.db2.gz SLIWSNFQPMWOSN-UHFFFAOYSA-N 1 2 291.391 3.763 20 0 CHADLO CC[N@H+](Cc1ccccn1)Cc1c(F)cc(C)cc1OC ZINC001238590142 1131219478 /nfs/dbraw/zinc/21/94/78/1131219478.db2.gz CVUXCJQJBYOZHN-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO CC[N@@H+](Cc1ccccn1)Cc1c(F)cc(C)cc1OC ZINC001238590142 1131219483 /nfs/dbraw/zinc/21/94/83/1131219483.db2.gz CVUXCJQJBYOZHN-UHFFFAOYSA-N 1 2 288.366 3.560 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)C[C@@H]2C)cnc1-c1ccccc1 ZINC001238606257 1131221057 /nfs/dbraw/zinc/22/10/57/1131221057.db2.gz JFSSRXVJTRSGFE-HNNXBMFYSA-N 1 2 294.398 3.610 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)C[C@@H]2C)cnc1-c1ccccc1 ZINC001238606257 1131221060 /nfs/dbraw/zinc/22/10/60/1131221060.db2.gz JFSSRXVJTRSGFE-HNNXBMFYSA-N 1 2 294.398 3.610 20 0 CHADLO Cc1cc(-c2ccc(CO)c(F)c2Cl)c2[nH+]ccn2c1 ZINC001245879734 1131224773 /nfs/dbraw/zinc/22/47/73/1131224773.db2.gz HKWQEOJYQSXCJD-UHFFFAOYSA-N 1 2 290.725 3.595 20 0 CHADLO Cc1cccc(C)c1C[N@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001137847864 1131423528 /nfs/dbraw/zinc/42/35/28/1131423528.db2.gz IMEIMSKTEJZKLU-CYBMUJFWSA-N 1 2 253.336 3.781 20 0 CHADLO Cc1cccc(C)c1C[N@@H+]1CC[C@@H](C)C(F)(F)C1 ZINC001137847864 1131423530 /nfs/dbraw/zinc/42/35/30/1131423530.db2.gz IMEIMSKTEJZKLU-CYBMUJFWSA-N 1 2 253.336 3.781 20 0 CHADLO Cc1[nH]c(-c2cccc(NC(=O)[C@H]3C[C@H]4C[C@H]4C3)c2)[nH+]c1C ZINC000823354069 1131423805 /nfs/dbraw/zinc/42/38/05/1131423805.db2.gz YYSSPBYOICYVFU-FICVDOATSA-N 1 2 295.386 3.678 20 0 CHADLO C[N@H+](Cc1cccs1)Cc1cc(F)cc(F)c1F ZINC001137872198 1131427063 /nfs/dbraw/zinc/42/70/63/1131427063.db2.gz GJJSNZQUCWUCHT-UHFFFAOYSA-N 1 2 271.307 3.797 20 0 CHADLO C[N@@H+](Cc1cccs1)Cc1cc(F)cc(F)c1F ZINC001137872198 1131427067 /nfs/dbraw/zinc/42/70/67/1131427067.db2.gz GJJSNZQUCWUCHT-UHFFFAOYSA-N 1 2 271.307 3.797 20 0 CHADLO Fc1cc(F)c(C[NH+]2CC(c3ccccc3)C2)cc1F ZINC001137892268 1131429897 /nfs/dbraw/zinc/42/98/97/1131429897.db2.gz LZKOVOVGESROBW-UHFFFAOYSA-N 1 2 277.289 3.703 20 0 CHADLO Clc1nc2ccccc2cc1C[N@@H+]1CCn2cccc2C1 ZINC001137977333 1131445903 /nfs/dbraw/zinc/44/59/03/1131445903.db2.gz IRACDGXZRXJJOI-UHFFFAOYSA-N 1 2 297.789 3.706 20 0 CHADLO Clc1nc2ccccc2cc1C[N@H+]1CCn2cccc2C1 ZINC001137977333 1131445905 /nfs/dbraw/zinc/44/59/05/1131445905.db2.gz IRACDGXZRXJJOI-UHFFFAOYSA-N 1 2 297.789 3.706 20 0 CHADLO Cc1ccc(C[NH+]2C[C@@H](F)[C@H](F)C2)c2ccccc12 ZINC001138013696 1131450885 /nfs/dbraw/zinc/45/08/85/1131450885.db2.gz TZBSXVYSXDWIGZ-HZPDHXFCSA-N 1 2 261.315 3.640 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccc3ncccc3c1)C2 ZINC001138111579 1131466887 /nfs/dbraw/zinc/46/68/87/1131466887.db2.gz IXVDVFUOBVGKIP-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccc3ncccc3c1)C2 ZINC001138111579 1131466890 /nfs/dbraw/zinc/46/68/90/1131466890.db2.gz IXVDVFUOBVGKIP-UHFFFAOYSA-N 1 2 278.330 3.890 20 0 CHADLO C[C@H]1CCC[N@H+](Cc2c(F)c(F)cc(F)c2F)CC1 ZINC001138229661 1131479656 /nfs/dbraw/zinc/47/96/56/1131479656.db2.gz OQOGETWTOIXQHN-VIFPVBQESA-N 1 2 275.289 3.865 20 0 CHADLO C[C@H]1CCC[N@@H+](Cc2c(F)c(F)cc(F)c2F)CC1 ZINC001138229661 1131479660 /nfs/dbraw/zinc/47/96/60/1131479660.db2.gz OQOGETWTOIXQHN-VIFPVBQESA-N 1 2 275.289 3.865 20 0 CHADLO C[N@H+](Cc1c(F)c(F)cc(F)c1F)CC(C)(C)C ZINC001138236398 1131480244 /nfs/dbraw/zinc/48/02/44/1131480244.db2.gz YRAFOUNJGUYCBJ-UHFFFAOYSA-N 1 2 263.278 3.721 20 0 CHADLO C[N@@H+](Cc1c(F)c(F)cc(F)c1F)CC(C)(C)C ZINC001138236398 1131480246 /nfs/dbraw/zinc/48/02/46/1131480246.db2.gz YRAFOUNJGUYCBJ-UHFFFAOYSA-N 1 2 263.278 3.721 20 0 CHADLO Clc1ccc(C[N@@H+]2CCCC[C@H]2c2cccnc2)nc1 ZINC001136929575 1131480764 /nfs/dbraw/zinc/48/07/64/1131480764.db2.gz PZLFQTZNCCTPNO-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1ccc(C[N@H+]2CCCC[C@H]2c2cccnc2)nc1 ZINC001136929575 1131480765 /nfs/dbraw/zinc/48/07/65/1131480765.db2.gz PZLFQTZNCCTPNO-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1cccc3nccnc13)C2 ZINC001138308044 1131488239 /nfs/dbraw/zinc/48/82/39/1131488239.db2.gz WNOHIRDPUILIQK-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1cccc3nccnc13)C2 ZINC001138308044 1131488243 /nfs/dbraw/zinc/48/82/43/1131488243.db2.gz WNOHIRDPUILIQK-UHFFFAOYSA-N 1 2 295.773 3.799 20 0 CHADLO Cc1cccn2c(CN3Cc4ccc(Cl)cc4C3)c[nH+]c12 ZINC001136965848 1131490364 /nfs/dbraw/zinc/49/03/64/1131490364.db2.gz ASMDPKVOKCXQIH-UHFFFAOYSA-N 1 2 297.789 3.812 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1c[nH]c2cccc(F)c12 ZINC001136985789 1131498072 /nfs/dbraw/zinc/49/80/72/1131498072.db2.gz VOOMOXNDKZKETK-LBPRGKRZSA-N 1 2 283.350 3.685 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1c[nH]c2cccc(F)c12 ZINC001136985789 1131498075 /nfs/dbraw/zinc/49/80/75/1131498075.db2.gz VOOMOXNDKZKETK-LBPRGKRZSA-N 1 2 283.350 3.685 20 0 CHADLO COc1c(F)cc(C[N@@H+]2CCc3ccsc3C2)cc1F ZINC001138425491 1131504094 /nfs/dbraw/zinc/50/40/94/1131504094.db2.gz VCQBIJUZFSWPEU-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO COc1c(F)cc(C[N@H+]2CCc3ccsc3C2)cc1F ZINC001138425491 1131504097 /nfs/dbraw/zinc/50/40/97/1131504097.db2.gz VCQBIJUZFSWPEU-UHFFFAOYSA-N 1 2 295.354 3.593 20 0 CHADLO CCOc1ccc(C[N@@H+]2Cc3cnc(C)cc3C2)c(C)c1 ZINC001138435494 1131505435 /nfs/dbraw/zinc/50/54/35/1131505435.db2.gz GGYFHKVOZBPIDH-UHFFFAOYSA-N 1 2 282.387 3.613 20 0 CHADLO CCOc1ccc(C[N@H+]2Cc3cnc(C)cc3C2)c(C)c1 ZINC001138435494 1131505438 /nfs/dbraw/zinc/50/54/38/1131505438.db2.gz GGYFHKVOZBPIDH-UHFFFAOYSA-N 1 2 282.387 3.613 20 0 CHADLO CCOc1ccc(C[NH+]2CC(Oc3ccccc3)C2)c(C)c1 ZINC001138434179 1131505545 /nfs/dbraw/zinc/50/55/45/1131505545.db2.gz MNBAAXKRAPMKAZ-UHFFFAOYSA-N 1 2 297.398 3.657 20 0 CHADLO CC1(C)C[NH+](Cc2cc(F)ccc2Cl)CC(C)(C)O1 ZINC001138675501 1131536389 /nfs/dbraw/zinc/53/63/89/1131536389.db2.gz ZBNQUQPPTWIANV-UHFFFAOYSA-N 1 2 285.790 3.869 20 0 CHADLO CC[N@H+](CCOC)Cc1c(F)ccc(Cl)c1Cl ZINC001143865348 1131539143 /nfs/dbraw/zinc/53/91/43/1131539143.db2.gz ZAVMSRCWIILVGB-UHFFFAOYSA-N 1 2 280.170 3.601 20 0 CHADLO CC[N@@H+](CCOC)Cc1c(F)ccc(Cl)c1Cl ZINC001143865348 1131539146 /nfs/dbraw/zinc/53/91/46/1131539146.db2.gz ZAVMSRCWIILVGB-UHFFFAOYSA-N 1 2 280.170 3.601 20 0 CHADLO CC[C@H]1COCC[N@@H+]1Cc1cccc(C(F)(F)F)c1C ZINC001143930047 1131552971 /nfs/dbraw/zinc/55/29/71/1131552971.db2.gz JAYRFYPIHOIKCV-ZDUSSCGKSA-N 1 2 287.325 3.625 20 0 CHADLO CC[C@H]1COCC[N@H+]1Cc1cccc(C(F)(F)F)c1C ZINC001143930047 1131552975 /nfs/dbraw/zinc/55/29/75/1131552975.db2.gz JAYRFYPIHOIKCV-ZDUSSCGKSA-N 1 2 287.325 3.625 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+]1Cc1cccc(C(F)(F)F)c1C ZINC001143929635 1131553046 /nfs/dbraw/zinc/55/30/46/1131553046.db2.gz UPOYEQMPNNKXGG-AWEZNQCLSA-N 1 2 285.309 3.567 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+]1Cc1cccc(C(F)(F)F)c1C ZINC001143929635 1131553047 /nfs/dbraw/zinc/55/30/47/1131553047.db2.gz UPOYEQMPNNKXGG-AWEZNQCLSA-N 1 2 285.309 3.567 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1Cc1c[nH]c2c1cccc2Cl ZINC001138833876 1131554452 /nfs/dbraw/zinc/55/44/52/1131554452.db2.gz NPNVAPWDITTZJQ-HNNXBMFYSA-N 1 2 290.794 3.765 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1Cc1c[nH]c2c1cccc2Cl ZINC001138833876 1131554456 /nfs/dbraw/zinc/55/44/56/1131554456.db2.gz NPNVAPWDITTZJQ-HNNXBMFYSA-N 1 2 290.794 3.765 20 0 CHADLO Cc1c(C[N@@H+]2CCC[C@H](F)C2)cccc1C(F)(F)F ZINC001143924004 1131554763 /nfs/dbraw/zinc/55/47/63/1131554763.db2.gz APWYTDOJJKUINO-LBPRGKRZSA-N 1 2 275.289 3.948 20 0 CHADLO Cc1c(C[N@H+]2CCC[C@H](F)C2)cccc1C(F)(F)F ZINC001143924004 1131554766 /nfs/dbraw/zinc/55/47/66/1131554766.db2.gz APWYTDOJJKUINO-LBPRGKRZSA-N 1 2 275.289 3.948 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1csc(C(C)(C)O)n1 ZINC000832374461 1131557087 /nfs/dbraw/zinc/55/70/87/1131557087.db2.gz SAVUXCCBLNPXGE-GFCCVEGCSA-N 1 2 290.432 3.564 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1csc(C(C)(C)O)n1 ZINC000832374461 1131557089 /nfs/dbraw/zinc/55/70/89/1131557089.db2.gz SAVUXCCBLNPXGE-GFCCVEGCSA-N 1 2 290.432 3.564 20 0 CHADLO CCOc1ccc(F)c(C[NH+]2CC3(C2)CCCC3)c1F ZINC001143930581 1131557625 /nfs/dbraw/zinc/55/76/25/1131557625.db2.gz JCARYNMWSRCQBF-UHFFFAOYSA-N 1 2 281.346 3.740 20 0 CHADLO Cc1c(C[N@H+](C)Cc2ccon2)cccc1C(F)(F)F ZINC001143931103 1131557870 /nfs/dbraw/zinc/55/78/70/1131557870.db2.gz YIIGBVPQKNHDQN-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(F)cnc2Cl)CCC1(F)F ZINC001138890955 1131557896 /nfs/dbraw/zinc/55/78/96/1131557896.db2.gz KPDWIJGFJFQJAS-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(F)cnc2Cl)CCC1(F)F ZINC001138890955 1131557899 /nfs/dbraw/zinc/55/78/99/1131557899.db2.gz KPDWIJGFJFQJAS-SECBINFHSA-N 1 2 292.732 3.741 20 0 CHADLO Cc1c(C[N@@H+](C)Cc2ccon2)cccc1C(F)(F)F ZINC001143931103 1131557873 /nfs/dbraw/zinc/55/78/73/1131557873.db2.gz YIIGBVPQKNHDQN-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO C[C@@H]1CC[N@@H+]1Cc1cc(Cl)cc(C(F)(F)F)c1 ZINC001143985029 1131561675 /nfs/dbraw/zinc/56/16/75/1131561675.db2.gz UJITWUYTPRTEPA-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@@H]1CC[N@H+]1Cc1cc(Cl)cc(C(F)(F)F)c1 ZINC001143985029 1131561679 /nfs/dbraw/zinc/56/16/79/1131561679.db2.gz UJITWUYTPRTEPA-MRVPVSSYSA-N 1 2 263.690 3.953 20 0 CHADLO Clc1nc(C[N@@H+]2CCC[C@]3(CCSC3)C2)cs1 ZINC000832510690 1131562476 /nfs/dbraw/zinc/56/24/76/1131562476.db2.gz BRKPVSSWOVDJKY-LBPRGKRZSA-N 1 2 288.869 3.516 20 0 CHADLO Clc1nc(C[N@H+]2CCC[C@]3(CCSC3)C2)cs1 ZINC000832510690 1131562479 /nfs/dbraw/zinc/56/24/79/1131562479.db2.gz BRKPVSSWOVDJKY-LBPRGKRZSA-N 1 2 288.869 3.516 20 0 CHADLO CC(C)Oc1ccc(Cl)cc1C[NH+]1CC(C)(C)C1 ZINC001138957392 1131565454 /nfs/dbraw/zinc/56/54/54/1131565454.db2.gz CTXRZTUYFPHCPO-UHFFFAOYSA-N 1 2 267.800 3.969 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC[C@]3(CC3(F)F)C2)o1 ZINC000844438405 1131565430 /nfs/dbraw/zinc/56/54/30/1131565430.db2.gz OAAHFKKOPVVNGU-AWEZNQCLSA-N 1 2 284.350 3.593 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC[C@]3(CC3(F)F)C2)o1 ZINC000844438405 1131565433 /nfs/dbraw/zinc/56/54/33/1131565433.db2.gz OAAHFKKOPVVNGU-AWEZNQCLSA-N 1 2 284.350 3.593 20 0 CHADLO Cc1ccc(F)c([C@@H](C)OC(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000844462107 1131567842 /nfs/dbraw/zinc/56/78/42/1131567842.db2.gz VYFSWBSISBMZCU-CHWSQXEVSA-N 1 2 290.338 3.586 20 0 CHADLO CC[C@H](OC(=O)C[C@@H](C)n1cc[nH+]c1)c1ccccc1 ZINC000844471515 1131569969 /nfs/dbraw/zinc/56/99/69/1131569969.db2.gz YDJGGXFWJJXCJV-HIFRSBDPSA-N 1 2 272.348 3.529 20 0 CHADLO CCCC[NH+](CCCC)Cc1n[nH]cc1Br ZINC001139024267 1131573659 /nfs/dbraw/zinc/57/36/59/1131573659.db2.gz QBNJKYHPIUOEFI-UHFFFAOYSA-N 1 2 288.233 3.574 20 0 CHADLO CN(c1ccc(Nc2ccc(=O)[nH]c2)c[nH+]1)C1CCCCC1 ZINC001212613300 1131581566 /nfs/dbraw/zinc/58/15/66/1131581566.db2.gz MXOZEZSXZNONME-UHFFFAOYSA-N 1 2 298.390 3.695 20 0 CHADLO Cn1ccc2c1cccc2C[N@@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001139157579 1131587608 /nfs/dbraw/zinc/58/76/08/1131587608.db2.gz PPJSWXDIZRXETI-HNNXBMFYSA-N 1 2 296.336 3.748 20 0 CHADLO Cn1ccc2c1cccc2C[N@H+]1CCC[C@H](F)C(F)(F)C1 ZINC001139157579 1131587611 /nfs/dbraw/zinc/58/76/11/1131587611.db2.gz PPJSWXDIZRXETI-HNNXBMFYSA-N 1 2 296.336 3.748 20 0 CHADLO COC[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)c(F)cc1F ZINC001144125783 1131588998 /nfs/dbraw/zinc/58/89/98/1131588998.db2.gz RKHFAQNXRAYIKE-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@@H]1CCCC[N@H+]1Cc1cc(Cl)c(F)cc1F ZINC001144125783 1131589002 /nfs/dbraw/zinc/58/90/02/1131589002.db2.gz RKHFAQNXRAYIKE-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144129832 1131590932 /nfs/dbraw/zinc/59/09/32/1131590932.db2.gz SFPZMJHOJXEPLV-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO CCCO[C@@H]1CC[N@@H+](Cc2cc(Cl)c(F)cc2F)C1 ZINC001144129832 1131590934 /nfs/dbraw/zinc/59/09/34/1131590934.db2.gz SFPZMJHOJXEPLV-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO F[C@@H]1C[N@H+](Cc2ccc(-n3cccc3)cc2)CCC1(F)F ZINC001144239007 1131603049 /nfs/dbraw/zinc/60/30/49/1131603049.db2.gz LXQUKJTUHBJLLZ-OAHLLOKOSA-N 1 2 294.320 3.656 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2ccc(-n3cccc3)cc2)CCC1(F)F ZINC001144239007 1131603052 /nfs/dbraw/zinc/60/30/52/1131603052.db2.gz LXQUKJTUHBJLLZ-OAHLLOKOSA-N 1 2 294.320 3.656 20 0 CHADLO C[C@@]1(F)CCCC[N@H+](Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC001139553222 1131615425 /nfs/dbraw/zinc/61/54/25/1131615425.db2.gz PEMGRCUOLXVSJL-QGZVFWFLSA-N 1 2 287.382 3.791 20 0 CHADLO C[C@@]1(F)CCCC[N@@H+](Cc2cnc(-c3ccccc3)[nH]2)C1 ZINC001139553222 1131615428 /nfs/dbraw/zinc/61/54/28/1131615428.db2.gz PEMGRCUOLXVSJL-QGZVFWFLSA-N 1 2 287.382 3.791 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1cc(Cl)ccn1)CC2 ZINC001139559802 1131616245 /nfs/dbraw/zinc/61/62/45/1131616245.db2.gz PTEULQNXSCEJEZ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1cc(Cl)ccn1)CC2 ZINC001139559802 1131616248 /nfs/dbraw/zinc/61/62/48/1131616248.db2.gz PTEULQNXSCEJEZ-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[C@H](CC(=O)OCc1ccc(C(F)F)cc1)n1cc[nH+]c1 ZINC000845285612 1131624100 /nfs/dbraw/zinc/62/41/00/1131624100.db2.gz RLJCFWQJBZPYBL-LLVKDONJSA-N 1 2 294.301 3.515 20 0 CHADLO COc1ncc(Cl)cc1C[N@H+](C)Cc1cccc(F)c1 ZINC001139744677 1131634325 /nfs/dbraw/zinc/63/43/25/1131634325.db2.gz OLTQFSZXMGTINA-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ncc(Cl)cc1C[N@@H+](C)Cc1cccc(F)c1 ZINC001139744677 1131634327 /nfs/dbraw/zinc/63/43/27/1131634327.db2.gz OLTQFSZXMGTINA-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO FC(F)c1ccccc1C[N@@H+]1CCC[C@H]1c1ncccn1 ZINC001139803074 1131637670 /nfs/dbraw/zinc/63/76/70/1131637670.db2.gz YORIKRANIIXLCJ-AWEZNQCLSA-N 1 2 289.329 3.751 20 0 CHADLO FC(F)c1ccccc1C[N@H+]1CCC[C@H]1c1ncccn1 ZINC001139803074 1131637672 /nfs/dbraw/zinc/63/76/72/1131637672.db2.gz YORIKRANIIXLCJ-AWEZNQCLSA-N 1 2 289.329 3.751 20 0 CHADLO CC[N@H+](Cc1ncc(C)s1)Cc1ccc(F)cc1 ZINC001139814039 1131638511 /nfs/dbraw/zinc/63/85/11/1131638511.db2.gz UBOYBRRZAHTABM-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO CC[N@@H+](Cc1ncc(C)s1)Cc1ccc(F)cc1 ZINC001139814039 1131638512 /nfs/dbraw/zinc/63/85/12/1131638512.db2.gz UBOYBRRZAHTABM-UHFFFAOYSA-N 1 2 264.369 3.613 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ccncc2Cl)cn1 ZINC001139847724 1131640982 /nfs/dbraw/zinc/64/09/82/1131640982.db2.gz MTPWOLIPMBLPOY-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ccncc2Cl)cn1 ZINC001139847724 1131640985 /nfs/dbraw/zinc/64/09/85/1131640985.db2.gz MTPWOLIPMBLPOY-MRXNPFEDSA-N 1 2 287.794 3.776 20 0 CHADLO C[C@@H](CC(=O)OCC(C1CCC1)C1CCC1)n1cc[nH+]c1 ZINC000845565222 1131642151 /nfs/dbraw/zinc/64/21/51/1131642151.db2.gz WYRLWWMETXAFIU-ZDUSSCGKSA-N 1 2 290.407 3.594 20 0 CHADLO C[C@H](CC(=O)OCC(C1CCC1)C1CCC1)n1cc[nH+]c1 ZINC000845565218 1131642647 /nfs/dbraw/zinc/64/26/47/1131642647.db2.gz WYRLWWMETXAFIU-CYBMUJFWSA-N 1 2 290.407 3.594 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1cc3ccccc3n1C)C2 ZINC001139872019 1131643874 /nfs/dbraw/zinc/64/38/74/1131643874.db2.gz BHHMFGGPQDKNCA-UHFFFAOYSA-N 1 2 292.382 3.703 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1cc3ccccc3n1C)C2 ZINC001139872019 1131643875 /nfs/dbraw/zinc/64/38/75/1131643875.db2.gz BHHMFGGPQDKNCA-UHFFFAOYSA-N 1 2 292.382 3.703 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[NH+]1CC(C(F)F)C1 ZINC001139886589 1131646917 /nfs/dbraw/zinc/64/69/17/1131646917.db2.gz BAWYLAVUHQDWKK-UHFFFAOYSA-N 1 2 279.252 3.711 20 0 CHADLO COc1ccc(CNc2cc3cc[nH]c3c[nH+]2)c(C)c1OC ZINC001202986745 1131651787 /nfs/dbraw/zinc/65/17/87/1131651787.db2.gz RKCMIMCNPPWTLY-UHFFFAOYSA-N 1 2 297.358 3.501 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1c(F)cc(O)cc1F ZINC001144559960 1131659282 /nfs/dbraw/zinc/65/92/82/1131659282.db2.gz SVFNWKSZOREUKM-LLVKDONJSA-N 1 2 289.325 3.617 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1c(F)cc(O)cc1F ZINC001144559960 1131659284 /nfs/dbraw/zinc/65/92/84/1131659284.db2.gz SVFNWKSZOREUKM-LLVKDONJSA-N 1 2 289.325 3.617 20 0 CHADLO COc1c(Cl)cccc1C[NH+]1CC2(C1)CC(F)(F)C2 ZINC001140055981 1131659691 /nfs/dbraw/zinc/65/96/91/1131659691.db2.gz CNGDNVMGFKEUCV-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO COc1c(Cl)cccc1C[N@@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001140057341 1131660354 /nfs/dbraw/zinc/66/03/54/1131660354.db2.gz TZZXGQWWSCPYLD-ZWNOBZJWSA-N 1 2 271.763 3.529 20 0 CHADLO COc1c(Cl)cccc1C[N@H+]1CC[C@@H](C)[C@H](F)C1 ZINC001140057341 1131660357 /nfs/dbraw/zinc/66/03/57/1131660357.db2.gz TZZXGQWWSCPYLD-ZWNOBZJWSA-N 1 2 271.763 3.529 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H]3C[C@H]32)c(F)cc1Br ZINC001144590750 1131662986 /nfs/dbraw/zinc/66/29/86/1131662986.db2.gz LWPHCTWKMSJFIH-IINYFYTJSA-N 1 2 298.199 3.881 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H]3C[C@H]32)c(F)cc1Br ZINC001144590750 1131662988 /nfs/dbraw/zinc/66/29/88/1131662988.db2.gz LWPHCTWKMSJFIH-IINYFYTJSA-N 1 2 298.199 3.881 20 0 CHADLO CCC[C@H]1CC[C@H](C(=O)Nc2cccc3[nH+]ccn32)CC1 ZINC001144636871 1131672478 /nfs/dbraw/zinc/67/24/78/1131672478.db2.gz VRNNIVKXCJLMJI-HDJSIYSDSA-N 1 2 285.391 3.879 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCCC3(CCCC3)C2)cc1F ZINC001144648174 1131673463 /nfs/dbraw/zinc/67/34/63/1131673463.db2.gz PECIJBPDWSZLKO-UHFFFAOYSA-N 1 2 281.346 3.827 20 0 CHADLO CC[C@H](OCC[NH2+][C@@H]1CCCc2[nH]ncc21)c1ccccc1 ZINC000846181703 1131696643 /nfs/dbraw/zinc/69/66/43/1131696643.db2.gz HMVZTRGLKASJIQ-AEFFLSMTSA-N 1 2 299.418 3.545 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2C[C@H](OC)C23CCC3)c1 ZINC000834733363 1131698768 /nfs/dbraw/zinc/69/87/68/1131698768.db2.gz GEBTVIJDMYICNP-KGLIPLIRSA-N 1 2 292.448 3.704 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCn2ccnc21)c1cccc(Cl)c1F ZINC000834833090 1131709476 /nfs/dbraw/zinc/70/94/76/1131709476.db2.gz UVOVOOBGQLVOOQ-QWHCGFSZSA-N 1 2 293.773 3.861 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(F)cnc1Cl)c1ccc(F)cn1 ZINC000834837838 1131709691 /nfs/dbraw/zinc/70/96/91/1131709691.db2.gz ZNABSSSLPCXRQZ-LBPRGKRZSA-N 1 2 297.736 3.649 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(Cl)c1F ZINC001203033666 1131716163 /nfs/dbraw/zinc/71/61/63/1131716163.db2.gz LIJCJCQXCWLLAK-UHFFFAOYSA-N 1 2 275.714 3.709 20 0 CHADLO Fc1cccc(-c2ncc(C[NH+]3CC(C(F)F)C3)s2)c1 ZINC001140421998 1131725708 /nfs/dbraw/zinc/72/57/08/1131725708.db2.gz XUPKEAOCFYQWNE-UHFFFAOYSA-N 1 2 298.333 3.646 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2nccc3ccccc32)co1 ZINC000834900868 1131726049 /nfs/dbraw/zinc/72/60/49/1131726049.db2.gz WRFXZLCUBKWMMA-GFCCVEGCSA-N 1 2 281.359 3.636 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1cnsn1)CC1CCC1 ZINC000846807601 1131742235 /nfs/dbraw/zinc/74/22/35/1131742235.db2.gz SQXRKJNNDGLQQI-ZDUSSCGKSA-N 1 2 287.432 3.901 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1cnsn1)CC1CCC1 ZINC000846807601 1131742242 /nfs/dbraw/zinc/74/22/42/1131742242.db2.gz SQXRKJNNDGLQQI-ZDUSSCGKSA-N 1 2 287.432 3.901 20 0 CHADLO COc1cc(F)cc(C[N@@H+]2CCc3ccc(F)cc3C2)c1 ZINC001140483071 1131760758 /nfs/dbraw/zinc/76/07/58/1131760758.db2.gz LGLAZBJQRLDCOA-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc(F)cc(C[N@H+]2CCc3ccc(F)cc3C2)c1 ZINC001140483071 1131760762 /nfs/dbraw/zinc/76/07/62/1131760762.db2.gz LGLAZBJQRLDCOA-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cc2c(cc1O[C@H]1CCc3ccccc31)C=[NH+]CC2 ZINC001228088482 1131806298 /nfs/dbraw/zinc/80/62/98/1131806298.db2.gz WWJITRPSBYFTDV-KRWDZBQOSA-N 1 2 293.366 3.737 20 0 CHADLO C[N@H+](CC(=O)c1cccc(OC2CC2)c1)Cc1ccccc1 ZINC001228102204 1131810350 /nfs/dbraw/zinc/81/03/50/1131810350.db2.gz HDXRPFIGQABAOK-UHFFFAOYSA-N 1 2 295.382 3.543 20 0 CHADLO C[N@@H+](CC(=O)c1cccc(OC2CC2)c1)Cc1ccccc1 ZINC001228102204 1131810362 /nfs/dbraw/zinc/81/03/62/1131810362.db2.gz HDXRPFIGQABAOK-UHFFFAOYSA-N 1 2 295.382 3.543 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2cc(Cl)ncc2F)c1 ZINC001140603156 1131822605 /nfs/dbraw/zinc/82/26/05/1131822605.db2.gz COOHWMPCMUOADR-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2cc(Cl)ncc2F)c1 ZINC001140603156 1131822611 /nfs/dbraw/zinc/82/26/11/1131822611.db2.gz COOHWMPCMUOADR-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CC(C)COc1cc(N[C@H]2COCc3ccccc32)cc[nH+]1 ZINC001171303020 1131822882 /nfs/dbraw/zinc/82/28/82/1131822882.db2.gz YSFWVIOGKOLJRG-KRWDZBQOSA-N 1 2 298.386 3.800 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(Cl)ncc2F)CCC1(F)F ZINC001140605519 1131825433 /nfs/dbraw/zinc/82/54/33/1131825433.db2.gz CSEDNFGCSKOVSB-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(Cl)ncc2F)CCC1(F)F ZINC001140605519 1131825435 /nfs/dbraw/zinc/82/54/35/1131825435.db2.gz CSEDNFGCSKOVSB-UHFFFAOYSA-N 1 2 292.732 3.741 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@@H]1CCc2cccnc21 ZINC000234933568 1131838075 /nfs/dbraw/zinc/83/80/75/1131838075.db2.gz LILUSTYSQCFEJP-CQSZACIVSA-N 1 2 288.778 3.521 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1csc3ccccc13)C2 ZINC001140639525 1131840251 /nfs/dbraw/zinc/84/02/51/1131840251.db2.gz FTDLUQXYJFLYME-UHFFFAOYSA-N 1 2 284.384 3.756 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1csc3ccccc13)C2 ZINC001140639525 1131840261 /nfs/dbraw/zinc/84/02/61/1131840261.db2.gz FTDLUQXYJFLYME-UHFFFAOYSA-N 1 2 284.384 3.756 20 0 CHADLO Cc1ccc(Br)cc1C[N@@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001140647383 1131844699 /nfs/dbraw/zinc/84/46/99/1131844699.db2.gz YIWVNGVZEYYLIG-UBHSHLNASA-N 1 2 298.199 3.547 20 0 CHADLO Cc1ccc(Br)cc1C[N@H+]1C[C@@H]2C[C@@H]2[C@@H](F)C1 ZINC001140647383 1131844704 /nfs/dbraw/zinc/84/47/04/1131844704.db2.gz YIWVNGVZEYYLIG-UBHSHLNASA-N 1 2 298.199 3.547 20 0 CHADLO Cc1[nH]c2ccc(O[C@H](C)c3ccc(C)nc3)cc2[nH+]1 ZINC001228327687 1131858022 /nfs/dbraw/zinc/85/80/22/1131858022.db2.gz TYFIYLPCIOFAQY-LLVKDONJSA-N 1 2 267.332 3.715 20 0 CHADLO CCOc1cc(C)c(OC2C[NH+](C(C)(C)C)C2)c(F)c1F ZINC001228358891 1131868012 /nfs/dbraw/zinc/86/80/12/1131868012.db2.gz MRSXNYCTUQTAOL-UHFFFAOYSA-N 1 2 299.361 3.533 20 0 CHADLO COC[C@H]1CCCC[N@@H+]1Cc1cc(F)c(Cl)cc1F ZINC001140699640 1131881310 /nfs/dbraw/zinc/88/13/10/1131881310.db2.gz XTWKQCUFTXHVTJ-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO COC[C@H]1CCCC[N@H+]1Cc1cc(F)c(Cl)cc1F ZINC001140699640 1131881319 /nfs/dbraw/zinc/88/13/19/1131881319.db2.gz XTWKQCUFTXHVTJ-LLVKDONJSA-N 1 2 289.753 3.619 20 0 CHADLO F[C@H]1CCC[N@H+](Cc2c(Cl)ncc3ccccc32)C1 ZINC001140721899 1131893687 /nfs/dbraw/zinc/89/36/87/1131893687.db2.gz DEXGAVORQLURIO-LBPRGKRZSA-N 1 2 278.758 3.822 20 0 CHADLO F[C@H]1CCC[N@@H+](Cc2c(Cl)ncc3ccccc32)C1 ZINC001140721899 1131893699 /nfs/dbraw/zinc/89/36/99/1131893699.db2.gz DEXGAVORQLURIO-LBPRGKRZSA-N 1 2 278.758 3.822 20 0 CHADLO CCSCC[C@H](C)N(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC000348170166 1131897625 /nfs/dbraw/zinc/89/76/25/1131897625.db2.gz REGATMOGDYQWHH-LBPRGKRZSA-N 1 2 279.453 3.630 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCC[C@](C)(F)C1 ZINC001140725543 1131897770 /nfs/dbraw/zinc/89/77/70/1131897770.db2.gz ACQJYQCCQFBTEJ-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCC[C@](C)(F)C1 ZINC001140725543 1131897775 /nfs/dbraw/zinc/89/77/75/1131897775.db2.gz ACQJYQCCQFBTEJ-AWEZNQCLSA-N 1 2 271.763 3.673 20 0 CHADLO FC(F)C1C[NH+](Cc2c(Cl)ncc3ccccc32)C1 ZINC001140725868 1131897814 /nfs/dbraw/zinc/89/78/14/1131897814.db2.gz UDQNXSLNBIFZFW-UHFFFAOYSA-N 1 2 282.721 3.585 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)c(Cl)c1 ZINC001140796463 1131920882 /nfs/dbraw/zinc/92/08/82/1131920882.db2.gz JKDYKMYYHULTHL-GDBMZVCRSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(C[N@H+]2CCC(=O)[C@@H]3CCCC[C@H]32)c(Cl)c1 ZINC001140796463 1131920890 /nfs/dbraw/zinc/92/08/90/1131920890.db2.gz JKDYKMYYHULTHL-GDBMZVCRSA-N 1 2 291.822 3.982 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2ccc(O)c(F)c2F)cc1C ZINC001140892111 1131958771 /nfs/dbraw/zinc/95/87/71/1131958771.db2.gz AHKXFCRCMBKPEH-UHFFFAOYSA-N 1 2 291.341 3.919 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2ccc(O)c(F)c2F)cc1C ZINC001140892111 1131958775 /nfs/dbraw/zinc/95/87/75/1131958775.db2.gz AHKXFCRCMBKPEH-UHFFFAOYSA-N 1 2 291.341 3.919 20 0 CHADLO CC[N@H+](Cc1cccc(F)c1)Cc1ccc(O)c(F)c1F ZINC001140892833 1131960262 /nfs/dbraw/zinc/96/02/62/1131960262.db2.gz CEGGNFBQRMESCA-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO CC[N@@H+](Cc1cccc(F)c1)Cc1ccc(O)c(F)c1F ZINC001140892833 1131960267 /nfs/dbraw/zinc/96/02/67/1131960267.db2.gz CEGGNFBQRMESCA-UHFFFAOYSA-N 1 2 295.304 3.832 20 0 CHADLO Cc1cc(C)c(CNC(=O)/C(F)=C\C2CCCCC2)c[nH+]1 ZINC000837106606 1132037508 /nfs/dbraw/zinc/03/75/08/1132037508.db2.gz ZZPPKJWIRAXLDT-CXUHLZMHSA-N 1 2 290.382 3.748 20 0 CHADLO CC/C(=C\C(=O)NCc1c[nH+]c(C)cc1C)c1ccccc1 ZINC000837104245 1132037864 /nfs/dbraw/zinc/03/78/64/1132037864.db2.gz UHJKAPHYXZYLDK-LFIBNONCSA-N 1 2 294.398 3.808 20 0 CHADLO Cc1cc([NH2+]CC2(c3ccccc3)CC2)ccc1N ZINC001171467022 1132041357 /nfs/dbraw/zinc/04/13/57/1132041357.db2.gz RZGZHPVLDJKAMH-UHFFFAOYSA-N 1 2 252.361 3.721 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001141230342 1132051680 /nfs/dbraw/zinc/05/16/80/1132051680.db2.gz QKSBYLSDHJRLLA-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001141230342 1132051687 /nfs/dbraw/zinc/05/16/87/1132051687.db2.gz QKSBYLSDHJRLLA-ZIAGYGMSSA-N 1 2 273.754 3.920 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3ccncc3C2)ccc1Cl ZINC001141236206 1132053982 /nfs/dbraw/zinc/05/39/82/1132053982.db2.gz NNLDSJUMNJSVMP-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cc(C[N@H+]2CCc3ccncc3C2)ccc1Cl ZINC001141236206 1132053986 /nfs/dbraw/zinc/05/39/86/1132053986.db2.gz NNLDSJUMNJSVMP-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@H+](C)Cc1cccc(C)n1 ZINC001204071694 1132085707 /nfs/dbraw/zinc/08/57/07/1132085707.db2.gz ZIOBBAUOBRSRGH-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@H](C(=O)c1ccccc1)[N@@H+](C)Cc1cccc(C)n1 ZINC001204071694 1132085716 /nfs/dbraw/zinc/08/57/16/1132085716.db2.gz ZIOBBAUOBRSRGH-GOSISDBHSA-N 1 2 296.414 3.873 20 0 CHADLO CC[C@@H]1C[C@H]1C[N@@H+]1CCO[C@@H](c2c(F)cccc2Cl)C1 ZINC001171764234 1132089879 /nfs/dbraw/zinc/08/98/79/1132089879.db2.gz HFZDBYNNHWFGBU-TYNCELHUSA-N 1 2 297.801 3.899 20 0 CHADLO CC[C@@H]1C[C@H]1C[N@H+]1CCO[C@@H](c2c(F)cccc2Cl)C1 ZINC001171764234 1132089886 /nfs/dbraw/zinc/08/98/86/1132089886.db2.gz HFZDBYNNHWFGBU-TYNCELHUSA-N 1 2 297.801 3.899 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2ccc(F)cc2Cl)[C@H](CC)CO1 ZINC001171778595 1132095851 /nfs/dbraw/zinc/09/58/51/1132095851.db2.gz OKKRLOIYEMSMGI-ZIAGYGMSSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2ccc(F)cc2Cl)[C@H](CC)CO1 ZINC001171778595 1132095858 /nfs/dbraw/zinc/09/58/58/1132095858.db2.gz OKKRLOIYEMSMGI-ZIAGYGMSSA-N 1 2 285.790 3.869 20 0 CHADLO C[C@H](Oc1ccc(-n2cc[nH+]c2)cc1)c1ncccc1F ZINC001229953619 1132135488 /nfs/dbraw/zinc/13/54/88/1132135488.db2.gz CAEHPLDYDHCARB-LBPRGKRZSA-N 1 2 283.306 3.546 20 0 CHADLO CCn1ncc(C[N@H+](CC)[C@H](C)c2cc3ccccc3o2)n1 ZINC000838806421 1132149443 /nfs/dbraw/zinc/14/94/43/1132149443.db2.gz QCXDGWUFYZSOKE-CYBMUJFWSA-N 1 2 298.390 3.627 20 0 CHADLO CCn1ncc(C[N@@H+](CC)[C@H](C)c2cc3ccccc3o2)n1 ZINC000838806421 1132149446 /nfs/dbraw/zinc/14/94/46/1132149446.db2.gz QCXDGWUFYZSOKE-CYBMUJFWSA-N 1 2 298.390 3.627 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@@H+]1CC[C@H](CF)C(F)(F)C1 ZINC001172341507 1132178023 /nfs/dbraw/zinc/17/80/23/1132178023.db2.gz UPNFTSLWQJIKDL-FRRDWIJNSA-N 1 2 281.362 3.507 20 0 CHADLO CC[C@H](C)OCC[C@@H](C)[N@H+]1CC[C@H](CF)C(F)(F)C1 ZINC001172341507 1132178025 /nfs/dbraw/zinc/17/80/25/1132178025.db2.gz UPNFTSLWQJIKDL-FRRDWIJNSA-N 1 2 281.362 3.507 20 0 CHADLO C[C@H]1C[C@H](C)[NH+]1Cc1c(F)ccc(C2OCCO2)c1Cl ZINC000839065992 1132178302 /nfs/dbraw/zinc/17/83/02/1132178302.db2.gz BELBDAUGMPNGSX-UWVGGRQHSA-N 1 2 299.773 3.507 20 0 CHADLO CC(C)(C)C1C[NH+](Cc2ccnc(Cl)c2Cl)C1 ZINC000839146037 1132184174 /nfs/dbraw/zinc/18/41/74/1132184174.db2.gz VPDAYMMZJMZKQT-UHFFFAOYSA-N 1 2 273.207 3.866 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+]CC(F)(F)C(F)(F)F)C[C@@H]1C ZINC001172361425 1132188304 /nfs/dbraw/zinc/18/83/04/1132188304.db2.gz WERBLVNBFLPIDA-VGMNWLOBSA-N 1 2 259.262 3.598 20 0 CHADLO c1ncc(C[N@@H+]2CC3(CCCCC3)[C@H]2c2ccccc2)[nH]1 ZINC000839185233 1132188299 /nfs/dbraw/zinc/18/82/99/1132188299.db2.gz XZXROQOWDLCPSH-QGZVFWFLSA-N 1 2 281.403 3.917 20 0 CHADLO c1ncc(C[N@H+]2CC3(CCCCC3)[C@H]2c2ccccc2)[nH]1 ZINC000839185233 1132188305 /nfs/dbraw/zinc/18/83/05/1132188305.db2.gz XZXROQOWDLCPSH-QGZVFWFLSA-N 1 2 281.403 3.917 20 0 CHADLO CCOCC[C@H](C)[N@@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001172427110 1132204459 /nfs/dbraw/zinc/20/44/59/1132204459.db2.gz MIDIQVGBMWXIHO-BBRMVZONSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCC[C@H](C)[N@H+]1CCOC[C@H]1c1ccccc1Cl ZINC001172427110 1132204464 /nfs/dbraw/zinc/20/44/64/1132204464.db2.gz MIDIQVGBMWXIHO-BBRMVZONSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCC[C@@H](C)[N@@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001172426952 1132205166 /nfs/dbraw/zinc/20/51/66/1132205166.db2.gz KAEKCOJWXGHTPI-CJNGLKHVSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCC[C@@H](C)[N@H+]1CCOC[C@H]1c1ccc(Cl)cc1 ZINC001172426952 1132205172 /nfs/dbraw/zinc/20/51/72/1132205172.db2.gz KAEKCOJWXGHTPI-CJNGLKHVSA-N 1 2 297.826 3.528 20 0 CHADLO C[C@@H](Oc1cc2c(cc1O)C=[NH+]CC2)c1ccc(F)cc1 ZINC001230918884 1132227632 /nfs/dbraw/zinc/22/76/32/1132227632.db2.gz AWNKKLGTSHHPCE-LLVKDONJSA-N 1 2 285.318 3.646 20 0 CHADLO C[C@H](Oc1cc2c(cc1O)C=[NH+]CC2)c1cccc(F)c1 ZINC001230920803 1132227725 /nfs/dbraw/zinc/22/77/25/1132227725.db2.gz URUVHZMXKDYRQF-NSHDSACASA-N 1 2 285.318 3.646 20 0 CHADLO CCCC[C@@H](CC)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230920076 1132228068 /nfs/dbraw/zinc/22/80/68/1132228068.db2.gz JKKVYYWPMBFWHS-CQSZACIVSA-N 1 2 261.365 3.715 20 0 CHADLO CC[N@H+](Cn1nc(C(C)C)n(C)c1=S)C1CC(C)(C)C1 ZINC000840185622 1132249044 /nfs/dbraw/zinc/24/90/44/1132249044.db2.gz ZVOYZESQAYAOSC-UHFFFAOYSA-N 1 2 296.484 3.542 20 0 CHADLO CC[N@@H+](Cn1nc(C(C)C)n(C)c1=S)C1CC(C)(C)C1 ZINC000840185622 1132249049 /nfs/dbraw/zinc/24/90/49/1132249049.db2.gz ZVOYZESQAYAOSC-UHFFFAOYSA-N 1 2 296.484 3.542 20 0 CHADLO S=c1sc(C2CC2)nn1C[N@@H+]1CC[C@H](C2CCC2)C1 ZINC000840187655 1132249706 /nfs/dbraw/zinc/24/97/06/1132249706.db2.gz DLIBYIMIQFOHPO-LBPRGKRZSA-N 1 2 295.477 3.631 20 0 CHADLO S=c1sc(C2CC2)nn1C[N@H+]1CC[C@H](C2CCC2)C1 ZINC000840187655 1132249713 /nfs/dbraw/zinc/24/97/13/1132249713.db2.gz DLIBYIMIQFOHPO-LBPRGKRZSA-N 1 2 295.477 3.631 20 0 CHADLO F[C@@H]1CCCC[C@@H]1Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231081800 1132253281 /nfs/dbraw/zinc/25/32/81/1132253281.db2.gz NNAHZRNXEXCJIL-KGLIPLIRSA-N 1 2 260.312 3.736 20 0 CHADLO C[C@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1cccnc1F ZINC001231080302 1132253541 /nfs/dbraw/zinc/25/35/41/1132253541.db2.gz BGLOPISFOPFSAP-NSHDSACASA-N 1 2 283.306 3.751 20 0 CHADLO C[C@@H]1C[C@@H](C)C[NH+](Cc2csc(C(F)(F)F)n2)C1 ZINC001141883861 1132260834 /nfs/dbraw/zinc/26/08/34/1132260834.db2.gz XVRJIKIOSNCSAL-RKDXNWHRSA-N 1 2 278.343 3.640 20 0 CHADLO FC(F)(F)c1nc(C[NH+]2CC3CCC(CC3)C2)cs1 ZINC001141884746 1132263564 /nfs/dbraw/zinc/26/35/64/1132263564.db2.gz BKCFMRBHAYOOKY-UHFFFAOYSA-N 1 2 290.354 3.784 20 0 CHADLO CC1(C)CC([NH2+]CC(F)(F)C(F)(F)F)CC(C)(C)O1 ZINC001172644765 1132286766 /nfs/dbraw/zinc/28/67/66/1132286766.db2.gz ZHLJORWBGKJGSP-UHFFFAOYSA-N 1 2 289.288 3.510 20 0 CHADLO COc1c(F)ccc(F)c1C[NH+]1CC(c2ccccc2)C1 ZINC001231414229 1132292110 /nfs/dbraw/zinc/29/21/10/1132292110.db2.gz KNOXYRZVWRLVNR-UHFFFAOYSA-N 1 2 289.325 3.573 20 0 CHADLO c1csc(-c2ccc(C[N@@H+]3CCn4nccc4C3)cc2)c1 ZINC001231430929 1132294214 /nfs/dbraw/zinc/29/42/14/1132294214.db2.gz LJMUJRODXLWNGG-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO c1csc(-c2ccc(C[N@H+]3CCn4nccc4C3)cc2)c1 ZINC001231430929 1132294221 /nfs/dbraw/zinc/29/42/21/1132294221.db2.gz LJMUJRODXLWNGG-UHFFFAOYSA-N 1 2 295.411 3.627 20 0 CHADLO CC(C)(C)[C@@H]1CCC[C@@H]1NC(=O)c1cc2c[nH+]ccc2[nH]1 ZINC001142064843 1132315363 /nfs/dbraw/zinc/31/53/63/1132315363.db2.gz BCKXRINUJBUNAW-OCCSQVGLSA-N 1 2 285.391 3.508 20 0 CHADLO COc1ccc([NH2+]C[C@H](C)Cc2ccccc2)cc1N ZINC000841040102 1132322893 /nfs/dbraw/zinc/32/28/93/1132322893.db2.gz IKVXXUPGDMRBMH-CYBMUJFWSA-N 1 2 270.376 3.568 20 0 CHADLO CCC[N@H+](CCOC)Cc1c(Cl)cc(O)cc1Cl ZINC001142105930 1132326153 /nfs/dbraw/zinc/32/61/53/1132326153.db2.gz SGBZGKCFXRLBEZ-UHFFFAOYSA-N 1 2 292.206 3.557 20 0 CHADLO CCC[N@@H+](CCOC)Cc1c(Cl)cc(O)cc1Cl ZINC001142105930 1132326160 /nfs/dbraw/zinc/32/61/60/1132326160.db2.gz SGBZGKCFXRLBEZ-UHFFFAOYSA-N 1 2 292.206 3.557 20 0 CHADLO CCCOC1C[NH+](Cc2cc(C(C)C)c(OC)cc2C)C1 ZINC001231625927 1132328792 /nfs/dbraw/zinc/32/87/92/1132328792.db2.gz GKJBAKFCOVTIAJ-UHFFFAOYSA-N 1 2 291.435 3.738 20 0 CHADLO Cc1ncc(C[N@@H+]2CCC[C@H]2c2ccc(Cl)s2)cn1 ZINC001203118114 1132329835 /nfs/dbraw/zinc/32/98/35/1132329835.db2.gz WEGNSLABDLVZKG-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1ncc(C[N@H+]2CCC[C@H]2c2ccc(Cl)s2)cn1 ZINC001203118114 1132329839 /nfs/dbraw/zinc/32/98/39/1132329839.db2.gz WEGNSLABDLVZKG-LBPRGKRZSA-N 1 2 293.823 3.837 20 0 CHADLO Cc1sc2ccccc2c1C[N@@H+](C)Cc1ncccn1 ZINC001231644980 1132335093 /nfs/dbraw/zinc/33/50/93/1132335093.db2.gz OTFRAYLFXFSMMZ-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1sc2ccccc2c1C[N@H+](C)Cc1ncccn1 ZINC001231644980 1132335096 /nfs/dbraw/zinc/33/50/96/1132335096.db2.gz OTFRAYLFXFSMMZ-UHFFFAOYSA-N 1 2 283.400 3.632 20 0 CHADLO Cc1cccc2cc(C[NH+]3CC(C(F)F)C3)c(Cl)nc12 ZINC001231649697 1132336339 /nfs/dbraw/zinc/33/63/39/1132336339.db2.gz PLCWMKBIMZMQJV-UHFFFAOYSA-N 1 2 296.748 3.894 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@H+]1[C@H](C)Cc1c(F)cccc1Cl ZINC001172855505 1132341246 /nfs/dbraw/zinc/34/12/46/1132341246.db2.gz FNXHEXHAUGUUAL-BZNIZROVSA-N 1 2 297.801 3.854 20 0 CHADLO CC(=O)[C@@H]1CCCC[N@@H+]1[C@H](C)Cc1c(F)cccc1Cl ZINC001172855505 1132341254 /nfs/dbraw/zinc/34/12/54/1132341254.db2.gz FNXHEXHAUGUUAL-BZNIZROVSA-N 1 2 297.801 3.854 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)cc1Cl ZINC001231713629 1132348310 /nfs/dbraw/zinc/34/83/10/1132348310.db2.gz CQGSVFMVULODCY-YDHLFZDLSA-N 1 2 297.801 3.917 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)cc1Cl ZINC001231713629 1132348319 /nfs/dbraw/zinc/34/83/19/1132348319.db2.gz CQGSVFMVULODCY-YDHLFZDLSA-N 1 2 297.801 3.917 20 0 CHADLO COc1ncc(F)cc1C[N@H+](C)Cc1cccc(Cl)c1 ZINC001231743475 1132353850 /nfs/dbraw/zinc/35/38/50/1132353850.db2.gz MPOAVGIIPOYFLV-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ncc(F)cc1C[N@@H+](C)Cc1cccc(Cl)c1 ZINC001231743475 1132353859 /nfs/dbraw/zinc/35/38/59/1132353859.db2.gz MPOAVGIIPOYFLV-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[N@@H+]1CCO[C@@H]2C[C@@H]21 ZINC001231739263 1132355139 /nfs/dbraw/zinc/35/51/39/1132355139.db2.gz PAVWWRKMYVYUAJ-PKOBYXMFSA-N 1 2 297.398 3.600 20 0 CHADLO CC(C)Oc1ccc2ccccc2c1C[N@H+]1CCO[C@@H]2C[C@@H]21 ZINC001231739263 1132355150 /nfs/dbraw/zinc/35/51/50/1132355150.db2.gz PAVWWRKMYVYUAJ-PKOBYXMFSA-N 1 2 297.398 3.600 20 0 CHADLO Cc1nn(-c2ccc(C)cc2)c(Cl)c1C[N@@H+](C)C1CC1 ZINC001231772946 1132356761 /nfs/dbraw/zinc/35/67/61/1132356761.db2.gz TXZLUGIPQSXQAV-UHFFFAOYSA-N 1 2 289.810 3.737 20 0 CHADLO Cc1nn(-c2ccc(C)cc2)c(Cl)c1C[N@H+](C)C1CC1 ZINC001231772946 1132356767 /nfs/dbraw/zinc/35/67/67/1132356767.db2.gz TXZLUGIPQSXQAV-UHFFFAOYSA-N 1 2 289.810 3.737 20 0 CHADLO COc1cc(Cl)c(C[N@H+](C)C2CC2)c(Cl)c1 ZINC001142277336 1132360902 /nfs/dbraw/zinc/36/09/02/1132360902.db2.gz RJGYQLYJLOBMPC-UHFFFAOYSA-N 1 2 260.164 3.596 20 0 CHADLO COc1cc(Cl)c(C[N@@H+](C)C2CC2)c(Cl)c1 ZINC001142277336 1132360909 /nfs/dbraw/zinc/36/09/09/1132360909.db2.gz RJGYQLYJLOBMPC-UHFFFAOYSA-N 1 2 260.164 3.596 20 0 CHADLO Clc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)ccn1 ZINC001212693151 1132362518 /nfs/dbraw/zinc/36/25/18/1132362518.db2.gz GBQSOPQRQWITTP-UHFFFAOYSA-N 1 2 284.750 3.723 20 0 CHADLO CC(C)Cn1cnc(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212695112 1132374017 /nfs/dbraw/zinc/37/40/17/1132374017.db2.gz NTSWLKIMDPVSKT-UHFFFAOYSA-N 1 2 295.390 3.528 20 0 CHADLO CCc1ncsc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212695648 1132376014 /nfs/dbraw/zinc/37/60/14/1132376014.db2.gz OYFARLIHOUTZSG-UHFFFAOYSA-N 1 2 284.388 3.694 20 0 CHADLO C[C@H](O)c1ccccc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001212699249 1132376555 /nfs/dbraw/zinc/37/65/55/1132376555.db2.gz WAPQATBACZZQFM-AWEZNQCLSA-N 1 2 293.370 3.728 20 0 CHADLO CC(=O)Nc1ccc(Nc2ccc(C)[nH+]c2C)cc1F ZINC001212699906 1132376789 /nfs/dbraw/zinc/37/67/89/1132376789.db2.gz JLQRVRVUJZNBEE-UHFFFAOYSA-N 1 2 273.311 3.540 20 0 CHADLO Cc1ccc2ccccc2c1C[N@@H+]1CCC[C@@H](F)C(=O)C1 ZINC001142372262 1132377066 /nfs/dbraw/zinc/37/70/66/1132377066.db2.gz XEOQHQCIZZHYIO-QGZVFWFLSA-N 1 2 285.362 3.651 20 0 CHADLO Cc1ccc2ccccc2c1C[N@H+]1CCC[C@@H](F)C(=O)C1 ZINC001142372262 1132377074 /nfs/dbraw/zinc/37/70/74/1132377074.db2.gz XEOQHQCIZZHYIO-QGZVFWFLSA-N 1 2 285.362 3.651 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001212697878 1132378090 /nfs/dbraw/zinc/37/80/90/1132378090.db2.gz YZSFXYIOKPXJMY-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO COc1cccc2c(C[N@@H+]3CCn4cccc4[C@@H]3C)c[nH]c21 ZINC001231899716 1132395427 /nfs/dbraw/zinc/39/54/27/1132395427.db2.gz UAEQMKNZVASZOX-ZDUSSCGKSA-N 1 2 295.386 3.555 20 0 CHADLO COc1cccc2c(C[N@H+]3CCn4cccc4[C@@H]3C)c[nH]c21 ZINC001231899716 1132395435 /nfs/dbraw/zinc/39/54/35/1132395435.db2.gz UAEQMKNZVASZOX-ZDUSSCGKSA-N 1 2 295.386 3.555 20 0 CHADLO CCCOc1ccc(NCc2c[nH+]cn2CC)c(C)c1 ZINC000841851037 1132399326 /nfs/dbraw/zinc/39/93/26/1132399326.db2.gz JQIZNEDJSGYXAL-UHFFFAOYSA-N 1 2 273.380 3.612 20 0 CHADLO C[N@H+](Cc1ccc(F)cc1)Cc1ncccc1Cl ZINC001231957982 1132402171 /nfs/dbraw/zinc/40/21/71/1132402171.db2.gz GRFIEKONVTTZHK-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccc(F)cc1)Cc1ncccc1Cl ZINC001231957982 1132402175 /nfs/dbraw/zinc/40/21/75/1132402175.db2.gz GRFIEKONVTTZHK-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[C@@]1(C(=O)Nc2ccc(Cn3cc[nH+]c3)cc2)CC=CCC1 ZINC001142549090 1132406116 /nfs/dbraw/zinc/40/61/16/1132406116.db2.gz ZAGPGPCJIPNWMJ-GOSISDBHSA-N 1 2 295.386 3.616 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cc(Cl)cnc2F)CCC1(F)F ZINC001231991523 1132407862 /nfs/dbraw/zinc/40/78/62/1132407862.db2.gz DOIDPGUMURRLDQ-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cc(Cl)cnc2F)CCC1(F)F ZINC001231991523 1132407865 /nfs/dbraw/zinc/40/78/65/1132407865.db2.gz DOIDPGUMURRLDQ-VIFPVBQESA-N 1 2 292.732 3.741 20 0 CHADLO CO[C@H]1CC[N@H+](Cc2c(F)cc(F)cc2Cl)[C@H](C)C1 ZINC001232100169 1132422541 /nfs/dbraw/zinc/42/25/41/1132422541.db2.gz LESKXVRYUSDJHE-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@H]1CC[N@@H+](Cc2c(F)cc(F)cc2Cl)[C@H](C)C1 ZINC001232100169 1132422544 /nfs/dbraw/zinc/42/25/44/1132422544.db2.gz LESKXVRYUSDJHE-KOLCDFICSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@H+](Cc2c(F)cc(F)cc2Cl)[C@@H](C)C1 ZINC001232100168 1132422626 /nfs/dbraw/zinc/42/26/26/1132422626.db2.gz LESKXVRYUSDJHE-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO CO[C@@H]1CC[N@@H+](Cc2c(F)cc(F)cc2Cl)[C@@H](C)C1 ZINC001232100168 1132422632 /nfs/dbraw/zinc/42/26/32/1132422632.db2.gz LESKXVRYUSDJHE-GXSJLCMTSA-N 1 2 289.753 3.618 20 0 CHADLO C[N@@H+](Cc1c(F)cc(F)cc1Cl)C[C@@H]1CCCCO1 ZINC001232101859 1132424108 /nfs/dbraw/zinc/42/41/08/1132424108.db2.gz NNMFXZDHEKIJAJ-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO C[N@H+](Cc1c(F)cc(F)cc1Cl)C[C@@H]1CCCCO1 ZINC001232101859 1132424111 /nfs/dbraw/zinc/42/41/11/1132424111.db2.gz NNMFXZDHEKIJAJ-NSHDSACASA-N 1 2 289.753 3.619 20 0 CHADLO Fc1ccc(Cl)nc1C[NH+]1CCC2(CC(F)C2)CC1 ZINC001232157874 1132429466 /nfs/dbraw/zinc/42/94/66/1132429466.db2.gz PUCDWMXGXFXTDC-UHFFFAOYSA-N 1 2 286.753 3.588 20 0 CHADLO CCCC1CC[NH+](Cc2nc(Cl)ccc2F)CC1 ZINC001232159807 1132430647 /nfs/dbraw/zinc/43/06/47/1132430647.db2.gz WIZJYPCWLUDLKZ-UHFFFAOYSA-N 1 2 270.779 3.886 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2ccnc(C(F)(F)F)c2)C1 ZINC001232236832 1132443380 /nfs/dbraw/zinc/44/33/80/1132443380.db2.gz KCDJHEHLSPYVEZ-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2ccnc(C(F)(F)F)c2)C1 ZINC001232236832 1132443383 /nfs/dbraw/zinc/44/33/83/1132443383.db2.gz KCDJHEHLSPYVEZ-ZDUSSCGKSA-N 1 2 290.304 3.815 20 0 CHADLO Cc1cnc2c(c1)C[N@@H+](Cc1cc(Cl)cs1)CC2 ZINC001232282881 1132449485 /nfs/dbraw/zinc/44/94/85/1132449485.db2.gz CYYLBGFCXGNURF-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnc2c(c1)C[N@H+](Cc1cc(Cl)cs1)CC2 ZINC001232282881 1132449488 /nfs/dbraw/zinc/44/94/88/1132449488.db2.gz CYYLBGFCXGNURF-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1sc(C[N@H+]2C[C@H](F)C[C@H]2C)cc1Br ZINC001232291198 1132450283 /nfs/dbraw/zinc/45/02/83/1132450283.db2.gz HWAFTQLHHOFNSZ-VXNVDRBHSA-N 1 2 292.217 3.751 20 0 CHADLO Cc1sc(C[N@@H+]2C[C@H](F)C[C@H]2C)cc1Br ZINC001232291198 1132450286 /nfs/dbraw/zinc/45/02/86/1132450286.db2.gz HWAFTQLHHOFNSZ-VXNVDRBHSA-N 1 2 292.217 3.751 20 0 CHADLO c1ccc([C@H]2CCC[N@@H+](Cc3n[nH]c4c3CCC4)CC2)cc1 ZINC001232429392 1132469853 /nfs/dbraw/zinc/46/98/53/1132469853.db2.gz OBBBAXLHEIEQNC-INIZCTEOSA-N 1 2 295.430 3.668 20 0 CHADLO c1ccc([C@H]2CCC[N@H+](Cc3n[nH]c4c3CCC4)CC2)cc1 ZINC001232429392 1132469854 /nfs/dbraw/zinc/46/98/54/1132469854.db2.gz OBBBAXLHEIEQNC-INIZCTEOSA-N 1 2 295.430 3.668 20 0 CHADLO Cc1c(F)cc(C[N@@H+]2CCc3cc(F)ccc3C2)cc1F ZINC001232426651 1132469975 /nfs/dbraw/zinc/46/99/75/1132469975.db2.gz OYSATGGPDLSJRT-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO Cc1c(F)cc(C[N@H+]2CCc3cc(F)ccc3C2)cc1F ZINC001232426651 1132469979 /nfs/dbraw/zinc/46/99/79/1132469979.db2.gz OYSATGGPDLSJRT-UHFFFAOYSA-N 1 2 291.316 3.971 20 0 CHADLO CCOC(=O)C1([NH2+][C@H]2CCCC3(CCCCC3)C2)CC1 ZINC001173348131 1132472609 /nfs/dbraw/zinc/47/26/09/1132472609.db2.gz IZMBEDUXHJQOQF-AWEZNQCLSA-N 1 2 279.424 3.565 20 0 CHADLO CCc1csc(C[N@@H+]2CCC[C@@H]2c2ccc(C)nc2)n1 ZINC001232474111 1132475370 /nfs/dbraw/zinc/47/53/70/1132475370.db2.gz OEYVJYUJBUCKEN-OAHLLOKOSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1csc(C[N@H+]2CCC[C@@H]2c2ccc(C)nc2)n1 ZINC001232474111 1132475372 /nfs/dbraw/zinc/47/53/72/1132475372.db2.gz OEYVJYUJBUCKEN-OAHLLOKOSA-N 1 2 287.432 3.746 20 0 CHADLO CCc1csc(C[N@H+](C)[C@H](C)c2ccccc2OC)n1 ZINC001232475941 1132475403 /nfs/dbraw/zinc/47/54/03/1132475403.db2.gz UKRSUGGKOQGGBR-GFCCVEGCSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1csc(C[N@@H+](C)[C@H](C)c2ccccc2OC)n1 ZINC001232475941 1132475404 /nfs/dbraw/zinc/47/54/04/1132475404.db2.gz UKRSUGGKOQGGBR-GFCCVEGCSA-N 1 2 290.432 3.907 20 0 CHADLO Cc1ccc(C2([NH2+][C@H]3CCCc4[nH]ncc43)CCC2)cc1 ZINC000842927051 1132477899 /nfs/dbraw/zinc/47/78/99/1132477899.db2.gz ABXLSRIZBOUPJN-INIZCTEOSA-N 1 2 281.403 3.765 20 0 CHADLO Fc1cccc2c1CC[N@H+](Cc1cc3ccoc3cn1)C2 ZINC001232554269 1132487337 /nfs/dbraw/zinc/48/73/37/1132487337.db2.gz OZHNVQBUOQHCBK-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Fc1cccc2c1CC[N@@H+](Cc1cc3ccoc3cn1)C2 ZINC001232554269 1132487343 /nfs/dbraw/zinc/48/73/43/1132487343.db2.gz OZHNVQBUOQHCBK-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO CCCCOC1C[NH+](Cc2ccc3ccsc3c2)C1 ZINC001232612537 1132493096 /nfs/dbraw/zinc/49/30/96/1132493096.db2.gz MOJFRMXABYIBOR-UHFFFAOYSA-N 1 2 275.417 3.902 20 0 CHADLO C[N@H+](Cc1ccc2nccn2c1)Cc1ccccc1Cl ZINC001143028643 1132495058 /nfs/dbraw/zinc/49/50/58/1132495058.db2.gz FEYIKXRNZNISDO-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@@H+](Cc1ccc2nccn2c1)Cc1ccccc1Cl ZINC001143028643 1132495059 /nfs/dbraw/zinc/49/50/59/1132495059.db2.gz FEYIKXRNZNISDO-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO CC[NH2+]Cc1noc(CC2CCC(c3ccccc3)CC2)n1 ZINC000843141194 1132496213 /nfs/dbraw/zinc/49/62/13/1132496213.db2.gz LEOCRYHODJBIRS-UHFFFAOYSA-N 1 2 299.418 3.696 20 0 CHADLO Fc1cc(C[N@@H+]2C[C@@H]3C[C@@H]3C2)c(Cl)cc1Cl ZINC001232703755 1132508406 /nfs/dbraw/zinc/50/84/06/1132508406.db2.gz ZIDBNXDTEPTBBM-OCAPTIKFSA-N 1 2 260.139 3.584 20 0 CHADLO Fc1cc(C[N@H+]2C[C@@H]3C[C@@H]3C2)c(Cl)cc1Cl ZINC001232703755 1132508410 /nfs/dbraw/zinc/50/84/10/1132508410.db2.gz ZIDBNXDTEPTBBM-OCAPTIKFSA-N 1 2 260.139 3.584 20 0 CHADLO COC[C@@H]1CCC[N@@H+]1Cc1cc(F)c(Cl)cc1Cl ZINC001232698566 1132508453 /nfs/dbraw/zinc/50/84/53/1132508453.db2.gz PEQQEQAXIOBVHD-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@@H]1CCC[N@H+]1Cc1cc(F)c(Cl)cc1Cl ZINC001232698566 1132508458 /nfs/dbraw/zinc/50/84/58/1132508458.db2.gz PEQQEQAXIOBVHD-JTQLQIEISA-N 1 2 292.181 3.743 20 0 CHADLO CSc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1 ZINC001173812174 1132516484 /nfs/dbraw/zinc/51/64/84/1132516484.db2.gz ZTSCQUXLSTUZOD-UHFFFAOYSA-N 1 2 259.378 3.613 20 0 CHADLO CC[N@H+](Cc1cccnc1)Cc1cc(C)c(F)cc1F ZINC001232782209 1132518215 /nfs/dbraw/zinc/51/82/15/1132518215.db2.gz IHUJGOLTTJYQSV-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1cccnc1)Cc1cc(C)c(F)cc1F ZINC001232782209 1132518221 /nfs/dbraw/zinc/51/82/21/1132518221.db2.gz IHUJGOLTTJYQSV-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO Clc1ccc2c(c1)CC[N@@H+](Cc1cc3cccnc3[nH]1)C2 ZINC001143224509 1132520148 /nfs/dbraw/zinc/52/01/48/1132520148.db2.gz UGSXJVSZODLJHK-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2c(c1)CC[N@H+](Cc1cc3cccnc3[nH]1)C2 ZINC001143224509 1132520155 /nfs/dbraw/zinc/52/01/55/1132520155.db2.gz UGSXJVSZODLJHK-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@](C)(F)C2)c(F)cc1F ZINC001232785402 1132521704 /nfs/dbraw/zinc/52/17/04/1132521704.db2.gz UEWHYQATNWOXKS-CQSZACIVSA-N 1 2 257.299 3.597 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@](C)(F)C2)c(F)cc1F ZINC001232785402 1132521710 /nfs/dbraw/zinc/52/17/10/1132521710.db2.gz UEWHYQATNWOXKS-CQSZACIVSA-N 1 2 257.299 3.597 20 0 CHADLO CSCC[N@H+](C)Cc1ccc(C(F)(F)F)nc1Cl ZINC001232796876 1132523157 /nfs/dbraw/zinc/52/31/57/1132523157.db2.gz VTCCCRLUJOZNBT-UHFFFAOYSA-N 1 2 298.761 3.549 20 0 CHADLO CSCC[N@@H+](C)Cc1ccc(C(F)(F)F)nc1Cl ZINC001232796876 1132523163 /nfs/dbraw/zinc/52/31/63/1132523163.db2.gz VTCCCRLUJOZNBT-UHFFFAOYSA-N 1 2 298.761 3.549 20 0 CHADLO FC(F)c1ccc(C[N@@H+]2CCC(F)(F)[C@@H](F)C2)cc1 ZINC001143244582 1132524098 /nfs/dbraw/zinc/52/40/98/1132524098.db2.gz WXYMVBGWHXOEGG-NSHDSACASA-N 1 2 279.252 3.803 20 0 CHADLO FC(F)c1ccc(C[N@H+]2CCC(F)(F)[C@@H](F)C2)cc1 ZINC001143244582 1132524105 /nfs/dbraw/zinc/52/41/05/1132524105.db2.gz WXYMVBGWHXOEGG-NSHDSACASA-N 1 2 279.252 3.803 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c(Cl)c1F ZINC001232875237 1132532331 /nfs/dbraw/zinc/53/23/31/1132532331.db2.gz BZBGYPOJZJDUPR-ATZCPNFKSA-N 1 2 275.701 3.551 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2C[C@H]3F)c(Cl)c1F ZINC001232875237 1132532337 /nfs/dbraw/zinc/53/23/37/1132532337.db2.gz BZBGYPOJZJDUPR-ATZCPNFKSA-N 1 2 275.701 3.551 20 0 CHADLO Cc1cc(C[NH+]2CC(C)(CC(F)F)C2)cnc1Cl ZINC000843424662 1132532336 /nfs/dbraw/zinc/53/23/36/1132532336.db2.gz GFLLHOJQXWDWDX-UHFFFAOYSA-N 1 2 274.742 3.521 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1 ZINC001173802126 1132536388 /nfs/dbraw/zinc/53/63/88/1132536388.db2.gz MFRZPGOZTUDPOG-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO CCCC[N@H+](C)Cc1c(Br)ccc(F)c1F ZINC001143329372 1132536801 /nfs/dbraw/zinc/53/68/01/1132536801.db2.gz PKQMHZCULITQAQ-UHFFFAOYSA-N 1 2 292.167 3.959 20 0 CHADLO CCCC[N@@H+](C)Cc1c(Br)ccc(F)c1F ZINC001143329372 1132536810 /nfs/dbraw/zinc/53/68/10/1132536810.db2.gz PKQMHZCULITQAQ-UHFFFAOYSA-N 1 2 292.167 3.959 20 0 CHADLO Cc1cc(O)cc(C[N@@H+](C)Cc2ccc(F)cc2F)c1 ZINC001232886346 1132537688 /nfs/dbraw/zinc/53/76/88/1132537688.db2.gz TUCOWPHXFFOHKE-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO Cc1cc(O)cc(C[N@H+](C)Cc2ccc(F)cc2F)c1 ZINC001232886346 1132537692 /nfs/dbraw/zinc/53/76/92/1132537692.db2.gz TUCOWPHXFFOHKE-UHFFFAOYSA-N 1 2 277.314 3.611 20 0 CHADLO C[N@H+](Cc1ccc(F)c(O)c1F)[C@H]1CCc2ccccc21 ZINC001232938499 1132544913 /nfs/dbraw/zinc/54/49/13/1132544913.db2.gz DSFUFFIVPKIGOP-HNNXBMFYSA-N 1 2 289.325 3.790 20 0 CHADLO C[N@@H+](Cc1ccc(F)c(O)c1F)[C@H]1CCc2ccccc21 ZINC001232938499 1132544919 /nfs/dbraw/zinc/54/49/19/1132544919.db2.gz DSFUFFIVPKIGOP-HNNXBMFYSA-N 1 2 289.325 3.790 20 0 CHADLO CCC[C@@H]1CCCC[N@@H+]1Cc1ccc(F)c(O)c1F ZINC001232935592 1132545253 /nfs/dbraw/zinc/54/52/53/1132545253.db2.gz VCKITFGARUGKCK-GFCCVEGCSA-N 1 2 269.335 3.825 20 0 CHADLO CCC[C@@H]1CCCC[N@H+]1Cc1ccc(F)c(O)c1F ZINC001232935592 1132545260 /nfs/dbraw/zinc/54/52/60/1132545260.db2.gz VCKITFGARUGKCK-GFCCVEGCSA-N 1 2 269.335 3.825 20 0 CHADLO C[C@H]1CC[N@H+](Cc2ccc(F)c(O)c2F)CCC1(F)F ZINC001232930934 1132545715 /nfs/dbraw/zinc/54/57/15/1132545715.db2.gz HECWHXSDGXLZPV-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2ccc(F)c(O)c2F)CCC1(F)F ZINC001232930934 1132545720 /nfs/dbraw/zinc/54/57/20/1132545720.db2.gz HECWHXSDGXLZPV-VIFPVBQESA-N 1 2 291.288 3.538 20 0 CHADLO CSc1cccc(Cl)c1C[N@@H+]1CCC[C@@H](F)C1 ZINC001233352548 1132609380 /nfs/dbraw/zinc/60/93/80/1132609380.db2.gz JJGCVJNRBJZWNJ-SNVBAGLBSA-N 1 2 273.804 3.996 20 0 CHADLO CSc1cccc(Cl)c1C[N@H+]1CCC[C@@H](F)C1 ZINC001233352548 1132609386 /nfs/dbraw/zinc/60/93/86/1132609386.db2.gz JJGCVJNRBJZWNJ-SNVBAGLBSA-N 1 2 273.804 3.996 20 0 CHADLO CCOc1ccc(Nc2cc(C)[nH+]c(OC)c2)cc1 ZINC001173851606 1132554717 /nfs/dbraw/zinc/55/47/17/1132554717.db2.gz LFNUSLRZLRZSJT-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2cc(O)c(F)c(F)c2)cc1 ZINC001232975440 1132555683 /nfs/dbraw/zinc/55/56/83/1132555683.db2.gz JUBVCOCSOGLWSY-UHFFFAOYSA-N 1 2 291.341 3.865 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2cc(O)c(F)c(F)c2)cc1 ZINC001232975440 1132555689 /nfs/dbraw/zinc/55/56/89/1132555689.db2.gz JUBVCOCSOGLWSY-UHFFFAOYSA-N 1 2 291.341 3.865 20 0 CHADLO CC[N@H+](Cc1ccccc1F)Cc1cc(F)cnc1C ZINC001232991220 1132557565 /nfs/dbraw/zinc/55/75/65/1132557565.db2.gz WDNLIHZAXMCDNQ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@@H+](Cc1ccccc1F)Cc1cc(F)cnc1C ZINC001232991220 1132557571 /nfs/dbraw/zinc/55/75/71/1132557571.db2.gz WDNLIHZAXMCDNQ-UHFFFAOYSA-N 1 2 276.330 3.690 20 0 CHADLO CC[N@H+](CC(=O)OC(C)(C)C)Cc1ccc(C2CC2)cc1 ZINC001232986256 1132558660 /nfs/dbraw/zinc/55/86/60/1132558660.db2.gz LXUIXKBJZCJOPN-UHFFFAOYSA-N 1 2 289.419 3.728 20 0 CHADLO CC[N@@H+](CC(=O)OC(C)(C)C)Cc1ccc(C2CC2)cc1 ZINC001232986256 1132558667 /nfs/dbraw/zinc/55/86/67/1132558667.db2.gz LXUIXKBJZCJOPN-UHFFFAOYSA-N 1 2 289.419 3.728 20 0 CHADLO Oc1cnc(Cl)cc1C[NH+]1CCCCCCCC1 ZINC001233037885 1132564076 /nfs/dbraw/zinc/56/40/76/1132564076.db2.gz KFMGJRHGZLMRJI-UHFFFAOYSA-N 1 2 268.788 3.597 20 0 CHADLO Cc1ccc(-n2ccc3c2cc(N)cc3C)c(C)[nH+]1 ZINC001203375342 1132578032 /nfs/dbraw/zinc/57/80/32/1132578032.db2.gz VSGORBQBRBSJQB-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO FC(F)(F)c1cc(C[NH+]2CC(c3cccnc3)C2)cs1 ZINC001233178993 1132579197 /nfs/dbraw/zinc/57/91/97/1132579197.db2.gz VCVLGAFQCIWGNX-UHFFFAOYSA-N 1 2 298.333 3.761 20 0 CHADLO CN(C)c1cc[nH+]c(NC(=O)c2ccccc2C2CCC2)c1 ZINC001152320347 1132580604 /nfs/dbraw/zinc/58/06/04/1132580604.db2.gz SDYCQNIIAJIDLH-UHFFFAOYSA-N 1 2 295.386 3.667 20 0 CHADLO FC[C@@H]1CCC[N@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233211553 1132580873 /nfs/dbraw/zinc/58/08/73/1132580873.db2.gz YXZLGCLDPACKEC-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO FC[C@@H]1CCC[N@@H+](Cc2ccc(Cl)c(F)c2F)C1 ZINC001233211553 1132580878 /nfs/dbraw/zinc/58/08/78/1132580878.db2.gz YXZLGCLDPACKEC-VIFPVBQESA-N 1 2 277.717 3.800 20 0 CHADLO CC(=O)N1CCCc2ccc(Nc3ccc(C)[nH+]c3C)cc21 ZINC001203376042 1132581622 /nfs/dbraw/zinc/58/16/22/1132581622.db2.gz HMBQNZGZENIGJF-UHFFFAOYSA-N 1 2 295.386 3.741 20 0 CHADLO Cc1cccc(-c2ccc(C[NH+]3CCC(=O)CC3)cc2)c1 ZINC001233224031 1132584642 /nfs/dbraw/zinc/58/46/42/1132584642.db2.gz XRNVQGGGHQPKPJ-UHFFFAOYSA-N 1 2 279.383 3.827 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1cccc3c1CCO3)C2 ZINC001233277889 1132592521 /nfs/dbraw/zinc/59/25/21/1132592521.db2.gz VYHPWEIQBMVAPI-UHFFFAOYSA-N 1 2 285.774 3.791 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1cccc3c1CCO3)C2 ZINC001233277889 1132592526 /nfs/dbraw/zinc/59/25/26/1132592526.db2.gz VYHPWEIQBMVAPI-UHFFFAOYSA-N 1 2 285.774 3.791 20 0 CHADLO COc1cc[nH+]cc1Nc1ccccc1C(F)(F)F ZINC001173841779 1132596239 /nfs/dbraw/zinc/59/62/39/1132596239.db2.gz FITCXHBJYQIOJJ-UHFFFAOYSA-N 1 2 268.238 3.853 20 0 CHADLO Nc1ccc(C[N@@H+]2CCc3ccc(F)cc3C2)c(Cl)c1 ZINC001233376283 1132611023 /nfs/dbraw/zinc/61/10/23/1132611023.db2.gz AEIPOQFDXXBAAH-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Nc1ccc(C[N@H+]2CCc3ccc(F)cc3C2)c(Cl)c1 ZINC001233376283 1132611026 /nfs/dbraw/zinc/61/10/26/1132611026.db2.gz AEIPOQFDXXBAAH-UHFFFAOYSA-N 1 2 290.769 3.620 20 0 CHADLO Cc1ncc(C[N@H+](Cc2ccccc2)Cc2ccncc2)o1 ZINC001233364853 1132611785 /nfs/dbraw/zinc/61/17/85/1132611785.db2.gz GVODNMJAOSYGHK-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Cc1ncc(C[N@@H+](Cc2ccccc2)Cc2ccncc2)o1 ZINC001233364853 1132611792 /nfs/dbraw/zinc/61/17/92/1132611792.db2.gz GVODNMJAOSYGHK-UHFFFAOYSA-N 1 2 293.370 3.580 20 0 CHADLO Clc1ccc2c(c1)CN(Cc1cccc3[nH+]ccn31)C2 ZINC001233363548 1132612691 /nfs/dbraw/zinc/61/26/91/1132612691.db2.gz MHOHQHJUYCDWLZ-UHFFFAOYSA-N 1 2 283.762 3.504 20 0 CHADLO Cc1cn(C(C)(C)C(=O)NCC[C@H](C)CC(C)(C)C)c[nH+]1 ZINC001154338659 1132613143 /nfs/dbraw/zinc/61/31/43/1132613143.db2.gz DGXJWDGAZIUGPX-ZDUSSCGKSA-N 1 2 293.455 3.505 20 0 CHADLO COc1cccc(OC)c1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001173921283 1132614686 /nfs/dbraw/zinc/61/46/86/1132614686.db2.gz DRTYVEXQSBKRFE-UHFFFAOYSA-N 1 2 295.342 3.633 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2ccsc2)c(Cl)c1O ZINC001233400467 1132615690 /nfs/dbraw/zinc/61/56/90/1132615690.db2.gz KCZHIPQMAKHDCV-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2ccsc2)c(Cl)c1O ZINC001233400467 1132615694 /nfs/dbraw/zinc/61/56/94/1132615694.db2.gz KCZHIPQMAKHDCV-UHFFFAOYSA-N 1 2 297.807 3.748 20 0 CHADLO Clc1ncnc(Cl)c1C[N@@H+]1CCC12CCCCC2 ZINC001233407471 1132619545 /nfs/dbraw/zinc/61/95/45/1132619545.db2.gz GEHNAFNTHFYAHC-UHFFFAOYSA-N 1 2 286.206 3.692 20 0 CHADLO Clc1ncnc(Cl)c1C[N@H+]1CCC12CCCCC2 ZINC001233407471 1132619550 /nfs/dbraw/zinc/61/95/50/1132619550.db2.gz GEHNAFNTHFYAHC-UHFFFAOYSA-N 1 2 286.206 3.692 20 0 CHADLO COc1cc(C)c[nH+]c1N[C@H](c1ccccc1)C1CC1 ZINC001154644261 1132619989 /nfs/dbraw/zinc/61/99/89/1132619989.db2.gz DSNNEGKHOWPGAB-MRXNPFEDSA-N 1 2 268.360 3.962 20 0 CHADLO Cc1nc(N[C@H](c2ccccc2)C2CC2)c2c([nH+]1)CCC2 ZINC001154644759 1132621092 /nfs/dbraw/zinc/62/10/92/1132621092.db2.gz JCBMDXRJSSFNQI-QGZVFWFLSA-N 1 2 279.387 3.837 20 0 CHADLO CCc1coc(CNc2cc(C)c3cc(OC)ccc3[nH+]2)n1 ZINC001154779043 1132624280 /nfs/dbraw/zinc/62/42/80/1132624280.db2.gz YSJSIZYTRLUOER-UHFFFAOYSA-N 1 2 297.358 3.714 20 0 CHADLO C[C@H](Nc1ccc(C(C)(C)C)c[nH+]1)c1nnc2ccccn21 ZINC001154819426 1132624973 /nfs/dbraw/zinc/62/49/73/1132624973.db2.gz MOBSQOFFSGDTDI-LBPRGKRZSA-N 1 2 295.390 3.595 20 0 CHADLO Cc1cc(Cl)c(C)c(NCCC2CCOCC2)[nH+]1 ZINC001154843910 1132626991 /nfs/dbraw/zinc/62/69/91/1132626991.db2.gz VHYAZHKZGRBNNR-UHFFFAOYSA-N 1 2 268.788 3.580 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc(F)ccc1OC ZINC001173956731 1132631303 /nfs/dbraw/zinc/63/13/03/1132631303.db2.gz XXZZQNXWFDGQBL-UHFFFAOYSA-N 1 2 260.312 3.844 20 0 CHADLO CCc1ccccc1Nc1cccc2[nH+]c(C)cn21 ZINC001173968134 1132638348 /nfs/dbraw/zinc/63/83/48/1132638348.db2.gz MZIXKOGJZOWFFF-UHFFFAOYSA-N 1 2 251.333 3.949 20 0 CHADLO CSCc1cc[nH+]c(NC[C@H]2CCC(F)(F)C2)c1 ZINC001155175389 1132645555 /nfs/dbraw/zinc/64/55/55/1132645555.db2.gz DWADFWGORCRZAO-NSHDSACASA-N 1 2 272.364 3.792 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1ncnc2[nH]c3ccccc3c21 ZINC001155181440 1132646962 /nfs/dbraw/zinc/64/69/62/1132646962.db2.gz PWAHJVIVZQZYGQ-UHFFFAOYSA-N 1 2 267.336 3.546 20 0 CHADLO COc1ccc(C)[nH+]c1N1Cc2ccccc2C(C)(C)C1 ZINC001155468492 1132657816 /nfs/dbraw/zinc/65/78/16/1132657816.db2.gz NQOYYSMXWYVCML-UHFFFAOYSA-N 1 2 282.387 3.696 20 0 CHADLO Cc1cc(NCCC(=O)OC(C)(C)C)[nH+]c2ccccc12 ZINC001155679776 1132663868 /nfs/dbraw/zinc/66/38/68/1132663868.db2.gz XAGQIGUINBCQTJ-UHFFFAOYSA-N 1 2 286.375 3.687 20 0 CHADLO COc1ccc(C)cc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001173985825 1132664135 /nfs/dbraw/zinc/66/41/35/1132664135.db2.gz NUSZUZIDIGUZJH-UHFFFAOYSA-N 1 2 293.370 3.992 20 0 CHADLO Cc1cc(C)c(Nc2cccc(CO)c2Cl)c[nH+]1 ZINC001212760345 1132666554 /nfs/dbraw/zinc/66/65/54/1132666554.db2.gz CWLOXTBVJLNBFK-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO CCOc1cccc(CCNc2cc(C(C)C)[nH+]c(C)n2)c1 ZINC001155867375 1132667710 /nfs/dbraw/zinc/66/77/10/1132667710.db2.gz KJZFDJRVTZWLQI-UHFFFAOYSA-N 1 2 299.418 3.962 20 0 CHADLO CC(=O)Nc1ccccc1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001173994456 1132667731 /nfs/dbraw/zinc/66/77/31/1132667731.db2.gz OHHAAOHPMFPADV-UHFFFAOYSA-N 1 2 292.342 3.574 20 0 CHADLO CCSc1cc[nH+]c(NCCOc2ccccc2F)c1 ZINC001156024174 1132678132 /nfs/dbraw/zinc/67/81/32/1132678132.db2.gz URRZHOSIZHQCDO-UHFFFAOYSA-N 1 2 292.379 3.824 20 0 CHADLO OC12C[C@@H]3C[C@H](C1)CC(Nc1cc4ccccc4c[nH+]1)(C3)C2 ZINC001156113206 1132682262 /nfs/dbraw/zinc/68/22/62/1132682262.db2.gz PVDHSWZMFMBHNU-BAUKFBFWSA-N 1 2 294.398 3.730 20 0 CHADLO C[C@H](Nc1cc(N(C)C)nc[nH+]1)c1ccc2ccccc2c1 ZINC001156130800 1132683973 /nfs/dbraw/zinc/68/39/73/1132683973.db2.gz IBLJNYXTZGJQJD-ZDUSSCGKSA-N 1 2 292.386 3.869 20 0 CHADLO C[C@H](Nc1cc(N(C)C)[nH+]cn1)c1ccc2ccccc2c1 ZINC001156130800 1132683977 /nfs/dbraw/zinc/68/39/77/1132683977.db2.gz IBLJNYXTZGJQJD-ZDUSSCGKSA-N 1 2 292.386 3.869 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](c2cccnc2)C(F)(F)F)c1 ZINC001156162105 1132685093 /nfs/dbraw/zinc/68/50/93/1132685093.db2.gz BXISMBDKWNNGDF-LBPRGKRZSA-N 1 2 299.321 3.914 20 0 CHADLO Cc1ccc(Nc2c(N)cc(Cl)cc2F)c(C)[nH+]1 ZINC001203376792 1132691238 /nfs/dbraw/zinc/69/12/38/1132691238.db2.gz SJVXNNZPLVYIPA-UHFFFAOYSA-N 1 2 265.719 3.817 20 0 CHADLO O=C1CC[N@@H+](Cc2ccccc2Cl)[C@@H]2CCCC[C@H]12 ZINC001203377259 1132692701 /nfs/dbraw/zinc/69/27/01/1132692701.db2.gz NARVIMJQQUHXPE-DZGCQCFKSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2ccccc2Cl)[C@@H]2CCCC[C@H]12 ZINC001203377259 1132692705 /nfs/dbraw/zinc/69/27/05/1132692705.db2.gz NARVIMJQQUHXPE-DZGCQCFKSA-N 1 2 277.795 3.674 20 0 CHADLO COc1cc2cc[nH+]c(N3C[C@H](C)CC34CC4)c2cc1F ZINC001156424964 1132694783 /nfs/dbraw/zinc/69/47/83/1132694783.db2.gz IXWDGEQWSIPOFC-LLVKDONJSA-N 1 2 286.350 3.761 20 0 CHADLO CCCCCC[C@](C)(CC)C(=O)NCc1c[nH+]c(CC)[nH]1 ZINC001156538604 1132703151 /nfs/dbraw/zinc/70/31/51/1132703151.db2.gz YBWNQFMAODYQQR-KRWDZBQOSA-N 1 2 293.455 3.975 20 0 CHADLO CCCCCC[C@](C)(CC)C(=O)NCc1c[nH]c(CC)[nH+]1 ZINC001156538604 1132703159 /nfs/dbraw/zinc/70/31/59/1132703159.db2.gz YBWNQFMAODYQQR-KRWDZBQOSA-N 1 2 293.455 3.975 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H](C)c2ccc(F)cn2)c1 ZINC001156678672 1132705724 /nfs/dbraw/zinc/70/57/24/1132705724.db2.gz GOTBMWOEEVOTDU-JTQLQIEISA-N 1 2 277.368 3.652 20 0 CHADLO Nc1cc(CNc2cc(Cl)nc3sccc32)cc[nH+]1 ZINC001156755467 1132708931 /nfs/dbraw/zinc/70/89/31/1132708931.db2.gz BGKLQASLUYORBD-UHFFFAOYSA-N 1 2 290.779 3.539 20 0 CHADLO C=Cc1ccc(N(C)Cc2ccc(-n3cc[nH+]c3)cc2)nc1 ZINC001156787863 1132710104 /nfs/dbraw/zinc/71/01/04/1132710104.db2.gz CRKYJLTWKQYECG-UHFFFAOYSA-N 1 2 290.370 3.547 20 0 CHADLO CO[C@H]1CCOc2c(Nc3ccc(C)[nH+]c3C)cccc21 ZINC001203379279 1132720467 /nfs/dbraw/zinc/72/04/67/1132720467.db2.gz AKERGCYEFFULTJ-INIZCTEOSA-N 1 2 284.359 3.912 20 0 CHADLO COCc1ccccc1Nc1cc[nH+]c(SC)c1 ZINC001174104283 1132720701 /nfs/dbraw/zinc/72/07/01/1132720701.db2.gz IXYOBLSBCGBFIX-UHFFFAOYSA-N 1 2 260.362 3.694 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2C)OCCO3)c(C)[nH+]1 ZINC001203379626 1132720702 /nfs/dbraw/zinc/72/07/02/1132720702.db2.gz DJJUQQRWKPUDQS-UHFFFAOYSA-N 1 2 270.332 3.522 20 0 CHADLO CC(C)c1[nH]cc(CNC(=O)c2cccc(C3CCC3)c2)[nH+]1 ZINC001157119544 1132722317 /nfs/dbraw/zinc/72/23/17/1132722317.db2.gz LVRPBGUJUUPMFX-UHFFFAOYSA-N 1 2 297.402 3.731 20 0 CHADLO CC(C)c1[nH]c(CNC(=O)c2cccc(C3CCC3)c2)c[nH+]1 ZINC001157119544 1132722322 /nfs/dbraw/zinc/72/23/22/1132722322.db2.gz LVRPBGUJUUPMFX-UHFFFAOYSA-N 1 2 297.402 3.731 20 0 CHADLO CSCC[C@@H](Nc1cc2ccccc2c[nH+]1)C(C)(C)O ZINC001157430101 1132731312 /nfs/dbraw/zinc/73/13/12/1132731312.db2.gz DEFWAPZVFJKVIZ-CQSZACIVSA-N 1 2 290.432 3.539 20 0 CHADLO Cc1ccc(C)c(Nc2cccc(CO)c2Cl)[nH+]1 ZINC001212761515 1132732214 /nfs/dbraw/zinc/73/22/14/1132732214.db2.gz PDBDWTLDCRWRRA-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc(C(=O)N(C)C)c2)cc1 ZINC001174086486 1132733800 /nfs/dbraw/zinc/73/38/00/1132733800.db2.gz LNMXMJJLRPIHKH-UHFFFAOYSA-N 1 2 297.402 3.588 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc(C(=O)N(C)C)c2)cc1 ZINC001174086486 1132733805 /nfs/dbraw/zinc/73/38/05/1132733805.db2.gz LNMXMJJLRPIHKH-UHFFFAOYSA-N 1 2 297.402 3.588 20 0 CHADLO CCOc1cc(Nc2ccc(F)cc2OC)cc(C)[nH+]1 ZINC001174129290 1132738184 /nfs/dbraw/zinc/73/81/84/1132738184.db2.gz IYVSSDVGKMUJOR-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO Cc1cn2cccc(Nc3cccc4cnccc43)c2[nH+]1 ZINC001174135720 1132741919 /nfs/dbraw/zinc/74/19/19/1132741919.db2.gz LRNSXPQTZXXGLQ-UHFFFAOYSA-N 1 2 274.327 3.935 20 0 CHADLO COc1ccc(Nc2ccc[nH+]c2N2CCCC2)c(F)c1 ZINC001174144369 1132745520 /nfs/dbraw/zinc/74/55/20/1132745520.db2.gz SXWUIBOADAOEAS-UHFFFAOYSA-N 1 2 287.338 3.573 20 0 CHADLO COc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC001174145153 1132747227 /nfs/dbraw/zinc/74/72/27/1132747227.db2.gz MJFGWIQKLSJFCA-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]ccn32)cc1Cl ZINC001174150257 1132749465 /nfs/dbraw/zinc/74/94/65/1132749465.db2.gz MKMRAZQIHLBZSK-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO COc1cc(Nc2ccc(OC)c(Cl)c2)cc(C)[nH+]1 ZINC001174152120 1132750297 /nfs/dbraw/zinc/75/02/97/1132750297.db2.gz CNLLHJDUQHVTDP-UHFFFAOYSA-N 1 2 278.739 3.804 20 0 CHADLO C[C@H](Nc1cc(N2CCC[C@@H](C)C2)nc[nH+]1)C(C)(C)C ZINC001157878454 1132751275 /nfs/dbraw/zinc/75/12/75/1132751275.db2.gz YVFZUNKPCJWYLT-OLZOCXBDSA-N 1 2 276.428 3.559 20 0 CHADLO C[C@H](Nc1cc(N2CCC[C@@H](C)C2)[nH+]cn1)C(C)(C)C ZINC001157878454 1132751283 /nfs/dbraw/zinc/75/12/83/1132751283.db2.gz YVFZUNKPCJWYLT-OLZOCXBDSA-N 1 2 276.428 3.559 20 0 CHADLO Cc1ccc(Nc2cccc(C(=O)N3CCCC3)c2)c(C)[nH+]1 ZINC001174168118 1132753978 /nfs/dbraw/zinc/75/39/78/1132753978.db2.gz NSTYELKSGROVQL-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1n[nH]c(C)c1Nc1cccc2[nH+]c(C)ccc12 ZINC001174217066 1132761995 /nfs/dbraw/zinc/76/19/95/1132761995.db2.gz VFTKINGDAMIRNB-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO CSc1cc[nH+]c(NCc2ccccc2-n2cccn2)c1 ZINC001158116613 1132765119 /nfs/dbraw/zinc/76/51/19/1132765119.db2.gz IXCXOAHEMMKLAD-UHFFFAOYSA-N 1 2 296.399 3.601 20 0 CHADLO CCOC(=O)Cc1ccc(NC(C)(C)CC(C)(C)C)[nH+]c1 ZINC001158187832 1132765886 /nfs/dbraw/zinc/76/58/86/1132765886.db2.gz KGTFQBQNFAJSKI-UHFFFAOYSA-N 1 2 292.423 3.814 20 0 CHADLO Cc1nn(C)c(C)c1Nc1c[nH+]c(CC(C)C)cc1C ZINC001174226488 1132766557 /nfs/dbraw/zinc/76/65/57/1132766557.db2.gz BKOZEBBNDOSDDV-UHFFFAOYSA-N 1 2 272.396 3.682 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H]2C[C@H](C)c3ccccc32)[nH+]1 ZINC001158468724 1132777739 /nfs/dbraw/zinc/77/77/39/1132777739.db2.gz OMDGZXLKHBAPCV-ZUZCIYMTSA-N 1 2 278.359 3.927 20 0 CHADLO C[C@@H]1C[C@@H](Nc2cc(N)cc(Cl)[nH+]2)c2ccccc21 ZINC001158474829 1132780131 /nfs/dbraw/zinc/78/01/31/1132780131.db2.gz UXRURWNPAWCXAX-NOZJJQNGSA-N 1 2 273.767 3.978 20 0 CHADLO Cc1cc(N[C@H](C)c2cnccn2)[nH+]c2ccccc12 ZINC001158595911 1132783383 /nfs/dbraw/zinc/78/33/83/1132783383.db2.gz CUZVAOPWLDMEPS-GFCCVEGCSA-N 1 2 264.332 3.506 20 0 CHADLO Cc1cccc2c(C)cc(N[C@H](C)c3cnccn3)[nH+]c12 ZINC001158594485 1132784037 /nfs/dbraw/zinc/78/40/37/1132784037.db2.gz QRZQNGIDKVMDIE-CYBMUJFWSA-N 1 2 278.359 3.815 20 0 CHADLO Cc1nc2c(o1)CCN(c1cc(C)c3cccc(C)c3[nH+]1)C2 ZINC001158826506 1132795501 /nfs/dbraw/zinc/79/55/01/1132795501.db2.gz DYVHEZUMILHQFM-UHFFFAOYSA-N 1 2 293.370 3.711 20 0 CHADLO Cc1ccn2cc(CN(C)c3ccc(C(C)C)c[nH+]3)nc2c1 ZINC001158860322 1132796333 /nfs/dbraw/zinc/79/63/33/1132796333.db2.gz WBROPXFSLXHMCX-UHFFFAOYSA-N 1 2 294.402 3.798 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1cccc2n[nH]cc21 ZINC001174342493 1132799366 /nfs/dbraw/zinc/79/93/66/1132799366.db2.gz PRPJZSJUVORKAK-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1[nH+]c2c(Nc3cccc4[nH]ncc43)cccn2c1C ZINC001174345026 1132803681 /nfs/dbraw/zinc/80/36/81/1132803681.db2.gz UQBHLGGUZKIXCE-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO c1[nH]nc2cccc(Nc3ccc4c[nH+]ccc4c3)c12 ZINC001174345064 1132804237 /nfs/dbraw/zinc/80/42/37/1132804237.db2.gz VYNCHAIYIWWDLU-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO c1n[nH]c2cccc(Nc3ccc4c[nH+]ccc4c3)c12 ZINC001174345064 1132804244 /nfs/dbraw/zinc/80/42/44/1132804244.db2.gz VYNCHAIYIWWDLU-UHFFFAOYSA-N 1 2 260.300 3.855 20 0 CHADLO Cn1cnc2ccc(Nc3ccc(-n4cc[nH+]c4)cc3)cc21 ZINC001174348494 1132806875 /nfs/dbraw/zinc/80/68/75/1132806875.db2.gz CFRAZXUTAPJWSI-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO Cc1nc(N=C2CCC[N@H+](Cc3ccccc3)C2)cs1 ZINC001174394074 1132810178 /nfs/dbraw/zinc/81/01/78/1132810178.db2.gz ALCTUPBDZICGSX-UHFFFAOYSA-N 1 2 285.416 3.653 20 0 CHADLO Cc1nc(N=C2CCC[N@@H+](Cc3ccccc3)C2)cs1 ZINC001174394074 1132810187 /nfs/dbraw/zinc/81/01/87/1132810187.db2.gz ALCTUPBDZICGSX-UHFFFAOYSA-N 1 2 285.416 3.653 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnc2[nH]ccc2c1 ZINC001174397866 1132813371 /nfs/dbraw/zinc/81/33/71/1132813371.db2.gz HZOOTBLEQIOYDX-UHFFFAOYSA-N 1 2 252.321 3.524 20 0 CHADLO Cc1c[nH+]c(Nc2ccnc(C(F)(F)F)c2)c(C)c1 ZINC001174360429 1132818236 /nfs/dbraw/zinc/81/82/36/1132818236.db2.gz KTBGMXQQXDMNOV-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO CNc1ccc(Nc2ccc(OCC(C)C)cc2)c[nH+]1 ZINC001159253654 1132820797 /nfs/dbraw/zinc/82/07/97/1132820797.db2.gz CQKDPJQHYQXYSS-UHFFFAOYSA-N 1 2 271.364 3.902 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2ncsc2c1 ZINC001174363685 1132821341 /nfs/dbraw/zinc/82/13/41/1132821341.db2.gz IZFACSFAXHIMRP-UHFFFAOYSA-N 1 2 271.345 3.834 20 0 CHADLO CCCCOc1ccc(F)cc1Nc1ccc(N)[nH+]c1 ZINC001159282158 1132822623 /nfs/dbraw/zinc/82/26/23/1132822623.db2.gz POSMRPJEQHXQFC-UHFFFAOYSA-N 1 2 275.327 3.725 20 0 CHADLO CCCOc1ccc(Cl)cc1Nc1ccc(N)[nH+]c1 ZINC001159282354 1132823257 /nfs/dbraw/zinc/82/32/57/1132823257.db2.gz UQECAQCYJMJJOC-UHFFFAOYSA-N 1 2 277.755 3.850 20 0 CHADLO CC(C)Oc1ccc(Nc2ccc(N)[nH+]c2)cc1Cl ZINC001159281447 1132823398 /nfs/dbraw/zinc/82/33/98/1132823398.db2.gz ZJMOKHMOIPYUPO-UHFFFAOYSA-N 1 2 277.755 3.848 20 0 CHADLO CCCCOc1ccc(Nc2ccc(N)[nH+]c2)cc1F ZINC001159282318 1132823482 /nfs/dbraw/zinc/82/34/82/1132823482.db2.gz ROPOUXVDZCLMPG-UHFFFAOYSA-N 1 2 275.327 3.725 20 0 CHADLO CC(C)(C)OCc1ccc(Nc2ccc(N)[nH+]c2)cc1 ZINC001159280967 1132823922 /nfs/dbraw/zinc/82/39/22/1132823922.db2.gz JYKOQWDQXHIXDB-UHFFFAOYSA-N 1 2 271.364 3.723 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(-c2cnn(C)c2)cc1 ZINC001174376281 1132826062 /nfs/dbraw/zinc/82/60/62/1132826062.db2.gz PODLXJCKKRXVJU-UHFFFAOYSA-N 1 2 264.332 3.534 20 0 CHADLO CCOc1cnc(Nc2ccc3c(c2)[nH+]cn3C(C)C)cn1 ZINC001174381534 1132828981 /nfs/dbraw/zinc/82/89/81/1132828981.db2.gz JQDFIHRSAONYDN-UHFFFAOYSA-N 1 2 297.362 3.550 20 0 CHADLO Cc1coc(Nc2ccc3c(c2)[nH+]cn3C(C)C)n1 ZINC001174380627 1132829402 /nfs/dbraw/zinc/82/94/02/1132829402.db2.gz GPDPUTONOKPHEB-UHFFFAOYSA-N 1 2 256.309 3.657 20 0 CHADLO Cc1cc(NC2CC(C)(C)OC(C)(C)C2)nc(C2CC2)[nH+]1 ZINC001159324028 1132831433 /nfs/dbraw/zinc/83/14/33/1132831433.db2.gz QIEMXWDVNOCMAH-UHFFFAOYSA-N 1 2 289.423 3.811 20 0 CHADLO c1c[nH+]c(Nc2ccc3c(c2)CCCN3)c(N2CCCC2)c1 ZINC001159366361 1132835027 /nfs/dbraw/zinc/83/50/27/1132835027.db2.gz WTYHUJPABMQCOU-UHFFFAOYSA-N 1 2 294.402 3.784 20 0 CHADLO FC(F)(F)Oc1cc[nH+]c(Nc2cccc3c2CCN3)c1 ZINC001159416370 1132840622 /nfs/dbraw/zinc/84/06/22/1132840622.db2.gz VXZNNVZVGSHHTK-UHFFFAOYSA-N 1 2 295.264 3.692 20 0 CHADLO c1cc2c(c(Nc3ccc(N4CCCCC4)[nH+]c3)c1)NCC2 ZINC001159454914 1132847281 /nfs/dbraw/zinc/84/72/81/1132847281.db2.gz IHHKAUDHCLYFPZ-UHFFFAOYSA-N 1 2 294.402 3.784 20 0 CHADLO FC(F)(F)c1cc(Nc2cc[nH+]c3[nH]ccc32)ccn1 ZINC001174409326 1132847442 /nfs/dbraw/zinc/84/74/42/1132847442.db2.gz LCXNEVDLYNCNJE-UHFFFAOYSA-N 1 2 278.237 3.672 20 0 CHADLO OCc1cccc(Cl)c1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001159461400 1132849057 /nfs/dbraw/zinc/84/90/57/1132849057.db2.gz SNVLTVFPQIYXSV-UHFFFAOYSA-N 1 2 299.761 3.762 20 0 CHADLO O=c1ccc2cc(Nc3cc[nH+]c4[nH]ccc43)c[nH]c-2c1 ZINC001174410688 1132849264 /nfs/dbraw/zinc/84/92/64/1132849264.db2.gz NFLBGSKYTZCMNL-UHFFFAOYSA-N 1 2 276.299 3.512 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccnc3[nH]ccc32)cc1 ZINC001174412459 1132850857 /nfs/dbraw/zinc/85/08/57/1132850857.db2.gz MBVRDHFZZUWSHW-UHFFFAOYSA-N 1 2 266.348 3.714 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccnc3[nH]ccc32)cc1 ZINC001174412459 1132850866 /nfs/dbraw/zinc/85/08/66/1132850866.db2.gz MBVRDHFZZUWSHW-UHFFFAOYSA-N 1 2 266.348 3.714 20 0 CHADLO Cc1cn2cccc(Nc3cnn(CCC(C)C)c3)c2[nH+]1 ZINC001174415317 1132853426 /nfs/dbraw/zinc/85/34/26/1132853426.db2.gz NTFANCVWLZVXPZ-UHFFFAOYSA-N 1 2 283.379 3.629 20 0 CHADLO CCn1ncc2cc(Nc3cc[nH+]c4[nH]ccc43)ccc21 ZINC001174415361 1132855845 /nfs/dbraw/zinc/85/58/45/1132855845.db2.gz KEQNJDODKLQILG-UHFFFAOYSA-N 1 2 277.331 3.628 20 0 CHADLO COC(=O)c1cc(C)c(Nc2cc[nH+]c3[nH]ccc32)cc1C ZINC001174415897 1132855863 /nfs/dbraw/zinc/85/58/63/1132855863.db2.gz UWDBQEDYXBGWJQ-UHFFFAOYSA-N 1 2 295.342 3.662 20 0 CHADLO FC(F)(F)Oc1cc[nH+]c(Nc2ccc3c(c2)OCC3)c1 ZINC001206671807 1132874648 /nfs/dbraw/zinc/87/46/48/1132874648.db2.gz GFYIOXTWWZCVLT-UHFFFAOYSA-N 1 2 296.248 3.659 20 0 CHADLO C[C@H](Nc1cc2ccccc2c[nH+]1)c1cccc(N)c1 ZINC001159636285 1132880794 /nfs/dbraw/zinc/88/07/94/1132880794.db2.gz QKWYUEIDMPFNTI-LBPRGKRZSA-N 1 2 263.344 3.990 20 0 CHADLO CCC=CNc1[nH+]cnc2c1ccn2Cc1ccccc1 ZINC001159667631 1132886604 /nfs/dbraw/zinc/88/66/04/1132886604.db2.gz DTUHYTNWXWPEEB-XCVCLJGOSA-N 1 2 278.359 3.815 20 0 CHADLO Cc1cc(CO)cc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174525405 1132886963 /nfs/dbraw/zinc/88/69/63/1132886963.db2.gz XDYFFSZUNVKWKO-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccc(N4CCCC4)cc3)ccc21 ZINC001174527938 1132889610 /nfs/dbraw/zinc/88/96/10/1132889610.db2.gz TXXIIRXMZHUHTI-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO Cc1c[nH+]ccc1Nc1nc2cccc(Cl)c2s1 ZINC001174535960 1132894827 /nfs/dbraw/zinc/89/48/27/1132894827.db2.gz GPBZRWBZFDAASS-UHFFFAOYSA-N 1 2 275.764 3.819 20 0 CHADLO Cc1ccc[nH+]c1Nc1cccc(-c2cc[nH]n2)c1 ZINC001174506192 1132903861 /nfs/dbraw/zinc/90/38/61/1132903861.db2.gz HILQLWKTJALMCC-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO Cc1cc(C)c(Nc2cccc(-c3cc[nH]n3)c2)c[nH+]1 ZINC001174507211 1132904234 /nfs/dbraw/zinc/90/42/34/1132904234.db2.gz CGNAVEJDNHNDIV-UHFFFAOYSA-N 1 2 264.332 3.832 20 0 CHADLO CCNC(=O)Nc1ccc(Nc2cccc(CC)[nH+]2)cc1 ZINC001174518544 1132910558 /nfs/dbraw/zinc/91/05/58/1132910558.db2.gz OMIIBWDQRWOPIT-UHFFFAOYSA-N 1 2 284.363 3.529 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCCC3)nc2)c(C)[nH+]1 ZINC001174521290 1132911585 /nfs/dbraw/zinc/91/15/85/1132911585.db2.gz CXKAELSYPNBZOH-UHFFFAOYSA-N 1 2 282.391 3.827 20 0 CHADLO CCNC(=O)Nc1ccc(Nc2c(C)cc[nH+]c2CC)cc1 ZINC001174519554 1132912221 /nfs/dbraw/zinc/91/22/21/1132912221.db2.gz MTENBPMJFXDICC-UHFFFAOYSA-N 1 2 298.390 3.837 20 0 CHADLO COc1c(O)cccc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174525045 1132914315 /nfs/dbraw/zinc/91/43/15/1132914315.db2.gz QGTDTVOKUBBRKV-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO Cc1cc(O)ccc1Nc1ccccc1-n1cc[nH+]c1 ZINC001174561880 1132925124 /nfs/dbraw/zinc/92/51/24/1132925124.db2.gz DRVBNJNGZXTGQK-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO Cc1c[nH+]cc(Nc2cc(N)ccc2Br)c1C ZINC001159927219 1132926301 /nfs/dbraw/zinc/92/63/01/1132926301.db2.gz FCBWGGWUGMVLRR-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO C[C@@H](Nc1cc(-c2ccccc2)cc[nH+]1)c1ncc(F)cn1 ZINC001159960889 1132930080 /nfs/dbraw/zinc/93/00/80/1132930080.db2.gz NLJLNPLNJGTQLR-GFCCVEGCSA-N 1 2 294.333 3.851 20 0 CHADLO Oc1ccc(F)cc1Nc1ccc(Cn2cc[nH+]c2)cc1 ZINC001174627597 1132932897 /nfs/dbraw/zinc/93/28/97/1132932897.db2.gz VZYHQQAFARWKNN-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1cc(N[C@H]2COCc3ccccc32)nc(C(C)(C)C)[nH+]1 ZINC001160124659 1132941577 /nfs/dbraw/zinc/94/15/77/1132941577.db2.gz SIJCSRBGQXIBER-HNNXBMFYSA-N 1 2 297.402 3.766 20 0 CHADLO CSCc1cc[nH+]c(N[C@H]2COCc3ccccc32)c1 ZINC001160125112 1132941838 /nfs/dbraw/zinc/94/18/38/1132941838.db2.gz ZAQNJSCZHYHKFT-HNNXBMFYSA-N 1 2 286.400 3.628 20 0 CHADLO COc1c(F)ccc(F)c1Nc1ccc2c(c1)[nH+]cn2C ZINC001174663692 1132954691 /nfs/dbraw/zinc/95/46/91/1132954691.db2.gz GUTYQTKWTNJJGF-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cc(Br)ccc2N)c1 ZINC001160277954 1132955848 /nfs/dbraw/zinc/95/58/48/1132955848.db2.gz DYEVNLSTHDYBTO-UHFFFAOYSA-N 1 2 292.180 3.787 20 0 CHADLO COc1cc2cc[nH+]c(NC(C)(C)CC(C)=O)c2cc1F ZINC001160300361 1132958082 /nfs/dbraw/zinc/95/80/82/1132958082.db2.gz AWTXBILHEBLLKO-UHFFFAOYSA-N 1 2 290.338 3.552 20 0 CHADLO CCO[C@H](C)n1cc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001174701179 1132958708 /nfs/dbraw/zinc/95/87/08/1132958708.db2.gz YVVLMXVIEUYTRU-GFCCVEGCSA-N 1 2 282.347 3.730 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)n(C(C)(C)C)n1 ZINC001213027263 1132986716 /nfs/dbraw/zinc/98/67/16/1132986716.db2.gz QRKGYZFMZKXRRS-UHFFFAOYSA-N 1 2 269.352 3.566 20 0 CHADLO Fc1cc(Nc2cccc3[nH+]c[nH]c32)c(Cl)c(F)n1 ZINC001213028165 1132989231 /nfs/dbraw/zinc/98/92/31/1132989231.db2.gz JAROWVTWNRTMAY-UHFFFAOYSA-N 1 2 280.665 3.633 20 0 CHADLO c1[nH]c2c(cccc2Nc2ccc(OCC3CCC3)nc2)[nH+]1 ZINC001213028771 1132989704 /nfs/dbraw/zinc/98/97/04/1132989704.db2.gz WNUSTOPVCSPNMG-UHFFFAOYSA-N 1 2 294.358 3.880 20 0 CHADLO c1[nH]c2c(cccc2Nc2cncc(-c3ccccn3)c2)[nH+]1 ZINC001213030812 1132994114 /nfs/dbraw/zinc/99/41/14/1132994114.db2.gz SEJYWQLISGRJRG-UHFFFAOYSA-N 1 2 287.326 3.764 20 0 CHADLO COc1cccc2cc(Nc3cccc4[nH+]c[nH]c43)cnc21 ZINC001213029926 1132994302 /nfs/dbraw/zinc/99/43/02/1132994302.db2.gz YJYHVYJLBMGNJR-UHFFFAOYSA-N 1 2 290.326 3.863 20 0 CHADLO COc1c(Cl)cccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213030528 1132994547 /nfs/dbraw/zinc/99/45/47/1132994547.db2.gz DGEZOTOZJVZWPL-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO COc1cc(C)ccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213031456 1132994977 /nfs/dbraw/zinc/99/49/77/1132994977.db2.gz AVFISYFWKFOARM-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO CC(C)(O)c1ccccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213031541 1132997006 /nfs/dbraw/zinc/99/70/06/1132997006.db2.gz FIDZCJUMLINOMY-UHFFFAOYSA-N 1 2 267.332 3.534 20 0 CHADLO COCc1ccc(CNc2cc(SC)cc[nH+]2)cc1 ZINC001161327027 1132997546 /nfs/dbraw/zinc/99/75/46/1132997546.db2.gz GVJWOLRECSGKQY-UHFFFAOYSA-N 1 2 274.389 3.562 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]c[nH]c32)c(F)c1 ZINC001213032374 1132999073 /nfs/dbraw/zinc/99/90/73/1132999073.db2.gz BVEGENYVAXSJGS-UHFFFAOYSA-N 1 2 271.295 3.844 20 0 CHADLO COCCCOc1ccc(Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213032195 1132999285 /nfs/dbraw/zinc/99/92/85/1132999285.db2.gz XFDRCFYMOPNORO-UHFFFAOYSA-N 1 2 297.358 3.722 20 0 CHADLO Cc1nc(-c2ccc(Nc3cccc4[nH+]c[nH]c43)cc2)no1 ZINC001213032641 1133000998 /nfs/dbraw/zinc/00/09/98/1133000998.db2.gz QFALDOYQKPTWDI-UHFFFAOYSA-N 1 2 291.314 3.665 20 0 CHADLO COc1cc(C)c(Nc2cccc3[nH+]c[nH]c32)c(C)c1 ZINC001213032767 1133001647 /nfs/dbraw/zinc/00/16/47/1133001647.db2.gz XAIURNWBWKTRHK-UHFFFAOYSA-N 1 2 267.332 3.932 20 0 CHADLO CSc1c[nH+]c(NC[C@@H]2CCOC(C)(C)C2)c(C)c1 ZINC001161429277 1133002147 /nfs/dbraw/zinc/00/21/47/1133002147.db2.gz SYAXPDOWEODOGD-GFCCVEGCSA-N 1 2 280.437 3.729 20 0 CHADLO Fc1ccc2c(c1)[nH]c1nc[nH+]c(NC3CC4(CCC4)C3)c21 ZINC001161452094 1133003240 /nfs/dbraw/zinc/00/32/40/1133003240.db2.gz VYCRJILJOSPVHB-UHFFFAOYSA-N 1 2 296.349 3.995 20 0 CHADLO Cc1cc(NCc2cncn2CC2CCC2)nc(C(C)C)[nH+]1 ZINC001161533566 1133005093 /nfs/dbraw/zinc/00/50/93/1133005093.db2.gz UESJOUFDPMELHL-UHFFFAOYSA-N 1 2 299.422 3.517 20 0 CHADLO Cn1ccc2ccc(Nc3cccc4[nH+]c[nH]c43)cc21 ZINC001213033406 1133005552 /nfs/dbraw/zinc/00/55/52/1133005552.db2.gz DYTLTBXRZGVEAT-UHFFFAOYSA-N 1 2 262.316 3.798 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc(-c2cccs2)nn1 ZINC001161565047 1133006248 /nfs/dbraw/zinc/00/62/48/1133006248.db2.gz JFTFOCHCJMXDPJ-UHFFFAOYSA-N 1 2 299.403 3.595 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccc2cc(F)ccc2n1 ZINC001161565990 1133006541 /nfs/dbraw/zinc/00/65/41/1133006541.db2.gz XOKDPXMAMNBVJT-UHFFFAOYSA-N 1 2 284.338 3.763 20 0 CHADLO CC(C)COc1cccc(NCc2c[nH+]cn2C(C)C)n1 ZINC001161569824 1133006600 /nfs/dbraw/zinc/00/66/00/1133006600.db2.gz PPNYDVOSZHZISK-UHFFFAOYSA-N 1 2 288.395 3.506 20 0 CHADLO C[C@@H](CCc1ccccc1)Nc1ccn2cc[nH+]c2c1 ZINC001161613352 1133008528 /nfs/dbraw/zinc/00/85/28/1133008528.db2.gz WTTFFXNFXZINMP-AWEZNQCLSA-N 1 2 265.360 3.768 20 0 CHADLO O=C1CCCc2cc(Nc3cccc4[nH+]c[nH]c43)ccc2N1 ZINC001213033774 1133011167 /nfs/dbraw/zinc/01/11/67/1133011167.db2.gz WTEASHYLNZMUGH-UHFFFAOYSA-N 1 2 292.342 3.581 20 0 CHADLO CC(C)(C)CNc1cc[nH+]c(OCc2ccccn2)c1 ZINC001161641998 1133013155 /nfs/dbraw/zinc/01/31/55/1133013155.db2.gz GORUGIWIIKCXJS-UHFFFAOYSA-N 1 2 271.364 3.514 20 0 CHADLO COc1cc(C)c(Nc2cccc3[nH+]c[nH]c32)cc1C ZINC001213034503 1133014910 /nfs/dbraw/zinc/01/49/10/1133014910.db2.gz NJKIPEMDFGBYPI-UHFFFAOYSA-N 1 2 267.332 3.932 20 0 CHADLO Cc1cc(C)c2nc(NCCCn3cc[nH+]c3)ccc2c1 ZINC001161682644 1133015663 /nfs/dbraw/zinc/01/56/63/1133015663.db2.gz UVMPWRVRNUNKGQ-UHFFFAOYSA-N 1 2 280.375 3.550 20 0 CHADLO Cc1cc(NCCCn2cc[nH+]c2)ccc1C(F)(F)F ZINC001161684519 1133016120 /nfs/dbraw/zinc/01/61/20/1133016120.db2.gz GLWMFOWKQDKWPV-UHFFFAOYSA-N 1 2 283.297 3.713 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]c[nH]c32)cc1F ZINC001213034681 1133016995 /nfs/dbraw/zinc/01/69/95/1133016995.db2.gz XGYYTXNBCASSGJ-UHFFFAOYSA-N 1 2 271.295 3.844 20 0 CHADLO Cc1cccnc1CNc1cc2cc(F)ccc2c[nH+]1 ZINC001161880726 1133037068 /nfs/dbraw/zinc/03/70/68/1133037068.db2.gz HBIGMWJLPJYKQB-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO Cc1[nH+]cccc1NCCCc1cncc(C(F)(F)F)c1 ZINC001162044695 1133050795 /nfs/dbraw/zinc/05/07/95/1133050795.db2.gz SNUXHYPWYAXMHG-UHFFFAOYSA-N 1 2 295.308 3.849 20 0 CHADLO COC(OC)c1cc(C)c[nH+]c1NCC(C)(C)CC1CC1 ZINC001162125397 1133052621 /nfs/dbraw/zinc/05/26/21/1133052621.db2.gz BUPSQZPBBNLHND-UHFFFAOYSA-N 1 2 292.423 3.920 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(NCc3nccc(C)n3)c2)cc1 ZINC001162190539 1133058183 /nfs/dbraw/zinc/05/81/83/1133058183.db2.gz DRBXZFDVDGGORM-UHFFFAOYSA-N 1 2 290.370 3.768 20 0 CHADLO c1ccc(-c2ccc[nH+]c2NCCC2CCOCC2)cc1 ZINC001162217946 1133060817 /nfs/dbraw/zinc/06/08/17/1133060817.db2.gz GWHXYEGKASQIOE-UHFFFAOYSA-N 1 2 282.387 3.977 20 0 CHADLO Cc1cc(NCc2cnc3cccc(C)n23)ccc1[NH+](C)C ZINC001162354540 1133071553 /nfs/dbraw/zinc/07/15/53/1133071553.db2.gz XPQUUGAHSHAFQM-UHFFFAOYSA-N 1 2 294.402 3.629 20 0 CHADLO COc1ccc2[nH+]c(N[C@H]3C[C@]34CCCOC4)c(C)cc2c1 ZINC001162370064 1133073160 /nfs/dbraw/zinc/07/31/60/1133073160.db2.gz ZWASNYHPJDJLTH-WMZOPIPTSA-N 1 2 298.386 3.533 20 0 CHADLO CCCC[C@H](COC)Nc1cc(C)[nH+]c(C2CCC2)n1 ZINC001162561302 1133091634 /nfs/dbraw/zinc/09/16/34/1133091634.db2.gz WEHDJEZSFSBEBY-CQSZACIVSA-N 1 2 277.412 3.670 20 0 CHADLO CCCC[C@@H](COC)Nc1cc(C)[nH+]c(C2CCC2)n1 ZINC001162561301 1133092340 /nfs/dbraw/zinc/09/23/40/1133092340.db2.gz WEHDJEZSFSBEBY-AWEZNQCLSA-N 1 2 277.412 3.670 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1nc(Cl)nc2c1SCCC2 ZINC001162662293 1133101921 /nfs/dbraw/zinc/10/19/21/1133101921.db2.gz SGUHSZJNEWBPDH-UHFFFAOYSA-N 1 2 284.816 3.604 20 0 CHADLO CN1CCOc2cc(Nc3cccc(C4CC4)[nH+]3)ccc21 ZINC001213042646 1133102213 /nfs/dbraw/zinc/10/22/13/1133102213.db2.gz RWEFWMDRQICZFA-UHFFFAOYSA-N 1 2 281.359 3.531 20 0 CHADLO Cc1ccc(CNc2nc(C(C)(C)C)[nH+]c(C)c2C)cn1 ZINC001162870658 1133118041 /nfs/dbraw/zinc/11/80/41/1133118041.db2.gz NAACJLXAEIOFIK-UHFFFAOYSA-N 1 2 284.407 3.706 20 0 CHADLO Fc1cccc2ccc(NCc3ccc4[nH+]ccn4c3)nc12 ZINC001163139559 1133131597 /nfs/dbraw/zinc/13/15/97/1133131597.db2.gz QCYKZKWLQOACDP-UHFFFAOYSA-N 1 2 292.317 3.634 20 0 CHADLO CSc1cc[nH+]c(NCc2cccc(C(F)(F)F)n2)c1 ZINC001163184136 1133133987 /nfs/dbraw/zinc/13/39/87/1133133987.db2.gz CUPQBVYFIATEHH-UHFFFAOYSA-N 1 2 299.321 3.829 20 0 CHADLO Cc1nc(N[C@@H](C)c2ccno2)cc(C2CCCCC2)[nH+]1 ZINC001163214563 1133137479 /nfs/dbraw/zinc/13/74/79/1133137479.db2.gz WZGVCKXTYGHFMP-NSHDSACASA-N 1 2 286.379 3.994 20 0 CHADLO CCc1cc(N2C[C@@H](C(C)C)[C@@H]2C(C)C)nc(CC)[nH+]1 ZINC001163294457 1133140781 /nfs/dbraw/zinc/14/07/81/1133140781.db2.gz MURIFARMCBCKKE-YOEHRIQHSA-N 1 2 275.440 3.718 20 0 CHADLO Cc1nc(NC[C@H]2CCCCC2(F)F)c2c([nH+]1)CCCC2 ZINC001163371803 1133146130 /nfs/dbraw/zinc/14/61/30/1133146130.db2.gz CMRYFFTWSAMWAY-GFCCVEGCSA-N 1 2 295.377 3.901 20 0 CHADLO CCc1nc(N2CCC[C@]2(C)CC(C)C)cc(C)[nH+]1 ZINC001163389543 1133146931 /nfs/dbraw/zinc/14/69/31/1133146931.db2.gz ANPLIXXCJVEDAS-MRXNPFEDSA-N 1 2 261.413 3.752 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)N(C)C(=O)C3(C)C)c(C)[nH+]1 ZINC001203381267 1133155712 /nfs/dbraw/zinc/15/57/12/1133155712.db2.gz SMGSCWALZIQZJD-UHFFFAOYSA-N 1 2 295.386 3.696 20 0 CHADLO COc1ccc2cc[nH+]c(N(C(C)C)C(C)C)c2c1 ZINC001163577590 1133160703 /nfs/dbraw/zinc/16/07/03/1133160703.db2.gz SYHGVYNRCFWCMM-UHFFFAOYSA-N 1 2 258.365 3.867 20 0 CHADLO CCOc1cccc(CCNc2cc3cc[nH]c3c[nH+]2)c1 ZINC001163712525 1133167703 /nfs/dbraw/zinc/16/77/03/1133167703.db2.gz RFJHUDMBSUWWGX-UHFFFAOYSA-N 1 2 281.359 3.616 20 0 CHADLO CCc1cc(N2CCC[C@@H]3CCCC[C@@H]32)nc(CC)[nH+]1 ZINC001163764404 1133172833 /nfs/dbraw/zinc/17/28/33/1133172833.db2.gz QBUCFHZEQGCCEH-ZFWWWQNUSA-N 1 2 273.424 3.760 20 0 CHADLO CC(C)c1ccc(N2CC[C@H](F)C(F)(F)CC2)[nH+]c1 ZINC001163779701 1133174489 /nfs/dbraw/zinc/17/44/89/1133174489.db2.gz GIPQGFBDUXENKH-LBPRGKRZSA-N 1 2 272.314 3.779 20 0 CHADLO CCSc1cc[nH+]c(N2CC[C@H](F)C(F)(F)CC2)c1 ZINC001163783470 1133175033 /nfs/dbraw/zinc/17/50/33/1133175033.db2.gz BHGPNBAHBNZPTG-NSHDSACASA-N 1 2 290.354 3.767 20 0 CHADLO COc1ccccc1[C@@H]1CCN(c2cccc(C3CC3)[nH+]2)C1 ZINC001163808616 1133176545 /nfs/dbraw/zinc/17/65/45/1133176545.db2.gz GUIXVBOEXRVDQP-OAHLLOKOSA-N 1 2 294.398 3.962 20 0 CHADLO CC(C)c1ccc(CNc2[nH+]cnc3[nH]cc(F)c32)cc1 ZINC001163816449 1133176593 /nfs/dbraw/zinc/17/65/93/1133176593.db2.gz FAXHBPPWUCPONW-UHFFFAOYSA-N 1 2 284.338 3.784 20 0 CHADLO COc1ccccc1[C@H]1CCN(c2[nH+]ccc(F)c2C)C1 ZINC001163807760 1133176858 /nfs/dbraw/zinc/17/68/58/1133176858.db2.gz YHUABVYRNKHZDI-ZDUSSCGKSA-N 1 2 286.350 3.532 20 0 CHADLO CC[C@H](Cc1cn(C)c2ccccc12)Nc1cc[nH+]c(C)n1 ZINC001163873564 1133181895 /nfs/dbraw/zinc/18/18/95/1133181895.db2.gz NTNLWQBWBHVYKJ-OAHLLOKOSA-N 1 2 294.402 3.710 20 0 CHADLO Nc1ccccc1CNc1cc2cc(F)ccc2c[nH+]1 ZINC001163880760 1133181976 /nfs/dbraw/zinc/18/19/76/1133181976.db2.gz GZAVOXFFVXMUKD-UHFFFAOYSA-N 1 2 267.307 3.568 20 0 CHADLO Fc1ccccc1OCCNc1ccc(-n2cccc2)c[nH+]1 ZINC001163954051 1133186974 /nfs/dbraw/zinc/18/69/74/1133186974.db2.gz DOZQSCJODBFYTG-UHFFFAOYSA-N 1 2 297.333 3.502 20 0 CHADLO Fc1ccccc1OCCNc1[nH+]cccc1-n1cccc1 ZINC001163950615 1133186980 /nfs/dbraw/zinc/18/69/80/1133186980.db2.gz OSTKAEIBNNEESK-UHFFFAOYSA-N 1 2 297.333 3.502 20 0 CHADLO CSCc1cc[nH+]c(N2CCCC(F)(F)CC2)c1 ZINC001163980135 1133191499 /nfs/dbraw/zinc/19/14/99/1133191499.db2.gz BYRLODPRUOVJFZ-UHFFFAOYSA-N 1 2 272.364 3.570 20 0 CHADLO CCc1cc(N2CCc3ccsc3[C@@H]2C)nc(C)[nH+]1 ZINC001164037816 1133193815 /nfs/dbraw/zinc/19/38/15/1133193815.db2.gz KDOBSPSTDAESSA-JTQLQIEISA-N 1 2 273.405 3.533 20 0 CHADLO Cc1cc(NCC2(OC(C)C)CCC2)nc(C2CCC2)[nH+]1 ZINC001164068162 1133195557 /nfs/dbraw/zinc/19/55/57/1133195557.db2.gz SZTKOVPEVRWJOX-UHFFFAOYSA-N 1 2 289.423 3.812 20 0 CHADLO CC(C)(C)c1ccc(N2CCC[C@@H](F)C(F)(F)C2)[nH+]c1 ZINC001164062437 1133195974 /nfs/dbraw/zinc/19/59/74/1133195974.db2.gz LEBZOVRHMYRKCN-GFCCVEGCSA-N 1 2 286.341 3.953 20 0 CHADLO FC[C@@H]1CCN(c2cc3ccccc3c[nH+]2)CC1(F)F ZINC001164092603 1133197107 /nfs/dbraw/zinc/19/71/07/1133197107.db2.gz VMAYEMAZFVNTLP-ZDUSSCGKSA-N 1 2 280.293 3.666 20 0 CHADLO C[C@@H]1CCN(c2cc(-c3ccccc3)cc[nH+]2)C[C@H]1F ZINC001164175428 1133203991 /nfs/dbraw/zinc/20/39/91/1133203991.db2.gz WGATUPRJZOBGOO-CZUORRHYSA-N 1 2 270.351 3.933 20 0 CHADLO COc1ccc(CCCNc2c[nH+]c3c(c2)CCC3)cc1 ZINC001164191767 1133204797 /nfs/dbraw/zinc/20/47/97/1133204797.db2.gz BZZKINASLYQVFJ-UHFFFAOYSA-N 1 2 282.387 3.624 20 0 CHADLO COC(C)(C)[C@@H](C)Nc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC001164190730 1133204847 /nfs/dbraw/zinc/20/48/47/1133204847.db2.gz LAMMYEAEAPSTSF-GFCCVEGCSA-N 1 2 279.428 3.949 20 0 CHADLO CCc1[nH]c(CNc2nc3cc(C)ccc3s2)c[nH+]1 ZINC001164243440 1133209457 /nfs/dbraw/zinc/20/94/57/1133209457.db2.gz OTUUWHWJEIKJLS-UHFFFAOYSA-N 1 2 272.377 3.502 20 0 CHADLO CCc1[nH]cc(CNc2nc3cc(C)ccc3s2)[nH+]1 ZINC001164243440 1133209464 /nfs/dbraw/zinc/20/94/64/1133209464.db2.gz OTUUWHWJEIKJLS-UHFFFAOYSA-N 1 2 272.377 3.502 20 0 CHADLO Cc1cc(N2CC[C@](C)(F)[C@@H](F)C2)[nH+]c2ccccc12 ZINC001164332772 1133214093 /nfs/dbraw/zinc/21/40/93/1133214093.db2.gz IUICONWPZUECDT-HOCLYGCPSA-N 1 2 276.330 3.820 20 0 CHADLO CCSc1cc[nH+]c(N2CCC(CC)CC2)c1 ZINC001164456947 1133220415 /nfs/dbraw/zinc/22/04/15/1133220415.db2.gz WPSQABMSEAHFBL-UHFFFAOYSA-N 1 2 250.411 3.820 20 0 CHADLO CCC1CCN(c2cc(CSC)cc[nH+]2)CC1 ZINC001164455629 1133220542 /nfs/dbraw/zinc/22/05/42/1133220542.db2.gz FKGHYXBFDXDMME-UHFFFAOYSA-N 1 2 250.411 3.571 20 0 CHADLO COC(=O)c1cc(F)cc(F)c1Nc1ccc(C)[nH+]c1C ZINC001203381469 1133225977 /nfs/dbraw/zinc/22/59/77/1133225977.db2.gz ZHQZUHXTCPBILX-UHFFFAOYSA-N 1 2 292.285 3.507 20 0 CHADLO CSCc1cc[nH+]c(N2CCC(F)(F)C(C)(C)C2)c1 ZINC001165216951 1133230181 /nfs/dbraw/zinc/23/01/81/1133230181.db2.gz RWWIAGSYMHWCCW-UHFFFAOYSA-N 1 2 286.391 3.816 20 0 CHADLO CSCc1cc[nH+]c(N2CCC3(CC(F)C3)CC2)c1 ZINC001165310055 1133239186 /nfs/dbraw/zinc/23/91/86/1133239186.db2.gz IJFQUVSPJXNXNA-UHFFFAOYSA-N 1 2 280.412 3.663 20 0 CHADLO Cc1cc(N2CC[C@@H]3CCCO[C@H]3C2)[nH+]c2ccccc12 ZINC001165332002 1133240373 /nfs/dbraw/zinc/24/03/73/1133240373.db2.gz GDVMBRUBWRTVLV-YOEHRIQHSA-N 1 2 282.387 3.549 20 0 CHADLO c1cc2n(c1)CCN(c1cc(-c3ccccc3)cc[nH+]1)C2 ZINC001166602007 1133274527 /nfs/dbraw/zinc/27/45/27/1133274527.db2.gz QTKDTLLOBIUQNW-UHFFFAOYSA-N 1 2 275.355 3.570 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CCC[C@@H](C)[C@H]2C)[nH+]1 ZINC001166612959 1133276148 /nfs/dbraw/zinc/27/61/48/1133276148.db2.gz RDWWAHGNDDEHFW-BXKDBHETSA-N 1 2 252.789 3.977 20 0 CHADLO FC(F)[C@H]1CCCN(c2[nH+]ccc3ccccc32)C1 ZINC001166771905 1133285888 /nfs/dbraw/zinc/28/58/88/1133285888.db2.gz PJZYEYAIQQQYSO-LBPRGKRZSA-N 1 2 262.303 3.716 20 0 CHADLO Cc1nc(N2CCC[C@H]2c2nccs2)cc(C(C)C)[nH+]1 ZINC001167044431 1133302395 /nfs/dbraw/zinc/30/23/95/1133302395.db2.gz ZDZHRQOAZPTZAS-ZDUSSCGKSA-N 1 2 288.420 3.706 20 0 CHADLO Cc1ccc(Nc2cnc3c(C4CCC4)cnn3c2)c(C)[nH+]1 ZINC001203368581 1133318974 /nfs/dbraw/zinc/31/89/74/1133318974.db2.gz AMYZRHMCWUUDFH-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)N(C)CCC3)c(C)[nH+]1 ZINC001203374127 1133319795 /nfs/dbraw/zinc/31/97/95/1133319795.db2.gz FPPHXGLYCDXXNI-UHFFFAOYSA-N 1 2 267.376 3.824 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cn(C)c4ccccc34)ccc21 ZINC001203422348 1133325997 /nfs/dbraw/zinc/32/59/97/1133325997.db2.gz IMIBDJWJGUYBRY-UHFFFAOYSA-N 1 2 276.343 3.809 20 0 CHADLO CNc1ccc(Nc2cc(C(C)(C)C)ccc2O)c[nH+]1 ZINC001203457803 1133329154 /nfs/dbraw/zinc/32/91/54/1133329154.db2.gz AMOZZVLGKHANAQ-UHFFFAOYSA-N 1 2 271.364 3.870 20 0 CHADLO CNc1ccc(Nc2ccc(O)c3ccccc23)c[nH+]1 ZINC001203456745 1133329508 /nfs/dbraw/zinc/32/95/08/1133329508.db2.gz UIPWGUGJSLHTFO-UHFFFAOYSA-N 1 2 265.316 3.726 20 0 CHADLO CNc1ccc(Nc2ccc(OC)cc2C(F)(F)F)c[nH+]1 ZINC001203455132 1133329527 /nfs/dbraw/zinc/32/95/27/1133329527.db2.gz PZKAMNQGDNKQKR-UHFFFAOYSA-N 1 2 297.280 3.894 20 0 CHADLO CNc1ccc(Nc2c(C)cnn2-c2ccccc2F)c[nH+]1 ZINC001203451752 1133330091 /nfs/dbraw/zinc/33/00/91/1133330091.db2.gz BHMZAQXFQGJAJC-UHFFFAOYSA-N 1 2 297.337 3.500 20 0 CHADLO CNc1ccc(Nc2ccc3c(C)cc[nH+]c3c2)cn1 ZINC001203459806 1133331164 /nfs/dbraw/zinc/33/11/64/1133331164.db2.gz RPFUKCILZYXOLW-UHFFFAOYSA-N 1 2 264.332 3.724 20 0 CHADLO Cc1cc(NC/C=C\c2cccc(F)c2)c[nH+]c1C ZINC001203491373 1133332752 /nfs/dbraw/zinc/33/27/52/1133332752.db2.gz JDSWYROWSYRQOK-XQRVVYSFSA-N 1 2 256.324 3.963 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2c(F)ccc(F)c2F)c1 ZINC001203660860 1133349991 /nfs/dbraw/zinc/34/99/91/1133349991.db2.gz QCBDMCQMXZQKHR-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO COc1ccc(-n2nccc2Nc2cc(C)c[nH+]c2C)cc1 ZINC001203657616 1133350233 /nfs/dbraw/zinc/35/02/33/1133350233.db2.gz RSVKABZSUNDPPR-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COC(=O)c1ccc(Nc2cc(C)c[nH+]c2C)c(Cl)c1 ZINC001203667442 1133350949 /nfs/dbraw/zinc/35/09/49/1133350949.db2.gz LNHBUWMXQRLNIF-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO CCOC(=O)c1ccc(NC)c(Nc2cc(C)c[nH+]c2C)c1 ZINC001203663843 1133351253 /nfs/dbraw/zinc/35/12/53/1133351253.db2.gz WXKJYKOBTDBPAJ-UHFFFAOYSA-N 1 2 299.374 3.660 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2ccccc2C(F)(F)F)CCC1=O ZINC001203687429 1133353517 /nfs/dbraw/zinc/35/35/17/1133353517.db2.gz OXSISSICCYFGBO-LLVKDONJSA-N 1 2 285.309 3.506 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2ccccc2C(F)(F)F)CCC1=O ZINC001203687429 1133353522 /nfs/dbraw/zinc/35/35/22/1133353522.db2.gz OXSISSICCYFGBO-LLVKDONJSA-N 1 2 285.309 3.506 20 0 CHADLO Cn1nc2c(c1Nc1ccc([NH+]3CCCCC3)cc1)CCC2 ZINC001203713431 1133357421 /nfs/dbraw/zinc/35/74/21/1133357421.db2.gz ZZSWXPAQBOGQSZ-UHFFFAOYSA-N 1 2 296.418 3.643 20 0 CHADLO CCOc1nccnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203717490 1133359375 /nfs/dbraw/zinc/35/93/75/1133359375.db2.gz DABRZDLOFUDTLI-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3cccc(F)c3C2)c(C)c1C ZINC001203724337 1133359478 /nfs/dbraw/zinc/35/94/78/1133359478.db2.gz GEXUVENRESECKE-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1ccc(C[N@H+]2Cc3cccc(F)c3C2)c(C)c1C ZINC001203724337 1133359484 /nfs/dbraw/zinc/35/94/84/1133359484.db2.gz GEXUVENRESECKE-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO CC[C@H](CC(=O)NCc1cc(C)[nH+]c(C)c1)C(C)(C)C ZINC001276419034 1133366449 /nfs/dbraw/zinc/36/64/49/1133366449.db2.gz RRYVKNACTIGEEH-OAHLLOKOSA-N 1 2 276.424 3.777 20 0 CHADLO Cc1c2ccccc2oc1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC001276419224 1133366953 /nfs/dbraw/zinc/36/69/53/1133366953.db2.gz SSFSHZPPZMDBMJ-UHFFFAOYSA-N 1 2 294.354 3.683 20 0 CHADLO C[C@@]1(F)CC[N@@H+](Cc2cccc(Cl)c2)C[C@H]1F ZINC001203797455 1133369539 /nfs/dbraw/zinc/36/95/39/1133369539.db2.gz MCRMBPIPUVAHKN-CHWSQXEVSA-N 1 2 259.727 3.612 20 0 CHADLO C[C@@]1(F)CC[N@H+](Cc2cccc(Cl)c2)C[C@H]1F ZINC001203797455 1133369534 /nfs/dbraw/zinc/36/95/34/1133369534.db2.gz MCRMBPIPUVAHKN-CHWSQXEVSA-N 1 2 259.727 3.612 20 0 CHADLO C[C@@H]1COCC[N@@H+]1Cc1cccc(Oc2ccccc2)c1 ZINC001203809820 1133372559 /nfs/dbraw/zinc/37/25/59/1133372559.db2.gz BUWJWMRQEIHIIV-OAHLLOKOSA-N 1 2 283.371 3.700 20 0 CHADLO C[C@@H]1COCC[N@H+]1Cc1cccc(Oc2ccccc2)c1 ZINC001203809820 1133372561 /nfs/dbraw/zinc/37/25/61/1133372561.db2.gz BUWJWMRQEIHIIV-OAHLLOKOSA-N 1 2 283.371 3.700 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cccc(Oc3ccccc3)c2)CCO1 ZINC001203810954 1133372680 /nfs/dbraw/zinc/37/26/80/1133372680.db2.gz LCSAELXSHTVYOT-OAHLLOKOSA-N 1 2 283.371 3.700 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cccc(Oc3ccccc3)c2)CCO1 ZINC001203810954 1133372684 /nfs/dbraw/zinc/37/26/84/1133372684.db2.gz LCSAELXSHTVYOT-OAHLLOKOSA-N 1 2 283.371 3.700 20 0 CHADLO Cc1cccc(C[NH+]2CC(Oc3ccc(F)c(F)c3)C2)c1 ZINC001203891808 1133381734 /nfs/dbraw/zinc/38/17/34/1133381734.db2.gz IBRJCRNDZAFBAQ-UHFFFAOYSA-N 1 2 289.325 3.536 20 0 CHADLO F[C@@H]1C[N@H+](Cc2ccc(Cl)cc2)CCCC1(F)F ZINC001203918696 1133382858 /nfs/dbraw/zinc/38/28/58/1133382858.db2.gz FAGSCIZOZAMWRK-GFCCVEGCSA-N 1 2 277.717 3.909 20 0 CHADLO F[C@@H]1C[N@@H+](Cc2ccc(Cl)cc2)CCCC1(F)F ZINC001203918696 1133382862 /nfs/dbraw/zinc/38/28/62/1133382862.db2.gz FAGSCIZOZAMWRK-GFCCVEGCSA-N 1 2 277.717 3.909 20 0 CHADLO CSCc1cnc(C[NH2+][C@H](C)c2ncccc2C)s1 ZINC001204038159 1133402778 /nfs/dbraw/zinc/40/27/78/1133402778.db2.gz KRFSLCSKRRVARX-LLVKDONJSA-N 1 2 293.461 3.560 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2c(F)cccc2F)c1 ZINC001204202527 1133417630 /nfs/dbraw/zinc/41/76/30/1133417630.db2.gz GHNBFGXPJZYYJJ-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2c(F)cccc2F)c1 ZINC001204202527 1133417633 /nfs/dbraw/zinc/41/76/33/1133417633.db2.gz GHNBFGXPJZYYJJ-UHFFFAOYSA-N 1 2 261.315 3.905 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2cccc(F)c2F)C1 ZINC001204193104 1133418298 /nfs/dbraw/zinc/41/82/98/1133418298.db2.gz FMTCPTHRTKWNEL-AWEZNQCLSA-N 1 2 257.299 3.679 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@H+]1CC[C@H](C)C(F)(F)C1 ZINC001204291609 1133430163 /nfs/dbraw/zinc/43/01/63/1133430163.db2.gz NTFVEHNPIDXEFM-NSHDSACASA-N 1 2 278.346 3.953 20 0 CHADLO Cc1[nH]c2ccccc2c1C[N@@H+]1CC[C@H](C)C(F)(F)C1 ZINC001204291609 1133430166 /nfs/dbraw/zinc/43/01/66/1133430166.db2.gz NTFVEHNPIDXEFM-NSHDSACASA-N 1 2 278.346 3.953 20 0 CHADLO CCCCCCOc1ccccc1C[N@@H+]1CCOC[C@@H]1C ZINC001204296548 1133430626 /nfs/dbraw/zinc/43/06/26/1133430626.db2.gz BQKORKOWWPTUQZ-INIZCTEOSA-N 1 2 291.435 3.866 20 0 CHADLO CCCCCCOc1ccccc1C[N@H+]1CCOC[C@@H]1C ZINC001204296548 1133430630 /nfs/dbraw/zinc/43/06/30/1133430630.db2.gz BQKORKOWWPTUQZ-INIZCTEOSA-N 1 2 291.435 3.866 20 0 CHADLO Cc1c(F)cccc1C[NH+]1CC(OCc2ccccc2)C1 ZINC001204513133 1133456782 /nfs/dbraw/zinc/45/67/82/1133456782.db2.gz SFGIYTCVPPEESA-UHFFFAOYSA-N 1 2 285.362 3.535 20 0 CHADLO Fc1cc(Br)ccc1C[NH+]1CC2(C1)CCCC2 ZINC001204552491 1133461759 /nfs/dbraw/zinc/46/17/59/1133461759.db2.gz YKNAPSOVDIXDBT-UHFFFAOYSA-N 1 2 298.199 3.964 20 0 CHADLO Fc1ncc(C(F)(F)F)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204970996 1133510564 /nfs/dbraw/zinc/51/05/64/1133510564.db2.gz FTGJSZSDBLQVLH-UHFFFAOYSA-N 1 2 296.227 3.631 20 0 CHADLO Fc1cc(F)c(F)c(Nc2ccn3cc[nH+]c3c2)c1F ZINC001204978265 1133511596 /nfs/dbraw/zinc/51/15/96/1133511596.db2.gz RQZSRQADELEFTG-UHFFFAOYSA-N 1 2 281.212 3.634 20 0 CHADLO COc1ccc(Nc2ccn3cc[nH+]c3c2)c2ncccc12 ZINC001204990807 1133513647 /nfs/dbraw/zinc/51/36/47/1133513647.db2.gz GIKZADKPHGNPQB-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO O=C1CCCCc2c(Nc3ccn4cc[nH+]c4c3)cccc21 ZINC001204997701 1133514826 /nfs/dbraw/zinc/51/48/26/1133514826.db2.gz OSRQZYSZAZQACN-UHFFFAOYSA-N 1 2 291.354 3.987 20 0 CHADLO CC(=O)c1cc(C)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001205006082 1133516604 /nfs/dbraw/zinc/51/66/04/1133516604.db2.gz RTSOZHZKXZBPJX-UHFFFAOYSA-N 1 2 265.316 3.589 20 0 CHADLO C[C@H]1CC[N@@H+]1Cc1cccc(C(F)(F)F)c1Cl ZINC001205037247 1133519339 /nfs/dbraw/zinc/51/93/39/1133519339.db2.gz PPGOHMZYIOMGBP-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO C[C@H]1CC[N@H+]1Cc1cccc(C(F)(F)F)c1Cl ZINC001205037247 1133519342 /nfs/dbraw/zinc/51/93/42/1133519342.db2.gz PPGOHMZYIOMGBP-QMMMGPOBSA-N 1 2 263.690 3.953 20 0 CHADLO CCCCO[C@@H]1CCC[N@H+](Cc2cnc(Cl)s2)C1 ZINC001205149972 1133537368 /nfs/dbraw/zinc/53/73/68/1133537368.db2.gz XGPZEDCBXUMXDD-LLVKDONJSA-N 1 2 288.844 3.578 20 0 CHADLO CCCCO[C@@H]1CCC[N@@H+](Cc2cnc(Cl)s2)C1 ZINC001205149972 1133537373 /nfs/dbraw/zinc/53/73/73/1133537373.db2.gz XGPZEDCBXUMXDD-LLVKDONJSA-N 1 2 288.844 3.578 20 0 CHADLO CCOc1cccc(F)c1C[NH+]1CC(C)(C)OC(C)(C)C1 ZINC001205422978 1133575895 /nfs/dbraw/zinc/57/58/95/1133575895.db2.gz AGOANNDQPKIFEW-UHFFFAOYSA-N 1 2 295.398 3.614 20 0 CHADLO Cn1ccc2cc(Nc3cc(Cl)c4[nH+]ccn4c3)cnc21 ZINC001205686488 1133602991 /nfs/dbraw/zinc/60/29/91/1133602991.db2.gz OBCQTEAYBRDXJN-UHFFFAOYSA-N 1 2 297.749 3.618 20 0 CHADLO CCc1cc(-c2nc3[nH]ccc3c[nH+]2)ccc1Cl ZINC001205793482 1133614423 /nfs/dbraw/zinc/61/44/23/1133614423.db2.gz JRGLXLFTMKEJNG-UHFFFAOYSA-N 1 2 257.724 3.792 20 0 CHADLO CC[C@@H](NC(=O)Nc1c(C)cc(C)[nH+]c1C)[C@H]1CC1(C)C ZINC001205900237 1133628558 /nfs/dbraw/zinc/62/85/58/1133628558.db2.gz WPSWJLTXVBQLKL-ZIAGYGMSSA-N 1 2 289.423 3.953 20 0 CHADLO Cc1cc(C)c(NC(=O)NC/C=C/c2ccccc2)c(C)[nH+]1 ZINC001205899905 1133629297 /nfs/dbraw/zinc/62/92/97/1133629297.db2.gz QUVKOGVOHCDINX-JXMROGBWSA-N 1 2 295.386 3.842 20 0 CHADLO CC(C)[N@H+](Cc1ccc(Oc2ccccc2)o1)C1COC1 ZINC001205960660 1133635211 /nfs/dbraw/zinc/63/52/11/1133635211.db2.gz XKUKDVNOIBQEEL-UHFFFAOYSA-N 1 2 287.359 3.681 20 0 CHADLO CC(C)[N@@H+](Cc1ccc(Oc2ccccc2)o1)C1COC1 ZINC001205960660 1133635213 /nfs/dbraw/zinc/63/52/13/1133635213.db2.gz XKUKDVNOIBQEEL-UHFFFAOYSA-N 1 2 287.359 3.681 20 0 CHADLO C[C@H](COC1C[NH+](Cc2ccccc2)C1)c1ccccc1 ZINC001205999661 1133640121 /nfs/dbraw/zinc/64/01/21/1133640121.db2.gz BOWJUMHWBBREEH-MRXNPFEDSA-N 1 2 281.399 3.691 20 0 CHADLO COc1cccc([C@H]2CCCC[N@@H+]2Cc2coc(C)n2)c1 ZINC001206067640 1133653027 /nfs/dbraw/zinc/65/30/27/1133653027.db2.gz GRCANJSATXBKBL-QGZVFWFLSA-N 1 2 286.375 3.719 20 0 CHADLO COc1cccc([C@H]2CCCC[N@H+]2Cc2coc(C)n2)c1 ZINC001206067640 1133653030 /nfs/dbraw/zinc/65/30/30/1133653030.db2.gz GRCANJSATXBKBL-QGZVFWFLSA-N 1 2 286.375 3.719 20 0 CHADLO CCCn1cncc1C[N@@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC001206135489 1133662085 /nfs/dbraw/zinc/66/20/85/1133662085.db2.gz JJWJHQLGLOGRMI-OAHLLOKOSA-N 1 2 289.448 3.938 20 0 CHADLO CCCn1cncc1C[N@H+]1CC(C)(C)[C@H]1c1cccs1 ZINC001206135489 1133662090 /nfs/dbraw/zinc/66/20/90/1133662090.db2.gz JJWJHQLGLOGRMI-OAHLLOKOSA-N 1 2 289.448 3.938 20 0 CHADLO CC(C)Oc1cc(-c2cc[nH+]c(N(C)C)c2)ccc1F ZINC001206183555 1133668393 /nfs/dbraw/zinc/66/83/93/1133668393.db2.gz FIHKMXKFGKCGGW-UHFFFAOYSA-N 1 2 274.339 3.741 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccccc3O)ccc12 ZINC001206233699 1133673109 /nfs/dbraw/zinc/67/31/09/1133673109.db2.gz MISICENHJITEAP-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO Cc1cc(CNc2cc3cc[nH]c3c[nH+]2)cc(Cl)n1 ZINC001206477672 1133707463 /nfs/dbraw/zinc/70/74/63/1133707463.db2.gz QFPUJFAGQAQFTN-UHFFFAOYSA-N 1 2 272.739 3.532 20 0 CHADLO Clc1nccc(CNc2cc3cc[nH]c3c[nH+]2)c1Cl ZINC001206475537 1133708327 /nfs/dbraw/zinc/70/83/27/1133708327.db2.gz CJMOANGPJAOMDJ-UHFFFAOYSA-N 1 2 293.157 3.877 20 0 CHADLO Cc1cc(-c2cc(OC(C)C)ncc2F)cn2cc[nH+]c12 ZINC001206634504 1133730376 /nfs/dbraw/zinc/73/03/76/1133730376.db2.gz FXKVHHXTOAGJGS-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO C=Cc1ccc(-c2ccc(C[NH+]3CCOCC3)cc2F)cc1 ZINC001206712613 1133734850 /nfs/dbraw/zinc/73/48/50/1133734850.db2.gz WOVCPQBHEHGKHA-UHFFFAOYSA-N 1 2 297.373 3.968 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@H+](C)Cc1cc(C)ccn1 ZINC001206853163 1133755910 /nfs/dbraw/zinc/75/59/10/1133755910.db2.gz OCHDZOXTBGNBHQ-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CCC[C@@H](C(=O)c1ccccc1)[N@@H+](C)Cc1cc(C)ccn1 ZINC001206853163 1133755915 /nfs/dbraw/zinc/75/59/15/1133755915.db2.gz OCHDZOXTBGNBHQ-SFHVURJKSA-N 1 2 296.414 3.873 20 0 CHADLO CCCCC1CCN(Cc2[nH+]cc3ccccn32)CC1 ZINC001207050338 1133763213 /nfs/dbraw/zinc/76/32/13/1133763213.db2.gz OFPIINDJXOAGIE-UHFFFAOYSA-N 1 2 271.408 3.737 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2ncc3ccccn32)cc1 ZINC001207057960 1133763680 /nfs/dbraw/zinc/76/36/80/1133763680.db2.gz UFCVZJZKBYMSEA-AWEZNQCLSA-N 1 2 295.386 3.536 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2ncc3ccccn32)cc1 ZINC001207057960 1133763683 /nfs/dbraw/zinc/76/36/83/1133763683.db2.gz UFCVZJZKBYMSEA-AWEZNQCLSA-N 1 2 295.386 3.536 20 0 CHADLO CCn1cnc(Cl)c1C[N@H+]1Cc2ccccc2[C@@H](C)C1 ZINC001207447167 1133802223 /nfs/dbraw/zinc/80/22/23/1133802223.db2.gz ALIMQOITGNMFDX-LBPRGKRZSA-N 1 2 289.810 3.676 20 0 CHADLO CCn1cnc(Cl)c1C[N@@H+]1Cc2ccccc2[C@@H](C)C1 ZINC001207447167 1133802232 /nfs/dbraw/zinc/80/22/32/1133802232.db2.gz ALIMQOITGNMFDX-LBPRGKRZSA-N 1 2 289.810 3.676 20 0 CHADLO CC/C=C\CCC[N@@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC001208135303 1133883281 /nfs/dbraw/zinc/88/32/81/1133883281.db2.gz ALVSSUTVIUJBBY-ARJAWSKDSA-N 1 2 281.403 3.934 20 0 CHADLO CC/C=C\CCC[N@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC001208135303 1133883284 /nfs/dbraw/zinc/88/32/84/1133883284.db2.gz ALVSSUTVIUJBBY-ARJAWSKDSA-N 1 2 281.403 3.934 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](C[C@H]1CCC(C)=C[C@@H]1C)C2 ZINC001208168732 1133895276 /nfs/dbraw/zinc/89/52/76/1133895276.db2.gz LEQKITOCOYTJRR-WCQYABFASA-N 1 2 262.422 3.760 20 0 CHADLO Cc1nc2c(s1)C[N@H+](C[C@H]1CCC(C)=C[C@@H]1C)C2 ZINC001208168732 1133895286 /nfs/dbraw/zinc/89/52/86/1133895286.db2.gz LEQKITOCOYTJRR-WCQYABFASA-N 1 2 262.422 3.760 20 0 CHADLO Clc1ccccc1CCC[NH+]1CC2(C1)CCCCO2 ZINC001208872793 1133957343 /nfs/dbraw/zinc/95/73/43/1133957343.db2.gz YQLPNUBWNZNUJS-UHFFFAOYSA-N 1 2 279.811 3.528 20 0 CHADLO Fc1ccc(OC2C[NH+](Cc3ccc4cc[nH]c4c3)C2)cc1 ZINC001209514664 1134031458 /nfs/dbraw/zinc/03/14/58/1134031458.db2.gz LTKMCCJAZAZUFQ-UHFFFAOYSA-N 1 2 296.345 3.570 20 0 CHADLO Clc1ccc2[nH]c(C[N@@H+]3CCn4cccc4C3)cc2c1 ZINC001209721615 1134053020 /nfs/dbraw/zinc/05/30/20/1134053020.db2.gz AFSAYJHCJZBZSW-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Clc1ccc2[nH]c(C[N@H+]3CCn4cccc4C3)cc2c1 ZINC001209721615 1134053025 /nfs/dbraw/zinc/05/30/25/1134053025.db2.gz AFSAYJHCJZBZSW-UHFFFAOYSA-N 1 2 285.778 3.639 20 0 CHADLO Clc1ccc2[nH]c(C[N@@H+]3CCc4occc4C3)cc2c1 ZINC001209724673 1134055677 /nfs/dbraw/zinc/05/56/77/1134055677.db2.gz FJQOUFLNRRVZCU-UHFFFAOYSA-N 1 2 286.762 3.973 20 0 CHADLO Clc1ccc2[nH]c(C[N@H+]3CCc4occc4C3)cc2c1 ZINC001209724673 1134055684 /nfs/dbraw/zinc/05/56/84/1134055684.db2.gz FJQOUFLNRRVZCU-UHFFFAOYSA-N 1 2 286.762 3.973 20 0 CHADLO CC1(F)CC[NH+](Cc2n[nH]c3ccc(Cl)cc32)CC1 ZINC001209751734 1134058692 /nfs/dbraw/zinc/05/86/92/1134058692.db2.gz WQOZXAITCNIMGI-UHFFFAOYSA-N 1 2 281.762 3.540 20 0 CHADLO Cc1cc(C)c(Nc2[nH+]cccc2N2CCOCC2)cc1C ZINC001209831394 1134073111 /nfs/dbraw/zinc/07/31/11/1134073111.db2.gz JPDNMOAZEMVCHJ-UHFFFAOYSA-N 1 2 297.402 3.587 20 0 CHADLO Cc1nc2sccc2c(Nc2ccc3[nH]c[nH+]c3c2)n1 ZINC001209841339 1134079603 /nfs/dbraw/zinc/07/96/03/1134079603.db2.gz JFTZCIVVLPMRDC-UHFFFAOYSA-N 1 2 281.344 3.620 20 0 CHADLO C=Cc1ccccc1Nc1ccccc1C[NH+]1CCOCC1 ZINC001209932082 1134103036 /nfs/dbraw/zinc/10/30/36/1134103036.db2.gz IMUHUGPOJOAZEW-UHFFFAOYSA-N 1 2 294.398 3.905 20 0 CHADLO Cc1cc(Nc2ccc3cncnc3c2)ccc1[NH+](C)C ZINC001210043301 1134131945 /nfs/dbraw/zinc/13/19/45/1134131945.db2.gz LJPVLLAUYWHRCZ-UHFFFAOYSA-N 1 2 278.359 3.748 20 0 CHADLO CC(=O)c1cc(Nc2ccc([NH+](C)C)c(C)c2)cnc1C ZINC001210042264 1134132127 /nfs/dbraw/zinc/13/21/27/1134132127.db2.gz ZEBBVROMWGJXNN-UHFFFAOYSA-N 1 2 283.375 3.711 20 0 CHADLO Cc1cc(Nc2ccc(OC3COC3)cc2)ccc1[NH+](C)C ZINC001210044295 1134132986 /nfs/dbraw/zinc/13/29/86/1134132986.db2.gz WRXJWQZPQFPMRQ-UHFFFAOYSA-N 1 2 298.386 3.582 20 0 CHADLO Cc1cc(Nc2cc(Cl)ccc2CO)ccc1[NH+](C)C ZINC001210044024 1134133421 /nfs/dbraw/zinc/13/34/21/1134133421.db2.gz JZOHQICWWOUECM-UHFFFAOYSA-N 1 2 290.794 3.950 20 0 CHADLO CC(C)Cn1cnc(Nc2ccc3c[nH+]ccc3c2)c1 ZINC001210047018 1134133806 /nfs/dbraw/zinc/13/38/06/1134133806.db2.gz FYNYAXJOZYXPDJ-UHFFFAOYSA-N 1 2 266.348 3.831 20 0 CHADLO CNc1cc(-c2cccc(OCC3CC3)c2)cc[nH+]1 ZINC001210050925 1134135572 /nfs/dbraw/zinc/13/55/72/1134135572.db2.gz HCOYEDVMHPZZGM-UHFFFAOYSA-N 1 2 254.333 3.579 20 0 CHADLO Oc1cc(F)c(Nc2ccc3c[nH+]ccc3c2)cc1F ZINC001210052157 1134136627 /nfs/dbraw/zinc/13/66/27/1134136627.db2.gz MVKNIGAAXQWMGE-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO Cc1cn2cccc(Nc3cnccc3C(F)(F)F)c2[nH+]1 ZINC001210093556 1134143738 /nfs/dbraw/zinc/14/37/38/1134143738.db2.gz SLUXLSXYDTYVJI-UHFFFAOYSA-N 1 2 292.264 3.800 20 0 CHADLO Oc1c(F)cccc1Nc1cccc2cc[nH+]cc21 ZINC001210197861 1134164338 /nfs/dbraw/zinc/16/43/38/1134164338.db2.gz BWBLKBBWEVRPQE-UHFFFAOYSA-N 1 2 254.264 3.823 20 0 CHADLO c1cc2cccc(Nc3ccc(N4CCCC4)[nH+]c3)c2[nH]1 ZINC001210211835 1134167269 /nfs/dbraw/zinc/16/72/69/1134167269.db2.gz PWBDSWAVGVLEOJ-UHFFFAOYSA-N 1 2 278.359 3.907 20 0 CHADLO CC(=O)c1ccncc1Nc1cccc2cc[nH+]cc21 ZINC001210224190 1134171094 /nfs/dbraw/zinc/17/10/94/1134171094.db2.gz SOIBGVXSOSCFTH-UHFFFAOYSA-N 1 2 263.300 3.576 20 0 CHADLO CCOC(=O)c1occc1Nc1cccc2cc[nH+]cc21 ZINC001210224418 1134171561 /nfs/dbraw/zinc/17/15/61/1134171561.db2.gz LTBPVJQWHVNFSM-UHFFFAOYSA-N 1 2 282.299 3.748 20 0 CHADLO CC(C)(C)n1cc(Nc2cccc3cc[nH+]cc32)cn1 ZINC001210224152 1134171872 /nfs/dbraw/zinc/17/18/72/1134171872.db2.gz QWSMMZRWADJIOM-UHFFFAOYSA-N 1 2 266.348 3.930 20 0 CHADLO c1ccc2nc(Nc3cccc4cc[nH+]cc43)cnc2c1 ZINC001210224481 1134172894 /nfs/dbraw/zinc/17/28/94/1134172894.db2.gz OSJWDCGFOWRGOH-UHFFFAOYSA-N 1 2 272.311 3.922 20 0 CHADLO Nc1cccc2c1N(c1cccc3cc[nH+]cc31)CCC2 ZINC001210230344 1134173014 /nfs/dbraw/zinc/17/30/14/1134173014.db2.gz GHOFVZHQQDGJNB-UHFFFAOYSA-N 1 2 275.355 3.901 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccnc1C(F)(F)F ZINC001210232365 1134173692 /nfs/dbraw/zinc/17/36/92/1134173692.db2.gz UFLQXQUDVBMGHR-UHFFFAOYSA-N 1 2 283.253 3.638 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2N2CCCCC2)cc1CO ZINC001210244856 1134177048 /nfs/dbraw/zinc/17/70/48/1134177048.db2.gz NILOJWHFBSIYLI-UHFFFAOYSA-N 1 2 297.402 3.616 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(-n3cccc3)cc2)cc1N ZINC001210288355 1134186552 /nfs/dbraw/zinc/18/65/52/1134186552.db2.gz NUDXKOUEYHGOTR-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO Cc1cnn(-c2ccc(C)cc2)c1Nc1c[nH+]c(C)c(N)c1 ZINC001210288743 1134186850 /nfs/dbraw/zinc/18/68/50/1134186850.db2.gz OFEIREPIBHBTNF-UHFFFAOYSA-N 1 2 293.374 3.518 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Cl)c3ncccc23)cc1N ZINC001210289243 1134187077 /nfs/dbraw/zinc/18/70/77/1134187077.db2.gz CIXJZUWBLUNLAZ-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(O)c3ccccc23)cc1N ZINC001210288526 1134187144 /nfs/dbraw/zinc/18/71/44/1134187144.db2.gz RGFSFERUPCYPOZ-UHFFFAOYSA-N 1 2 265.316 3.575 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)cc(Cl)c2O)cc1N ZINC001210291273 1134187975 /nfs/dbraw/zinc/18/79/75/1134187975.db2.gz YANLKWQMRBWMPY-UHFFFAOYSA-N 1 2 284.146 3.728 20 0 CHADLO Cc1cc(Nc2[nH+]cccc2CCO)ccc1C(F)(F)F ZINC001210304907 1134189745 /nfs/dbraw/zinc/18/97/45/1134189745.db2.gz YOZYBKXUGMWFCN-UHFFFAOYSA-N 1 2 296.292 3.687 20 0 CHADLO Cc1cc(Cl)cc(Nc2[nH+]cccc2N(C)C)c1 ZINC001210462342 1134220730 /nfs/dbraw/zinc/22/07/30/1134220730.db2.gz RRLYGVHCPXLQFA-UHFFFAOYSA-N 1 2 261.756 3.853 20 0 CHADLO COCOc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c(C)c1 ZINC001210492516 1134228770 /nfs/dbraw/zinc/22/87/70/1134228770.db2.gz DTOFYXKSHLYYMJ-UHFFFAOYSA-N 1 2 297.358 3.608 20 0 CHADLO CC(C)n1nccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001210517335 1134237026 /nfs/dbraw/zinc/23/70/26/1134237026.db2.gz WQPQGAQADPWKPI-UHFFFAOYSA-N 1 2 285.395 3.593 20 0 CHADLO COc1cc(F)c(F)cc1Nc1ccc2c(c1)[nH+]cn2C ZINC001210549086 1134245275 /nfs/dbraw/zinc/24/52/75/1134245275.db2.gz XNZJZWNWJJMRHE-UHFFFAOYSA-N 1 2 289.285 3.604 20 0 CHADLO c1cn(-c2ccc(Nc3ccc4ocnc4c3)cc2)c[nH+]1 ZINC001210571823 1134252552 /nfs/dbraw/zinc/25/25/52/1134252552.db2.gz JKQZWMCFZBRNJF-UHFFFAOYSA-N 1 2 276.299 3.757 20 0 CHADLO Cc1ccc(CO)cc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001210676961 1134272523 /nfs/dbraw/zinc/27/25/23/1134272523.db2.gz BKJCKXHIWGUAJN-UHFFFAOYSA-N 1 2 287.750 3.532 20 0 CHADLO CCc1cccc(Nc2ccccc2-n2cccn2)[nH+]1 ZINC001210733077 1134284897 /nfs/dbraw/zinc/28/48/97/1134284897.db2.gz XXPRRDYFJUYGIK-UHFFFAOYSA-N 1 2 264.332 3.573 20 0 CHADLO COc1cc(Nc2csc3cnccc23)cc(C)[nH+]1 ZINC001210774627 1134293093 /nfs/dbraw/zinc/29/30/93/1134293093.db2.gz PGUQVDYFFJOTSV-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO COc1cc(Nc2ncccc2C(F)(F)F)cc(C)[nH+]1 ZINC001210775078 1134293165 /nfs/dbraw/zinc/29/31/65/1134293165.db2.gz DMFMXNDYHDOFFY-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO COc1cc(Nc2cc(C)nn2-c2ccccc2)cc(C)[nH+]1 ZINC001210776008 1134294529 /nfs/dbraw/zinc/29/45/29/1134294529.db2.gz KRKAGLWIWMLWDS-UHFFFAOYSA-N 1 2 294.358 3.636 20 0 CHADLO COc1cc(Nc2cnc3c(cccc3OC)c2)cc(C)[nH+]1 ZINC001210779038 1134295213 /nfs/dbraw/zinc/29/52/13/1134295213.db2.gz CVMBQRMNYGESFD-UHFFFAOYSA-N 1 2 295.342 3.699 20 0 CHADLO COc1cc(Nc2cccc3ccc(C)nc32)cc(C)[nH+]1 ZINC001210780519 1134295754 /nfs/dbraw/zinc/29/57/54/1134295754.db2.gz CCOXFQJWWXHLAE-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Oc1ccc(F)c(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001210800240 1134298096 /nfs/dbraw/zinc/29/80/96/1134298096.db2.gz IGZZFTADRBYFAE-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO Cc1cc(C)c(Nc2ccc(OC(F)(F)F)nc2)c[nH+]1 ZINC001210820335 1134303557 /nfs/dbraw/zinc/30/35/57/1134303557.db2.gz RYZSFWJFVRZEAJ-UHFFFAOYSA-N 1 2 283.253 3.736 20 0 CHADLO CN(C)c1ccc(Nc2cc(O)cc(C(F)(F)F)c2)c[nH+]1 ZINC001210823318 1134306712 /nfs/dbraw/zinc/30/67/12/1134306712.db2.gz WAQXGTLTIMWVPF-UHFFFAOYSA-N 1 2 297.280 3.616 20 0 CHADLO CCOc1cc(Nc2cccc(O)c2Cl)cc(C)[nH+]1 ZINC001210853895 1134312316 /nfs/dbraw/zinc/31/23/16/1134312316.db2.gz OZMOXFVMQWTQEK-UHFFFAOYSA-N 1 2 278.739 3.891 20 0 CHADLO c1cc(Nc2ccc(N3CCCC3)[nH+]c2)cc(OC2CC2)c1 ZINC001210861217 1134317073 /nfs/dbraw/zinc/31/70/73/1134317073.db2.gz WDINAKLPGQFIQM-UHFFFAOYSA-N 1 2 295.386 3.967 20 0 CHADLO CCc1cccc(C)c1Nc1ccc[nH+]c1N1CCOCC1 ZINC001210874508 1134320694 /nfs/dbraw/zinc/32/06/94/1134320694.db2.gz GIBGBOYMIDKTLU-UHFFFAOYSA-N 1 2 297.402 3.533 20 0 CHADLO CCCn1nccc1Nc1c(C)cc[nH+]c1C(C)C ZINC001210916626 1134326653 /nfs/dbraw/zinc/32/66/53/1134326653.db2.gz OXNZSLMZIURBGB-UHFFFAOYSA-N 1 2 258.369 3.864 20 0 CHADLO O=C1CCCc2ccc(Nc3cccc4[nH+]ccn43)cc21 ZINC001210930810 1134330203 /nfs/dbraw/zinc/33/02/03/1134330203.db2.gz GRYMZLLNJJOEFA-UHFFFAOYSA-N 1 2 277.327 3.597 20 0 CHADLO c1cn(Cc2cccc(Nc3c[nH]c4ncccc34)c2)c[nH+]1 ZINC001210941293 1134334136 /nfs/dbraw/zinc/33/41/36/1134334136.db2.gz OXUBOILQRLYLGC-UHFFFAOYSA-N 1 2 289.342 3.551 20 0 CHADLO Cc1ccc(Nc2cccnc2C(=O)OC(C)(C)C)c(C)[nH+]1 ZINC001210955182 1134339047 /nfs/dbraw/zinc/33/90/47/1134339047.db2.gz XCBVTSKMIWNUIP-UHFFFAOYSA-N 1 2 299.374 3.792 20 0 CHADLO C=C(Nc1cccc(-n2cc[nH+]c2)c1)c1ccccc1 ZINC001210985558 1134343245 /nfs/dbraw/zinc/34/32/45/1134343245.db2.gz RAUIYZDNOMVZPI-UHFFFAOYSA-N 1 2 261.328 3.955 20 0 CHADLO COCc1cncc(Nc2ccc3c(C)cc[nH+]c3c2)c1 ZINC001211082002 1134365450 /nfs/dbraw/zinc/36/54/50/1134365450.db2.gz PMCVVGGGISZEHP-UHFFFAOYSA-N 1 2 279.343 3.828 20 0 CHADLO Cc1cc[nH+]c(Nc2cc(C(F)(F)F)ccc2N)c1 ZINC001211146361 1134380126 /nfs/dbraw/zinc/38/01/26/1134380126.db2.gz VDOIJZMRJBFCMR-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Cc1ccc(OC(C)C)c(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001211280039 1134401816 /nfs/dbraw/zinc/40/18/16/1134401816.db2.gz XVXIKAKVQPADEE-UHFFFAOYSA-N 1 2 285.391 3.987 20 0 CHADLO Oc1cc(F)c(-c2ccc(Cn3cc[nH+]c3)cc2)cc1F ZINC001211350496 1134411716 /nfs/dbraw/zinc/41/17/16/1134411716.db2.gz PWYTYGWOEUFEFB-UHFFFAOYSA-N 1 2 286.281 3.582 20 0 CHADLO Fc1ccc2c(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cnn2c1 ZINC001213088023 1134424373 /nfs/dbraw/zinc/42/43/73/1134424373.db2.gz LSWGYTMDQUOVKB-UHFFFAOYSA-N 1 2 293.305 3.607 20 0 CHADLO Cc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(F)n1 ZINC001213085623 1134424840 /nfs/dbraw/zinc/42/48/40/1134424840.db2.gz OPEBFLKTZABWSM-UHFFFAOYSA-N 1 2 268.295 3.663 20 0 CHADLO Cc1cccc(N)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090162 1134425901 /nfs/dbraw/zinc/42/59/01/1134425901.db2.gz XDUHMHWXHLUFFV-UHFFFAOYSA-N 1 2 264.332 3.711 20 0 CHADLO Oc1cc(F)c(F)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090205 1134426107 /nfs/dbraw/zinc/42/61/07/1134426107.db2.gz ZRBFHJUZGGDDBT-UHFFFAOYSA-N 1 2 287.269 3.804 20 0 CHADLO COC(=O)c1c(C)cc(Nc2cc(C)cc[nH+]2)cc1C ZINC001213121091 1134429483 /nfs/dbraw/zinc/42/94/83/1134429483.db2.gz OSSNSYQGKXOCGI-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO Cc1cnc(Nc2cc(C3CC3)c[nH+]c2C)c(Cl)n1 ZINC001213491291 1134453717 /nfs/dbraw/zinc/45/37/17/1134453717.db2.gz GHLFLCWYXCETMB-UHFFFAOYSA-N 1 2 274.755 3.763 20 0 CHADLO Cc1ncc(C2CC2)cc1Nc1cccn2cc[nH+]c12 ZINC001213491487 1134453814 /nfs/dbraw/zinc/45/38/14/1134453814.db2.gz LCHADCSSWMDDRW-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO Cc1cc(C)c(Nc2ccc(Br)c(C)n2)c[nH+]1 ZINC001213503627 1134453931 /nfs/dbraw/zinc/45/39/31/1134453931.db2.gz PLRRVUADYJHVFM-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO COc1cc(Nc2cc(C3CC3)c[nH+]c2C)c(F)cn1 ZINC001213494921 1134454186 /nfs/dbraw/zinc/45/41/86/1134454186.db2.gz MQXLWHCXYBHUIL-UHFFFAOYSA-N 1 2 273.311 3.554 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc(C(F)(F)F)nn1C ZINC001213489531 1134454351 /nfs/dbraw/zinc/45/43/51/1134454351.db2.gz QKSXULZSZOHKTA-UHFFFAOYSA-N 1 2 296.296 3.763 20 0 CHADLO CCc1nnc2ccc(Nc3cc(C4CC4)c[nH+]c3C)cn21 ZINC001213496916 1134454941 /nfs/dbraw/zinc/45/49/41/1134454941.db2.gz DRGJJGPUIJXFAV-UHFFFAOYSA-N 1 2 293.374 3.616 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cn(C(C)C)cn1 ZINC001213497306 1134454978 /nfs/dbraw/zinc/45/49/78/1134454978.db2.gz NCPJRMFGILVKBH-UHFFFAOYSA-N 1 2 256.353 3.788 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1ccc2c(cc[nH]c2=O)c1 ZINC001213499142 1134455162 /nfs/dbraw/zinc/45/51/62/1134455162.db2.gz DQOQDXULAWHQHK-UHFFFAOYSA-N 1 2 291.354 3.853 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1N1CCCc2ccc(N)cc21 ZINC001213500862 1134455974 /nfs/dbraw/zinc/45/59/74/1134455974.db2.gz AMNYDVDFQBLJGP-UHFFFAOYSA-N 1 2 279.387 3.934 20 0 CHADLO Cc1cccc(CO)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213503505 1134456241 /nfs/dbraw/zinc/45/62/41/1134456241.db2.gz JWAGAHNIFWBPEE-UHFFFAOYSA-N 1 2 268.360 3.812 20 0 CHADLO Cc1cc(C)c(Nc2cc(C)c(Br)cn2)c[nH+]1 ZINC001213503540 1134456409 /nfs/dbraw/zinc/45/64/09/1134456409.db2.gz LMZCPXXAWPSDIS-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1N1CCCc2c(N)cccc21 ZINC001213500850 1134456440 /nfs/dbraw/zinc/45/64/40/1134456440.db2.gz AFEVMABTVJZGEX-UHFFFAOYSA-N 1 2 279.387 3.934 20 0 CHADLO CC(=O)Oc1ccc(Nc2cc(C3CC3)c[nH+]c2C)cc1 ZINC001213501191 1134456595 /nfs/dbraw/zinc/45/65/95/1134456595.db2.gz LRTATJGGUPWZFJ-UHFFFAOYSA-N 1 2 282.343 3.936 20 0 CHADLO COC(=O)Cc1cccc(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213501202 1134456626 /nfs/dbraw/zinc/45/66/26/1134456626.db2.gz MJEZSMFWLJJYPS-UHFFFAOYSA-N 1 2 296.370 3.727 20 0 CHADLO COc1ccc(CO)cc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213501383 1134456720 /nfs/dbraw/zinc/45/67/20/1134456720.db2.gz WCMHSBARGOLYGG-UHFFFAOYSA-N 1 2 284.359 3.512 20 0 CHADLO Cc1cc(C)c(Nc2ccc3nnc(C4CCC4)n3c2)c[nH+]1 ZINC001213511003 1134457636 /nfs/dbraw/zinc/45/76/36/1134457636.db2.gz QQVHPGQIDIQPAB-UHFFFAOYSA-N 1 2 293.374 3.752 20 0 CHADLO CC(=O)c1ccnc(Cl)c1Nc1c[nH+]c(C)cc1C ZINC001213505511 1134458154 /nfs/dbraw/zinc/45/81/54/1134458154.db2.gz HZDGXAJLBVYAPV-UHFFFAOYSA-N 1 2 275.739 3.693 20 0 CHADLO COC(Cc1ccc(Nc2c[nH+]c(C)cc2C)cc1)OC ZINC001213520501 1134458903 /nfs/dbraw/zinc/45/89/03/1134458903.db2.gz CKUNPAWODBWVBU-UHFFFAOYSA-N 1 2 286.375 3.603 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)NC(=O)CCC3)c[nH+]1 ZINC001213515785 1134459128 /nfs/dbraw/zinc/45/91/28/1134459128.db2.gz LDMSIBKAJIEULQ-UHFFFAOYSA-N 1 2 281.359 3.717 20 0 CHADLO Cc1c[nH+]cc(Nc2cnc3cccc(O)c3c2)c1C ZINC001213527464 1134460421 /nfs/dbraw/zinc/46/04/21/1134460421.db2.gz MDLZHQXJTWYFNP-UHFFFAOYSA-N 1 2 265.316 3.696 20 0 CHADLO Cc1cc(Nc2c[nH+]cc(C)c2C)n(C2CCC2)n1 ZINC001213527687 1134460574 /nfs/dbraw/zinc/46/05/74/1134460574.db2.gz YOAQRSDMIAWBQJ-UHFFFAOYSA-N 1 2 256.353 3.672 20 0 CHADLO COC(=O)c1cccc(Nc2c[nH+]cc(C)c2C)c1Cl ZINC001213533948 1134461126 /nfs/dbraw/zinc/46/11/26/1134461126.db2.gz DENXRZPFWHBCIO-UHFFFAOYSA-N 1 2 290.750 3.882 20 0 CHADLO CCOc1ccc(Nc2c[nH+]cc(C)c2C)cc1F ZINC001213533970 1134461375 /nfs/dbraw/zinc/46/13/75/1134461375.db2.gz FXRLCGKKOMNONY-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO Cc1c[nH+]cc(Nc2ccccc2CN2CCCC2=O)c1C ZINC001213532910 1134461715 /nfs/dbraw/zinc/46/17/15/1134461715.db2.gz WAUFCEYPBWINBM-UHFFFAOYSA-N 1 2 295.386 3.564 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc3c2ccn3C)c1C ZINC001213532981 1134461871 /nfs/dbraw/zinc/46/18/71/1134461871.db2.gz ZJAKTSFVNNRWPH-UHFFFAOYSA-N 1 2 251.333 3.934 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc(C(=O)NCC(C)C)c2)c1C ZINC001213532543 1134461899 /nfs/dbraw/zinc/46/18/99/1134461899.db2.gz NLBQFCXMKQSHLN-UHFFFAOYSA-N 1 2 297.402 3.828 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2C)OCCO3)c1C ZINC001213536276 1134462680 /nfs/dbraw/zinc/46/26/80/1134462680.db2.gz FUNOZYRXJMRWFA-UHFFFAOYSA-N 1 2 270.332 3.522 20 0 CHADLO CC(=O)c1cc(C)ccc1Nc1c[nH+]cc(C)c1C ZINC001213536274 1134462740 /nfs/dbraw/zinc/46/27/40/1134462740.db2.gz FSSGGMZGPDTDHP-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO COc1cc[nH+]cc1Nc1cccc(OCC(C)C)c1 ZINC001213637786 1134472030 /nfs/dbraw/zinc/47/20/30/1134472030.db2.gz HUSAAMWJAQBNOI-UHFFFAOYSA-N 1 2 272.348 3.869 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(Cl)cc1N ZINC001213650687 1134473906 /nfs/dbraw/zinc/47/39/06/1134473906.db2.gz NQZFGSGSAOTEOP-UHFFFAOYSA-N 1 2 261.756 3.932 20 0 CHADLO Cc1cc(N(C)c2[nH+]cccc2N)cc(C)c1OC(C)C ZINC001213690655 1134477756 /nfs/dbraw/zinc/47/77/56/1134477756.db2.gz BQJHUVWUPJKORM-UHFFFAOYSA-N 1 2 285.391 3.836 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc2[nH]c(=O)ccc2c1 ZINC001213773428 1134482853 /nfs/dbraw/zinc/48/28/53/1134482853.db2.gz DQBAMPPUTNKDCQ-UHFFFAOYSA-N 1 2 279.343 3.790 20 0 CHADLO Cc1ccc(O)cc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001214347870 1134532358 /nfs/dbraw/zinc/53/23/58/1134532358.db2.gz ITHRIHHNYRVJRI-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(C)cc2CO)c1 ZINC001214455576 1134540202 /nfs/dbraw/zinc/54/02/02/1134540202.db2.gz UFUOLNFDWAKQGJ-UHFFFAOYSA-N 1 2 256.349 3.578 20 0 CHADLO Cn1c[nH+]c2cccc(Nc3cc(F)c(O)c(Cl)c3)c21 ZINC001214492172 1134544927 /nfs/dbraw/zinc/54/49/27/1134544927.db2.gz RYNDWXUJZWMHKR-UHFFFAOYSA-N 1 2 291.713 3.815 20 0 CHADLO Cc1cccc(Nc2ccc(CO)c(C(F)(F)F)c2)[nH+]1 ZINC001214673105 1134564650 /nfs/dbraw/zinc/56/46/50/1134564650.db2.gz NAXMUQDDCANCJS-UHFFFAOYSA-N 1 2 282.265 3.645 20 0 CHADLO Cc1cc(CO)cc(C)c1Nc1cccc(-n2cc[nH+]c2)c1 ZINC001214954439 1134586301 /nfs/dbraw/zinc/58/63/01/1134586301.db2.gz IIIRAKSDZJAIST-UHFFFAOYSA-N 1 2 293.370 3.725 20 0 CHADLO Cc1c[nH+]c(Nc2ccc(F)c(F)c2OC(C)C)c(N)c1 ZINC001215420787 1134627214 /nfs/dbraw/zinc/62/72/14/1134627214.db2.gz IYLKHYYQUQLHCE-UHFFFAOYSA-N 1 2 293.317 3.781 20 0 CHADLO COc1cc(C)cc(F)c1Nc1cccn2cc[nH+]c12 ZINC001215706104 1134662873 /nfs/dbraw/zinc/66/28/73/1134662873.db2.gz QRNVQMJWTCGJCM-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc(C)c3n[nH]cc32)[nH+]1 ZINC001215754308 1134673550 /nfs/dbraw/zinc/67/35/50/1134673550.db2.gz SOZYKCVYQOHZAW-UHFFFAOYSA-N 1 2 277.331 3.571 20 0 CHADLO Cc1ccc(Nc2cnc(OC(C)C)cc2C)c(C)[nH+]1 ZINC001215770287 1134682501 /nfs/dbraw/zinc/68/25/01/1134682501.db2.gz WHUHFFLOIUSLRK-UHFFFAOYSA-N 1 2 271.364 3.933 20 0 CHADLO CC(C)c1cc(Nc2cccc3[nH+]ccn32)ccc1O ZINC001215846330 1134701805 /nfs/dbraw/zinc/70/18/05/1134701805.db2.gz LCCKACFLQITMJZ-UHFFFAOYSA-N 1 2 267.332 3.907 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1ccc(O)c(C(C)C)c1 ZINC001215845517 1134702309 /nfs/dbraw/zinc/70/23/09/1134702309.db2.gz DIHASDALBRJUMS-UHFFFAOYSA-N 1 2 281.359 3.745 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(OC(C)C)nc2C)c1 ZINC001215917061 1134718887 /nfs/dbraw/zinc/71/88/87/1134718887.db2.gz MDKVMBSLNZRUMR-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(OC)c(C)c1C ZINC001215972668 1134736038 /nfs/dbraw/zinc/73/60/38/1134736038.db2.gz XBTQYQAOJSWUQI-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO Cn1c[nH+]c2cc(Nc3c(O)cccc3Cl)ccc21 ZINC001215987316 1134742597 /nfs/dbraw/zinc/74/25/97/1134742597.db2.gz PREAYFZOMKMAKH-UHFFFAOYSA-N 1 2 273.723 3.676 20 0 CHADLO CCOc1ccc(-n2c(C)[nH+]c3ccc(N)cc32)c(C)c1C ZINC001215990837 1134743668 /nfs/dbraw/zinc/74/36/68/1134743668.db2.gz NSJJTUUEKMWFIC-UHFFFAOYSA-N 1 2 295.386 3.932 20 0 CHADLO COc1ccc(Nc2[nH+]c(C)ccc2O)cc1C(C)C ZINC001216000572 1134747843 /nfs/dbraw/zinc/74/78/43/1134747843.db2.gz LUNNFGPUXUAZER-UHFFFAOYSA-N 1 2 272.348 3.971 20 0 CHADLO CCc1cc(Nc2ccc(Cn3cc[nH+]c3)cc2)ccc1N ZINC001216038531 1134756874 /nfs/dbraw/zinc/75/68/74/1134756874.db2.gz YPKKCGLRUPLBNB-UHFFFAOYSA-N 1 2 292.386 3.820 20 0 CHADLO COc1ccc(C)[nH+]c1Nc1ccc(N)c(C(C)C)c1 ZINC001216043812 1134759445 /nfs/dbraw/zinc/75/94/45/1134759445.db2.gz WOMVFZUMJDIOQH-UHFFFAOYSA-N 1 2 271.364 3.848 20 0 CHADLO Cc1cc(Nc2ccc[nH+]c2N2CCCC2)cc(O)c1F ZINC001216077578 1134768230 /nfs/dbraw/zinc/76/82/30/1134768230.db2.gz MHZSIRYYRLTPMD-UHFFFAOYSA-N 1 2 287.338 3.579 20 0 CHADLO Cc1cc(C)c(N)c(Nc2cccc(C3CC3)[nH+]2)c1 ZINC001216098119 1134776140 /nfs/dbraw/zinc/77/61/40/1134776140.db2.gz IBANKGFOKNHORY-UHFFFAOYSA-N 1 2 253.349 3.902 20 0 CHADLO CCc1cc(Nc2ccccc2-n2cc[nH+]c2)ccc1CO ZINC001216105164 1134779743 /nfs/dbraw/zinc/77/97/43/1134779743.db2.gz FBZREKJLFMICGH-UHFFFAOYSA-N 1 2 293.370 3.671 20 0 CHADLO COc1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1C1CC1 ZINC001216248864 1134826052 /nfs/dbraw/zinc/82/60/52/1134826052.db2.gz VGPFFUUKXWRVCI-UHFFFAOYSA-N 1 2 283.375 3.777 20 0 CHADLO COc1ccc(Nc2[nH+]ccc3ccccc32)cc1N ZINC001216254594 1134828235 /nfs/dbraw/zinc/82/82/35/1134828235.db2.gz UXMZACXUDIJDJC-UHFFFAOYSA-N 1 2 265.316 3.569 20 0 CHADLO CN(c1ccc(C2CCC2)cc1)c1[nH+]cccc1N ZINC001216305392 1134844636 /nfs/dbraw/zinc/84/46/36/1134844636.db2.gz LZSDCNXILGRWTF-UHFFFAOYSA-N 1 2 253.349 3.699 20 0 CHADLO Cc1c(O)cccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001216321116 1134852640 /nfs/dbraw/zinc/85/26/40/1134852640.db2.gz RXUFRSLQUSKMIE-UHFFFAOYSA-N 1 2 279.343 3.689 20 0 CHADLO COc1cc(Nc2c(C)cc[nH+]c2C(C)C)cnc1F ZINC001216421113 1134889567 /nfs/dbraw/zinc/88/95/67/1134889567.db2.gz QHYMQCCEPKCWNL-UHFFFAOYSA-N 1 2 275.327 3.800 20 0 CHADLO CSc1cc(Nc2cccc(Cl)c2N)cc[nH+]1 ZINC001216527415 1134915907 /nfs/dbraw/zinc/91/59/07/1134915907.db2.gz FAEQLKZSUMSUIV-UHFFFAOYSA-N 1 2 265.769 3.783 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc2c(cnn2CC)c1 ZINC001216589438 1134926398 /nfs/dbraw/zinc/92/63/98/1134926398.db2.gz LTTDLWVICVDPIB-UHFFFAOYSA-N 1 2 282.347 3.594 20 0 CHADLO c1cn2cccc(Nc3ccnc(OCC4CCC4)c3)c2[nH+]1 ZINC001216593584 1134927750 /nfs/dbraw/zinc/92/77/50/1134927750.db2.gz RSQOYKUVSMMEDQ-UHFFFAOYSA-N 1 2 294.358 3.652 20 0 CHADLO Cc1cc(C[N@@H+]2CCC=C(Br)C2)cs1 ZINC000404173277 1134933483 /nfs/dbraw/zinc/93/34/83/1134933483.db2.gz BPHIOBXHECJTHD-UHFFFAOYSA-N 1 2 272.211 3.541 20 0 CHADLO Cc1cc(C[N@H+]2CCC=C(Br)C2)cs1 ZINC000404173277 1134933490 /nfs/dbraw/zinc/93/34/90/1134933490.db2.gz BPHIOBXHECJTHD-UHFFFAOYSA-N 1 2 272.211 3.541 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(Br)cn2)c1 ZINC000404753107 1134948885 /nfs/dbraw/zinc/94/88/85/1134948885.db2.gz JUWTVYSFQWDNJD-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO c1ccc(C[NH2+]Cc2nnc(CCC3CCCCC3)o2)cc1 ZINC001217719417 1134990713 /nfs/dbraw/zinc/99/07/13/1134990713.db2.gz RKEJOGAYKZLFPP-UHFFFAOYSA-N 1 2 299.418 3.872 20 0 CHADLO CC(C)=CCC[C@H](C)CCO[C@@H]1C[NH2+]CC(F)(F)C1 ZINC001217855635 1135010017 /nfs/dbraw/zinc/01/00/17/1135010017.db2.gz MUERHSAYNJTSCI-KBPBESRZSA-N 1 2 275.383 3.773 20 0 CHADLO Cc1ccc2cc(O[C@@H]3CC[NH2+]CC3(F)F)ccc2c1 ZINC001218004443 1135040601 /nfs/dbraw/zinc/04/06/01/1135040601.db2.gz AHRLCLKFARBIHT-OAHLLOKOSA-N 1 2 277.314 3.524 20 0 CHADLO Cc1ccc(Cl)c(O[C@@H]2CC[NH2+]CC2(F)F)c1Cl ZINC001218011660 1135042030 /nfs/dbraw/zinc/04/20/30/1135042030.db2.gz IQISTJRCLUTITA-SECBINFHSA-N 1 2 296.144 3.678 20 0 CHADLO FC1(F)C[NH2+]C[C@@H](Oc2cccc(-c3ccccc3)c2)C1 ZINC001218273593 1135078080 /nfs/dbraw/zinc/07/80/80/1135078080.db2.gz LGZFRPKVLRLBIN-INIZCTEOSA-N 1 2 289.325 3.730 20 0 CHADLO Nc1cc[nH+]c(OC2c3ccccc3-c3ccccc32)c1 ZINC001218292994 1135079786 /nfs/dbraw/zinc/07/97/86/1135079786.db2.gz CCORRLXSJOBHLM-UHFFFAOYSA-N 1 2 274.323 3.813 20 0 CHADLO Cc1ccc([C@@H](Oc2ccc[nH+]c2N)C(F)(F)F)cc1 ZINC001218303979 1135082236 /nfs/dbraw/zinc/08/22/36/1135082236.db2.gz XVQINFBTEXNEEA-GFCCVEGCSA-N 1 2 282.265 3.655 20 0 CHADLO Cc1cc(N)nc(SC[C@@H](C)C2CCCCC2)[nH+]1 ZINC000311067752 1135128099 /nfs/dbraw/zinc/12/80/99/1135128099.db2.gz LKMZUKRTPPMFGW-SNVBAGLBSA-N 1 2 265.426 3.676 20 0 CHADLO CCCC[C@@H](CC)C[C@@H](C)OCCn1cc[nH+]c1 ZINC001222139440 1135197907 /nfs/dbraw/zinc/19/79/07/1135197907.db2.gz RUVNHCZUAFPHGJ-HUUCEWRRSA-N 1 2 252.402 3.895 20 0 CHADLO CCCC[C@H](OCCn1cc[nH+]c1)c1ccccc1 ZINC001222135350 1135198431 /nfs/dbraw/zinc/19/84/31/1135198431.db2.gz YFXLIAGJTQYMDP-INIZCTEOSA-N 1 2 258.365 3.831 20 0 CHADLO CCCOc1ccc(C)c(-c2cc[nH+]c(NC)c2)c1 ZINC001222183980 1135206449 /nfs/dbraw/zinc/20/64/49/1135206449.db2.gz XQGXCYXILOZVDE-UHFFFAOYSA-N 1 2 256.349 3.888 20 0 CHADLO COCc1ccc(Cl)c(-c2ccc3[nH+]ccn3c2)c1 ZINC001222262500 1135215441 /nfs/dbraw/zinc/21/54/41/1135215441.db2.gz UREXDOMWQXKEHZ-UHFFFAOYSA-N 1 2 272.735 3.801 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1cn(C)nc1-c1ccccc1 ZINC001222540689 1135249568 /nfs/dbraw/zinc/24/95/68/1135249568.db2.gz LTDKQBMSBXAVQE-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO Cc1cc(CO[C@H](C)c2cccnc2F)cc(C)[nH+]1 ZINC001223425329 1135345340 /nfs/dbraw/zinc/34/53/40/1135345340.db2.gz PXJCVWLLOQQCHV-GFCCVEGCSA-N 1 2 260.312 3.510 20 0 CHADLO CCCCCOC1CC[NH+](CC(=O)c2cccs2)CC1 ZINC001224662229 1135466908 /nfs/dbraw/zinc/46/69/08/1135466908.db2.gz XXDMTXKHQVKIPT-UHFFFAOYSA-N 1 2 295.448 3.602 20 0 CHADLO CCOC(=[NH2+])CCCCO[C@@H](C)c1cnc2ccccn21 ZINC001224963551 1135496889 /nfs/dbraw/zinc/49/68/89/1135496889.db2.gz OPUKETMTMXREJX-ZDUSSCGKSA-N 1 2 289.379 3.596 20 0 CHADLO CC(C)Oc1ccccc1OC1C[NH+](Cc2ccccc2)C1 ZINC001225209857 1135514089 /nfs/dbraw/zinc/51/40/89/1135514089.db2.gz SCLFLDILOCEFLF-UHFFFAOYSA-N 1 2 297.398 3.737 20 0 CHADLO C[C@@H](Oc1cc[nH+]cc1)c1c(F)c(F)c(F)c(F)c1F ZINC001225291324 1135536848 /nfs/dbraw/zinc/53/68/48/1135536848.db2.gz RSTVNWLBYRJSAD-ZCFIWIBFSA-N 1 2 289.203 3.917 20 0 CHADLO COc1cccc2c1[C@H](Oc1c(C)cc[nH+]c1C)CCO2 ZINC001227263168 1135812013 /nfs/dbraw/zinc/81/20/13/1135812013.db2.gz COXFHBBDXCQFLY-OAHLLOKOSA-N 1 2 285.343 3.610 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cccc(Cl)c1Cl ZINC000336576972 529502966 /nfs/dbraw/zinc/50/29/66/529502966.db2.gz UYYHYLNPRYCODO-UHFFFAOYSA-N 1 2 295.169 3.625 20 0 CHADLO CC[C@@]1(C)CCN(C(=O)Nc2c(C)cc(C)[nH+]c2C)C1 ZINC000334712076 529526348 /nfs/dbraw/zinc/52/63/48/529526348.db2.gz ILPWNWUTJFXYIB-INIZCTEOSA-N 1 2 275.396 3.661 20 0 CHADLO CCc1cc(N[C@@H](CC)c2[nH+]ccn2C)ccc1F ZINC000353858776 529560508 /nfs/dbraw/zinc/56/05/08/529560508.db2.gz UWCXMKXHZJQLGQ-AWEZNQCLSA-N 1 2 261.344 3.685 20 0 CHADLO CC[C@H](NC(=O)c1oc2c(cccc2C)c1C)c1[nH]cc[nH+]1 ZINC000347957577 529582001 /nfs/dbraw/zinc/58/20/01/529582001.db2.gz LTCODGSOGSNNHZ-ZDUSSCGKSA-N 1 2 297.358 3.654 20 0 CHADLO CCc1ccc(C[N@@H+]2CCc3cccc(F)c3C2)o1 ZINC000353723197 529644760 /nfs/dbraw/zinc/64/47/60/529644760.db2.gz SYOBQIARFZVVEE-UHFFFAOYSA-N 1 2 259.324 3.539 20 0 CHADLO CCc1ccc(C[N@H+]2CCc3cccc(F)c3C2)o1 ZINC000353723197 529644764 /nfs/dbraw/zinc/64/47/64/529644764.db2.gz SYOBQIARFZVVEE-UHFFFAOYSA-N 1 2 259.324 3.539 20 0 CHADLO CCc1cnc(C[NH2+]Cc2cc(-c3ccccc3)on2)s1 ZINC000344239174 529652184 /nfs/dbraw/zinc/65/21/84/529652184.db2.gz QJNBHKRAIFFFFI-UHFFFAOYSA-N 1 2 299.399 3.650 20 0 CHADLO CCc1ccc(NC(=O)Nc2ccn3cc[nH+]c3c2)cc1 ZINC000353353165 529684585 /nfs/dbraw/zinc/68/45/85/529684585.db2.gz OYQFEFOKMNLXJC-UHFFFAOYSA-N 1 2 280.331 3.541 20 0 CHADLO CCc1nc(C[N@@H+]2Cc3ccccc3[C@H]2C)cs1 ZINC000353878550 529737403 /nfs/dbraw/zinc/73/74/03/529737403.db2.gz FHTVALQBQNADJC-LLVKDONJSA-N 1 2 258.390 3.782 20 0 CHADLO CCc1nc(C[N@H+]2Cc3ccccc3[C@H]2C)cs1 ZINC000353878550 529737404 /nfs/dbraw/zinc/73/74/04/529737404.db2.gz FHTVALQBQNADJC-LLVKDONJSA-N 1 2 258.390 3.782 20 0 CHADLO CCc1nc(C[N@@H+]2Cc3ccccc3[C@@H]2C)cs1 ZINC000353878551 529737498 /nfs/dbraw/zinc/73/74/98/529737498.db2.gz FHTVALQBQNADJC-NSHDSACASA-N 1 2 258.390 3.782 20 0 CHADLO CCc1nc(C[N@H+]2Cc3ccccc3[C@@H]2C)cs1 ZINC000353878551 529737499 /nfs/dbraw/zinc/73/74/99/529737499.db2.gz FHTVALQBQNADJC-NSHDSACASA-N 1 2 258.390 3.782 20 0 CHADLO C[N@H+](Cc1ccccn1)Cc1cc(Cl)ccc1F ZINC000350388802 530005144 /nfs/dbraw/zinc/00/51/44/530005144.db2.gz HOMYEGYRKYZFIF-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccccn1)Cc1cc(Cl)ccc1F ZINC000350388802 530005145 /nfs/dbraw/zinc/00/51/45/530005145.db2.gz HOMYEGYRKYZFIF-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(-c2ccccc2F)o1 ZINC000353808257 530013623 /nfs/dbraw/zinc/01/36/23/530013623.db2.gz SIBJWHNEWQBSGR-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(-c2ccccc2F)o1 ZINC000353808257 530013624 /nfs/dbraw/zinc/01/36/24/530013624.db2.gz SIBJWHNEWQBSGR-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO COc1cc(C)[nH+]c(CNc2ccc(Cl)c(F)c2)c1 ZINC000214129279 260233774 /nfs/dbraw/zinc/23/37/74/260233774.db2.gz LJJMPRIIMYXWDL-UHFFFAOYSA-N 1 2 280.730 3.803 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000187726678 260253971 /nfs/dbraw/zinc/25/39/71/260253971.db2.gz LRMRPWDDYCFTCE-RISCZKNCSA-N 1 2 253.773 3.512 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@H](c2cccc(Cl)c2)C1 ZINC000187726678 260253973 /nfs/dbraw/zinc/25/39/73/260253973.db2.gz LRMRPWDDYCFTCE-RISCZKNCSA-N 1 2 253.773 3.512 20 0 CHADLO Fc1ccc(CSCCn2cc[nH+]c2)c(Cl)c1 ZINC000090199354 260456958 /nfs/dbraw/zinc/45/69/58/260456958.db2.gz GIKXQAIGWDMMFB-UHFFFAOYSA-N 1 2 270.760 3.609 20 0 CHADLO CCn1ccnc1C[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000102768082 261269631 /nfs/dbraw/zinc/26/96/31/261269631.db2.gz CWICWAHCIUYLHN-LBPRGKRZSA-N 1 2 277.799 3.749 20 0 CHADLO CCn1ccnc1C[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000102768082 261269632 /nfs/dbraw/zinc/26/96/32/261269632.db2.gz CWICWAHCIUYLHN-LBPRGKRZSA-N 1 2 277.799 3.749 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2ccccc2SC)o1 ZINC000574510781 335024952 /nfs/dbraw/zinc/02/49/52/335024952.db2.gz SRCNPVHCDATHCZ-NSHDSACASA-N 1 2 276.405 3.810 20 0 CHADLO F[C@]1(c2cccc(Cl)c2)CC[N@H+](CCOCC2CC2)C1 ZINC000574705984 335041951 /nfs/dbraw/zinc/04/19/51/335041951.db2.gz BGGISBMOBSUBRA-MRXNPFEDSA-N 1 2 297.801 3.637 20 0 CHADLO F[C@]1(c2cccc(Cl)c2)CC[N@@H+](CCOCC2CC2)C1 ZINC000574705984 335041952 /nfs/dbraw/zinc/04/19/52/335041952.db2.gz BGGISBMOBSUBRA-MRXNPFEDSA-N 1 2 297.801 3.637 20 0 CHADLO COC(C)(C)CCSCc1ccc(C)[nH+]c1C ZINC000344890789 532952783 /nfs/dbraw/zinc/95/27/83/532952783.db2.gz XBZDLHFYPYKXSA-UHFFFAOYSA-N 1 2 253.411 3.747 20 0 CHADLO COc1ccccc1[C@@H]1CCC[C@H](C)[N@@H+]1Cc1ncccn1 ZINC000352201399 532985968 /nfs/dbraw/zinc/98/59/68/532985968.db2.gz FOXVNVFRBNMHFH-HOCLYGCPSA-N 1 2 297.402 3.601 20 0 CHADLO COc1ccccc1[C@@H]1CCC[C@H](C)[N@H+]1Cc1ncccn1 ZINC000352201399 532985973 /nfs/dbraw/zinc/98/59/73/532985973.db2.gz FOXVNVFRBNMHFH-HOCLYGCPSA-N 1 2 297.402 3.601 20 0 CHADLO COc1cc(NC(C)=O)ccc1[C@H](C)Nc1ccc(C)[nH+]c1 ZINC000347157738 533023712 /nfs/dbraw/zinc/02/37/12/533023712.db2.gz UABMBVNDXLRPOG-LBPRGKRZSA-N 1 2 299.374 3.530 20 0 CHADLO COc1cc[nH+]cc1NC(=O)c1ccc2sccc2c1 ZINC000348314876 533090233 /nfs/dbraw/zinc/09/02/33/533090233.db2.gz BNKRPSXNUIDUAS-UHFFFAOYSA-N 1 2 284.340 3.557 20 0 CHADLO CC[C@@H](NC(=O)c1ccc(C2=CCCC2)cc1)c1[nH]cc[nH+]1 ZINC000574850483 335052265 /nfs/dbraw/zinc/05/22/65/335052265.db2.gz MHNMGGUPGWCYCB-MRXNPFEDSA-N 1 2 295.386 3.858 20 0 CHADLO Cc1ccc(N[C@H]2C[C@@H](C)n3cc[nH+]c32)cc1-c1ncco1 ZINC000574883310 335055155 /nfs/dbraw/zinc/05/51/55/335055155.db2.gz BDZXIORTVXKVIX-DOMZBBRYSA-N 1 2 294.358 3.964 20 0 CHADLO CCN(CC)c1ccc(NCc2ccc(OC)cc2)c[nH+]1 ZINC000037003256 260062243 /nfs/dbraw/zinc/06/22/43/260062243.db2.gz RTTBGJGDZAPVRB-UHFFFAOYSA-N 1 2 285.391 3.549 20 0 CHADLO COc1ccc2c(c1)C1(CC1)C[N@H+]([C@H](C)c1ncc(C)o1)C2 ZINC000336006537 533241551 /nfs/dbraw/zinc/24/15/51/533241551.db2.gz XZDAMZDODNMTLP-CYBMUJFWSA-N 1 2 298.386 3.600 20 0 CHADLO COc1ccc2c(c1)C1(CC1)C[N@@H+]([C@H](C)c1ncc(C)o1)C2 ZINC000336006537 533241554 /nfs/dbraw/zinc/24/15/54/533241554.db2.gz XZDAMZDODNMTLP-CYBMUJFWSA-N 1 2 298.386 3.600 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CC2)cs1)c1c(F)cncc1F ZINC000353205187 533469147 /nfs/dbraw/zinc/46/91/47/533469147.db2.gz OTOIRTULSZSILR-MRVPVSSYSA-N 1 2 295.358 3.545 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCc2ccc(Cl)cc21)c1csnn1 ZINC000336281060 533528403 /nfs/dbraw/zinc/52/84/03/533528403.db2.gz RFXLQZJFPNEEBX-PRHODGIISA-N 1 2 279.796 3.530 20 0 CHADLO CO[C@@H]1C[C@@H](Nc2[nH+]ccc3ccc(F)cc32)C1(C)C ZINC000354536558 533538831 /nfs/dbraw/zinc/53/88/31/533538831.db2.gz JJDWDJDXZQXJFO-ZIAGYGMSSA-N 1 2 274.339 3.599 20 0 CHADLO COc1cccc(C[N@H+](CC(F)F)CC2CCC2)c1 ZINC000341752952 130021872 /nfs/dbraw/zinc/02/18/72/130021872.db2.gz ZVEAQUUCWQODMT-UHFFFAOYSA-N 1 2 269.335 3.562 20 0 CHADLO COc1cccc(C[N@@H+](CC(F)F)CC2CCC2)c1 ZINC000341752952 130021875 /nfs/dbraw/zinc/02/18/75/130021875.db2.gz ZVEAQUUCWQODMT-UHFFFAOYSA-N 1 2 269.335 3.562 20 0 CHADLO CCSCc1cccc(N[C@@H](C)c2[nH]cc[nH+]2)c1 ZINC000353670658 130053458 /nfs/dbraw/zinc/05/34/58/130053458.db2.gz MOHUIMQNLRNHHW-NSHDSACASA-N 1 2 261.394 3.836 20 0 CHADLO C[C@H]([NH2+]Cc1nc2ccc(F)cc2o1)c1cccc(O)c1 ZINC000341811382 130072554 /nfs/dbraw/zinc/07/25/54/130072554.db2.gz WMOINXDNSSWFKU-JTQLQIEISA-N 1 2 286.306 3.523 20 0 CHADLO COC(=O)C[NH2+][C@H](CC(C)(C)C)c1ccc(Cl)cc1 ZINC000341855613 130074822 /nfs/dbraw/zinc/07/48/22/130074822.db2.gz PMSYYTCTGDNYOH-CYBMUJFWSA-N 1 2 283.799 3.580 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@@H](C)c2cccc(C)c2C)o1 ZINC000341878875 130105646 /nfs/dbraw/zinc/10/56/46/130105646.db2.gz YDRXVWZRCBCJOP-STQMWFEESA-N 1 2 273.380 3.661 20 0 CHADLO Cc1oc2ccccc2c1CNc1cc(C)[nH+]c(C2CC2)n1 ZINC000342014945 130199294 /nfs/dbraw/zinc/19/92/94/130199294.db2.gz GVVVKBXQQSHNQH-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO CC[C@@H](F)CSCCc1[nH+]c2ccccc2n1C ZINC000342029459 130246744 /nfs/dbraw/zinc/24/67/44/130246744.db2.gz WZJRQSFIEKOTEQ-LLVKDONJSA-N 1 2 266.385 3.597 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@H+]2CCCC[C@@H]2C)c(Cl)c1 ZINC000007486453 170286651 /nfs/dbraw/zinc/28/66/51/170286651.db2.gz BTOFBUCFVJXXGA-ZDUSSCGKSA-N 1 2 294.826 3.770 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@@H+]2CCCC[C@@H]2C)c(Cl)c1 ZINC000007486453 170286653 /nfs/dbraw/zinc/28/66/53/170286653.db2.gz BTOFBUCFVJXXGA-ZDUSSCGKSA-N 1 2 294.826 3.770 20 0 CHADLO Cc1cc(NC(=O)Cc2ccc(Cl)cc2Cl)cc[nH+]1 ZINC000010163156 170399600 /nfs/dbraw/zinc/39/96/00/170399600.db2.gz BSAWHUOKKSRYDC-UHFFFAOYSA-N 1 2 295.169 3.878 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](C)c2ccccn2)c(C)s1 ZINC000020123962 171005832 /nfs/dbraw/zinc/00/58/32/171005832.db2.gz ITUJBCFUEQHYOB-VHSXEESVSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccccc2Cl)cs1 ZINC000020253189 171106332 /nfs/dbraw/zinc/10/63/32/171106332.db2.gz IPEISECFXLSMOL-VIFPVBQESA-N 1 2 266.797 3.956 20 0 CHADLO COc1ccc(CNc2ccc3ccccc3[nH+]2)cc1 ZINC000021052323 171295247 /nfs/dbraw/zinc/29/52/47/171295247.db2.gz QPHYISQSHYVPRT-UHFFFAOYSA-N 1 2 264.328 3.856 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccco1)c1c(F)cccc1F ZINC000035739013 172393609 /nfs/dbraw/zinc/39/36/09/172393609.db2.gz LJOHPYIHMAEMIE-VHSXEESVSA-N 1 2 251.276 3.970 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(OC(F)F)cc1 ZINC000071413031 176158300 /nfs/dbraw/zinc/15/83/00/176158300.db2.gz FAIQMLGARLAEHJ-UHFFFAOYSA-N 1 2 264.275 3.604 20 0 CHADLO Cc1cc[nH+]cc1NCc1ccc(OC(C)C)cc1 ZINC000071413713 176158981 /nfs/dbraw/zinc/15/89/81/176158981.db2.gz LCUROVVTWLGILP-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO COc1c(C)c[nH+]c(CSc2ccc(C)cn2)c1C ZINC000078807441 177390916 /nfs/dbraw/zinc/39/09/16/177390916.db2.gz HWGJXPUSPNENNV-UHFFFAOYSA-N 1 2 274.389 3.703 20 0 CHADLO COc1cccc(OC(F)(F)F)c1CNc1cccc[nH+]1 ZINC000343934588 224894377 /nfs/dbraw/zinc/89/43/77/224894377.db2.gz GNXRKHVMADKYBL-UHFFFAOYSA-N 1 2 298.264 3.601 20 0 CHADLO Cc1cccnc1SCc1[nH+]ccn1Cc1ccccc1 ZINC000344584876 225102610 /nfs/dbraw/zinc/10/26/10/225102610.db2.gz DJVFJWUMTGQWQJ-UHFFFAOYSA-N 1 2 295.411 3.927 20 0 CHADLO Cc1cccnc1SCc1cn2c(cccc2C)[nH+]1 ZINC000344586834 225111081 /nfs/dbraw/zinc/11/10/81/225111081.db2.gz GRUGXSIXNLPBOP-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO Cc1cc(C)cc(C[NH2+]Cc2nnc(C(C)C)s2)c1 ZINC000344628664 225121689 /nfs/dbraw/zinc/12/16/89/225121689.db2.gz HLUADHRBPYBKBT-UHFFFAOYSA-N 1 2 275.421 3.568 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)c1ccc(Cl)cc1F ZINC000334658854 225261626 /nfs/dbraw/zinc/26/16/26/225261626.db2.gz IKJMOAAHAHTVAY-UHFFFAOYSA-N 1 2 278.714 3.743 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@@H](C(C)C)[C@H]1C(C)C ZINC000334690663 225275568 /nfs/dbraw/zinc/27/55/68/225275568.db2.gz WWQDNDMFLMOBEL-GOEBONIOSA-N 1 2 289.423 3.843 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H](C)c2cccc(O)c2)c(C)[nH+]1 ZINC000334703468 225280114 /nfs/dbraw/zinc/28/01/14/225280114.db2.gz YMLGOFPAWVJDGP-GFCCVEGCSA-N 1 2 299.374 3.595 20 0 CHADLO Cc1cc(C)c(NC(=O)N2Cc3cccc(F)c3C2)c(C)[nH+]1 ZINC000334722937 225290956 /nfs/dbraw/zinc/29/09/56/225290956.db2.gz AHYLXHXCYCITSC-UHFFFAOYSA-N 1 2 299.349 3.694 20 0 CHADLO OC1C[C@H]2CC[C@@H](C1)[N@H+]2Cc1csc(Cl)c1Cl ZINC000334768404 225314775 /nfs/dbraw/zinc/31/47/75/225314775.db2.gz MLGDZSQUJYNRMZ-ULKQDVFKSA-N 1 2 292.231 3.543 20 0 CHADLO OC1C[C@H]2CC[C@@H](C1)[N@@H+]2Cc1csc(Cl)c1Cl ZINC000334768404 225314777 /nfs/dbraw/zinc/31/47/77/225314777.db2.gz MLGDZSQUJYNRMZ-ULKQDVFKSA-N 1 2 292.231 3.543 20 0 CHADLO Cc1oncc1C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000334670428 225242556 /nfs/dbraw/zinc/24/25/56/225242556.db2.gz XBUWJFFDYFYQLZ-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1oncc1C[N@H+]1CCC[C@@H]1c1ccc(F)cc1F ZINC000334670428 225242561 /nfs/dbraw/zinc/24/25/61/225242561.db2.gz XBUWJFFDYFYQLZ-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NC1CCC(C(F)F)CC1 ZINC000334645560 225249303 /nfs/dbraw/zinc/24/93/03/225249303.db2.gz RFCSOYMPYRRVQZ-UHFFFAOYSA-N 1 2 297.349 3.644 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@H]2CCCc3sccc32)nn1C ZINC000334980737 225383742 /nfs/dbraw/zinc/38/37/42/225383742.db2.gz BLQKFACJZRQLPT-NSHDSACASA-N 1 2 295.839 3.611 20 0 CHADLO Cc1ccc(N[C@H](C)c2ccc(-n3cccn3)cc2)c[nH+]1 ZINC000347139627 226057126 /nfs/dbraw/zinc/05/71/26/226057126.db2.gz ZBKRFXVEDTYXPD-CQSZACIVSA-N 1 2 278.359 3.749 20 0 CHADLO CCOc1nnc(C[N@@H+]2CC[C@@H](C(C)(C)C)C[C@H]2C)s1 ZINC000347608008 226198973 /nfs/dbraw/zinc/19/89/73/226198973.db2.gz WUOABTQLBCMILE-VXGBXAGGSA-N 1 2 297.468 3.583 20 0 CHADLO CCOc1nnc(C[N@H+]2CC[C@@H](C(C)(C)C)C[C@H]2C)s1 ZINC000347608008 226198981 /nfs/dbraw/zinc/19/89/81/226198981.db2.gz WUOABTQLBCMILE-VXGBXAGGSA-N 1 2 297.468 3.583 20 0 CHADLO CCc1nnc([C@H](C)[N@@H+]2CC(C)(C)[C@@H]2c2cccs2)o1 ZINC000347597373 226213470 /nfs/dbraw/zinc/21/34/70/226213470.db2.gz JCOKCFPDRZMNJE-GWCFXTLKSA-N 1 2 291.420 3.838 20 0 CHADLO CCc1nnc([C@H](C)[N@H+]2CC(C)(C)[C@@H]2c2cccs2)o1 ZINC000347597373 226213474 /nfs/dbraw/zinc/21/34/74/226213474.db2.gz JCOKCFPDRZMNJE-GWCFXTLKSA-N 1 2 291.420 3.838 20 0 CHADLO Cc1nc(C[N@H+](C)C/C=C\c2ccc(F)c(F)c2)cs1 ZINC000347663733 226214718 /nfs/dbraw/zinc/21/47/18/226214718.db2.gz CAHGZXMYGFUJDM-ARJAWSKDSA-N 1 2 294.370 3.875 20 0 CHADLO Cc1nc(C[N@@H+](C)C/C=C\c2ccc(F)c(F)c2)cs1 ZINC000347663733 226214720 /nfs/dbraw/zinc/21/47/20/226214720.db2.gz CAHGZXMYGFUJDM-ARJAWSKDSA-N 1 2 294.370 3.875 20 0 CHADLO Cc1cccc(CN(Cc2ccco2)c2cc(C)[nH+]cn2)c1 ZINC000347732745 226233415 /nfs/dbraw/zinc/23/34/15/226233415.db2.gz GTOHGNUKVXGJDJ-UHFFFAOYSA-N 1 2 293.370 3.893 20 0 CHADLO FC(F)n1ccc(C[N@@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000347702397 226239312 /nfs/dbraw/zinc/23/93/12/226239312.db2.gz MCKNIKGKFDCNJI-LBPRGKRZSA-N 1 2 283.347 3.677 20 0 CHADLO FC(F)n1ccc(C[N@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000347702397 226239316 /nfs/dbraw/zinc/23/93/16/226239316.db2.gz MCKNIKGKFDCNJI-LBPRGKRZSA-N 1 2 283.347 3.677 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc2oc(CC(C)(C)C)nc2c1 ZINC000347931122 226393073 /nfs/dbraw/zinc/39/30/73/226393073.db2.gz WVEWLKWRXRRTQY-UHFFFAOYSA-N 1 2 298.390 3.762 20 0 CHADLO Cc1nnc(COc2cc(C)[nH+]c3c(C)cccc23)s1 ZINC000354539411 227026792 /nfs/dbraw/zinc/02/67/92/227026792.db2.gz QXIHJYYMFGAYBR-UHFFFAOYSA-N 1 2 285.372 3.591 20 0 CHADLO Cc1ccc(CCCNc2cccnc2OC(C)C)c[nH+]1 ZINC000354575751 227038897 /nfs/dbraw/zinc/03/88/97/227038897.db2.gz USTTWFNXQFAQGZ-UHFFFAOYSA-N 1 2 285.391 3.617 20 0 CHADLO C[C@H]1CC[C@@H](C(N)=O)CN1c1[nH+]ccc2ccc(F)cc21 ZINC000336540276 227106081 /nfs/dbraw/zinc/10/60/81/227106081.db2.gz MJVLQUTTYJUSHO-CMPLNLGQSA-N 1 2 287.338 3.514 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1nccs1 ZINC000354823475 227140421 /nfs/dbraw/zinc/14/04/21/227140421.db2.gz BJXXZVFCBUXENT-QWRGUYRKSA-N 1 2 276.380 3.790 20 0 CHADLO C[C@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1nccs1 ZINC000354823475 227140425 /nfs/dbraw/zinc/14/04/25/227140425.db2.gz BJXXZVFCBUXENT-QWRGUYRKSA-N 1 2 276.380 3.790 20 0 CHADLO Cc1cnc(C[N@H+]2CC=C(c3cc(C)cc(C)c3)CC2)nc1 ZINC000354827927 227143493 /nfs/dbraw/zinc/14/34/93/227143493.db2.gz HBOSUXIJQDNQCC-UHFFFAOYSA-N 1 2 293.414 3.691 20 0 CHADLO Cc1cnc(C[N@@H+]2CC=C(c3cc(C)cc(C)c3)CC2)nc1 ZINC000354827927 227143495 /nfs/dbraw/zinc/14/34/95/227143495.db2.gz HBOSUXIJQDNQCC-UHFFFAOYSA-N 1 2 293.414 3.691 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3ccc(F)cc32)CC[C@@H]1C ZINC000354872363 227188760 /nfs/dbraw/zinc/18/87/60/227188760.db2.gz AALWIAXOCXQNIU-RYUDHWBXSA-N 1 2 258.340 3.856 20 0 CHADLO Cc1nnc(C[N@@H+]([C@@H](C)c2ccccc2)C2CC2)n1C1CC1 ZINC000352819888 227256969 /nfs/dbraw/zinc/25/69/69/227256969.db2.gz XYTADAWXDKXEPU-ZDUSSCGKSA-N 1 2 296.418 3.647 20 0 CHADLO Cc1nnc(C[N@H+]([C@@H](C)c2ccccc2)C2CC2)n1C1CC1 ZINC000352819888 227256972 /nfs/dbraw/zinc/25/69/72/227256972.db2.gz XYTADAWXDKXEPU-ZDUSSCGKSA-N 1 2 296.418 3.647 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1cccn1C ZINC000167827231 227297081 /nfs/dbraw/zinc/29/70/81/227297081.db2.gz PTCWMWPZNWICJQ-UHFFFAOYSA-N 1 2 288.782 3.660 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NCc1cncs1 ZINC000167824912 227297231 /nfs/dbraw/zinc/29/72/31/227297231.db2.gz JOMJVPLFMDKUJH-UHFFFAOYSA-N 1 2 292.795 3.778 20 0 CHADLO Oc1ccc(F)c(CNc2c[nH+]c3c(c2)CCCC3)c1F ZINC000352852424 227331006 /nfs/dbraw/zinc/33/10/06/227331006.db2.gz GLYFDKLIYFELJU-UHFFFAOYSA-N 1 2 290.313 3.556 20 0 CHADLO Cc1ccccc1[C@@H](C)Nc1nc(N(C)C)nc(C(C)C)[nH+]1 ZINC000301868892 227711095 /nfs/dbraw/zinc/71/10/95/227711095.db2.gz CGUTWLLOCGIDEQ-CYBMUJFWSA-N 1 2 299.422 3.543 20 0 CHADLO Cc1ccccc1[C@@H](C)Nc1nc(C(C)C)[nH+]c(N(C)C)n1 ZINC000301868892 227711101 /nfs/dbraw/zinc/71/11/01/227711101.db2.gz CGUTWLLOCGIDEQ-CYBMUJFWSA-N 1 2 299.422 3.543 20 0 CHADLO c1ccc2[nH+]c(NC[C@H]3COC4(CCCCC4)O3)ccc2c1 ZINC000302002585 227754589 /nfs/dbraw/zinc/75/45/89/227754589.db2.gz JNXDBSQKJUEQOP-HNNXBMFYSA-N 1 2 298.386 3.723 20 0 CHADLO COc1cc(Nc2nc(C)[nH+]c3c2CCCC3)ccc1F ZINC000302566417 227865559 /nfs/dbraw/zinc/86/55/59/227865559.db2.gz WKIASGRFXPCXPQ-UHFFFAOYSA-N 1 2 287.338 3.555 20 0 CHADLO Cc1ccc(C)c(-c2nc(Cc3ccc(C)[nH+]c3)no2)c1 ZINC000356125924 228131890 /nfs/dbraw/zinc/13/18/90/228131890.db2.gz LKKLRPBGCIEHBN-UHFFFAOYSA-N 1 2 279.343 3.648 20 0 CHADLO Fc1cc(C[NH+]2CCC(C(F)F)CC2)cc(F)c1F ZINC000353686704 228144698 /nfs/dbraw/zinc/14/46/98/228144698.db2.gz LDISUELJYAMMSH-UHFFFAOYSA-N 1 2 279.252 3.581 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)[C@@H]2CC23CCCC3)c1 ZINC000353765209 228151952 /nfs/dbraw/zinc/15/19/52/228151952.db2.gz IBJLTFVGSQBNJG-HNNXBMFYSA-N 1 2 295.386 3.606 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@H]1CCC[C@@H](C)CC1 ZINC000332320440 228155355 /nfs/dbraw/zinc/15/53/55/228155355.db2.gz KHNFFEGHXDHILI-RISCZKNCSA-N 1 2 275.396 3.789 20 0 CHADLO C[C@@H]1c2ccccc2C[N@H+]1Cc1cnn(C(C)(C)C)c1 ZINC000353881213 228162284 /nfs/dbraw/zinc/16/22/84/228162284.db2.gz KWAOAQMDHNIKCF-CYBMUJFWSA-N 1 2 269.392 3.715 20 0 CHADLO C[C@@H]1c2ccccc2C[N@@H+]1Cc1cnn(C(C)(C)C)c1 ZINC000353881213 228162285 /nfs/dbraw/zinc/16/22/85/228162285.db2.gz KWAOAQMDHNIKCF-CYBMUJFWSA-N 1 2 269.392 3.715 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)Nc1cccc2ccn(C)c21 ZINC000351930937 228167064 /nfs/dbraw/zinc/16/70/64/228167064.db2.gz MDIMUWFWRJUCNW-UHFFFAOYSA-N 1 2 294.358 3.834 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1c2ccccc2C[C@H]1C ZINC000351944379 228168258 /nfs/dbraw/zinc/16/82/58/228168258.db2.gz SNSKCHHZEMULPX-PXAZEXFGSA-N 1 2 295.386 3.753 20 0 CHADLO Cc1cnc([C@@H](C)[N@@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC000335946220 228168976 /nfs/dbraw/zinc/16/89/76/228168976.db2.gz CTEBCGGOHFDGPE-LLVKDONJSA-N 1 2 276.767 3.756 20 0 CHADLO Cc1cnc([C@@H](C)[N@H+]2CCc3ccc(Cl)cc3C2)o1 ZINC000335946220 228168977 /nfs/dbraw/zinc/16/89/77/228168977.db2.gz CTEBCGGOHFDGPE-LLVKDONJSA-N 1 2 276.767 3.756 20 0 CHADLO c1cc2c(s1)C[N@H+](Cc1nc(C3CC3)cs1)CC2 ZINC000335989049 228175419 /nfs/dbraw/zinc/17/54/19/228175419.db2.gz UUTAYAZKGFDKHN-UHFFFAOYSA-N 1 2 276.430 3.640 20 0 CHADLO c1cc2c(s1)C[N@@H+](Cc1nc(C3CC3)cs1)CC2 ZINC000335989049 228175420 /nfs/dbraw/zinc/17/54/20/228175420.db2.gz UUTAYAZKGFDKHN-UHFFFAOYSA-N 1 2 276.430 3.640 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3ccc(C(C)C)cn3)cc2[nH+]1 ZINC000352145057 228187358 /nfs/dbraw/zinc/18/73/58/228187358.db2.gz DMLLGDCTCYWSKW-UHFFFAOYSA-N 1 2 294.358 3.642 20 0 CHADLO Cc1ccc(NC(=O)N[C@@H]2CC[C@H]3CCC[C@H]3C2)c(C)[nH+]1 ZINC000333285050 228195749 /nfs/dbraw/zinc/19/57/49/228195749.db2.gz KHVRJAHNONXFDD-QLFBSQMISA-N 1 2 287.407 3.789 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2ccncc2)nc2ccccc12 ZINC000171923706 335084989 /nfs/dbraw/zinc/08/49/89/335084989.db2.gz ZAZFUGCCXSTPBZ-AWEZNQCLSA-N 1 2 292.386 3.526 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2ccncc2)nc2ccccc12 ZINC000171923706 335084990 /nfs/dbraw/zinc/08/49/90/335084990.db2.gz ZAZFUGCCXSTPBZ-AWEZNQCLSA-N 1 2 292.386 3.526 20 0 CHADLO Cc1ccc(NC2CCN(c3cccc[nH+]3)CC2)cc1F ZINC000037213124 260075005 /nfs/dbraw/zinc/07/50/05/260075005.db2.gz WFVZUFDHFYAMOP-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO Cc1[nH]c(C(=O)N[C@@H](c2ccc(C(C)C)cc2)C(C)C)c[nH+]1 ZINC000521359999 260085553 /nfs/dbraw/zinc/08/55/53/260085553.db2.gz QNUQFNSTAHPQAC-QGZVFWFLSA-N 1 2 299.418 3.969 20 0 CHADLO COC(=O)[C@H]([NH2+]C[C@H](C)c1cccc(C)c1)c1ccccc1 ZINC000525462052 260154733 /nfs/dbraw/zinc/15/47/33/260154733.db2.gz WWHFNQSHCRUFJW-MAUKXSAKSA-N 1 2 297.398 3.602 20 0 CHADLO CC[C@@H](C)Oc1cccc(N[C@@H](C)c2[nH+]ccn2C)c1 ZINC000070445424 260157343 /nfs/dbraw/zinc/15/73/43/260157343.db2.gz KSGSNWBGCZSVIE-OLZOCXBDSA-N 1 2 273.380 3.771 20 0 CHADLO Fc1cccc(Cn2c[nH+]c3cc(F)c(F)cc32)c1 ZINC000071838779 260163334 /nfs/dbraw/zinc/16/33/34/260163334.db2.gz RVBOUZQKXUVYPO-UHFFFAOYSA-N 1 2 262.234 3.502 20 0 CHADLO Fc1cncc(C[NH2+]Cc2cc(Cl)sc2Cl)c1 ZINC000312754390 260197182 /nfs/dbraw/zinc/19/71/82/260197182.db2.gz GEBDOJYSEUZSGH-UHFFFAOYSA-N 1 2 291.178 3.879 20 0 CHADLO O=C(CCc1ccsc1)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000519994152 260206616 /nfs/dbraw/zinc/20/66/16/260206616.db2.gz ULHFVFASJZCSIX-UHFFFAOYSA-N 1 2 297.383 3.710 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cc(Cl)ccc2OC)c1 ZINC000214101387 260230378 /nfs/dbraw/zinc/23/03/78/260230378.db2.gz UUDHUGWKMAAHJF-UHFFFAOYSA-N 1 2 292.766 3.673 20 0 CHADLO CCCOc1cccc(NCc2c[nH+]cn2C(C)C)c1 ZINC000090208008 260239429 /nfs/dbraw/zinc/23/94/29/260239429.db2.gz PJEDXKKGMMFJHN-UHFFFAOYSA-N 1 2 273.380 3.865 20 0 CHADLO C[C@@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1cccnc1 ZINC000090802903 260262422 /nfs/dbraw/zinc/26/24/22/260262422.db2.gz MKTJWCLBGVVYAQ-SECBINFHSA-N 1 2 267.254 3.669 20 0 CHADLO OC1(CNc2cc(C(F)(F)F)cc[nH+]2)CCCCCC1 ZINC000090803630 260262709 /nfs/dbraw/zinc/26/27/09/260262709.db2.gz DOLUZKTUUQQHJI-UHFFFAOYSA-N 1 2 288.313 3.598 20 0 CHADLO CCn1c[nH+]cc1CNc1cc(C(F)(F)F)ccc1C ZINC000091478605 260265904 /nfs/dbraw/zinc/26/59/04/260265904.db2.gz KXHNODAXWIVUNR-UHFFFAOYSA-N 1 2 283.297 3.842 20 0 CHADLO Cc1[nH+]cccc1NCc1cccc(OC(C)C)c1 ZINC000091495901 260266457 /nfs/dbraw/zinc/26/64/57/260266457.db2.gz PEJYOKSVRUFFGR-UHFFFAOYSA-N 1 2 256.349 3.789 20 0 CHADLO C[C@@H]([NH2+]Cc1ccccc1OC(F)F)c1cscn1 ZINC000162339284 260278361 /nfs/dbraw/zinc/27/83/61/260278361.db2.gz FYBINOWRIBFYRE-SECBINFHSA-N 1 2 284.331 3.595 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nnc(C3CC3)o2)c(C)c1 ZINC000517841623 260310429 /nfs/dbraw/zinc/31/04/29/260310429.db2.gz NRNSKKIZBKDQPJ-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nnc(C3CC3)o2)c(C)c1 ZINC000517841623 260310431 /nfs/dbraw/zinc/31/04/31/260310431.db2.gz NRNSKKIZBKDQPJ-CYBMUJFWSA-N 1 2 285.391 3.757 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@H](C)c2ccsc2)n1 ZINC000517865314 260312626 /nfs/dbraw/zinc/31/26/26/260312626.db2.gz WJXBINQRUAKAEQ-GFCCVEGCSA-N 1 2 299.399 3.772 20 0 CHADLO CC[C@@H](Nc1cc(C)[nH+]c(-c2cccnc2)n1)C(C)(C)C ZINC000517949117 260325373 /nfs/dbraw/zinc/32/53/73/260325373.db2.gz JNOIOESWSDJSGD-CQSZACIVSA-N 1 2 284.407 3.506 20 0 CHADLO Cc1cc(N(C)Cc2ccc(C(C)(C)C)cc2)nc[nH+]1 ZINC000518352503 260381508 /nfs/dbraw/zinc/38/15/08/260381508.db2.gz IMRPBVQEEQBXMW-UHFFFAOYSA-N 1 2 269.392 3.719 20 0 CHADLO CCc1cnc(C[NH2+][C@@H](C)c2cc(F)ccc2F)s1 ZINC000130437687 260396484 /nfs/dbraw/zinc/39/64/84/260396484.db2.gz YJPIPBIOMVRUKJ-VIFPVBQESA-N 1 2 282.359 3.835 20 0 CHADLO Cc1cc(C[NH2+]C(c2cccs2)c2cccs2)no1 ZINC000044693048 260647440 /nfs/dbraw/zinc/64/74/40/260647440.db2.gz SMUDAXGENYJCHD-UHFFFAOYSA-N 1 2 290.413 3.985 20 0 CHADLO C[C@@H]([NH2+]Cc1ccnn1C)c1cc(Cl)sc1Cl ZINC000152191620 261061056 /nfs/dbraw/zinc/06/10/56/261061056.db2.gz BAAHMKBEMHUBIE-SSDOTTSWSA-N 1 2 290.219 3.639 20 0 CHADLO C[N@H+](Cc1nccs1)[C@H]1CCc2c1cccc2Cl ZINC000154784667 261094801 /nfs/dbraw/zinc/09/48/01/261094801.db2.gz CBNXILUOYHSOIU-ZDUSSCGKSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1nccs1)[C@H]1CCc2c1cccc2Cl ZINC000154784667 261094802 /nfs/dbraw/zinc/09/48/02/261094802.db2.gz CBNXILUOYHSOIU-ZDUSSCGKSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1ncc(C(C)(C)C)s1 ZINC000448346010 261120648 /nfs/dbraw/zinc/12/06/48/261120648.db2.gz WEYPDXUDBOQHDM-UHFFFAOYSA-N 1 2 264.394 3.666 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1ncc(C(C)(C)C)s1 ZINC000448346010 261120650 /nfs/dbraw/zinc/12/06/50/261120650.db2.gz WEYPDXUDBOQHDM-UHFFFAOYSA-N 1 2 264.394 3.666 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1ccc(C)o1)c1ccc(C)o1 ZINC000156516897 261124497 /nfs/dbraw/zinc/12/44/97/261124497.db2.gz RYVYEXJWSKPMQY-CHWSQXEVSA-N 1 2 263.337 3.528 20 0 CHADLO CCc1ccc([C@H](C)[NH2+][C@@H](COC)c2ccc(C)o2)o1 ZINC000156534910 261125653 /nfs/dbraw/zinc/12/56/53/261125653.db2.gz UKAJJIXEXXLKEH-JSGCOSHPSA-N 1 2 277.364 3.782 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1ccc(F)cc1F)c1ccco1 ZINC000156592117 261127328 /nfs/dbraw/zinc/12/73/28/261127328.db2.gz UCWOJZPVRWYAPF-QMTHXVAHSA-N 1 2 281.302 3.596 20 0 CHADLO CC(C)(C)[C@@H]1C[N@H+](Cc2cnc(C3CCC3)s2)CCO1 ZINC000448369212 261131578 /nfs/dbraw/zinc/13/15/78/261131578.db2.gz YEJHREPOZVMCDO-AWEZNQCLSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)(C)[C@@H]1C[N@@H+](Cc2cnc(C3CCC3)s2)CCO1 ZINC000448369212 261131581 /nfs/dbraw/zinc/13/15/81/261131581.db2.gz YEJHREPOZVMCDO-AWEZNQCLSA-N 1 2 294.464 3.658 20 0 CHADLO CC[C@@H]1CCC[C@@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000448896431 261141549 /nfs/dbraw/zinc/14/15/49/261141549.db2.gz NJJFARDGSUTQFL-DOMZBBRYSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@@H](C)[NH2+]C/C(Cl)=C/Cl ZINC000157806775 261152648 /nfs/dbraw/zinc/15/26/48/261152648.db2.gz VBRFCBQHLGVZPW-NJQKBMNKSA-N 1 2 290.238 3.916 20 0 CHADLO Cc1nc(C[N@@H+](C)[C@@H](C)c2cccs2)cs1 ZINC000158653355 261168849 /nfs/dbraw/zinc/16/88/49/261168849.db2.gz WQMLJPWURDOYSI-VIFPVBQESA-N 1 2 252.408 3.706 20 0 CHADLO Cc1nc(C[N@H+](C)[C@@H](C)c2cccs2)cs1 ZINC000158653355 261168850 /nfs/dbraw/zinc/16/88/50/261168850.db2.gz WQMLJPWURDOYSI-VIFPVBQESA-N 1 2 252.408 3.706 20 0 CHADLO CCc1cnc(C[NH2+]Cc2ccc([C@H]3C[C@@H]3C)o2)s1 ZINC000174627284 261190911 /nfs/dbraw/zinc/19/09/11/261190911.db2.gz PFEJQPCQOLRZEC-GWCFXTLKSA-N 1 2 276.405 3.712 20 0 CHADLO FCCCSCc1cn2cc(Cl)ccc2[nH+]1 ZINC000161923761 261264009 /nfs/dbraw/zinc/26/40/09/261264009.db2.gz FGBOSMIAKXOUQM-UHFFFAOYSA-N 1 2 258.749 3.581 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)Cc2cccc(F)c2)c(C)[nH+]1 ZINC000176185505 261268135 /nfs/dbraw/zinc/26/81/35/261268135.db2.gz DETPAVOXXJJRDX-NSHDSACASA-N 1 2 286.350 3.655 20 0 CHADLO C[C@H]([NH2+]Cc1nc(-c2cccs2)no1)c1ccccc1 ZINC000102676743 261270445 /nfs/dbraw/zinc/27/04/45/261270445.db2.gz DGLMLVQPOOIWKF-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1csc(C2CC2)n1 ZINC000162471761 261282604 /nfs/dbraw/zinc/28/26/04/261282604.db2.gz OPDSEQGDMZXACC-LLVKDONJSA-N 1 2 273.405 3.609 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1csc(C2CC2)n1 ZINC000162471761 261282606 /nfs/dbraw/zinc/28/26/06/261282606.db2.gz OPDSEQGDMZXACC-LLVKDONJSA-N 1 2 273.405 3.609 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+](C)Cc1csc(C2CC2)n1 ZINC000162471621 261282698 /nfs/dbraw/zinc/28/26/98/261282698.db2.gz OPDSEQGDMZXACC-NSHDSACASA-N 1 2 273.405 3.609 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+](C)Cc1csc(C2CC2)n1 ZINC000162471621 261282701 /nfs/dbraw/zinc/28/27/01/261282701.db2.gz OPDSEQGDMZXACC-NSHDSACASA-N 1 2 273.405 3.609 20 0 CHADLO C[C@@H]([NH2+]Cc1ccco1)c1c(F)cccc1Cl ZINC000177713969 261367593 /nfs/dbraw/zinc/36/75/93/261367593.db2.gz ROHNVZRDCNSNKR-SECBINFHSA-N 1 2 253.704 3.923 20 0 CHADLO C[N@H+](Cc1nc(C2CCCC2)no1)[C@H]1CCc2ccccc21 ZINC000178588108 261399129 /nfs/dbraw/zinc/39/91/29/261399129.db2.gz CFJZICNJJCJRAY-INIZCTEOSA-N 1 2 297.402 3.847 20 0 CHADLO C[N@@H+](Cc1nc(C2CCCC2)no1)[C@H]1CCc2ccccc21 ZINC000178588108 261399132 /nfs/dbraw/zinc/39/91/32/261399132.db2.gz CFJZICNJJCJRAY-INIZCTEOSA-N 1 2 297.402 3.847 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H](C)CCc1ccccc1F ZINC000173124362 261522205 /nfs/dbraw/zinc/52/22/05/261522205.db2.gz BFSIMNOVICLFEB-LBPRGKRZSA-N 1 2 286.350 3.737 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCOc2cc(F)ccc21)c1nccs1 ZINC000179961223 261827857 /nfs/dbraw/zinc/82/78/57/261827857.db2.gz YEVDMMZLGZGXGI-ZWNOBZJWSA-N 1 2 292.379 3.847 20 0 CHADLO C[C@@H](SCCn1cc[nH+]c1)c1nc2ccccc2o1 ZINC000183469030 261975927 /nfs/dbraw/zinc/97/59/27/261975927.db2.gz NUOPZCJNHKAYMR-LLVKDONJSA-N 1 2 273.361 3.519 20 0 CHADLO Cc1cncc([C@H](C)[NH2+]Cc2coc(-c3cccs3)n2)c1 ZINC000184304256 262015698 /nfs/dbraw/zinc/01/56/98/262015698.db2.gz JHEWNAUTCGFMTB-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(Br)s2)nc[nH+]1 ZINC000309826670 262028901 /nfs/dbraw/zinc/02/89/01/262028901.db2.gz XKZAOBNALZSBMB-QMMMGPOBSA-N 1 2 298.209 3.782 20 0 CHADLO CCCc1nc(C[NH2+][C@H](C)c2ncc(C)s2)cs1 ZINC000184991201 262036796 /nfs/dbraw/zinc/03/67/96/262036796.db2.gz CPOXJZSBVNWXFQ-SNVBAGLBSA-N 1 2 281.450 3.711 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1c2ccccc2CC[C@@H]1C(C)C ZINC000185083731 262040330 /nfs/dbraw/zinc/04/03/30/262040330.db2.gz KUJILUDUAXLKIZ-AEFFLSMTSA-N 1 2 283.419 3.767 20 0 CHADLO CCc1nc(C[N@@H+]2C[C@H]3CCCC[C@]32c2ccccc2)no1 ZINC000363983143 262076410 /nfs/dbraw/zinc/07/64/10/262076410.db2.gz LCUNHOYIEVKIEE-QAPCUYQASA-N 1 2 297.402 3.533 20 0 CHADLO CCc1nc(C[N@H+]2C[C@H]3CCCC[C@]32c2ccccc2)no1 ZINC000363983143 262076412 /nfs/dbraw/zinc/07/64/12/262076412.db2.gz LCUNHOYIEVKIEE-QAPCUYQASA-N 1 2 297.402 3.533 20 0 CHADLO C[C@H](CCC1CC1)[NH2+]c1ccc(N2CCC(O)CC2)cc1 ZINC000186451858 262094150 /nfs/dbraw/zinc/09/41/50/262094150.db2.gz RDXNAUXAFLPSLX-CQSZACIVSA-N 1 2 288.435 3.638 20 0 CHADLO C[C@H](CCC1CC1)Nc1ccc([NH+]2CCC(O)CC2)cc1 ZINC000186451858 262094152 /nfs/dbraw/zinc/09/41/52/262094152.db2.gz RDXNAUXAFLPSLX-CQSZACIVSA-N 1 2 288.435 3.638 20 0 CHADLO CC(C)c1cc(N2CCC[C@H]2c2ncc[nH]2)nc(C(C)C)[nH+]1 ZINC000364034977 262109515 /nfs/dbraw/zinc/10/95/15/262109515.db2.gz PFWCJRRBHAUAIC-AWEZNQCLSA-N 1 2 299.422 3.788 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2CCCC(C)(C)C2)c(Cl)c1 ZINC000497373581 262148702 /nfs/dbraw/zinc/14/87/02/262148702.db2.gz ZEOVHUWHUMQSFO-UHFFFAOYSA-N 1 2 294.826 3.709 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2CCCC(C)(C)C2)c(Cl)c1 ZINC000497373581 262148703 /nfs/dbraw/zinc/14/87/03/262148703.db2.gz ZEOVHUWHUMQSFO-UHFFFAOYSA-N 1 2 294.826 3.709 20 0 CHADLO C(=C/c1ccccc1)\C[N@@H+]1CCOC[C@@H]1c1ccccc1 ZINC000525781305 262226824 /nfs/dbraw/zinc/22/68/24/262226824.db2.gz SANGPSDMJXXRSC-QPGLYAHGSA-N 1 2 279.383 3.773 20 0 CHADLO C(=C/c1ccccc1)\C[N@H+]1CCOC[C@@H]1c1ccccc1 ZINC000525781305 262226827 /nfs/dbraw/zinc/22/68/27/262226827.db2.gz SANGPSDMJXXRSC-QPGLYAHGSA-N 1 2 279.383 3.773 20 0 CHADLO CCc1cnc(C[N@H+](Cc2ccccc2F)C(C)C)o1 ZINC000525782104 262229584 /nfs/dbraw/zinc/22/95/84/262229584.db2.gz WSFIVHHKBFRLTE-UHFFFAOYSA-N 1 2 276.355 3.787 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2ccccc2F)C(C)C)o1 ZINC000525782104 262229585 /nfs/dbraw/zinc/22/95/85/262229585.db2.gz WSFIVHHKBFRLTE-UHFFFAOYSA-N 1 2 276.355 3.787 20 0 CHADLO Clc1ccccc1C[NH2+]Cc1ccn(-c2ccccc2)n1 ZINC000059639447 262389772 /nfs/dbraw/zinc/38/97/72/262389772.db2.gz VLLPDYHYSHNJPC-UHFFFAOYSA-N 1 2 297.789 3.816 20 0 CHADLO CC(C)(CC(F)F)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000413295716 262758725 /nfs/dbraw/zinc/75/87/25/262758725.db2.gz PJRMSTLEIDFRPC-UHFFFAOYSA-N 1 2 293.317 3.697 20 0 CHADLO F[C@@H]1CCN(c2[nH+]ccc3cc(Br)ccc32)C1 ZINC000413334877 262761859 /nfs/dbraw/zinc/76/18/59/262761859.db2.gz SQKOVHWMMZTZFY-LLVKDONJSA-N 1 2 295.155 3.546 20 0 CHADLO CC(C)c1cc(N[C@H](C)c2cn[nH]c2)nc(C(C)C)[nH+]1 ZINC000270466438 262988677 /nfs/dbraw/zinc/98/86/77/262988677.db2.gz FHPYJCLGCUKFTA-LLVKDONJSA-N 1 2 273.384 3.620 20 0 CHADLO Cc1cc(Cl)ccc1C[NH2+]Cc1nnc(C2CC2)s1 ZINC000414557650 262991616 /nfs/dbraw/zinc/99/16/16/262991616.db2.gz QFMOIFIZIULILM-UHFFFAOYSA-N 1 2 293.823 3.667 20 0 CHADLO CC[C@H](Nc1nc(C)[nH+]c2c1CCCC2)c1ccncc1 ZINC000272840234 263009214 /nfs/dbraw/zinc/00/92/14/263009214.db2.gz IMJBLZNCXIMQKK-HNNXBMFYSA-N 1 2 282.391 3.622 20 0 CHADLO Cc1nnc([C@H](C)[N@@H+]2C[C@H](C)[C@H]2c2ccccc2)s1 ZINC000273196836 263013769 /nfs/dbraw/zinc/01/37/69/263013769.db2.gz PRFYMMMEHUBBIY-MJVIPROJSA-N 1 2 273.405 3.601 20 0 CHADLO Cc1nnc([C@H](C)[N@H+]2C[C@H](C)[C@H]2c2ccccc2)s1 ZINC000273196836 263013770 /nfs/dbraw/zinc/01/37/70/263013770.db2.gz PRFYMMMEHUBBIY-MJVIPROJSA-N 1 2 273.405 3.601 20 0 CHADLO CC(C)C[C@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000437573710 263019422 /nfs/dbraw/zinc/01/94/22/263019422.db2.gz CUMZRPYHMUWXQQ-CQSZACIVSA-N 1 2 291.439 3.985 20 0 CHADLO CC(C)C[C@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)C1 ZINC000437573710 263019423 /nfs/dbraw/zinc/01/94/23/263019423.db2.gz CUMZRPYHMUWXQQ-CQSZACIVSA-N 1 2 291.439 3.985 20 0 CHADLO COCC[N@H+](CC(F)F)[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437620677 263026621 /nfs/dbraw/zinc/02/66/21/263026621.db2.gz MZUZVSRKMJLSNK-NVXWUHKLSA-N 1 2 297.389 3.710 20 0 CHADLO COCC[N@@H+](CC(F)F)[C@@H]1CC[C@@](C)(c2ccccc2)C1 ZINC000437620677 263026622 /nfs/dbraw/zinc/02/66/22/263026622.db2.gz MZUZVSRKMJLSNK-NVXWUHKLSA-N 1 2 297.389 3.710 20 0 CHADLO FC(F)[C@H](CCc1ccccc1)[NH2+]C1CC(F)(F)C1 ZINC000277006300 263039302 /nfs/dbraw/zinc/03/93/02/263039302.db2.gz BDVPLYQBXUICMY-LBPRGKRZSA-N 1 2 275.289 3.640 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1cscn1)c1ccc(Cl)cc1 ZINC000279151238 263053338 /nfs/dbraw/zinc/05/33/38/263053338.db2.gz APEJLJVOQLHEDY-ZWNOBZJWSA-N 1 2 296.823 3.835 20 0 CHADLO Cc1ccc(CNc2ccc3c(c2)CCC[NH2+]3)cc1 ZINC000414844170 263058590 /nfs/dbraw/zinc/05/85/90/263058590.db2.gz DJXHPWUKHCDWMP-UHFFFAOYSA-N 1 2 252.361 3.965 20 0 CHADLO c1cc2c(c(CNc3ccc4c(c3)CCC[NH2+]4)c1)NCC2 ZINC000414855636 263071920 /nfs/dbraw/zinc/07/19/20/263071920.db2.gz WZUZWVPPRYPXSO-UHFFFAOYSA-N 1 2 279.387 3.625 20 0 CHADLO COc1ccc(OC)c(CNc2ccc3c(c2)CCC[NH2+]3)c1 ZINC000414855994 263072432 /nfs/dbraw/zinc/07/24/32/263072432.db2.gz XZLNTXBMZLPQQV-UHFFFAOYSA-N 1 2 298.386 3.674 20 0 CHADLO c1cc(CNc2ccc3c(c2)CCC[NH2+]3)cc2c1OCC2 ZINC000414856000 263072448 /nfs/dbraw/zinc/07/24/48/263072448.db2.gz YABZUWOYWHSNMM-UHFFFAOYSA-N 1 2 280.371 3.592 20 0 CHADLO CC(C)[C@H](NC(=O)[C@@H](F)CC1CCCCC1)c1[nH]cc[nH+]1 ZINC000283000997 263080344 /nfs/dbraw/zinc/08/03/44/263080344.db2.gz LVKQWBNYFXITGV-KBPBESRZSA-N 1 2 295.402 3.532 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nccc2ccccc21)C(F)F ZINC000453233196 263205545 /nfs/dbraw/zinc/20/55/45/263205545.db2.gz HKIYNJWGOOQNBE-ZWNOBZJWSA-N 1 2 264.319 3.929 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+]C(C)(C)c2ccccc2)o1 ZINC000299557927 263246575 /nfs/dbraw/zinc/24/65/75/263246575.db2.gz DOHRFZRYMINCHB-UHFFFAOYSA-N 1 2 272.392 3.997 20 0 CHADLO C[C@@H](O)C[C@@H](C)CNc1cc[nH+]c2c(Cl)cccc12 ZINC000342380898 263427706 /nfs/dbraw/zinc/42/77/06/263427706.db2.gz PAUKNZQEDXDQRI-GHMZBOCLSA-N 1 2 278.783 3.707 20 0 CHADLO c1cn(-c2cccc(OCc3nc(C4CC4)cs3)c2)c[nH+]1 ZINC000342486692 263441346 /nfs/dbraw/zinc/44/13/46/263441346.db2.gz XUXJVALFABJMAX-UHFFFAOYSA-N 1 2 297.383 3.785 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2cc(C)ccc2C)o1 ZINC000353685582 263459679 /nfs/dbraw/zinc/45/96/79/263459679.db2.gz JAMIQRXBWDBISL-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2cc(C)ccc2C)o1 ZINC000353685582 263459681 /nfs/dbraw/zinc/45/96/81/263459681.db2.gz JAMIQRXBWDBISL-QGZVFWFLSA-N 1 2 285.387 3.778 20 0 CHADLO CC[C@@H](OC1CCCC1)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000330695604 264004923 /nfs/dbraw/zinc/00/49/23/264004923.db2.gz DHVLTJJHHIQNPF-OAHLLOKOSA-N 1 2 290.407 3.683 20 0 CHADLO CC[C@@H](NC(=O)CC1CCC(C)(C)CC1)c1[nH]cc[nH+]1 ZINC000331260441 264183743 /nfs/dbraw/zinc/18/37/43/264183743.db2.gz BGJGUJOMTNBURG-CYBMUJFWSA-N 1 2 277.412 3.584 20 0 CHADLO CC[C@@H](C)[C@H](C)NC(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334105572 264235543 /nfs/dbraw/zinc/23/55/43/264235543.db2.gz TZKOTLPDVDEXDA-SKDRFNHKSA-N 1 2 263.385 3.563 20 0 CHADLO CC(C)[N@H+](Cc1nc(C(F)F)no1)Cc1ccc(F)cc1 ZINC000425393597 264274969 /nfs/dbraw/zinc/27/49/69/264274969.db2.gz CTCDESQHNZIBBK-UHFFFAOYSA-N 1 2 299.296 3.557 20 0 CHADLO CC(C)[N@@H+](Cc1nc(C(F)F)no1)Cc1ccc(F)cc1 ZINC000425393597 264274971 /nfs/dbraw/zinc/27/49/71/264274971.db2.gz CTCDESQHNZIBBK-UHFFFAOYSA-N 1 2 299.296 3.557 20 0 CHADLO Cc1ccn2c(CNc3ccsc3Cl)c[nH+]c2c1 ZINC000189525749 264305675 /nfs/dbraw/zinc/30/56/75/264305675.db2.gz WBZAVFHRLLCLKY-UHFFFAOYSA-N 1 2 277.780 3.970 20 0 CHADLO COc1cnccc1[C@H](C)[NH2+]Cc1ncc(C(C)C)s1 ZINC000189546224 264306979 /nfs/dbraw/zinc/30/69/79/264306979.db2.gz DSTPAKKYZYAYPB-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO COc1ccccc1[C@H]1CC[C@H](C)CN1c1cc[nH+]c(C)n1 ZINC000343280332 264328323 /nfs/dbraw/zinc/32/83/23/264328323.db2.gz FJEIJZWQQJJISX-XJKSGUPXSA-N 1 2 297.402 3.771 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C(C)(C)C)nn1)c1ccccc1Cl ZINC000189939605 264338141 /nfs/dbraw/zinc/33/81/41/264338141.db2.gz RNORRCPWMNRZTO-LLVKDONJSA-N 1 2 292.814 3.537 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nccs1)c1c(C)noc1C ZINC000090886689 264413361 /nfs/dbraw/zinc/41/33/61/264413361.db2.gz BJQINWHSAOLFQH-KOLCDFICSA-N 1 2 265.382 3.550 20 0 CHADLO Cc1cc[nH+]c(NCCC(C)(F)F)c1Br ZINC000294141132 265000147 /nfs/dbraw/zinc/00/01/47/265000147.db2.gz GJHWFDQHJLQZSK-UHFFFAOYSA-N 1 2 279.128 3.610 20 0 CHADLO Clc1cnc(C[N@@H+]2CCC[C@@]3(CCSC3)C2)s1 ZINC000334673924 265071087 /nfs/dbraw/zinc/07/10/87/265071087.db2.gz BUTGPWCGMHBBPD-GFCCVEGCSA-N 1 2 288.869 3.516 20 0 CHADLO Clc1cnc(C[N@H+]2CCC[C@@]3(CCSC3)C2)s1 ZINC000334673924 265071092 /nfs/dbraw/zinc/07/10/92/265071092.db2.gz BUTGPWCGMHBBPD-GFCCVEGCSA-N 1 2 288.869 3.516 20 0 CHADLO COc1cc(C)[nH+]c(CNc2cccc(-c3ncco3)c2)c1 ZINC000347712772 265134083 /nfs/dbraw/zinc/13/40/83/265134083.db2.gz ZEHQHXNASGETCT-UHFFFAOYSA-N 1 2 295.342 3.666 20 0 CHADLO Cc1ccc(SCCNc2cc[nH+]c(C(C)C)n2)cc1 ZINC000518905765 265205746 /nfs/dbraw/zinc/20/57/46/265205746.db2.gz FUXNVQCNJXNUKM-UHFFFAOYSA-N 1 2 287.432 3.535 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1cc(F)cc(F)c1 ZINC000094827648 265260004 /nfs/dbraw/zinc/26/00/04/265260004.db2.gz ZWFARURKPFKRDM-BDAKNGLRSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1cc(C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)c(C)o1 ZINC000519059312 265305692 /nfs/dbraw/zinc/30/56/92/265305692.db2.gz YGVBJGZYOACENP-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Cc1ccc(NC(=O)NC[C@@H]2CCC[C@@H]2C2CC2)c(C)[nH+]1 ZINC000519530188 265456321 /nfs/dbraw/zinc/45/63/21/265456321.db2.gz YWDILCRGUBYBRO-LSDHHAIUSA-N 1 2 287.407 3.646 20 0 CHADLO CCOc1cccc([C@H](C)[NH2+][C@H](C)c2ncc(C)o2)c1 ZINC000356293882 266090149 /nfs/dbraw/zinc/09/01/49/266090149.db2.gz XLACMIXTAXOPLL-QWHCGFSZSA-N 1 2 274.364 3.794 20 0 CHADLO C/C=C/C=C/c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000356593256 266145075 /nfs/dbraw/zinc/14/50/75/266145075.db2.gz MSLVTXCYEFSSRQ-CRBCFSCISA-N 1 2 278.315 3.512 20 0 CHADLO CC[C@H](NC(=O)c1cc(C)c(Cl)cc1C)c1[nH]cc[nH+]1 ZINC000356769372 266172931 /nfs/dbraw/zinc/17/29/31/266172931.db2.gz UWESUAPKYQEPNE-ZDUSSCGKSA-N 1 2 291.782 3.561 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(Cl)o1)c1nc(C)cs1 ZINC000356812707 266179519 /nfs/dbraw/zinc/17/95/19/266179519.db2.gz KEFPQJCUNOFLFP-SNVBAGLBSA-N 1 2 270.785 3.939 20 0 CHADLO Cc1noc([C@H](C)[NH2+]Cc2cc(Cl)cc(Cl)c2)n1 ZINC000356894821 266206812 /nfs/dbraw/zinc/20/68/12/266206812.db2.gz NVNPTWNAAIKZTJ-ZETCQYMHSA-N 1 2 286.162 3.536 20 0 CHADLO Cc1[nH+]c2ccc(C(=O)N[C@H]3CCC[C@H](C)CC3)cc2n1C ZINC000356967043 266220150 /nfs/dbraw/zinc/22/01/50/266220150.db2.gz HAAJMJIMJIKQMU-WFASDCNBSA-N 1 2 299.418 3.580 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)[C@H]3CCC[C@H](C)C3)cc2[nH+]1 ZINC000357024469 266234706 /nfs/dbraw/zinc/23/47/06/266234706.db2.gz DUMPORWUVYKXLW-JQWIXIFHSA-N 1 2 271.364 3.636 20 0 CHADLO C[C@H](c1cccs1)[N@H+](C)Cc1nc(-c2ccoc2)no1 ZINC000357256646 266268573 /nfs/dbraw/zinc/26/85/73/266268573.db2.gz NIVCPUWFDPEGED-SNVBAGLBSA-N 1 2 289.360 3.584 20 0 CHADLO C[C@H](c1cccs1)[N@@H+](C)Cc1nc(-c2ccoc2)no1 ZINC000357256646 266268577 /nfs/dbraw/zinc/26/85/77/266268577.db2.gz NIVCPUWFDPEGED-SNVBAGLBSA-N 1 2 289.360 3.584 20 0 CHADLO Clc1cnc(NCc2ccn3cc[nH+]c3c2)c(Cl)c1 ZINC000357423369 266293918 /nfs/dbraw/zinc/29/39/18/266293918.db2.gz PJWVENSVTZKJBR-UHFFFAOYSA-N 1 2 293.157 3.648 20 0 CHADLO Fc1cccc(F)c1CSc1[nH+]cnc2[nH]ccc21 ZINC000357606343 266333428 /nfs/dbraw/zinc/33/34/28/266333428.db2.gz HABIDLMYHSMNNS-UHFFFAOYSA-N 1 2 277.299 3.528 20 0 CHADLO CCc1cc(N2CCc3sccc3[C@@H]2C)nc(C)[nH+]1 ZINC000357684182 266346261 /nfs/dbraw/zinc/34/62/61/266346261.db2.gz WJFCXKQMEJFCAT-JTQLQIEISA-N 1 2 273.405 3.533 20 0 CHADLO Cc1ccc2c(c1)N(Cc1[nH+]ccn1C(C)C)C[C@H](C)O2 ZINC000357711834 266349558 /nfs/dbraw/zinc/34/95/58/266349558.db2.gz VVHIOPVSDFHNMA-AWEZNQCLSA-N 1 2 285.391 3.560 20 0 CHADLO Cc1cc(N2CCc3cc(Cl)ccc3C2)nc(C2CC2)[nH+]1 ZINC000357869237 266379980 /nfs/dbraw/zinc/37/99/80/266379980.db2.gz WWLGJBIUQARKPZ-UHFFFAOYSA-N 1 2 299.805 3.879 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(F)c(Cl)c2)[nH]1 ZINC000358235501 266442847 /nfs/dbraw/zinc/44/28/47/266442847.db2.gz IOURILSGQSWBIX-RKDXNWHRSA-N 1 2 296.777 3.571 20 0 CHADLO Cc1cccc2[nH+]c(CN(C#N)c3cccc(Cl)c3)cn21 ZINC000358545554 266474740 /nfs/dbraw/zinc/47/47/40/266474740.db2.gz XMAFIZKUNVPRKE-UHFFFAOYSA-N 1 2 296.761 3.784 20 0 CHADLO Cc1nc(N[C@H](c2ccc(F)cc2)C2CCC2)cc[nH+]1 ZINC000361002603 266766986 /nfs/dbraw/zinc/76/69/86/266766986.db2.gz KSQNFAWOKMEZDY-INIZCTEOSA-N 1 2 271.339 3.877 20 0 CHADLO COc1ccc(OC)c(C[N@@H+]2Cc3ccc(C)cc3C2)c1 ZINC000361114947 266787691 /nfs/dbraw/zinc/78/76/91/266787691.db2.gz VCCGFPXUQRQQBV-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO COc1ccc(OC)c(C[N@H+]2Cc3ccc(C)cc3C2)c1 ZINC000361114947 266787694 /nfs/dbraw/zinc/78/76/94/266787694.db2.gz VCCGFPXUQRQQBV-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@@H+]1CCO[C@@H](C2CC2)C1 ZINC000362555674 267007334 /nfs/dbraw/zinc/00/73/34/267007334.db2.gz LTGKHZSUNUEILQ-SUMWQHHRSA-N 1 2 298.386 3.513 20 0 CHADLO C[C@@H](c1ncc(-c2ccccc2)o1)[N@H+]1CCO[C@@H](C2CC2)C1 ZINC000362555674 267007336 /nfs/dbraw/zinc/00/73/36/267007336.db2.gz LTGKHZSUNUEILQ-SUMWQHHRSA-N 1 2 298.386 3.513 20 0 CHADLO CCOc1ccc(CNc2c[nH+]ccc2C)cc1F ZINC000362643973 267028315 /nfs/dbraw/zinc/02/83/15/267028315.db2.gz OTSVHJLIDJEFJN-UHFFFAOYSA-N 1 2 260.312 3.540 20 0 CHADLO CCN(CC)C(=O)c1ccc(C)c(Oc2cc[nH+]cc2)c1 ZINC000114996767 267030198 /nfs/dbraw/zinc/03/01/98/267030198.db2.gz KODLXTLMSFGAFM-UHFFFAOYSA-N 1 2 284.359 3.664 20 0 CHADLO CN(Cc1ccc(Cl)s1)c1cc[nH+]c2ccncc21 ZINC000362844986 267054247 /nfs/dbraw/zinc/05/42/47/267054247.db2.gz WXKUMUYOQXZFRW-UHFFFAOYSA-N 1 2 289.791 3.981 20 0 CHADLO CCC[C@H](C)[C@@H]1CCCN1c1[nH+]c(C)nc2[nH]ccc21 ZINC000362999750 267071677 /nfs/dbraw/zinc/07/16/77/267071677.db2.gz APYUYPXUQOAGKY-FZMZJTMJSA-N 1 2 272.396 3.671 20 0 CHADLO Cc1nc(N2CCC[C@H]2CCCc2ccccc2)cc[nH+]1 ZINC000362992569 267071826 /nfs/dbraw/zinc/07/18/26/267071826.db2.gz MILDBQJFGMUSQH-QGZVFWFLSA-N 1 2 281.403 3.777 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+]Cc2ncoc2-c2ccccc2)c1 ZINC000367602295 267102912 /nfs/dbraw/zinc/10/29/12/267102912.db2.gz SNMIISRISJBVEG-CQSZACIVSA-N 1 2 293.370 3.896 20 0 CHADLO Cc1c2cc(F)ccc2oc1C[NH2+][C@@H]1CCCc2[nH]ncc21 ZINC000367727741 267113915 /nfs/dbraw/zinc/11/39/15/267113915.db2.gz QHWOTDBHFYJXBW-CQSZACIVSA-N 1 2 299.349 3.771 20 0 CHADLO CC(C)(C)CCCCC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000367839358 267121180 /nfs/dbraw/zinc/12/11/80/267121180.db2.gz ALAKTMXFJGEAHM-ZDUSSCGKSA-N 1 2 277.412 3.680 20 0 CHADLO Cc1cc(N[C@H](C)c2ccccc2)nc(C2CC2)[nH+]1 ZINC000116261865 267134971 /nfs/dbraw/zinc/13/49/71/267134971.db2.gz RHLZRYYAPNJNON-GFCCVEGCSA-N 1 2 253.349 3.836 20 0 CHADLO Fc1ccc(Cl)cc1CN1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000368882299 267210285 /nfs/dbraw/zinc/21/02/85/267210285.db2.gz MURKZPXFFDXBQT-CYBMUJFWSA-N 1 2 279.746 3.539 20 0 CHADLO O=C(Nc1ccccc1Cl)c1cccc(-n2cc[nH+]c2)c1 ZINC000117561029 267216535 /nfs/dbraw/zinc/21/65/35/267216535.db2.gz KCCCSKMNJULGDE-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO Cc1nc(C[N@@H+]2CCCCC[C@H]2c2cccc(C)c2)no1 ZINC000117897896 267225484 /nfs/dbraw/zinc/22/54/84/267225484.db2.gz OHFDIIKMPFALPF-INIZCTEOSA-N 1 2 285.391 3.804 20 0 CHADLO Cc1nc(C[N@H+]2CCCCC[C@H]2c2cccc(C)c2)no1 ZINC000117897896 267225488 /nfs/dbraw/zinc/22/54/88/267225488.db2.gz OHFDIIKMPFALPF-INIZCTEOSA-N 1 2 285.391 3.804 20 0 CHADLO CC(C)(C)c1cnc(CN2CCC[C@H]2c2[nH]cc[nH+]2)s1 ZINC000369214052 267226498 /nfs/dbraw/zinc/22/64/98/267226498.db2.gz JIHACSSKVOZJFR-NSHDSACASA-N 1 2 290.436 3.501 20 0 CHADLO CCc1nc([C@H]2CCCC[N@@H+]2CCSC(C)(C)C)no1 ZINC000369206899 267226668 /nfs/dbraw/zinc/22/66/68/267226668.db2.gz NYQOJPXYMZSZQS-GFCCVEGCSA-N 1 2 297.468 3.691 20 0 CHADLO CCc1nc([C@H]2CCCC[N@H+]2CCSC(C)(C)C)no1 ZINC000369206899 267226670 /nfs/dbraw/zinc/22/66/70/267226670.db2.gz NYQOJPXYMZSZQS-GFCCVEGCSA-N 1 2 297.468 3.691 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2nccs2)c2ccccn2)cc1 ZINC000369289969 267232221 /nfs/dbraw/zinc/23/22/21/267232221.db2.gz HCWBWQAZCXJKFG-QGZVFWFLSA-N 1 2 295.411 3.726 20 0 CHADLO FC(F)(F)c1cnc(C[N@@H+]2CCC[C@H]2C2CC2)s1 ZINC000369475054 267243752 /nfs/dbraw/zinc/24/37/52/267243752.db2.gz BIPPBLNKTDKBRF-VIFPVBQESA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1cnc(C[N@H+]2CCC[C@H]2C2CC2)s1 ZINC000369475054 267243754 /nfs/dbraw/zinc/24/37/54/267243754.db2.gz BIPPBLNKTDKBRF-VIFPVBQESA-N 1 2 276.327 3.536 20 0 CHADLO CC[C@@H](C)CC(=O)Nc1ccccc1-c1[nH]cc(C)[nH+]1 ZINC000369528769 267249704 /nfs/dbraw/zinc/24/97/04/267249704.db2.gz VGTZOPZKAKKBTP-LLVKDONJSA-N 1 2 271.364 3.760 20 0 CHADLO c1cn(-c2cccc(NC3CCC4(CCCO4)CC3)c2)c[nH+]1 ZINC000370060451 267288307 /nfs/dbraw/zinc/28/83/07/267288307.db2.gz PISYRAOKVMREOJ-UHFFFAOYSA-N 1 2 297.402 3.776 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1cccnc1 ZINC000119089344 267295662 /nfs/dbraw/zinc/29/56/62/267295662.db2.gz DEOVRGPQVYYGHD-ZDUSSCGKSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1ccc(NC(=O)C2(c3ccc(F)cc3)CC2)c(C)[nH+]1 ZINC000119104069 267301181 /nfs/dbraw/zinc/30/11/81/267301181.db2.gz XUHAOXBLEKVVOU-UHFFFAOYSA-N 1 2 284.334 3.508 20 0 CHADLO Cc1c2ccccc2oc1CNC(=O)Nc1cc[nH+]c(C)c1 ZINC000119219901 267311303 /nfs/dbraw/zinc/31/13/03/267311303.db2.gz ZSHBZOLUJOHTKD-UHFFFAOYSA-N 1 2 295.342 3.766 20 0 CHADLO C[C@H]1CC[C@@H](CC(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000119291878 267314341 /nfs/dbraw/zinc/31/43/41/267314341.db2.gz VLXJDFMIPONCSF-UONOGXRCSA-N 1 2 283.375 3.637 20 0 CHADLO Cc1ccc(NC(=O)c2cc(C)c(F)cc2F)c(C)[nH+]1 ZINC000119299366 267315335 /nfs/dbraw/zinc/31/53/35/267315335.db2.gz IMCBBSJXPOTUKE-UHFFFAOYSA-N 1 2 276.286 3.537 20 0 CHADLO O=C(Nc1cccc2ccccc21)[C@@H]1CCCc2[nH+]c[nH]c21 ZINC000370483168 267321790 /nfs/dbraw/zinc/32/17/90/267321790.db2.gz IIKQDECWRAGMBH-CQSZACIVSA-N 1 2 291.354 3.622 20 0 CHADLO CCCCc1ccc(NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000370606823 267330917 /nfs/dbraw/zinc/33/09/17/267330917.db2.gz PIOCUWGJKZNRQJ-OAHLLOKOSA-N 1 2 297.402 3.811 20 0 CHADLO CO[C@H]1CCCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000370668306 267336680 /nfs/dbraw/zinc/33/66/80/267336680.db2.gz VDCKHBIYRJCGES-LBPRGKRZSA-N 1 2 290.794 3.894 20 0 CHADLO Cc1cccc2c(CCC(=O)Nc3cc[nH+]cc3C)c[nH]c21 ZINC000119482563 267338458 /nfs/dbraw/zinc/33/84/58/267338458.db2.gz LMFZAFSTJXSJBI-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO C[C@H]1[C@@H](C)[N@@H+](Cc2ncc(C(F)(F)F)s2)C[C@H]1C ZINC000418127352 267355335 /nfs/dbraw/zinc/35/53/35/267355335.db2.gz QVKNMWIMTXGRCI-IWSPIJDZSA-N 1 2 278.343 3.638 20 0 CHADLO C[C@H]1[C@@H](C)[N@H+](Cc2ncc(C(F)(F)F)s2)C[C@H]1C ZINC000418127352 267355337 /nfs/dbraw/zinc/35/53/37/267355337.db2.gz QVKNMWIMTXGRCI-IWSPIJDZSA-N 1 2 278.343 3.638 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@H](C)C(C)C)[nH+]1 ZINC000371246568 267376993 /nfs/dbraw/zinc/37/69/93/267376993.db2.gz FMNKWVCBFOIDPO-GFCCVEGCSA-N 1 2 271.364 3.616 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)[C@@H](C)C(C)C)[nH+]1 ZINC000371246569 267377276 /nfs/dbraw/zinc/37/72/76/267377276.db2.gz FMNKWVCBFOIDPO-LBPRGKRZSA-N 1 2 271.364 3.616 20 0 CHADLO Cc1ccc(C[C@H](C)N(C)C(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000120149813 267387060 /nfs/dbraw/zinc/38/70/60/267387060.db2.gz PHXVRQVZVNZVNV-HNNXBMFYSA-N 1 2 297.402 3.793 20 0 CHADLO CCc1oc2ccccc2c1C[N@@H+]1CCC[C@@H]1c1ncon1 ZINC000371382682 267397014 /nfs/dbraw/zinc/39/70/14/267397014.db2.gz KHANZJRYKDMLSD-CQSZACIVSA-N 1 2 297.358 3.715 20 0 CHADLO CCc1oc2ccccc2c1C[N@H+]1CCC[C@@H]1c1ncon1 ZINC000371382682 267397016 /nfs/dbraw/zinc/39/70/16/267397016.db2.gz KHANZJRYKDMLSD-CQSZACIVSA-N 1 2 297.358 3.715 20 0 CHADLO CC(C)Oc1ccc([NH2+][C@@H]2CCC23CCOCC3)cc1 ZINC000375698125 267889509 /nfs/dbraw/zinc/88/95/09/267889509.db2.gz QUUWYVBIHXLTND-MRXNPFEDSA-N 1 2 275.392 3.845 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1csc(N(C)C2CC2)n1 ZINC000123287455 268021074 /nfs/dbraw/zinc/02/10/74/268021074.db2.gz CPZDRHOVNJZXPR-NSHDSACASA-N 1 2 291.420 3.528 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1csc(N(C)C2CC2)n1 ZINC000123287455 268021077 /nfs/dbraw/zinc/02/10/77/268021077.db2.gz CPZDRHOVNJZXPR-NSHDSACASA-N 1 2 291.420 3.528 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1C(=O)Nc1c(C)cc[nH+]c1C ZINC000425595628 268036163 /nfs/dbraw/zinc/03/61/63/268036163.db2.gz INKWCBWJBLHCOV-KGLIPLIRSA-N 1 2 260.381 3.853 20 0 CHADLO CCc1cc(NC(=O)N[C@@H]2C[C@H](C)n3cc[nH+]c32)ccc1C ZINC000570774927 327618029 /nfs/dbraw/zinc/61/80/29/327618029.db2.gz FGSCISIYVIKFLH-SWLSCSKDSA-N 1 2 298.390 3.581 20 0 CHADLO CCn1cnnc1C[NH2+][C@@H](C)c1cc2cc(C)c(C)cc2o1 ZINC000158372183 327627821 /nfs/dbraw/zinc/62/78/21/327627821.db2.gz YRFNJUNKJDUYCV-ZDUSSCGKSA-N 1 2 298.390 3.512 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H]1CC[C@H]2CCC[C@H]2C1 ZINC000332839745 327663573 /nfs/dbraw/zinc/66/35/73/327663573.db2.gz IXEWDWXPBQFLKO-QLFBSQMISA-N 1 2 287.407 3.789 20 0 CHADLO Cc1ccc(C[S@@](=O)c2ccc(Cl)cc2)c(C)[nH+]1 ZINC000187057842 327707504 /nfs/dbraw/zinc/70/75/04/327707504.db2.gz GFGGFBSKHYQFEX-GOSISDBHSA-N 1 2 279.792 3.660 20 0 CHADLO Fc1cccc(Br)c1C[NH2+][C@@H]1CCC[C@@H]1F ZINC000378686290 327751794 /nfs/dbraw/zinc/75/17/94/327751794.db2.gz FDHZWYWAOQZTIL-NWDGAFQWSA-N 1 2 290.151 3.568 20 0 CHADLO CCc1ccc(Cn2c[nH+]c3cc(C)c(C)cc32)nc1 ZINC000379017940 327778748 /nfs/dbraw/zinc/77/87/48/327778748.db2.gz ANBZRUFVSQKEEB-UHFFFAOYSA-N 1 2 265.360 3.659 20 0 CHADLO FC1(F)CC[C@H](COc2ccccc2-n2cc[nH+]c2)C1 ZINC000571062904 327994728 /nfs/dbraw/zinc/99/47/28/327994728.db2.gz KQDLHFYUKQQTED-LBPRGKRZSA-N 1 2 278.302 3.687 20 0 CHADLO Cc1cc(NCCc2ccc(Cl)cc2Cl)nc[nH+]1 ZINC000040727056 328018621 /nfs/dbraw/zinc/01/86/21/328018621.db2.gz WLSVIQHFDFIUKM-UHFFFAOYSA-N 1 2 282.174 3.746 20 0 CHADLO CC(C)n1cc[nH+]c1CNc1cccc2c1ccn2C ZINC000531823117 328040050 /nfs/dbraw/zinc/04/00/50/328040050.db2.gz CXHSZUBUEPMUDR-UHFFFAOYSA-N 1 2 268.364 3.568 20 0 CHADLO CCC[C@H](C(=O)Nc1cc(C)[nH+]cc1C)c1ccccc1 ZINC000534299730 328044127 /nfs/dbraw/zinc/04/41/27/328044127.db2.gz AZWSFLBDFJHIHH-INIZCTEOSA-N 1 2 282.387 3.643 20 0 CHADLO Cc1cc(NC(=O)N[C@H](c2ccccc2)C(C)C)c(C)c[nH+]1 ZINC000534325996 328045626 /nfs/dbraw/zinc/04/56/26/328045626.db2.gz QZVJWBHWJXLOGF-KRWDZBQOSA-N 1 2 297.402 3.639 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)c1ccncc1)c1cscn1 ZINC000534329605 328046403 /nfs/dbraw/zinc/04/64/03/328046403.db2.gz OVJGCAXONVYJRA-GUYCJALGSA-N 1 2 295.411 3.978 20 0 CHADLO CCc1nc(C[N@H+](C)C/C=C\c2ccc(F)cc2)cs1 ZINC000534349677 328047681 /nfs/dbraw/zinc/04/76/81/328047681.db2.gz VLLQHGZAXUTHDH-PLNGDYQASA-N 1 2 290.407 3.990 20 0 CHADLO CCc1nc(C[N@@H+](C)C/C=C\c2ccc(F)cc2)cs1 ZINC000534349677 328047682 /nfs/dbraw/zinc/04/76/82/328047682.db2.gz VLLQHGZAXUTHDH-PLNGDYQASA-N 1 2 290.407 3.990 20 0 CHADLO CC[C@@H]1COCC[N@@H+]1Cc1cnc(C2CCCCC2)s1 ZINC000534338914 328049554 /nfs/dbraw/zinc/04/95/54/328049554.db2.gz PTCPQXWFFHESQC-CQSZACIVSA-N 1 2 294.464 3.802 20 0 CHADLO CC[C@@H]1COCC[N@H+]1Cc1cnc(C2CCCCC2)s1 ZINC000534338914 328049555 /nfs/dbraw/zinc/04/95/55/328049555.db2.gz PTCPQXWFFHESQC-CQSZACIVSA-N 1 2 294.464 3.802 20 0 CHADLO O=C(Nc1ccc(-c2c[nH]c[nH+]2)cc1)C1CC2(CCC2)C1 ZINC000571089681 328085583 /nfs/dbraw/zinc/08/55/83/328085583.db2.gz DDVGIJNYWDCKIU-UHFFFAOYSA-N 1 2 281.359 3.596 20 0 CHADLO C[C@]1(F)CCCN(c2[nH+]ccc3cc(F)ccc32)C1 ZINC000571320149 328104590 /nfs/dbraw/zinc/10/45/90/328104590.db2.gz HPNFRJLRTZZHBK-HNNXBMFYSA-N 1 2 262.303 3.702 20 0 CHADLO CCC(=O)CCC[N@@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000451161779 328111648 /nfs/dbraw/zinc/11/16/48/328111648.db2.gz LRXHPHNQXSVDEU-UHFFFAOYSA-N 1 2 283.774 3.597 20 0 CHADLO CCC(=O)CCC[N@H+]1CCc2c(Cl)cc(F)cc2C1 ZINC000451161779 328111649 /nfs/dbraw/zinc/11/16/49/328111649.db2.gz LRXHPHNQXSVDEU-UHFFFAOYSA-N 1 2 283.774 3.597 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1cccc(F)c1C ZINC000571602776 328136618 /nfs/dbraw/zinc/13/66/18/328136618.db2.gz XJASTBJRPJKQCM-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO Fc1cccc([C@@H]2CCCN2c2cc(NC3CC3)[nH+]cn2)c1 ZINC000413076279 328140802 /nfs/dbraw/zinc/14/08/02/328140802.db2.gz VDKFMUFPYBSDSX-HNNXBMFYSA-N 1 2 298.365 3.532 20 0 CHADLO Fc1cccc([C@@H]2CCCN2c2cc(NC3CC3)nc[nH+]2)c1 ZINC000413076279 328140803 /nfs/dbraw/zinc/14/08/03/328140803.db2.gz VDKFMUFPYBSDSX-HNNXBMFYSA-N 1 2 298.365 3.532 20 0 CHADLO Cc1cc2[nH+]cn([C@H]3CCC(C)(C)C3=O)c2cc1C ZINC000413008986 328139236 /nfs/dbraw/zinc/13/92/36/328139236.db2.gz ZTRYRRUCWKCBBX-ZDUSSCGKSA-N 1 2 256.349 3.583 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1ccc(Cl)cn1 ZINC000414219109 328153109 /nfs/dbraw/zinc/15/31/09/328153109.db2.gz GXZIRKVRMULHCZ-BDAKNGLRSA-N 1 2 267.785 3.603 20 0 CHADLO Cc1occc1C[N@@H+]1CCO[C@H](c2ccc(F)c(C)c2)C1 ZINC000414393573 328162301 /nfs/dbraw/zinc/16/23/01/328162301.db2.gz GRDRWJGDADYNPV-KRWDZBQOSA-N 1 2 289.350 3.609 20 0 CHADLO Cc1occc1C[N@H+]1CCO[C@H](c2ccc(F)c(C)c2)C1 ZINC000414393573 328162302 /nfs/dbraw/zinc/16/23/02/328162302.db2.gz GRDRWJGDADYNPV-KRWDZBQOSA-N 1 2 289.350 3.609 20 0 CHADLO CCn1ccc(C[NH2+]Cc2ccccc2OC2CCCC2)n1 ZINC000414482286 328185648 /nfs/dbraw/zinc/18/56/48/328185648.db2.gz MTFDGMLNWGZVEO-UHFFFAOYSA-N 1 2 299.418 3.514 20 0 CHADLO Cc1csc(C2([NH2+]Cc3cnc(Cl)s3)CCC2)n1 ZINC000273978409 328207722 /nfs/dbraw/zinc/20/77/22/328207722.db2.gz IJBLMQXQSXKDEJ-UHFFFAOYSA-N 1 2 299.852 3.730 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+][C@H](C)c2nnc(C)s2)c1 ZINC000274241558 328210275 /nfs/dbraw/zinc/21/02/75/328210275.db2.gz QUBNWCUKWHPMRV-GHMZBOCLSA-N 1 2 291.420 3.657 20 0 CHADLO CC(C)[C@H](NC(=O)CC1CCCCCC1)c1[nH]cc[nH+]1 ZINC000276470290 328218717 /nfs/dbraw/zinc/21/87/17/328218717.db2.gz CRAZPAHVIJYXKK-HNNXBMFYSA-N 1 2 277.412 3.584 20 0 CHADLO Cc1ccoc1C[NH2+][C@@H](C)c1nc(C(F)(F)F)cs1 ZINC000278688838 328229541 /nfs/dbraw/zinc/22/95/41/328229541.db2.gz IRAKPHAUVLTIFX-QMMMGPOBSA-N 1 2 290.310 3.914 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000279902894 328233527 /nfs/dbraw/zinc/23/35/27/328233527.db2.gz VFNVTPPKCQDXRS-WFASDCNBSA-N 1 2 288.391 3.636 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000279902894 328233528 /nfs/dbraw/zinc/23/35/28/328233528.db2.gz VFNVTPPKCQDXRS-WFASDCNBSA-N 1 2 288.391 3.636 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc(Cl)c(Cl)c2)[nH]1 ZINC000294848210 328283204 /nfs/dbraw/zinc/28/32/04/328283204.db2.gz RWHIVIXRMSYPKU-UHFFFAOYSA-N 1 2 299.205 3.525 20 0 CHADLO FCC1CC[NH+](Cc2nc(Cl)ccc2Cl)CC1 ZINC000295063322 328284695 /nfs/dbraw/zinc/28/46/95/328284695.db2.gz CIGPXQDJURWQLX-UHFFFAOYSA-N 1 2 277.170 3.570 20 0 CHADLO CCN(Cc1[nH+]cc(C)c(OC)c1C)c1ccccc1 ZINC000303431112 328346738 /nfs/dbraw/zinc/34/67/38/328346738.db2.gz FUVDBLXWUGGCPE-UHFFFAOYSA-N 1 2 270.376 3.734 20 0 CHADLO Fc1ccc(C[C@@H]2CCN(c3[nH]c4ccccc4[nH+]3)C2)cc1 ZINC000534979304 328372875 /nfs/dbraw/zinc/37/28/75/328372875.db2.gz MVYYBQKVHCQRND-AWEZNQCLSA-N 1 2 295.361 3.771 20 0 CHADLO Cc1cc(NC(=O)c2ccc(OC(C)(C)C)cc2)c(C)c[nH+]1 ZINC000535036305 328379258 /nfs/dbraw/zinc/37/92/58/328379258.db2.gz SXZUKIHQENORKZ-UHFFFAOYSA-N 1 2 298.386 3.550 20 0 CHADLO CCC[C@@H](C)[C@@H]1CCCN1C(=O)Nc1cc(C)[nH+]cc1C ZINC000535061738 328382202 /nfs/dbraw/zinc/38/22/02/328382202.db2.gz HQXGBTWRBPACGZ-WBMJQRKESA-N 1 2 289.423 3.553 20 0 CHADLO CC[C@@H](NC(=O)C[C@H](C)C1CCCCC1)c1[nH]cc[nH+]1 ZINC000331000496 328472466 /nfs/dbraw/zinc/47/24/66/328472466.db2.gz QCHOLKMWQSLJCH-GXTWGEPZSA-N 1 2 277.412 3.584 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1cc2cc(C)ccc2o1 ZINC000563858993 328526477 /nfs/dbraw/zinc/52/64/77/328526477.db2.gz FDGCZZZBGWCWSL-ZDUSSCGKSA-N 1 2 283.375 3.808 20 0 CHADLO Cc1cc(NC[C@@H]2CCCC[C@@H]2C)nc(-c2cccnc2)[nH+]1 ZINC000519965811 326823683 /nfs/dbraw/zinc/82/36/83/326823683.db2.gz GIHRWXZVKAVCJZ-ZFWWWQNUSA-N 1 2 296.418 3.507 20 0 CHADLO O=C(Nc1cccc(-n2cc[nH+]c2)c1)c1cccc(Cl)c1 ZINC000047514884 326858236 /nfs/dbraw/zinc/85/82/36/326858236.db2.gz SHJYWDMUJKGRTM-UHFFFAOYSA-N 1 2 297.745 3.778 20 0 CHADLO CCCOc1ccc(C[NH2+]Cc2ncc(Cl)s2)cc1 ZINC000349668816 328602574 /nfs/dbraw/zinc/60/25/74/328602574.db2.gz OLSUIOPVUJNLEV-UHFFFAOYSA-N 1 2 296.823 3.875 20 0 CHADLO COc1c(C[NH2+][C@@H](C)c2cscn2)oc2ccccc21 ZINC000530545748 326866181 /nfs/dbraw/zinc/86/61/81/326866181.db2.gz WWICMVALLLNNSB-JTQLQIEISA-N 1 2 288.372 3.749 20 0 CHADLO C[C@H]([NH2+][C@@H]1CC[C@@H](C)c2ccccc21)c1csnn1 ZINC000398284283 326877633 /nfs/dbraw/zinc/87/76/33/326877633.db2.gz XRFOCDSUJRRFNW-UHIISALHSA-N 1 2 273.405 3.827 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccco1)c1ccccc1)c1cnccn1 ZINC000070478660 326917316 /nfs/dbraw/zinc/91/73/16/326917316.db2.gz VXHJBOCPVZYZNL-GUYCJALGSA-N 1 2 279.343 3.510 20 0 CHADLO CC1(C)[C@@H]([NH2+]C2(C(F)F)CC2)C[C@@H]1Oc1ccccc1 ZINC000556575025 326952340 /nfs/dbraw/zinc/95/23/40/326952340.db2.gz XQXCNBCWQLSNNK-STQMWFEESA-N 1 2 281.346 3.620 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2nn(C)cc2Cl)c(C)c1 ZINC000393536531 326988883 /nfs/dbraw/zinc/98/88/83/326988883.db2.gz XYGYLFBNAJZWAQ-GFCCVEGCSA-N 1 2 277.799 3.541 20 0 CHADLO COc1c(C)c[nH+]c(CSC2(CO)CCCCC2)c1C ZINC000312999397 327022094 /nfs/dbraw/zinc/02/20/94/327022094.db2.gz MRXMQPCWPXOHPK-UHFFFAOYSA-N 1 2 295.448 3.635 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)c2cccc3ccsc32)[nH+]1 ZINC000584236894 327073108 /nfs/dbraw/zinc/07/31/08/327073108.db2.gz BUQSMSATOAJPHY-UHFFFAOYSA-N 1 2 299.399 3.598 20 0 CHADLO CC[C@@H]([NH2+]C/C=C/c1ccc(F)cc1)C(=O)OC(C)(C)C ZINC000558197731 327078242 /nfs/dbraw/zinc/07/82/42/327078242.db2.gz MJZVHNNZSXFIGS-LQYUOIDQSA-N 1 2 293.382 3.549 20 0 CHADLO CC[C@](C)([NH2+]Cc1ccc(Cl)cn1)c1nc(C)cs1 ZINC000558406373 327091004 /nfs/dbraw/zinc/09/10/04/327091004.db2.gz DGPMEAJXCYTXPT-AWEZNQCLSA-N 1 2 295.839 3.915 20 0 CHADLO C[C@@H]1C[C@@H](CCNc2ccc3ccccc3[nH+]2)C[C@H](C)O1 ZINC000558786747 327120255 /nfs/dbraw/zinc/12/02/55/327120255.db2.gz YLYNDZSJWFSGLA-QDMKHBRRSA-N 1 2 284.403 3.662 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cccc(OC(F)F)c2)o1 ZINC000223651009 327129647 /nfs/dbraw/zinc/12/96/47/327129647.db2.gz CSCBFMIBLFBOQK-SNVBAGLBSA-N 1 2 296.317 3.689 20 0 CHADLO Fc1ccc(C[N@H+](Cc2ccco2)C2CC2)c(F)c1 ZINC000084860591 327150880 /nfs/dbraw/zinc/15/08/80/327150880.db2.gz ROUMKPRVKMGERJ-UHFFFAOYSA-N 1 2 263.287 3.722 20 0 CHADLO Fc1ccc(C[N@@H+](Cc2ccco2)C2CC2)c(F)c1 ZINC000084860591 327150881 /nfs/dbraw/zinc/15/08/81/327150881.db2.gz ROUMKPRVKMGERJ-UHFFFAOYSA-N 1 2 263.287 3.722 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3nccs3)CCCC2)c(F)c1 ZINC000089801625 327173602 /nfs/dbraw/zinc/17/36/02/327173602.db2.gz XYUDMGIIEHEPRZ-UHFFFAOYSA-N 1 2 294.370 3.980 20 0 CHADLO O=C1CC[N@@H+](CCSc2ccc(F)cc2)C2(CCC2)C1 ZINC000569588563 327201729 /nfs/dbraw/zinc/20/17/29/327201729.db2.gz CVHZONCPGNBBDZ-UHFFFAOYSA-N 1 2 293.407 3.505 20 0 CHADLO O=C1CC[N@H+](CCSc2ccc(F)cc2)C2(CCC2)C1 ZINC000569588563 327201731 /nfs/dbraw/zinc/20/17/31/327201731.db2.gz CVHZONCPGNBBDZ-UHFFFAOYSA-N 1 2 293.407 3.505 20 0 CHADLO COc1ncc([C@@H](C)[NH2+][C@@H](C)c2cscn2)cc1Cl ZINC000569592291 327202107 /nfs/dbraw/zinc/20/21/07/327202107.db2.gz ZACXQLHEEGLNCB-BDAKNGLRSA-N 1 2 297.811 3.612 20 0 CHADLO Cc1c(Br)cccc1C[N@@H+]1CCC[C@@H]1CF ZINC000559410379 327243730 /nfs/dbraw/zinc/24/37/30/327243730.db2.gz BTCSNABGHXHHTD-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO Cc1c(Br)cccc1C[N@H+]1CCC[C@@H]1CF ZINC000559410379 327243731 /nfs/dbraw/zinc/24/37/31/327243731.db2.gz BTCSNABGHXHHTD-GFCCVEGCSA-N 1 2 286.188 3.691 20 0 CHADLO CC(C)Oc1cccc([C@H]([NH2+]C[C@H]2CCCO2)C(F)F)c1 ZINC000559683536 327257810 /nfs/dbraw/zinc/25/78/10/327257810.db2.gz USVBRVSTGKKRFH-CABCVRRESA-N 1 2 299.361 3.549 20 0 CHADLO CCc1ccc([C@@H]2COCC[N@@H+]2C[C@H]2CCC(F)(F)C2)o1 ZINC000559791615 327261722 /nfs/dbraw/zinc/26/17/22/327261722.db2.gz VZVMRYOWBYGLTR-JSGCOSHPSA-N 1 2 299.361 3.651 20 0 CHADLO CCc1ccc([C@@H]2COCC[N@H+]2C[C@H]2CCC(F)(F)C2)o1 ZINC000559791615 327261723 /nfs/dbraw/zinc/26/17/23/327261723.db2.gz VZVMRYOWBYGLTR-JSGCOSHPSA-N 1 2 299.361 3.651 20 0 CHADLO CC(F)(F)C[NH2+][C@@H]1CCCOc2ccc(Cl)cc21 ZINC000559877927 327267182 /nfs/dbraw/zinc/26/71/82/327267182.db2.gz IYFHMVSEVDUHOZ-LLVKDONJSA-N 1 2 275.726 3.799 20 0 CHADLO Cc1ccc(Cc2noc(C(C)(C)C(C)(C)C)n2)c[nH+]1 ZINC000559945284 327273116 /nfs/dbraw/zinc/27/31/16/327273116.db2.gz OHAPMEURBYFVBR-UHFFFAOYSA-N 1 2 273.380 3.688 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C2=CCCCC2)n1 ZINC000560354593 327302241 /nfs/dbraw/zinc/30/22/41/327302241.db2.gz HHADGMICVJJUEA-UHFFFAOYSA-N 1 2 269.348 3.516 20 0 CHADLO CC[C@@H]([NH2+]C[C@H]1C[C@@H]1c1ccccc1)C(=O)OC(C)(C)C ZINC000560403747 327304806 /nfs/dbraw/zinc/30/48/06/327304806.db2.gz DUQLEAGRZBZDIE-BZUAXINKSA-N 1 2 289.419 3.500 20 0 CHADLO CC(C)([NH2+]Cc1cnc(C2CCC2)s1)c1nccs1 ZINC000150772593 327375999 /nfs/dbraw/zinc/37/59/99/327375999.db2.gz MFFROCCGRPVVSI-UHFFFAOYSA-N 1 2 293.461 3.892 20 0 CHADLO C[C@@H]([NH2+][C@@H]1C[C@H](C)Sc2sccc21)c1csnn1 ZINC000398321071 327383796 /nfs/dbraw/zinc/38/37/96/327383796.db2.gz IJIDLZGBWGKBNS-QXFUBDJGSA-N 1 2 297.474 3.876 20 0 CHADLO CC[C@@H](Nc1[nH+]c2ccccc2n1C)c1ccc(OC)cc1 ZINC000427502566 327387162 /nfs/dbraw/zinc/38/71/62/327387162.db2.gz SASIKKLUDQBENM-OAHLLOKOSA-N 1 2 295.386 3.567 20 0 CHADLO CC[C@H](Nc1[nH+]c2ccccc2n1C)c1ccc(OC)cc1 ZINC000427502565 327387329 /nfs/dbraw/zinc/38/73/29/327387329.db2.gz SASIKKLUDQBENM-HNNXBMFYSA-N 1 2 295.386 3.567 20 0 CHADLO CO[C@H]1C[C@@H](N(C)c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000561356599 327388798 /nfs/dbraw/zinc/38/87/98/327388798.db2.gz UGSYZMFKZMWLLM-PHIMTYICSA-N 1 2 276.767 3.502 20 0 CHADLO COc1nc(C)cc(C)c1CSc1[nH+]cc2ccccn21 ZINC000561441122 327395235 /nfs/dbraw/zinc/39/52/35/327395235.db2.gz RMZHNTNGEXCABA-UHFFFAOYSA-N 1 2 299.399 3.647 20 0 CHADLO Cc1cc[nH+]c(NC[C@H](C)C(F)(F)F)c1Br ZINC000381297556 327434864 /nfs/dbraw/zinc/43/48/64/327434864.db2.gz HARCNQHDCSXDBI-ZETCQYMHSA-N 1 2 297.118 3.763 20 0 CHADLO CC1(C)CCC(CC(=O)NCc2cn3ccccc3[nH+]2)CC1 ZINC000151649166 327485186 /nfs/dbraw/zinc/48/51/86/327485186.db2.gz ZSANUXFGMKIKGY-UHFFFAOYSA-N 1 2 299.418 3.557 20 0 CHADLO CC(C)c1noc(C[NH2+][C@@H](C)c2ccc(C3CC3)cc2)n1 ZINC000562828158 327517911 /nfs/dbraw/zinc/51/79/11/327517911.db2.gz PWKACYCKPWEPHH-LBPRGKRZSA-N 1 2 285.391 3.921 20 0 CHADLO Cc1ccc(C[S@@](=O)[C@@H]2CCc3ccccc3C2)c(C)[nH+]1 ZINC000565231657 327528739 /nfs/dbraw/zinc/52/87/39/327528739.db2.gz AYFIXLOCVKSSKE-WIYYLYMNSA-N 1 2 299.439 3.505 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccnc1Cl)c1ccccn1 ZINC000152349238 327559935 /nfs/dbraw/zinc/55/99/35/327559935.db2.gz QSZZXOLVBAXWNE-WDEREUQCSA-N 1 2 261.756 3.542 20 0 CHADLO Fc1cc(N[C@@H]2CC[C@@H]2C2CC2)ccc1-n1cc[nH+]c1 ZINC000570354568 327585326 /nfs/dbraw/zinc/58/53/26/327585326.db2.gz UIFJJEWYLLHQKM-UKRRQHHQSA-N 1 2 271.339 3.612 20 0 CHADLO Cc1noc(C)c1C(C)(C)[NH2+]Cc1cc(C(C)(C)C)on1 ZINC000351967359 328741661 /nfs/dbraw/zinc/74/16/61/328741661.db2.gz KWWPABFUWUNANM-UHFFFAOYSA-N 1 2 291.395 3.602 20 0 CHADLO Cc1cccc2[nH+]c(CNC3(C(F)F)CCCCC3)cn21 ZINC000352185429 328745629 /nfs/dbraw/zinc/74/56/29/328745629.db2.gz CJENHYMAOCWZGA-UHFFFAOYSA-N 1 2 293.361 3.700 20 0 CHADLO CN(Cc1cn2cc(Cl)ccc2[nH+]1)c1ccccc1F ZINC000532674681 328804666 /nfs/dbraw/zinc/80/46/66/328804666.db2.gz XRMZLWXPPPTXDW-UHFFFAOYSA-N 1 2 289.741 3.763 20 0 CHADLO Cc1ccc(Cc2noc(-c3cccc4cc[nH]c43)n2)c[nH+]1 ZINC000356129895 328860713 /nfs/dbraw/zinc/86/07/13/328860713.db2.gz CQFWPTHNABMLNV-UHFFFAOYSA-N 1 2 290.326 3.512 20 0 CHADLO CC(C)c1nc(N2CCC[C@]3(CC=CCC3)C2)cc[nH+]1 ZINC000358228221 328881229 /nfs/dbraw/zinc/88/12/29/328881229.db2.gz VKXFWZTUZXEZBD-QGZVFWFLSA-N 1 2 271.408 3.927 20 0 CHADLO CC(C)c1nc(C[NH2+]C(C)(C)c2nccs2)cs1 ZINC000116381025 328936417 /nfs/dbraw/zinc/93/64/17/328936417.db2.gz RKERCUROKAPYMF-UHFFFAOYSA-N 1 2 281.450 3.748 20 0 CHADLO Cc1ccc(C[C@H](C)NC(=O)Nc2cc[nH+]c(C)c2)s1 ZINC000119501634 328952021 /nfs/dbraw/zinc/95/20/21/328952021.db2.gz PJIRKNQZWFNIEW-NSHDSACASA-N 1 2 289.404 3.513 20 0 CHADLO CCc1noc([C@H](C)[N@@H+]2Cc3ccccc3C3(CCC3)C2)n1 ZINC000375639705 329004957 /nfs/dbraw/zinc/00/49/57/329004957.db2.gz GDMPWXMGRIFXHP-ZDUSSCGKSA-N 1 2 297.402 3.631 20 0 CHADLO CCc1noc([C@H](C)[N@H+]2Cc3ccccc3C3(CCC3)C2)n1 ZINC000375639705 329004959 /nfs/dbraw/zinc/00/49/59/329004959.db2.gz GDMPWXMGRIFXHP-ZDUSSCGKSA-N 1 2 297.402 3.631 20 0 CHADLO Clc1ccc(C[NH2+]Cc2noc3c2CCCC3)s1 ZINC000377399007 329014109 /nfs/dbraw/zinc/01/41/09/329014109.db2.gz DLHQRKMEZQMHBA-UHFFFAOYSA-N 1 2 282.796 3.558 20 0 CHADLO c1cc2cc(C[NH2+][C@@H]3CCCc4[nH]ncc43)ccc2s1 ZINC000377802393 329030077 /nfs/dbraw/zinc/03/00/77/329030077.db2.gz JFVCTWFWCZBCIA-CQSZACIVSA-N 1 2 283.400 3.792 20 0 CHADLO CC(C)(C)c1cc(C[N@@H+]2CC[C@@H]2c2ccccc2)no1 ZINC000377878556 329032869 /nfs/dbraw/zinc/03/28/69/329032869.db2.gz JXIFFJXNDDTPIP-OAHLLOKOSA-N 1 2 270.376 3.919 20 0 CHADLO CC(C)(C)c1cc(C[N@H+]2CC[C@@H]2c2ccccc2)no1 ZINC000377878556 329032870 /nfs/dbraw/zinc/03/28/70/329032870.db2.gz JXIFFJXNDDTPIP-OAHLLOKOSA-N 1 2 270.376 3.919 20 0 CHADLO Cc1nnc(C[N@@H+]2CCC[C@@H]2/C=C/c2ccccc2)s1 ZINC000378594657 329055868 /nfs/dbraw/zinc/05/58/68/329055868.db2.gz GSEWPRFPNVVULI-BOLDSZDNSA-N 1 2 285.416 3.524 20 0 CHADLO Cc1nnc(C[N@H+]2CCC[C@@H]2/C=C/c2ccccc2)s1 ZINC000378594657 329055869 /nfs/dbraw/zinc/05/58/69/329055869.db2.gz GSEWPRFPNVVULI-BOLDSZDNSA-N 1 2 285.416 3.524 20 0 CHADLO COc1ncccc1C[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000193528516 329058816 /nfs/dbraw/zinc/05/88/16/329058816.db2.gz BVIBLJJFXTZHDS-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO COc1cc(CNc2cccc(-n3cc[nH+]c3)c2)ccc1C ZINC000193585556 329059828 /nfs/dbraw/zinc/05/98/28/329059828.db2.gz JTTMKIGORCZHAE-UHFFFAOYSA-N 1 2 293.370 3.801 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccc(F)cc2Cl)n1 ZINC000171829218 329080244 /nfs/dbraw/zinc/08/02/44/329080244.db2.gz VFOVMTHFVYNUSB-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccc(F)cc2Cl)n1 ZINC000171829218 329080246 /nfs/dbraw/zinc/08/02/46/329080246.db2.gz VFOVMTHFVYNUSB-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO c1c[nH]c(C[N@H+]2Cc3ccccc3[C@@H](c3ccccc3)C2)n1 ZINC000171915205 329082273 /nfs/dbraw/zinc/08/22/73/329082273.db2.gz WWJCKBPUFFXJOE-GOSISDBHSA-N 1 2 289.382 3.557 20 0 CHADLO c1c[nH]c(C[N@@H+]2Cc3ccccc3[C@@H](c3ccccc3)C2)n1 ZINC000171915205 329082274 /nfs/dbraw/zinc/08/22/74/329082274.db2.gz WWJCKBPUFFXJOE-GOSISDBHSA-N 1 2 289.382 3.557 20 0 CHADLO Cc1ccc([C@H]2COCC[N@@H+]2Cc2cc(C)sc2C)o1 ZINC000172027085 329083446 /nfs/dbraw/zinc/08/34/46/329083446.db2.gz ALVPQIPRUAGYQH-OAHLLOKOSA-N 1 2 291.416 3.840 20 0 CHADLO Cc1ccc([C@H]2COCC[N@H+]2Cc2cc(C)sc2C)o1 ZINC000172027085 329083448 /nfs/dbraw/zinc/08/34/48/329083448.db2.gz ALVPQIPRUAGYQH-OAHLLOKOSA-N 1 2 291.416 3.840 20 0 CHADLO CC(C)C(=O)Nc1ccc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)cc1 ZINC000393368125 329092038 /nfs/dbraw/zinc/09/20/38/329092038.db2.gz NCLXQDVYWGFINR-SWLSCSKDSA-N 1 2 298.390 3.596 20 0 CHADLO CC(C)C[C@H]1CCCCCN1C(=O)c1ccc2[nH+]ccn2c1 ZINC000172571728 329137419 /nfs/dbraw/zinc/13/74/19/329137419.db2.gz OIXPFADVVHIFHL-MRXNPFEDSA-N 1 2 299.418 3.765 20 0 CHADLO CCc1cc(N2CCC[C@@H]2c2ccccc2)nc(C)[nH+]1 ZINC000172711243 329137931 /nfs/dbraw/zinc/13/79/31/329137931.db2.gz ACZJBEHJVIXILQ-MRXNPFEDSA-N 1 2 267.376 3.689 20 0 CHADLO CCCc1ncc(C(=O)Nc2c(C)cc(C)[nH+]c2C)s1 ZINC000172724752 329138201 /nfs/dbraw/zinc/13/82/01/329138201.db2.gz GTGYQLUHRGTVFR-UHFFFAOYSA-N 1 2 289.404 3.668 20 0 CHADLO Cc1cc(N2C[C@@H](C)[C@@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000172789226 329138683 /nfs/dbraw/zinc/13/86/83/329138683.db2.gz YZROCJCPVDDDCP-SJKOYZFVSA-N 1 2 279.387 3.860 20 0 CHADLO O=C(CC1CCCCCC1)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000175961682 329172930 /nfs/dbraw/zinc/17/29/30/329172930.db2.gz LPZZKGFSMOOUAO-HNNXBMFYSA-N 1 2 289.423 3.824 20 0 CHADLO CCc1cnc(C[N@H+](C)[C@@H]2CCc3ccc(F)cc32)s1 ZINC000177844876 329193010 /nfs/dbraw/zinc/19/30/10/329193010.db2.gz DSQIJYBACPTJBZ-OAHLLOKOSA-N 1 2 290.407 3.964 20 0 CHADLO CCc1cnc(C[N@@H+](C)[C@@H]2CCc3ccc(F)cc32)s1 ZINC000177844876 329193011 /nfs/dbraw/zinc/19/30/11/329193011.db2.gz DSQIJYBACPTJBZ-OAHLLOKOSA-N 1 2 290.407 3.964 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1noc(-c2ccccc2)n1)c1ccncc1 ZINC000179389983 329204795 /nfs/dbraw/zinc/20/47/95/329204795.db2.gz YGLQJWOSKQEBFB-OLZOCXBDSA-N 1 2 294.358 3.543 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2CC23CCCCC3)c(C)[nH+]1 ZINC000179403724 329205319 /nfs/dbraw/zinc/20/53/19/329205319.db2.gz WFUYCBPJAZPQNH-AWEZNQCLSA-N 1 2 273.380 3.543 20 0 CHADLO CCCCC[C@@H](CC)C(=O)N1CCC(n2cc[nH+]c2)CC1 ZINC000180119905 329212005 /nfs/dbraw/zinc/21/20/05/329212005.db2.gz IHPRNZSRAIIVQR-OAHLLOKOSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N1CCC(c2ccccc2)CC1 ZINC000179856946 329212762 /nfs/dbraw/zinc/21/27/62/329212762.db2.gz OBSYWYRSYGKNSS-UHFFFAOYSA-N 1 2 295.386 3.802 20 0 CHADLO Cc1ccc(F)cc1C[N@@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000180020197 329214432 /nfs/dbraw/zinc/21/44/32/329214432.db2.gz SMBYIZURIPTEQV-OAHLLOKOSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1ccc(F)cc1C[N@H+]1CCCC[C@@H]1c1ncc[nH]1 ZINC000180020197 329214434 /nfs/dbraw/zinc/21/44/34/329214434.db2.gz SMBYIZURIPTEQV-OAHLLOKOSA-N 1 2 273.355 3.584 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@H+](C)Cc1nnc(C2CC2)[nH]1 ZINC000180402870 329215828 /nfs/dbraw/zinc/21/58/28/329215828.db2.gz LVEFAEINRNRVLY-SNVBAGLBSA-N 1 2 290.798 3.529 20 0 CHADLO C[C@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1nnc(C2CC2)[nH]1 ZINC000180402870 329215830 /nfs/dbraw/zinc/21/58/30/329215830.db2.gz LVEFAEINRNRVLY-SNVBAGLBSA-N 1 2 290.798 3.529 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1nnc(C2CC2)[nH]1 ZINC000180402854 329215852 /nfs/dbraw/zinc/21/58/52/329215852.db2.gz LVEFAEINRNRVLY-JTQLQIEISA-N 1 2 290.798 3.529 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1nnc(C2CC2)[nH]1 ZINC000180402854 329215854 /nfs/dbraw/zinc/21/58/54/329215854.db2.gz LVEFAEINRNRVLY-JTQLQIEISA-N 1 2 290.798 3.529 20 0 CHADLO Cc1cnc(C[N@H+](C)CCOc2cccc(Cl)c2)s1 ZINC000180406319 329216125 /nfs/dbraw/zinc/21/61/25/329216125.db2.gz FSSNDHWVHWBSLB-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1cnc(C[N@@H+](C)CCOc2cccc(Cl)c2)s1 ZINC000180406319 329216127 /nfs/dbraw/zinc/21/61/27/329216127.db2.gz FSSNDHWVHWBSLB-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1noc(C)c1C[NH2+]Cc1ccc(Oc2ccccc2)o1 ZINC000180820375 329223152 /nfs/dbraw/zinc/22/31/52/329223152.db2.gz PSIZFSGQPQLHCX-UHFFFAOYSA-N 1 2 298.342 3.967 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nnc(C3CCC3)n2C)cc1 ZINC000181102201 329226118 /nfs/dbraw/zinc/22/61/18/329226118.db2.gz JZHFPIZVKHGWEY-CQSZACIVSA-N 1 2 298.434 3.584 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nnc(C3CCC3)n2C)cc1 ZINC000181102201 329226120 /nfs/dbraw/zinc/22/61/20/329226120.db2.gz JZHFPIZVKHGWEY-CQSZACIVSA-N 1 2 298.434 3.584 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(-c2cccs2)o1)c1cccnc1 ZINC000182891491 329245678 /nfs/dbraw/zinc/24/56/78/329245678.db2.gz GDYPINMILPVZJE-NSHDSACASA-N 1 2 285.372 3.649 20 0 CHADLO CSc1cccc(N(C)Cc2cccc3[nH+]ccn32)c1 ZINC000184749807 329260945 /nfs/dbraw/zinc/26/09/45/329260945.db2.gz SPUVAAFSBRVFNA-UHFFFAOYSA-N 1 2 283.400 3.693 20 0 CHADLO Cc1noc(C)c1CSCc1ccc(C)[nH+]c1C ZINC000184802518 329262881 /nfs/dbraw/zinc/26/28/81/329262881.db2.gz QBFCWYKNMCWYKS-UHFFFAOYSA-N 1 2 262.378 3.737 20 0 CHADLO Cc1cc[nH+]cc1NCc1cn(C)nc1C1CCCCC1 ZINC000184895399 329263674 /nfs/dbraw/zinc/26/36/74/329263674.db2.gz FXJGAJWUWCDDJQ-UHFFFAOYSA-N 1 2 284.407 3.783 20 0 CHADLO Cc1ccn(-c2ccc([NH2+]CC3CCCC3)cc2)n1 ZINC000582919843 329288989 /nfs/dbraw/zinc/28/89/89/329288989.db2.gz ALPZZPOFBDHEHO-UHFFFAOYSA-N 1 2 255.365 3.783 20 0 CHADLO Cc1cccc2c1cc[nH+]c2NCc1n[nH]c(C(C)(C)C)n1 ZINC000582961634 329290274 /nfs/dbraw/zinc/29/02/74/329290274.db2.gz HZYQFHMMFHESHL-UHFFFAOYSA-N 1 2 295.390 3.571 20 0 CHADLO C[C@@H](CCC1CCCCC1)NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000582968429 329295785 /nfs/dbraw/zinc/29/57/85/329295785.db2.gz QRSIWIVURSUXIR-GJZGRUSLSA-N 1 2 291.439 3.699 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(Br)c(F)c2)c1 ZINC000227603834 329328517 /nfs/dbraw/zinc/32/85/17/329328517.db2.gz QSDADHWUCVTEAX-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cc1cc(N2Cc3ccccc3C3(CC3)C2)nc(C2CC2)[nH+]1 ZINC000186374656 329343762 /nfs/dbraw/zinc/34/37/62/329343762.db2.gz ZGOYCHJABBLZQL-UHFFFAOYSA-N 1 2 291.398 3.714 20 0 CHADLO Cc1nc(C[N@@H+]2CCc3c(C)cc(C)cc3C2)cs1 ZINC000186722534 329348245 /nfs/dbraw/zinc/34/82/45/329348245.db2.gz KAKUNRVBGUPUPZ-UHFFFAOYSA-N 1 2 272.417 3.627 20 0 CHADLO Cc1nc(C[N@H+]2CCc3c(C)cc(C)cc3C2)cs1 ZINC000186722534 329348246 /nfs/dbraw/zinc/34/82/46/329348246.db2.gz KAKUNRVBGUPUPZ-UHFFFAOYSA-N 1 2 272.417 3.627 20 0 CHADLO Cc1ccc(C[S@](=O)CC2CCCCC2)c(C)[nH+]1 ZINC000187070409 329352029 /nfs/dbraw/zinc/35/20/29/329352029.db2.gz YSLCSRMJTHGZSL-GOSISDBHSA-N 1 2 265.422 3.527 20 0 CHADLO CSCCCCCNc1cc(C)[nH+]c(C(C)C)n1 ZINC000229570409 329355026 /nfs/dbraw/zinc/35/50/26/329355026.db2.gz ICJRNUJMPXPQIT-UHFFFAOYSA-N 1 2 267.442 3.854 20 0 CHADLO Cc1ccc(C[S@](=O)CCCc2ccccc2)c(C)[nH+]1 ZINC000187209792 329355079 /nfs/dbraw/zinc/35/50/79/329355079.db2.gz ISMGJGRNXWAEEQ-HXUWFJFHSA-N 1 2 287.428 3.580 20 0 CHADLO COc1c(C)ccc(NCc2ccc(C)[nH+]c2C)c1C ZINC000187714760 329362730 /nfs/dbraw/zinc/36/27/30/329362730.db2.gz UENXJSFYBWBSQD-UHFFFAOYSA-N 1 2 270.376 3.936 20 0 CHADLO Cc1[nH+]cccc1NCc1cccc(Br)c1 ZINC000085712136 329369584 /nfs/dbraw/zinc/36/95/84/329369584.db2.gz GZOPCXRNAXITME-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO CC([NH2+]Cc1ncccn1)(c1ccccc1)c1ccccc1 ZINC000192156302 329429738 /nfs/dbraw/zinc/42/97/38/329429738.db2.gz BYHRGSDTOIZATF-UHFFFAOYSA-N 1 2 289.382 3.530 20 0 CHADLO Cc1cc(C)c2c(c1)[C@H]([NH2+]Cc1cscn1)CCCO2 ZINC000127389803 329599230 /nfs/dbraw/zinc/59/92/30/329599230.db2.gz OABKMEKKDIBLOQ-OAHLLOKOSA-N 1 2 288.416 3.763 20 0 CHADLO COc1cc[nH+]cc1COc1cccc2c1CCC(C)(C)O2 ZINC000564078156 329667195 /nfs/dbraw/zinc/66/71/95/329667195.db2.gz FMUNKGNZKAWZJO-UHFFFAOYSA-N 1 2 299.370 3.773 20 0 CHADLO Cc1nc(N2CCC[C@@H](c3ccccc3)C2)c(C)c(C)[nH+]1 ZINC000431498834 329709957 /nfs/dbraw/zinc/70/99/57/329709957.db2.gz BTFCNUBTTORPJL-QGZVFWFLSA-N 1 2 281.403 3.786 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H](C)CC(C)(C)C)n1 ZINC000420511858 329773017 /nfs/dbraw/zinc/77/30/17/329773017.db2.gz QDWUYGMRGQLKFF-NSHDSACASA-N 1 2 273.380 3.904 20 0 CHADLO c1cc2[nH+]ccc(N3CC[C@H](C4CCCC4)C3)c2cn1 ZINC000420620421 329779371 /nfs/dbraw/zinc/77/93/71/329779371.db2.gz QEWJPSIAYHVGJF-AWEZNQCLSA-N 1 2 267.376 3.646 20 0 CHADLO CC[C@H]1C[C@H](Nc2[nH+]ccc(C)c2Br)CCO1 ZINC000420624165 329779771 /nfs/dbraw/zinc/77/97/71/329779771.db2.gz ACWVKUSQDOSEDK-MNOVXSKESA-N 1 2 299.212 3.522 20 0 CHADLO Cc1csc2ncnc(NCc3cc(C)[nH+]c(C)c3)c12 ZINC000420652549 329780856 /nfs/dbraw/zinc/78/08/56/329780856.db2.gz MPSJXCXZRFVGRK-UHFFFAOYSA-N 1 2 284.388 3.624 20 0 CHADLO Cc1c(Cl)c(C[NH2+][C@@H](C)c2ccc(F)cc2F)nn1C ZINC000421337332 329813498 /nfs/dbraw/zinc/81/34/98/329813498.db2.gz YRCBVJWDEQNVEN-QMMMGPOBSA-N 1 2 299.752 3.511 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2cccc(C3CC3)c2)s1 ZINC000421367761 329823951 /nfs/dbraw/zinc/82/39/51/329823951.db2.gz UHCSOBFDVCPQDG-UHFFFAOYSA-N 1 2 287.432 3.829 20 0 CHADLO Cc1cc(CNC(=O)[C@H](c2ccccc2)C(C)C)cc(C)[nH+]1 ZINC000421579614 329843574 /nfs/dbraw/zinc/84/35/74/329843574.db2.gz UQEYPNJMCODIQM-SFHVURJKSA-N 1 2 296.414 3.754 20 0 CHADLO CC(C)(C)OCC[NH+](Cc1ccco1)Cc1ccco1 ZINC000067715907 329851368 /nfs/dbraw/zinc/85/13/68/329851368.db2.gz MKVLWFLYQCMRLG-UHFFFAOYSA-N 1 2 277.364 3.690 20 0 CHADLO Cc1ccccc1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000074829754 329865359 /nfs/dbraw/zinc/86/53/59/329865359.db2.gz YLPMZBDLCFWWOZ-UHFFFAOYSA-N 1 2 277.327 3.637 20 0 CHADLO Cc1cc(-c2nc(CCc3[nH+]cccc3C)no2)sc1C ZINC000571853304 329926235 /nfs/dbraw/zinc/92/62/35/329926235.db2.gz CHUHEIASXMDZPC-UHFFFAOYSA-N 1 2 299.399 3.904 20 0 CHADLO Cc1nnc(SCCc2[nH+]cc(C)cc2C)n1C(C)C ZINC000571917631 329931198 /nfs/dbraw/zinc/93/11/98/329931198.db2.gz FRPIPSYGXKNQMG-UHFFFAOYSA-N 1 2 290.436 3.514 20 0 CHADLO Cc1ccc(C)c(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC000161818245 329956218 /nfs/dbraw/zinc/95/62/18/329956218.db2.gz ZUSUNPAPHGRLGB-UHFFFAOYSA-N 1 2 291.354 3.946 20 0 CHADLO COc1cc(Cl)ccc1[C@@H](C)[NH2+]Cc1nccs1 ZINC000421821397 330086960 /nfs/dbraw/zinc/08/69/60/330086960.db2.gz REHNRKBWDXWRGY-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nccs1)c1ccncc1Cl ZINC000421853461 330088641 /nfs/dbraw/zinc/08/86/41/330088641.db2.gz JITKRCINIPCPLP-BDAKNGLRSA-N 1 2 267.785 3.603 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccncc2Cl)cs1 ZINC000421831755 330090890 /nfs/dbraw/zinc/09/08/90/330090890.db2.gz LWCPLGDXMLVBRX-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO C[C@H]([NH2+]CCN1[C@H](C)CCC[C@@H]1C)c1ccncc1Cl ZINC000421835549 330092028 /nfs/dbraw/zinc/09/20/28/330092028.db2.gz PXBKXZMSRQIWKS-MJBXVCDLSA-N 1 2 295.858 3.649 20 0 CHADLO Cc1cc(CNC(=O)C2=C(C)CC(C)(C)CC2)cc(C)[nH+]1 ZINC000421919040 330109360 /nfs/dbraw/zinc/10/93/60/330109360.db2.gz QGHXXWFXEWJCQJ-UHFFFAOYSA-N 1 2 286.419 3.841 20 0 CHADLO CCN(C(=O)NCc1cc(C)[nH+]c(C)c1)C1CCCCC1 ZINC000422206782 330134575 /nfs/dbraw/zinc/13/45/75/330134575.db2.gz LHLYSKJEBNMJII-UHFFFAOYSA-N 1 2 289.423 3.563 20 0 CHADLO CC(C)[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1cccnc1 ZINC000423217839 330179242 /nfs/dbraw/zinc/17/92/42/330179242.db2.gz QPQAWEKZRFCMEF-KRWDZBQOSA-N 1 2 267.376 3.859 20 0 CHADLO Cc1nc2c(s1)[C@@H](Nc1ccc3c(c1)CC[NH2+]3)CCC2 ZINC000423220211 330179513 /nfs/dbraw/zinc/17/95/13/330179513.db2.gz VAVYGZURIRJHIF-HNNXBMFYSA-N 1 2 285.416 3.909 20 0 CHADLO Fc1ccc2c(c1)[C@@H](Nc1ccc3c(c1)CC[NH2+]3)CC2 ZINC000423221983 330179843 /nfs/dbraw/zinc/17/98/43/330179843.db2.gz ZAIRZJZQRXSRQX-KRWDZBQOSA-N 1 2 268.335 3.893 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1coc(-c2ccccc2)n1 ZINC000528766219 330263359 /nfs/dbraw/zinc/26/33/59/330263359.db2.gz JQJBXGYTXOSPBO-LBPRGKRZSA-N 1 2 292.329 3.961 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1coc(-c2ccccc2)n1 ZINC000528766219 330263360 /nfs/dbraw/zinc/26/33/60/330263360.db2.gz JQJBXGYTXOSPBO-LBPRGKRZSA-N 1 2 292.329 3.961 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C[C@H](C)c3ccco3)cc2[nH+]1 ZINC000527763686 330281507 /nfs/dbraw/zinc/28/15/07/330281507.db2.gz LQNVOWFVEBGZEU-JTQLQIEISA-N 1 2 283.331 3.597 20 0 CHADLO COc1ccc[nH+]c1NCc1ccccc1N1CCCCC1 ZINC000527958405 330294719 /nfs/dbraw/zinc/29/47/19/330294719.db2.gz VOFRMIBMJBVUNX-UHFFFAOYSA-N 1 2 297.402 3.693 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1cccc(C2CCOCC2)c1 ZINC000527995185 330299462 /nfs/dbraw/zinc/29/94/62/330299462.db2.gz MPOLMLQSKPZXGF-CQSZACIVSA-N 1 2 299.418 3.970 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CC[C@@H](Cc2ccncc2)C1 ZINC000527960139 330300530 /nfs/dbraw/zinc/30/05/30/330300530.db2.gz ULGVMJGDTBPWDF-INIZCTEOSA-N 1 2 289.382 3.699 20 0 CHADLO Cc1ccncc1[C@H]1CCN(c2[nH+]ccc3ccccc32)C1 ZINC000528034268 330302341 /nfs/dbraw/zinc/30/23/41/330302341.db2.gz UYECHKLFPIRZOK-INIZCTEOSA-N 1 2 289.382 3.932 20 0 CHADLO C[C@@H](Nc1cc2c(cc1Cl)CCC2)c1[nH+]ccn1C ZINC000528007617 330303546 /nfs/dbraw/zinc/30/35/46/330303546.db2.gz ZYSBHGDNZIVXHW-SNVBAGLBSA-N 1 2 275.783 3.735 20 0 CHADLO COc1ccc[nH+]c1NCc1ccc(C(C)(F)F)cc1 ZINC000528023800 330304773 /nfs/dbraw/zinc/30/47/73/330304773.db2.gz AICXURGUFRFWPP-UHFFFAOYSA-N 1 2 278.302 3.814 20 0 CHADLO C[C@H]1CN(c2[nH+]ccc3ccccc32)C[C@H](C)C1(F)F ZINC000528094561 330307701 /nfs/dbraw/zinc/30/77/01/330307701.db2.gz ULHCJUPJAIDNRJ-RYUDHWBXSA-N 1 2 276.330 3.962 20 0 CHADLO CC(C)n1c[nH+]cc1CNC1(C(F)F)CCCCC1 ZINC000424171172 330311152 /nfs/dbraw/zinc/31/11/52/330311152.db2.gz IZKJYCYKDRDWMY-UHFFFAOYSA-N 1 2 271.355 3.522 20 0 CHADLO CC[C@H]([NH2+][C@@H](c1ccc(Cl)cc1)C(C)C)C(=O)OC ZINC000106032186 330331163 /nfs/dbraw/zinc/33/11/63/330331163.db2.gz HCCMSTCKFCMDCI-UONOGXRCSA-N 1 2 283.799 3.578 20 0 CHADLO C[C@H]([NH2+]C1CCC(OC2CCCC2)CC1)C(F)(F)F ZINC000424323401 330340602 /nfs/dbraw/zinc/34/06/02/330340602.db2.gz MBIVJMIMSWVRSX-ZBOXLXRLSA-N 1 2 279.346 3.797 20 0 CHADLO C[C@H]([NH2+][C@@H]1C[C@]1(F)c1ccccc1)c1ccncc1F ZINC000424327392 330341466 /nfs/dbraw/zinc/34/14/66/330341466.db2.gz PUQZXAQBICWGAC-XZJROXQQSA-N 1 2 274.314 3.509 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H]2CCCC[C@H]2C)n1 ZINC000424360472 330346880 /nfs/dbraw/zinc/34/68/80/330346880.db2.gz JTMLXLAURMXRFC-BXUZGUMPSA-N 1 2 271.364 3.658 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(C(C)(C)C)cc1 ZINC000107293332 330348796 /nfs/dbraw/zinc/34/87/96/330348796.db2.gz OCVJIGWMDITAOX-UHFFFAOYSA-N 1 2 268.360 3.940 20 0 CHADLO CC[C@]1(C)CCN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000533179768 330352041 /nfs/dbraw/zinc/35/20/41/330352041.db2.gz DVVBNWXYHHKKCE-GFCCVEGCSA-N 1 2 258.287 3.727 20 0 CHADLO Clc1ccc2[nH+]c(CSC[C@H]3CCCOC3)cn2c1 ZINC000533200073 330353164 /nfs/dbraw/zinc/35/31/64/330353164.db2.gz XRUDICRXEALKKA-NSHDSACASA-N 1 2 296.823 3.648 20 0 CHADLO Cc1cc(NC(=O)c2cccc(Cl)c2C)cc[nH+]1 ZINC000082262125 330353856 /nfs/dbraw/zinc/35/38/56/330353856.db2.gz FDESZQYWWHZXPM-UHFFFAOYSA-N 1 2 260.724 3.604 20 0 CHADLO Cc1ccc(NC(=O)Cc2c[nH]c3cccc(C)c23)c(C)[nH+]1 ZINC000119048266 330369373 /nfs/dbraw/zinc/36/93/73/330369373.db2.gz UFDCQWXLGDHQFD-UHFFFAOYSA-N 1 2 293.370 3.669 20 0 CHADLO Cc1ccc(NC(=O)CCc2ccc(Cl)cc2)c(C)[nH+]1 ZINC000119246488 330372240 /nfs/dbraw/zinc/37/22/40/330372240.db2.gz BZSNBECKZZPSRV-UHFFFAOYSA-N 1 2 288.778 3.923 20 0 CHADLO CC(C)CO[C@H]1CC[N@H+](Cc2csc(C(C)(C)C)n2)C1 ZINC000119832764 330379180 /nfs/dbraw/zinc/37/91/80/330379180.db2.gz VCACFTCZAISPTM-AWEZNQCLSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)CO[C@H]1CC[N@@H+](Cc2csc(C(C)(C)C)n2)C1 ZINC000119832764 330379181 /nfs/dbraw/zinc/37/91/81/330379181.db2.gz VCACFTCZAISPTM-AWEZNQCLSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)(C)c1[nH]c2ccc(NC(=O)[C@]3(C)C[C@H]3F)cc2[nH+]1 ZINC000424567115 330379389 /nfs/dbraw/zinc/37/93/89/330379389.db2.gz QLIMKHIKZIBALY-MLGOLLRUSA-N 1 2 289.354 3.547 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](C)CC(=O)c1cccn1C ZINC000058006031 330382058 /nfs/dbraw/zinc/38/20/58/330382058.db2.gz JUUBHNMJTKVCGZ-GFCCVEGCSA-N 1 2 290.794 3.554 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](C)CC(=O)c1cccn1C ZINC000058006031 330382059 /nfs/dbraw/zinc/38/20/59/330382059.db2.gz JUUBHNMJTKVCGZ-GFCCVEGCSA-N 1 2 290.794 3.554 20 0 CHADLO Fc1cccc(Cn2nc(C(F)(F)F)c3c[nH+]ccc32)c1 ZINC000089506552 330385589 /nfs/dbraw/zinc/38/55/89/330385589.db2.gz NNBRPATWXXFFMW-UHFFFAOYSA-N 1 2 295.239 3.638 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+]Cc2ccn(-c3ccc(F)cc3)n2)o1 ZINC000090943886 330397240 /nfs/dbraw/zinc/39/72/40/330397240.db2.gz RQDUVTWHKDIPOS-CYBMUJFWSA-N 1 2 299.349 3.764 20 0 CHADLO C[N@H+](Cc1csnn1)Cc1ccccc1-c1ccccc1 ZINC000121158895 330398212 /nfs/dbraw/zinc/39/82/12/330398212.db2.gz BJWOMZXVKOXHFR-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO C[N@@H+](Cc1csnn1)Cc1ccccc1-c1ccccc1 ZINC000121158895 330398213 /nfs/dbraw/zinc/39/82/13/330398213.db2.gz BJWOMZXVKOXHFR-UHFFFAOYSA-N 1 2 295.411 3.837 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@H]1CCC[C@@H](C(C)C)C1 ZINC000121433979 330401249 /nfs/dbraw/zinc/40/12/49/330401249.db2.gz ROCQJTTYLFJMBD-KGLIPLIRSA-N 1 2 275.396 3.726 20 0 CHADLO Oc1ccc(C[NH+](Cc2ccco2)Cc2ccco2)cc1 ZINC000093015368 330415764 /nfs/dbraw/zinc/41/57/64/330415764.db2.gz MYGZINNHLAOLKX-UHFFFAOYSA-N 1 2 283.327 3.781 20 0 CHADLO Fc1ccc(SCc2cn3ccccc3[nH+]2)c(F)c1 ZINC000060995064 330427484 /nfs/dbraw/zinc/42/74/84/330427484.db2.gz FLJQSUAGXGPERF-UHFFFAOYSA-N 1 2 276.311 3.905 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2nn(C)cc2Cl)s1 ZINC000393337681 330430447 /nfs/dbraw/zinc/43/04/47/330430447.db2.gz UPCRRHIPJIQNDN-VIFPVBQESA-N 1 2 283.828 3.548 20 0 CHADLO C[C@H]1CC=C(c2nc(Cc3cn4ccccc4[nH+]3)no2)CC1 ZINC000516248067 330490224 /nfs/dbraw/zinc/49/02/24/330490224.db2.gz MDIIAHRCMSYYJW-LBPRGKRZSA-N 1 2 294.358 3.512 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@@H](CC)c2nc(C)cs2)o1 ZINC000428353098 330521188 /nfs/dbraw/zinc/52/11/88/330521188.db2.gz SVFUTLVXFQUBLG-NSHDSACASA-N 1 2 279.409 3.551 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H]1CCC(C)(C)C1)c1ccc(F)c(C)c1 ZINC000428390265 330522194 /nfs/dbraw/zinc/52/21/94/330522194.db2.gz NZJLZIKSNVQYSB-ZFWWWQNUSA-N 1 2 293.382 3.517 20 0 CHADLO CCN(Cc1cccs1)c1[nH+]c2ccc(F)cc2n1C ZINC000431021407 330577915 /nfs/dbraw/zinc/57/79/15/330577915.db2.gz IYNORPUFRMXKGT-UHFFFAOYSA-N 1 2 289.379 3.800 20 0 CHADLO Clc1ccc(Cl)c(OCCOc2cc[nH+]cc2)c1 ZINC000431487289 330585333 /nfs/dbraw/zinc/58/53/33/330585333.db2.gz YPGRHJONESKDHQ-UHFFFAOYSA-N 1 2 284.142 3.846 20 0 CHADLO CCc1ccc([C@H]2CCN(c3cc[nH+]c(C4CC4)n3)C2)cc1 ZINC000431493673 330585624 /nfs/dbraw/zinc/58/56/24/330585624.db2.gz CKOFPALJDYBZIG-KRWDZBQOSA-N 1 2 293.414 3.910 20 0 CHADLO CCOc1ccc2c(c1)CCN(c1cc[nH+]c(C(C)C)n1)C2 ZINC000433518578 330628637 /nfs/dbraw/zinc/62/86/37/330628637.db2.gz QTIQYMSGZXYRCX-UHFFFAOYSA-N 1 2 297.402 3.561 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@H](C)C[C@@H]2c2ccco2)[nH+]1 ZINC000433767050 330630854 /nfs/dbraw/zinc/63/08/54/330630854.db2.gz STFXSKNZKPJVIZ-SMDDNHRTSA-N 1 2 296.374 3.837 20 0 CHADLO Cc1nc2[nH]ccc2c(N(C)[C@@H](C)c2ccccc2F)[nH+]1 ZINC000433777851 330631076 /nfs/dbraw/zinc/63/10/76/330631076.db2.gz QLKDAPSAIIRFFW-JTQLQIEISA-N 1 2 284.338 3.603 20 0 CHADLO Cc1c2ccccc2oc1[C@@H](C)[NH2+]Cc1ncnn1C(C)C ZINC000434473262 330639536 /nfs/dbraw/zinc/63/95/36/330639536.db2.gz DQCAMLFSEPHUEZ-CYBMUJFWSA-N 1 2 298.390 3.764 20 0 CHADLO C[C@@H]1C[C@@H](C)C[NH+](Cc2ncc(C(F)(F)F)s2)C1 ZINC000434832150 330645724 /nfs/dbraw/zinc/64/57/24/330645724.db2.gz CCISUVRYTHQPMO-RKDXNWHRSA-N 1 2 278.343 3.640 20 0 CHADLO Cc1nc(N[C@@H]2CCCC[C@H]2OCCC(C)C)cc[nH+]1 ZINC000435602290 330658808 /nfs/dbraw/zinc/65/88/08/330658808.db2.gz UEDPZVAQVUSJEC-HUUCEWRRSA-N 1 2 277.412 3.571 20 0 CHADLO C[C@H]([NH2+][C@H]1CC[C@@H](c2ccccc2)C1)C(=O)OC(C)(C)C ZINC000438072247 330714862 /nfs/dbraw/zinc/71/48/62/330714862.db2.gz LMHTZSYUMDPSOA-IMJJTQAJSA-N 1 2 289.419 3.643 20 0 CHADLO Cn1c[nH+]cc1COc1ccccc1-c1ccccc1 ZINC000439549252 330740281 /nfs/dbraw/zinc/74/02/81/330740281.db2.gz KTBOLBOZHGZVRJ-UHFFFAOYSA-N 1 2 264.328 3.666 20 0 CHADLO CCc1cc2c(ncnc2N[C@@H](CC)c2[nH]cc[nH+]2)s1 ZINC000439646805 330741606 /nfs/dbraw/zinc/74/16/06/330741606.db2.gz OXDXJJJIHMSMRX-NSHDSACASA-N 1 2 287.392 3.540 20 0 CHADLO Cc1cc(N)nc(S[C@@H]2CCc3c2cccc3Cl)[nH+]1 ZINC000443521045 330800826 /nfs/dbraw/zinc/80/08/26/330800826.db2.gz MAIQHAFCNOASSG-GFCCVEGCSA-N 1 2 291.807 3.631 20 0 CHADLO Cn1c[nH+]cc1CN1C[C@H](C(C)(C)C)c2ccccc21 ZINC000444785008 330825486 /nfs/dbraw/zinc/82/54/86/330825486.db2.gz PIRBMCIKQWAAEA-HNNXBMFYSA-N 1 2 269.392 3.570 20 0 CHADLO Cc1ccc(NC(=O)[C@]2(C)CCc3ccccc3C2)c(C)[nH+]1 ZINC000446436424 330849011 /nfs/dbraw/zinc/84/90/11/330849011.db2.gz QVLQRAGSOCSNLI-LJQANCHMSA-N 1 2 294.398 3.832 20 0 CHADLO Cc1ccc2c(c1)CC[C@@H]2NC(=O)Nc1ccc(C)[nH+]c1C ZINC000447165086 330862422 /nfs/dbraw/zinc/86/24/22/330862422.db2.gz GJNVZNMXVJWBLX-KRWDZBQOSA-N 1 2 295.386 3.816 20 0 CHADLO CCOCC(C)(C)CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000336815053 330862562 /nfs/dbraw/zinc/86/25/62/330862562.db2.gz YVCLASUFOTZSDW-UHFFFAOYSA-N 1 2 276.302 3.575 20 0 CHADLO Cc1ccc(NC(=O)N[C@H]2C[C@@H]2C2CCCCC2)c(C)[nH+]1 ZINC000447192411 330862795 /nfs/dbraw/zinc/86/27/95/330862795.db2.gz OAOGFHIEWTWCCW-ZBFHGGJFSA-N 1 2 287.407 3.789 20 0 CHADLO CCc1cc(N)nc(S[C@@H](CC)c2ccc(F)cc2)[nH+]1 ZINC000447790974 330876848 /nfs/dbraw/zinc/87/68/48/330876848.db2.gz DTSLFPXUCLDUOY-ZDUSSCGKSA-N 1 2 291.395 3.834 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2nc(-c3ccccc3)c[nH]2)cs1 ZINC000447846508 330878484 /nfs/dbraw/zinc/87/84/84/330878484.db2.gz AUVBMEFTJKDDPJ-NSHDSACASA-N 1 2 298.415 3.692 20 0 CHADLO CCC(C)(C)c1ccc(NCc2[nH+]ccn2C)cc1 ZINC000447892610 330879351 /nfs/dbraw/zinc/87/93/51/330879351.db2.gz WEDLOUYXEFZDNL-UHFFFAOYSA-N 1 2 257.381 3.720 20 0 CHADLO CCC[C@@H]1CCC[C@@H]1C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000448837217 330885415 /nfs/dbraw/zinc/88/54/15/330885415.db2.gz SKNKUJPFMLEMPB-ILXRZTDVSA-N 1 2 289.423 3.680 20 0 CHADLO Cc1cccc(C[NH2+][C@H](C)c2nc(C(C)(C)C)no2)c1F ZINC000449280356 330911376 /nfs/dbraw/zinc/91/13/76/330911376.db2.gz XLUCCFKTHSUTGP-LLVKDONJSA-N 1 2 291.370 3.665 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCc2cc(OC)ccc21)C(F)F ZINC000449360448 330918686 /nfs/dbraw/zinc/91/86/86/330918686.db2.gz JGLJOFZRAXIDHG-ZIAGYGMSSA-N 1 2 269.335 3.706 20 0 CHADLO FCC(CF)[NH2+][C@@H](Cc1ccccc1)c1ccccc1 ZINC000449381169 330921023 /nfs/dbraw/zinc/92/10/23/330921023.db2.gz QMUGLRIMWCPCBN-KRWDZBQOSA-N 1 2 275.342 3.868 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C2CCCC2)s1)C(C)(F)F ZINC000449415720 330923821 /nfs/dbraw/zinc/92/38/21/330923821.db2.gz QLWGCBFPYSCOLI-VIFPVBQESA-N 1 2 274.380 3.934 20 0 CHADLO Cc1ccc(-c2ncc(C[NH2+][C@H](C)C(C)(F)F)s2)o1 ZINC000449415097 330923892 /nfs/dbraw/zinc/92/38/92/330923892.db2.gz NZRKNWFURMAJOP-SECBINFHSA-N 1 2 286.347 3.845 20 0 CHADLO FC(F)n1ccc(C[NH+]2CC(c3ccc(Cl)cc3)C2)n1 ZINC000449555189 330936232 /nfs/dbraw/zinc/93/62/32/330936232.db2.gz FZUJMYKTJNYZQS-UHFFFAOYSA-N 1 2 297.736 3.531 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1cc2cc(F)ccc2o1 ZINC000449563354 330937082 /nfs/dbraw/zinc/93/70/82/330937082.db2.gz UPYORPKDJSOHDF-LLVKDONJSA-N 1 2 287.338 3.639 20 0 CHADLO CCC[C@H](CC(C)C)C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1 ZINC000449837994 330951661 /nfs/dbraw/zinc/95/16/61/330951661.db2.gz YREAXOQOAJRTTJ-HUUCEWRRSA-N 1 2 291.439 3.578 20 0 CHADLO CCC(CC)Oc1ccc(C(=O)Nc2cc[nH+]cc2C)cc1 ZINC000449849642 330952128 /nfs/dbraw/zinc/95/21/28/330952128.db2.gz NYJDOAIWQROKGR-UHFFFAOYSA-N 1 2 298.386 3.632 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000450328847 330972869 /nfs/dbraw/zinc/97/28/69/330972869.db2.gz PMSRIWRNIZGVCX-AGIUHOORSA-N 1 2 288.391 3.634 20 0 CHADLO Cc1cc2nc(N[C@@H](c3[nH]cc[nH+]3)C(C)C)oc2c(C)c1 ZINC000450512451 330984469 /nfs/dbraw/zinc/98/44/69/330984469.db2.gz SZIZJDSIQSDNSL-CYBMUJFWSA-N 1 2 284.363 3.977 20 0 CHADLO C[C@H](CCCCO)Nc1cc[nH+]c2c(Cl)cccc12 ZINC000450651751 330991418 /nfs/dbraw/zinc/99/14/18/330991418.db2.gz PRYSIAHXRNNZGM-LLVKDONJSA-N 1 2 278.783 3.851 20 0 CHADLO C[C@@H]1CC(O)C[C@@H](C)[NH+]1Cc1cc(Cl)sc1Cl ZINC000450813088 331000813 /nfs/dbraw/zinc/00/08/13/331000813.db2.gz UQYNNIKXOMSISX-HTQZYQBOSA-N 1 2 294.247 3.789 20 0 CHADLO C[C@@H]1C[C@H](Nc2nc3cccc(F)c3s2)c2[nH+]ccn21 ZINC000450822261 331001052 /nfs/dbraw/zinc/00/10/52/331001052.db2.gz STFPUVOLKACODB-KCJUWKMLSA-N 1 2 288.351 3.750 20 0 CHADLO CCC(=O)CCC[N@H+](Cc1c(F)cccc1F)C1CC1 ZINC000450845194 331002461 /nfs/dbraw/zinc/00/24/61/331002461.db2.gz QYWIYMXLXNUDNY-UHFFFAOYSA-N 1 2 281.346 3.689 20 0 CHADLO CCC(=O)CCC[N@@H+](Cc1c(F)cccc1F)C1CC1 ZINC000450845194 331002462 /nfs/dbraw/zinc/00/24/62/331002462.db2.gz QYWIYMXLXNUDNY-UHFFFAOYSA-N 1 2 281.346 3.689 20 0 CHADLO Cc1ccc(C)c(C[N@@H+](C)Cc2nnc(C(C)C)s2)c1 ZINC000450853785 331002971 /nfs/dbraw/zinc/00/29/71/331002971.db2.gz JEDPGMHBIJWMKL-UHFFFAOYSA-N 1 2 289.448 3.910 20 0 CHADLO Cc1ccc(C)c(C[N@H+](C)Cc2nnc(C(C)C)s2)c1 ZINC000450853785 331002972 /nfs/dbraw/zinc/00/29/72/331002972.db2.gz JEDPGMHBIJWMKL-UHFFFAOYSA-N 1 2 289.448 3.910 20 0 CHADLO Clc1cccc([C@@H]2CCCC[N@@H+]2Cc2cnns2)c1 ZINC000450867682 331003781 /nfs/dbraw/zinc/00/37/81/331003781.db2.gz LMOVVRRMFRIYHP-AWEZNQCLSA-N 1 2 293.823 3.919 20 0 CHADLO Clc1cccc([C@@H]2CCCC[N@H+]2Cc2cnns2)c1 ZINC000450867682 331003782 /nfs/dbraw/zinc/00/37/82/331003782.db2.gz LMOVVRRMFRIYHP-AWEZNQCLSA-N 1 2 293.823 3.919 20 0 CHADLO O=C(CCC[N@H+]1CCCC[C@H]1C(F)F)c1ccccc1 ZINC000450926711 331007582 /nfs/dbraw/zinc/00/75/82/331007582.db2.gz NHAVIYGUVDWGSY-AWEZNQCLSA-N 1 2 281.346 3.769 20 0 CHADLO O=C(CCC[N@@H+]1CCCC[C@H]1C(F)F)c1ccccc1 ZINC000450926711 331007583 /nfs/dbraw/zinc/00/75/83/331007583.db2.gz NHAVIYGUVDWGSY-AWEZNQCLSA-N 1 2 281.346 3.769 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2Cc3ccccc3O[C@@H](C)C2)c1 ZINC000451064916 331014412 /nfs/dbraw/zinc/01/44/12/331014412.db2.gz TXLUMFJFYZLJHS-AWEZNQCLSA-N 1 2 295.382 3.672 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2Cc3ccccc3O[C@@H](C)C2)c1 ZINC000451064916 331014413 /nfs/dbraw/zinc/01/44/13/331014413.db2.gz TXLUMFJFYZLJHS-AWEZNQCLSA-N 1 2 295.382 3.672 20 0 CHADLO CC(C)CC(CC(C)C)C(=O)N[C@@H](c1[nH]cc[nH+]1)C(C)C ZINC000451107593 331015753 /nfs/dbraw/zinc/01/57/53/331015753.db2.gz ZVIDQBRFJKCREJ-OAHLLOKOSA-N 1 2 293.455 3.931 20 0 CHADLO CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)[N@@H+]1CC[C@@H](F)C1 ZINC000451179426 331019151 /nfs/dbraw/zinc/01/91/51/331019151.db2.gz AQMMFFLONSDFHC-BFHYXJOUSA-N 1 2 292.398 3.571 20 0 CHADLO CC[C@H](C)c1ccccc1NC(=O)[C@@H](C)[N@H+]1CC[C@@H](F)C1 ZINC000451179426 331019152 /nfs/dbraw/zinc/01/91/52/331019152.db2.gz AQMMFFLONSDFHC-BFHYXJOUSA-N 1 2 292.398 3.571 20 0 CHADLO F[C@H]1CC[N@H+](Cc2cc(-c3ccc(Cl)cc3)no2)C1 ZINC000451194160 331019827 /nfs/dbraw/zinc/01/98/27/331019827.db2.gz VPYSXBBOULKVLG-LBPRGKRZSA-N 1 2 280.730 3.539 20 0 CHADLO F[C@H]1CC[N@@H+](Cc2cc(-c3ccc(Cl)cc3)no2)C1 ZINC000451194160 331019828 /nfs/dbraw/zinc/01/98/28/331019828.db2.gz VPYSXBBOULKVLG-LBPRGKRZSA-N 1 2 280.730 3.539 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2ncccn2)C2CC2)cc1Cl ZINC000451354431 331025523 /nfs/dbraw/zinc/02/55/23/331025523.db2.gz NGPCESGIXQWDDV-HNNXBMFYSA-N 1 2 291.757 3.510 20 0 CHADLO CC[C@@H](CC(=O)Nc1c[nH+]c2n1CCCC2)c1ccccc1 ZINC000452336376 331062754 /nfs/dbraw/zinc/06/27/54/331062754.db2.gz VJOABHNVELEXPE-AWEZNQCLSA-N 1 2 297.402 3.742 20 0 CHADLO CC1=C(C(=O)Nc2ccc3c(cc[nH+]c3N(C)C)c2)CCC1 ZINC000452794047 331078134 /nfs/dbraw/zinc/07/81/34/331078134.db2.gz JYWRNTIWEVZWCY-UHFFFAOYSA-N 1 2 295.386 3.740 20 0 CHADLO CCC1(NC(=O)CCn2c(C)[nH+]c3ccccc32)CCCC1 ZINC000452945125 331081606 /nfs/dbraw/zinc/08/16/06/331081606.db2.gz FATRQTZXUOBQOU-UHFFFAOYSA-N 1 2 299.418 3.574 20 0 CHADLO Cc1[nH+]c2ccccc2n1C[C@@H](O)[C@@H](C)c1ccccc1 ZINC000453014801 331083599 /nfs/dbraw/zinc/08/35/99/331083599.db2.gz LGLIHKDLKCRYQR-SCLBCKFNSA-N 1 2 280.371 3.509 20 0 CHADLO FC(F)(F)C[C@H]([NH2+][C@H]1CCn2ccnc21)c1ccccc1 ZINC000453059973 331085480 /nfs/dbraw/zinc/08/54/80/331085480.db2.gz MCBDLAHXYPJXIG-STQMWFEESA-N 1 2 295.308 3.611 20 0 CHADLO COC(=O)c1cccc(NCc2ccc(C(C)C)[nH+]c2C)c1 ZINC000453070266 331086288 /nfs/dbraw/zinc/08/62/88/331086288.db2.gz OZTZDAQLPBDTOZ-UHFFFAOYSA-N 1 2 298.386 3.912 20 0 CHADLO Cc1sc(C[NH2+][C@H]2C[C@H](C)n3ccnc32)nc1C(C)C ZINC000453121569 331091312 /nfs/dbraw/zinc/09/13/12/331091312.db2.gz NNSVNPGEVORGAS-JQWIXIFHSA-N 1 2 290.436 3.567 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2nnc(C(C)C)s2)cs1 ZINC000453132965 331092610 /nfs/dbraw/zinc/09/26/10/331092610.db2.gz QQHCKKBRBOPTAG-JTQLQIEISA-N 1 2 281.450 3.882 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nccn1CC)c1cc(F)ccc1F ZINC000453136680 331093066 /nfs/dbraw/zinc/09/30/66/331093066.db2.gz GCTSBTDFEFVTES-ABAIWWIYSA-N 1 2 293.361 3.983 20 0 CHADLO C[C@@H]1C[C@@H]([NH2+]Cc2ccccc2OC2CCC2)c2nccn21 ZINC000453151237 331094687 /nfs/dbraw/zinc/09/46/87/331094687.db2.gz WECNJFSZXRQTGH-CZUORRHYSA-N 1 2 297.402 3.610 20 0 CHADLO Cc1ccc(N[C@@H](C)CCCc2cccnc2)c[nH+]1 ZINC000453172852 331096971 /nfs/dbraw/zinc/09/69/71/331096971.db2.gz LJRDPWPJANQNSH-AWEZNQCLSA-N 1 2 255.365 3.608 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1ccc(OC(F)F)cc1)C(F)F ZINC000453233236 331104843 /nfs/dbraw/zinc/10/48/43/331104843.db2.gz HNQRFAUQHIEYEU-LDYMZIIASA-N 1 2 279.277 3.982 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccc(OC)c(OC)c1C)C(F)F ZINC000453248160 331107058 /nfs/dbraw/zinc/10/70/58/331107058.db2.gz OPZREYNQJZHLIU-PWSUYJOCSA-N 1 2 287.350 3.707 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(F)cc1N(C)C)C(F)F ZINC000453248269 331107204 /nfs/dbraw/zinc/10/72/04/331107204.db2.gz PBCKLJREDCMTOO-CABZTGNLSA-N 1 2 274.330 3.586 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cnn(C2CCCC2)c1)C(F)F ZINC000453250095 331107490 /nfs/dbraw/zinc/10/74/90/331107490.db2.gz RLMFTFUHQWLQHJ-ZWNOBZJWSA-N 1 2 271.355 3.693 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)C(C)(F)F)c1ccc(C(=O)OC)cc1 ZINC000453297526 331112716 /nfs/dbraw/zinc/11/27/16/331112716.db2.gz RWVNLANVXKENAA-ZWNOBZJWSA-N 1 2 285.334 3.558 20 0 CHADLO CC/C=C/C[NH2+][C@H](c1nccn1C)c1ccc(Cl)cc1 ZINC000453320702 331116342 /nfs/dbraw/zinc/11/63/42/331116342.db2.gz NMCJPBRZQWHEIG-RGDDUWESSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1ccsc1[C@H](C)[NH2+][C@H](C(=O)OC(C)C)C(C)C ZINC000453326205 331117239 /nfs/dbraw/zinc/11/72/39/331117239.db2.gz XYPPJDQOQZHBCR-STQMWFEESA-N 1 2 283.437 3.683 20 0 CHADLO CC[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(F)cc1F ZINC000453328801 331117478 /nfs/dbraw/zinc/11/74/78/331117478.db2.gz VRMAOHCVITWKSY-CYBMUJFWSA-N 1 2 299.752 3.593 20 0 CHADLO CC/C=C\C[NH2+][C@@H](c1ccn(C)n1)c1ccc(Cl)cc1 ZINC000453337568 331118676 /nfs/dbraw/zinc/11/86/76/331118676.db2.gz YTWODBATBJLUJW-YIEVGGLXSA-N 1 2 289.810 3.719 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H](C)c1ccc2ccccc2n1 ZINC000453350869 331120695 /nfs/dbraw/zinc/12/06/95/331120695.db2.gz MKMURAACWMDHTG-KGLIPLIRSA-N 1 2 294.402 3.863 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(C2CC2)o1)c1ccc(F)cc1F ZINC000453370556 331123935 /nfs/dbraw/zinc/12/39/35/331123935.db2.gz UGIYNMBIZKSRNP-SECBINFHSA-N 1 2 278.302 3.681 20 0 CHADLO CCSCc1ccc(N[C@H]2CCn3cc[nH+]c32)cc1 ZINC000453379699 331125587 /nfs/dbraw/zinc/12/55/87/331125587.db2.gz QSGNBZSHRWVIEK-AWEZNQCLSA-N 1 2 273.405 3.693 20 0 CHADLO CCCc1ncc(C[NH2+][C@@H](C)c2cccc(F)c2F)o1 ZINC000453381206 331125774 /nfs/dbraw/zinc/12/57/74/331125774.db2.gz LGFKZMVCEOCOTK-JTQLQIEISA-N 1 2 280.318 3.756 20 0 CHADLO CCc1ncc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)o1 ZINC000453391274 331127347 /nfs/dbraw/zinc/12/73/47/331127347.db2.gz YKOVTWDTMXQUDC-SECBINFHSA-N 1 2 282.746 3.880 20 0 CHADLO Cc1cc(Br)c(C[NH2+][C@@H](C)C(C)(F)F)s1 ZINC000453594223 331135340 /nfs/dbraw/zinc/13/53/40/331135340.db2.gz KNVGUQPZHUPKKX-ZETCQYMHSA-N 1 2 298.196 3.952 20 0 CHADLO CCc1ccc(NC(=O)NCc2c[nH+]c(C)cc2C)cc1 ZINC000454187140 331141041 /nfs/dbraw/zinc/14/10/41/331141041.db2.gz CGZMLONHDRSMQX-UHFFFAOYSA-N 1 2 283.375 3.583 20 0 CHADLO CCCC[C@H](CCC)NC(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000454616243 331149918 /nfs/dbraw/zinc/14/99/18/331149918.db2.gz LWLIUWATOBXJRS-RDBSUJKOSA-N 1 2 292.427 3.547 20 0 CHADLO C[C@H]1CC=C(C(=O)Nc2cccc(-c3[nH+]ccn3C)c2)CC1 ZINC000454864530 331155177 /nfs/dbraw/zinc/15/51/77/331155177.db2.gz UCVSVXWXSFFRDS-ZDUSSCGKSA-N 1 2 295.386 3.772 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@]1(C)CCCC[C@H]1C ZINC000455439912 331169877 /nfs/dbraw/zinc/16/98/77/331169877.db2.gz MLLDTCLFOUGHAX-MLGOLLRUSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H](C)CC(C)(C)C ZINC000455439227 331169926 /nfs/dbraw/zinc/16/99/26/331169926.db2.gz MIGJVIZUGLGWFV-NSHDSACASA-N 1 2 263.385 3.645 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1CC[C@@H](CC(C)(C)C)C1 ZINC000455449048 331170156 /nfs/dbraw/zinc/17/01/56/331170156.db2.gz XZNGRINRTSPEKM-AWEZNQCLSA-N 1 2 289.423 3.988 20 0 CHADLO CCN(CCC1CC1)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455497722 331171049 /nfs/dbraw/zinc/17/10/49/331171049.db2.gz QOXLXXBQVZMOBZ-UHFFFAOYSA-N 1 2 275.396 3.661 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1ccccc1C(C)(C)C ZINC000456338398 331190280 /nfs/dbraw/zinc/19/02/80/331190280.db2.gz BNMSSFHMJJRNDU-ZDUSSCGKSA-N 1 2 299.418 3.692 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1cccc2ccsc21 ZINC000456361318 331190912 /nfs/dbraw/zinc/19/09/12/331190912.db2.gz SCWLLBWPILZDOC-NSHDSACASA-N 1 2 299.399 3.609 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1ccc(C(C)C)cc1 ZINC000456365524 331191123 /nfs/dbraw/zinc/19/11/23/331191123.db2.gz YVMJRQWJXGMXRH-CYBMUJFWSA-N 1 2 285.391 3.517 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)C[C@H]1CCCC(C)(C)C1 ZINC000456373550 331191665 /nfs/dbraw/zinc/19/16/65/331191665.db2.gz ZWKMVSWFOAJLHN-UONOGXRCSA-N 1 2 291.439 3.687 20 0 CHADLO CC[C@H](CC(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21)c1ccccc1 ZINC000456378276 331191786 /nfs/dbraw/zinc/19/17/86/331191786.db2.gz LGPXXNNBFACEOJ-LZWOXQAQSA-N 1 2 297.402 3.589 20 0 CHADLO Cc1ccccc1[C@H](C)CC(=O)N[C@H]1C[C@H](C)n2cc[nH+]c21 ZINC000456380503 331191867 /nfs/dbraw/zinc/19/18/67/331191867.db2.gz MGQXCNKRZGKMES-YCPHGPKFSA-N 1 2 297.402 3.507 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)c1sccc1C(C)C ZINC000456393059 331192058 /nfs/dbraw/zinc/19/20/58/331192058.db2.gz QGLYSRAFAMBQGS-LLVKDONJSA-N 1 2 291.420 3.579 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)c2cccc3ccsc32)c2[nH+]ccn21 ZINC000456396540 331192068 /nfs/dbraw/zinc/19/20/68/331192068.db2.gz BDDSVJFPCZHZAW-ZWNOBZJWSA-N 1 2 297.383 3.534 20 0 CHADLO C[C@@H]1C[C@H](NC(=O)c2csc3ccccc23)c2[nH+]ccn21 ZINC000456407505 331192205 /nfs/dbraw/zinc/19/22/05/331192205.db2.gz YRHBKZZPTXJMQT-MFKMUULPSA-N 1 2 297.383 3.534 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H]2CCCC(C)(C)C2)c[nH+]1 ZINC000456826029 331206148 /nfs/dbraw/zinc/20/61/48/331206148.db2.gz ADDJCFKUBWHADV-CQSZACIVSA-N 1 2 274.408 3.531 20 0 CHADLO CCC[C@@H]1CCC[C@H]1C(=O)NCc1c[nH+]c(C)cc1C ZINC000456833025 331206822 /nfs/dbraw/zinc/20/68/22/331206822.db2.gz GCKCAIKCRXATRN-GDBMZVCRSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)[C@@H](c2ccccc2)C2CC2)c[nH+]1 ZINC000456833945 331206973 /nfs/dbraw/zinc/20/69/73/331206973.db2.gz GZCYJDXHJLZZKU-SFHVURJKSA-N 1 2 294.398 3.508 20 0 CHADLO Cc1cc(C)c(CNC(=O)C[C@@H]2CCC[C@@H](C)C2)c[nH+]1 ZINC000456840718 331207199 /nfs/dbraw/zinc/20/71/99/331207199.db2.gz FADIRAKMPRCKAM-IUODEOHRSA-N 1 2 274.408 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)C(C2CCC2)C2CCC2)c[nH+]1 ZINC000456844632 331207345 /nfs/dbraw/zinc/20/73/45/331207345.db2.gz REJFFOHOVIFZDW-UHFFFAOYSA-N 1 2 286.419 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)C2(CC(C)C)CCCC2)c[nH+]1 ZINC000456849913 331207428 /nfs/dbraw/zinc/20/74/28/331207428.db2.gz VQHKBMNDRNIITA-UHFFFAOYSA-N 1 2 288.435 3.921 20 0 CHADLO CCC[C@@H](NC(=O)c1cccc(-n2cc[nH+]c2)c1)C1CCC1 ZINC000458334302 331249239 /nfs/dbraw/zinc/24/92/39/331249239.db2.gz PIUJSTLTBAFIKE-QGZVFWFLSA-N 1 2 297.402 3.571 20 0 CHADLO CCCCN(CCCC)C(=O)N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000459073437 331269277 /nfs/dbraw/zinc/26/92/77/331269277.db2.gz BEKKCNUGXXGYRG-KGLIPLIRSA-N 1 2 292.427 3.501 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc(C3CC3)cc2)s1 ZINC000459450773 331281835 /nfs/dbraw/zinc/28/18/35/331281835.db2.gz YJFAQMFCSSTOQF-UHFFFAOYSA-N 1 2 287.432 3.829 20 0 CHADLO Cc1cc(C[NH2+]Cc2ccc(C)cc2OC(C)(C)C)no1 ZINC000459453422 331282003 /nfs/dbraw/zinc/28/20/03/331282003.db2.gz XBHBFEIADMOWMR-UHFFFAOYSA-N 1 2 288.391 3.759 20 0 CHADLO CC(C)n1cnnc1C[N@H+](C)[C@@H](C)c1ccc2c(c1)CCC2 ZINC000459488748 331284634 /nfs/dbraw/zinc/28/46/34/331284634.db2.gz JHIRPVZWQPLSLI-AWEZNQCLSA-N 1 2 298.434 3.541 20 0 CHADLO CC(C)n1cnnc1C[N@@H+](C)[C@@H](C)c1ccc2c(c1)CCC2 ZINC000459488748 331284635 /nfs/dbraw/zinc/28/46/35/331284635.db2.gz JHIRPVZWQPLSLI-AWEZNQCLSA-N 1 2 298.434 3.541 20 0 CHADLO C[C@H](COc1ccc(Cl)c(Cl)c1)[NH2+]CC(F)F ZINC000459551331 331287345 /nfs/dbraw/zinc/28/73/45/331287345.db2.gz VLEXYHOOYGLAEV-SSDOTTSWSA-N 1 2 284.133 3.615 20 0 CHADLO CCC[C@H](NC(=O)Nc1c(C)cc[nH+]c1C)C1CCC1 ZINC000459552179 331287422 /nfs/dbraw/zinc/28/74/22/331287422.db2.gz SCGSNHTVJDQUJW-AWEZNQCLSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc(C[NH+]2CC(c3cccc(C(F)(F)F)c3)C2)no1 ZINC000459573907 331288710 /nfs/dbraw/zinc/28/87/10/331288710.db2.gz REWJQIYOWJLACX-UHFFFAOYSA-N 1 2 296.292 3.601 20 0 CHADLO CC(C)c1noc(C[N@@H+]2Cc3sccc3CC2(C)C)n1 ZINC000459591397 331289724 /nfs/dbraw/zinc/28/97/24/331289724.db2.gz ZKDBGEGYKWFKAB-UHFFFAOYSA-N 1 2 291.420 3.591 20 0 CHADLO CC(C)c1noc(C[N@H+]2Cc3sccc3CC2(C)C)n1 ZINC000459591397 331289725 /nfs/dbraw/zinc/28/97/25/331289725.db2.gz ZKDBGEGYKWFKAB-UHFFFAOYSA-N 1 2 291.420 3.591 20 0 CHADLO Cc1ccc(C[N@@H+]2CCc3cccc(Cl)c3C2)cn1 ZINC000459662406 331292897 /nfs/dbraw/zinc/29/28/97/331292897.db2.gz ADFJLJSAJCMAPB-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(C[N@H+]2CCc3cccc(Cl)c3C2)cn1 ZINC000459662406 331292898 /nfs/dbraw/zinc/29/28/98/331292898.db2.gz ADFJLJSAJCMAPB-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[C@@H](c1cccnc1)[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459762972 331299223 /nfs/dbraw/zinc/29/92/23/331299223.db2.gz LYQHHTGFGNNTFN-NSHDSACASA-N 1 2 258.752 3.812 20 0 CHADLO C[C@@H](c1cccnc1)[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459762972 331299224 /nfs/dbraw/zinc/29/92/24/331299224.db2.gz LYQHHTGFGNNTFN-NSHDSACASA-N 1 2 258.752 3.812 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459762970 331299232 /nfs/dbraw/zinc/29/92/32/331299232.db2.gz LYQHHTGFGNNTFN-LLVKDONJSA-N 1 2 258.752 3.812 20 0 CHADLO C[C@H](c1cccnc1)[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459762970 331299233 /nfs/dbraw/zinc/29/92/33/331299233.db2.gz LYQHHTGFGNNTFN-LLVKDONJSA-N 1 2 258.752 3.812 20 0 CHADLO CC(C)(CC(=O)Nc1cccc2[nH+]ccn21)c1ccccc1 ZINC000460323013 331309134 /nfs/dbraw/zinc/30/91/34/331309134.db2.gz DEQOHEOTTYGKKD-UHFFFAOYSA-N 1 2 293.370 3.641 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)Nc1cccc2[nH+]ccn21 ZINC000460330213 331309291 /nfs/dbraw/zinc/30/92/91/331309291.db2.gz HSGLPIZMPYFAPY-AWEZNQCLSA-N 1 2 285.391 3.879 20 0 CHADLO C[C@@H](CC(=O)Nc1cccc2[nH+]ccn21)c1cccc(F)c1 ZINC000460342545 331309376 /nfs/dbraw/zinc/30/93/76/331309376.db2.gz HYXUNTHJPMAIOW-LBPRGKRZSA-N 1 2 297.333 3.606 20 0 CHADLO Cc1ccc2[nH+]c(CNc3ncc(Cl)cc3C)cn2c1 ZINC000461222567 331311884 /nfs/dbraw/zinc/31/18/84/331311884.db2.gz AULGMHDUZLFWNQ-UHFFFAOYSA-N 1 2 286.766 3.612 20 0 CHADLO C[C@H](CNc1ccc2ccccc2[nH+]1)Oc1ccccc1F ZINC000463074844 331339565 /nfs/dbraw/zinc/33/95/65/331339565.db2.gz JWLYSNSKZDCWNK-CYBMUJFWSA-N 1 2 296.345 3.675 20 0 CHADLO C[C@@H]1CC[N@@H+]([C@H]2C[C@@H]2c2ccccc2)CC1(F)F ZINC000463215712 331342533 /nfs/dbraw/zinc/34/25/33/331342533.db2.gz XYBGTGXQAPBQLL-BNOWGMLFSA-N 1 2 251.320 3.520 20 0 CHADLO C[C@@H]1CC[N@H+]([C@H]2C[C@@H]2c2ccccc2)CC1(F)F ZINC000463215712 331342534 /nfs/dbraw/zinc/34/25/34/331342534.db2.gz XYBGTGXQAPBQLL-BNOWGMLFSA-N 1 2 251.320 3.520 20 0 CHADLO Fc1cccc(C=C2CCN(c3cccc[nH+]3)CC2)c1 ZINC000464745512 331365917 /nfs/dbraw/zinc/36/59/17/331365917.db2.gz XRMBHHLNULLIHP-UHFFFAOYSA-N 1 2 268.335 3.905 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2CC(=O)OC(C)(C)C)o1 ZINC000464829709 331370111 /nfs/dbraw/zinc/37/01/11/331370111.db2.gz JSQSKLUFXJZJNA-JSGCOSHPSA-N 1 2 293.407 3.703 20 0 CHADLO Cc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2CC(=O)OC(C)(C)C)o1 ZINC000464829709 331370112 /nfs/dbraw/zinc/37/01/12/331370112.db2.gz JSQSKLUFXJZJNA-JSGCOSHPSA-N 1 2 293.407 3.703 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(C(C)C)c(Cl)c1 ZINC000466994630 331409920 /nfs/dbraw/zinc/40/99/20/331409920.db2.gz JAYVEKNPNBSRBB-UHFFFAOYSA-N 1 2 288.778 3.841 20 0 CHADLO Fc1ccc(NC2CC[NH+](CC(F)F)CC2)cc1C1CC1 ZINC000467440394 331419472 /nfs/dbraw/zinc/41/94/72/331419472.db2.gz SHOBGUOTISAQKU-UHFFFAOYSA-N 1 2 298.352 3.845 20 0 CHADLO CC(C)[C@H]([NH2+][C@H](C)CCC(F)(F)F)C(F)(F)F ZINC000468767255 331439592 /nfs/dbraw/zinc/43/95/92/331439592.db2.gz ATEDITFWDHAULT-SFYZADRCSA-N 1 2 265.241 3.894 20 0 CHADLO CC(C)C[C@H]1CCCN(c2cc[nH+]c3ccncc32)C1 ZINC000469159313 331446791 /nfs/dbraw/zinc/44/67/91/331446791.db2.gz NHRHQRDZJUIKKX-CQSZACIVSA-N 1 2 269.392 3.892 20 0 CHADLO Cc1ccccc1SCc1c[nH+]c2ccccn12 ZINC000469805801 331461443 /nfs/dbraw/zinc/46/14/43/331461443.db2.gz GKOQIPLRUCDXJK-UHFFFAOYSA-N 1 2 254.358 3.935 20 0 CHADLO C[C@H](Cc1ccc(Cl)cc1)[NH2+][C@@H](C)C(=O)OC(C)(C)C ZINC000470326879 331479439 /nfs/dbraw/zinc/47/94/39/331479439.db2.gz GXCLCJNAIJQBME-NEPJUHHUSA-N 1 2 297.826 3.591 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+]C(C)(C)CF)cs2)cc1 ZINC000472166124 331530738 /nfs/dbraw/zinc/53/07/38/331530738.db2.gz UDEDWRWVLLVREN-UHFFFAOYSA-N 1 2 278.396 3.956 20 0 CHADLO CC(C)[C@@H]1C[C@@H]1C(=O)Nc1ccc2[nH+]c(N(C)C)ccc2c1 ZINC000475343503 331628030 /nfs/dbraw/zinc/62/80/30/331628030.db2.gz QGZTVTTWDBCYBQ-GJZGRUSLSA-N 1 2 297.402 3.531 20 0 CHADLO CC[C@H]1CCCC[C@H]1C(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000475536229 331635989 /nfs/dbraw/zinc/63/59/89/331635989.db2.gz IGDXEHUFGZEBCZ-DZGCQCFKSA-N 1 2 285.391 3.798 20 0 CHADLO CCN(C)c1ccc(CNc2ccc(F)c(Cl)c2)c[nH+]1 ZINC000480659014 331782045 /nfs/dbraw/zinc/78/20/45/331782045.db2.gz LZKJFWIBFVMVCL-UHFFFAOYSA-N 1 2 293.773 3.942 20 0 CHADLO CC(C)c1nc(N2CC[C@](C)(c3ccc(F)cc3)C2)cc[nH+]1 ZINC000481099056 331797929 /nfs/dbraw/zinc/79/79/29/331797929.db2.gz BLGIDNYKGVVZIT-SFHVURJKSA-N 1 2 299.393 3.907 20 0 CHADLO CC(C)[C@@H]1CC[C@@H](C)C[C@@H]1NC(=O)c1ccc2[nH+]ccn2c1 ZINC000482748658 331845379 /nfs/dbraw/zinc/84/53/79/331845379.db2.gz DHLZFZFKLMKXSR-KBMXLJTQSA-N 1 2 299.418 3.525 20 0 CHADLO Fc1ccc(COc2cccc(C[NH2+]CC(F)F)c2)cc1 ZINC000485291183 331911332 /nfs/dbraw/zinc/91/13/32/331911332.db2.gz KTEWDFGNPPCXAX-UHFFFAOYSA-N 1 2 295.304 3.759 20 0 CHADLO Cc1cc(N2CC(C)(C)OC[C@@H]2C)c2cccc(F)c2[nH+]1 ZINC000487052086 331955664 /nfs/dbraw/zinc/95/56/64/331955664.db2.gz XHRHWZRQEFUGPV-LBPRGKRZSA-N 1 2 288.366 3.686 20 0 CHADLO C(=C/c1ccncc1)\CNc1ccc(N2CCCCC2)[nH+]c1 ZINC000487554613 331973858 /nfs/dbraw/zinc/97/38/58/331973858.db2.gz RONMIJKDUDOJSW-SNAWJCMRSA-N 1 2 294.402 3.592 20 0 CHADLO Cc1[nH+]cccc1N[C@H]1CS[C@@H](C(C)(C)C)C1 ZINC000488436196 332008153 /nfs/dbraw/zinc/00/81/53/332008153.db2.gz XMDMAGFFCLDQJM-DGCLKSJQSA-N 1 2 250.411 3.722 20 0 CHADLO CC(C)[C@H]1C[C@@H](Nc2ccc(N3CCCC3)c[nH+]2)CS1 ZINC000488437808 332008350 /nfs/dbraw/zinc/00/83/50/332008350.db2.gz ZWEYDWIAOVPMKK-UKRRQHHQSA-N 1 2 291.464 3.624 20 0 CHADLO Cc1cc(N2CCC(c3ccc(F)cc3C)CC2)nc[nH+]1 ZINC000488444662 332009501 /nfs/dbraw/zinc/00/95/01/332009501.db2.gz WAQIRBXNNCAAMC-UHFFFAOYSA-N 1 2 285.366 3.617 20 0 CHADLO C[C@]12CCN(c3[nH+]ccc4ccc(F)cc43)C[C@H]1C2(F)F ZINC000488464666 332011801 /nfs/dbraw/zinc/01/18/01/332011801.db2.gz KHGNHQLODQZIQP-HIFRSBDPSA-N 1 2 292.304 3.855 20 0 CHADLO Cc1ccoc1CN(C)c1cc(C(F)(F)F)cc[nH+]1 ZINC000488721099 332022610 /nfs/dbraw/zinc/02/26/10/332022610.db2.gz RDGSHTIAJONEGK-UHFFFAOYSA-N 1 2 270.254 3.638 20 0 CHADLO CSC[C@@H]1CCCN(c2cc(C(F)(F)F)cc[nH+]2)C1 ZINC000488942524 332034681 /nfs/dbraw/zinc/03/46/81/332034681.db2.gz KQRDXBNSKXAFBB-SNVBAGLBSA-N 1 2 290.354 3.680 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1nc2ccc(F)cc2s1 ZINC000491403069 332205144 /nfs/dbraw/zinc/20/51/44/332205144.db2.gz WMNJQVXTSDDCAQ-UHFFFAOYSA-N 1 2 276.336 3.627 20 0 CHADLO Cc1cnccc1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000580525172 335097808 /nfs/dbraw/zinc/09/78/08/335097808.db2.gz ZNDLZYSLZKDFKU-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO Cc1cnccc1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000580525172 335097809 /nfs/dbraw/zinc/09/78/09/335097809.db2.gz ZNDLZYSLZKDFKU-UHFFFAOYSA-N 1 2 258.752 3.559 20 0 CHADLO C/C(=C\c1ccccc1)CN(C)c1cc[nH+]c(C2CC2)n1 ZINC000491806407 332359604 /nfs/dbraw/zinc/35/96/04/332359604.db2.gz HDAYPMYTGJZXPG-WYMLVPIESA-N 1 2 279.387 3.894 20 0 CHADLO CC(C)(C)C=CC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000491894101 332374015 /nfs/dbraw/zinc/37/40/15/332374015.db2.gz KRLXOXACXZAACU-CMDGGOBGSA-N 1 2 269.348 3.618 20 0 CHADLO Cc1c[nH+]c(CN[C@@H]2CCCc3sc(Cl)cc32)n1C ZINC000492176565 332386069 /nfs/dbraw/zinc/38/60/69/332386069.db2.gz SXJWGTLBNMUHIO-LLVKDONJSA-N 1 2 295.839 3.611 20 0 CHADLO CCC(CC)N(CC(C)C)C(=O)/C=C\c1[nH+]ccn1CC ZINC000492270897 332390179 /nfs/dbraw/zinc/39/01/79/332390179.db2.gz FIJBMOVEADAXCW-KTKRTIGZSA-N 1 2 291.439 3.589 20 0 CHADLO Cc1nc2ccc(CNc3c[nH+]ccc3C)cc2s1 ZINC000492287696 332390894 /nfs/dbraw/zinc/39/08/94/332390894.db2.gz ASNRBTLJLFXUSP-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO CCC[C@@H](NC(=O)/C=C/c1[nH+]ccn1CC)c1ccccc1 ZINC000492493972 332400027 /nfs/dbraw/zinc/40/00/27/332400027.db2.gz PLCMJUBOYACTJN-LPQFERQCSA-N 1 2 297.402 3.574 20 0 CHADLO CCc1ccc(CC)c(NC(=O)/C=C/c2[nH+]ccn2CC)c1 ZINC000492494097 332400058 /nfs/dbraw/zinc/40/00/58/332400058.db2.gz PORGBPXKIBHDSP-MDZDMXLPSA-N 1 2 297.402 3.680 20 0 CHADLO Cc1cc(N[C@H](C)CCCC(F)(F)F)nc(C(C)C)[nH+]1 ZINC000493472148 332441730 /nfs/dbraw/zinc/44/17/30/332441730.db2.gz JCTOISFALHVRIY-SNVBAGLBSA-N 1 2 289.345 3.863 20 0 CHADLO Cc1nc(N(C)Cc2cccc3[nH]ccc32)c(C)c(C)[nH+]1 ZINC000493704637 332450454 /nfs/dbraw/zinc/45/04/54/332450454.db2.gz HNBMYACLLZKAEB-UHFFFAOYSA-N 1 2 280.375 3.520 20 0 CHADLO CCCc1csc(C[NH2+][C@H](COC)c2ccccc2)n1 ZINC000493999716 332460491 /nfs/dbraw/zinc/46/04/91/332460491.db2.gz LNHKMCVEYOZGOQ-OAHLLOKOSA-N 1 2 290.432 3.573 20 0 CHADLO Cc1ccc(NC2CC(OCc3ccccc3)C2)c[nH+]1 ZINC000494597520 332479407 /nfs/dbraw/zinc/47/94/07/332479407.db2.gz PKBTUYYDKOOEHS-UHFFFAOYSA-N 1 2 268.360 3.550 20 0 CHADLO Cc1csc(C2([NH2+]Cc3nc(C)sc3C)CCC2)n1 ZINC000494785343 332485710 /nfs/dbraw/zinc/48/57/10/332485710.db2.gz QCSICBIMUGWGEN-UHFFFAOYSA-N 1 2 293.461 3.694 20 0 CHADLO CC[C@@H](F)C[N@@H+]1CCCC[C@@H]1c1nc2ccccc2n1C ZINC000575741621 335110932 /nfs/dbraw/zinc/11/09/32/335110932.db2.gz APMWRTJUZXAFLE-CZUORRHYSA-N 1 2 289.398 3.848 20 0 CHADLO CC[C@@H](F)C[N@H+]1CCCC[C@@H]1c1nc2ccccc2n1C ZINC000575741621 335110933 /nfs/dbraw/zinc/11/09/33/335110933.db2.gz APMWRTJUZXAFLE-CZUORRHYSA-N 1 2 289.398 3.848 20 0 CHADLO C[C@@H]1C[C@]1([NH2+]Cc1cscn1)c1ccc(Cl)cc1 ZINC000500462585 332610119 /nfs/dbraw/zinc/61/01/19/332610119.db2.gz MNDQNYWSJNOVAD-QMTHXVAHSA-N 1 2 278.808 3.821 20 0 CHADLO C/C(=C/c1ccccc1)C[NH2+]C1(c2noc(C)n2)CCCC1 ZINC000501426929 332632918 /nfs/dbraw/zinc/63/29/18/332632918.db2.gz WBJOICUVBQNTGC-OWBHPGMISA-N 1 2 297.402 3.840 20 0 CHADLO Cc1cccc2c1[C@H](C)C[C@@H]2[NH2+]C1(C(F)F)CC1 ZINC000503914785 332699133 /nfs/dbraw/zinc/69/91/33/332699133.db2.gz YXFKUEZKCRALNL-PWSUYJOCSA-N 1 2 251.320 3.931 20 0 CHADLO CC1(C)[C@H]([NH2+]C2(C(F)F)CC2)C[C@H]1OCc1ccccc1 ZINC000503920634 332699280 /nfs/dbraw/zinc/69/92/80/332699280.db2.gz NZMRFXZJQAYTSB-ZIAGYGMSSA-N 1 2 295.373 3.758 20 0 CHADLO COc1ccc(C[NH2+]C2(C(F)F)CCCCC2)c(OC)c1 ZINC000512904236 332993257 /nfs/dbraw/zinc/99/32/57/332993257.db2.gz PICMULLYXPFDSP-UHFFFAOYSA-N 1 2 299.361 3.761 20 0 CHADLO CSCc1cc(C(=O)Nc2cc[nH+]c(C)c2)ccc1F ZINC000174224244 332994221 /nfs/dbraw/zinc/99/42/21/332994221.db2.gz RQYCVGPJQOOFBX-UHFFFAOYSA-N 1 2 290.363 3.644 20 0 CHADLO C[C@H]1CCCC[C@@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC000513009276 332998592 /nfs/dbraw/zinc/99/85/92/332998592.db2.gz RHJVVKUAEDZAMY-ZFWWWQNUSA-N 1 2 259.397 3.672 20 0 CHADLO C[N@H+](CCOc1cccc2ccccc21)Cc1nccs1 ZINC000175107276 333015017 /nfs/dbraw/zinc/01/50/17/333015017.db2.gz JPNPYKTVRPNRNT-UHFFFAOYSA-N 1 2 298.411 3.807 20 0 CHADLO C[N@@H+](CCOc1cccc2ccccc21)Cc1nccs1 ZINC000175107276 333015018 /nfs/dbraw/zinc/01/50/18/333015018.db2.gz JPNPYKTVRPNRNT-UHFFFAOYSA-N 1 2 298.411 3.807 20 0 CHADLO C[N@@H+](Cc1cn2ccsc2n1)Cc1cc2ccccc2o1 ZINC000175178990 333016030 /nfs/dbraw/zinc/01/60/30/333016030.db2.gz PDXOLPCCWBUHDJ-UHFFFAOYSA-N 1 2 297.383 3.774 20 0 CHADLO C[N@H+](Cc1cn2ccsc2n1)Cc1cc2ccccc2o1 ZINC000175178990 333016031 /nfs/dbraw/zinc/01/60/31/333016031.db2.gz PDXOLPCCWBUHDJ-UHFFFAOYSA-N 1 2 297.383 3.774 20 0 CHADLO CC[C@H]1C[N@@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)CCS1 ZINC000175283874 333019795 /nfs/dbraw/zinc/01/97/95/333019795.db2.gz IZPQEOZBKALAJR-RYUDHWBXSA-N 1 2 282.453 3.861 20 0 CHADLO CC[C@H]1C[N@H+]([C@@H](C)c2ncc(C(C)(C)C)o2)CCS1 ZINC000175283874 333019797 /nfs/dbraw/zinc/01/97/97/333019797.db2.gz IZPQEOZBKALAJR-RYUDHWBXSA-N 1 2 282.453 3.861 20 0 CHADLO Cc1cc(C)c(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)o1 ZINC000176302448 333040826 /nfs/dbraw/zinc/04/08/26/333040826.db2.gz MVXPXPCUDKPBHD-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)c2cc3ccccc3o2)cc[nH+]1 ZINC000176369286 333041390 /nfs/dbraw/zinc/04/13/90/333041390.db2.gz VTFFQTBOJWTROH-GFCCVEGCSA-N 1 2 280.327 3.878 20 0 CHADLO CC[N@H+](CCOCCC(C)C)Cc1c(F)cccc1F ZINC000513955387 333054261 /nfs/dbraw/zinc/05/42/61/333054261.db2.gz BMIURGZMPVNZPD-UHFFFAOYSA-N 1 2 285.378 3.849 20 0 CHADLO CC[N@@H+](CCOCCC(C)C)Cc1c(F)cccc1F ZINC000513955387 333054262 /nfs/dbraw/zinc/05/42/62/333054262.db2.gz BMIURGZMPVNZPD-UHFFFAOYSA-N 1 2 285.378 3.849 20 0 CHADLO CCC[C@@H](CC)[NH2+][C@H](C(=O)OC)c1ccc(Cl)cc1 ZINC000517006672 333089610 /nfs/dbraw/zinc/08/96/10/333089610.db2.gz MVZLYXUDAYAQAM-KGLIPLIRSA-N 1 2 283.799 3.722 20 0 CHADLO CC[C@@H]([NH2+][C@H]1C[C@@H](C)c2ccccc21)C(=O)OC(C)(C)C ZINC000564148661 333113112 /nfs/dbraw/zinc/11/31/12/333113112.db2.gz RQEVQKAXFFYIEF-WQVCFCJDSA-N 1 2 289.419 3.945 20 0 CHADLO CC(=O)c1ccc(F)cc1OCc1cn2cccc(C)c2[nH+]1 ZINC000521283863 333117249 /nfs/dbraw/zinc/11/72/49/333117249.db2.gz DUXRLJASRBGILV-UHFFFAOYSA-N 1 2 298.317 3.563 20 0 CHADLO CC[C@@H](C)[C@H](C)C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000183543419 333121256 /nfs/dbraw/zinc/12/12/56/333121256.db2.gz LBAHJKMZOWMESE-NEPJUHHUSA-N 1 2 271.364 3.697 20 0 CHADLO COc1cccc2c1[nH+]c(NCc1sccc1C)n2C ZINC000184792844 333132313 /nfs/dbraw/zinc/13/23/13/333132313.db2.gz AXWAHPGIAACUQM-UHFFFAOYSA-N 1 2 287.388 3.564 20 0 CHADLO CCC1(CC)C[N@@H+]([C@@H](C)c2ccc(F)cc2)CC[S@]1=O ZINC000185229530 333137148 /nfs/dbraw/zinc/13/71/48/333137148.db2.gz NBEZVIZRQIPPOQ-RNODOKPDSA-N 1 2 297.439 3.510 20 0 CHADLO CCC1(CC)C[N@H+]([C@@H](C)c2ccc(F)cc2)CC[S@]1=O ZINC000185229530 333137149 /nfs/dbraw/zinc/13/71/49/333137149.db2.gz NBEZVIZRQIPPOQ-RNODOKPDSA-N 1 2 297.439 3.510 20 0 CHADLO Clc1cccc([C@@H]2C[N@@H+]([C@H]3C=CCCC3)CCO2)c1 ZINC000185609309 333145011 /nfs/dbraw/zinc/14/50/11/333145011.db2.gz IXRYWYDFSNGQGG-HOTGVXAUSA-N 1 2 277.795 3.822 20 0 CHADLO Clc1cccc([C@@H]2C[N@H+]([C@H]3C=CCCC3)CCO2)c1 ZINC000185609309 333145012 /nfs/dbraw/zinc/14/50/12/333145012.db2.gz IXRYWYDFSNGQGG-HOTGVXAUSA-N 1 2 277.795 3.822 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@@H+]1Cc1noc(C(C)C)n1 ZINC000185799308 333149182 /nfs/dbraw/zinc/14/91/82/333149182.db2.gz HVSRBIBAHQUGSV-OAHLLOKOSA-N 1 2 285.391 3.530 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@H+]1Cc1noc(C(C)C)n1 ZINC000185799308 333149183 /nfs/dbraw/zinc/14/91/83/333149183.db2.gz HVSRBIBAHQUGSV-OAHLLOKOSA-N 1 2 285.391 3.530 20 0 CHADLO O=C(CC1=CCCCC1)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000521635902 333180651 /nfs/dbraw/zinc/18/06/51/333180651.db2.gz QHOGQGNTLJLJBX-UHFFFAOYSA-N 1 2 281.359 3.906 20 0 CHADLO Cc1ccc(OC(F)F)c([C@@H](C)[NH2+]CC(C)(F)F)c1 ZINC000521651192 333181042 /nfs/dbraw/zinc/18/10/42/333181042.db2.gz WDKOJQUNKROWQT-SECBINFHSA-N 1 2 279.277 3.902 20 0 CHADLO Cc1ccc(NC(=O)Nc2cccc3ccn(C)c32)c(C)[nH+]1 ZINC000189720785 333198481 /nfs/dbraw/zinc/19/84/81/333198481.db2.gz PBIAOKJBKYDTMB-UHFFFAOYSA-N 1 2 294.358 3.834 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2ccccc2OC(F)F)o1 ZINC000223642158 333210303 /nfs/dbraw/zinc/21/03/03/333210303.db2.gz MTHWBLKTHLKJRS-MNOVXSKESA-N 1 2 296.317 3.996 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]Cc2nc(C)c(C)o2)cc1 ZINC000223667780 333210525 /nfs/dbraw/zinc/21/05/25/333210525.db2.gz WGBAOKHASQURKV-NSHDSACASA-N 1 2 276.405 3.864 20 0 CHADLO CCc1ccccc1NCc1ccc(N(C)CC)[nH+]c1 ZINC000190806589 333210732 /nfs/dbraw/zinc/21/07/32/333210732.db2.gz VQHKQBDKCOJEFB-UHFFFAOYSA-N 1 2 269.392 3.712 20 0 CHADLO CCc1ccc(NCc2ccc(N(C)CC)[nH+]c2)cc1 ZINC000190813705 333210983 /nfs/dbraw/zinc/21/09/83/333210983.db2.gz WVPNIVDFSGHQDY-UHFFFAOYSA-N 1 2 269.392 3.712 20 0 CHADLO COCCCCCOc1cc(C)[nH+]c2ccccc21 ZINC000192191891 333232031 /nfs/dbraw/zinc/23/20/31/333232031.db2.gz IBXAMOKMQSNXCZ-UHFFFAOYSA-N 1 2 259.349 3.739 20 0 CHADLO Cc1cccc(CCSc2nccc(C(F)(F)F)n2)[nH+]1 ZINC000575921848 335131169 /nfs/dbraw/zinc/13/11/69/335131169.db2.gz VGYCZMPIANSCHE-UHFFFAOYSA-N 1 2 299.321 3.534 20 0 CHADLO Fc1cccc(C[NH2+]CC(F)(F)c2ccccc2)c1 ZINC000227898867 333290003 /nfs/dbraw/zinc/29/00/03/333290003.db2.gz ABDMETUYLINQQR-UHFFFAOYSA-N 1 2 265.278 3.707 20 0 CHADLO Cc1cc(N[C@H]2CCC[C@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000522566530 333290467 /nfs/dbraw/zinc/29/04/67/333290467.db2.gz KWDLIPVQXGFQDY-IRXDYDNUSA-N 1 2 293.414 3.833 20 0 CHADLO Cc1cccc([C@@H]2CCCN2C(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000575927143 335132196 /nfs/dbraw/zinc/13/21/96/335132196.db2.gz VQKGKOKHPVSDPR-RDJZCZTQSA-N 1 2 297.402 3.506 20 0 CHADLO CC[C@@H](Sc1nc(N)cc(C)[nH+]1)c1ccc(F)cc1F ZINC000523111814 333309644 /nfs/dbraw/zinc/30/96/44/333309644.db2.gz VLHYEDZVTQPUBM-GFCCVEGCSA-N 1 2 295.358 3.719 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](c3ccccc3F)C2)cc1 ZINC000524307757 333359747 /nfs/dbraw/zinc/35/97/47/333359747.db2.gz RUYJPYXRQQMRPZ-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](c3ccccc3F)C2)cc1 ZINC000524307757 333359749 /nfs/dbraw/zinc/35/97/49/333359749.db2.gz RUYJPYXRQQMRPZ-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Fc1ccccc1[C@H]1C[N@H+](C/C=C\c2ccccc2)CCO1 ZINC000524309757 333359791 /nfs/dbraw/zinc/35/97/91/333359791.db2.gz GIZKMWDOGPPEET-WMHRWZGDSA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccccc1[C@H]1C[N@@H+](C/C=C\c2ccccc2)CCO1 ZINC000524309757 333359792 /nfs/dbraw/zinc/35/97/92/333359792.db2.gz GIZKMWDOGPPEET-WMHRWZGDSA-N 1 2 297.373 3.912 20 0 CHADLO c1nc(C[NH2+]Cc2cccc(Oc3ccccc3)c2)co1 ZINC000236572122 333373709 /nfs/dbraw/zinc/37/37/09/333373709.db2.gz SJDYSWMDDHEXJC-UHFFFAOYSA-N 1 2 280.327 3.757 20 0 CHADLO CCc1nc(C[N@H+](C)CCOC2CCCCCC2)cs1 ZINC000524907251 333393314 /nfs/dbraw/zinc/39/33/14/333393314.db2.gz NBJBDZCTQQMCOG-UHFFFAOYSA-N 1 2 296.480 3.877 20 0 CHADLO CCc1nc(C[N@@H+](C)CCOC2CCCCCC2)cs1 ZINC000524907251 333393315 /nfs/dbraw/zinc/39/33/15/333393315.db2.gz NBJBDZCTQQMCOG-UHFFFAOYSA-N 1 2 296.480 3.877 20 0 CHADLO C[C@H](Nc1cccc(-n2cc[nH+]c2)c1)[C@H]1CC1(C)C ZINC000397617147 333426410 /nfs/dbraw/zinc/42/64/10/333426410.db2.gz QSSPTFYWDVGMRT-SWLSCSKDSA-N 1 2 255.365 3.719 20 0 CHADLO Cc1ccc2sc(C[NH2+][C@@H](C)c3cscn3)nc2c1 ZINC000528558379 333446051 /nfs/dbraw/zinc/44/60/51/333446051.db2.gz XMCUPZVWJQXBSF-JTQLQIEISA-N 1 2 289.429 3.912 20 0 CHADLO C[C@H]([NH2+]Cc1ccccc1)c1nc(Cc2ccccc2)no1 ZINC000173791529 335141033 /nfs/dbraw/zinc/14/10/33/335141033.db2.gz SCMAJNKREVYKEA-AWEZNQCLSA-N 1 2 293.370 3.511 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@@H]1CCCC12CC2 ZINC000529228349 333478335 /nfs/dbraw/zinc/47/83/35/333478335.db2.gz ZDAMUWUWUSATDL-AWEZNQCLSA-N 1 2 295.386 3.904 20 0 CHADLO Cc1noc([C@H](C)[NH2+][C@@H]2CCc3cc(F)c(Cl)cc32)n1 ZINC000529556750 333497666 /nfs/dbraw/zinc/49/76/66/333497666.db2.gz PHKDOYBYIQUDKE-WPPNPWJKSA-N 1 2 295.745 3.509 20 0 CHADLO Cc1ccc(Cl)c(NCc2cn3ccccc3[nH+]2)c1 ZINC000124512398 333559600 /nfs/dbraw/zinc/55/96/00/333559600.db2.gz YXCGCXSMLBYMLS-UHFFFAOYSA-N 1 2 271.751 3.908 20 0 CHADLO C[C@H]([NH2+]C1(c2ccc(F)cc2)CC1)c1cscn1 ZINC000538553093 333605649 /nfs/dbraw/zinc/60/56/49/333605649.db2.gz ANDLXQCSPKIHFS-JTQLQIEISA-N 1 2 262.353 3.622 20 0 CHADLO CC[C@H](C)[C@@H](C)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000538635171 333609847 /nfs/dbraw/zinc/60/98/47/333609847.db2.gz VTGPIFGHEOULQL-NWDGAFQWSA-N 1 2 261.344 3.858 20 0 CHADLO C[C@H](Nc1ccc(-n2cc[nH+]c2)c(F)c1)c1cscn1 ZINC000538635218 333609912 /nfs/dbraw/zinc/60/99/12/333609912.db2.gz XDRQOWQNMXRNAW-JTQLQIEISA-N 1 2 288.351 3.641 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(=O)OC(C)(C)C)C(C)(C)c1ccccc1 ZINC000539256398 333662935 /nfs/dbraw/zinc/66/29/35/333662935.db2.gz ZOHQCUXSIFMFLZ-UONOGXRCSA-N 1 2 291.435 3.673 20 0 CHADLO Cc1c[nH+]cc(N[C@H]2CCC[C@@H](C(F)(F)F)C2)c1 ZINC000128780041 333706627 /nfs/dbraw/zinc/70/66/27/333706627.db2.gz SNPHQAUFZLSUJL-MNOVXSKESA-N 1 2 258.287 3.923 20 0 CHADLO CC[C@H](Nc1cccc(CSC)c1)c1[nH+]ccn1C ZINC000540833906 333749380 /nfs/dbraw/zinc/74/93/80/333749380.db2.gz UQVYKOAUJZIDBR-AWEZNQCLSA-N 1 2 275.421 3.846 20 0 CHADLO Fc1ccccc1CNc1c[nH+]c2c(c1)CCCC2 ZINC000541065201 333761296 /nfs/dbraw/zinc/76/12/96/333761296.db2.gz FFQIKJOIQASVMD-UHFFFAOYSA-N 1 2 256.324 3.712 20 0 CHADLO Fc1cc(F)c(CNc2c[nH+]c3c(c2)CCCC3)cc1F ZINC000541082671 333761739 /nfs/dbraw/zinc/76/17/39/333761739.db2.gz YXYUOFYVXORTPE-UHFFFAOYSA-N 1 2 292.304 3.990 20 0 CHADLO C[C@H]([NH2+]Cc1ccn(C2CCCC2)n1)c1ccccc1F ZINC000069993339 333796474 /nfs/dbraw/zinc/79/64/74/333796474.db2.gz KXRUFZVYPOIRPF-ZDUSSCGKSA-N 1 2 287.382 3.988 20 0 CHADLO CCC(CC)[C@H](C)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000542197879 333814937 /nfs/dbraw/zinc/81/49/37/333814937.db2.gz VWUVSFWZSGKSID-ZDUSSCGKSA-N 1 2 299.418 3.765 20 0 CHADLO Cc1ccc(OC(F)F)c([C@H](C)[NH2+][C@@H](C)c2ccon2)c1 ZINC000542699178 333837070 /nfs/dbraw/zinc/83/70/70/333837070.db2.gz FFOPXRQCEYGNKP-QWRGUYRKSA-N 1 2 296.317 3.996 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1C[C@H](C)OC2(CCC2)C1 ZINC000576154796 335161494 /nfs/dbraw/zinc/16/14/94/335161494.db2.gz KIVBXOSLCMGXDU-AWEZNQCLSA-N 1 2 282.387 3.691 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2cc3ccccc3o2)o1 ZINC000230630630 333838192 /nfs/dbraw/zinc/83/81/92/333838192.db2.gz CSHUMDVLOHZVDE-LLVKDONJSA-N 1 2 270.332 3.834 20 0 CHADLO CC[C@H](C)[C@H](C)C(=O)Nc1ccc2c(c1)[nH+]c(C)n2C ZINC000542798276 333841555 /nfs/dbraw/zinc/84/15/55/333841555.db2.gz WYCPTRPGXSVZSO-QWRGUYRKSA-N 1 2 273.380 3.502 20 0 CHADLO CC1(C)CC[C@@H](C(=O)Nc2ccc(-c3c[nH]c[nH+]3)cc2)C1 ZINC000543797596 333899466 /nfs/dbraw/zinc/89/94/66/333899466.db2.gz XGGQJPRGXNBEST-CYBMUJFWSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1nc(N[C@H](c2ccc(F)cc2F)C(C)C)cc[nH+]1 ZINC000131989921 333970069 /nfs/dbraw/zinc/97/00/69/333970069.db2.gz TZJIAZICKIOMNN-HNNXBMFYSA-N 1 2 277.318 3.872 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCc2sccc21)C(=O)OC(C)(C)C ZINC000576207656 335170075 /nfs/dbraw/zinc/17/00/75/335170075.db2.gz UWHKPJLEMSLEJX-CHWSQXEVSA-N 1 2 295.448 3.835 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(Cl)cn2)cs1 ZINC000564302621 334031469 /nfs/dbraw/zinc/03/14/69/334031469.db2.gz MFQWSWCKMYBZMP-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO CCC[N@@H+](Cc1cccc(OC(F)F)c1)CC(F)F ZINC000133270566 334032762 /nfs/dbraw/zinc/03/27/62/334032762.db2.gz BNVAXHLCPSMJID-UHFFFAOYSA-N 1 2 279.277 3.765 20 0 CHADLO CCC[N@H+](Cc1cccc(OC(F)F)c1)CC(F)F ZINC000133270566 334032763 /nfs/dbraw/zinc/03/27/63/334032763.db2.gz BNVAXHLCPSMJID-UHFFFAOYSA-N 1 2 279.277 3.765 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@H](C)c2cccc(Cl)c2)o1 ZINC000075642105 334048017 /nfs/dbraw/zinc/04/80/17/334048017.db2.gz BWJZLBGSSNKMHR-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@H](C)c2cccc(Cl)c2)o1 ZINC000075642105 334048018 /nfs/dbraw/zinc/04/80/18/334048018.db2.gz BWJZLBGSSNKMHR-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1ccn(-c2ccc(F)cc2)n1 ZINC000075674438 334048575 /nfs/dbraw/zinc/04/85/75/334048575.db2.gz UFTGONOKXSPCJB-ZDUSSCGKSA-N 1 2 299.349 3.797 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1ccn(-c2ccc(F)cc2)n1 ZINC000075674438 334048577 /nfs/dbraw/zinc/04/85/77/334048577.db2.gz UFTGONOKXSPCJB-ZDUSSCGKSA-N 1 2 299.349 3.797 20 0 CHADLO Cc1cnc(C[N@H+](Cc2ccc(C)c(C)c2)C2CC2)o1 ZINC000075766177 334050576 /nfs/dbraw/zinc/05/05/76/334050576.db2.gz DMONHSUARYCEHR-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO Cc1cnc(C[N@@H+](Cc2ccc(C)c(C)c2)C2CC2)o1 ZINC000075766177 334050577 /nfs/dbraw/zinc/05/05/77/334050577.db2.gz DMONHSUARYCEHR-UHFFFAOYSA-N 1 2 270.376 3.764 20 0 CHADLO CCCc1noc(COc2cc(C)[nH+]c3c(C)cccc23)n1 ZINC000546888265 334053935 /nfs/dbraw/zinc/05/39/35/334053935.db2.gz YDWLPXVSXMGQHL-UHFFFAOYSA-N 1 2 297.358 3.766 20 0 CHADLO CCSCCOc1cc(C)[nH+]c2ccc(OC)cc21 ZINC000546885970 334053968 /nfs/dbraw/zinc/05/39/68/334053968.db2.gz YFLZWRBUWCQBNW-UHFFFAOYSA-N 1 2 277.389 3.684 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@]1(C)CCO[C@H]1C1CC1 ZINC000547341037 334081261 /nfs/dbraw/zinc/08/12/61/334081261.db2.gz BEYGFXZCAUGNRZ-HKUYNNGSSA-N 1 2 296.414 3.582 20 0 CHADLO CC(C)([NH2+]Cc1cnc(C2CC2)s1)c1nccs1 ZINC000134334485 334088247 /nfs/dbraw/zinc/08/82/47/334088247.db2.gz RFWXYDFEPHESIF-UHFFFAOYSA-N 1 2 279.434 3.502 20 0 CHADLO CC[C@H](c1ccncc1)[N@H+](C)Cc1ncc(Cl)s1 ZINC000547509887 334096627 /nfs/dbraw/zinc/09/66/27/334096627.db2.gz ISCHVYZXFQLYNC-LLVKDONJSA-N 1 2 281.812 3.775 20 0 CHADLO CC[C@H](c1ccncc1)[N@@H+](C)Cc1ncc(Cl)s1 ZINC000547509887 334096629 /nfs/dbraw/zinc/09/66/29/334096629.db2.gz ISCHVYZXFQLYNC-LLVKDONJSA-N 1 2 281.812 3.775 20 0 CHADLO Fc1cc2[nH+]cn(Cc3ccc4c(c3)CCC4)c2cc1F ZINC000134680785 334100347 /nfs/dbraw/zinc/10/03/47/334100347.db2.gz ONBVSAMVYYESFO-UHFFFAOYSA-N 1 2 284.309 3.852 20 0 CHADLO C[C@@H]1CCC[N@H+](CC[C@@H]2CCOC2)c2ccccc21 ZINC000564325207 334101604 /nfs/dbraw/zinc/10/16/04/334101604.db2.gz LAWAWQXFKXWUEW-HUUCEWRRSA-N 1 2 259.393 3.817 20 0 CHADLO C[C@@H]1CCC[N@@H+](CC[C@@H]2CCOC2)c2ccccc21 ZINC000564325207 334101605 /nfs/dbraw/zinc/10/16/05/334101605.db2.gz LAWAWQXFKXWUEW-HUUCEWRRSA-N 1 2 259.393 3.817 20 0 CHADLO Cc1ccc(NC(=O)c2cc(C)c(F)c(C)c2)c(C)[nH+]1 ZINC000134851501 334105721 /nfs/dbraw/zinc/10/57/21/334105721.db2.gz QLEOPKIVNQXJOM-UHFFFAOYSA-N 1 2 272.323 3.707 20 0 CHADLO Cc1ccc(N[C@H]2Cc3ccc(Cl)cc3C2)c[nH+]1 ZINC000134856684 334105759 /nfs/dbraw/zinc/10/57/59/334105759.db2.gz MASDXQRWCVFLBQ-HNNXBMFYSA-N 1 2 258.752 3.623 20 0 CHADLO CC(C)[C@@]1(C)C[C@H]1C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000548161928 334144151 /nfs/dbraw/zinc/14/41/51/334144151.db2.gz SNOSDDDBWMILHD-WMLDXEAASA-N 1 2 283.375 3.697 20 0 CHADLO CCCC[C@H]([NH2+][C@@H]1C[C@H](c2ccccc2)[C@@H]1C)C(=O)OC ZINC000548176460 334145213 /nfs/dbraw/zinc/14/52/13/334145213.db2.gz XAZPDXJAMYZJJZ-QSPRXWTASA-N 1 2 289.419 3.500 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)c(F)cc1F)c1nccs1 ZINC000136186596 334149174 /nfs/dbraw/zinc/14/91/74/334149174.db2.gz IXEITFXDOXDSKP-GFCCVEGCSA-N 1 2 286.322 3.801 20 0 CHADLO CCCCOc1ccccc1C[N@H+](C)Cc1nccs1 ZINC000136204778 334149455 /nfs/dbraw/zinc/14/94/55/334149455.db2.gz IDZLQCNBFATYHB-UHFFFAOYSA-N 1 2 290.432 3.954 20 0 CHADLO CCCCOc1ccccc1C[N@@H+](C)Cc1nccs1 ZINC000136204778 334149457 /nfs/dbraw/zinc/14/94/57/334149457.db2.gz IDZLQCNBFATYHB-UHFFFAOYSA-N 1 2 290.432 3.954 20 0 CHADLO Cc1ccc(CCCC(=O)Nc2cc[nH+]cc2C)s1 ZINC000079542402 334156619 /nfs/dbraw/zinc/15/66/19/334156619.db2.gz WZFLMYHBLJMLBM-UHFFFAOYSA-N 1 2 274.389 3.721 20 0 CHADLO CCC[N@H+](Cc1nccn1CC)[C@@H]1CCCc2cccnc21 ZINC000548511724 334161809 /nfs/dbraw/zinc/16/18/09/334161809.db2.gz HXORKCCFIWYBCH-MRXNPFEDSA-N 1 2 298.434 3.588 20 0 CHADLO CCC[N@@H+](Cc1nccn1CC)[C@@H]1CCCc2cccnc21 ZINC000548511724 334161811 /nfs/dbraw/zinc/16/18/11/334161811.db2.gz HXORKCCFIWYBCH-MRXNPFEDSA-N 1 2 298.434 3.588 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)/C=C/c1cn(C)c2ccccc12 ZINC000080111558 334165971 /nfs/dbraw/zinc/16/59/71/334165971.db2.gz NFJJMWIFVRGYPA-BQYQJAHWSA-N 1 2 291.354 3.534 20 0 CHADLO C[C@@H]([NH2+]C1(c2ccccc2F)CC1)c1cn2ccccc2n1 ZINC000548711475 334166844 /nfs/dbraw/zinc/16/68/44/334166844.db2.gz ZFAJTVJDFWCLMQ-CYBMUJFWSA-N 1 2 295.361 3.813 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2nccs2)c(OC)c1 ZINC000080265804 334169017 /nfs/dbraw/zinc/16/90/17/334169017.db2.gz JJXBIEHCLXHSRX-MNOVXSKESA-N 1 2 292.404 3.572 20 0 CHADLO FC(F)[C@@H]1CCCC[N@@H+]1Cc1ccnc2ccccc12 ZINC000548795322 334174578 /nfs/dbraw/zinc/17/45/78/334174578.db2.gz BILBPSIATHDLCU-HNNXBMFYSA-N 1 2 276.330 3.854 20 0 CHADLO FC(F)[C@@H]1CCCC[N@H+]1Cc1ccnc2ccccc12 ZINC000548795322 334174580 /nfs/dbraw/zinc/17/45/80/334174580.db2.gz BILBPSIATHDLCU-HNNXBMFYSA-N 1 2 276.330 3.854 20 0 CHADLO CCc1ccc(C[N@@H+]2CCCC[C@@H]2C(F)F)s1 ZINC000548795250 334174605 /nfs/dbraw/zinc/17/46/05/334174605.db2.gz HMOJPNYNUKJFDN-GFCCVEGCSA-N 1 2 259.365 3.930 20 0 CHADLO CCc1ccc(C[N@H+]2CCCC[C@@H]2C(F)F)s1 ZINC000548795250 334174606 /nfs/dbraw/zinc/17/46/06/334174606.db2.gz HMOJPNYNUKJFDN-GFCCVEGCSA-N 1 2 259.365 3.930 20 0 CHADLO CCC(CC)([NH2+]Cc1cccc2ccccc21)C(=O)OC ZINC000549169578 334186987 /nfs/dbraw/zinc/18/69/87/334186987.db2.gz ZNYXLBJKLKRNFT-UHFFFAOYSA-N 1 2 285.387 3.661 20 0 CHADLO C[C@@H](C[N@@H+]1CC[C@](F)(c2ccccc2F)C1)C(F)(F)F ZINC000549414029 334193220 /nfs/dbraw/zinc/19/32/20/334193220.db2.gz IDVJMEXASLXFAN-GXFFZTMASA-N 1 2 293.279 3.895 20 0 CHADLO C[C@@H](C[N@H+]1CC[C@](F)(c2ccccc2F)C1)C(F)(F)F ZINC000549414029 334193221 /nfs/dbraw/zinc/19/32/21/334193221.db2.gz IDVJMEXASLXFAN-GXFFZTMASA-N 1 2 293.279 3.895 20 0 CHADLO CCn1nccc1C[N@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000245512026 334199083 /nfs/dbraw/zinc/19/90/83/334199083.db2.gz ZRCQLUVLAKFEFB-DYVFJYSZSA-N 1 2 299.418 3.784 20 0 CHADLO CCn1nccc1C[N@@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000245512026 334199085 /nfs/dbraw/zinc/19/90/85/334199085.db2.gz ZRCQLUVLAKFEFB-DYVFJYSZSA-N 1 2 299.418 3.784 20 0 CHADLO CN(c1ccccc1)c1ccc(C[NH2+]CC(C)(F)F)cn1 ZINC000549863173 334207074 /nfs/dbraw/zinc/20/70/74/334207074.db2.gz ULTCHTXAKOVDCR-UHFFFAOYSA-N 1 2 291.345 3.594 20 0 CHADLO C/C(=C/c1ccc(Cl)cc1)C[NH2+]CC(C)(F)F ZINC000550256302 334217775 /nfs/dbraw/zinc/21/77/75/334217775.db2.gz UECNDGCQTVVBCZ-YFHOEESVSA-N 1 2 259.727 3.988 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247095830 334275384 /nfs/dbraw/zinc/27/53/84/334275384.db2.gz YSRJFXLDUZLRJL-BDJLRTHQSA-N 1 2 293.313 3.692 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000247095830 334275387 /nfs/dbraw/zinc/27/53/87/334275387.db2.gz YSRJFXLDUZLRJL-BDJLRTHQSA-N 1 2 293.313 3.692 20 0 CHADLO Fc1cc(F)cc([C@]2(F)CC[N@@H+]([C@H]3C=CCCC3)C2)c1 ZINC000551407733 334249538 /nfs/dbraw/zinc/24/95/38/334249538.db2.gz SNPCDHBKOYFLSD-HOTGVXAUSA-N 1 2 281.321 3.944 20 0 CHADLO Fc1cc(F)cc([C@]2(F)CC[N@H+]([C@H]3C=CCCC3)C2)c1 ZINC000551407733 334249540 /nfs/dbraw/zinc/24/95/40/334249540.db2.gz SNPCDHBKOYFLSD-HOTGVXAUSA-N 1 2 281.321 3.944 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CCC=C(c3ccccc3)C2)no1 ZINC000551707903 334258803 /nfs/dbraw/zinc/25/88/03/334258803.db2.gz CJOBQVKXNKIKFE-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CCC=C(c3ccccc3)C2)no1 ZINC000551707903 334258805 /nfs/dbraw/zinc/25/88/05/334258805.db2.gz CJOBQVKXNKIKFE-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CCC[C@@H]3CCC[C@H]32)n1 ZINC000246581064 334264608 /nfs/dbraw/zinc/26/46/08/334264608.db2.gz GBYIYNDMHWQBCO-VHSXEESVSA-N 1 2 290.354 3.926 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CCC[C@@H]3CCC[C@H]32)n1 ZINC000246581064 334264609 /nfs/dbraw/zinc/26/46/09/334264609.db2.gz GBYIYNDMHWQBCO-VHSXEESVSA-N 1 2 290.354 3.926 20 0 CHADLO Cc1cc(Cl)cc(C[N@@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000552146993 334295141 /nfs/dbraw/zinc/29/51/41/334295141.db2.gz HDWIQLRDQBIEGH-DLBZAZTESA-N 1 2 291.822 3.792 20 0 CHADLO Cc1cc(Cl)cc(C[N@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000552146993 334295142 /nfs/dbraw/zinc/29/51/42/334295142.db2.gz HDWIQLRDQBIEGH-DLBZAZTESA-N 1 2 291.822 3.792 20 0 CHADLO O=C(Nc1ccc(Oc2cc[nH+]cc2)cc1)[C@H]1[C@@H]2CCC[C@@H]21 ZINC000248347809 334298818 /nfs/dbraw/zinc/29/88/18/334298818.db2.gz NVESIDTYLNQGEJ-FVQHAEBGSA-N 1 2 294.354 3.859 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H]1CC=C(C)CC1)c1ccc(C)c(C)c1 ZINC000552186881 334300082 /nfs/dbraw/zinc/30/00/82/334300082.db2.gz DOEVSKDUHPZUKX-SJORKVTESA-N 1 2 287.403 3.606 20 0 CHADLO Cc1oncc1C[N@@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000248504560 334304509 /nfs/dbraw/zinc/30/45/09/334304509.db2.gz VNYSLEIBZQRAHX-BZNIZROVSA-N 1 2 286.375 3.864 20 0 CHADLO Cc1oncc1C[N@H+](Cc1ccc([C@H]2C[C@H]2C)o1)C1CC1 ZINC000248504560 334304510 /nfs/dbraw/zinc/30/45/10/334304510.db2.gz VNYSLEIBZQRAHX-BZNIZROVSA-N 1 2 286.375 3.864 20 0 CHADLO C[C@H](Nc1cc(Cl)cc2cccnc21)c1[nH]cc[nH+]1 ZINC000552289854 334313594 /nfs/dbraw/zinc/31/35/94/334313594.db2.gz MUVXLUDKBNDUMF-VIFPVBQESA-N 1 2 272.739 3.784 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+](C)Cc1ccc(C)cc1C ZINC000552497909 334332186 /nfs/dbraw/zinc/33/21/86/334332186.db2.gz ZIJMVMVTTKLRQT-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+](C)Cc1ccc(C)cc1C ZINC000552497909 334332187 /nfs/dbraw/zinc/33/21/87/334332187.db2.gz ZIJMVMVTTKLRQT-SFHVURJKSA-N 1 2 297.398 3.650 20 0 CHADLO CCC[C@H](C)C(=O)Nc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000089868650 334352530 /nfs/dbraw/zinc/35/25/30/334352530.db2.gz VBYZTKMJBLQGNQ-NSHDSACASA-N 1 2 285.391 3.825 20 0 CHADLO CC(C)n1cc[nH+]c1CN1CCc2ccc(Cl)cc2C1 ZINC000090614138 334364934 /nfs/dbraw/zinc/36/49/34/334364934.db2.gz KFQMBOBXCXVBTI-UHFFFAOYSA-N 1 2 289.810 3.676 20 0 CHADLO Cc1cc[nH+]c(NC2Cc3ccccc3C2)c1Cl ZINC000553159056 334365851 /nfs/dbraw/zinc/36/58/51/334365851.db2.gz AZZDSAMXIQGQPH-UHFFFAOYSA-N 1 2 258.752 3.623 20 0 CHADLO Cc1ccc(NC(=O)C/C=C\c2cccc(F)c2)c(C)[nH+]1 ZINC000254936364 334495057 /nfs/dbraw/zinc/49/50/57/334495057.db2.gz NMQRAEYMUXKKNK-XQRVVYSFSA-N 1 2 284.334 3.880 20 0 CHADLO C[C@@H](Nc1ccc(N2CCCCC2)[nH+]c1)c1ccoc1 ZINC000094868135 334506564 /nfs/dbraw/zinc/50/65/64/334506564.db2.gz QLCGSLSCOTZKOL-CYBMUJFWSA-N 1 2 271.364 3.838 20 0 CHADLO CC[C@@H](C)[C@H](C)C(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000565676174 334587118 /nfs/dbraw/zinc/58/71/18/334587118.db2.gz VIRJIWZBSAGYTC-NEPJUHHUSA-N 1 2 271.364 3.697 20 0 CHADLO c1cc(N[C@H]2CCC[C@H]3C[C@H]32)[nH+]cc1N1CCCCC1 ZINC000565787060 334597352 /nfs/dbraw/zinc/59/73/52/334597352.db2.gz AHZOLFSJEVFOJF-IMJJTQAJSA-N 1 2 271.408 3.672 20 0 CHADLO Cc1nc(CSCCc2cccc(C)[nH+]2)sc1C ZINC000566238199 334637942 /nfs/dbraw/zinc/63/79/42/334637942.db2.gz JGAQGSBEALSFNR-UHFFFAOYSA-N 1 2 278.446 3.939 20 0 CHADLO CCn1ccnc1C[NH2+][C@H](C)c1cc2cccc(OC)c2o1 ZINC000265648976 334687301 /nfs/dbraw/zinc/68/73/01/334687301.db2.gz MLGKRXKBWAHEIM-GFCCVEGCSA-N 1 2 299.374 3.509 20 0 CHADLO CCc1nnc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)s1 ZINC000273021899 334759853 /nfs/dbraw/zinc/75/98/53/334759853.db2.gz BZSKHQYRDRLOQD-MRVPVSSYSA-N 1 2 299.802 3.744 20 0 CHADLO CSc1ccc([C@@H](C)[NH2+][C@@H](C)c2nnc(C)s2)cc1 ZINC000274253808 334778140 /nfs/dbraw/zinc/77/81/40/334778140.db2.gz STBDCQDDOJOAOP-ZJUUUORDSA-N 1 2 293.461 3.980 20 0 CHADLO CCn1c2ccccc2[nH+]c1NCc1ccc(Cl)o1 ZINC000158904005 334816294 /nfs/dbraw/zinc/81/62/94/334816294.db2.gz PUSYWVZSIUUIAX-UHFFFAOYSA-N 1 2 275.739 3.915 20 0 CHADLO CCc1cc2c(ncnc2N[C@@H]2C[C@@H](C)n3cc[nH+]c32)s1 ZINC000568838272 334843085 /nfs/dbraw/zinc/84/30/85/334843085.db2.gz MNSKADHKXLRUPV-BXKDBHETSA-N 1 2 299.403 3.568 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C3(CC(C)C)CC3)ccc2n1C ZINC000569303753 334866001 /nfs/dbraw/zinc/86/60/01/334866001.db2.gz RGUJOTMWVACHAH-UHFFFAOYSA-N 1 2 285.391 3.647 20 0 CHADLO CCCCCN(C(=O)[C@H](C)Cc1c[nH+]c[nH]1)[C@H](C)CCC ZINC000576528704 335215900 /nfs/dbraw/zinc/21/59/00/335215900.db2.gz KRWOGVDHNAMYDJ-HUUCEWRRSA-N 1 2 293.455 3.796 20 0 CHADLO CCCCCN(C(=O)[C@H](C)Cc1c[nH]c[nH+]1)[C@H](C)CCC ZINC000576528704 335215901 /nfs/dbraw/zinc/21/59/01/335215901.db2.gz KRWOGVDHNAMYDJ-HUUCEWRRSA-N 1 2 293.455 3.796 20 0 CHADLO CC(C)n1cc(C[N@@H+]2CCc3sc(Cl)cc3C2)cn1 ZINC000576584405 335222110 /nfs/dbraw/zinc/22/21/10/335222110.db2.gz FQHATXJDNUJBQZ-UHFFFAOYSA-N 1 2 295.839 3.737 20 0 CHADLO CC(C)n1cc(C[N@H+]2CCc3sc(Cl)cc3C2)cn1 ZINC000576584405 335222111 /nfs/dbraw/zinc/22/21/11/335222111.db2.gz FQHATXJDNUJBQZ-UHFFFAOYSA-N 1 2 295.839 3.737 20 0 CHADLO CC1=CCC[C@H](C)[C@@H]1C[NH2+][C@H](C1CC1)C(F)(F)F ZINC000576692838 335235197 /nfs/dbraw/zinc/23/51/97/335235197.db2.gz LIOXHEFOGNVAOD-CYZMBNFOSA-N 1 2 261.331 3.909 20 0 CHADLO CC1=CCC[C@H](C)[C@H]1C[NH2+][C@@H](C1CC1)C(F)(F)F ZINC000576692840 335235358 /nfs/dbraw/zinc/23/53/58/335235358.db2.gz LIOXHEFOGNVAOD-DRZSPHRISA-N 1 2 261.331 3.909 20 0 CHADLO CC(C)n1c[nH+]cc1CN1CCCc2c(F)cccc21 ZINC000576817687 335250944 /nfs/dbraw/zinc/25/09/44/335250944.db2.gz SYXBFBOXSPCYPR-UHFFFAOYSA-N 1 2 273.355 3.556 20 0 CHADLO Cc1cc(NC(=O)C[C@@H](C)n2cc[nH+]c2)cc(C)c1Cl ZINC000576827596 335252930 /nfs/dbraw/zinc/25/29/30/335252930.db2.gz WFKDOTBCDMDCJR-GFCCVEGCSA-N 1 2 291.782 3.743 20 0 CHADLO CCc1nnc(C[NH2+][C@H](CC(C)C)c2ccccc2)o1 ZINC000192513310 335270975 /nfs/dbraw/zinc/27/09/75/335270975.db2.gz STLWJHWDAKQPQL-CQSZACIVSA-N 1 2 273.380 3.509 20 0 CHADLO c1csc(-c2cccc(N[C@H]3CCn4cc[nH+]c43)c2)n1 ZINC000345323774 335313210 /nfs/dbraw/zinc/31/32/10/335313210.db2.gz APDHLTQMNMGZMI-ZDUSSCGKSA-N 1 2 282.372 3.564 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N(C)[C@H](C)C3CCCCC3)ccn12 ZINC000577190512 335319815 /nfs/dbraw/zinc/31/98/15/335319815.db2.gz NSPIUUGGMXIQTK-CQSZACIVSA-N 1 2 299.418 3.684 20 0 CHADLO Cc1cccc(CCSCc2nnc(C3CC3)s2)[nH+]1 ZINC000577280434 335334502 /nfs/dbraw/zinc/33/45/02/335334502.db2.gz RUDYSLULEMWKKO-UHFFFAOYSA-N 1 2 291.445 3.595 20 0 CHADLO Cc1c[nH+]c2cc(C(=O)N3CCC[C@H](CC(C)C)C3)ccn12 ZINC000577408887 335349708 /nfs/dbraw/zinc/34/97/08/335349708.db2.gz JOECEPQNXYBSPW-OAHLLOKOSA-N 1 2 299.418 3.541 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccncc1Cl ZINC000577530500 335365826 /nfs/dbraw/zinc/36/58/26/335365826.db2.gz IWSQLLVBAVMRQY-BDAKNGLRSA-N 1 2 267.785 3.603 20 0 CHADLO CCc1cccc(C[NH2+]Cc2nnc(C(C)(C)C)s2)c1 ZINC000577640076 335384546 /nfs/dbraw/zinc/38/45/46/335384546.db2.gz AGWIVMHTXZBPGJ-UHFFFAOYSA-N 1 2 289.448 3.688 20 0 CHADLO Brc1ccc([C@@H]2C[C@H]2Nc2cccc[nH+]2)s1 ZINC000348109593 335411952 /nfs/dbraw/zinc/41/19/52/335411952.db2.gz WVRPSUKSSYXISK-RKDXNWHRSA-N 1 2 295.205 3.874 20 0 CHADLO C[C@H]1C[C@@H](c2ccccc2)C[C@@H](Nc2c[nH]c[nH+]2)C1 ZINC000578855674 335680570 /nfs/dbraw/zinc/68/05/70/335680570.db2.gz PGTOJJULURAFLI-CFVMTHIKSA-N 1 2 255.365 3.794 20 0 CHADLO Cc1cccc(C(C)C)c1NC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000579014273 335700694 /nfs/dbraw/zinc/70/06/94/335700694.db2.gz QENIWIOBPUMBCL-CQSZACIVSA-N 1 2 285.391 3.905 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](CC)c2ccc(C)cc2)n1 ZINC000181703530 335779579 /nfs/dbraw/zinc/77/95/79/335779579.db2.gz MPLGGLAOLLAYHX-OCCSQVGLSA-N 1 2 273.380 3.742 20 0 CHADLO Cc1cc[nH+]c(N[C@H](C)[C@@H]2CCCCO2)c1Br ZINC000356754669 335788811 /nfs/dbraw/zinc/78/88/11/335788811.db2.gz JYOZYSYBSXBUKE-MNOVXSKESA-N 1 2 299.212 3.522 20 0 CHADLO CC[C@H]([NH2+]Cc1nc2ccccc2o1)c1nc(C)cs1 ZINC000184388756 335924701 /nfs/dbraw/zinc/92/47/01/335924701.db2.gz RQCIBKXKTGTSNT-NSHDSACASA-N 1 2 287.388 3.834 20 0 CHADLO Cc1cnc([C@H]([NH2+]Cc2c(F)cccc2F)C2CC2)s1 ZINC000185376428 335951264 /nfs/dbraw/zinc/95/12/64/335951264.db2.gz NOEMMWQRFRPMIC-CQSZACIVSA-N 1 2 294.370 3.971 20 0 CHADLO Cc1ccc(OC(F)F)c(C[NH+]2CC(C(F)(F)F)C2)c1 ZINC000581112618 336010019 /nfs/dbraw/zinc/01/00/19/336010019.db2.gz CUBIDQDDMUYYBP-UHFFFAOYSA-N 1 2 295.251 3.591 20 0 CHADLO CC1=C(C)C[C@@H](C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC000581371401 336063569 /nfs/dbraw/zinc/06/35/69/336063569.db2.gz YEEVNODNZGCHNH-HNNXBMFYSA-N 1 2 295.386 3.947 20 0 CHADLO Fc1cc2[nH+]cn(C[C@H]3CCCC3(F)F)c2cc1F ZINC000381828570 336073935 /nfs/dbraw/zinc/07/39/35/336073935.db2.gz FYIPVXGAKMFNJF-MRVPVSSYSA-N 1 2 272.245 3.750 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC=C(c3ccccn3)C2)o1 ZINC000581645696 336116675 /nfs/dbraw/zinc/11/66/75/336116675.db2.gz WIBQDGJPRVZONP-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC=C(c3ccccn3)C2)o1 ZINC000581645696 336116676 /nfs/dbraw/zinc/11/66/76/336116676.db2.gz WIBQDGJPRVZONP-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(C)c1F)c1nccs1 ZINC000384453655 336124575 /nfs/dbraw/zinc/12/45/75/336124575.db2.gz KDFJDQHEYUNKBN-LBPRGKRZSA-N 1 2 264.369 3.832 20 0 CHADLO Cc1cc(NCC[C@@H](C)F)c2cccc(F)c2[nH+]1 ZINC000581673662 336126271 /nfs/dbraw/zinc/12/62/71/336126271.db2.gz BHHMBKQAFFFYSI-SECBINFHSA-N 1 2 250.292 3.842 20 0 CHADLO CSCC1CCC(Nc2ccc(C)[nH+]c2)CC1 ZINC000385567953 336151499 /nfs/dbraw/zinc/15/14/99/336151499.db2.gz PVNSJQCRCOSEHM-UHFFFAOYSA-N 1 2 250.411 3.724 20 0 CHADLO CC[C@H](CC(C)C)c1nc(Cc2cn3ccccc3[nH+]2)no1 ZINC000581926134 336169598 /nfs/dbraw/zinc/16/95/98/336169598.db2.gz LJIRTMJGLYOPFW-CYBMUJFWSA-N 1 2 298.390 3.848 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccsc1Cl ZINC000387387399 336193449 /nfs/dbraw/zinc/19/34/49/336193449.db2.gz OKXKHTQSFURLMQ-UHFFFAOYSA-N 1 2 255.774 3.791 20 0 CHADLO C[C@H](CC1CCCCC1)C(=O)N1CCC[C@@H]1c1[nH]cc[nH+]1 ZINC000348018286 533775845 /nfs/dbraw/zinc/77/58/45/533775845.db2.gz ZKKOYWLCXDVBMY-UKRRQHHQSA-N 1 2 289.423 3.680 20 0 CHADLO Cc1ccc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000336211710 533913493 /nfs/dbraw/zinc/91/34/93/533913493.db2.gz INJGZIASLZTMPB-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1ccc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)cn1 ZINC000336211710 533913502 /nfs/dbraw/zinc/91/35/02/533913502.db2.gz INJGZIASLZTMPB-MRXNPFEDSA-N 1 2 268.360 3.710 20 0 CHADLO Cc1cc(C)c(NC(=O)N2CC3(CCC3)C[C@H]2C)c(C)[nH+]1 ZINC000334701017 534073440 /nfs/dbraw/zinc/07/34/40/534073440.db2.gz LURXIUSXWPLYRU-CYBMUJFWSA-N 1 2 287.407 3.803 20 0 CHADLO Cc1ccc(C[NH2+]Cc2nnc(C(C)C)s2)cc1C ZINC000344640556 534073892 /nfs/dbraw/zinc/07/38/92/534073892.db2.gz LSUKKHJZXBAQSG-UHFFFAOYSA-N 1 2 275.421 3.568 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)[C@H]2CC[C@@H](C)C2)c(C)[nH+]1 ZINC000334702982 534074406 /nfs/dbraw/zinc/07/44/06/534074406.db2.gz WEHQPAQGHOYPMB-YGRLFVJLSA-N 1 2 275.396 3.659 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335040379 534126921 /nfs/dbraw/zinc/12/69/21/534126921.db2.gz GZNSGVFPFIPZAO-BLVKFPJESA-N 1 2 299.867 3.634 20 0 CHADLO C[C@H](c1cccc(Cl)c1)[N@H+]1CC[S@](=O)C(C)(C)CC1 ZINC000335040379 534126926 /nfs/dbraw/zinc/12/69/26/534126926.db2.gz GZNSGVFPFIPZAO-BLVKFPJESA-N 1 2 299.867 3.634 20 0 CHADLO COc1cccc2c1cc[nH+]c2N1CCS[C@H](C)CC1 ZINC000450490750 518169095 /nfs/dbraw/zinc/16/90/95/518169095.db2.gz USSZOAWARPWVHJ-GFCCVEGCSA-N 1 2 288.416 3.575 20 0 CHADLO COc1cccc2c1cc[nH+]c2NC[C@H](C)C(F)(F)F ZINC000450455215 518169120 /nfs/dbraw/zinc/16/91/20/518169120.db2.gz NQGGUXHYEGGBIK-VIFPVBQESA-N 1 2 284.281 3.854 20 0 CHADLO C[C@@H]([NH2+]Cc1nn(C)cc1Cl)c1csc(Cl)c1 ZINC000393296744 518178309 /nfs/dbraw/zinc/17/83/09/518178309.db2.gz PWBVXQWCKCQGNX-SSDOTTSWSA-N 1 2 290.219 3.639 20 0 CHADLO CC(C)(C)C1CCN(c2cc[nH+]c(C3CC3)n2)CC1 ZINC000301315131 518608904 /nfs/dbraw/zinc/60/89/04/518608904.db2.gz LXEAWFHEVVXJFO-UHFFFAOYSA-N 1 2 259.397 3.617 20 0 CHADLO CC(C)(C)Oc1cc[nH+]cc1NC(=O)[C@H]1Cc2ccccc21 ZINC000337543792 518896849 /nfs/dbraw/zinc/89/68/49/518896849.db2.gz SRYGJCWOLFUBBE-AWEZNQCLSA-N 1 2 296.370 3.537 20 0 CHADLO CC(C)(C)c1cnc(C[NH2+]Cc2ccc(Cl)cn2)s1 ZINC000279080597 519071663 /nfs/dbraw/zinc/07/16/63/519071663.db2.gz HEEZXRYYOHQZCQ-UHFFFAOYSA-N 1 2 295.839 3.779 20 0 CHADLO CC(C)(CC(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1)C1CC1 ZINC000339902283 519194026 /nfs/dbraw/zinc/19/40/26/519194026.db2.gz NOKZLOQFZXZIFR-UHFFFAOYSA-N 1 2 283.375 3.842 20 0 CHADLO C=Cn1cc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000278207478 519323519 /nfs/dbraw/zinc/32/35/19/519323519.db2.gz JUWAETMNOULDGT-HNNXBMFYSA-N 1 2 273.767 3.584 20 0 CHADLO C=Cn1cc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)cn1 ZINC000278207478 519323527 /nfs/dbraw/zinc/32/35/27/519323527.db2.gz JUWAETMNOULDGT-HNNXBMFYSA-N 1 2 273.767 3.584 20 0 CHADLO Cc1ccncc1C[NH2+][C@@H](C)c1csc(C(C)C)n1 ZINC000354567310 534417592 /nfs/dbraw/zinc/41/75/92/534417592.db2.gz MMHITJMZAKYOSW-LBPRGKRZSA-N 1 2 275.421 3.821 20 0 CHADLO CC(C)CCC[S@](=O)Cc1[nH+]ccn1-c1ccccc1 ZINC000277582976 519648855 /nfs/dbraw/zinc/64/88/55/519648855.db2.gz UVDSCWIZGJINAM-FQEVSTJZSA-N 1 2 290.432 3.557 20 0 CHADLO CC(C)OCCCN(C)c1[nH+]ccc2ccc(F)cc21 ZINC000354328672 519762346 /nfs/dbraw/zinc/76/23/46/519762346.db2.gz NTKPYVCYGVLVBM-UHFFFAOYSA-N 1 2 276.355 3.625 20 0 CHADLO CC(C)Oc1ccc(C[N@H+](C)Cc2ccon2)cc1Cl ZINC000275032527 519778975 /nfs/dbraw/zinc/77/89/75/519778975.db2.gz ALRBINYAISLADN-UHFFFAOYSA-N 1 2 294.782 3.747 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+](C)Cc2ccon2)cc1Cl ZINC000275032527 519778976 /nfs/dbraw/zinc/77/89/76/519778976.db2.gz ALRBINYAISLADN-UHFFFAOYSA-N 1 2 294.782 3.747 20 0 CHADLO CC(C)[C@@H](NC(=O)CC1(C)CCCCC1)c1[nH]cc[nH+]1 ZINC000278554812 519796766 /nfs/dbraw/zinc/79/67/66/519796766.db2.gz GZPWYBMNAXCFGN-CQSZACIVSA-N 1 2 277.412 3.584 20 0 CHADLO CC(C)[C@@H](NC(=O)c1csc2ccccc12)c1[nH]cc[nH+]1 ZINC000276711007 519798753 /nfs/dbraw/zinc/79/87/53/519798753.db2.gz ZOZYFIAONSWLIB-CQSZACIVSA-N 1 2 299.399 3.752 20 0 CHADLO CC(C)[C@H](NC(=O)CCCSC(C)(C)C)c1[nH]cc[nH+]1 ZINC000276685930 519818306 /nfs/dbraw/zinc/81/83/06/519818306.db2.gz WSWIZEVPWRUTPQ-ZDUSSCGKSA-N 1 2 297.468 3.535 20 0 CHADLO CC(C)c1ccc(C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)cc1 ZINC000120709107 519834623 /nfs/dbraw/zinc/83/46/23/519834623.db2.gz ZNDIVNKQUYJQGS-INIZCTEOSA-N 1 2 297.402 3.901 20 0 CHADLO CC(C)c1[nH+]c2ccccc2n1Cc1noc(CC2CC2)n1 ZINC000277920063 519869792 /nfs/dbraw/zinc/86/97/92/519869792.db2.gz NHYLNAZIZBLGNJ-UHFFFAOYSA-N 1 2 296.374 3.544 20 0 CHADLO CC(C)n1ccc(C[NH2+][C@@H](C)c2ccccc2Cl)n1 ZINC000083683251 520004121 /nfs/dbraw/zinc/00/41/21/520004121.db2.gz CCGFMEIKCFTTDO-LBPRGKRZSA-N 1 2 277.799 3.968 20 0 CHADLO CC(C)n1cc[nH+]c1CN(C)[C@@H](C)c1c(F)cccc1F ZINC000337352512 520027542 /nfs/dbraw/zinc/02/75/42/520027542.db2.gz YTEQDOXSYCCYSD-LBPRGKRZSA-N 1 2 293.361 3.935 20 0 CHADLO CC(C)n1nccc1C[N@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000279571112 520098460 /nfs/dbraw/zinc/09/84/60/520098460.db2.gz FZQCSWFLXYFGAU-GFCCVEGCSA-N 1 2 293.361 3.935 20 0 CHADLO CC(C)n1nccc1C[N@@H+](C)[C@H](C)c1cc(F)ccc1F ZINC000279571112 520098465 /nfs/dbraw/zinc/09/84/65/520098465.db2.gz FZQCSWFLXYFGAU-GFCCVEGCSA-N 1 2 293.361 3.935 20 0 CHADLO CCCNc1cc(C)[nH+]c(-c2ccc(F)c(C)c2)n1 ZINC000050849006 520134178 /nfs/dbraw/zinc/13/41/78/520134178.db2.gz HQJSFAUTLKIMLJ-UHFFFAOYSA-N 1 2 259.328 3.721 20 0 CHADLO CC(C)n1ncnc1C[NH2+][C@H](C)c1ccc(F)cc1Cl ZINC000279708511 520135725 /nfs/dbraw/zinc/13/57/25/520135725.db2.gz JZKPSAAYIVVQGZ-SNVBAGLBSA-N 1 2 296.777 3.502 20 0 CHADLO CC[N@H+](C)[C@@H](c1nc([C@@H](C)C2CC2)no1)c1ccccc1 ZINC000290179929 520271144 /nfs/dbraw/zinc/27/11/44/520271144.db2.gz VIQBWYAJOAYRDU-SWLSCSKDSA-N 1 2 285.391 3.624 20 0 CHADLO CC[N@@H+](C)[C@@H](c1nc([C@@H](C)C2CC2)no1)c1ccccc1 ZINC000290179929 520271152 /nfs/dbraw/zinc/27/11/52/520271152.db2.gz VIQBWYAJOAYRDU-SWLSCSKDSA-N 1 2 285.391 3.624 20 0 CHADLO CCN(CC(C)C)C(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000264918285 520412700 /nfs/dbraw/zinc/41/27/00/520412700.db2.gz QHYDRWQYUMWUDL-UHFFFAOYSA-N 1 2 298.386 3.992 20 0 CHADLO Fc1ccc2cc[nH+]c(NCC[C@@H]3CCCCO3)c2c1 ZINC000355561854 534508884 /nfs/dbraw/zinc/50/88/84/534508884.db2.gz FTJSZCXYIRPJQJ-AWEZNQCLSA-N 1 2 274.339 3.745 20 0 CHADLO CC1=CCC[N@H+](Cc2nc3ccccc3n2C(F)F)C1 ZINC000280535855 520861009 /nfs/dbraw/zinc/86/10/09/520861009.db2.gz AHBNCQJYFYRPOL-UHFFFAOYSA-N 1 2 277.318 3.583 20 0 CHADLO CC1=CCC[N@@H+](Cc2nc3ccccc3n2C(F)F)C1 ZINC000280535855 520861015 /nfs/dbraw/zinc/86/10/15/520861015.db2.gz AHBNCQJYFYRPOL-UHFFFAOYSA-N 1 2 277.318 3.583 20 0 CHADLO CC1=CC[N@H+](Cc2ccnc(Cl)c2Cl)CC1 ZINC000290434636 520875206 /nfs/dbraw/zinc/87/52/06/520875206.db2.gz NGJMCPRERNKKBT-UHFFFAOYSA-N 1 2 257.164 3.540 20 0 CHADLO CC1=CC[N@@H+](Cc2ccnc(Cl)c2Cl)CC1 ZINC000290434636 520875224 /nfs/dbraw/zinc/87/52/24/520875224.db2.gz NGJMCPRERNKKBT-UHFFFAOYSA-N 1 2 257.164 3.540 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2csc(C)n2)c[nH+]1 ZINC000037003745 520919537 /nfs/dbraw/zinc/91/95/37/520919537.db2.gz HRDJIJBRFACNLZ-LLVKDONJSA-N 1 2 290.436 3.866 20 0 CHADLO CCC[C@H]([NH2+]Cc1[nH]ncc1C)c1ccc(F)cc1F ZINC000289430510 521165829 /nfs/dbraw/zinc/16/58/29/521165829.db2.gz DQEFCDDQHIXHPH-AWEZNQCLSA-N 1 2 279.334 3.627 20 0 CHADLO CCC[C@H]([NH2+]Cc1n[nH]cc1C)c1ccc(F)cc1F ZINC000289430510 521165834 /nfs/dbraw/zinc/16/58/34/521165834.db2.gz DQEFCDDQHIXHPH-AWEZNQCLSA-N 1 2 279.334 3.627 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@H](C)c2cc(C)ccn2)cs1 ZINC000353527357 534546434 /nfs/dbraw/zinc/54/64/34/534546434.db2.gz KXOXKYXZSOCJOZ-GHMZBOCLSA-N 1 2 261.394 3.567 20 0 CHADLO CC[N@H+](Cc1ccncc1)Cc1nc(Cl)ccc1Cl ZINC000061630744 521422762 /nfs/dbraw/zinc/42/27/62/521422762.db2.gz ZQSHBBNSSCUFOH-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC[N@@H+](Cc1ccncc1)Cc1nc(Cl)ccc1Cl ZINC000061630744 521422767 /nfs/dbraw/zinc/42/27/67/521422767.db2.gz ZQSHBBNSSCUFOH-UHFFFAOYSA-N 1 2 296.201 3.806 20 0 CHADLO CC[N@H+](Cc1ccncc1)[C@@H](C)c1c(F)cccc1F ZINC000266041375 521423333 /nfs/dbraw/zinc/42/33/33/521423333.db2.gz WBGSBXWGTWDGDO-LBPRGKRZSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@@H+](Cc1ccncc1)[C@@H](C)c1c(F)cccc1F ZINC000266041375 521423341 /nfs/dbraw/zinc/42/33/41/521423341.db2.gz WBGSBXWGTWDGDO-LBPRGKRZSA-N 1 2 276.330 3.943 20 0 CHADLO CCC(CC)([NH2+]Cc1noc(C)n1)c1ccc(Cl)cc1 ZINC000103480427 521478384 /nfs/dbraw/zinc/47/83/84/521478384.db2.gz RJLPRLHGVBHOHU-UHFFFAOYSA-N 1 2 293.798 3.837 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ccnn1-c1ccccc1C ZINC000353448903 534566257 /nfs/dbraw/zinc/56/62/57/534566257.db2.gz OPSHMZVAALLUSK-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ccnn1-c1ccccc1C ZINC000353448903 534566263 /nfs/dbraw/zinc/56/62/63/534566263.db2.gz OPSHMZVAALLUSK-UHFFFAOYSA-N 1 2 295.386 3.714 20 0 CHADLO CCC(CC)C(=O)NCc1ccc2c(c1)[nH+]c(C1CC1)n2C ZINC000074999132 521498241 /nfs/dbraw/zinc/49/82/41/521498241.db2.gz OZKGPHRTXRCQFM-UHFFFAOYSA-N 1 2 299.418 3.503 20 0 CHADLO CCCc1nc(C[NH2+]C2(c3ccccc3)CC2)cs1 ZINC000265090633 521513906 /nfs/dbraw/zinc/51/39/06/521513906.db2.gz ADFCUFAKKADVDE-UHFFFAOYSA-N 1 2 272.417 3.875 20 0 CHADLO CCOc1ccccc1[C@@H](CC(C)C)[NH2+]Cc1c[nH]cn1 ZINC000072756830 521576008 /nfs/dbraw/zinc/57/60/08/521576008.db2.gz VEMNMNHSQQRUDR-MRXNPFEDSA-N 1 2 287.407 3.685 20 0 CHADLO CCOc1ccccc1[C@H](C)Nc1ccc(C)[nH+]c1 ZINC000154231556 521586184 /nfs/dbraw/zinc/58/61/84/521586184.db2.gz YACBXZNVRANBPS-ZDUSSCGKSA-N 1 2 256.349 3.962 20 0 CHADLO CCc1ccccc1NCc1cn2c(cccc2C)[nH+]1 ZINC000157261214 521911200 /nfs/dbraw/zinc/91/12/00/521911200.db2.gz GQZLEQPJKXLGKR-UHFFFAOYSA-N 1 2 265.360 3.817 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](C)c2cc(C)ccn2)cs1 ZINC000353527358 534601622 /nfs/dbraw/zinc/60/16/22/534601622.db2.gz KXOXKYXZSOCJOZ-MNOVXSKESA-N 1 2 261.394 3.567 20 0 CHADLO CCc1cnc(CNc2cc(C)[nH+]c3c(F)cccc23)o1 ZINC000281495901 522042279 /nfs/dbraw/zinc/04/22/79/522042279.db2.gz OIMPNQZPJMOUEJ-UHFFFAOYSA-N 1 2 285.322 3.845 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2ccoc2C)cs1 ZINC000334867263 534609376 /nfs/dbraw/zinc/60/93/76/534609376.db2.gz GVERACDWHPJJEJ-CQSZACIVSA-N 1 2 262.378 3.690 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2ccoc2C)cs1 ZINC000334867263 534609380 /nfs/dbraw/zinc/60/93/80/534609380.db2.gz GVERACDWHPJJEJ-CQSZACIVSA-N 1 2 262.378 3.690 20 0 CHADLO COc1cc(C)cc(NC(=O)Nc2cc[nH+]cc2C)c1C ZINC000278891389 522246851 /nfs/dbraw/zinc/24/68/51/522246851.db2.gz QKGQVYQUHMGOET-UHFFFAOYSA-N 1 2 285.347 3.659 20 0 CHADLO COc1cc(C)[nH+]c(CSCCCCCF)c1 ZINC000340623925 522291191 /nfs/dbraw/zinc/29/11/91/522291191.db2.gz UYSHPOHXAVXJOU-UHFFFAOYSA-N 1 2 257.374 3.772 20 0 CHADLO CC[C@H](NC(=O)Nc1cc[nH+]cc1C)c1ccc(OC)cc1 ZINC000121344775 522484577 /nfs/dbraw/zinc/48/45/77/522484577.db2.gz QHKKFZZWLAFPSS-HNNXBMFYSA-N 1 2 299.374 3.671 20 0 CHADLO CC[C@@H](CSC)Nc1cc(C(F)(F)F)cc[nH+]1 ZINC000163803130 522614730 /nfs/dbraw/zinc/61/47/30/522614730.db2.gz RNBVHVQSASUACC-VIFPVBQESA-N 1 2 264.316 3.654 20 0 CHADLO CC[C@H]([NH2+]Cc1cn[nH]c1C)c1cccc(Cl)c1 ZINC000037878829 522638129 /nfs/dbraw/zinc/63/81/29/522638129.db2.gz GIZHIZPHDUZWBX-AWEZNQCLSA-N 1 2 263.772 3.612 20 0 CHADLO CC[C@H]([NH2+]Cc1ncc(CSC)s1)c1nccs1 ZINC000339275398 522671069 /nfs/dbraw/zinc/67/10/69/522671069.db2.gz RFAPFCSHRZZMOV-JTQLQIEISA-N 1 2 299.490 3.704 20 0 CHADLO CCc1nnc(C[NH2+][C@@H](c2ccccc2)C(C)(C)C)o1 ZINC000104675735 522743955 /nfs/dbraw/zinc/74/39/55/522743955.db2.gz FNFMRGWEKMRJDN-HNNXBMFYSA-N 1 2 273.380 3.509 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1nccs1)c1cccc(Cl)c1 ZINC000289834721 522766519 /nfs/dbraw/zinc/76/65/19/522766519.db2.gz APESWHVSZGTNMD-MFKMUULPSA-N 1 2 296.823 3.835 20 0 CHADLO CCc1nnc([C@H](C)[NH2+][C@H](C)c2cc(C)sc2C)o1 ZINC000354206954 522791845 /nfs/dbraw/zinc/79/18/45/522791845.db2.gz LMOAYXPIHPUWNT-ZJUUUORDSA-N 1 2 279.409 3.722 20 0 CHADLO CCc1noc(C)c1CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000292930407 522847958 /nfs/dbraw/zinc/84/79/58/522847958.db2.gz ZFVPBRMLKAHMAW-UHFFFAOYSA-N 1 2 285.269 3.571 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(Cl)o1)c1nccs1 ZINC000070717282 522874658 /nfs/dbraw/zinc/87/46/58/522874658.db2.gz WRONZAIJSBJSFZ-SECBINFHSA-N 1 2 256.758 3.630 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+](C)Cc1nncn1C(C)C ZINC000292290110 522928763 /nfs/dbraw/zinc/92/87/63/522928763.db2.gz CLRDWWQNYJIGQT-OAHLLOKOSA-N 1 2 290.386 3.581 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1nncn1C(C)C ZINC000292290110 522928776 /nfs/dbraw/zinc/92/87/76/522928776.db2.gz CLRDWWQNYJIGQT-OAHLLOKOSA-N 1 2 290.386 3.581 20 0 CHADLO CCc1nocc1C[N@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000293522023 522930943 /nfs/dbraw/zinc/93/09/43/522930943.db2.gz WDESSJOCWSYEHK-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CCc1nocc1C[N@@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000293522023 522930950 /nfs/dbraw/zinc/93/09/50/522930950.db2.gz WDESSJOCWSYEHK-UHFFFAOYSA-N 1 2 282.746 3.662 20 0 CHADLO CO[C@@H](C)[C@@H](C)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000278511730 523432001 /nfs/dbraw/zinc/43/20/01/523432001.db2.gz RLTWPDBOUBULOP-KGLIPLIRSA-N 1 2 299.418 3.722 20 0 CHADLO CO[C@@H](C)c1nc(C[NH2+]Cc2ccc(C)c(F)c2)cs1 ZINC000267629347 523472771 /nfs/dbraw/zinc/47/27/71/523472771.db2.gz NDQPFLAITOXTIH-NSHDSACASA-N 1 2 294.395 3.588 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+]Cc1ccccc1OCC1CC1 ZINC000294694677 523550971 /nfs/dbraw/zinc/55/09/71/523550971.db2.gz AKYDZNBLJKLAMN-CQSZACIVSA-N 1 2 299.418 3.543 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1cc(C)cc(OC)c1C ZINC000296308238 523557368 /nfs/dbraw/zinc/55/73/68/523557368.db2.gz DZSJKQSBPARWDN-ZDUSSCGKSA-N 1 2 273.380 3.702 20 0 CHADLO CCn1cc[nH+]c1[C@H]1CCCCN1C(=O)[C@H](C)CC(C)C ZINC000366666428 523560439 /nfs/dbraw/zinc/56/04/39/523560439.db2.gz PVZIFYMQFDMSQT-HUUCEWRRSA-N 1 2 291.439 3.639 20 0 CHADLO CCc1c2ccccc2oc1[C@@H](C)[NH2+][C@@H](C)c1ncnn1C ZINC000276726038 523728782 /nfs/dbraw/zinc/72/87/82/523728782.db2.gz YBOBWVIYUYBQOU-NEPJUHHUSA-N 1 2 298.390 3.536 20 0 CHADLO CC[C@H](C)CN(C)C(=O)c1ccccc1Oc1cc[nH+]cc1 ZINC000337849227 523866933 /nfs/dbraw/zinc/86/69/33/523866933.db2.gz XMJWTIAMTBSAPC-AWEZNQCLSA-N 1 2 298.386 3.992 20 0 CHADLO CO[C@H](C)[C@H](C)Nc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000278511733 523900159 /nfs/dbraw/zinc/90/01/59/523900159.db2.gz RLTWPDBOUBULOP-UONOGXRCSA-N 1 2 299.418 3.722 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2csc(Cl)n2)cc1C ZINC000290142492 524007973 /nfs/dbraw/zinc/00/79/73/524007973.db2.gz VWRNUCYRDCIGDD-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2csc(Cl)n2)cc1C ZINC000290142492 524007978 /nfs/dbraw/zinc/00/79/78/524007978.db2.gz VWRNUCYRDCIGDD-UHFFFAOYSA-N 1 2 296.823 3.746 20 0 CHADLO COc1ccc(C[N@H+](Cc2nc(C)cs2)C2CC2)cc1 ZINC000081646097 524015542 /nfs/dbraw/zinc/01/55/42/524015542.db2.gz GUKSJZPRJJAKFN-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO COc1ccc(C[N@@H+](Cc2nc(C)cs2)C2CC2)cc1 ZINC000081646097 524015546 /nfs/dbraw/zinc/01/55/46/524015546.db2.gz GUKSJZPRJJAKFN-UHFFFAOYSA-N 1 2 288.416 3.625 20 0 CHADLO COc1ccc(Cl)cc1N[C@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000293578398 524245694 /nfs/dbraw/zinc/24/56/94/524245694.db2.gz ALNAGLOMFUTDTG-SKDRFNHKSA-N 1 2 277.755 3.663 20 0 CHADLO COc1ccc(F)c(C[NH2+]C(C)(C)c2ncc(C)s2)c1 ZINC000339294299 524271614 /nfs/dbraw/zinc/27/16/14/524271614.db2.gz UBQJMADNTFQTIP-UHFFFAOYSA-N 1 2 294.395 3.624 20 0 CHADLO COc1ccc(F)cc1C[NH2+][C@H](C)c1cccnc1Cl ZINC000275398970 524318179 /nfs/dbraw/zinc/31/81/79/524318179.db2.gz ZLLMLYQZEYDIBL-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO C[C@H]1C[N@H+](Cc2cc(-c3cccs3)on2)CCC1(F)F ZINC000289989815 524608750 /nfs/dbraw/zinc/60/87/50/524608750.db2.gz YFNOYLMLCHPLES-JTQLQIEISA-N 1 2 298.358 3.880 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cc(-c3cccs3)on2)CCC1(F)F ZINC000289989815 524608759 /nfs/dbraw/zinc/60/87/59/524608759.db2.gz YFNOYLMLCHPLES-JTQLQIEISA-N 1 2 298.358 3.880 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@H]2CCCc3ccc(F)cc32)no1 ZINC000130757124 524668023 /nfs/dbraw/zinc/66/80/23/524668023.db2.gz IMDOARRRTZPLNH-INIZCTEOSA-N 1 2 274.339 3.632 20 0 CHADLO Cc1cc(C[N@H+](C)[C@H]2CCCc3ccc(F)cc32)no1 ZINC000130757124 524668029 /nfs/dbraw/zinc/66/80/29/524668029.db2.gz IMDOARRRTZPLNH-INIZCTEOSA-N 1 2 274.339 3.632 20 0 CHADLO COc1ccccc1[C@@H](C)Nc1cc[nH+]c(C2CC2)n1 ZINC000264644894 524729071 /nfs/dbraw/zinc/72/90/71/524729071.db2.gz NPGNGNVTBPTMIG-LLVKDONJSA-N 1 2 269.348 3.536 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccon2)ccc1Br ZINC000337310729 524765174 /nfs/dbraw/zinc/76/51/74/524765174.db2.gz BHFVMGSDPDLIQF-JTQLQIEISA-N 1 2 295.180 3.596 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(-c3cccs3)on2)CCC1(F)F ZINC000289989816 524981972 /nfs/dbraw/zinc/98/19/72/524981972.db2.gz YFNOYLMLCHPLES-SNVBAGLBSA-N 1 2 298.358 3.880 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(-c3cccs3)on2)CCC1(F)F ZINC000289989816 524981985 /nfs/dbraw/zinc/98/19/85/524981985.db2.gz YFNOYLMLCHPLES-SNVBAGLBSA-N 1 2 298.358 3.880 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nnc(-c3ccco3)o2)[C@@H]1c1ccccc1 ZINC000081574675 525037193 /nfs/dbraw/zinc/03/71/93/525037193.db2.gz MOEXHMAGNIMULW-WBMJQRKESA-N 1 2 295.342 3.523 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nnc(-c3ccco3)o2)[C@@H]1c1ccccc1 ZINC000081574675 525037204 /nfs/dbraw/zinc/03/72/04/525037204.db2.gz MOEXHMAGNIMULW-WBMJQRKESA-N 1 2 295.342 3.523 20 0 CHADLO Cc1cc(N2CCC[C@H](C3CC3)C2)nc(C(C)C)[nH+]1 ZINC000340779531 525071563 /nfs/dbraw/zinc/07/15/63/525071563.db2.gz MPAPNWDEQJNIIJ-AWEZNQCLSA-N 1 2 259.397 3.535 20 0 CHADLO C[C@H]1c2ccsc2CC[N@H+]1Cc1ccnc(Cl)c1 ZINC000050166106 525131576 /nfs/dbraw/zinc/13/15/76/525131576.db2.gz SYZUUAZTBDDZCU-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H]1c2ccsc2CC[N@@H+]1Cc1ccnc(Cl)c1 ZINC000050166106 525131582 /nfs/dbraw/zinc/13/15/82/525131582.db2.gz SYZUUAZTBDDZCU-JTQLQIEISA-N 1 2 278.808 3.916 20 0 CHADLO Cc1ccc(NC(=O)N2CCc3cccc(C)c3C2)c(C)[nH+]1 ZINC000277032395 525145147 /nfs/dbraw/zinc/14/51/47/525145147.db2.gz FODPZPKFWPIEAT-UHFFFAOYSA-N 1 2 295.386 3.597 20 0 CHADLO Cc1cc(NCc2cccnc2)c2cccc(F)c2[nH+]1 ZINC000119728743 525280136 /nfs/dbraw/zinc/28/01/36/525280136.db2.gz TVXOWUXPDDKVRA-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO C[C@H]([NH2+]Cc1cc[nH]n1)c1ccc(Cl)cc1Cl ZINC000036900047 525432834 /nfs/dbraw/zinc/43/28/34/525432834.db2.gz IHWWDZXATLSBIX-QMMMGPOBSA-N 1 2 270.163 3.567 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2ccccc2)n1)c1ccncc1F ZINC000337953063 525484459 /nfs/dbraw/zinc/48/44/59/525484459.db2.gz YPAJKXZWCIALDL-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H]2CCCOc3c(F)cccc32)no1 ZINC000290584967 525529803 /nfs/dbraw/zinc/52/98/03/525529803.db2.gz GCUFTYIASIDCPZ-FZMZJTMJSA-N 1 2 290.338 3.687 20 0 CHADLO C[C@@H]([NH2+]Cc1cnns1)c1ccc(Cl)cc1Cl ZINC000290142481 525553105 /nfs/dbraw/zinc/55/31/05/525553105.db2.gz VWHZVMSCQXTHRL-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO C[C@@H]([NH2+]Cc1nc(C2CCCCC2)cs1)c1cn[nH]c1 ZINC000278813857 525559863 /nfs/dbraw/zinc/55/98/63/525559863.db2.gz PHWOOUXFAVLWCM-LLVKDONJSA-N 1 2 290.436 3.765 20 0 CHADLO C[C@@H]([NH2+]Cc1nccn1C)c1ccc(Cl)cc1Cl ZINC000036804751 525562090 /nfs/dbraw/zinc/56/20/90/525562090.db2.gz IYNSZODZKICYNQ-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(Cl)c1Cl)c1ncnn1C ZINC000276664656 525611428 /nfs/dbraw/zinc/61/14/28/525611428.db2.gz RBWMDABFGOHBTI-DTWKUNHWSA-N 1 2 299.205 3.534 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCCCOCC1CC1 ZINC000227928075 525656792 /nfs/dbraw/zinc/65/67/92/525656792.db2.gz YBXXMHGLJPEBGA-UHFFFAOYSA-N 1 2 270.376 3.772 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@H]1CCCS1 ZINC000150195905 525657060 /nfs/dbraw/zinc/65/70/60/525657060.db2.gz LJUDUEUWBRCZEW-CYBMUJFWSA-N 1 2 258.390 3.851 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@@H](C)c2nc(C3CC3)no2)cc1C ZINC000299404073 525741861 /nfs/dbraw/zinc/74/18/61/525741861.db2.gz MXSPEJKZEBQIBP-OLZOCXBDSA-N 1 2 285.391 3.976 20 0 CHADLO Cc1ccc([C@@H](C)[NH2+][C@H](C)c2nc(C3CC3)no2)cc1 ZINC000079324054 525746508 /nfs/dbraw/zinc/74/65/08/525746508.db2.gz VVTZINDJYJGPJL-VXGBXAGGSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000292341245 525797612 /nfs/dbraw/zinc/79/76/12/525797612.db2.gz PEIXTDVKVIIGQA-UHFFFAOYSA-N 1 2 262.353 3.506 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000292341245 525797621 /nfs/dbraw/zinc/79/76/21/525797621.db2.gz PEIXTDVKVIIGQA-UHFFFAOYSA-N 1 2 262.353 3.506 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+][C@@H](C)c3ccccn3)cs2)o1 ZINC000340845819 525813788 /nfs/dbraw/zinc/81/37/88/525813788.db2.gz JROGVVLAAYHJFI-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1C[C@@H]1C(C)C ZINC000280286583 525832555 /nfs/dbraw/zinc/83/25/55/525832555.db2.gz RXJFXZNGWCEBIY-KGLIPLIRSA-N 1 2 283.375 3.616 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)C[C@H]1C=CCC1 ZINC000280188850 525832929 /nfs/dbraw/zinc/83/29/29/525832929.db2.gz MBLRUJVHYCCTGP-ZDUSSCGKSA-N 1 2 281.359 3.680 20 0 CHADLO Cc1ccsc1CN(C)c1cc(C)[nH+]c(C2CC2)n1 ZINC000338644434 525840944 /nfs/dbraw/zinc/84/09/44/525840944.db2.gz SSYGOCPIDKGXFD-UHFFFAOYSA-N 1 2 273.405 3.669 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2cc(C(C)(C)C)on2)c1 ZINC000290029317 525913118 /nfs/dbraw/zinc/91/31/18/525913118.db2.gz PZSHLXUJMHOEDC-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2cc(C(C)(C)C)on2)c1 ZINC000290029317 525913133 /nfs/dbraw/zinc/91/31/33/525913133.db2.gz PZSHLXUJMHOEDC-UHFFFAOYSA-N 1 2 288.391 3.613 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccccc2C(F)(F)F)o1 ZINC000075596538 526007270 /nfs/dbraw/zinc/00/72/70/526007270.db2.gz KHRZQPXZKJMIIT-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccccc2C(F)(F)F)o1 ZINC000075596538 526007279 /nfs/dbraw/zinc/00/72/79/526007279.db2.gz KHRZQPXZKJMIIT-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1cn[nH]c1C[N@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC000290542909 526020547 /nfs/dbraw/zinc/02/05/47/526020547.db2.gz WDAWVDOETJVVCR-UHFFFAOYSA-N 1 2 275.783 3.536 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC000290542909 526020557 /nfs/dbraw/zinc/02/05/57/526020557.db2.gz WDAWVDOETJVVCR-UHFFFAOYSA-N 1 2 275.783 3.536 20 0 CHADLO Cc1c[nH]nc1C[N@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC000290542909 526020561 /nfs/dbraw/zinc/02/05/61/526020561.db2.gz WDAWVDOETJVVCR-UHFFFAOYSA-N 1 2 275.783 3.536 20 0 CHADLO Cc1c[nH]nc1C[N@@H+](Cc1ccc(Cl)cc1)C1CC1 ZINC000290542909 526020568 /nfs/dbraw/zinc/02/05/68/526020568.db2.gz WDAWVDOETJVVCR-UHFFFAOYSA-N 1 2 275.783 3.536 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccncc1 ZINC000130251123 526035227 /nfs/dbraw/zinc/03/52/27/526035227.db2.gz PFLURNONHMYZQY-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccncc1 ZINC000130251123 526035236 /nfs/dbraw/zinc/03/52/36/526035236.db2.gz PFLURNONHMYZQY-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@H+](C)Cc1ccon1 ZINC000265846585 526066422 /nfs/dbraw/zinc/06/64/22/526066422.db2.gz OZXPZNKNADCIJU-JTQLQIEISA-N 1 2 284.281 3.886 20 0 CHADLO C[C@@H](c1cccc(C(F)(F)F)c1)[N@@H+](C)Cc1ccon1 ZINC000265846585 526066428 /nfs/dbraw/zinc/06/64/28/526066428.db2.gz OZXPZNKNADCIJU-JTQLQIEISA-N 1 2 284.281 3.886 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1cn(C(C)(C)C)nn1)C1CC1 ZINC000276789678 526088067 /nfs/dbraw/zinc/08/80/67/526088067.db2.gz SHIYGWQJDKRMBI-AWEZNQCLSA-N 1 2 298.434 3.759 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1cn(C(C)(C)C)nn1)C1CC1 ZINC000276789678 526088077 /nfs/dbraw/zinc/08/80/77/526088077.db2.gz SHIYGWQJDKRMBI-AWEZNQCLSA-N 1 2 298.434 3.759 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1cncs1 ZINC000130843649 526101096 /nfs/dbraw/zinc/10/10/96/526101096.db2.gz IXRIOIWFAVWAKR-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1cncs1 ZINC000130843649 526101103 /nfs/dbraw/zinc/10/11/03/526101103.db2.gz IXRIOIWFAVWAKR-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO Cc1cc(NCC2(c3ccccc3C)CC2)nc(C2CC2)[nH+]1 ZINC000341505970 526113256 /nfs/dbraw/zinc/11/32/56/526113256.db2.gz TXEKUTORZHJRAO-UHFFFAOYSA-N 1 2 293.414 3.536 20 0 CHADLO C[C@H](c1ccccn1)[N@H+](C)Cc1nc2ccccc2s1 ZINC000299192743 526126234 /nfs/dbraw/zinc/12/62/34/526126234.db2.gz JIGGFYOABMVAKO-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO C[C@H](c1ccccn1)[N@@H+](C)Cc1nc2ccccc2s1 ZINC000299192743 526126236 /nfs/dbraw/zinc/12/62/36/526126236.db2.gz JIGGFYOABMVAKO-GFCCVEGCSA-N 1 2 283.400 3.884 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](c2ncccc2C)C(C)C)o1 ZINC000356983956 526128779 /nfs/dbraw/zinc/12/87/79/526128779.db2.gz MPEJQQZUSSSSOC-ZIAGYGMSSA-N 1 2 273.380 3.734 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1ccc(Cl)nc1Cl ZINC000278782422 526131239 /nfs/dbraw/zinc/13/12/39/526131239.db2.gz ZNBYEMIKRSTGTE-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1ccc(Cl)nc1Cl ZINC000278782422 526131242 /nfs/dbraw/zinc/13/12/42/526131242.db2.gz ZNBYEMIKRSTGTE-SNVBAGLBSA-N 1 2 296.201 3.976 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1cccc(F)c1F ZINC000069068028 526134145 /nfs/dbraw/zinc/13/41/45/526134145.db2.gz DRYOLROOLQLYBS-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1cccc(F)c1F ZINC000069068028 526134151 /nfs/dbraw/zinc/13/41/51/526134151.db2.gz DRYOLROOLQLYBS-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C[C@H](c1ccco1)[N@H+](C)CC(=O)Nc1ccccc1Cl ZINC000069069804 526144098 /nfs/dbraw/zinc/14/40/98/526144098.db2.gz XLMUEPBVJBJMPK-LLVKDONJSA-N 1 2 292.766 3.565 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](C)CC(=O)Nc1ccccc1Cl ZINC000069069804 526144104 /nfs/dbraw/zinc/14/41/04/526144104.db2.gz XLMUEPBVJBJMPK-LLVKDONJSA-N 1 2 292.766 3.565 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1nnc(-c2ccco2)o1)C1CC1 ZINC000086235768 526146487 /nfs/dbraw/zinc/14/64/87/526146487.db2.gz IVLMSUNXSJPBHO-LLVKDONJSA-N 1 2 299.330 3.648 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1nnc(-c2ccco2)o1)C1CC1 ZINC000086235768 526146496 /nfs/dbraw/zinc/14/64/96/526146496.db2.gz IVLMSUNXSJPBHO-LLVKDONJSA-N 1 2 299.330 3.648 20 0 CHADLO C[C@H](c1ccncc1)[N@H+](C)Cc1cc(-c2cccs2)on1 ZINC000127565013 526159895 /nfs/dbraw/zinc/15/98/95/526159895.db2.gz IXQWFFRJCQNZGC-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1ccncc1)[N@@H+](C)Cc1cc(-c2cccs2)on1 ZINC000127565013 526159906 /nfs/dbraw/zinc/15/99/06/526159906.db2.gz IXQWFFRJCQNZGC-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO Cc1c[nH+]cc(NCc2c(F)cccc2Br)c1 ZINC000354535899 526209691 /nfs/dbraw/zinc/20/96/91/526209691.db2.gz DRDLFBDVWDBLIO-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO Cc1cncc([C@H](C)[NH2+]Cc2c(F)cc(F)cc2F)c1 ZINC000340487854 526216852 /nfs/dbraw/zinc/21/68/52/526216852.db2.gz MYDYASKVJNJUFQ-JTQLQIEISA-N 1 2 280.293 3.658 20 0 CHADLO C[C@H]1CC=CC[C@H]1C(=O)Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000340894279 526236463 /nfs/dbraw/zinc/23/64/63/526236463.db2.gz UBECFTADSNRTRM-GXTWGEPZSA-N 1 2 299.349 3.552 20 0 CHADLO Cc1cnccc1SCc1cn2c(cccc2C)[nH+]1 ZINC000338629379 526244397 /nfs/dbraw/zinc/24/43/97/526244397.db2.gz YUZPRXMAHNOCQC-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1ccccc1OC(F)(F)F ZINC000354522507 526287266 /nfs/dbraw/zinc/28/72/66/526287266.db2.gz UHAHOFCBHCYLNW-NSHDSACASA-N 1 2 277.261 3.519 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1ccccc1OC(F)(F)F ZINC000354522507 526287269 /nfs/dbraw/zinc/28/72/69/526287269.db2.gz UHAHOFCBHCYLNW-NSHDSACASA-N 1 2 277.261 3.519 20 0 CHADLO Cc1nnc(C[N@@H+](C(C)C)[C@@H](C)c2ccccc2)s1 ZINC000292097405 526331858 /nfs/dbraw/zinc/33/18/58/526331858.db2.gz GAOJDPNJPJAVLV-LBPRGKRZSA-N 1 2 275.421 3.818 20 0 CHADLO Cc1nnc(C[N@H+](C(C)C)[C@@H](C)c2ccccc2)s1 ZINC000292097405 526331863 /nfs/dbraw/zinc/33/18/63/526331863.db2.gz GAOJDPNJPJAVLV-LBPRGKRZSA-N 1 2 275.421 3.818 20 0 CHADLO Cc1nnc(C[N@H+](Cc2ccc3ccccc3c2)C2CC2)o1 ZINC000299136550 526333290 /nfs/dbraw/zinc/33/32/90/526333290.db2.gz PQZGYMBWEVBMAD-UHFFFAOYSA-N 1 2 293.370 3.696 20 0 CHADLO Cc1nnc(C[N@@H+](Cc2ccc3ccccc3c2)C2CC2)o1 ZINC000299136550 526333296 /nfs/dbraw/zinc/33/32/96/526333296.db2.gz PQZGYMBWEVBMAD-UHFFFAOYSA-N 1 2 293.370 3.696 20 0 CHADLO Cc1csc(C[N@@H+](C)[C@@H](C)c2cccs2)n1 ZINC000129453385 526342586 /nfs/dbraw/zinc/34/25/86/526342586.db2.gz PJZDNBWWFWZTTK-JTQLQIEISA-N 1 2 252.408 3.706 20 0 CHADLO Cc1csc(C[N@H+](C)[C@@H](C)c2cccs2)n1 ZINC000129453385 526342591 /nfs/dbraw/zinc/34/25/91/526342591.db2.gz PJZDNBWWFWZTTK-JTQLQIEISA-N 1 2 252.408 3.706 20 0 CHADLO Cc1csc(C[NH2+][C@@H](C)c2cccc(F)c2F)n1 ZINC000121909516 526360675 /nfs/dbraw/zinc/36/06/75/526360675.db2.gz JWHHDVGYTJTSEU-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO Cc1csc(CSCc2cn3cccc(C)c3[nH+]2)n1 ZINC000126902699 526366371 /nfs/dbraw/zinc/36/63/71/526366371.db2.gz GESFLSVWNNYLRY-UHFFFAOYSA-N 1 2 289.429 3.841 20 0 CHADLO Fc1ccc2cc[nH+]c(NC[C@H]3CCCSC3)c2c1 ZINC000340943780 526376789 /nfs/dbraw/zinc/37/67/89/526376789.db2.gz VBEHUOGKZJNOFS-LLVKDONJSA-N 1 2 276.380 3.929 20 0 CHADLO c1ccc([C@@H]([NH2+]Cc2nc(C3CC3)no2)C2CCC2)cc1 ZINC000104697397 526491740 /nfs/dbraw/zinc/49/17/40/526491740.db2.gz MNKFEGPYLQQWOH-MRXNPFEDSA-N 1 2 283.375 3.578 20 0 CHADLO c1ccc2c(c1)C[C@@H](CNc1ccc3ccccc3[nH+]1)O2 ZINC000037986036 526508965 /nfs/dbraw/zinc/50/89/65/526508965.db2.gz WTYXEHPBCZOKTH-HNNXBMFYSA-N 1 2 276.339 3.650 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](C)c1ccc(Cl)c(Cl)c1 ZINC000037878783 526554801 /nfs/dbraw/zinc/55/48/01/526554801.db2.gz NXMYWFNELOLJEQ-QMMMGPOBSA-N 1 2 284.190 3.876 20 0 CHADLO Brc1cc(C[N@H+]2CCC23CCC3)cs1 ZINC000353671517 526635433 /nfs/dbraw/zinc/63/54/33/526635433.db2.gz NYVKYZLFJRVTPV-UHFFFAOYSA-N 1 2 272.211 3.639 20 0 CHADLO Brc1cc(C[N@@H+]2CCC23CCC3)cs1 ZINC000353671517 526635429 /nfs/dbraw/zinc/63/54/29/526635429.db2.gz NYVKYZLFJRVTPV-UHFFFAOYSA-N 1 2 272.211 3.639 20 0 CHADLO Cc1noc([C@H]2CCCC[N@@H+]2Cc2ccc3occc3c2)n1 ZINC000289784591 526668077 /nfs/dbraw/zinc/66/80/77/526668077.db2.gz LKQVIYRNTUJJBC-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1noc([C@H]2CCCC[N@H+]2Cc2ccc3occc3c2)n1 ZINC000289784591 526668081 /nfs/dbraw/zinc/66/80/81/526668081.db2.gz LKQVIYRNTUJJBC-OAHLLOKOSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1Cn1sc2ccccc2c1=O ZINC000292735570 526668733 /nfs/dbraw/zinc/66/87/33/526668733.db2.gz UJQWLAZYEXCVEC-UHFFFAOYSA-N 1 2 298.411 3.938 20 0 CHADLO Cc1oncc1C[N@@H+]1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000372462075 526860231 /nfs/dbraw/zinc/86/02/31/526860231.db2.gz FZLPSKOINZNRFD-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1oncc1C[N@H+]1CCC[C@@H]1c1ccc(F)c(F)c1 ZINC000372462075 526860234 /nfs/dbraw/zinc/86/02/34/526860234.db2.gz FZLPSKOINZNRFD-OAHLLOKOSA-N 1 2 278.302 3.598 20 0 CHADLO Cc1nc(C[NH2+]Cc2cc(F)c(Cl)cc2F)cs1 ZINC000278729055 526889567 /nfs/dbraw/zinc/88/95/67/526889567.db2.gz UECNVURPHWKTEX-UHFFFAOYSA-N 1 2 288.750 3.673 20 0 CHADLO Clc1ncc(C[N@@H+]2CCC[C@H]2c2ccncc2)s1 ZINC000125540500 527008120 /nfs/dbraw/zinc/00/81/20/527008120.db2.gz AWDGXBDAZKVTOI-LBPRGKRZSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ncc(C[N@H+]2CCC[C@H]2c2ccncc2)s1 ZINC000125540500 527008125 /nfs/dbraw/zinc/00/81/25/527008125.db2.gz AWDGXBDAZKVTOI-LBPRGKRZSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ncc(C[NH2+]C2(c3nccs3)CCCC2)s1 ZINC000083214757 527009183 /nfs/dbraw/zinc/00/91/83/527009183.db2.gz YLUAGEJQUFFWLP-UHFFFAOYSA-N 1 2 299.852 3.812 20 0 CHADLO Cc1nc(SCc2cn3cc(Cl)ccc3[nH+]2)[nH]c1C ZINC000338582929 527021488 /nfs/dbraw/zinc/02/14/88/527021488.db2.gz UEUTZRJFAFCZSN-UHFFFAOYSA-N 1 2 292.795 3.620 20 0 CHADLO Cc1nc(SCc2[nH+]ccn2Cc2ccccc2)[nH]c1C ZINC000292748219 527021892 /nfs/dbraw/zinc/02/18/92/527021892.db2.gz VMDYJIPAVAQWQO-UHFFFAOYSA-N 1 2 298.415 3.564 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2cccc(C)c2C)no1 ZINC000372839372 527042540 /nfs/dbraw/zinc/04/25/40/527042540.db2.gz RNYXMNAGJJFXJK-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2cccc(C)c2C)no1 ZINC000372839372 527042547 /nfs/dbraw/zinc/04/25/47/527042547.db2.gz RNYXMNAGJJFXJK-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@H]2CCC[N@@H+]2Cc2scnc2C)cs1 ZINC000275155099 527081824 /nfs/dbraw/zinc/08/18/24/527081824.db2.gz VEGNJORQOKVSFN-GFCCVEGCSA-N 1 2 279.434 3.554 20 0 CHADLO Cc1nc([C@H]2CCC[N@H+]2Cc2scnc2C)cs1 ZINC000275155099 527081829 /nfs/dbraw/zinc/08/18/29/527081829.db2.gz VEGNJORQOKVSFN-GFCCVEGCSA-N 1 2 279.434 3.554 20 0 CHADLO Cn1c2ccc(NC(=O)C3CCCC3)cc2[nH+]c1C1CC1 ZINC000074985843 527102980 /nfs/dbraw/zinc/10/29/80/527102980.db2.gz GIOZJFCODZNCCL-UHFFFAOYSA-N 1 2 283.375 3.579 20 0 CHADLO Cc1nc(N[C@H](C)c2ccccc2OC(F)F)cc[nH+]1 ZINC000266173659 527352917 /nfs/dbraw/zinc/35/29/17/527352917.db2.gz SFHWFOVGFCAZRC-SECBINFHSA-N 1 2 279.290 3.560 20 0 CHADLO Cc1[nH+]cccc1Cc1nc([C@@H](C)c2cccs2)no1 ZINC000289165956 527362982 /nfs/dbraw/zinc/36/29/82/527362982.db2.gz UUQXIZMVLNSFPJ-JTQLQIEISA-N 1 2 285.372 3.577 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)NC2(C)CCCC2)c1 ZINC000265563636 527422213 /nfs/dbraw/zinc/42/22/13/527422213.db2.gz VDVGJBPEGUCCAF-UHFFFAOYSA-N 1 2 298.390 3.541 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+]Cc1cc(F)c(Cl)cc1F ZINC000278810620 527455447 /nfs/dbraw/zinc/45/54/47/527455447.db2.gz NMUFBNKARGAKJU-SECBINFHSA-N 1 2 297.736 3.567 20 0 CHADLO OCCC1(CNc2[nH+]ccc3ccc(F)cc32)CCCC1 ZINC000354536541 527533341 /nfs/dbraw/zinc/53/33/41/527533341.db2.gz JIJJYKMWGUWASS-UHFFFAOYSA-N 1 2 288.366 3.729 20 0 CHADLO FC(F)c1ccc(C[N@H+]2CCC[C@@H]2C(F)F)cc1 ZINC000353185228 527698915 /nfs/dbraw/zinc/69/89/15/527698915.db2.gz BVDDYIPVGFYWCW-LLVKDONJSA-N 1 2 261.262 3.854 20 0 CHADLO FC(F)c1ccc(C[N@@H+]2CCC[C@@H]2C(F)F)cc1 ZINC000353185228 527698916 /nfs/dbraw/zinc/69/89/16/527698916.db2.gz BVDDYIPVGFYWCW-LLVKDONJSA-N 1 2 261.262 3.854 20 0 CHADLO CCCCn1ncc(NCCCc2ccc(C)[nH+]c2)c1C ZINC000354579715 527707942 /nfs/dbraw/zinc/70/79/42/527707942.db2.gz BNVQVHIWQPGIMR-UHFFFAOYSA-N 1 2 286.423 3.740 20 0 CHADLO CC(C)CC[C@@](C)(O)CNc1ccc2ccccc2[nH+]1 ZINC000301367286 527835807 /nfs/dbraw/zinc/83/58/07/527835807.db2.gz RGYZORRWOBIYEX-QGZVFWFLSA-N 1 2 272.392 3.834 20 0 CHADLO CC1(CC(=O)Nc2ccccc2-n2cc[nH+]c2)CCCC1 ZINC000347908355 527918172 /nfs/dbraw/zinc/91/81/72/527918172.db2.gz LDGAQLHFJMEMLN-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc(SC)c[nH+]2)C12CCC2 ZINC000347264958 528145375 /nfs/dbraw/zinc/14/53/75/528145375.db2.gz WSDPADBGXDGWLO-STQMWFEESA-N 1 2 278.421 3.563 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCOC[C@@H]2CC2CCC2)s1 ZINC000354731612 528214554 /nfs/dbraw/zinc/21/45/54/528214554.db2.gz MBBQKHFVNCYGCR-AWEZNQCLSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCOC[C@@H]2CC2CCC2)s1 ZINC000354731612 528214558 /nfs/dbraw/zinc/21/45/58/528214558.db2.gz MBBQKHFVNCYGCR-AWEZNQCLSA-N 1 2 294.464 3.658 20 0 CHADLO CC(C)C[C@@H]([NH2+]Cc1ccn[nH]1)c1ccc(Cl)cc1 ZINC000344515021 528359967 /nfs/dbraw/zinc/35/99/67/528359967.db2.gz CQNYSNQAGLPUOM-OAHLLOKOSA-N 1 2 277.799 3.940 20 0 CHADLO CC(C)c1nc(N2CC[C@@]3(CC[C@@H](C)C3)C2)cc[nH+]1 ZINC000302051611 528364871 /nfs/dbraw/zinc/36/48/71/528364871.db2.gz ODZVNKKUPNKYFN-CZUORRHYSA-N 1 2 259.397 3.617 20 0 CHADLO CC(C)c1nc(N2CCC[C@H](Oc3ccccc3)C2)cc[nH+]1 ZINC000354651233 528365768 /nfs/dbraw/zinc/36/57/68/528365768.db2.gz MIBSXWMCQCBHSE-INIZCTEOSA-N 1 2 297.402 3.648 20 0 CHADLO CC(C)c1nnc(C[NH2+]Cc2ccc([C@@H]3C[C@H]3C)o2)s1 ZINC000344627905 528443005 /nfs/dbraw/zinc/44/30/05/528443005.db2.gz GVJKGGXQOSFZPY-ZYHUDNBSSA-N 1 2 291.420 3.668 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1ccc(Cl)o1)c1nc(C2CC2)no1 ZINC000347836908 528590216 /nfs/dbraw/zinc/59/02/16/528590216.db2.gz LBYRIPVNIDEDPY-GFCCVEGCSA-N 1 2 295.770 3.680 20 0 CHADLO CC1(C)CC=C(C(=O)N2CCCC[C@H]2c2[nH]cc[nH+]2)CC1 ZINC000334552548 528891289 /nfs/dbraw/zinc/89/12/89/528891289.db2.gz AWRPDNSMKXITJQ-AWEZNQCLSA-N 1 2 287.407 3.600 20 0 CHADLO CCCC[C@H](SCc1ccc(C)[nH+]c1C)C(=O)OC ZINC000355937246 528998274 /nfs/dbraw/zinc/99/82/74/528998274.db2.gz SXSJVSDJJPMKOB-AWEZNQCLSA-N 1 2 281.421 3.663 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1nnc(C)s1)c1cc(F)ccc1F ZINC000354973166 529201399 /nfs/dbraw/zinc/20/13/99/529201399.db2.gz WMWYDLOIRNVIQI-ISVAXAHUSA-N 1 2 297.374 3.927 20 0 CHADLO CC[C@@H](Nc1ccc(C)[nH+]c1)c1cccc(OC)c1 ZINC000347132572 529209817 /nfs/dbraw/zinc/20/98/17/529209817.db2.gz PRRJOAUDUUYUFH-MRXNPFEDSA-N 1 2 256.349 3.962 20 0 CHADLO CC[C@@H](C)CCC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000350629822 529310704 /nfs/dbraw/zinc/31/07/04/529310704.db2.gz SLEJMVFVSSVLNH-CYBMUJFWSA-N 1 2 285.391 3.852 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@@H+]1Cc1cscc1C(F)(F)F ZINC000356091598 529311102 /nfs/dbraw/zinc/31/11/02/529311102.db2.gz GLNCKIBYJFEWGH-KOLCDFICSA-N 1 2 293.354 3.766 20 0 CHADLO CC[C@H]1CO[C@H](C)C[N@H+]1Cc1cscc1C(F)(F)F ZINC000356091598 529311103 /nfs/dbraw/zinc/31/11/03/529311103.db2.gz GLNCKIBYJFEWGH-KOLCDFICSA-N 1 2 293.354 3.766 20 0 CHADLO CCC(CC)CC(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000342030584 535009869 /nfs/dbraw/zinc/00/98/69/535009869.db2.gz BSFBKYXXXPQGOR-UHFFFAOYSA-N 1 2 285.391 3.519 20 0 CHADLO CC[C@H](C)[C@@H]([NH2+]Cc1noc(C)n1)c1ccc(Cl)cc1 ZINC000341860657 535872932 /nfs/dbraw/zinc/87/29/32/535872932.db2.gz SDKQCIMPJHJGEF-ZUZCIYMTSA-N 1 2 293.798 3.908 20 0 CHADLO COc1cc[nH+]cc1CSCCc1cccc(F)c1F ZINC000342024035 537121175 /nfs/dbraw/zinc/12/11/75/537121175.db2.gz OOCVMZXYWYGTNX-UHFFFAOYSA-N 1 2 295.354 3.844 20 0 CHADLO CC[N@H+](Cc1nc(C(C)C)no1)Cc1ccccc1C ZINC000048059345 1125480648 /nfs/dbraw/zinc/48/06/48/1125480648.db2.gz IBGHZKUGLDFGFS-UHFFFAOYSA-N 1 2 273.380 3.524 20 0 CHADLO CC[N@@H+](Cc1nc(C(C)C)no1)Cc1ccccc1C ZINC000048059345 1125480650 /nfs/dbraw/zinc/48/06/50/1125480650.db2.gz IBGHZKUGLDFGFS-UHFFFAOYSA-N 1 2 273.380 3.524 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nc(C)cs1)c1c(C)noc1C ZINC000623925842 1117957743 /nfs/dbraw/zinc/95/77/43/1117957743.db2.gz QUNDKBNYJRLYML-ZYHUDNBSSA-N 1 2 279.409 3.858 20 0 CHADLO CCS[C@H](C)c1noc(C[N@H+]2[C@H](C)CCC[C@@H]2C)n1 ZINC000130710312 1125484842 /nfs/dbraw/zinc/48/48/42/1125484842.db2.gz DQRRUGIJPRPRCP-GRYCIOLGSA-N 1 2 283.441 3.647 20 0 CHADLO CCS[C@H](C)c1noc(C[N@@H+]2[C@H](C)CCC[C@@H]2C)n1 ZINC000130710312 1125484844 /nfs/dbraw/zinc/48/48/44/1125484844.db2.gz DQRRUGIJPRPRCP-GRYCIOLGSA-N 1 2 283.441 3.647 20 0 CHADLO Fc1cc(C[NH+]2CC(CC(F)(F)F)C2)ccc1Cl ZINC000656686580 1118635529 /nfs/dbraw/zinc/63/55/29/1118635529.db2.gz OTKMLAPEOLFRSB-UHFFFAOYSA-N 1 2 281.680 3.863 20 0 CHADLO C[C@H](C(=O)Nc1cccc(-n2cc[nH+]c2)c1)c1ccccc1 ZINC000131321101 1125490383 /nfs/dbraw/zinc/49/03/83/1125490383.db2.gz SHUBYTBZUYORTJ-AWEZNQCLSA-N 1 2 291.354 3.615 20 0 CHADLO Cc1ccc2c(Nc3cccc(NC(N)=O)c3)cccc2[nH+]1 ZINC001212798508 1125490799 /nfs/dbraw/zinc/49/07/99/1125490799.db2.gz JNXHASDWAILXGY-UHFFFAOYSA-N 1 2 292.342 3.777 20 0 CHADLO Fc1ccc(F)c(C[N@H+]2CCC3(CC3)C2)c1Cl ZINC001138001290 1131449029 /nfs/dbraw/zinc/44/90/29/1131449029.db2.gz VWOBCZZEMFENIE-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO CCCc1nc(C[N@@H+]2CCC[C@H]2c2ccc[nH]2)cs1 ZINC000114714806 1125498839 /nfs/dbraw/zinc/49/88/39/1125498839.db2.gz IEXSDIABYPVLAO-AWEZNQCLSA-N 1 2 275.421 3.761 20 0 CHADLO CCCc1nc(C[N@H+]2CCC[C@H]2c2ccc[nH]2)cs1 ZINC000114714806 1125498846 /nfs/dbraw/zinc/49/88/46/1125498846.db2.gz IEXSDIABYPVLAO-AWEZNQCLSA-N 1 2 275.421 3.761 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@@H](C)c2cccc(Cl)c2)n1 ZINC000114745874 1125499153 /nfs/dbraw/zinc/49/91/53/1125499153.db2.gz PBYBMJAAOUSKPW-UWVGGRQHSA-N 1 2 279.771 3.697 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](C)c2cccc(Cl)c2)n1 ZINC000114745875 1125499217 /nfs/dbraw/zinc/49/92/17/1125499217.db2.gz PBYBMJAAOUSKPW-NXEZZACHSA-N 1 2 279.771 3.697 20 0 CHADLO COc1cccc(Cl)c1C[NH+]1CC2(C1)CC(F)(F)C2 ZINC001140725656 1119736718 /nfs/dbraw/zinc/73/67/18/1119736718.db2.gz GLDYXWVYOSIXNV-UHFFFAOYSA-N 1 2 287.737 3.580 20 0 CHADLO COc1c(Cl)cccc1C[NH2+]Cc1nc(C)c(C)s1 ZINC000897480055 1125504173 /nfs/dbraw/zinc/50/41/73/1125504173.db2.gz PHOCZMXCAUNGNR-UHFFFAOYSA-N 1 2 296.823 3.712 20 0 CHADLO C[C@H]1CCC[C@H](C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)C1 ZINC000133030694 1125507252 /nfs/dbraw/zinc/50/72/52/1125507252.db2.gz AMWBLGBCNRSYPL-KBPBESRZSA-N 1 2 283.375 3.637 20 0 CHADLO Clc1snnc1C[N@@H+](CC1CC1)C1CCCCC1 ZINC000057631136 1120168030 /nfs/dbraw/zinc/16/80/30/1120168030.db2.gz HQWLLPTWKONCNC-UHFFFAOYSA-N 1 2 285.844 3.736 20 0 CHADLO CCc1nc([C@H]2CCCC[N@@H+]2CCC=C(C)C)no1 ZINC000659850010 1120190596 /nfs/dbraw/zinc/19/05/96/1120190596.db2.gz LVTJOKDAEBXIKE-CYBMUJFWSA-N 1 2 263.385 3.515 20 0 CHADLO CCc1nc([C@H]2CCCC[N@H+]2CCC=C(C)C)no1 ZINC000659850010 1120190599 /nfs/dbraw/zinc/19/05/99/1120190599.db2.gz LVTJOKDAEBXIKE-CYBMUJFWSA-N 1 2 263.385 3.515 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(C3CCCC3)n2)[C@@H]1C ZINC000339579047 1121404468 /nfs/dbraw/zinc/40/44/68/1121404468.db2.gz KXXDHGWNTQKLHN-GHMZBOCLSA-N 1 2 250.411 3.641 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(C3CCCC3)n2)[C@@H]1C ZINC000339579047 1121404471 /nfs/dbraw/zinc/40/44/71/1121404471.db2.gz KXXDHGWNTQKLHN-GHMZBOCLSA-N 1 2 250.411 3.641 20 0 CHADLO C[N@H+](CCOC(C)(C)C)Cc1ccnc(Cl)c1Cl ZINC000811464326 1121694647 /nfs/dbraw/zinc/69/46/47/1121694647.db2.gz DGSFWRPTFIOMEL-UHFFFAOYSA-N 1 2 291.222 3.635 20 0 CHADLO C[N@@H+](CCOC(C)(C)C)Cc1ccnc(Cl)c1Cl ZINC000811464326 1121694652 /nfs/dbraw/zinc/69/46/52/1121694652.db2.gz DGSFWRPTFIOMEL-UHFFFAOYSA-N 1 2 291.222 3.635 20 0 CHADLO C[C@H](CNCc1ccc(Cl)s1)[N@@H+]1CCCC[C@@H]1C ZINC001177278162 1121723547 /nfs/dbraw/zinc/72/35/47/1121723547.db2.gz TWTOCHVJKMYNCJ-NWDGAFQWSA-N 1 2 286.872 3.754 20 0 CHADLO C[C@H](CNCc1ccc(Cl)s1)[N@H+]1CCCC[C@@H]1C ZINC001177278162 1121723555 /nfs/dbraw/zinc/72/35/55/1121723555.db2.gz TWTOCHVJKMYNCJ-NWDGAFQWSA-N 1 2 286.872 3.754 20 0 CHADLO CC[C@@H](C)NC(=O)[C@H](c1ccccc1Cl)[NH+](CC)CC ZINC001182223027 1122122404 /nfs/dbraw/zinc/12/24/04/1122122404.db2.gz INYWREPFNFMJCR-DOMZBBRYSA-N 1 2 296.842 3.638 20 0 CHADLO Cc1nn(C(C)(C)C)cc1[C@@H](C)Nc1cccc[nH+]1 ZINC000271975901 1122185468 /nfs/dbraw/zinc/18/54/68/1122185468.db2.gz VQQJEOCTVJOKBZ-LLVKDONJSA-N 1 2 258.369 3.515 20 0 CHADLO CC[NH+](CC)c1ccc(C)c(-c2cnc(C)nc2)c1 ZINC001205874805 1123044864 /nfs/dbraw/zinc/04/48/64/1123044864.db2.gz ARCNVBNUMMYYJI-UHFFFAOYSA-N 1 2 255.365 3.607 20 0 CHADLO Cc1cccc(C[N@H+](Cc2csnn2)CC(C)C)c1 ZINC000507738089 1123073545 /nfs/dbraw/zinc/07/35/45/1123073545.db2.gz GMWUBQYRXAOIHR-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO CCC[N@H+]1CC[C@@H](Cc2ccc(F)cc2)C(F)(F)C1 ZINC001201652963 1123136585 /nfs/dbraw/zinc/13/65/85/1123136585.db2.gz ZHOOFGWDDLSNHF-ZDUSSCGKSA-N 1 2 271.326 3.735 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@@H+]1CCCC(=O)[C@@H](F)C1 ZINC001201977535 1123159652 /nfs/dbraw/zinc/15/96/52/1123159652.db2.gz RYHKQYYRWBYXLC-GJZGRUSLSA-N 1 2 269.404 3.762 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@H+]1CCCC(=O)[C@@H](F)C1 ZINC001201977535 1123159653 /nfs/dbraw/zinc/15/96/53/1123159653.db2.gz RYHKQYYRWBYXLC-GJZGRUSLSA-N 1 2 269.404 3.762 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@@H+]1Cc2cncnc2C1 ZINC001201976813 1123159876 /nfs/dbraw/zinc/15/98/76/1123159876.db2.gz PPEKKSDWLSPRGC-AWEZNQCLSA-N 1 2 259.397 3.565 20 0 CHADLO CC(C)=CCC[C@H](C)CC[N@H+]1Cc2cncnc2C1 ZINC001201976813 1123159877 /nfs/dbraw/zinc/15/98/77/1123159877.db2.gz PPEKKSDWLSPRGC-AWEZNQCLSA-N 1 2 259.397 3.565 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@@H+]1CCC(=O)[C@H](C)C1 ZINC000429673970 1123161825 /nfs/dbraw/zinc/16/18/25/1123161825.db2.gz SYNSAIIXWUDQLK-BXUZGUMPSA-N 1 2 265.784 3.702 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)[N@H+]1CCC(=O)[C@H](C)C1 ZINC000429673970 1123161826 /nfs/dbraw/zinc/16/18/26/1123161826.db2.gz SYNSAIIXWUDQLK-BXUZGUMPSA-N 1 2 265.784 3.702 20 0 CHADLO C[C@@H]1C[NH+](Cc2ccnc(Cl)c2F)C[C@@H](C)C1(F)F ZINC000862443723 1123811820 /nfs/dbraw/zinc/81/18/20/1123811820.db2.gz QONTVIFXHHRDLQ-RKDXNWHRSA-N 1 2 292.732 3.597 20 0 CHADLO Cc1ccc(C[NH2+][C@H](C)c2cc(Cl)ccc2Cl)nn1 ZINC000396536698 1123863441 /nfs/dbraw/zinc/86/34/41/1123863441.db2.gz JLVOZAPXZBLFQN-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO C[C@@H]([NH2+][C@H](C)C(C)(F)F)c1cccc(OC(F)F)c1 ZINC000389458901 1124027866 /nfs/dbraw/zinc/02/78/66/1124027866.db2.gz DBWMYXYAYAVZLU-RKDXNWHRSA-N 1 2 279.277 3.982 20 0 CHADLO C[C@]1(F)CCC[N@H+](Cc2ccccc2OC(F)F)C1 ZINC000449352613 1124698001 /nfs/dbraw/zinc/69/80/01/1124698001.db2.gz VWLMDWHBDMLXIV-AWEZNQCLSA-N 1 2 273.298 3.612 20 0 CHADLO C[C@]1(F)CCC[N@@H+](Cc2ccccc2OC(F)F)C1 ZINC000449352613 1124698004 /nfs/dbraw/zinc/69/80/04/1124698004.db2.gz VWLMDWHBDMLXIV-AWEZNQCLSA-N 1 2 273.298 3.612 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nc(Cl)cs2)c1 ZINC000876572475 1124961916 /nfs/dbraw/zinc/96/19/16/1124961916.db2.gz DWDAXFOVRPOXTA-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nc(Cl)cs2)c1 ZINC000876572475 1124961924 /nfs/dbraw/zinc/96/19/24/1124961924.db2.gz DWDAXFOVRPOXTA-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(C)c(F)c2)o1 ZINC000223892871 1125386010 /nfs/dbraw/zinc/38/60/10/1125386010.db2.gz SEGJRPFLFLKLTG-LLVKDONJSA-N 1 2 262.328 3.535 20 0 CHADLO CCc1noc(C)c1[C@H](C)Nc1c[nH+]c(C)c(C)c1 ZINC000883342173 1125398938 /nfs/dbraw/zinc/39/89/38/1125398938.db2.gz NCYBLAYWGCETFX-NSHDSACASA-N 1 2 259.353 3.730 20 0 CHADLO FC1(F)CCC[N@@H+]([C@@H]2CCc3cc(Cl)ccc3C2)C1 ZINC001171115774 1131547040 /nfs/dbraw/zinc/54/70/40/1131547040.db2.gz GGTGOFMGOPWXPI-CQSZACIVSA-N 1 2 285.765 3.928 20 0 CHADLO FC1(F)CCC[N@H+]([C@@H]2CCc3cc(Cl)ccc3C2)C1 ZINC001171115774 1131547045 /nfs/dbraw/zinc/54/70/45/1131547045.db2.gz GGTGOFMGOPWXPI-CQSZACIVSA-N 1 2 285.765 3.928 20 0 CHADLO FC1(F)C[C@@H]1[NH2+][C@@H]1CCc2cc(Cl)c(Cl)cc2C1 ZINC001171139488 1131584910 /nfs/dbraw/zinc/58/49/10/1131584910.db2.gz MVOXMULOQGWCLR-SKDRFNHKSA-N 1 2 292.156 3.848 20 0 CHADLO C[C@]1(F)CCCC[N@H+](Cc2nc3c(s2)CCCC3)C1 ZINC001231581038 1132317553 /nfs/dbraw/zinc/31/75/53/1132317553.db2.gz WBQQRFRJSDZGRK-HNNXBMFYSA-N 1 2 282.428 3.736 20 0 CHADLO C[C@]1(F)CCCC[N@@H+](Cc2nc3c(s2)CCCC3)C1 ZINC001231581038 1132317560 /nfs/dbraw/zinc/31/75/60/1132317560.db2.gz WBQQRFRJSDZGRK-HNNXBMFYSA-N 1 2 282.428 3.736 20 0 CHADLO OC[C@@H]1CC(F)(F)C[N@@H+]1C1CCC2(CCCCC2)CC1 ZINC001173117807 1132398399 /nfs/dbraw/zinc/39/83/99/1132398399.db2.gz NJZRMYWPUOOMAM-AWEZNQCLSA-N 1 2 287.394 3.581 20 0 CHADLO OC[C@@H]1CC(F)(F)C[N@H+]1C1CCC2(CCCCC2)CC1 ZINC001173117807 1132398408 /nfs/dbraw/zinc/39/84/08/1132398408.db2.gz NJZRMYWPUOOMAM-AWEZNQCLSA-N 1 2 287.394 3.581 20 0 CHADLO C[N@H+](Cc1cnsc1)Cc1ccc(C(F)(F)F)cc1 ZINC001232230174 1132440544 /nfs/dbraw/zinc/44/05/44/1132440544.db2.gz GPVQKGKDNNUBKY-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1cnsc1)Cc1ccc(C(F)(F)F)cc1 ZINC001232230174 1132440547 /nfs/dbraw/zinc/44/05/47/1132440547.db2.gz GPVQKGKDNNUBKY-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO CO[C@H](C)C1C[NH+](Cc2cc(F)c(Cl)cc2Cl)C1 ZINC001232702922 1132507546 /nfs/dbraw/zinc/50/75/46/1132507546.db2.gz LTVBPGUVYGYZET-MRVPVSSYSA-N 1 2 292.181 3.599 20 0 CHADLO CCCC1CC[NH+](Cc2ccc(F)c(O)c2F)CC1 ZINC001232936648 1132545117 /nfs/dbraw/zinc/54/51/17/1132545117.db2.gz VUIOYQAATYJDOY-UHFFFAOYSA-N 1 2 269.335 3.683 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2c(F)ccc(O)c2F)CCC1(F)F ZINC001233393726 1132615729 /nfs/dbraw/zinc/61/57/29/1132615729.db2.gz JABICQXIZRLILN-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2c(F)ccc(O)c2F)CCC1(F)F ZINC001233393726 1132615730 /nfs/dbraw/zinc/61/57/30/1132615730.db2.gz JABICQXIZRLILN-SECBINFHSA-N 1 2 291.288 3.538 20 0 CHADLO Cc1cc(N(C)CC(F)F)[nH+]c2c(C)cccc12 ZINC001158925372 1132797232 /nfs/dbraw/zinc/79/72/32/1132797232.db2.gz JIWYSXRGFXWHIB-UHFFFAOYSA-N 1 2 250.292 3.553 20 0 CHADLO CC(C)(Nc1[nH]c2ccc(Br)cc2[nH+]1)C1CC1 ZINC001162518520 1133085542 /nfs/dbraw/zinc/08/55/42/1133085542.db2.gz VMCRFOMSWDZRGJ-UHFFFAOYSA-N 1 2 294.196 3.926 20 0 CHADLO CC(C)(Nc1[nH]c2cc(Br)ccc2[nH+]1)C1CC1 ZINC001162518520 1133085547 /nfs/dbraw/zinc/08/55/47/1133085547.db2.gz VMCRFOMSWDZRGJ-UHFFFAOYSA-N 1 2 294.196 3.926 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cnccc2Br)c1 ZINC001203656038 1133349285 /nfs/dbraw/zinc/34/92/85/1133349285.db2.gz IQBJHLHLQSCLCE-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO Fc1ccc(C[N@H+]2CCCC[C@H](F)C2)c(Cl)c1 ZINC001204435308 1133447616 /nfs/dbraw/zinc/44/76/16/1133447616.db2.gz XOUUCRPPNGRQBD-LBPRGKRZSA-N 1 2 259.727 3.803 20 0 CHADLO Fc1ccc(C[N@@H+]2CCCC[C@H](F)C2)c(Cl)c1 ZINC001204435308 1133447619 /nfs/dbraw/zinc/44/76/19/1133447619.db2.gz XOUUCRPPNGRQBD-LBPRGKRZSA-N 1 2 259.727 3.803 20 0 CHADLO Cc1cccc(C[N@H+]2CC[C@H](C)C(F)(F)C2)c1C ZINC001205066623 1133522790 /nfs/dbraw/zinc/52/27/90/1133522790.db2.gz GCBPOGXWARFHDX-LBPRGKRZSA-N 1 2 253.336 3.781 20 0 CHADLO Cc1cccc(C[N@@H+]2CC[C@H](C)C(F)(F)C2)c1C ZINC001205066623 1133522797 /nfs/dbraw/zinc/52/27/97/1133522797.db2.gz GCBPOGXWARFHDX-LBPRGKRZSA-N 1 2 253.336 3.781 20 0 CHADLO Fc1cccc(C[NH2+]Cc2ccsc2Cl)c1F ZINC000312749057 1135135997 /nfs/dbraw/zinc/13/59/97/1135135997.db2.gz BJHNHSQYIIXBTA-UHFFFAOYSA-N 1 2 273.735 3.970 20 0 CHADLO Fc1ccc2oc(C[N@@H+]3CCC[C@@H]3c3ccc[nH]3)nc2c1 ZINC000132985540 1125507863 /nfs/dbraw/zinc/50/78/63/1125507863.db2.gz AIUWUGYXXLHRSI-CQSZACIVSA-N 1 2 285.322 3.632 20 0 CHADLO Fc1ccc2oc(C[N@H+]3CCC[C@@H]3c3ccc[nH]3)nc2c1 ZINC000132985540 1125507867 /nfs/dbraw/zinc/50/78/67/1125507867.db2.gz AIUWUGYXXLHRSI-CQSZACIVSA-N 1 2 285.322 3.632 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)Cc1nc2cc(F)ccc2o1 ZINC000134103218 1125514961 /nfs/dbraw/zinc/51/49/61/1125514961.db2.gz MPVXDCFRTXIOQZ-JTQLQIEISA-N 1 2 274.295 3.753 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)Cc1nc2cc(F)ccc2o1 ZINC000134103218 1125514964 /nfs/dbraw/zinc/51/49/64/1125514964.db2.gz MPVXDCFRTXIOQZ-JTQLQIEISA-N 1 2 274.295 3.753 20 0 CHADLO CCCCC[N@H+](Cc1nnc(C)o1)Cc1ccc(F)cc1 ZINC000053134056 1125520540 /nfs/dbraw/zinc/52/05/40/1125520540.db2.gz WWJXKMCKDFQDEO-UHFFFAOYSA-N 1 2 291.370 3.710 20 0 CHADLO CCCCC[N@@H+](Cc1nnc(C)o1)Cc1ccc(F)cc1 ZINC000053134056 1125520548 /nfs/dbraw/zinc/52/05/48/1125520548.db2.gz WWJXKMCKDFQDEO-UHFFFAOYSA-N 1 2 291.370 3.710 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@H]2c2cccn2C)cs1 ZINC000193793842 1125549532 /nfs/dbraw/zinc/54/95/32/1125549532.db2.gz CUMJAFYWOAMIDB-HNNXBMFYSA-N 1 2 289.448 3.942 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@H]2c2cccn2C)cs1 ZINC000193793842 1125549536 /nfs/dbraw/zinc/54/95/36/1125549536.db2.gz CUMJAFYWOAMIDB-HNNXBMFYSA-N 1 2 289.448 3.942 20 0 CHADLO COc1ccc(C[N@H+](Cc2cn[nH]c2C)[C@@H](C)C2CC2)cc1 ZINC000120718753 1125551135 /nfs/dbraw/zinc/55/11/35/1125551135.db2.gz MLDSFNOYTOSAPU-AWEZNQCLSA-N 1 2 299.418 3.527 20 0 CHADLO COc1ccc(C[N@@H+](Cc2cn[nH]c2C)[C@@H](C)C2CC2)cc1 ZINC000120718753 1125551141 /nfs/dbraw/zinc/55/11/41/1125551141.db2.gz MLDSFNOYTOSAPU-AWEZNQCLSA-N 1 2 299.418 3.527 20 0 CHADLO C[C@@H](c1ccccn1)[N@@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000120961370 1125554016 /nfs/dbraw/zinc/55/40/16/1125554016.db2.gz ZXHBUKYXSWQWGP-DVOMOZLQSA-N 1 2 288.416 3.666 20 0 CHADLO C[C@@H](c1ccccn1)[N@H+]1C[C@@H](C)O[C@@H](c2ccsc2)C1 ZINC000120961370 1125554020 /nfs/dbraw/zinc/55/40/20/1125554020.db2.gz ZXHBUKYXSWQWGP-DVOMOZLQSA-N 1 2 288.416 3.666 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](CN(C)C)c2ccc(Cl)cc2)o1 ZINC000282927176 1125592601 /nfs/dbraw/zinc/59/26/01/1125592601.db2.gz WFXNZQBWFVLCQY-INIZCTEOSA-N 1 2 292.810 3.634 20 0 CHADLO Cc1nc(COc2ccccc2-n2cc[nH+]c2)c(C)s1 ZINC000533572930 1125616163 /nfs/dbraw/zinc/61/61/63/1125616163.db2.gz BCCHLTGYSXKNHK-UHFFFAOYSA-N 1 2 285.372 3.525 20 0 CHADLO C[C@H]1C[N@H+](Cc2ccccc2F)C[C@@H](c2ccsc2)O1 ZINC000246341160 1125619933 /nfs/dbraw/zinc/61/99/33/1125619933.db2.gz FETHUXPSDSWOBI-LRDDRELGSA-N 1 2 291.391 3.849 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ccccc2F)C[C@@H](c2ccsc2)O1 ZINC000246341160 1125619936 /nfs/dbraw/zinc/61/99/36/1125619936.db2.gz FETHUXPSDSWOBI-LRDDRELGSA-N 1 2 291.391 3.849 20 0 CHADLO CC(C)c1noc(C[N@H+](C2CC2)[C@@H]2CCc3ccccc32)n1 ZINC000067712327 1125626482 /nfs/dbraw/zinc/62/64/82/1125626482.db2.gz FEZHZOZXKXCSLQ-MRXNPFEDSA-N 1 2 297.402 3.845 20 0 CHADLO CC(C)c1noc(C[N@@H+](C2CC2)[C@@H]2CCc3ccccc32)n1 ZINC000067712327 1125626484 /nfs/dbraw/zinc/62/64/84/1125626484.db2.gz FEZHZOZXKXCSLQ-MRXNPFEDSA-N 1 2 297.402 3.845 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000069069535 1125628590 /nfs/dbraw/zinc/62/85/90/1125628590.db2.gz VNYKCDYAXHQOMD-NSHDSACASA-N 1 2 292.766 3.565 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](C)CC(=O)Nc1cccc(Cl)c1 ZINC000069069535 1125628592 /nfs/dbraw/zinc/62/85/92/1125628592.db2.gz VNYKCDYAXHQOMD-NSHDSACASA-N 1 2 292.766 3.565 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2ccc(Cl)o2)n1 ZINC000069168432 1125628834 /nfs/dbraw/zinc/62/88/34/1125628834.db2.gz DOGAVTMAQQVMEA-QMMMGPOBSA-N 1 2 256.758 3.549 20 0 CHADLO Cc1coc(C[NH2+][C@@H](COCC(C)C)c2ccco2)c1 ZINC000885961180 1125630223 /nfs/dbraw/zinc/63/02/23/1125630223.db2.gz USCFUEKAVDGREC-HNNXBMFYSA-N 1 2 277.364 3.685 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(Cl)o1)c1nccs1 ZINC000070717281 1125632589 /nfs/dbraw/zinc/63/25/89/1125632589.db2.gz WRONZAIJSBJSFZ-VIFPVBQESA-N 1 2 256.758 3.630 20 0 CHADLO Cc1nc(C[N@H+]2CC=C(c3ccccc3)CC2)cs1 ZINC000072562993 1125634547 /nfs/dbraw/zinc/63/45/47/1125634547.db2.gz HYTYMQYPEOPLOX-UHFFFAOYSA-N 1 2 270.401 3.741 20 0 CHADLO Cc1nc(C[N@@H+]2CC=C(c3ccccc3)CC2)cs1 ZINC000072562993 1125634557 /nfs/dbraw/zinc/63/45/57/1125634557.db2.gz HYTYMQYPEOPLOX-UHFFFAOYSA-N 1 2 270.401 3.741 20 0 CHADLO Cc1coc(C[NH2+]Cc2c(F)cccc2OC(F)F)c1 ZINC000886006692 1125635786 /nfs/dbraw/zinc/63/57/86/1125635786.db2.gz VHRVDYAWHMCFJW-UHFFFAOYSA-N 1 2 285.265 3.618 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3ccc(Cl)cn3)ccc21 ZINC000886032197 1125639878 /nfs/dbraw/zinc/63/98/78/1125639878.db2.gz BCLQPBFVORMTIM-UHFFFAOYSA-N 1 2 287.794 3.730 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3ccc(Cl)cn3)ccc21 ZINC000886032197 1125639884 /nfs/dbraw/zinc/63/98/84/1125639884.db2.gz BCLQPBFVORMTIM-UHFFFAOYSA-N 1 2 287.794 3.730 20 0 CHADLO Cc1coc(C[NH2+][C@@H]2CCc3cc(F)c(F)c(F)c32)c1 ZINC000886136250 1125655370 /nfs/dbraw/zinc/65/53/70/1125655370.db2.gz JPCXMFHZAIYLQX-GFCCVEGCSA-N 1 2 281.277 3.782 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(Cl)c(Cl)c1)c1ncco1 ZINC000886275896 1125665840 /nfs/dbraw/zinc/66/58/40/1125665840.db2.gz PQSRMDGEKQPAGW-QMMMGPOBSA-N 1 2 271.147 3.832 20 0 CHADLO Cc1nn(C)cc1CNc1ccc2[nH+]c(C)cc(C)c2c1 ZINC000886369790 1125674596 /nfs/dbraw/zinc/67/45/96/1125674596.db2.gz PRFGNOFSPKJLQK-UHFFFAOYSA-N 1 2 280.375 3.506 20 0 CHADLO Fc1cccc(Cl)c1C[NH2+][C@@H]1CCc2cccnc21 ZINC000886519615 1125682414 /nfs/dbraw/zinc/68/24/14/1125682414.db2.gz ZAAKJVATIRPLKR-CQSZACIVSA-N 1 2 276.742 3.651 20 0 CHADLO COc1c(C)cc(C[N@H+](C)Cc2csc(C)n2)cc1C ZINC000076725150 1125684876 /nfs/dbraw/zinc/68/48/76/1125684876.db2.gz GSVNVMKELQIVCQ-UHFFFAOYSA-N 1 2 290.432 3.709 20 0 CHADLO COc1c(C)cc(C[N@@H+](C)Cc2csc(C)n2)cc1C ZINC000076725150 1125684877 /nfs/dbraw/zinc/68/48/77/1125684877.db2.gz GSVNVMKELQIVCQ-UHFFFAOYSA-N 1 2 290.432 3.709 20 0 CHADLO C[C@H]([NH2+][C@H]1CCc2c1cccc2Cl)c1ncco1 ZINC000886536861 1125685879 /nfs/dbraw/zinc/68/58/79/1125685879.db2.gz PQNOGARSEWDJOP-ZANVPECISA-N 1 2 262.740 3.666 20 0 CHADLO CO[C@H]1CCC[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000886584593 1125694439 /nfs/dbraw/zinc/69/44/39/1125694439.db2.gz RUPUMCDPARILBY-PBHICJAKSA-N 1 2 285.391 3.632 20 0 CHADLO C[C@@H](c1ccccc1F)[N@@H+]1CCO[C@@H](c2ccco2)C1 ZINC000252659254 1125705825 /nfs/dbraw/zinc/70/58/25/1125705825.db2.gz MBHNZSLXMQMKOH-BLLLJJGKSA-N 1 2 275.323 3.553 20 0 CHADLO C[C@@H](c1ccccc1F)[N@H+]1CCO[C@@H](c2ccco2)C1 ZINC000252659254 1125705832 /nfs/dbraw/zinc/70/58/32/1125705832.db2.gz MBHNZSLXMQMKOH-BLLLJJGKSA-N 1 2 275.323 3.553 20 0 CHADLO Cc1cc([C@H](C)[NH2+]Cc2cc(C3CC3)no2)oc1C ZINC000886685018 1125708908 /nfs/dbraw/zinc/70/89/08/1125708908.db2.gz YMHFSYQMTIGGSH-JTQLQIEISA-N 1 2 260.337 3.613 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(OC(C)=O)cc1 ZINC001212075386 1125709681 /nfs/dbraw/zinc/70/96/81/1125709681.db2.gz ANJYRUILJUGHRK-UHFFFAOYSA-N 1 2 270.332 3.621 20 0 CHADLO COc1ccc([C@@H](C)CCNc2cccc[nH+]2)cc1 ZINC000078359656 1125711923 /nfs/dbraw/zinc/71/19/23/1125711923.db2.gz YUWQAWIXNKYADO-ZDUSSCGKSA-N 1 2 256.349 3.696 20 0 CHADLO c1cc2c(cc1NCc1nc3c(s1)CCCC3)CCC[NH2+]2 ZINC000666790399 1125712307 /nfs/dbraw/zinc/71/23/07/1125712307.db2.gz IDJVHTYOYPOKBA-UHFFFAOYSA-N 1 2 299.443 3.992 20 0 CHADLO C[C@@H](SCCn1cc[nH+]c1)c1ccc(F)cc1F ZINC000090200693 1125740955 /nfs/dbraw/zinc/74/09/55/1125740955.db2.gz SAAIWNZEQPVKLO-SNVBAGLBSA-N 1 2 268.332 3.656 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2ncc[nH]2)ccc1F ZINC000092729109 1125760610 /nfs/dbraw/zinc/76/06/10/1125760610.db2.gz GEUQPIICGXXEEZ-OAHLLOKOSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2ncc[nH]2)ccc1F ZINC000092729109 1125760615 /nfs/dbraw/zinc/76/06/15/1125760615.db2.gz GEUQPIICGXXEEZ-OAHLLOKOSA-N 1 2 273.355 3.584 20 0 CHADLO CC[C@@H](C)C[NH2+][C@H](c1noc(C)n1)c1ccc(Cl)cc1 ZINC000093112212 1125765220 /nfs/dbraw/zinc/76/52/20/1125765220.db2.gz XDGHBDRVGOPDOR-YGRLFVJLSA-N 1 2 293.798 3.757 20 0 CHADLO C[N@@H+](Cc1ccsc1)Cc1nc2c(s1)CCCC2 ZINC000093336137 1125766144 /nfs/dbraw/zinc/76/61/44/1125766144.db2.gz DWTVNDRJEUOPFT-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO C[N@H+](Cc1ccsc1)Cc1nc2c(s1)CCCC2 ZINC000093336137 1125766148 /nfs/dbraw/zinc/76/61/48/1125766148.db2.gz DWTVNDRJEUOPFT-UHFFFAOYSA-N 1 2 278.446 3.715 20 0 CHADLO COc1cc(Cl)ccc1C[NH2+][C@H](C)c1nccs1 ZINC000093406250 1125769039 /nfs/dbraw/zinc/76/90/39/1125769039.db2.gz LZOGKOMKQBXVLJ-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO Cc1ccccc1C(F)(F)C[NH2+][C@@H](C)[C@@H]1CC1(F)F ZINC000639330908 1129303864 /nfs/dbraw/zinc/30/38/64/1129303864.db2.gz YGUBCVZCXQPCHQ-JQWIXIFHSA-N 1 2 275.289 3.720 20 0 CHADLO Cc1cc(C)c(Nc2ccc(CO)c(Cl)c2)c[nH+]1 ZINC001212889200 1129370232 /nfs/dbraw/zinc/37/02/32/1129370232.db2.gz NHYBNYBURNJDHU-UHFFFAOYSA-N 1 2 262.740 3.588 20 0 CHADLO CC[C@H](C)[C@@H]1CCCC[N@@H+]1CC(F)(F)C(F)F ZINC000738315753 1129392929 /nfs/dbraw/zinc/39/29/29/1129392929.db2.gz ZWORZIOWUJFVTQ-UWVGGRQHSA-N 1 2 255.299 3.787 20 0 CHADLO CC[C@H](C)[C@@H]1CCCC[N@H+]1CC(F)(F)C(F)F ZINC000738315753 1129392931 /nfs/dbraw/zinc/39/29/31/1129392931.db2.gz ZWORZIOWUJFVTQ-UWVGGRQHSA-N 1 2 255.299 3.787 20 0 CHADLO CCCc1ccc(C[N@@H+]2CCOC[C@H]2CC(F)F)s1 ZINC000621727880 1129186836 /nfs/dbraw/zinc/18/68/36/1129186836.db2.gz UKZJAZKVOXDBAO-LLVKDONJSA-N 1 2 289.391 3.557 20 0 CHADLO CCCc1ccc(C[N@H+]2CCOC[C@H]2CC(F)F)s1 ZINC000621727880 1129186837 /nfs/dbraw/zinc/18/68/37/1129186837.db2.gz UKZJAZKVOXDBAO-LLVKDONJSA-N 1 2 289.391 3.557 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)Br)C1CCCCCC1 ZINC001169137907 1129702680 /nfs/dbraw/zinc/70/26/80/1129702680.db2.gz YCYSTGANQHLUSZ-VIFPVBQESA-N 1 2 284.188 3.923 20 0 CHADLO CCCC[C@H](C(=O)N1CCC[C@H](n2cc[nH+]c2)C1)C(C)C ZINC000621854656 1129199717 /nfs/dbraw/zinc/19/97/17/1129199717.db2.gz CKNSBTIRLVVASB-HOTGVXAUSA-N 1 2 291.439 3.509 20 0 CHADLO CCCC[C@H](C(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)C(C)C ZINC000621853073 1129200004 /nfs/dbraw/zinc/20/00/04/1129200004.db2.gz SFNPBISKISQXFS-CABCVRRESA-N 1 2 291.439 3.578 20 0 CHADLO Cc1nc(-c2cc3c(s2)CC[N@H+](CCF)C3)cs1 ZINC000772328567 1130018540 /nfs/dbraw/zinc/01/85/40/1130018540.db2.gz KLQSXBLVZNFEMX-UHFFFAOYSA-N 1 2 282.409 3.508 20 0 CHADLO Cc1nc(-c2cc3c(s2)CC[N@@H+](CCF)C3)cs1 ZINC000772328567 1130018547 /nfs/dbraw/zinc/01/85/47/1130018547.db2.gz KLQSXBLVZNFEMX-UHFFFAOYSA-N 1 2 282.409 3.508 20 0 CHADLO Fc1cccc(F)c1CNc1ccc(N2CCCC2)c[nH+]1 ZINC000338150505 1126721696 /nfs/dbraw/zinc/72/16/96/1126721696.db2.gz BLAOXPSHMLXGLF-UHFFFAOYSA-N 1 2 289.329 3.572 20 0 CHADLO Fc1ccc(C[NH2+]Cc2cc(Cl)ccc2Cl)nc1 ZINC000338179034 1126722366 /nfs/dbraw/zinc/72/23/66/1126722366.db2.gz AFUUHXIXQGVMFS-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2ncccc2Cl)c1 ZINC000600625086 1126727336 /nfs/dbraw/zinc/72/73/36/1126727336.db2.gz JNSZDZURRHOAQQ-UHFFFAOYSA-N 1 2 294.807 3.880 20 0 CHADLO Cc1ccc(CSCc2cc(CO)ccc2F)c(C)[nH+]1 ZINC000600629396 1126728719 /nfs/dbraw/zinc/72/87/19/1126728719.db2.gz MRUHUVPTLZPCHR-UHFFFAOYSA-N 1 2 291.391 3.763 20 0 CHADLO CCCCc1noc([C@@H](C)[N@@H+]2CCc3ccccc3C2)n1 ZINC000338344864 1126735716 /nfs/dbraw/zinc/73/57/16/1126735716.db2.gz CTPWXBHJAJOLBJ-CYBMUJFWSA-N 1 2 285.391 3.532 20 0 CHADLO CCCCc1noc([C@@H](C)[N@H+]2CCc3ccccc3C2)n1 ZINC000338344864 1126735719 /nfs/dbraw/zinc/73/57/19/1126735719.db2.gz CTPWXBHJAJOLBJ-CYBMUJFWSA-N 1 2 285.391 3.532 20 0 CHADLO Cc1cn2cc(-c3cccc(C(C)(C)O)c3)ccc2[nH+]1 ZINC001240407569 1126752992 /nfs/dbraw/zinc/75/29/92/1126752992.db2.gz UOXKNOMZDYWNLV-UHFFFAOYSA-N 1 2 266.344 3.537 20 0 CHADLO CC1=C(C)C[N@H+](Cc2ccc(Br)o2)CC1 ZINC000482455136 1126757178 /nfs/dbraw/zinc/75/71/78/1126757178.db2.gz NKYKXAJTWQZSSO-UHFFFAOYSA-N 1 2 270.170 3.584 20 0 CHADLO CC1=C(C)C[N@@H+](Cc2ccc(Br)o2)CC1 ZINC000482455136 1126757181 /nfs/dbraw/zinc/75/71/81/1126757181.db2.gz NKYKXAJTWQZSSO-UHFFFAOYSA-N 1 2 270.170 3.584 20 0 CHADLO CC(C)=CCC[C@H](C)[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000482520124 1126763290 /nfs/dbraw/zinc/76/32/90/1126763290.db2.gz QMZOTOXZSCYZIG-GOEBONIOSA-N 1 2 284.407 3.619 20 0 CHADLO CCc1noc(C[NH2+][C@@H](CC)c2cccc(Cl)c2)n1 ZINC000678736340 1130448026 /nfs/dbraw/zinc/44/80/26/1130448026.db2.gz KGMMXNIIHNNMGR-LBPRGKRZSA-N 1 2 279.771 3.526 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1nccs1 ZINC000175107013 1126776796 /nfs/dbraw/zinc/77/67/96/1126776796.db2.gz KFZBXHBUDHRZSV-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1nccs1 ZINC000175107013 1126776800 /nfs/dbraw/zinc/77/68/00/1126776800.db2.gz KFZBXHBUDHRZSV-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO FC(F)Oc1cccc(Cl)c1C[N@@H+]1CC=CCC1 ZINC000679360833 1130522646 /nfs/dbraw/zinc/52/26/46/1130522646.db2.gz XRYQQGCWQCGQRM-UHFFFAOYSA-N 1 2 273.710 3.703 20 0 CHADLO c1cc(CNc2ccc(-c3[nH+]cc4n3CCCC4)cc2)c[nH]1 ZINC000178194209 1126809933 /nfs/dbraw/zinc/80/99/33/1126809933.db2.gz IERACBWBRWUVBT-UHFFFAOYSA-N 1 2 292.386 3.827 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)n1 ZINC000679547011 1130539179 /nfs/dbraw/zinc/53/91/79/1130539179.db2.gz YCUSNTVJAMNEDF-NEPJUHHUSA-N 1 2 289.345 3.627 20 0 CHADLO CC(C)n1ccc(C[N@H+]2C[C@@H](C(F)(F)F)CC[C@H]2C)n1 ZINC000679547011 1130539184 /nfs/dbraw/zinc/53/91/84/1130539184.db2.gz YCUSNTVJAMNEDF-NEPJUHHUSA-N 1 2 289.345 3.627 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1ccc(Cl)nc1)c1ccc(C)o1 ZINC000179135481 1126824329 /nfs/dbraw/zinc/82/43/29/1126824329.db2.gz DVSNZGKAKYWBSR-YPMHNXCESA-N 1 2 294.782 3.675 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](C)c2cc(C)sc2C)n1 ZINC000179371966 1126827658 /nfs/dbraw/zinc/82/76/58/1126827658.db2.gz KAOYUHRZYJDACN-ZJUUUORDSA-N 1 2 279.409 3.722 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1cccc(Cl)c1F ZINC000179429493 1126828876 /nfs/dbraw/zinc/82/88/76/1126828876.db2.gz MBWAXZSDMININE-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1cccc(Cl)c1F ZINC000179429493 1126828878 /nfs/dbraw/zinc/82/88/78/1126828878.db2.gz MBWAXZSDMININE-UHFFFAOYSA-N 1 2 284.787 3.876 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](Cc1cnc[nH]1)C1CC1 ZINC000180024325 1126838676 /nfs/dbraw/zinc/83/86/76/1126838676.db2.gz IUNBNHHWJIHUAX-NSHDSACASA-N 1 2 275.783 3.789 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](Cc1cnc[nH]1)C1CC1 ZINC000180024325 1126838678 /nfs/dbraw/zinc/83/86/78/1126838678.db2.gz IUNBNHHWJIHUAX-NSHDSACASA-N 1 2 275.783 3.789 20 0 CHADLO CC[C@@H](CC(C)C)c1nc(-c2c[nH+]c(N)cc2C)no1 ZINC000904268476 1126840217 /nfs/dbraw/zinc/84/02/17/1126840217.db2.gz RXZZGPKEFQMRRI-NSHDSACASA-N 1 2 274.368 3.562 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc([C@@H]2CCC=CCCC2)n1 ZINC000904265367 1126840532 /nfs/dbraw/zinc/84/05/32/1126840532.db2.gz IIDKPWLYKXOURB-GFCCVEGCSA-N 1 2 284.363 3.626 20 0 CHADLO Cc1cc(N)[nH+]cc1-c1noc([C@@H]2C[C@H]2CC(C)(C)C)n1 ZINC000904267775 1126840936 /nfs/dbraw/zinc/84/09/36/1126840936.db2.gz JMOSSAUZAKCRDU-WDEREUQCSA-N 1 2 286.379 3.562 20 0 CHADLO CCCC(CCC)c1nc(-c2c[nH+]c(N)cc2C)no1 ZINC000904270099 1126840962 /nfs/dbraw/zinc/84/09/62/1126840962.db2.gz WRCKXDHVEXMZLC-UHFFFAOYSA-N 1 2 274.368 3.706 20 0 CHADLO Cc1[nH+]c(N)ccc1-c1noc([C@H](C)CC2CCCC2)n1 ZINC000904259177 1126841193 /nfs/dbraw/zinc/84/11/93/1126841193.db2.gz AXBNOTRDEYMINA-SNVBAGLBSA-N 1 2 286.379 3.706 20 0 CHADLO Cc1cnc(C[N@@H+]2CCSC[C@H]2c2ccccc2)s1 ZINC000180497142 1126845541 /nfs/dbraw/zinc/84/55/41/1126845541.db2.gz AFRWXAQUDCCKLT-AWEZNQCLSA-N 1 2 290.457 3.742 20 0 CHADLO Cc1cnc(C[N@H+]2CCSC[C@H]2c2ccccc2)s1 ZINC000180497142 1126845545 /nfs/dbraw/zinc/84/55/45/1126845545.db2.gz AFRWXAQUDCCKLT-AWEZNQCLSA-N 1 2 290.457 3.742 20 0 CHADLO FC(F)(F)[C@H]1CCCC[C@@H]1CNc1cccc[nH+]1 ZINC000484804454 1126848044 /nfs/dbraw/zinc/84/80/44/1126848044.db2.gz FBZKKDPVBIQPDM-MNOVXSKESA-N 1 2 258.287 3.862 20 0 CHADLO CCCC1CCC([N@H+](C)Cc2nnc(OCC)s2)CC1 ZINC000484891700 1126853732 /nfs/dbraw/zinc/85/37/32/1126853732.db2.gz CRUQGYVKKAWCRK-UHFFFAOYSA-N 1 2 297.468 3.728 20 0 CHADLO CCCC1CCC([N@@H+](C)Cc2nnc(OCC)s2)CC1 ZINC000484891700 1126853736 /nfs/dbraw/zinc/85/37/36/1126853736.db2.gz CRUQGYVKKAWCRK-UHFFFAOYSA-N 1 2 297.468 3.728 20 0 CHADLO CCCCc1nc(C[NH2+][C@H](C)c2cccnc2)cs1 ZINC000181663377 1126859914 /nfs/dbraw/zinc/85/99/14/1126859914.db2.gz BPEYHNQSVNAIHY-GFCCVEGCSA-N 1 2 275.421 3.732 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2ccccc2o1)c1ccccn1 ZINC000181771023 1126860377 /nfs/dbraw/zinc/86/03/77/1126860377.db2.gz PBZTXQJVVVRNHR-NEPJUHHUSA-N 1 2 267.332 3.635 20 0 CHADLO FC1(F)C[C@H](c2ccc(-c3[nH]cc[nH+]3)cc2)C1(F)F ZINC000904852610 1126879459 /nfs/dbraw/zinc/87/94/59/1126879459.db2.gz RZYFACQZWXEBIZ-SNVBAGLBSA-N 1 2 270.229 3.835 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+]Cc2nc(CC(C)C)no2)c1 ZINC000182910930 1126879124 /nfs/dbraw/zinc/87/91/24/1126879124.db2.gz GBSXMTJKYRELSN-AWEZNQCLSA-N 1 2 287.407 3.736 20 0 CHADLO CCCc1noc(C[NH2+][C@H](CC)c2cccc(Cl)c2)n1 ZINC000182583405 1126873735 /nfs/dbraw/zinc/87/37/35/1126873735.db2.gz UDJNVKKUTJSHKT-CYBMUJFWSA-N 1 2 293.798 3.916 20 0 CHADLO Cc1cncc([C@@H](C)Nc2ccc3c(c2)CCC[N@H+]3C)c1 ZINC000184470151 1126895243 /nfs/dbraw/zinc/89/52/43/1126895243.db2.gz OHRZCTDSNVLRNQ-CQSZACIVSA-N 1 2 281.403 3.946 20 0 CHADLO Cc1cncc([C@@H](C)Nc2ccc3c(c2)CCC[N@@H+]3C)c1 ZINC000184470151 1126895248 /nfs/dbraw/zinc/89/52/48/1126895248.db2.gz OHRZCTDSNVLRNQ-CQSZACIVSA-N 1 2 281.403 3.946 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+]Cc1ccc(Cl)cc1Cl ZINC000187679010 1126912837 /nfs/dbraw/zinc/91/28/37/1126912837.db2.gz QXXHLIQBISFOQK-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2nccc(C)c2Cl)c1 ZINC001235577101 1130755948 /nfs/dbraw/zinc/75/59/48/1130755948.db2.gz OQYPHZSQUXPRFP-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2nccc(C)c2Cl)c1 ZINC001235577101 1130755951 /nfs/dbraw/zinc/75/59/51/1130755951.db2.gz OQYPHZSQUXPRFP-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO COC[C@@H]([NH2+]Cc1cc(F)ccc1Cl)c1ccco1 ZINC000189436466 1126932273 /nfs/dbraw/zinc/93/22/73/1126932273.db2.gz KCIALYHAFXOTRZ-CYBMUJFWSA-N 1 2 283.730 3.549 20 0 CHADLO Cc1cn2cc(-c3cnn([C@@H]4CCCCO4)c3)cc(C)c2[nH+]1 ZINC000906179808 1126934220 /nfs/dbraw/zinc/93/42/20/1126934220.db2.gz PIAKSPBHOFUFTH-INIZCTEOSA-N 1 2 296.374 3.514 20 0 CHADLO CC(C)c1[nH+]cc(-c2ccc(C(F)F)nc2)n1C ZINC000906197017 1126941323 /nfs/dbraw/zinc/94/13/23/1126941323.db2.gz FFNWXFBJHBCCLX-UHFFFAOYSA-N 1 2 251.280 3.543 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@H]2CCc3cc(F)ccc32)c(C)[nH+]1 ZINC000906392150 1126951139 /nfs/dbraw/zinc/95/11/39/1126951139.db2.gz VKHDCMJIVKHHOV-INIZCTEOSA-N 1 2 298.361 3.563 20 0 CHADLO CCc1noc([C@H](C)[N@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000485852649 1126957889 /nfs/dbraw/zinc/95/78/89/1126957889.db2.gz SMJLWQORABXGBN-ZDUSSCGKSA-N 1 2 287.407 3.948 20 0 CHADLO CCc1noc([C@H](C)[N@@H+](C)Cc2ccc(C(C)C)cc2)n1 ZINC000485852649 1126957891 /nfs/dbraw/zinc/95/78/91/1126957891.db2.gz SMJLWQORABXGBN-ZDUSSCGKSA-N 1 2 287.407 3.948 20 0 CHADLO C[C@@H]1CO[C@H](c2ccccc2Cl)CN1c1cccc[nH+]1 ZINC000192660731 1126962976 /nfs/dbraw/zinc/96/29/76/1126962976.db2.gz FDVISFZLRITBKS-DOMZBBRYSA-N 1 2 288.778 3.701 20 0 CHADLO COC[C@H]([NH2+]Cc1csc(C)n1)c1ccc(Cl)cc1 ZINC000193281011 1126967436 /nfs/dbraw/zinc/96/74/36/1126967436.db2.gz GTVOIQCZCWGQRQ-AWEZNQCLSA-N 1 2 296.823 3.582 20 0 CHADLO COc1ccc2cc(C[N@H+](C)Cc3ncc(C)o3)ccc2c1 ZINC000266565661 1126985032 /nfs/dbraw/zinc/98/50/32/1126985032.db2.gz ZJYSOPSDKPWASX-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO COc1ccc2cc(C[N@@H+](C)Cc3ncc(C)o3)ccc2c1 ZINC000266565661 1126985036 /nfs/dbraw/zinc/98/50/36/1126985036.db2.gz ZJYSOPSDKPWASX-UHFFFAOYSA-N 1 2 296.370 3.777 20 0 CHADLO CC[N@H+](Cc1nc2ccccc2s1)Cc1ccncc1 ZINC000267966535 1127008820 /nfs/dbraw/zinc/00/88/20/1127008820.db2.gz ZAEXZOMQODBPGA-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CC[N@@H+](Cc1nc2ccccc2s1)Cc1ccncc1 ZINC000267966535 1127008824 /nfs/dbraw/zinc/00/88/24/1127008824.db2.gz ZAEXZOMQODBPGA-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2ccccc2)s1 ZINC000267999778 1127009794 /nfs/dbraw/zinc/00/97/94/1127009794.db2.gz MXOKGGKVQUYZJC-LLVKDONJSA-N 1 2 261.394 3.512 20 0 CHADLO Nc1ccc(-c2cccc(SC(F)(F)F)c2)c[nH+]1 ZINC001236313487 1130911904 /nfs/dbraw/zinc/91/19/04/1130911904.db2.gz PYQBCCDAZQETFM-UHFFFAOYSA-N 1 2 270.279 3.943 20 0 CHADLO Cc1c(-c2ccc(N)[nH+]c2)cccc1C(F)(F)F ZINC001236313233 1130912455 /nfs/dbraw/zinc/91/24/55/1130912455.db2.gz LSEIAOWFXGJKOD-UHFFFAOYSA-N 1 2 252.239 3.658 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@@H+]1Cc1ccn(C2CCCC2)n1 ZINC000268654180 1127019767 /nfs/dbraw/zinc/01/97/67/1127019767.db2.gz KJCJONAADVHHHA-SFHVURJKSA-N 1 2 298.434 3.674 20 0 CHADLO Cn1cccc1[C@@H]1CCC[N@H+]1Cc1ccn(C2CCCC2)n1 ZINC000268654180 1127019771 /nfs/dbraw/zinc/01/97/71/1127019771.db2.gz KJCJONAADVHHHA-SFHVURJKSA-N 1 2 298.434 3.674 20 0 CHADLO CCc1ccc(C[NH2+]C2(c3nc(C)cs3)CCC2)o1 ZINC000268503167 1127018533 /nfs/dbraw/zinc/01/85/33/1127018533.db2.gz POQDAOBJMBZCDW-UHFFFAOYSA-N 1 2 276.405 3.776 20 0 CHADLO Clc1cccc(C[NH2+][C@@H]2CCCc3cn[nH]c32)c1Cl ZINC000268827543 1127025464 /nfs/dbraw/zinc/02/54/64/1127025464.db2.gz JNLIJEHLSOIPEP-GFCCVEGCSA-N 1 2 296.201 3.884 20 0 CHADLO Clc1cccc(C[NH2+][C@@H]2CCCc3c[nH]nc32)c1Cl ZINC000268827543 1127025468 /nfs/dbraw/zinc/02/54/68/1127025468.db2.gz JNLIJEHLSOIPEP-GFCCVEGCSA-N 1 2 296.201 3.884 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+]1CCO[C@H](CC(F)(F)F)C1 ZINC000668768588 1127026996 /nfs/dbraw/zinc/02/69/96/1127026996.db2.gz PUMHUIPXXGANAW-BMQCOBNYSA-N 1 2 299.336 3.743 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+]1CCO[C@H](CC(F)(F)F)C1 ZINC000668768588 1127026997 /nfs/dbraw/zinc/02/69/97/1127026997.db2.gz PUMHUIPXXGANAW-BMQCOBNYSA-N 1 2 299.336 3.743 20 0 CHADLO Cc1sccc1C[N@H+]1C[C@H](C)O[C@@H](CC(F)(F)F)C1 ZINC000668768171 1127027125 /nfs/dbraw/zinc/02/71/25/1127027125.db2.gz MDYQDSUMPXJEQC-CABZTGNLSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1sccc1C[N@@H+]1C[C@H](C)O[C@@H](CC(F)(F)F)C1 ZINC000668768171 1127027127 /nfs/dbraw/zinc/02/71/27/1127027127.db2.gz MDYQDSUMPXJEQC-CABZTGNLSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@H](C)O[C@H](CC(F)(F)F)C2)s1 ZINC000668768461 1127027169 /nfs/dbraw/zinc/02/71/69/1127027169.db2.gz PUEGZRFQZNROJT-MWLCHTKSSA-N 1 2 293.354 3.598 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@H](C)O[C@H](CC(F)(F)F)C2)s1 ZINC000668768461 1127027171 /nfs/dbraw/zinc/02/71/71/1127027171.db2.gz PUEGZRFQZNROJT-MWLCHTKSSA-N 1 2 293.354 3.598 20 0 CHADLO COc1cc(C)ccc1C[NH2+][C@@H](C)c1csc(C)n1 ZINC000269427543 1127039375 /nfs/dbraw/zinc/03/93/75/1127039375.db2.gz OBDDEGZWHFSTQB-NSHDSACASA-N 1 2 276.405 3.619 20 0 CHADLO CC(C)Oc1c(C[NH+]2CCC(F)CC2)ccc(F)c1F ZINC001238739062 1131239300 /nfs/dbraw/zinc/23/93/00/1131239300.db2.gz JNIXWMHJVWUHOS-UHFFFAOYSA-N 1 2 287.325 3.686 20 0 CHADLO Cc1ccncc1C[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000269637353 1127043970 /nfs/dbraw/zinc/04/39/70/1127043970.db2.gz REEPAPICCBSZMB-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccncc1C[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000269637353 1127043974 /nfs/dbraw/zinc/04/39/74/1127043974.db2.gz REEPAPICCBSZMB-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1nc(C[N@@H+](C)C[C@H](CC(C)C)c2ccccc2)no1 ZINC000668798464 1127042478 /nfs/dbraw/zinc/04/24/78/1127042478.db2.gz MAIPVNYXSXZKKT-INIZCTEOSA-N 1 2 287.407 3.640 20 0 CHADLO Cc1nc(C[N@H+](C)C[C@H](CC(C)C)c2ccccc2)no1 ZINC000668798464 1127042481 /nfs/dbraw/zinc/04/24/81/1127042481.db2.gz MAIPVNYXSXZKKT-INIZCTEOSA-N 1 2 287.407 3.640 20 0 CHADLO CCc1cnc(C[NH2+][C@@H]2CCc3c2c(F)ccc3F)s1 ZINC000269926105 1127048019 /nfs/dbraw/zinc/04/80/19/1127048019.db2.gz ODLRBRXSUMBRAW-CYBMUJFWSA-N 1 2 294.370 3.761 20 0 CHADLO CCCc1cc[nH+]c(Nc2cnc3[nH]c(C)cc3c2)c1 ZINC001204920521 1127055059 /nfs/dbraw/zinc/05/50/59/1127055059.db2.gz MOLPVXHVWCQXSE-UHFFFAOYSA-N 1 2 266.348 3.962 20 0 CHADLO C[C@H]1C[N@H+](Cc2ncc(C3CC3)o2)[C@H]1c1ccccc1 ZINC000270595580 1127057868 /nfs/dbraw/zinc/05/78/68/1127057868.db2.gz KZAXVMFBKBMJCX-YVEFUNNKSA-N 1 2 268.360 3.745 20 0 CHADLO C[C@H]1C[N@@H+](Cc2ncc(C3CC3)o2)[C@H]1c1ccccc1 ZINC000270595580 1127057871 /nfs/dbraw/zinc/05/78/71/1127057871.db2.gz KZAXVMFBKBMJCX-YVEFUNNKSA-N 1 2 268.360 3.745 20 0 CHADLO CC[N@H+](Cc1ccc(Cl)cc1)[C@H](C)c1nnc(C)o1 ZINC000270777072 1127061475 /nfs/dbraw/zinc/06/14/75/1127061475.db2.gz NPXBTJIHMHJWGW-SNVBAGLBSA-N 1 2 279.771 3.615 20 0 CHADLO CC[N@@H+](Cc1ccc(Cl)cc1)[C@H](C)c1nnc(C)o1 ZINC000270777072 1127061480 /nfs/dbraw/zinc/06/14/80/1127061480.db2.gz NPXBTJIHMHJWGW-SNVBAGLBSA-N 1 2 279.771 3.615 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCOc2c(Cl)cccc21)c1cscn1 ZINC000271176525 1127071265 /nfs/dbraw/zinc/07/12/65/1127071265.db2.gz VAHFAZPLABYFDJ-SKDRFNHKSA-N 1 2 294.807 3.971 20 0 CHADLO Cc1nnc(C[NH2+]C(C)(C)c2ccc(Cl)cc2)s1 ZINC000271364906 1127077244 /nfs/dbraw/zinc/07/72/44/1127077244.db2.gz AMBNIMDHDQXONN-UHFFFAOYSA-N 1 2 281.812 3.525 20 0 CHADLO Cc1nnc(C[N@H+]2CC[C@@H](C)[C@H]2c2cccc(F)c2)s1 ZINC000271382598 1127078073 /nfs/dbraw/zinc/07/80/73/1127078073.db2.gz DQXRNDRLCKCWHR-BMIGLBTASA-N 1 2 291.395 3.569 20 0 CHADLO Cc1nnc(C[N@@H+]2CC[C@@H](C)[C@H]2c2cccc(F)c2)s1 ZINC000271382598 1127078076 /nfs/dbraw/zinc/07/80/76/1127078076.db2.gz DQXRNDRLCKCWHR-BMIGLBTASA-N 1 2 291.395 3.569 20 0 CHADLO Cc1cc(CNC(=O)C2(C3CCCCC3)CC2)cc(C)[nH+]1 ZINC000911169355 1127078604 /nfs/dbraw/zinc/07/86/04/1127078604.db2.gz WEUPYOPSZGYXOT-UHFFFAOYSA-N 1 2 286.419 3.675 20 0 CHADLO Cc1ccc(C[N@H+](Cc2nnc(C)s2)C(C)C)s1 ZINC000271405345 1127078898 /nfs/dbraw/zinc/07/88/98/1127078898.db2.gz IUMUEWJTGFRADD-UHFFFAOYSA-N 1 2 281.450 3.627 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2nnc(C)s2)C(C)C)s1 ZINC000271405345 1127078903 /nfs/dbraw/zinc/07/89/03/1127078903.db2.gz IUMUEWJTGFRADD-UHFFFAOYSA-N 1 2 281.450 3.627 20 0 CHADLO CC[C@H]1CC[C@H]1Nc1ccc(-n2cc[nH+]c2)c(F)c1 ZINC000570233362 1127081371 /nfs/dbraw/zinc/08/13/71/1127081371.db2.gz IHPLMJMJZAIFEV-SMDDNHRTSA-N 1 2 259.328 3.612 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CC[N@@H+]2C(C)(C)C)c(Cl)c1C ZINC000911502704 1127082354 /nfs/dbraw/zinc/08/23/54/1127082354.db2.gz TZYXKGWJXXUZHZ-ZDUSSCGKSA-N 1 2 294.826 3.768 20 0 CHADLO Cc1ccc(NC(=O)[C@@H]2CC[N@H+]2C(C)(C)C)c(Cl)c1C ZINC000911502704 1127082357 /nfs/dbraw/zinc/08/23/57/1127082357.db2.gz TZYXKGWJXXUZHZ-ZDUSSCGKSA-N 1 2 294.826 3.768 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)CCC(C)(C)C)c(C)[nH+]1 ZINC000911525122 1127082957 /nfs/dbraw/zinc/08/29/57/1127082957.db2.gz PFGCBGWXSSYCBA-UHFFFAOYSA-N 1 2 262.397 3.515 20 0 CHADLO CC(C)c1nnc([C@H](C)[NH2+][C@@H](C)c2ccccc2Cl)[nH]1 ZINC000271453164 1127082993 /nfs/dbraw/zinc/08/29/93/1127082993.db2.gz GKTSGNVWPASQKG-QWRGUYRKSA-N 1 2 292.814 3.993 20 0 CHADLO Cc1cccc([C@H](C)[NH2+][C@H](CO)c2c(F)cccc2F)c1 ZINC000271525458 1127088625 /nfs/dbraw/zinc/08/86/25/1127088625.db2.gz VRHPLHGOQSQHMP-BLLLJJGKSA-N 1 2 291.341 3.657 20 0 CHADLO CCCc1nc(C[NH2+][C@H](C)c2ccccc2OC)cs1 ZINC000271607510 1127094777 /nfs/dbraw/zinc/09/47/77/1127094777.db2.gz BWEXCGLRZJQSLY-GFCCVEGCSA-N 1 2 290.432 3.955 20 0 CHADLO Cc1nc(N2CCC(c3ccc4[nH]ccc4c3)CC2)cc[nH+]1 ZINC000912484014 1127102136 /nfs/dbraw/zinc/10/21/36/1127102136.db2.gz FMSCRBYLPUDPAV-UHFFFAOYSA-N 1 2 292.386 3.650 20 0 CHADLO CCn1c2ccccc2n(C[N@H+](CC)C2CC2)c1=S ZINC000912855062 1127113783 /nfs/dbraw/zinc/11/37/83/1127113783.db2.gz IBUYSAHYLHFVIK-UHFFFAOYSA-N 1 2 275.421 3.634 20 0 CHADLO CCn1c2ccccc2n(C[N@@H+](CC)C2CC2)c1=S ZINC000912855062 1127113787 /nfs/dbraw/zinc/11/37/87/1127113787.db2.gz IBUYSAHYLHFVIK-UHFFFAOYSA-N 1 2 275.421 3.634 20 0 CHADLO CCc1ccc(C[N@H+](C)Cc2cc(C)ccc2F)nc1 ZINC000339129016 1127114108 /nfs/dbraw/zinc/11/41/08/1127114108.db2.gz NIBBKIAOLQJMGT-UHFFFAOYSA-N 1 2 272.367 3.724 20 0 CHADLO CCc1ccc(C[N@@H+](C)Cc2cc(C)ccc2F)nc1 ZINC000339129016 1127114112 /nfs/dbraw/zinc/11/41/12/1127114112.db2.gz NIBBKIAOLQJMGT-UHFFFAOYSA-N 1 2 272.367 3.724 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+](C)Cc1cncc(F)c1 ZINC000274410607 1127118626 /nfs/dbraw/zinc/11/86/26/1127118626.db2.gz ZYIBUYGOXUTNMS-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+](C)Cc1cncc(F)c1 ZINC000274410607 1127118627 /nfs/dbraw/zinc/11/86/27/1127118627.db2.gz ZYIBUYGOXUTNMS-SNVBAGLBSA-N 1 2 280.293 3.692 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCCC[C@@H]2c2cc[nH]n2)o1 ZINC000348710394 1127125462 /nfs/dbraw/zinc/12/54/62/1127125462.db2.gz OULPMTZJEPUAOM-IVMMDQJWSA-N 1 2 285.391 3.853 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCCC[C@@H]2c2cc[nH]n2)o1 ZINC000348710394 1127125465 /nfs/dbraw/zinc/12/54/65/1127125465.db2.gz OULPMTZJEPUAOM-IVMMDQJWSA-N 1 2 285.391 3.853 20 0 CHADLO C[C@@H]1CCC[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)[C@@H]1C ZINC000348848292 1127131638 /nfs/dbraw/zinc/13/16/38/1127131638.db2.gz MVQRRQFQGCHJQS-FMKPAKJESA-N 1 2 284.407 3.563 20 0 CHADLO C[C@@H](CCC(C)(C)C)C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1 ZINC000348897618 1127133385 /nfs/dbraw/zinc/13/33/85/1127133385.db2.gz WFJOYUQOILGXCF-KBPBESRZSA-N 1 2 291.439 3.578 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@H](C)c2cn3ccccc3n2)n1 ZINC000570816123 1127133931 /nfs/dbraw/zinc/13/39/31/1127133931.db2.gz LEGMPSSWYLRISP-NEPJUHHUSA-N 1 2 286.404 3.511 20 0 CHADLO Cc1noc([C@@H](C)[NH2+][C@@H](C)c2ccc3ccccc3c2)n1 ZINC000348965547 1127135890 /nfs/dbraw/zinc/13/58/90/1127135890.db2.gz MWNQLUKMQXSVLP-NWDGAFQWSA-N 1 2 281.359 3.943 20 0 CHADLO C[N@@H+](CCCOCc1ccccc1)C/C(Cl)=C\Cl ZINC000799200359 1131076216 /nfs/dbraw/zinc/07/62/16/1131076216.db2.gz INOZRFXAGKZRPJ-GXDHUFHOSA-N 1 2 288.218 3.844 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2nccs2)C2CCC2)o1 ZINC000349446467 1127151202 /nfs/dbraw/zinc/15/12/02/1127151202.db2.gz BTQJFZAXUUOULE-AWEZNQCLSA-N 1 2 262.378 3.676 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3ncc(Cl)s3)CC2)cc1 ZINC000349595649 1127155698 /nfs/dbraw/zinc/15/56/98/1127155698.db2.gz DHOAOFRTIKJNMG-UHFFFAOYSA-N 1 2 282.771 3.715 20 0 CHADLO COc1cc([C@H](C)[NH2+][C@H](C)c2ccon2)ccc1SC ZINC000349843673 1127164939 /nfs/dbraw/zinc/16/49/39/1127164939.db2.gz XORKBSBCNMGHHM-WDEREUQCSA-N 1 2 292.404 3.817 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@@H+]2Cc2cc3ccccn3n2)c1 ZINC001237446799 1131100070 /nfs/dbraw/zinc/10/00/70/1131100070.db2.gz PXZBGUGIDRKDAY-SFHVURJKSA-N 1 2 295.361 3.811 20 0 CHADLO Fc1cccc([C@@H]2CCC[N@H+]2Cc2cc3ccccn3n2)c1 ZINC001237446799 1131100075 /nfs/dbraw/zinc/10/00/75/1131100075.db2.gz PXZBGUGIDRKDAY-SFHVURJKSA-N 1 2 295.361 3.811 20 0 CHADLO CSc1cc(C)ccc1C[NH2+][C@@H](C)c1cc(C)on1 ZINC000284113234 1127174093 /nfs/dbraw/zinc/17/40/93/1127174093.db2.gz NJVZEPDAZOAJGS-LBPRGKRZSA-N 1 2 276.405 3.864 20 0 CHADLO C[C@H](CC(=O)Nc1ccn2cc[nH+]c2c1)c1cccc(F)c1 ZINC000350148338 1127174256 /nfs/dbraw/zinc/17/42/56/1127174256.db2.gz RAQUBTAIFCOGJW-GFCCVEGCSA-N 1 2 297.333 3.606 20 0 CHADLO Cc1nc(N[C@H]2C[C@H](OCc3ccccc3)C2(C)C)cc[nH+]1 ZINC000351566269 1127194311 /nfs/dbraw/zinc/19/43/11/1127194311.db2.gz YYJRFRSECMXWBZ-HOTGVXAUSA-N 1 2 297.402 3.581 20 0 CHADLO Cc1oc2ccccc2c1C[NH2+][C@H](C)c1ccon1 ZINC000351582191 1127195423 /nfs/dbraw/zinc/19/54/23/1127195423.db2.gz PQWFQXIFNHNJHE-SNVBAGLBSA-N 1 2 256.305 3.580 20 0 CHADLO Cc1cc(C[N@H+](CCC(F)(F)F)Cc2ccccc2)on1 ZINC000351626909 1127200510 /nfs/dbraw/zinc/20/05/10/1127200510.db2.gz FMHJYPMCZQPLAQ-UHFFFAOYSA-N 1 2 298.308 3.938 20 0 CHADLO Cc1cc(C[N@@H+](CCC(F)(F)F)Cc2ccccc2)on1 ZINC000351626909 1127200512 /nfs/dbraw/zinc/20/05/12/1127200512.db2.gz FMHJYPMCZQPLAQ-UHFFFAOYSA-N 1 2 298.308 3.938 20 0 CHADLO C[C@@H](Nc1ccc([NH+]2CCCC2)cc1)c1cscn1 ZINC000164984161 1127206773 /nfs/dbraw/zinc/20/67/73/1127206773.db2.gz IJHSWVMPPPADNW-GFCCVEGCSA-N 1 2 273.405 3.916 20 0 CHADLO CC[N@H+](C)[C@H](c1nc(C2CCCC2)no1)c1ccccc1 ZINC000285249967 1127220712 /nfs/dbraw/zinc/22/07/12/1127220712.db2.gz GRXLBNJPQLLRAC-HNNXBMFYSA-N 1 2 285.391 3.768 20 0 CHADLO CC[N@@H+](C)[C@H](c1nc(C2CCCC2)no1)c1ccccc1 ZINC000285249967 1127220714 /nfs/dbraw/zinc/22/07/14/1127220714.db2.gz GRXLBNJPQLLRAC-HNNXBMFYSA-N 1 2 285.391 3.768 20 0 CHADLO Cc1cc[nH+]c2cc(Nc3ccncc3Cl)ccc12 ZINC001212157714 1127221565 /nfs/dbraw/zinc/22/15/65/1127221565.db2.gz ZGPRQGDHDZEXQI-UHFFFAOYSA-N 1 2 269.735 3.757 20 0 CHADLO C[C@H](CC(=O)N1CCC[C@@H](c2[nH]cc[nH+]2)C1)CC(C)(C)C ZINC000589955811 1127241951 /nfs/dbraw/zinc/24/19/51/1127241951.db2.gz CPWOFKVFJHIUIT-ZIAGYGMSSA-N 1 2 291.439 3.578 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(C(=O)OC)cc1 ZINC000590254525 1127252804 /nfs/dbraw/zinc/25/28/04/1127252804.db2.gz KBSCBGAZGVCGAM-ZIAGYGMSSA-N 1 2 297.345 3.702 20 0 CHADLO CCc1ccc([C@H](COC)[NH2+][C@H](C)c2cc(C)ccn2)o1 ZINC000286080277 1127257687 /nfs/dbraw/zinc/25/76/87/1127257687.db2.gz MHRZNOWFYMFPQQ-CJNGLKHVSA-N 1 2 288.391 3.584 20 0 CHADLO COC1CCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000286034044 1127255128 /nfs/dbraw/zinc/25/51/28/1127255128.db2.gz GQVCYMAHMIMAHS-UHFFFAOYSA-N 1 2 276.767 3.503 20 0 CHADLO C[C@H](CC(=O)N1CCC[C@H](n2cc[nH+]c2)C1)CC(C)(C)C ZINC000590728521 1127268645 /nfs/dbraw/zinc/26/86/45/1127268645.db2.gz VHXIDNZNGMFHEB-CABCVRRESA-N 1 2 291.439 3.509 20 0 CHADLO CCC[C@@H](Nc1cc(CSCCO)cc[nH+]1)C1CCC1 ZINC000590778352 1127271199 /nfs/dbraw/zinc/27/11/99/1127271199.db2.gz OKMPMOCACUKLHE-OAHLLOKOSA-N 1 2 294.464 3.688 20 0 CHADLO CC1(C)C[N@H+](Cc2cncc(F)c2)[C@H]1c1cccs1 ZINC000286660664 1127271224 /nfs/dbraw/zinc/27/12/24/1127271224.db2.gz ARBVTVRGCKSKOE-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2cncc(F)c2)[C@H]1c1cccs1 ZINC000286660664 1127271226 /nfs/dbraw/zinc/27/12/26/1127271226.db2.gz ARBVTVRGCKSKOE-AWEZNQCLSA-N 1 2 276.380 3.865 20 0 CHADLO COc1ccncc1C[NH2+][C@H](C)c1c(F)cccc1Cl ZINC000286676461 1127271568 /nfs/dbraw/zinc/27/15/68/1127271568.db2.gz AAEYPHKCYDOWSN-SNVBAGLBSA-N 1 2 294.757 3.734 20 0 CHADLO Cc1cccc(CNc2ccc([NH+](C)C)cc2C)c1 ZINC000057667885 1127272073 /nfs/dbraw/zinc/27/20/73/1127272073.db2.gz DWGMWAOICPQOED-UHFFFAOYSA-N 1 2 254.377 3.982 20 0 CHADLO C=C(Br)C[N@H+]1CCC[C@@H]1c1ccc(F)cc1 ZINC000057753122 1127277735 /nfs/dbraw/zinc/27/77/35/1127277735.db2.gz QCWOLGYDDIINNJ-CYBMUJFWSA-N 1 2 284.172 3.871 20 0 CHADLO C=C(Br)C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1 ZINC000057753122 1127277737 /nfs/dbraw/zinc/27/77/37/1127277737.db2.gz QCWOLGYDDIINNJ-CYBMUJFWSA-N 1 2 284.172 3.871 20 0 CHADLO COc1cc([C@@H](C)[NH2+][C@@H](C)c2ccc(C)o2)c(F)cn1 ZINC000287093514 1127288544 /nfs/dbraw/zinc/28/85/44/1127288544.db2.gz WHCYNCUQEZTLMV-MNOVXSKESA-N 1 2 278.327 3.543 20 0 CHADLO CCC[C@H](C)CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000354223337 1127298428 /nfs/dbraw/zinc/29/84/28/1127298428.db2.gz YNXQNTOHUMQBKG-ZDUSSCGKSA-N 1 2 271.364 3.637 20 0 CHADLO Cc1nc(C[NH2+]C2(c3ccc(F)cc3)CCC2)oc1C ZINC000591375978 1127299782 /nfs/dbraw/zinc/29/97/82/1127299782.db2.gz WYYKLFYPLXNUML-UHFFFAOYSA-N 1 2 274.339 3.600 20 0 CHADLO C[C@H]1COCC[C@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000287566361 1127310170 /nfs/dbraw/zinc/31/01/70/1127310170.db2.gz CWOCOMJAINHEGF-GXFFZTMASA-N 1 2 276.767 3.725 20 0 CHADLO C[C@@H]1COCC[C@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000287566368 1127310545 /nfs/dbraw/zinc/31/05/45/1127310545.db2.gz CWOCOMJAINHEGF-ZWNOBZJWSA-N 1 2 276.767 3.725 20 0 CHADLO C[C@@]1(O)CCCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000287635814 1127311236 /nfs/dbraw/zinc/31/12/36/1127311236.db2.gz HMSYCYKGWILOQO-MRXNPFEDSA-N 1 2 290.794 3.630 20 0 CHADLO CC(C)Oc1ccc(C[N@@H+]2CCC[C@@H]2CF)c(F)c1 ZINC001238447215 1131202954 /nfs/dbraw/zinc/20/29/54/1131202954.db2.gz DUJBWUNDKBKGCH-CYBMUJFWSA-N 1 2 269.335 3.547 20 0 CHADLO CC(C)Oc1ccc(C[N@H+]2CCC[C@@H]2CF)c(F)c1 ZINC001238447215 1131202957 /nfs/dbraw/zinc/20/29/57/1131202957.db2.gz DUJBWUNDKBKGCH-CYBMUJFWSA-N 1 2 269.335 3.547 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@H](C(F)(F)F)C2)ncc1Cl ZINC001238419886 1131196947 /nfs/dbraw/zinc/19/69/47/1131196947.db2.gz MOJHYRKVQUHDJJ-JTQLQIEISA-N 1 2 292.732 3.818 20 0 CHADLO Cc1ccc(C2([NH2+]Cc3nc(C(C)C)no3)CCC2)cc1 ZINC000646132245 1127323306 /nfs/dbraw/zinc/32/33/06/1127323306.db2.gz USXVNFXBTCFKPW-UHFFFAOYSA-N 1 2 285.391 3.670 20 0 CHADLO CCc1sc(C(=O)Nc2ccn3cc[nH+]c3c2)cc1C ZINC000355301586 1127332724 /nfs/dbraw/zinc/33/27/24/1127332724.db2.gz CUIGUQQGOOHHNI-UHFFFAOYSA-N 1 2 285.372 3.519 20 0 CHADLO c1cc2cccc(CNc3ccc(-n4cc[nH+]c4)cc3)c2[nH]1 ZINC000355350210 1127335732 /nfs/dbraw/zinc/33/57/32/1127335732.db2.gz YGTAROXCJUVGGO-UHFFFAOYSA-N 1 2 288.354 3.966 20 0 CHADLO O=C(Nc1ccccc1-c1[nH+]cc2n1CCCC2)C1CCC1 ZINC000355398045 1127338425 /nfs/dbraw/zinc/33/84/25/1127338425.db2.gz HAERZHKDFKHGCU-UHFFFAOYSA-N 1 2 295.386 3.625 20 0 CHADLO c1cn(-c2cccc(-c3nc(CC4=CCCC4)no3)c2)c[nH+]1 ZINC000355427589 1127340838 /nfs/dbraw/zinc/34/08/38/1127340838.db2.gz MVFTYSTZQOEAEM-UHFFFAOYSA-N 1 2 292.342 3.575 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCCC[C@H]1c1ccccc1OC ZINC000592391334 1127347217 /nfs/dbraw/zinc/34/72/17/1127347217.db2.gz XETUXJYTIRAWGD-INIZCTEOSA-N 1 2 299.418 3.639 20 0 CHADLO CCn1ccnc1C[N@H+]1CCCC[C@H]1c1ccccc1OC ZINC000592391334 1127347221 /nfs/dbraw/zinc/34/72/21/1127347221.db2.gz XETUXJYTIRAWGD-INIZCTEOSA-N 1 2 299.418 3.639 20 0 CHADLO Cc1c[nH+]c(CCSCCC[C@@H]2CCOC2)c(C)c1 ZINC000592460008 1127350881 /nfs/dbraw/zinc/35/08/81/1127350881.db2.gz VKJMFFDSIBAJOV-OAHLLOKOSA-N 1 2 279.449 3.791 20 0 CHADLO Cc1[nH]ncc1C[NH+]1CCC(c2cccc(Cl)c2)CC1 ZINC000355598727 1127352474 /nfs/dbraw/zinc/35/24/74/1127352474.db2.gz AZQKDIQKFSSSMH-UHFFFAOYSA-N 1 2 289.810 3.751 20 0 CHADLO Cn1ccnc1C[NH2+]Cc1ccc(-c2ccccc2)cc1F ZINC000355670637 1127356774 /nfs/dbraw/zinc/35/67/74/1127356774.db2.gz PBFVEKUDMHOMRN-UHFFFAOYSA-N 1 2 295.361 3.516 20 0 CHADLO c1cc2c(o1)CCC[N@@H+](Cc1coc(-c3ccccc3)n1)C2 ZINC000288725753 1127359645 /nfs/dbraw/zinc/35/96/45/1127359645.db2.gz GDMLVIQQDCVQKL-UHFFFAOYSA-N 1 2 294.354 3.883 20 0 CHADLO c1cc2c(o1)CCC[N@H+](Cc1coc(-c3ccccc3)n1)C2 ZINC000288725753 1127359649 /nfs/dbraw/zinc/35/96/49/1127359649.db2.gz GDMLVIQQDCVQKL-UHFFFAOYSA-N 1 2 294.354 3.883 20 0 CHADLO c1cc2c(o1)CCC[N@@H+](Cc1ncc(-c3ccccc3)o1)C2 ZINC000288876368 1127365650 /nfs/dbraw/zinc/36/56/50/1127365650.db2.gz QVNUWCUPERZUFH-UHFFFAOYSA-N 1 2 294.354 3.883 20 0 CHADLO c1cc2c(o1)CCC[N@H+](Cc1ncc(-c3ccccc3)o1)C2 ZINC000288876368 1127365651 /nfs/dbraw/zinc/36/56/51/1127365651.db2.gz QVNUWCUPERZUFH-UHFFFAOYSA-N 1 2 294.354 3.883 20 0 CHADLO CC(C)(C)c1cnc(C[N@@H+]2CCC=C(c3cccnc3)C2)o1 ZINC000289028249 1127371211 /nfs/dbraw/zinc/37/12/11/1127371211.db2.gz AUNMHDVKLLCDMG-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO CC(C)(C)c1cnc(C[N@H+]2CCC=C(c3cccnc3)C2)o1 ZINC000289028249 1127371214 /nfs/dbraw/zinc/37/12/14/1127371214.db2.gz AUNMHDVKLLCDMG-UHFFFAOYSA-N 1 2 297.402 3.656 20 0 CHADLO C[C@H](c1ccco1)[N@@H+](Cc1cnc([C@H](C)O)s1)C1CC1 ZINC000289341939 1127382130 /nfs/dbraw/zinc/38/21/30/1127382130.db2.gz LYGYXELADCNEBC-MNOVXSKESA-N 1 2 292.404 3.515 20 0 CHADLO C[C@H](c1ccco1)[N@H+](Cc1cnc([C@H](C)O)s1)C1CC1 ZINC000289341939 1127382135 /nfs/dbraw/zinc/38/21/35/1127382135.db2.gz LYGYXELADCNEBC-MNOVXSKESA-N 1 2 292.404 3.515 20 0 CHADLO CC(C)Sc1ccc(C[N@H+](C)Cc2ccon2)cc1 ZINC000358042271 1127398255 /nfs/dbraw/zinc/39/82/55/1127398255.db2.gz YWJNBADBZYPPON-UHFFFAOYSA-N 1 2 276.405 3.807 20 0 CHADLO CC(C)Sc1ccc(C[N@@H+](C)Cc2ccon2)cc1 ZINC000358042271 1127398258 /nfs/dbraw/zinc/39/82/58/1127398258.db2.gz YWJNBADBZYPPON-UHFFFAOYSA-N 1 2 276.405 3.807 20 0 CHADLO C[C@H]([NH2+]Cc1cn2ccsc2n1)c1cc(F)ccc1F ZINC000358103447 1127402673 /nfs/dbraw/zinc/40/26/73/1127402673.db2.gz WPOAWLLGBGXZMX-VIFPVBQESA-N 1 2 293.342 3.525 20 0 CHADLO Cc1ccc(C[S@@](=O)C/C=C\c2ccccc2)c(C)[nH+]1 ZINC000358137237 1127403771 /nfs/dbraw/zinc/40/37/71/1127403771.db2.gz OBQNIYYNAHVEGJ-NSDNCLLMSA-N 1 2 285.412 3.661 20 0 CHADLO CC(C)c1nnc(C[NH2+][C@H](C)c2cc(F)cc(F)c2)s1 ZINC000358288755 1127413655 /nfs/dbraw/zinc/41/36/55/1127413655.db2.gz OGDDPMSEFRTQLK-SECBINFHSA-N 1 2 297.374 3.791 20 0 CHADLO COc1cccc([C@H](C)[NH2+]Cc2nnc(C(C)C)s2)c1 ZINC000358302401 1127414244 /nfs/dbraw/zinc/41/42/44/1127414244.db2.gz QTRXEPBWNJMJBY-NSHDSACASA-N 1 2 291.420 3.521 20 0 CHADLO C[C@H]([NH2+][C@@H]1COc2ccc(Cl)cc21)c1ccc(F)cn1 ZINC000358260150 1127411439 /nfs/dbraw/zinc/41/14/39/1127411439.db2.gz BCXFTGCUFZRVFT-LKFCYVNXSA-N 1 2 292.741 3.658 20 0 CHADLO Cc1cccc2cc(C(=O)Nc3cccc4[nH+]ccn43)oc21 ZINC000358790430 1127434463 /nfs/dbraw/zinc/43/44/63/1127434463.db2.gz KLHOQQLADGILAK-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO Cc1ccc2oc(SCc3ccc(C)[nH+]c3C)nc2n1 ZINC000358850658 1127436555 /nfs/dbraw/zinc/43/65/55/1127436555.db2.gz CZNKGMOJJBUKRS-UHFFFAOYSA-N 1 2 285.372 3.835 20 0 CHADLO C[C@H](CSCCF)[NH2+]CC(F)(F)c1cccc(F)c1 ZINC000595060568 1127447629 /nfs/dbraw/zinc/44/76/29/1127447629.db2.gz VPPWFTVLVGANGE-SNVBAGLBSA-N 1 2 295.345 3.598 20 0 CHADLO CSCCCSCc1ccc(-n2cc[nH+]c2)cc1 ZINC000359076282 1127448300 /nfs/dbraw/zinc/44/83/00/1127448300.db2.gz VLPKKPYGDBIJOB-UHFFFAOYSA-N 1 2 278.446 3.859 20 0 CHADLO Cc1ccc(-c2ccc(C(=O)Nc3cc[nH+]c(C)c3)s2)o1 ZINC000359367217 1127460828 /nfs/dbraw/zinc/46/08/28/1127460828.db2.gz YXVLMEWYTCECBF-UHFFFAOYSA-N 1 2 298.367 3.694 20 0 CHADLO Cc1cn2cc(NC(=O)c3ccoc3C(C)C)ccc2[nH+]1 ZINC000359450115 1127463810 /nfs/dbraw/zinc/46/38/10/1127463810.db2.gz RZCYIBLVGNMOJN-UHFFFAOYSA-N 1 2 283.331 3.611 20 0 CHADLO Cc1nc(C[N@H+]2Cc3ccccc3OC[C@@H]2C)sc1C ZINC000359867562 1127480864 /nfs/dbraw/zinc/48/08/64/1127480864.db2.gz CNRWPKQEGJHRFF-NSHDSACASA-N 1 2 288.416 3.543 20 0 CHADLO Cc1nc(C[N@@H+]2Cc3ccccc3OC[C@@H]2C)sc1C ZINC000359867562 1127480867 /nfs/dbraw/zinc/48/08/67/1127480867.db2.gz CNRWPKQEGJHRFF-NSHDSACASA-N 1 2 288.416 3.543 20 0 CHADLO COC(=O)Cc1cc[nH+]c(N[C@@H](C)c2cccc(C)c2C)c1 ZINC000596130036 1127483190 /nfs/dbraw/zinc/48/31/90/1127483190.db2.gz XKICJWWONYKEGQ-AWEZNQCLSA-N 1 2 298.386 3.587 20 0 CHADLO COC[C@@H]([NH2+]Cc1cccc(O)c1Cl)c1ccccc1 ZINC000293661542 1127511584 /nfs/dbraw/zinc/51/15/84/1127511584.db2.gz UBKRZQBXNUMHMF-CQSZACIVSA-N 1 2 291.778 3.523 20 0 CHADLO Cc1nc(N[C@H](C)c2ccc(SC(C)C)cc2)cc[nH+]1 ZINC000361009516 1127529315 /nfs/dbraw/zinc/52/93/15/1127529315.db2.gz KYHMCCRVGVBFIT-GFCCVEGCSA-N 1 2 287.432 3.881 20 0 CHADLO Cc1nc(N[C@H](c2ccccc2)C2CCC2)cc[nH+]1 ZINC000361001608 1127528066 /nfs/dbraw/zinc/52/80/66/1127528066.db2.gz GUDBEJVCTCGSCG-MRXNPFEDSA-N 1 2 253.349 3.738 20 0 CHADLO COc1ccc([C@H](Nc2cc[nH+]c(C)n2)C(C)C)cc1 ZINC000361148559 1127542653 /nfs/dbraw/zinc/54/26/53/1127542653.db2.gz FRAJHDQNMJCPRS-MRXNPFEDSA-N 1 2 271.364 3.603 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1Cl)c1cncc(F)c1 ZINC000361119983 1127539924 /nfs/dbraw/zinc/53/99/24/1127539924.db2.gz ORNFWVWZECAKPE-VIFPVBQESA-N 1 2 282.721 3.864 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000294015119 1127542508 /nfs/dbraw/zinc/54/25/08/1127542508.db2.gz UNWSXIUWFRNZPN-QGZVFWFLSA-N 1 2 298.434 3.751 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000294015119 1127542512 /nfs/dbraw/zinc/54/25/12/1127542512.db2.gz UNWSXIUWFRNZPN-QGZVFWFLSA-N 1 2 298.434 3.751 20 0 CHADLO C[C@H](C(=O)Nc1cccc(F)c1)[N@@H+]1Cc2ccccc2[C@H]1C ZINC000361086288 1127537164 /nfs/dbraw/zinc/53/71/64/1127537164.db2.gz IDAAOATWNYIZHF-CHWSQXEVSA-N 1 2 298.361 3.730 20 0 CHADLO C[C@H](C(=O)Nc1cccc(F)c1)[N@H+]1Cc2ccccc2[C@H]1C ZINC000361086288 1127537166 /nfs/dbraw/zinc/53/71/66/1127537166.db2.gz IDAAOATWNYIZHF-CHWSQXEVSA-N 1 2 298.361 3.730 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000293972507 1127537662 /nfs/dbraw/zinc/53/76/62/1127537662.db2.gz QTLQYPKBJBUSSJ-INIZCTEOSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CC(C)(C)[C@@H]1c1ccncc1 ZINC000293972507 1127537663 /nfs/dbraw/zinc/53/76/63/1127537663.db2.gz QTLQYPKBJBUSSJ-INIZCTEOSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000293972512 1127537798 /nfs/dbraw/zinc/53/77/98/1127537798.db2.gz QTLQYPKBJBUSSJ-MRXNPFEDSA-N 1 2 285.366 3.507 20 0 CHADLO Cc1nc(F)ccc1C[N@H+]1CC(C)(C)[C@H]1c1ccncc1 ZINC000293972512 1127537801 /nfs/dbraw/zinc/53/78/01/1127537801.db2.gz QTLQYPKBJBUSSJ-MRXNPFEDSA-N 1 2 285.366 3.507 20 0 CHADLO CC1(C)C[N@H+](Cc2ccccc2F)[C@@H]1c1ccncc1 ZINC000293978640 1127538894 /nfs/dbraw/zinc/53/88/94/1127538894.db2.gz RDGKLCILFANAMN-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccccc2F)[C@@H]1c1ccncc1 ZINC000293978640 1127538896 /nfs/dbraw/zinc/53/88/96/1127538896.db2.gz RDGKLCILFANAMN-MRXNPFEDSA-N 1 2 270.351 3.804 20 0 CHADLO Cc1nc(N(C)Cc2ccc3ccccc3c2)cc[nH+]1 ZINC000361157653 1127543401 /nfs/dbraw/zinc/54/34/01/1127543401.db2.gz AYWRJUBLOLFSRA-UHFFFAOYSA-N 1 2 263.344 3.575 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H](C)C3CC3)cc2)c1C ZINC000361229599 1127548599 /nfs/dbraw/zinc/54/85/99/1127548599.db2.gz RIBMORCHVNFNDA-LBPRGKRZSA-N 1 2 255.365 3.700 20 0 CHADLO C[C@@H]([NH2+][C@H]1CCOc2c(F)ccc(F)c21)c1ccco1 ZINC000361178190 1127545005 /nfs/dbraw/zinc/54/50/05/1127545005.db2.gz LGQOVXPTTFCEAS-SKDRFNHKSA-N 1 2 279.286 3.732 20 0 CHADLO COc1ccc(F)cc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000361187696 1127545793 /nfs/dbraw/zinc/54/57/93/1127545793.db2.gz PZSGUIXMDBMPFR-UHFFFAOYSA-N 1 2 297.333 3.632 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cncc(C(F)(F)F)c2)o1 ZINC000361293797 1127553742 /nfs/dbraw/zinc/55/37/42/1127553742.db2.gz AETYBSIWURWDHH-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cncc(C(F)(F)F)c2)o1 ZINC000361293797 1127553744 /nfs/dbraw/zinc/55/37/44/1127553744.db2.gz AETYBSIWURWDHH-UHFFFAOYSA-N 1 2 284.281 3.634 20 0 CHADLO CC(C)C[C@H](C(=O)N1CCC[C@H]1CC(C)C)n1cc[nH+]c1 ZINC000635461786 1127567613 /nfs/dbraw/zinc/56/76/13/1127567613.db2.gz VUCSJLWQKHZLBM-JKSUJKDBSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1ccc(C[N@H+](CCO)Cc2ccc(C)cc2Cl)o1 ZINC000361532604 1127569678 /nfs/dbraw/zinc/56/96/78/1127569678.db2.gz YJTAJJLMBXEVDO-UHFFFAOYSA-N 1 2 293.794 3.544 20 0 CHADLO Cc1ccc(C[N@@H+](CCO)Cc2ccc(C)cc2Cl)o1 ZINC000361532604 1127569682 /nfs/dbraw/zinc/56/96/82/1127569682.db2.gz YJTAJJLMBXEVDO-UHFFFAOYSA-N 1 2 293.794 3.544 20 0 CHADLO CC[N@H+](Cc1csc(C2CCCCC2)n1)[C@H]1CCOC1 ZINC000361594206 1127575767 /nfs/dbraw/zinc/57/57/67/1127575767.db2.gz JGJRVXSBAJXJCD-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO CC[N@@H+](Cc1csc(C2CCCCC2)n1)[C@H]1CCOC1 ZINC000361594206 1127575768 /nfs/dbraw/zinc/57/57/68/1127575768.db2.gz JGJRVXSBAJXJCD-HNNXBMFYSA-N 1 2 294.464 3.802 20 0 CHADLO CCc1oc2ccccc2c1C[NH2+][C@@H](C)c1nc(C)no1 ZINC000361602072 1127576164 /nfs/dbraw/zinc/57/61/64/1127576164.db2.gz IDHZCBDNYPOBHU-JTQLQIEISA-N 1 2 285.347 3.537 20 0 CHADLO Cc1cn2cc(NC(=O)CCCC3CCCC3)ccc2[nH+]1 ZINC000361691805 1127581640 /nfs/dbraw/zinc/58/16/40/1127581640.db2.gz WADGAPKPIXEXTO-UHFFFAOYSA-N 1 2 285.391 3.942 20 0 CHADLO Cc1cc(Cl)ccc1C[NH2+]Cc1nnc(C(C)C)s1 ZINC000361745567 1127586301 /nfs/dbraw/zinc/58/63/01/1127586301.db2.gz REWYERLEWHEGEK-UHFFFAOYSA-N 1 2 295.839 3.913 20 0 CHADLO Cc1oc2ccccc2c1CCNc1cccc[nH+]1 ZINC000361760665 1127586979 /nfs/dbraw/zinc/58/69/79/1127586979.db2.gz TZUCYSIXESHIGU-UHFFFAOYSA-N 1 2 252.317 3.791 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc3cc(C)ccc3[nH]2)o1 ZINC000361803557 1127589869 /nfs/dbraw/zinc/58/98/69/1127589869.db2.gz HBBHHUJWRCAFNG-LBPRGKRZSA-N 1 2 269.348 3.624 20 0 CHADLO Cc1ccc([C@H](C)[NH2+]Cc2nc3ccc(C)cc3[nH]2)o1 ZINC000361803557 1127589872 /nfs/dbraw/zinc/58/98/72/1127589872.db2.gz HBBHHUJWRCAFNG-LBPRGKRZSA-N 1 2 269.348 3.624 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1ccncc1Cl)C2 ZINC000361839052 1127593254 /nfs/dbraw/zinc/59/32/54/1127593254.db2.gz GFXPALJRDJPWDT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1ccncc1Cl)C2 ZINC000361839052 1127593255 /nfs/dbraw/zinc/59/32/55/1127593255.db2.gz GFXPALJRDJPWDT-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1nc3cccnc3s1)C2 ZINC000361838644 1127593316 /nfs/dbraw/zinc/59/33/16/1127593316.db2.gz COMAEKLFJLGUQG-UHFFFAOYSA-N 1 2 295.411 3.558 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1nc3cccnc3s1)C2 ZINC000361838644 1127593320 /nfs/dbraw/zinc/59/33/20/1127593320.db2.gz COMAEKLFJLGUQG-UHFFFAOYSA-N 1 2 295.411 3.558 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@@H+]2Cc2cnns2)o1 ZINC000294827214 1127602293 /nfs/dbraw/zinc/60/22/93/1127602293.db2.gz AIXAMTMCQZRYEH-FZMZJTMJSA-N 1 2 291.420 3.667 20 0 CHADLO CCc1ccc([C@@H]2C[C@@H](C)CC[N@H+]2Cc2cnns2)o1 ZINC000294827214 1127602296 /nfs/dbraw/zinc/60/22/96/1127602296.db2.gz AIXAMTMCQZRYEH-FZMZJTMJSA-N 1 2 291.420 3.667 20 0 CHADLO C[C@@H](c1ccc(C[N@H+](C)Cc2ccon2)cc1)C(F)(F)F ZINC000295023030 1127615376 /nfs/dbraw/zinc/61/53/76/1127615376.db2.gz MHJSRLJFIDGNBU-NSHDSACASA-N 1 2 298.308 3.972 20 0 CHADLO C[C@@H](c1ccc(C[N@@H+](C)Cc2ccon2)cc1)C(F)(F)F ZINC000295023030 1127615378 /nfs/dbraw/zinc/61/53/78/1127615378.db2.gz MHJSRLJFIDGNBU-NSHDSACASA-N 1 2 298.308 3.972 20 0 CHADLO C[C@@H]1C[C@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)ncc1F ZINC000295011868 1127614170 /nfs/dbraw/zinc/61/41/70/1127614170.db2.gz MERMVRSNGWEOSW-PSASIEDQSA-N 1 2 294.267 3.523 20 0 CHADLO C[C@@H]1C[C@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)ncc1F ZINC000295011868 1127614172 /nfs/dbraw/zinc/61/41/72/1127614172.db2.gz MERMVRSNGWEOSW-PSASIEDQSA-N 1 2 294.267 3.523 20 0 CHADLO C[C@H]1CC[N@H+](Cc2cnc(Br)s2)[C@@H](C)C1 ZINC000295091000 1127619499 /nfs/dbraw/zinc/61/94/99/1127619499.db2.gz SDYLVIFKPFOPLH-IUCAKERBSA-N 1 2 289.242 3.526 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2cnc(Br)s2)[C@@H](C)C1 ZINC000295091000 1127619501 /nfs/dbraw/zinc/61/95/01/1127619501.db2.gz SDYLVIFKPFOPLH-IUCAKERBSA-N 1 2 289.242 3.526 20 0 CHADLO CC(C)COc1ccccc1C[NH2+][C@@H]1C[C@@H](C)n2ccnc21 ZINC000295172382 1127625404 /nfs/dbraw/zinc/62/54/04/1127625404.db2.gz GHVFXAFZSLLJBP-GDBMZVCRSA-N 1 2 299.418 3.714 20 0 CHADLO C[C@@H]1C[C@H]([NH2+]Cc2ccc(Cl)cc2Cl)c2nccn21 ZINC000295195909 1127627147 /nfs/dbraw/zinc/62/71/47/1127627147.db2.gz JQCDGIWLXORNEN-RNCFNFMXSA-N 1 2 296.201 3.986 20 0 CHADLO Clc1oc2ccccc2c1C[N@H+]1CCO[C@H](C2CC2)C1 ZINC000362517230 1127641766 /nfs/dbraw/zinc/64/17/66/1127641766.db2.gz XPOKVRFXRUGBJE-HNNXBMFYSA-N 1 2 291.778 3.697 20 0 CHADLO CCC1(C(=O)Nc2ccc3[nH+]c(C)cn3c2)CCCC1 ZINC000362499501 1127640327 /nfs/dbraw/zinc/64/03/27/1127640327.db2.gz VAPZCDYPTLJYFY-UHFFFAOYSA-N 1 2 271.364 3.552 20 0 CHADLO Clc1oc2ccccc2c1C[N@@H+]1CCO[C@H](C2CC2)C1 ZINC000362517230 1127641765 /nfs/dbraw/zinc/64/17/65/1127641765.db2.gz XPOKVRFXRUGBJE-HNNXBMFYSA-N 1 2 291.778 3.697 20 0 CHADLO COc1ccc(F)c(C[N@H+](C)[C@@H](C)c2ccco2)c1 ZINC000295487180 1127644834 /nfs/dbraw/zinc/64/48/34/1127644834.db2.gz XKGFWHPPYMZLIH-NSHDSACASA-N 1 2 263.312 3.620 20 0 CHADLO COc1ccc(F)c(C[N@@H+](C)[C@@H](C)c2ccco2)c1 ZINC000295487180 1127644837 /nfs/dbraw/zinc/64/48/37/1127644837.db2.gz XKGFWHPPYMZLIH-NSHDSACASA-N 1 2 263.312 3.620 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1csc(Cl)n1 ZINC000295889567 1127656412 /nfs/dbraw/zinc/65/64/12/1127656412.db2.gz BKADKYFIVCTJNX-JTQLQIEISA-N 1 2 296.823 3.964 20 0 CHADLO Cc1cccc2c1CC[N@H+](Cc1ccc(Cl)nc1)C2 ZINC000170922013 1127664902 /nfs/dbraw/zinc/66/49/02/1127664902.db2.gz QGUQMLKIQXJRAN-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc2c1CC[N@@H+](Cc1ccc(Cl)nc1)C2 ZINC000170922013 1127664904 /nfs/dbraw/zinc/66/49/04/1127664904.db2.gz QGUQMLKIQXJRAN-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[C@@]1(F)CCC[N@H+](Cc2cnc(-c3ccco3)s2)C1 ZINC000296201931 1127665347 /nfs/dbraw/zinc/66/53/47/1127665347.db2.gz ZFBHFAXVOHWCSO-CQSZACIVSA-N 1 2 280.368 3.727 20 0 CHADLO C[C@@]1(F)CCC[N@@H+](Cc2cnc(-c3ccco3)s2)C1 ZINC000296201931 1127665348 /nfs/dbraw/zinc/66/53/48/1127665348.db2.gz ZFBHFAXVOHWCSO-CQSZACIVSA-N 1 2 280.368 3.727 20 0 CHADLO CCn1ccnc1[C@@H](C)[NH2+][C@H](C)c1ccccc1Cl ZINC000296221238 1127665895 /nfs/dbraw/zinc/66/58/95/1127665895.db2.gz ZTGJFENZLIETGI-VXGBXAGGSA-N 1 2 277.799 3.968 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H]2CSCc3ccccc32)no1 ZINC000669706465 1127687406 /nfs/dbraw/zinc/68/74/06/1127687406.db2.gz QQTXBGPFCYNRCR-XHDPSFHLSA-N 1 2 274.389 3.622 20 0 CHADLO COCc1cc(C[N@H+]([C@@H](C)c2ccccc2)C2CC2)no1 ZINC000297623657 1127701803 /nfs/dbraw/zinc/70/18/03/1127701803.db2.gz HGJARNACCBKEBU-ZDUSSCGKSA-N 1 2 286.375 3.547 20 0 CHADLO COCc1cc(C[N@@H+]([C@@H](C)c2ccccc2)C2CC2)no1 ZINC000297623657 1127701808 /nfs/dbraw/zinc/70/18/08/1127701808.db2.gz HGJARNACCBKEBU-ZDUSSCGKSA-N 1 2 286.375 3.547 20 0 CHADLO CC(C)CC[C@H](Nc1[nH+]cnc2c1cnn2C)C(C)(C)C ZINC000604379945 1127704363 /nfs/dbraw/zinc/70/43/63/1127704363.db2.gz DTLGZZVWXZJPGC-ZDUSSCGKSA-N 1 2 289.427 3.626 20 0 CHADLO CC[C@H](CC(=O)NCc1ccc(-n2cc[nH+]c2)cc1)C(C)C ZINC000171474018 1127709495 /nfs/dbraw/zinc/70/94/95/1127709495.db2.gz KVSXCFKJXCJFBP-MRXNPFEDSA-N 1 2 299.418 3.561 20 0 CHADLO Cc1cc(C[N@@H+]2CCC(=O)[C@@H](C)C2)cc(C)c1OC(C)C ZINC001238754799 1131240774 /nfs/dbraw/zinc/24/07/74/1131240774.db2.gz JYUUWWHLFJUXIZ-HNNXBMFYSA-N 1 2 289.419 3.502 20 0 CHADLO Cc1cc(C[N@H+]2CCC(=O)[C@@H](C)C2)cc(C)c1OC(C)C ZINC001238754799 1131240777 /nfs/dbraw/zinc/24/07/77/1131240777.db2.gz JYUUWWHLFJUXIZ-HNNXBMFYSA-N 1 2 289.419 3.502 20 0 CHADLO Cc1cc(NCCCCc2ccccc2)nc(C2CC2)[nH+]1 ZINC000604756444 1127716217 /nfs/dbraw/zinc/71/62/17/1127716217.db2.gz BVGNBACPVUAJIH-UHFFFAOYSA-N 1 2 281.403 3.519 20 0 CHADLO CCc1ccc(C[N@@H+]2CCO[C@@H](c3cccc(F)c3)C2)cc1 ZINC000604997681 1127725624 /nfs/dbraw/zinc/72/56/24/1127725624.db2.gz ALKYZKPUARKUDZ-LJQANCHMSA-N 1 2 299.389 3.962 20 0 CHADLO CCc1ccc(C[N@H+]2CCO[C@@H](c3cccc(F)c3)C2)cc1 ZINC000604997681 1127725625 /nfs/dbraw/zinc/72/56/25/1127725625.db2.gz ALKYZKPUARKUDZ-LJQANCHMSA-N 1 2 299.389 3.962 20 0 CHADLO C[N@H+](Cc1cn2c(n1)CCCC2)Cc1cccc(Cl)c1 ZINC000365401627 1127728313 /nfs/dbraw/zinc/72/83/13/1127728313.db2.gz FQWVRZXZMIHKTG-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO C[N@@H+](Cc1cn2c(n1)CCCC2)Cc1cccc(Cl)c1 ZINC000365401627 1127728314 /nfs/dbraw/zinc/72/83/14/1127728314.db2.gz FQWVRZXZMIHKTG-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000606431899 1127761696 /nfs/dbraw/zinc/76/16/96/1127761696.db2.gz CEVOIDSWLPMCSN-ABAIWWIYSA-N 1 2 283.362 3.915 20 0 CHADLO CC[C@@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)OC(C)(C)C1 ZINC000606431899 1127761697 /nfs/dbraw/zinc/76/16/97/1127761697.db2.gz CEVOIDSWLPMCSN-ABAIWWIYSA-N 1 2 283.362 3.915 20 0 CHADLO CCc1cccc(NC(=O)Nc2ccc3[nH+]ccn3c2)c1 ZINC000606584211 1127768031 /nfs/dbraw/zinc/76/80/31/1127768031.db2.gz IMZNBZDOXOMLFT-UHFFFAOYSA-N 1 2 280.331 3.541 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc2c1CCCC2 ZINC001232639054 1127768842 /nfs/dbraw/zinc/76/88/42/1127768842.db2.gz SRQLZJSATYHIDZ-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc2c1CCCC2 ZINC001232639054 1127768847 /nfs/dbraw/zinc/76/88/47/1127768847.db2.gz SRQLZJSATYHIDZ-UHFFFAOYSA-N 1 2 272.417 3.654 20 0 CHADLO CCC[C@]1(C)CCC[N@H+](Cn2ncsc2=S)C1 ZINC000173536260 1127836532 /nfs/dbraw/zinc/83/65/32/1127836532.db2.gz XNPZCXJUGUOFNH-GFCCVEGCSA-N 1 2 271.455 3.534 20 0 CHADLO CCC[C@]1(C)CCC[N@@H+](Cn2ncsc2=S)C1 ZINC000173536260 1127836536 /nfs/dbraw/zinc/83/65/36/1127836536.db2.gz XNPZCXJUGUOFNH-GFCCVEGCSA-N 1 2 271.455 3.534 20 0 CHADLO c1coc(-c2cc(C[N@@H+]3CCC[C@@H]3c3cccnc3)no2)c1 ZINC000411423521 1127859770 /nfs/dbraw/zinc/85/97/70/1127859770.db2.gz VILPIEXLRUBMAM-OAHLLOKOSA-N 1 2 295.342 3.667 20 0 CHADLO c1coc(-c2cc(C[N@H+]3CCC[C@@H]3c3cccnc3)no2)c1 ZINC000411423521 1127859773 /nfs/dbraw/zinc/85/97/73/1127859773.db2.gz VILPIEXLRUBMAM-OAHLLOKOSA-N 1 2 295.342 3.667 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2cnc3ccc(C)cc3c2)s1 ZINC000411527184 1127863416 /nfs/dbraw/zinc/86/34/16/1127863416.db2.gz NQRRIYQOMNPQSA-NSHDSACASA-N 1 2 298.415 3.554 20 0 CHADLO CCc1cnc(C[N@H+](Cc2cccs2)C(C)C)o1 ZINC000608493257 1127870765 /nfs/dbraw/zinc/87/07/65/1127870765.db2.gz GOFXGGOVAYLSPT-UHFFFAOYSA-N 1 2 264.394 3.709 20 0 CHADLO CCc1cnc(C[N@@H+](Cc2cccs2)C(C)C)o1 ZINC000608493257 1127870768 /nfs/dbraw/zinc/87/07/68/1127870768.db2.gz GOFXGGOVAYLSPT-UHFFFAOYSA-N 1 2 264.394 3.709 20 0 CHADLO CC(C)[C@H](Cc1ccc(F)cc1)[N@H+](C)CC(F)F ZINC000608510497 1127872603 /nfs/dbraw/zinc/87/26/03/1127872603.db2.gz GBZUCHVVBOSTAB-ZDUSSCGKSA-N 1 2 259.315 3.590 20 0 CHADLO CC(C)[C@H](Cc1ccc(F)cc1)[N@@H+](C)CC(F)F ZINC000608510497 1127872605 /nfs/dbraw/zinc/87/26/05/1127872605.db2.gz GBZUCHVVBOSTAB-ZDUSSCGKSA-N 1 2 259.315 3.590 20 0 CHADLO FC1(c2ccc(Cl)cc2)CC[NH+](Cc2cnc[nH]2)CC1 ZINC000374218001 1127878825 /nfs/dbraw/zinc/87/88/25/1127878825.db2.gz QSWKYDIKUGOZNA-UHFFFAOYSA-N 1 2 293.773 3.524 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[N@@H+]1CCC[C@@H]1c1nccs1 ZINC000374301246 1127885867 /nfs/dbraw/zinc/88/58/67/1127885867.db2.gz IPNKTBFTRWYQQV-GFCCVEGCSA-N 1 2 290.436 3.501 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[N@H+]1CCC[C@@H]1c1nccs1 ZINC000374301246 1127885871 /nfs/dbraw/zinc/88/58/71/1127885871.db2.gz IPNKTBFTRWYQQV-GFCCVEGCSA-N 1 2 290.436 3.501 20 0 CHADLO CC[N@H+](Cc1nc(C)oc1C)[C@@H](C)c1cccc(O)c1 ZINC000659987729 1127900075 /nfs/dbraw/zinc/90/00/75/1127900075.db2.gz NESAIZWNTQGGFS-NSHDSACASA-N 1 2 274.364 3.580 20 0 CHADLO CC[N@@H+](Cc1nc(C)oc1C)[C@@H](C)c1cccc(O)c1 ZINC000659987729 1127900076 /nfs/dbraw/zinc/90/00/76/1127900076.db2.gz NESAIZWNTQGGFS-NSHDSACASA-N 1 2 274.364 3.580 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470908478 1127917840 /nfs/dbraw/zinc/91/78/40/1127917840.db2.gz IPYNHKRUYOMHMD-UVWXRNBGSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470908478 1127917842 /nfs/dbraw/zinc/91/78/42/1127917842.db2.gz IPYNHKRUYOMHMD-UVWXRNBGSA-N 1 2 281.346 3.525 20 0 CHADLO CC(C)c1cc(N[C@H](C)C[C@@H]2CCCO2)nc(C(C)C)[nH+]1 ZINC000535593812 1127920998 /nfs/dbraw/zinc/92/09/98/1127920998.db2.gz ZYCKMXCDNKGBLI-KGLIPLIRSA-N 1 2 291.439 3.515 20 0 CHADLO CCCCC[C@@H](CC)C(=O)N1CCC(c2c[nH]c[nH+]2)CC1 ZINC000375006976 1127924644 /nfs/dbraw/zinc/92/46/44/1127924644.db2.gz ZNQLVTFAJZOYKV-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CCCCC[C@@H](CC)C(=O)N1CCC(c2c[nH+]c[nH]2)CC1 ZINC000375006976 1127924646 /nfs/dbraw/zinc/92/46/46/1127924646.db2.gz ZNQLVTFAJZOYKV-CQSZACIVSA-N 1 2 291.439 3.722 20 0 CHADLO CC(C)C[C@H]1COCC[N@@H+]1Cc1cnc(C(C)(C)C)s1 ZINC000539833949 1127946011 /nfs/dbraw/zinc/94/60/11/1127946011.db2.gz WRPTVYHYLAXINE-ZDUSSCGKSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)C[C@H]1COCC[N@H+]1Cc1cnc(C(C)(C)C)s1 ZINC000539833949 1127946014 /nfs/dbraw/zinc/94/60/14/1127946014.db2.gz WRPTVYHYLAXINE-ZDUSSCGKSA-N 1 2 296.480 3.688 20 0 CHADLO C[C@H](CCCC(F)(F)F)Nc1cc(NC2CC2)nc[nH+]1 ZINC000413260762 1127946109 /nfs/dbraw/zinc/94/61/09/1127946109.db2.gz JSSMVRDCTGLDFM-SECBINFHSA-N 1 2 288.317 3.584 20 0 CHADLO C[C@H](CCCC(F)(F)F)Nc1cc(NC2CC2)[nH+]cn1 ZINC000413260762 1127946112 /nfs/dbraw/zinc/94/61/12/1127946112.db2.gz JSSMVRDCTGLDFM-SECBINFHSA-N 1 2 288.317 3.584 20 0 CHADLO CC1(C)CC[N@@H+]1Cc1cc(Br)ccc1F ZINC000539834808 1127946126 /nfs/dbraw/zinc/94/61/26/1127946126.db2.gz PJFLVTSCQBOYKB-UHFFFAOYSA-N 1 2 272.161 3.573 20 0 CHADLO CC1(C)CC[N@H+]1Cc1cc(Br)ccc1F ZINC000539834808 1127946129 /nfs/dbraw/zinc/94/61/29/1127946129.db2.gz PJFLVTSCQBOYKB-UHFFFAOYSA-N 1 2 272.161 3.573 20 0 CHADLO Fc1ccc(/C=C\C[N@@H+]2CCOC[C@H]2c2ccccc2)cc1 ZINC000539850942 1127947472 /nfs/dbraw/zinc/94/74/72/1127947472.db2.gz JJJSOTZXDPVZMN-HMECNJMJSA-N 1 2 297.373 3.912 20 0 CHADLO Fc1ccc(/C=C\C[N@H+]2CCOC[C@H]2c2ccccc2)cc1 ZINC000539850942 1127947476 /nfs/dbraw/zinc/94/74/76/1127947476.db2.gz JJJSOTZXDPVZMN-HMECNJMJSA-N 1 2 297.373 3.912 20 0 CHADLO C[N@H+](CCOCc1ccccc1)Cc1c(F)cccc1F ZINC000539908456 1127952926 /nfs/dbraw/zinc/95/29/26/1127952926.db2.gz ZDIUAGGDAKBTPO-UHFFFAOYSA-N 1 2 291.341 3.613 20 0 CHADLO C[N@@H+](CCOCc1ccccc1)Cc1c(F)cccc1F ZINC000539908456 1127952928 /nfs/dbraw/zinc/95/29/28/1127952928.db2.gz ZDIUAGGDAKBTPO-UHFFFAOYSA-N 1 2 291.341 3.613 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@@H+]1Cc1cnc(C2CCCC2)s1 ZINC000505605686 1127958585 /nfs/dbraw/zinc/95/85/85/1127958585.db2.gz DDUITXPYHMRBFI-JSGCOSHPSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@H]1CO[C@@H](C)C[N@H+]1Cc1cnc(C2CCCC2)s1 ZINC000505605686 1127958588 /nfs/dbraw/zinc/95/85/88/1127958588.db2.gz DDUITXPYHMRBFI-JSGCOSHPSA-N 1 2 294.464 3.800 20 0 CHADLO COc1cccc(Cl)c1C[N@@H+]1CCn2cccc2[C@H]1C ZINC000505664204 1127959683 /nfs/dbraw/zinc/95/96/83/1127959683.db2.gz RXDVRCUJYQVFKB-GFCCVEGCSA-N 1 2 290.794 3.727 20 0 CHADLO COc1cccc(Cl)c1C[N@H+]1CCn2cccc2[C@H]1C ZINC000505664204 1127959685 /nfs/dbraw/zinc/95/96/85/1127959685.db2.gz RXDVRCUJYQVFKB-GFCCVEGCSA-N 1 2 290.794 3.727 20 0 CHADLO Cc1ccc([C@@H]2CCC[C@H]2N(C)c2cc[nH+]c(C)n2)cc1 ZINC000463066617 1127971450 /nfs/dbraw/zinc/97/14/50/1127971450.db2.gz ACMMVAFEPXIJFT-DLBZAZTESA-N 1 2 281.403 3.866 20 0 CHADLO CCCc1noc(C[NH2+][C@@H](CC(C)C)c2cccs2)n1 ZINC000544448837 1127973559 /nfs/dbraw/zinc/97/35/59/1127973559.db2.gz DSUVPQGMNASAES-LBPRGKRZSA-N 1 2 293.436 3.961 20 0 CHADLO Cc1ccc(-c2ncc(C[NH2+][C@@H](C)c3ccon3)s2)cc1 ZINC000544617266 1127979029 /nfs/dbraw/zinc/97/90/29/1127979029.db2.gz LYJKYMLTHOLXLT-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO CC[N@H+](Cc1ncc(COC)s1)Cc1ccc(C)cc1 ZINC000540507753 1127981899 /nfs/dbraw/zinc/98/18/99/1127981899.db2.gz NPBWFIYNXABGDL-UHFFFAOYSA-N 1 2 290.432 3.620 20 0 CHADLO CC[N@@H+](Cc1ncc(COC)s1)Cc1ccc(C)cc1 ZINC000540507753 1127981901 /nfs/dbraw/zinc/98/19/01/1127981901.db2.gz NPBWFIYNXABGDL-UHFFFAOYSA-N 1 2 290.432 3.620 20 0 CHADLO CCCC1(c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)CC1 ZINC000544768441 1127983951 /nfs/dbraw/zinc/98/39/51/1127983951.db2.gz PQIAPRTVEWAONA-UHFFFAOYSA-N 1 2 294.358 3.754 20 0 CHADLO CNc1ccc(Nc2cncc(-c3ccccc3)c2)c[nH+]1 ZINC001203449950 1127986919 /nfs/dbraw/zinc/98/69/19/1127986919.db2.gz PCQOOIMHKQIFFX-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cnc(C3CCCCC3)s2)C[C@H](C)O1 ZINC000540769452 1127994527 /nfs/dbraw/zinc/99/45/27/1127994527.db2.gz NFZFLGZHPBLRBX-BETUJISGSA-N 1 2 294.464 3.800 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cnc(C3CCCCC3)s2)C[C@H](C)O1 ZINC000540769452 1127994531 /nfs/dbraw/zinc/99/45/31/1127994531.db2.gz NFZFLGZHPBLRBX-BETUJISGSA-N 1 2 294.464 3.800 20 0 CHADLO CCc1nc(Cl)c(Nc2ccc(NC)[nH+]c2)c(Cl)n1 ZINC001203450072 1128003674 /nfs/dbraw/zinc/00/36/74/1128003674.db2.gz REHWTVXRYQEGOQ-UHFFFAOYSA-N 1 2 298.177 3.526 20 0 CHADLO C[C@H]1CC[N@H+](Cc2noc(C3CCCCC3)n2)CC1(C)C ZINC000545417332 1128014097 /nfs/dbraw/zinc/01/40/97/1128014097.db2.gz KSZANNJKMVKKFM-ZDUSSCGKSA-N 1 2 291.439 3.985 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2noc(C3CCCCC3)n2)CC1(C)C ZINC000545417332 1128014099 /nfs/dbraw/zinc/01/40/99/1128014099.db2.gz KSZANNJKMVKKFM-ZDUSSCGKSA-N 1 2 291.439 3.985 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@H+](C)Cc2nnc3n2CCC3)cc1 ZINC000541351735 1128019183 /nfs/dbraw/zinc/01/91/83/1128019183.db2.gz VLERAOYFPLDTPO-AWEZNQCLSA-N 1 2 298.434 3.541 20 0 CHADLO CC(C)c1ccc([C@H](C)[N@@H+](C)Cc2nnc3n2CCC3)cc1 ZINC000541351735 1128019189 /nfs/dbraw/zinc/01/91/89/1128019189.db2.gz VLERAOYFPLDTPO-AWEZNQCLSA-N 1 2 298.434 3.541 20 0 CHADLO CC(C)C[C@H]1CCC[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000469117363 1128035988 /nfs/dbraw/zinc/03/59/88/1128035988.db2.gz YENJKYUPZRUVGW-CYBMUJFWSA-N 1 2 279.428 3.625 20 0 CHADLO CC(C)C[C@H]1CCC[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000469117363 1128035994 /nfs/dbraw/zinc/03/59/94/1128035994.db2.gz YENJKYUPZRUVGW-CYBMUJFWSA-N 1 2 279.428 3.625 20 0 CHADLO O=C(c1cc2c[nH+]ccc2[nH]1)N1CCC[C@H](C2CCC2)CC1 ZINC000828339677 1128045599 /nfs/dbraw/zinc/04/55/99/1128045599.db2.gz KZJARQSTSZWABZ-AWEZNQCLSA-N 1 2 297.402 3.605 20 0 CHADLO CC[C@@H](c1ccncc1)N(C)c1nc(C)[nH+]c2c1CCCC2 ZINC000542019713 1128048875 /nfs/dbraw/zinc/04/88/75/1128048875.db2.gz CUVWKMSWUOBFRU-KRWDZBQOSA-N 1 2 296.418 3.646 20 0 CHADLO C[C@@H](c1nnc(-c2ccccc2F)s1)[N@H+]1CC=CCC1 ZINC000669932866 1128068441 /nfs/dbraw/zinc/06/84/41/1128068441.db2.gz QKYAWBYEZPZIEF-NSHDSACASA-N 1 2 289.379 3.667 20 0 CHADLO C[C@@H](c1nnc(-c2ccccc2F)s1)[N@@H+]1CC=CCC1 ZINC000669932866 1128068446 /nfs/dbraw/zinc/06/84/46/1128068446.db2.gz QKYAWBYEZPZIEF-NSHDSACASA-N 1 2 289.379 3.667 20 0 CHADLO Cc1cccc(-c2noc(C[NH2+][C@H](C)c3ccsc3)n2)c1 ZINC000669938052 1128076461 /nfs/dbraw/zinc/07/64/61/1128076461.db2.gz BUYMNXYXNMDAHV-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO Cc1ccccc1-c1noc(C[NH2+][C@H](C)c2ccsc2)n1 ZINC000669937984 1128076751 /nfs/dbraw/zinc/07/67/51/1128076751.db2.gz ZFXJEWWJFKVJPB-GFCCVEGCSA-N 1 2 299.399 3.957 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470908586 1128079979 /nfs/dbraw/zinc/07/99/79/1128079979.db2.gz JIOGHVXCUAGTET-UVWXRNBGSA-N 1 2 281.346 3.525 20 0 CHADLO C[C@H](c1ccc(F)cc1F)[N@H+]1C[C@@H](C)O[C@H](C2CC2)C1 ZINC000470908586 1128079983 /nfs/dbraw/zinc/07/99/83/1128079983.db2.gz JIOGHVXCUAGTET-UVWXRNBGSA-N 1 2 281.346 3.525 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@@H+]1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000471023445 1128085836 /nfs/dbraw/zinc/08/58/36/1128085836.db2.gz UXGMVMBEVYFPHM-OLMNPRSZSA-N 1 2 295.382 3.594 20 0 CHADLO COC(=O)[C@H](c1ccccc1)[N@H+]1C[C@@H](C)[C@@H]1c1ccccc1 ZINC000471023445 1128085841 /nfs/dbraw/zinc/08/58/41/1128085841.db2.gz UXGMVMBEVYFPHM-OLMNPRSZSA-N 1 2 295.382 3.594 20 0 CHADLO Cc1noc([C@H](C)[N@@H+]2CCc3c(cccc3C(C)C)C2)n1 ZINC000682778270 1128097393 /nfs/dbraw/zinc/09/73/93/1128097393.db2.gz ADRGYAFXMPUQQD-LBPRGKRZSA-N 1 2 285.391 3.621 20 0 CHADLO Cc1noc([C@H](C)[N@H+]2CCc3c(cccc3C(C)C)C2)n1 ZINC000682778270 1128097395 /nfs/dbraw/zinc/09/73/95/1128097395.db2.gz ADRGYAFXMPUQQD-LBPRGKRZSA-N 1 2 285.391 3.621 20 0 CHADLO C[C@H]([NH2+][C@H]1CCSc2c(F)cccc21)c1ncco1 ZINC000924647709 1128108864 /nfs/dbraw/zinc/10/88/64/1128108864.db2.gz IONINPNNNOFDCQ-CABZTGNLSA-N 1 2 278.352 3.701 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(OC(F)F)c1)c1ncco1 ZINC000924676918 1128110196 /nfs/dbraw/zinc/11/01/96/1128110196.db2.gz SZJCREBYGHZRHM-VHSXEESVSA-N 1 2 282.290 3.688 20 0 CHADLO Cc1[nH]c2ccc(C[NH2+][C@@H](C)c3ncco3)cc2c1C ZINC000924785798 1128113035 /nfs/dbraw/zinc/11/30/35/1128113035.db2.gz GCNABLWWDZFHPR-LBPRGKRZSA-N 1 2 269.348 3.624 20 0 CHADLO Cc1cc(C)c(NC(=O)/C=C/c2ccsc2)c(C)[nH+]1 ZINC000492795119 1128114225 /nfs/dbraw/zinc/11/42/25/1128114225.db2.gz OIJKDMFLACMYKY-SNAWJCMRSA-N 1 2 272.373 3.720 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nccs1)c1ccccc1)C1(Cl)CC1 ZINC000924864208 1128115477 /nfs/dbraw/zinc/11/54/77/1128115477.db2.gz JZLCRFPEMLNUID-WCQYABFASA-N 1 2 292.835 3.982 20 0 CHADLO Cc1ccccc1C(N)=[NH+]OCc1ccc(CF)cc1 ZINC000777540125 1128118128 /nfs/dbraw/zinc/11/81/28/1128118128.db2.gz XASJIZCVRLQVMZ-UHFFFAOYSA-N 1 2 272.323 3.511 20 0 CHADLO Cc1cccc(F)c1C[NH2+][C@H](C)c1cn2c(n1)CCCC2 ZINC000925168721 1128124608 /nfs/dbraw/zinc/12/46/08/1128124608.db2.gz WMPSIOGKUPYTEC-CYBMUJFWSA-N 1 2 287.382 3.518 20 0 CHADLO CCOc1ccc(Nc2cccc3[nH+]c(C)cn32)c(OC)c1 ZINC001213115134 1128127374 /nfs/dbraw/zinc/12/73/74/1128127374.db2.gz LFGJMWQVQCRWTI-UHFFFAOYSA-N 1 2 297.358 3.794 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@@H]1CC[C@H]2COCC[C@@H]2C1 ZINC000925722012 1128138288 /nfs/dbraw/zinc/13/82/88/1128138288.db2.gz SJEQMANZEGPYHG-OWCLPIDISA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@@H]1CC[C@H]2COCC[C@@H]2C1 ZINC000925722012 1128138290 /nfs/dbraw/zinc/13/82/90/1128138290.db2.gz SJEQMANZEGPYHG-OWCLPIDISA-N 1 2 288.435 3.678 20 0 CHADLO COc1cc([C@H](C)[NH2+]C2(c3cccc(Cl)c3)CC2)on1 ZINC000925883203 1128144957 /nfs/dbraw/zinc/14/49/57/1128144957.db2.gz GDJJUQZRRMFLRO-JTQLQIEISA-N 1 2 292.766 3.677 20 0 CHADLO CCN(CC)c1ccc(N[C@H](C)c2ccns2)c[nH+]1 ZINC000925932106 1128147311 /nfs/dbraw/zinc/14/73/11/1128147311.db2.gz JCEAISGHWFQMHO-LLVKDONJSA-N 1 2 276.409 3.557 20 0 CHADLO C[N@H+](Cc1ncc(Cl)s1)Cc1cccc2[nH]ccc21 ZINC000494000076 1128153396 /nfs/dbraw/zinc/15/33/96/1128153396.db2.gz ODKPLIIWDFMECO-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1ncc(Cl)s1)Cc1cccc2[nH]ccc21 ZINC000494000076 1128153398 /nfs/dbraw/zinc/15/33/98/1128153398.db2.gz ODKPLIIWDFMECO-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[C@H](Cc1ccccn1)Nc1ccc(N2CCCCC2)[nH+]c1 ZINC000926099502 1128154635 /nfs/dbraw/zinc/15/46/35/1128154635.db2.gz DJRJLMRPEHPDKB-OAHLLOKOSA-N 1 2 296.418 3.510 20 0 CHADLO COCC[C@@H](c1ccccc1)[N@@H+]1CC[C@@H](C)C(F)(F)C1 ZINC000494222456 1128160471 /nfs/dbraw/zinc/16/04/71/1128160471.db2.gz GAAXABYRVVKDSH-HIFRSBDPSA-N 1 2 283.362 3.741 20 0 CHADLO COCC[C@@H](c1ccccc1)[N@H+]1CC[C@@H](C)C(F)(F)C1 ZINC000494222456 1128160474 /nfs/dbraw/zinc/16/04/74/1128160474.db2.gz GAAXABYRVVKDSH-HIFRSBDPSA-N 1 2 283.362 3.741 20 0 CHADLO C/C(=C\c1ccccc1)C[N@@H+](C)Cc1nc(C)c(C)o1 ZINC000494273687 1128168006 /nfs/dbraw/zinc/16/80/06/1128168006.db2.gz KDZZFHSMAFJIAX-JLHYYAGUSA-N 1 2 270.376 3.827 20 0 CHADLO C/C(=C\c1ccccc1)C[N@H+](C)Cc1nc(C)c(C)o1 ZINC000494273687 1128168009 /nfs/dbraw/zinc/16/80/09/1128168009.db2.gz KDZZFHSMAFJIAX-JLHYYAGUSA-N 1 2 270.376 3.827 20 0 CHADLO C[C@@H]([NH2+][C@@H]1CCCC1(F)F)c1ccc(C(C)(C)O)cc1 ZINC000926428235 1128172065 /nfs/dbraw/zinc/17/20/65/1128172065.db2.gz IGHRAUZITVRYBC-BXUZGUMPSA-N 1 2 283.362 3.752 20 0 CHADLO C[C@@H](Cc1cccc(F)c1)[NH2+]CC(F)(F)c1ccccn1 ZINC001168466090 1128179600 /nfs/dbraw/zinc/17/96/00/1128179600.db2.gz CRBWZYDLQPRSJG-LBPRGKRZSA-N 1 2 294.320 3.533 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1coc2ccccc21)c1csnn1 ZINC000926590679 1128182925 /nfs/dbraw/zinc/18/29/25/1128182925.db2.gz UMAIEKXFEHHNHZ-NXEZZACHSA-N 1 2 273.361 3.696 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@H]1F)c1ccns1 ZINC000926860993 1128198557 /nfs/dbraw/zinc/19/85/57/1128198557.db2.gz LMMWNKWWTQETRQ-ZBINZKHDSA-N 1 2 276.380 3.819 20 0 CHADLO CCn1nc(C)c([C@@H](C)[NH2+]Cc2cc3cc(C)ccc3o2)n1 ZINC000926700113 1128188691 /nfs/dbraw/zinc/18/86/91/1128188691.db2.gz COTWLIYNIAZUOU-GFCCVEGCSA-N 1 2 298.390 3.512 20 0 CHADLO COc1cccc2c1CC[C@@H]2Nc1ccc([NH+](C)C)cc1 ZINC000777601486 1128190012 /nfs/dbraw/zinc/19/00/12/1128190012.db2.gz AMMXWQOUOYWSHH-KRWDZBQOSA-N 1 2 282.387 3.861 20 0 CHADLO C[C@@H]([NH2+][C@@H]1COCc2cccc(Cl)c21)c1ccns1 ZINC000926775077 1128193453 /nfs/dbraw/zinc/19/34/53/1128193453.db2.gz WBXXZSNGEFDGIB-BXKDBHETSA-N 1 2 294.807 3.719 20 0 CHADLO Cc1cnc(C[NH2+][C@H](c2ccccc2)c2ccncc2)s1 ZINC000226605461 1128196363 /nfs/dbraw/zinc/19/63/63/1128196363.db2.gz UEISNVMAMYNPGS-QGZVFWFLSA-N 1 2 295.411 3.726 20 0 CHADLO CCCC[NH+](CCCC)Cn1nc(C)sc1=S ZINC000914785605 1128244526 /nfs/dbraw/zinc/24/45/26/1128244526.db2.gz LQQQKSMKUXJPLG-UHFFFAOYSA-N 1 2 273.471 3.842 20 0 CHADLO CC[C@@H]1CCC[C@H]1[NH2+]c1ccc(N2CCOC[C@H]2C)cc1 ZINC000777694729 1128245690 /nfs/dbraw/zinc/24/56/90/1128245690.db2.gz QBMOHNDPZMIZFG-IIDMSEBBSA-N 1 2 288.435 3.902 20 0 CHADLO CCc1ncc(C[N@H+](C)Cc2cc(Cl)ccc2OC)o1 ZINC000929100314 1128257888 /nfs/dbraw/zinc/25/78/88/1128257888.db2.gz QBFADOHAHRXTMC-UHFFFAOYSA-N 1 2 294.782 3.531 20 0 CHADLO CCc1ncc(C[N@@H+](C)Cc2cc(Cl)ccc2OC)o1 ZINC000929100314 1128257892 /nfs/dbraw/zinc/25/78/92/1128257892.db2.gz QBFADOHAHRXTMC-UHFFFAOYSA-N 1 2 294.782 3.531 20 0 CHADLO CC(C)c1nsc(C[N@@H+]2CCC[C@@H]2c2ccccc2)n1 ZINC000929109017 1128258074 /nfs/dbraw/zinc/25/80/74/1128258074.db2.gz YDUINASIVJTLAB-CQSZACIVSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1nsc(C[N@H+]2CCC[C@@H]2c2ccccc2)n1 ZINC000929109017 1128258077 /nfs/dbraw/zinc/25/80/77/1128258077.db2.gz YDUINASIVJTLAB-CQSZACIVSA-N 1 2 287.432 3.999 20 0 CHADLO C[C@@H](c1ncccn1)[N@H+](C)Cc1ccccc1C(F)(F)F ZINC000929165184 1128262116 /nfs/dbraw/zinc/26/21/16/1128262116.db2.gz AZTXQCNMPDISMA-NSHDSACASA-N 1 2 295.308 3.688 20 0 CHADLO C[C@@H](c1ncccn1)[N@@H+](C)Cc1ccccc1C(F)(F)F ZINC000929165184 1128262118 /nfs/dbraw/zinc/26/21/18/1128262118.db2.gz AZTXQCNMPDISMA-NSHDSACASA-N 1 2 295.308 3.688 20 0 CHADLO C[C@H]1c2cccn2CC[N@H+]1Cc1cnc(-c2ccccc2)o1 ZINC000929191233 1128263370 /nfs/dbraw/zinc/26/33/70/1128263370.db2.gz MUQNURHUFWKFHP-AWEZNQCLSA-N 1 2 293.370 3.720 20 0 CHADLO C[C@H]1c2cccn2CC[N@@H+]1Cc1cnc(-c2ccccc2)o1 ZINC000929191233 1128263371 /nfs/dbraw/zinc/26/33/71/1128263371.db2.gz MUQNURHUFWKFHP-AWEZNQCLSA-N 1 2 293.370 3.720 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2C[C@H](C)[C@H]2C)c1 ZINC000494819187 1128202021 /nfs/dbraw/zinc/20/20/21/1128202021.db2.gz ALDOYWDFEBWGCE-DMDPSCGWSA-N 1 2 250.411 3.791 20 0 CHADLO Cc1nnsc1[C@H](C)[N@H+](C)Cc1cccc(Cl)c1 ZINC000929232778 1128265802 /nfs/dbraw/zinc/26/58/02/1128265802.db2.gz WUPBRUHSSNGCNM-JTQLQIEISA-N 1 2 281.812 3.693 20 0 CHADLO Cc1nnsc1[C@H](C)[N@@H+](C)Cc1cccc(Cl)c1 ZINC000929232778 1128265805 /nfs/dbraw/zinc/26/58/05/1128265805.db2.gz WUPBRUHSSNGCNM-JTQLQIEISA-N 1 2 281.812 3.693 20 0 CHADLO c1nc2c(s1)CCC[C@@H]2[NH2+][C@@H]1CCCc2cccnc21 ZINC000927062320 1128212926 /nfs/dbraw/zinc/21/29/26/1128212926.db2.gz LHEFDNIXNUNMMB-OLZOCXBDSA-N 1 2 285.416 3.583 20 0 CHADLO C[C@@H]([NH2+]Cc1cc(C2CC2)n(C)n1)c1cc2ccccc2o1 ZINC000927112023 1128218134 /nfs/dbraw/zinc/21/81/34/1128218134.db2.gz DANUIICEIAGKMX-GFCCVEGCSA-N 1 2 295.386 3.895 20 0 CHADLO CCc1ncc(C[N@@H+](CC)Cc2ccccc2Cl)o1 ZINC000929256205 1128266523 /nfs/dbraw/zinc/26/65/23/1128266523.db2.gz YEQIFJGQJMURJH-UHFFFAOYSA-N 1 2 278.783 3.913 20 0 CHADLO CCc1ncc(C[N@H+](CC)Cc2ccccc2Cl)o1 ZINC000929256205 1128266526 /nfs/dbraw/zinc/26/65/26/1128266526.db2.gz YEQIFJGQJMURJH-UHFFFAOYSA-N 1 2 278.783 3.913 20 0 CHADLO C(=C\c1ccncc1)\C[N@@H+]1CCCC[C@H]1c1nccs1 ZINC000494996596 1128222396 /nfs/dbraw/zinc/22/23/96/1128222396.db2.gz LVXNXNMXOMNNHZ-JZIMQPMSSA-N 1 2 285.416 3.779 20 0 CHADLO C(=C\c1ccncc1)\C[N@H+]1CCCC[C@H]1c1nccs1 ZINC000494996596 1128222400 /nfs/dbraw/zinc/22/24/00/1128222400.db2.gz LVXNXNMXOMNNHZ-JZIMQPMSSA-N 1 2 285.416 3.779 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@H+]2C)c1ccco1 ZINC000777653597 1128233862 /nfs/dbraw/zinc/23/38/62/1128233862.db2.gz NRTZYENTEDLYAU-LBPRGKRZSA-N 1 2 256.349 3.835 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CCC[N@@H+]2C)c1ccco1 ZINC000777653597 1128233863 /nfs/dbraw/zinc/23/38/63/1128233863.db2.gz NRTZYENTEDLYAU-LBPRGKRZSA-N 1 2 256.349 3.835 20 0 CHADLO CCc1nc(C[N@H+](C)C[C@H]2CCCC3(CCC3)O2)cs1 ZINC000929343682 1128270986 /nfs/dbraw/zinc/27/09/86/1128270986.db2.gz MEXGNERFNJEQGL-CQSZACIVSA-N 1 2 294.464 3.629 20 0 CHADLO CCc1nc(C[N@@H+](C)C[C@H]2CCCC3(CCC3)O2)cs1 ZINC000929343682 1128270987 /nfs/dbraw/zinc/27/09/87/1128270987.db2.gz MEXGNERFNJEQGL-CQSZACIVSA-N 1 2 294.464 3.629 20 0 CHADLO CCn1cc([C@H](C)Nc2cc3ccccc3c[nH+]2)cn1 ZINC000631295507 1128275902 /nfs/dbraw/zinc/27/59/02/1128275902.db2.gz UDKMMKKDTMIYJO-LBPRGKRZSA-N 1 2 266.348 3.624 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@@H+]2Cc2nncs2)cc1 ZINC000929706216 1128283168 /nfs/dbraw/zinc/28/31/68/1128283168.db2.gz ZWUDJURJBDSXSN-AWEZNQCLSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1ccc([C@@H]2CCCC[N@H+]2Cc2nncs2)cc1 ZINC000929706216 1128283172 /nfs/dbraw/zinc/28/31/72/1128283172.db2.gz ZWUDJURJBDSXSN-AWEZNQCLSA-N 1 2 273.405 3.574 20 0 CHADLO COc1cc(Cl)cc(-c2cn3cc[nH+]c3cc2C)c1 ZINC001205135620 1128288191 /nfs/dbraw/zinc/28/81/91/1128288191.db2.gz NPQOSICYSSZCPZ-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@@H+]1CCOC2(C1)CCCCCC2 ZINC000929841838 1128290539 /nfs/dbraw/zinc/29/05/39/1128290539.db2.gz PQFHICFQDXWMTC-AWEZNQCLSA-N 1 2 292.398 3.707 20 0 CHADLO C[C@@H](c1ccc(F)nc1)[N@H+]1CCOC2(C1)CCCCCC2 ZINC000929841838 1128290542 /nfs/dbraw/zinc/29/05/42/1128290542.db2.gz PQFHICFQDXWMTC-AWEZNQCLSA-N 1 2 292.398 3.707 20 0 CHADLO C[C@H]1CCCN1CC[NH2+]Cc1csc(Cl)c1Cl ZINC000762448943 1128300493 /nfs/dbraw/zinc/30/04/93/1128300493.db2.gz OXVWWJRUXYFZEZ-VIFPVBQESA-N 1 2 293.263 3.629 20 0 CHADLO CC[C@H](C)c1ccc(-c2nc(Cc3c[nH+]cn3C)no2)cc1 ZINC000762409383 1128297670 /nfs/dbraw/zinc/29/76/70/1128297670.db2.gz LTXYVCFZGIIKDX-LBPRGKRZSA-N 1 2 296.374 3.574 20 0 CHADLO C[C@@H](Cc1cccc(F)c1)[NH+]1CC(OCc2ccccc2)C1 ZINC001168489995 1128297787 /nfs/dbraw/zinc/29/77/87/1128297787.db2.gz GJJGYGFRBMDCCR-HNNXBMFYSA-N 1 2 299.389 3.658 20 0 CHADLO CCc1onc(C)c1C[NH2+][C@@](C)(CC)c1nc(C)cs1 ZINC000930011019 1128298024 /nfs/dbraw/zinc/29/80/24/1128298024.db2.gz YMGXMBNBPQVCSJ-HNNXBMFYSA-N 1 2 293.436 3.725 20 0 CHADLO COc1ccc(C)cc1[C@H](C)[NH2+]Cc1c(F)cncc1F ZINC000930186404 1128305710 /nfs/dbraw/zinc/30/57/10/1128305710.db2.gz WULIOCOIHZQZNR-NSHDSACASA-N 1 2 292.329 3.528 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+][C@H](C)c2ncccn2)cc1 ZINC000930196136 1128306407 /nfs/dbraw/zinc/30/64/07/1128306407.db2.gz YOZFCDXTIDDAGX-UONOGXRCSA-N 1 2 285.391 3.676 20 0 CHADLO CNc1ccc(Nc2ccc(C3CCC3)nc2)c[nH+]1 ZINC001203453221 1128329173 /nfs/dbraw/zinc/32/91/73/1128329173.db2.gz YVBPXZXTXWCXCF-UHFFFAOYSA-N 1 2 254.337 3.529 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@H+](Cc2coc(C(C)(C)C)n2)C1 ZINC000931089608 1128347209 /nfs/dbraw/zinc/34/72/09/1128347209.db2.gz XMZOMQPCFOJGTE-OAHLLOKOSA-N 1 2 294.439 3.609 20 0 CHADLO CC(C)CCO[C@@H]1CC[N@@H+](Cc2coc(C(C)(C)C)n2)C1 ZINC000931089608 1128347214 /nfs/dbraw/zinc/34/72/14/1128347214.db2.gz XMZOMQPCFOJGTE-OAHLLOKOSA-N 1 2 294.439 3.609 20 0 CHADLO c1cc(N[C@@H]2CCC[C@@H]2C2CC2)[nH+]cc1N1CCCC1 ZINC000561800990 1128354017 /nfs/dbraw/zinc/35/40/17/1128354017.db2.gz MIGCEBSVSYJYAU-HZPDHXFCSA-N 1 2 271.408 3.672 20 0 CHADLO Cc1cc(F)ccc1[C@@H](C)[NH2+]Cc1nc(C(C)C)ns1 ZINC000932562668 1128414487 /nfs/dbraw/zinc/41/44/87/1128414487.db2.gz QUQCAKLWIKQBNG-LLVKDONJSA-N 1 2 293.411 3.960 20 0 CHADLO CC(C)(C)c1nc(C[N@@H+]2CC[C@@](C)(C(F)(F)F)C2)co1 ZINC000931613061 1128372768 /nfs/dbraw/zinc/37/27/68/1128372768.db2.gz VYGUYKWDPQFQJH-CYBMUJFWSA-N 1 2 290.329 3.746 20 0 CHADLO CC(C)(C)c1nc(C[N@H+]2CC[C@@](C)(C(F)(F)F)C2)co1 ZINC000931613061 1128372772 /nfs/dbraw/zinc/37/27/72/1128372772.db2.gz VYGUYKWDPQFQJH-CYBMUJFWSA-N 1 2 290.329 3.746 20 0 CHADLO Cc1cccc([C@H]2CCC[N@H+]2Cc2ncc(Cl)n2C)c1 ZINC000055910080 1128378927 /nfs/dbraw/zinc/37/89/27/1128378927.db2.gz AWNLRSXZORLILW-CQSZACIVSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1cccc([C@H]2CCC[N@@H+]2Cc2ncc(Cl)n2C)c1 ZINC000055910080 1128378931 /nfs/dbraw/zinc/37/89/31/1128378931.db2.gz AWNLRSXZORLILW-CQSZACIVSA-N 1 2 289.810 3.719 20 0 CHADLO COc1ccc([C@H](C)[NH2+]Cc2ncc(C3CC3)o2)cc1C ZINC000932571627 1128416083 /nfs/dbraw/zinc/41/60/83/1128416083.db2.gz UUCVLYBMKICHOJ-LBPRGKRZSA-N 1 2 286.375 3.720 20 0 CHADLO CCc1noc(C[NH2+][C@@H]2CCc3cccc4cccc2c43)n1 ZINC000931805852 1128381660 /nfs/dbraw/zinc/38/16/60/1128381660.db2.gz HXWWKFONXYWBAR-OAHLLOKOSA-N 1 2 293.370 3.562 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2csc(C3CCCCC3)n2)CCO1 ZINC000932348595 1128405731 /nfs/dbraw/zinc/40/57/31/1128405731.db2.gz BADTUULVPCXZCD-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2csc(C3CCCCC3)n2)CCO1 ZINC000932348595 1128405732 /nfs/dbraw/zinc/40/57/32/1128405732.db2.gz BADTUULVPCXZCD-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO CC(C)(C)c1nc(C[NH2+][C@H](c2ccccn2)C2CC2)co1 ZINC000932385708 1128407322 /nfs/dbraw/zinc/40/73/22/1128407322.db2.gz KOKNWSGWYOXTQF-HNNXBMFYSA-N 1 2 285.391 3.608 20 0 CHADLO Cc1ccsc1COC(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000778084191 1128408525 /nfs/dbraw/zinc/40/85/25/1128408525.db2.gz AIORNOMQVLKZIT-UHFFFAOYSA-N 1 2 298.367 3.599 20 0 CHADLO Cc1conc1C[N@H+](Cc1cccc(F)c1)C1CCC1 ZINC000932403818 1128408746 /nfs/dbraw/zinc/40/87/46/1128408746.db2.gz XSZHYMHRZGPGGV-UHFFFAOYSA-N 1 2 274.339 3.677 20 0 CHADLO Cc1conc1C[N@@H+](Cc1cccc(F)c1)C1CCC1 ZINC000932403818 1128408748 /nfs/dbraw/zinc/40/87/48/1128408748.db2.gz XSZHYMHRZGPGGV-UHFFFAOYSA-N 1 2 274.339 3.677 20 0 CHADLO Cc1cc(C)c(NC(=O)NC(C)(C)C(C)C)c(C)[nH+]1 ZINC000562892558 1128426958 /nfs/dbraw/zinc/42/69/58/1128426958.db2.gz JXDPYLFGXADSII-UHFFFAOYSA-N 1 2 263.385 3.563 20 0 CHADLO Cc1nnc([C@H](C)[NH2+]Cc2ccc(C3CCCC3)cc2)o1 ZINC000562893324 1128427408 /nfs/dbraw/zinc/42/74/08/1128427408.db2.gz XILWVGHSYJIOMP-LBPRGKRZSA-N 1 2 285.391 3.886 20 0 CHADLO Cc1ccc(CNc2ccc(N3CCCC3)[nH+]c2)cc1C ZINC000037283519 1128430291 /nfs/dbraw/zinc/43/02/91/1128430291.db2.gz RGVUIRKXLXKPGF-UHFFFAOYSA-N 1 2 281.403 3.911 20 0 CHADLO COc1ccc([C@@H](C)[NH2+][C@@H](C)c2ncc(C)o2)cc1C ZINC000933486138 1128436289 /nfs/dbraw/zinc/43/62/89/1128436289.db2.gz VOQZSYRWXIHKKS-OLZOCXBDSA-N 1 2 274.364 3.712 20 0 CHADLO Cc1cn2c(cccc2-c2c(F)cc(F)c(F)c2F)[nH+]1 ZINC001205173499 1128442042 /nfs/dbraw/zinc/44/20/42/1128442042.db2.gz GZCNBMLYDWZSLH-UHFFFAOYSA-N 1 2 280.224 3.866 20 0 CHADLO CCC[C@@](C)([NH2+]Cc1nc(C(F)F)no1)c1ccccc1 ZINC000934181005 1128453690 /nfs/dbraw/zinc/45/36/90/1128453690.db2.gz ZSHAFTUFDDSYBG-OAHLLOKOSA-N 1 2 295.333 3.812 20 0 CHADLO C[C@@H]([NH2+]Cc1c(Cl)cncc1Cl)c1cncs1 ZINC000934195155 1128454377 /nfs/dbraw/zinc/45/43/77/1128454377.db2.gz FUBYTKZQDQIFMJ-SSDOTTSWSA-N 1 2 288.203 3.696 20 0 CHADLO Cc1ccccc1-c1cnc(C[NH2+][C@@H](C)c2cncs2)o1 ZINC000934197708 1128454513 /nfs/dbraw/zinc/45/45/13/1128454513.db2.gz GMTIDDOCVIMUHN-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO CCC(CC)n1ccc(C[NH2+][C@@H](C)c2cncs2)n1 ZINC000934196352 1128454704 /nfs/dbraw/zinc/45/47/04/1128454704.db2.gz YOWBTIIFWXAQNB-NSHDSACASA-N 1 2 278.425 3.552 20 0 CHADLO CCCCc1nc(C[NH2+][C@H](C)c2cncs2)cs1 ZINC000934202111 1128454752 /nfs/dbraw/zinc/45/47/52/1128454752.db2.gz OVYCIANRDODPMV-SNVBAGLBSA-N 1 2 281.450 3.793 20 0 CHADLO CCC(CC)N(CC(C)C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934087558 1128451071 /nfs/dbraw/zinc/45/10/71/1128451071.db2.gz OTUMNBWLTJTVLW-UHFFFAOYSA-N 1 2 287.407 3.850 20 0 CHADLO CC(C)c1ccc([C@H]2CCCC[N@@H+]2Cc2nncn2C)cc1 ZINC000125874005 1128455606 /nfs/dbraw/zinc/45/56/06/1128455606.db2.gz RNLBBEHVMLLNFS-QGZVFWFLSA-N 1 2 298.434 3.666 20 0 CHADLO CC(C)c1ccc([C@H]2CCCC[N@H+]2Cc2nncn2C)cc1 ZINC000125874005 1128455608 /nfs/dbraw/zinc/45/56/08/1128455608.db2.gz RNLBBEHVMLLNFS-QGZVFWFLSA-N 1 2 298.434 3.666 20 0 CHADLO CCc1ccc(C[NH2+][C@H](c2ncc[nH]2)c2ccccc2)s1 ZINC000125910583 1128456410 /nfs/dbraw/zinc/45/64/10/1128456410.db2.gz AQQZWEOQHVZBME-INIZCTEOSA-N 1 2 297.427 3.913 20 0 CHADLO c1ccc(CCNc2ccc(N3CCCCC3)c[nH+]2)cc1 ZINC000916845300 1128457759 /nfs/dbraw/zinc/45/77/59/1128457759.db2.gz SHFZODBFUFWJFB-UHFFFAOYSA-N 1 2 281.403 3.727 20 0 CHADLO C[C@@H]1CC[C@@H](CC(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC000126622882 1128464606 /nfs/dbraw/zinc/46/46/06/1128464606.db2.gz UPGGGNWSESCYLG-CHWSQXEVSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1cccc(C2=CC[N@H+]([C@H](C)c3ncccn3)CC2)c1C ZINC000934846976 1128470538 /nfs/dbraw/zinc/47/05/38/1128470538.db2.gz VTPPOTGPKAAVEV-MRXNPFEDSA-N 1 2 293.414 3.944 20 0 CHADLO Cc1cccc(C2=CC[N@@H+]([C@H](C)c3ncccn3)CC2)c1C ZINC000934846976 1128470540 /nfs/dbraw/zinc/47/05/40/1128470540.db2.gz VTPPOTGPKAAVEV-MRXNPFEDSA-N 1 2 293.414 3.944 20 0 CHADLO CCC[C@@H](C)[C@H]1CCCN1C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934917992 1128473965 /nfs/dbraw/zinc/47/39/65/1128473965.db2.gz VGKXSZHOXNBHNX-MLGOLLRUSA-N 1 2 285.391 3.604 20 0 CHADLO c1ccc(NCCc2ccc(N3CCCCC3)cc2)[nH+]c1 ZINC000917070466 1128475488 /nfs/dbraw/zinc/47/54/88/1128475488.db2.gz BCRZFRVIHHMYAY-UHFFFAOYSA-N 1 2 281.403 3.727 20 0 CHADLO Cc1cc(NC(=O)c2cc(C3CC3)oc2C2CC2)c(C)c[nH+]1 ZINC000935017421 1128476399 /nfs/dbraw/zinc/47/63/99/1128476399.db2.gz SXMCLFSPBAFJIB-UHFFFAOYSA-N 1 2 296.370 3.720 20 0 CHADLO CC(C)c1cnc(SCc2ccc(N)[nH+]c2)n1C(C)C ZINC000917087510 1128477765 /nfs/dbraw/zinc/47/77/65/1128477765.db2.gz OBVVBOWVWPDBAE-UHFFFAOYSA-N 1 2 290.436 3.857 20 0 CHADLO CC(C)CCCCCCNC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000917358843 1128495121 /nfs/dbraw/zinc/49/51/21/1128495121.db2.gz SWBLVSUDYPTYTK-HNNXBMFYSA-N 1 2 279.428 3.557 20 0 CHADLO CC(C)Oc1cccc(CO[NH+]=C(N)c2ccccc2)c1 ZINC000917392631 1128496863 /nfs/dbraw/zinc/49/68/63/1128496863.db2.gz GHAPKGXWKLTBGV-UHFFFAOYSA-N 1 2 284.359 3.521 20 0 CHADLO CCC[NH+](CCC)Cn1nc(C(C)(C)C)oc1=S ZINC000917422444 1128499349 /nfs/dbraw/zinc/49/93/49/1128499349.db2.gz IISXPYNHIWWYDH-UHFFFAOYSA-N 1 2 271.430 3.583 20 0 CHADLO CC(C)[N@@H+](Cc1cccc(Cl)c1)CN1CCSC1=O ZINC000917463033 1128499829 /nfs/dbraw/zinc/49/98/29/1128499829.db2.gz VAYPUHHWMPPLQI-UHFFFAOYSA-N 1 2 298.839 3.677 20 0 CHADLO CC(C)[N@H+](Cc1cccc(Cl)c1)CN1CCSC1=O ZINC000917463033 1128499831 /nfs/dbraw/zinc/49/98/31/1128499831.db2.gz VAYPUHHWMPPLQI-UHFFFAOYSA-N 1 2 298.839 3.677 20 0 CHADLO C[C@@H]([N@H+](C)Cn1nc(C(C)(C)C)oc1=S)C(C)(C)C ZINC000917429523 1128500202 /nfs/dbraw/zinc/50/02/02/1128500202.db2.gz ZDBQAQCTQXBYET-SNVBAGLBSA-N 1 2 285.457 3.827 20 0 CHADLO C[C@@H]([N@@H+](C)Cn1nc(C(C)(C)C)oc1=S)C(C)(C)C ZINC000917429523 1128500203 /nfs/dbraw/zinc/50/02/03/1128500203.db2.gz ZDBQAQCTQXBYET-SNVBAGLBSA-N 1 2 285.457 3.827 20 0 CHADLO C[N@H+](Cc1c(Cl)nc2ccccn21)Cc1ccccc1 ZINC000154473594 1128513885 /nfs/dbraw/zinc/51/38/85/1128513885.db2.gz MRYANJHHTMBDCM-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@@H+](Cc1c(Cl)nc2ccccn21)Cc1ccccc1 ZINC000154473594 1128513889 /nfs/dbraw/zinc/51/38/89/1128513889.db2.gz MRYANJHHTMBDCM-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO NC(Cc1ccccc1)=[NH+]OCc1ccc(C(F)F)cc1 ZINC000763425151 1128530348 /nfs/dbraw/zinc/53/03/48/1128530348.db2.gz DLBOZJSINPDLJN-UHFFFAOYSA-N 1 2 290.313 3.865 20 0 CHADLO C[C@H](CC(=O)Nc1ccccc1C(C)(C)C)n1cc[nH+]c1 ZINC000566299144 1128541989 /nfs/dbraw/zinc/54/19/89/1128541989.db2.gz SDBATWFNEVCTGN-CYBMUJFWSA-N 1 2 285.391 3.770 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@H+](C)Cc1nc2ccccc2o1 ZINC000930031583 1128553570 /nfs/dbraw/zinc/55/35/70/1128553570.db2.gz KQOUXZSTKJALGP-LLVKDONJSA-N 1 2 285.322 3.555 20 0 CHADLO C[C@H](c1ccc(F)nc1)[N@@H+](C)Cc1nc2ccccc2o1 ZINC000930031583 1128553573 /nfs/dbraw/zinc/55/35/73/1128553573.db2.gz KQOUXZSTKJALGP-LLVKDONJSA-N 1 2 285.322 3.555 20 0 CHADLO CCN(CC)c1ccc(N[C@@H]2CCO[C@@H](C(C)C)C2)c[nH+]1 ZINC000070351684 1128562063 /nfs/dbraw/zinc/56/20/63/1128562063.db2.gz HIWIAUHTNVMENV-GDBMZVCRSA-N 1 2 291.439 3.543 20 0 CHADLO CC(C)[C@H]1CCC[C@@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000568696627 1128590078 /nfs/dbraw/zinc/59/00/78/1128590078.db2.gz OVZKBOBFTKQBBS-DLBZAZTESA-N 1 2 298.434 3.953 20 0 CHADLO Cc1ccc([C@@H](C)c2noc(C[C@H](C)n3cc[nH+]c3)n2)cc1 ZINC000569007870 1128596096 /nfs/dbraw/zinc/59/60/96/1128596096.db2.gz KAVNDMGGYOJSEX-UONOGXRCSA-N 1 2 296.374 3.530 20 0 CHADLO CSC1(C[NH2+]CC(F)(F)c2ccc(Cl)cc2)CC1 ZINC000569969039 1128612658 /nfs/dbraw/zinc/61/26/58/1128612658.db2.gz QGNTWZOGRJQKDE-UHFFFAOYSA-N 1 2 291.794 3.917 20 0 CHADLO Clc1ccc(CNc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC000155931813 1128619848 /nfs/dbraw/zinc/61/98/48/1128619848.db2.gz RWNDMVNJFBFCNY-UHFFFAOYSA-N 1 2 284.750 3.533 20 0 CHADLO Cc1noc([C@H](C)[N@H+](C)Cc2ccc(C(F)F)cc2)n1 ZINC000570579321 1128626578 /nfs/dbraw/zinc/62/65/78/1128626578.db2.gz KKVUJQBYFFBOBJ-VIFPVBQESA-N 1 2 281.306 3.509 20 0 CHADLO Cc1noc([C@H](C)[N@@H+](C)Cc2ccc(C(F)F)cc2)n1 ZINC000570579321 1128626581 /nfs/dbraw/zinc/62/65/81/1128626581.db2.gz KKVUJQBYFFBOBJ-VIFPVBQESA-N 1 2 281.306 3.509 20 0 CHADLO Cc1ccc(CSCC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000073069150 1128639279 /nfs/dbraw/zinc/63/92/79/1128639279.db2.gz WNFORUXNEYWLQJ-UHFFFAOYSA-N 1 2 286.400 3.570 20 0 CHADLO CC1(C)CC[C@@H]1Nc1ccc(N2CCCCC2)c[nH+]1 ZINC000572503039 1128645370 /nfs/dbraw/zinc/64/53/70/1128645370.db2.gz WPDSBZMQAHDZJC-AWEZNQCLSA-N 1 2 259.397 3.672 20 0 CHADLO CCc1nc(C[N@H+](C)[C@@H](C)c2ccc(OC)cc2)cs1 ZINC000073986864 1128651993 /nfs/dbraw/zinc/65/19/93/1128651993.db2.gz PAHNIIDMPKYFAS-LBPRGKRZSA-N 1 2 290.432 3.907 20 0 CHADLO CCc1nc(C[N@@H+](C)[C@@H](C)c2ccc(OC)cc2)cs1 ZINC000073986864 1128651996 /nfs/dbraw/zinc/65/19/96/1128651996.db2.gz PAHNIIDMPKYFAS-LBPRGKRZSA-N 1 2 290.432 3.907 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)cc1Cl ZINC000556967008 1128653274 /nfs/dbraw/zinc/65/32/74/1128653274.db2.gz BTSLEEXQJRZITB-IRXDYDNUSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@@]3(CCCO3)[C@@H]2C2CC2)cc1Cl ZINC000556967008 1128653275 /nfs/dbraw/zinc/65/32/75/1128653275.db2.gz BTSLEEXQJRZITB-IRXDYDNUSA-N 1 2 291.822 3.792 20 0 CHADLO Cc1ccc(C[N@@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)cc1Cl ZINC000556967006 1128653359 /nfs/dbraw/zinc/65/33/59/1128653359.db2.gz BTSLEEXQJRZITB-DLBZAZTESA-N 1 2 291.822 3.792 20 0 CHADLO Cc1ccc(C[N@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)cc1Cl ZINC000556967006 1128653361 /nfs/dbraw/zinc/65/33/61/1128653361.db2.gz BTSLEEXQJRZITB-DLBZAZTESA-N 1 2 291.822 3.792 20 0 CHADLO c1cc2c(s1)C[N@H+](Cc1coc(-c3ccccc3)n1)CC2 ZINC000132798588 1128666272 /nfs/dbraw/zinc/66/62/72/1128666272.db2.gz HZNZSHVNJAKPRW-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO c1cc2c(s1)C[N@@H+](Cc1coc(-c3ccccc3)n1)CC2 ZINC000132798588 1128666275 /nfs/dbraw/zinc/66/62/75/1128666275.db2.gz HZNZSHVNJAKPRW-UHFFFAOYSA-N 1 2 296.395 3.961 20 0 CHADLO CC(C)[N@H+](Cc1cnc(Cl)cn1)Cc1ccccc1F ZINC000799117965 1128663506 /nfs/dbraw/zinc/66/35/06/1128663506.db2.gz QNRKADDCCPSOGO-UHFFFAOYSA-N 1 2 293.773 3.680 20 0 CHADLO CC(C)[N@@H+](Cc1cnc(Cl)cn1)Cc1ccccc1F ZINC000799117965 1128663509 /nfs/dbraw/zinc/66/35/09/1128663509.db2.gz QNRKADDCCPSOGO-UHFFFAOYSA-N 1 2 293.773 3.680 20 0 CHADLO Cc1ccc(OCCSc2[nH+]cc3ccccn32)cc1 ZINC000047822517 1128673845 /nfs/dbraw/zinc/67/38/45/1128673845.db2.gz QNOYDNSOIWPIQS-UHFFFAOYSA-N 1 2 284.384 3.814 20 0 CHADLO CSCc1cc[nH+]c(NCc2cc(C(C)C)no2)c1 ZINC000094159390 1128686344 /nfs/dbraw/zinc/68/63/44/1128686344.db2.gz VCTNFYYUKJAPTB-UHFFFAOYSA-N 1 2 277.393 3.668 20 0 CHADLO Cc1nnsc1[C@@H](C)[N@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000930133401 1128686572 /nfs/dbraw/zinc/68/65/72/1128686572.db2.gz ISHWLXMOZMDFCI-SECBINFHSA-N 1 2 299.802 3.832 20 0 CHADLO Cc1nnsc1[C@@H](C)[N@@H+](C)Cc1ccc(Cl)c(F)c1 ZINC000930133401 1128686576 /nfs/dbraw/zinc/68/65/76/1128686576.db2.gz ISHWLXMOZMDFCI-SECBINFHSA-N 1 2 299.802 3.832 20 0 CHADLO Clc1cccc([C@@H]2CCCC[N@@H+]2Cc2cnccn2)c1 ZINC000577938603 1128699253 /nfs/dbraw/zinc/69/92/53/1128699253.db2.gz CAYITKWZSSCEEW-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO Clc1cccc([C@@H]2CCCC[N@H+]2Cc2cnccn2)c1 ZINC000577938603 1128699254 /nfs/dbraw/zinc/69/92/54/1128699254.db2.gz CAYITKWZSSCEEW-INIZCTEOSA-N 1 2 287.794 3.857 20 0 CHADLO CSCc1cnc(C[NH2+][C@@H](C)c2cnc(C)s2)s1 ZINC000601149987 1128701120 /nfs/dbraw/zinc/70/11/20/1128701120.db2.gz VPUQWUQHNNJFII-QMMMGPOBSA-N 1 2 299.490 3.622 20 0 CHADLO CCCCCN(C(=O)Nc1ccn2cc[nH+]c2c1)C(C)C ZINC000578102614 1128707207 /nfs/dbraw/zinc/70/72/07/1128707207.db2.gz RDEDKPORMNYXJE-UHFFFAOYSA-N 1 2 288.395 3.767 20 0 CHADLO C[C@H](CC(=O)N([C@H](C)c1ccccc1)C1CC1)n1cc[nH+]c1 ZINC000578365109 1128715177 /nfs/dbraw/zinc/71/51/77/1128715177.db2.gz WUPRWWUSRVAYQB-HUUCEWRRSA-N 1 2 297.402 3.586 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(Cl)cn1)c1nc(C)cs1 ZINC000578557250 1128721508 /nfs/dbraw/zinc/72/15/08/1128721508.db2.gz LVWPDBYGWLDVBS-LBPRGKRZSA-N 1 2 281.812 3.741 20 0 CHADLO C[C@H](CC(=O)N[C@@H](C)[C@H](C)C1CCCCC1)n1cc[nH+]c1 ZINC000578656194 1128725360 /nfs/dbraw/zinc/72/53/60/1128725360.db2.gz LXEVYEFQRXPVSI-ILXRZTDVSA-N 1 2 291.439 3.555 20 0 CHADLO C[C@H](CC(=O)N[C@@H](C)[C@@H](C)C1CCCCC1)n1cc[nH+]c1 ZINC000578656195 1128725418 /nfs/dbraw/zinc/72/54/18/1128725418.db2.gz LXEVYEFQRXPVSI-KFWWJZLASA-N 1 2 291.439 3.555 20 0 CHADLO Cc1nc(C[NH2+][C@@H]2CCSc3ccc(F)cc32)cs1 ZINC000035043874 1117762959 /nfs/dbraw/zinc/76/29/59/1117762959.db2.gz ZXMDVHUIPCFELG-CYBMUJFWSA-N 1 2 294.420 3.917 20 0 CHADLO Cn1cc(C[N@H+](C)Cc2ccccc2Cl)c(C(F)F)n1 ZINC000274599211 1117765437 /nfs/dbraw/zinc/76/54/37/1117765437.db2.gz QWKDXMPTHOUZHH-UHFFFAOYSA-N 1 2 299.752 3.643 20 0 CHADLO Cn1cc(C[N@@H+](C)Cc2ccccc2Cl)c(C(F)F)n1 ZINC000274599211 1117765440 /nfs/dbraw/zinc/76/54/40/1117765440.db2.gz QWKDXMPTHOUZHH-UHFFFAOYSA-N 1 2 299.752 3.643 20 0 CHADLO Cc1cc(CNC(=O)C2CCC(C3CC3)CC2)cc(C)[nH+]1 ZINC000616362860 1117776922 /nfs/dbraw/zinc/77/69/22/1117776922.db2.gz BJRHQKNEQBJISS-UHFFFAOYSA-N 1 2 286.419 3.531 20 0 CHADLO C[C@@H]([NH2+]CC(F)(F)c1ccccc1)c1cccc(CO)c1 ZINC000579044593 1128736569 /nfs/dbraw/zinc/73/65/69/1128736569.db2.gz MMRMKXKQDSJACC-CYBMUJFWSA-N 1 2 291.341 3.622 20 0 CHADLO Cc1ccc(Nc2c[nH+]c(C)cc2C)c(Br)n1 ZINC001213505733 1117825888 /nfs/dbraw/zinc/82/58/88/1117825888.db2.gz OIVZUYQZVRKNST-UHFFFAOYSA-N 1 2 292.180 3.908 20 0 CHADLO CC[C@H]1CC[N@@H+]1Cc1c(F)cccc1OC(F)(F)F ZINC000430196377 1117844585 /nfs/dbraw/zinc/84/45/85/1117844585.db2.gz QZMUCRDLOUXUAQ-VIFPVBQESA-N 1 2 277.261 3.709 20 0 CHADLO CC[C@H]1CC[N@H+]1Cc1c(F)cccc1OC(F)(F)F ZINC000430196377 1117844588 /nfs/dbraw/zinc/84/45/88/1117844588.db2.gz QZMUCRDLOUXUAQ-VIFPVBQESA-N 1 2 277.261 3.709 20 0 CHADLO CC[C@@H]1CC[N@@H+]1Cc1cc(Cl)cc(Cl)c1OC ZINC000430195753 1117845862 /nfs/dbraw/zinc/84/58/62/1117845862.db2.gz JXPZHKJTRVCPFR-LLVKDONJSA-N 1 2 274.191 3.986 20 0 CHADLO CC[C@@H]1CC[N@H+]1Cc1cc(Cl)cc(Cl)c1OC ZINC000430195753 1117845869 /nfs/dbraw/zinc/84/58/69/1117845869.db2.gz JXPZHKJTRVCPFR-LLVKDONJSA-N 1 2 274.191 3.986 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC(c3ccccc3)C2)[nH+]1 ZINC001167063873 1117847463 /nfs/dbraw/zinc/84/74/63/1117847463.db2.gz TZQIYRLBFWWUSU-UHFFFAOYSA-N 1 2 272.779 3.956 20 0 CHADLO FC(F)(F)c1cc(C[NH2+]Cc2cscn2)cs1 ZINC000623387320 1117847717 /nfs/dbraw/zinc/84/77/17/1117847717.db2.gz WDXUXFGZYMLTOQ-UHFFFAOYSA-N 1 2 278.324 3.513 20 0 CHADLO COC[C@@H]1CCC[N@@H+]1Cc1cc(Cl)sc1Cl ZINC000648381337 1117861319 /nfs/dbraw/zinc/86/13/19/1117861319.db2.gz QIBFSFMRJGFCQD-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO COC[C@@H]1CCC[N@H+]1Cc1cc(Cl)sc1Cl ZINC000648381337 1117861326 /nfs/dbraw/zinc/86/13/26/1117861326.db2.gz QIBFSFMRJGFCQD-VIFPVBQESA-N 1 2 280.220 3.666 20 0 CHADLO COCc1cc[nH+]c(N2CC[C@H](C3CCCCC3)C2)c1 ZINC001167066439 1117862324 /nfs/dbraw/zinc/86/23/24/1117862324.db2.gz ZEIIXOODFVUSSU-INIZCTEOSA-N 1 2 274.408 3.635 20 0 CHADLO Cc1cnc(F)c(Nc2cc(Cl)c3[nH+]ccn3c2)c1 ZINC001211499805 1117864050 /nfs/dbraw/zinc/86/40/50/1117864050.db2.gz JMJHQCOQCXELKZ-UHFFFAOYSA-N 1 2 276.702 3.574 20 0 CHADLO CCc1nc([C@@H](C)[NH2+]Cc2ccc(F)c(F)c2)cs1 ZINC000111407030 1117864479 /nfs/dbraw/zinc/86/44/79/1117864479.db2.gz UDUMHNRUUOMALP-SECBINFHSA-N 1 2 282.359 3.835 20 0 CHADLO Cc1ccsc1C[N@@H+]1C[C@@H](C(F)(F)F)OC(C)(C)C1 ZINC000430205545 1117864620 /nfs/dbraw/zinc/86/46/20/1117864620.db2.gz UJBOFZJAMVXHFE-NSHDSACASA-N 1 2 293.354 3.598 20 0 CHADLO Cc1ccsc1C[N@H+]1C[C@@H](C(F)(F)F)OC(C)(C)C1 ZINC000430205545 1117864625 /nfs/dbraw/zinc/86/46/25/1117864625.db2.gz UJBOFZJAMVXHFE-NSHDSACASA-N 1 2 293.354 3.598 20 0 CHADLO COc1ccc(C[NH2+][C@H]2CCCC2(F)F)cc1OC1CC1 ZINC000623525573 1117867031 /nfs/dbraw/zinc/86/70/31/1117867031.db2.gz RVFMWMXVADNYMY-HNNXBMFYSA-N 1 2 297.345 3.514 20 0 CHADLO Cc1cc(C)c(Nc2cccc(-n3ccnc3)c2)c[nH+]1 ZINC001213513420 1117871699 /nfs/dbraw/zinc/87/16/99/1117871699.db2.gz JQJNHGGQTHSMEP-UHFFFAOYSA-N 1 2 264.332 3.628 20 0 CHADLO C[C@H]([NH2+][C@@H](CN1CCCC1)c1ccccc1)c1ccoc1 ZINC000178500312 1117893114 /nfs/dbraw/zinc/89/31/14/1117893114.db2.gz WDYAAAHBPVHTJW-YJBOKZPZSA-N 1 2 284.403 3.767 20 0 CHADLO CN(C)c1ccccc1C[N@H+](C)Cc1c(F)cccc1F ZINC000339601246 1117904845 /nfs/dbraw/zinc/90/48/45/1117904845.db2.gz PQTZVPMRMOFLBE-UHFFFAOYSA-N 1 2 290.357 3.663 20 0 CHADLO CN(C)c1ccccc1C[N@@H+](C)Cc1c(F)cccc1F ZINC000339601246 1117904849 /nfs/dbraw/zinc/90/48/49/1117904849.db2.gz PQTZVPMRMOFLBE-UHFFFAOYSA-N 1 2 290.357 3.663 20 0 CHADLO CC/C=C\CC[N@@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC001208732615 1117924662 /nfs/dbraw/zinc/92/46/62/1117924662.db2.gz DXHQJHOIKIEZSU-QGZUEGPWSA-N 1 2 263.356 3.555 20 0 CHADLO CC/C=C\CC[N@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC001208732615 1117924664 /nfs/dbraw/zinc/92/46/64/1117924664.db2.gz DXHQJHOIKIEZSU-QGZUEGPWSA-N 1 2 263.356 3.555 20 0 CHADLO CCOc1ccccc1C[NH2+][C@H]1CCCc2scnc21 ZINC000623870632 1117949050 /nfs/dbraw/zinc/94/90/50/1117949050.db2.gz HNAZVFNWEMALSH-ZDUSSCGKSA-N 1 2 288.416 3.709 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@@H]1CCCc3scnc31)CO2 ZINC000623920292 1117956995 /nfs/dbraw/zinc/95/69/95/1117956995.db2.gz HMRCJDLATCREFC-CHWSQXEVSA-N 1 2 286.400 3.552 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2C[C@]2(F)c2ccccc2)n1 ZINC000624003672 1117968811 /nfs/dbraw/zinc/96/88/11/1117968811.db2.gz GFHZFMYAUAOBII-LNSITVRQSA-N 1 2 276.380 3.739 20 0 CHADLO Cc1coc(C)c1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000339824889 1117980599 /nfs/dbraw/zinc/98/05/99/1117980599.db2.gz ZEYCYUVAZOQTEF-UHFFFAOYSA-N 1 2 281.315 3.539 20 0 CHADLO COc1cc(C)[nH+]c(CN2C[C@H](C)c3ccccc32)c1 ZINC000339842702 1117985835 /nfs/dbraw/zinc/98/58/35/1117985835.db2.gz ONUPCJVTZSQPEJ-LBPRGKRZSA-N 1 2 268.360 3.522 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(F)ccc(F)c2Cl)cn1 ZINC000705544182 1117997859 /nfs/dbraw/zinc/99/78/59/1117997859.db2.gz UKZPUFNMTDCKEE-UHFFFAOYSA-N 1 2 282.721 3.611 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CCc3cccnc3C2)c1 ZINC001238562116 1118003530 /nfs/dbraw/zinc/00/35/30/1118003530.db2.gz AKCNIHFKCZJNSK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CCc3cccnc3C2)c1 ZINC001238562116 1118003536 /nfs/dbraw/zinc/00/35/36/1118003536.db2.gz AKCNIHFKCZJNSK-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1cocn1)c1ccccc1Cl ZINC000182063086 1118013306 /nfs/dbraw/zinc/01/33/06/1118013306.db2.gz BVQSMIPCEVVOQV-AWEZNQCLSA-N 1 2 264.756 3.815 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(Cl)cc1Cl)c1nccn1C ZINC000182188901 1118016420 /nfs/dbraw/zinc/01/64/20/1118016420.db2.gz GRFDFOYQHQNZJN-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO CC[N@H+](CCC#N)Cc1sc(-c2ccccc2)nc1C ZINC000081040345 1118021205 /nfs/dbraw/zinc/02/12/05/1118021205.db2.gz GGKDODCTJTZILC-UHFFFAOYSA-N 1 2 285.416 3.854 20 0 CHADLO CC[N@@H+](CCC#N)Cc1sc(-c2ccccc2)nc1C ZINC000081040345 1118021208 /nfs/dbraw/zinc/02/12/08/1118021208.db2.gz GGKDODCTJTZILC-UHFFFAOYSA-N 1 2 285.416 3.854 20 0 CHADLO Cc1cc(C)cc([C@@H]2CC[N@@H+](Cc3noc(C(C)C)n3)C2)c1 ZINC000183476415 1118045136 /nfs/dbraw/zinc/04/51/36/1118045136.db2.gz YAPAFFVVTKUYKX-OAHLLOKOSA-N 1 2 299.418 3.799 20 0 CHADLO Cc1cc(C)cc([C@@H]2CC[N@H+](Cc3noc(C(C)C)n3)C2)c1 ZINC000183476415 1118045142 /nfs/dbraw/zinc/04/51/42/1118045142.db2.gz YAPAFFVVTKUYKX-OAHLLOKOSA-N 1 2 299.418 3.799 20 0 CHADLO Cc1cc(C)cc([C@H]2CC[N@@H+](Cc3noc(C(C)C)n3)C2)c1 ZINC000183476434 1118045268 /nfs/dbraw/zinc/04/52/68/1118045268.db2.gz YAPAFFVVTKUYKX-HNNXBMFYSA-N 1 2 299.418 3.799 20 0 CHADLO Cc1cc(C)cc([C@H]2CC[N@H+](Cc3noc(C(C)C)n3)C2)c1 ZINC000183476434 1118045273 /nfs/dbraw/zinc/04/52/73/1118045273.db2.gz YAPAFFVVTKUYKX-HNNXBMFYSA-N 1 2 299.418 3.799 20 0 CHADLO CCCc1noc(C[NH2+]C2(c3ccccc3)CCCC2)n1 ZINC000183534522 1118045822 /nfs/dbraw/zinc/04/58/22/1118045822.db2.gz MLKRSUKEXFPISH-UHFFFAOYSA-N 1 2 285.391 3.581 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1cccc(Cl)c1F ZINC000183738922 1118048924 /nfs/dbraw/zinc/04/89/24/1118048924.db2.gz UTNOPLLIJWBYHD-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1cccc(Cl)c1F ZINC000183738922 1118048930 /nfs/dbraw/zinc/04/89/30/1118048930.db2.gz UTNOPLLIJWBYHD-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)s1)C2 ZINC001204442214 1118055634 /nfs/dbraw/zinc/05/56/34/1118055634.db2.gz BDOYURLHSOFEMH-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)s1)C2 ZINC001204442214 1118055639 /nfs/dbraw/zinc/05/56/39/1118055639.db2.gz BDOYURLHSOFEMH-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+][C@H](c1ccccc1)C1CC1)CCC2 ZINC000367601593 1118055696 /nfs/dbraw/zinc/05/56/96/1118055696.db2.gz OOWYJJOXJJNKGF-DOTOQJQBSA-N 1 2 267.376 3.528 20 0 CHADLO CC(C)Cc1noc(C[N@@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000046088315 1118057296 /nfs/dbraw/zinc/05/72/96/1118057296.db2.gz UNAZWSQVYLWVRU-ZDUSSCGKSA-N 1 2 291.420 3.667 20 0 CHADLO CC(C)Cc1noc(C[N@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000046088315 1118057300 /nfs/dbraw/zinc/05/73/00/1118057300.db2.gz UNAZWSQVYLWVRU-ZDUSSCGKSA-N 1 2 291.420 3.667 20 0 CHADLO C[C@@H]([NH2+]CCOC(C)(C)C)c1nc(C2CCCCC2)no1 ZINC000185226937 1118060175 /nfs/dbraw/zinc/06/01/75/1118060175.db2.gz GTFVYXJEWDDDGW-GFCCVEGCSA-N 1 2 295.427 3.583 20 0 CHADLO Cc1ccccc1C1([NH2+]Cc2coc(C3CC3)n2)CC1 ZINC000842732213 1118077852 /nfs/dbraw/zinc/07/78/52/1118077852.db2.gz IODWAVFNLFNFHT-UHFFFAOYSA-N 1 2 268.360 3.639 20 0 CHADLO Clc1cc(C[NH+]2CCC3(CCO3)CC2)c(Cl)s1 ZINC000579673480 1128755791 /nfs/dbraw/zinc/75/57/91/1128755791.db2.gz LZLBJUREYXYEMC-UHFFFAOYSA-N 1 2 292.231 3.810 20 0 CHADLO CC[N@H+](CCN[C@@H](C)c1cccc(C2CC2)c1)C1CC1 ZINC000340223275 1118114274 /nfs/dbraw/zinc/11/42/74/1118114274.db2.gz PXSMISVZOTUJLQ-AWEZNQCLSA-N 1 2 272.436 3.699 20 0 CHADLO CC[N@@H+](CCN[C@@H](C)c1cccc(C2CC2)c1)C1CC1 ZINC000340223275 1118114277 /nfs/dbraw/zinc/11/42/77/1118114277.db2.gz PXSMISVZOTUJLQ-AWEZNQCLSA-N 1 2 272.436 3.699 20 0 CHADLO Cc1sc(C[NH2+][C@@H](C)c2ccncc2F)nc1C(C)C ZINC000340234932 1118119111 /nfs/dbraw/zinc/11/91/11/1118119111.db2.gz GZTMLMPZZAHILS-JTQLQIEISA-N 1 2 293.411 3.960 20 0 CHADLO CCCCOc1ccc(C[NH2+][C@H](C)c2csnn2)cc1 ZINC000398302680 1118122816 /nfs/dbraw/zinc/12/28/16/1118122816.db2.gz NROCXFOXULHPGH-GFCCVEGCSA-N 1 2 291.420 3.568 20 0 CHADLO Cc1ccc(Nc2ccc(O)c(C(F)(F)F)c2)[nH+]c1 ZINC001209985388 1118127690 /nfs/dbraw/zinc/12/76/90/1118127690.db2.gz ZPXZADKLAXLFTR-UHFFFAOYSA-N 1 2 268.238 3.858 20 0 CHADLO Cc1cn2c(cccc2NC2=CCC(F)(F)CC2)[nH+]1 ZINC001210027918 1118135120 /nfs/dbraw/zinc/13/51/20/1118135120.db2.gz OIFLEGHMHTZAPE-UHFFFAOYSA-N 1 2 263.291 3.758 20 0 CHADLO Cc1nn(C)c2cc(Nc3ccc([NH+](C)C)c(C)c3)ccc12 ZINC001210043554 1118142683 /nfs/dbraw/zinc/14/26/83/1118142683.db2.gz OYJPVXLVAJMPEV-UHFFFAOYSA-N 1 2 294.402 4.000 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(Cl)c(F)cc1F ZINC001210114559 1118157235 /nfs/dbraw/zinc/15/72/35/1118157235.db2.gz YQEGTHZSFJBVKD-UHFFFAOYSA-N 1 2 293.704 3.848 20 0 CHADLO C[C@@H]1COCCC[N@@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000683521581 1118168581 /nfs/dbraw/zinc/16/85/81/1118168581.db2.gz KBJLFHNLUWKIHF-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO C[C@@H]1COCCC[N@H+]1Cc1nc(C2CCCCC2)cs1 ZINC000683521581 1118168585 /nfs/dbraw/zinc/16/85/85/1118168585.db2.gz KBJLFHNLUWKIHF-CYBMUJFWSA-N 1 2 294.464 3.802 20 0 CHADLO Cc1c[nH+]c(C)c(NCc2cnc(CC(C)C)s2)c1 ZINC000650242156 1118187401 /nfs/dbraw/zinc/18/74/01/1118187401.db2.gz AQRVPLFOILCONM-UHFFFAOYSA-N 1 2 275.421 3.966 20 0 CHADLO COCc1cc[nH+]c(NCc2cnc(C3CCC3)s2)c1 ZINC000650243609 1118191988 /nfs/dbraw/zinc/19/19/88/1118191988.db2.gz HPVSAZGTIYHOIU-UHFFFAOYSA-N 1 2 289.404 3.564 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc3c(c2)CC(C)(C)O3)c1 ZINC000650243909 1118192678 /nfs/dbraw/zinc/19/26/78/1118192678.db2.gz UVLODILEBQHDDG-UHFFFAOYSA-N 1 2 298.386 3.554 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(SC)s2)c1 ZINC000650243986 1118193295 /nfs/dbraw/zinc/19/32/95/1118193295.db2.gz XYQLYZXBCAIZGL-UHFFFAOYSA-N 1 2 280.418 3.624 20 0 CHADLO Cc1cc(N[C@@H]2CCO[C@H]2c2ccccc2)nc(C2CC2)[nH+]1 ZINC000176739002 1118195257 /nfs/dbraw/zinc/19/52/57/1118195257.db2.gz DLKXJPWBTXYXBS-WBVHZDCISA-N 1 2 295.386 3.605 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(C)on1)c1ccccc1F ZINC000655783421 1118211342 /nfs/dbraw/zinc/21/13/42/1118211342.db2.gz IXWAAMWXIDNUCC-BXUZGUMPSA-N 1 2 262.328 3.924 20 0 CHADLO CNc1ccc(Nc2cc(OC(C)C)ccc2C)c[nH+]1 ZINC001203457967 1118214517 /nfs/dbraw/zinc/21/45/17/1118214517.db2.gz FMDQKNKYYSOABP-UHFFFAOYSA-N 1 2 271.364 3.963 20 0 CHADLO Cc1[nH+]cc(Nc2ccccc2-n2cccc2)cc1N ZINC001210289900 1118235962 /nfs/dbraw/zinc/23/59/62/1118235962.db2.gz AOYFGEQHNFARIF-UHFFFAOYSA-N 1 2 264.332 3.507 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(-c3ccncc3)c2)cc1N ZINC001210290009 1118237142 /nfs/dbraw/zinc/23/71/42/1118237142.db2.gz DTHMWORTQXWYOJ-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO CCn1cnc(Cl)c1CNc1ccc([NH+](C)C)cc1C ZINC001648851552 1118258956 /nfs/dbraw/zinc/25/89/56/1118258956.db2.gz UPMDOOHPKKWACJ-UHFFFAOYSA-N 1 2 292.814 3.543 20 0 CHADLO Cc1ccc(NCc2c[nH+]c3c(C)cccn23)cc1 ZINC001648854252 1118260340 /nfs/dbraw/zinc/26/03/40/1118260340.db2.gz SBWKDALPYYUUJE-UHFFFAOYSA-N 1 2 251.333 3.563 20 0 CHADLO CCOc1ccc([C@H]2CCC[N@@H+]2Cc2cscn2)cc1 ZINC000057626704 1118281814 /nfs/dbraw/zinc/28/18/14/1118281814.db2.gz KPGRJMYCTSUBFX-MRXNPFEDSA-N 1 2 288.416 3.879 20 0 CHADLO CCOc1ccc([C@H]2CCC[N@H+]2Cc2cscn2)cc1 ZINC000057626704 1118281819 /nfs/dbraw/zinc/28/18/19/1118281819.db2.gz KPGRJMYCTSUBFX-MRXNPFEDSA-N 1 2 288.416 3.879 20 0 CHADLO CCc1cnc(C[NH2+]Cc2c(F)cccc2CC)s1 ZINC000354461108 1118285553 /nfs/dbraw/zinc/28/55/53/1118285553.db2.gz HXGOKYOOBOTPLJ-UHFFFAOYSA-N 1 2 278.396 3.697 20 0 CHADLO CC(C)N(CCCn1cc[nH+]c1)c1ccc(Cl)cc1 ZINC000060257387 1118297235 /nfs/dbraw/zinc/29/72/35/1118297235.db2.gz WIBJRHUFQHJJAE-UHFFFAOYSA-N 1 2 277.799 3.842 20 0 CHADLO Cn1ccnc1[C@@H](Nc1ccc(C2CCC2)c[nH+]1)C1CC1 ZINC000639211245 1118305081 /nfs/dbraw/zinc/30/50/81/1118305081.db2.gz SOVSJBKOGWLYFF-INIZCTEOSA-N 1 2 282.391 3.646 20 0 CHADLO Cc1ccc(-c2noc(C[N@H+](C)Cc3cccs3)n2)cc1 ZINC000061779355 1118309233 /nfs/dbraw/zinc/30/92/33/1118309233.db2.gz LIHOVGNYQGTDIB-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ccc(-c2noc(C[N@@H+](C)Cc3cccs3)n2)cc1 ZINC000061779355 1118309239 /nfs/dbraw/zinc/30/92/39/1118309239.db2.gz LIHOVGNYQGTDIB-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO C[C@H]([NH2+]C1(c2ccccc2F)CC1)c1ccncc1F ZINC000340514324 1118314455 /nfs/dbraw/zinc/31/44/55/1118314455.db2.gz COTIUNRNKORHJS-NSHDSACASA-N 1 2 274.314 3.700 20 0 CHADLO CCCCOc1ncccc1C[NH2+]Cc1ccc(Cl)o1 ZINC000063579290 1118318313 /nfs/dbraw/zinc/31/83/13/1118318313.db2.gz VFMIDRHSOFAKHQ-UHFFFAOYSA-N 1 2 294.782 3.797 20 0 CHADLO COc1cccc2c(NCc3ccc(Cl)nc3)cc[nH+]c12 ZINC000189214466 1128769530 /nfs/dbraw/zinc/76/95/30/1128769530.db2.gz MXZAJYWJMJDYEL-UHFFFAOYSA-N 1 2 299.761 3.904 20 0 CHADLO CC(C)c1nc([C@H](C)[NH2+][C@@H]2CCC[C@H]2F)cs1 ZINC000340533511 1118325152 /nfs/dbraw/zinc/32/51/52/1118325152.db2.gz VIAXHWDCSWAXFF-HBNTYKKESA-N 1 2 256.390 3.808 20 0 CHADLO CCc1nc(C[N@H+](C)C/C=C/c2ccccc2)cs1 ZINC000064517007 1118327490 /nfs/dbraw/zinc/32/74/90/1118327490.db2.gz SNORMJJZEHSPOC-JXMROGBWSA-N 1 2 272.417 3.851 20 0 CHADLO CCc1nc(C[N@@H+](C)C/C=C/c2ccccc2)cs1 ZINC000064517007 1118327494 /nfs/dbraw/zinc/32/74/94/1118327494.db2.gz SNORMJJZEHSPOC-JXMROGBWSA-N 1 2 272.417 3.851 20 0 CHADLO CCCCc1nc(C[N@H+](CC2CCCCC2)C2CC2)no1 ZINC000064932176 1118330623 /nfs/dbraw/zinc/33/06/23/1118330623.db2.gz UTYCFMILLSERKW-UHFFFAOYSA-N 1 2 291.439 3.957 20 0 CHADLO CCCCc1nc(C[N@@H+](CC2CCCCC2)C2CC2)no1 ZINC000064932176 1118330626 /nfs/dbraw/zinc/33/06/26/1118330626.db2.gz UTYCFMILLSERKW-UHFFFAOYSA-N 1 2 291.439 3.957 20 0 CHADLO CCCc1noc(C[N@H+](Cc2ccc(C)s2)C(C)C)n1 ZINC000067461432 1118352125 /nfs/dbraw/zinc/35/21/25/1118352125.db2.gz NVAOVGRYRDOMNF-UHFFFAOYSA-N 1 2 293.436 3.803 20 0 CHADLO CCCc1noc(C[N@@H+](Cc2ccc(C)s2)C(C)C)n1 ZINC000067461432 1118352128 /nfs/dbraw/zinc/35/21/28/1118352128.db2.gz NVAOVGRYRDOMNF-UHFFFAOYSA-N 1 2 293.436 3.803 20 0 CHADLO CSCCCSCc1c[nH+]cn1Cc1ccccc1 ZINC000413005760 1118360619 /nfs/dbraw/zinc/36/06/19/1118360619.db2.gz BXVAATHMOBWMTG-UHFFFAOYSA-N 1 2 292.473 3.918 20 0 CHADLO CCCC[C@@H](CC)C(=O)NCCCCNc1cccc[nH+]1 ZINC000068420141 1118361726 /nfs/dbraw/zinc/36/17/26/1118361726.db2.gz PUPVEYCFJOXQRP-OAHLLOKOSA-N 1 2 291.439 3.606 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@H](C)c2c(F)cncc2F)c(C)o1 ZINC000671770743 1118365313 /nfs/dbraw/zinc/36/53/13/1118365313.db2.gz XLFDKRNPHDJSEE-VHSXEESVSA-N 1 2 280.318 3.981 20 0 CHADLO Cc1ncc([C@H](C)[NH2+][C@@H](C)c2c(F)cncc2F)s1 ZINC000671770912 1118370330 /nfs/dbraw/zinc/37/03/30/1118370330.db2.gz XTMAHUZYLALQIY-YUMQZZPRSA-N 1 2 283.347 3.537 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(OCC(F)(F)F)cc2)[nH+]1 ZINC001210740998 1118372881 /nfs/dbraw/zinc/37/28/81/1118372881.db2.gz DHEGOGPXICRZPS-UHFFFAOYSA-N 1 2 298.264 3.780 20 0 CHADLO CCc1cnc(C[NH2+]C(C)(C)c2ccc(Cl)cc2)o1 ZINC000072603339 1118374750 /nfs/dbraw/zinc/37/47/50/1118374750.db2.gz GZPABJCPQVGZMM-UHFFFAOYSA-N 1 2 278.783 3.915 20 0 CHADLO CC(C)(C)[C@@H]([NH2+]Cc1nnc(C2CC2)o1)c1ccccc1 ZINC000072579549 1118374797 /nfs/dbraw/zinc/37/47/97/1118374797.db2.gz ZNULSYZGNUOYHW-HNNXBMFYSA-N 1 2 285.391 3.824 20 0 CHADLO COc1cc(Nc2ccc(C(F)(F)F)nc2C)cc(C)[nH+]1 ZINC001210775606 1118381567 /nfs/dbraw/zinc/38/15/67/1118381567.db2.gz YFEBXMVECWTJIX-UHFFFAOYSA-N 1 2 297.280 3.864 20 0 CHADLO COc1cc(Nc2ccc3sncc3c2)cc(C)[nH+]1 ZINC001210781059 1118385785 /nfs/dbraw/zinc/38/57/85/1118385785.db2.gz WHKDTVYLGDJENO-UHFFFAOYSA-N 1 2 271.345 3.752 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc3cnccc3c2)cs1 ZINC000683827553 1118392340 /nfs/dbraw/zinc/39/23/40/1118392340.db2.gz KGSXXUHJBHNAID-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc3cnccc3c2)cs1 ZINC000683827553 1118392343 /nfs/dbraw/zinc/39/23/43/1118392343.db2.gz KGSXXUHJBHNAID-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CC1(C[N@@H+]2CCO[C@H](c3ccccc3Cl)C2)CC1 ZINC000683829450 1118395657 /nfs/dbraw/zinc/39/56/57/1118395657.db2.gz VBPYELCFCHUUNA-AWEZNQCLSA-N 1 2 265.784 3.513 20 0 CHADLO CC1(C[N@H+]2CCO[C@H](c3ccccc3Cl)C2)CC1 ZINC000683829450 1118395662 /nfs/dbraw/zinc/39/56/62/1118395662.db2.gz VBPYELCFCHUUNA-AWEZNQCLSA-N 1 2 265.784 3.513 20 0 CHADLO [NH3+][C@H](c1nc(C2CCCCC2)no1)c1cccc(Cl)c1 ZINC000647120161 1118395688 /nfs/dbraw/zinc/39/56/88/1118395688.db2.gz HINPUELFZHRRQA-ZDUSSCGKSA-N 1 2 291.782 3.819 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cc(O)ccc2F)cc1 ZINC001210802327 1118396656 /nfs/dbraw/zinc/39/66/56/1118396656.db2.gz AAQGBHQENVBWLQ-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cc(O)ccc2F)cc1 ZINC001210802327 1118396660 /nfs/dbraw/zinc/39/66/60/1118396660.db2.gz AAQGBHQENVBWLQ-UHFFFAOYSA-N 1 2 260.312 3.731 20 0 CHADLO Cc1cc(NC(=O)/C=C\c2ccc3ccccc3n2)cc[nH+]1 ZINC000255206606 1118403496 /nfs/dbraw/zinc/40/34/96/1118403496.db2.gz KNHZZYZRQBUXKI-HJWRWDBZSA-N 1 2 289.338 3.590 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc(C3CC3)cc2)c[nH+]1 ZINC000616115043 1128776365 /nfs/dbraw/zinc/77/63/65/1128776365.db2.gz HBRPOQLKHPBBPH-UHFFFAOYSA-N 1 2 280.371 3.506 20 0 CHADLO Clc1cccc(CCNc2cc3cc[nH]c3c[nH+]2)c1 ZINC000683893456 1118431529 /nfs/dbraw/zinc/43/15/29/1118431529.db2.gz XIVHMAYIJZYTAL-UHFFFAOYSA-N 1 2 271.751 3.871 20 0 CHADLO Cc1ccc(Nc2ccc3c(C)cc(=O)oc3c2)[nH+]c1 ZINC001211013236 1118438946 /nfs/dbraw/zinc/43/89/46/1118438946.db2.gz CKYRSSLVXZYDOF-UHFFFAOYSA-N 1 2 266.300 3.548 20 0 CHADLO Cc1ccc(Nc2c(C)c(C)c(N)c(C)c2C)[nH+]c1 ZINC001211013454 1118440315 /nfs/dbraw/zinc/44/03/15/1118440315.db2.gz YWVCODCNLIUGHR-UHFFFAOYSA-N 1 2 255.365 3.950 20 0 CHADLO Cc1cc(C)c(NC(=O)NCc2c(C)cccc2C)c(C)[nH+]1 ZINC001670649036 1118450406 /nfs/dbraw/zinc/45/04/06/1118450406.db2.gz SEXNVLDRXRZGOG-UHFFFAOYSA-N 1 2 297.402 3.945 20 0 CHADLO CCc1cccc(Nc2cc(C(F)(F)F)ccc2N)[nH+]1 ZINC001211150194 1118473036 /nfs/dbraw/zinc/47/30/36/1118473036.db2.gz JJTDRRPYADOTIZ-UHFFFAOYSA-N 1 2 281.281 3.989 20 0 CHADLO CC(C)n1ncnc1C[N@@H+]1CCC[C@H]1/C=C\c1ccccc1 ZINC000683995921 1118481710 /nfs/dbraw/zinc/48/17/10/1118481710.db2.gz UEMGMCMXTXCYGO-MQNTZWLQSA-N 1 2 296.418 3.537 20 0 CHADLO CC(C)n1ncnc1C[N@H+]1CCC[C@H]1/C=C\c1ccccc1 ZINC000683995921 1118481712 /nfs/dbraw/zinc/48/17/12/1118481712.db2.gz UEMGMCMXTXCYGO-MQNTZWLQSA-N 1 2 296.418 3.537 20 0 CHADLO Cc1cc(C)c(Nc2ccccc2P(C)(C)=O)c[nH+]1 ZINC001213515680 1118512855 /nfs/dbraw/zinc/51/28/55/1118512855.db2.gz CWRUKGMZGLCNKE-UHFFFAOYSA-N 1 2 274.304 3.690 20 0 CHADLO COc1ccc(Nc2c[nH+]c(C)cc2C)c(OC)c1F ZINC001213518227 1118515042 /nfs/dbraw/zinc/51/50/42/1118515042.db2.gz BVFQTTSSGAETQP-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO Clc1ccccc1C1([NH2+]Cc2cnsc2)CC1 ZINC001662715548 1118519795 /nfs/dbraw/zinc/51/97/95/1118519795.db2.gz VGQGIQISDVOMGE-UHFFFAOYSA-N 1 2 264.781 3.575 20 0 CHADLO CCOC(=O)c1ccc(Nc2c[nH+]c(C)cc2C)cc1F ZINC001213520806 1118521263 /nfs/dbraw/zinc/52/12/63/1118521263.db2.gz IPCMCKPWOGRMLP-UHFFFAOYSA-N 1 2 288.322 3.758 20 0 CHADLO Cc1c[nH+]cc(Nc2cncc(C(F)(F)F)c2)c1C ZINC001213525249 1118528027 /nfs/dbraw/zinc/52/80/27/1118528027.db2.gz PNENETGBQRAULA-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)C(=O)CC3)c1C ZINC001213531293 1118549007 /nfs/dbraw/zinc/54/90/07/1118549007.db2.gz ZTZYGFGRFUNLKB-UHFFFAOYSA-N 1 2 252.317 3.571 20 0 CHADLO c1cnc2c(c1)CCC[C@@H]2Nc1ccc(N2CCCC2)[nH+]c1 ZINC000316662667 1118556017 /nfs/dbraw/zinc/55/60/17/1118556017.db2.gz BYVYEOXADATSBH-INIZCTEOSA-N 1 2 294.402 3.566 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc2c(n1)CCC2)c1c(F)cccc1F ZINC000656483883 1118563052 /nfs/dbraw/zinc/56/30/52/1118563052.db2.gz HPQPBLDKCBFYSS-LLVKDONJSA-N 1 2 288.341 3.699 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)c3cccc4c3CCC4)cc2[nH+]1 ZINC000340986292 1118570722 /nfs/dbraw/zinc/57/07/22/1118570722.db2.gz XQMTXEDGXUBAGT-UHFFFAOYSA-N 1 2 291.354 3.612 20 0 CHADLO c1cn(-c2ccc(Nc3ccc4c(c3)NCC4)cc2)c[nH+]1 ZINC001213151586 1118572197 /nfs/dbraw/zinc/57/21/97/1118572197.db2.gz AZGRSUASHHCYGG-UHFFFAOYSA-N 1 2 276.343 3.584 20 0 CHADLO CCn1c[nH+]c2c1CCN([C@H](C)c1ccccc1Cl)C2 ZINC000933261591 1118580492 /nfs/dbraw/zinc/58/04/92/1118580492.db2.gz GEURPZOTAITKEE-GFCCVEGCSA-N 1 2 289.810 3.676 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+][C@@H](C)c1nc2ccccc2n1C ZINC000316838896 1118585303 /nfs/dbraw/zinc/58/53/03/1118585303.db2.gz QYXTZUOMRIDFED-PWSUYJOCSA-N 1 2 298.390 3.590 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2sc(C(C)C)nc2C)co1 ZINC000834901256 1118620074 /nfs/dbraw/zinc/62/00/74/1118620074.db2.gz RJUDOTWDBQMOPA-SNVBAGLBSA-N 1 2 293.436 3.976 20 0 CHADLO CC[C@H]([NH2+]Cc1nccc(C)n1)c1ccc(Cl)cc1 ZINC000341220740 1118626720 /nfs/dbraw/zinc/62/67/20/1118626720.db2.gz ROCVIUOATWDWIV-AWEZNQCLSA-N 1 2 275.783 3.679 20 0 CHADLO Cc1cc(C)c(Nc2ccc(OC(C)C)nc2C)c[nH+]1 ZINC001215919266 1118629290 /nfs/dbraw/zinc/62/92/90/1118629290.db2.gz OKNDZDGKLCVYBW-UHFFFAOYSA-N 1 2 271.364 3.933 20 0 CHADLO Cc1noc(C(C)(C)[NH2+]Cc2cc3c(ccc(C)c3C)[nH]2)n1 ZINC000341258232 1118642871 /nfs/dbraw/zinc/64/28/71/1118642871.db2.gz WBMAFUGUXIVOJO-UHFFFAOYSA-N 1 2 298.390 3.501 20 0 CHADLO CCc1ncc(CNc2c[nH+]cc3c2CCCC3)s1 ZINC000656775826 1118655162 /nfs/dbraw/zinc/65/51/62/1118655162.db2.gz BOKYUMHLXXDFGR-UHFFFAOYSA-N 1 2 273.405 3.591 20 0 CHADLO Cn1ncc(C2CCC2)c1CNc1c[nH+]cc2c1CCCC2 ZINC000656776131 1118655203 /nfs/dbraw/zinc/65/52/03/1118655203.db2.gz IETRWYNBUHNPBU-UHFFFAOYSA-N 1 2 296.418 3.574 20 0 CHADLO Nc1cccc(C[NH2+][C@H](CCc2ccccc2)C(F)F)c1 ZINC000656786025 1118656752 /nfs/dbraw/zinc/65/67/52/1118656752.db2.gz TYXZDFYROWVGQZ-MRXNPFEDSA-N 1 2 290.357 3.625 20 0 CHADLO Cc1c[nH+]c(CNc2cc(C)c(Cl)c(C)c2)n1C ZINC000341335895 1118667580 /nfs/dbraw/zinc/66/75/80/1118667580.db2.gz RGFLHSWZJKJISW-UHFFFAOYSA-N 1 2 263.772 3.611 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1c(Cl)cccc1OC(F)F ZINC000684412093 1118686755 /nfs/dbraw/zinc/68/67/55/1118686755.db2.gz QQMPNAVCHITJTP-DTORHVGOSA-N 1 2 275.726 3.924 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1c(Cl)cccc1OC(F)F ZINC000684412093 1118686757 /nfs/dbraw/zinc/68/67/57/1118686757.db2.gz QQMPNAVCHITJTP-DTORHVGOSA-N 1 2 275.726 3.924 20 0 CHADLO c1ccc([C@H](Nc2cc(N3CCCC3)nc[nH+]2)C2CC2)cc1 ZINC000341423510 1118702660 /nfs/dbraw/zinc/70/26/60/1118702660.db2.gz WLTNJEOZDCQIQF-SFHVURJKSA-N 1 2 294.402 3.640 20 0 CHADLO c1ccc([C@H](Nc2cc(N3CCCC3)[nH+]cn2)C2CC2)cc1 ZINC000341423510 1118702663 /nfs/dbraw/zinc/70/26/63/1118702663.db2.gz WLTNJEOZDCQIQF-SFHVURJKSA-N 1 2 294.402 3.640 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@@H+]2Cc2nccn2C2CC2)cc1 ZINC000891550564 1118707555 /nfs/dbraw/zinc/70/75/55/1118707555.db2.gz OWKIQIFDUMOKCI-INIZCTEOSA-N 1 2 285.366 3.694 20 0 CHADLO Fc1ccc([C@@H]2CCC[N@H+]2Cc2nccn2C2CC2)cc1 ZINC000891550564 1118707559 /nfs/dbraw/zinc/70/75/59/1118707559.db2.gz OWKIQIFDUMOKCI-INIZCTEOSA-N 1 2 285.366 3.694 20 0 CHADLO Cc1ccc(CN(C)c2cc(C)[nH+]c(C3CC3)n2)cc1 ZINC000341459513 1118711776 /nfs/dbraw/zinc/71/17/76/1118711776.db2.gz OESAQLBFVPIWDQ-UHFFFAOYSA-N 1 2 267.376 3.607 20 0 CHADLO C[C@H](c1ccccc1F)[N@H+](C)Cc1ccnn1C1CCC1 ZINC000891590786 1118713690 /nfs/dbraw/zinc/71/36/90/1118713690.db2.gz AUJTVEQJKYIAPX-CYBMUJFWSA-N 1 2 287.382 3.940 20 0 CHADLO C[C@H](c1ccccc1F)[N@@H+](C)Cc1ccnn1C1CCC1 ZINC000891590786 1118713692 /nfs/dbraw/zinc/71/36/92/1118713692.db2.gz AUJTVEQJKYIAPX-CYBMUJFWSA-N 1 2 287.382 3.940 20 0 CHADLO Cc1cc(N(C)[C@H](C)c2cccs2)nc(C2CC2)[nH+]1 ZINC000341493621 1118716336 /nfs/dbraw/zinc/71/63/36/1118716336.db2.gz XOTHBQZCIFXWRG-LLVKDONJSA-N 1 2 273.405 3.921 20 0 CHADLO Cc1cc(N2CCC[C@H]2CC(C)C)nc(C2CC2)[nH+]1 ZINC000341486724 1118716535 /nfs/dbraw/zinc/71/65/35/1118716535.db2.gz QEFPHYARFODEFG-AWEZNQCLSA-N 1 2 259.397 3.677 20 0 CHADLO CCCN(CCC)C(=O)C[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000341509837 1118717832 /nfs/dbraw/zinc/71/78/32/1118717832.db2.gz XPVPTOBLBWSGNN-INIZCTEOSA-N 1 2 299.418 3.580 20 0 CHADLO c1cn(C2CC2)c(C[N@@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000891618650 1118722584 /nfs/dbraw/zinc/72/25/84/1118722584.db2.gz SKWLYSAVTYIUOW-AWEZNQCLSA-N 1 2 273.405 3.617 20 0 CHADLO c1cn(C2CC2)c(C[N@H+]2CCC[C@H]2c2ccsc2)n1 ZINC000891618650 1118722586 /nfs/dbraw/zinc/72/25/86/1118722586.db2.gz SKWLYSAVTYIUOW-AWEZNQCLSA-N 1 2 273.405 3.617 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C(C)(C)C)o1)c1ccc(Cl)cc1 ZINC000068784521 1128798139 /nfs/dbraw/zinc/79/81/39/1128798139.db2.gz IDHYCEQDFKAMFD-JTQLQIEISA-N 1 2 293.798 3.871 20 0 CHADLO Cc1ccc(F)c(C[N@@H+]2CCCC[C@H]2c2cc[nH]n2)c1 ZINC000348699098 1118761848 /nfs/dbraw/zinc/76/18/48/1118761848.db2.gz AINMSNREYNJFRF-INIZCTEOSA-N 1 2 273.355 3.584 20 0 CHADLO Cc1ccc(F)c(C[N@H+]2CCCC[C@H]2c2cc[nH]n2)c1 ZINC000348699098 1118761850 /nfs/dbraw/zinc/76/18/50/1118761850.db2.gz AINMSNREYNJFRF-INIZCTEOSA-N 1 2 273.355 3.584 20 0 CHADLO CC[C@H]1CCC[N@@H+]1Cc1csc(C(F)(F)F)n1 ZINC000891778773 1118768493 /nfs/dbraw/zinc/76/84/93/1118768493.db2.gz BXKMZWKJGWJNQO-VIFPVBQESA-N 1 2 264.316 3.536 20 0 CHADLO CC[C@H]1CCC[N@H+]1Cc1csc(C(F)(F)F)n1 ZINC000891778773 1118768496 /nfs/dbraw/zinc/76/84/96/1118768496.db2.gz BXKMZWKJGWJNQO-VIFPVBQESA-N 1 2 264.316 3.536 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@@H+]1CCO[C@H]2CCC[C@H]21 ZINC000430873549 1118780418 /nfs/dbraw/zinc/78/04/18/1118780418.db2.gz UOUDPIAIDOBATL-SJORKVTESA-N 1 2 299.370 3.825 20 0 CHADLO c1cc(Oc2ccccc2)oc1C[N@H+]1CCO[C@H]2CCC[C@H]21 ZINC000430873549 1118780419 /nfs/dbraw/zinc/78/04/19/1118780419.db2.gz UOUDPIAIDOBATL-SJORKVTESA-N 1 2 299.370 3.825 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](C)c2ccc(Cl)cn2)o1 ZINC000426335190 1118783836 /nfs/dbraw/zinc/78/38/36/1118783836.db2.gz CTKIVOVIOPYREZ-SNVBAGLBSA-N 1 2 293.798 3.835 20 0 CHADLO Oc1cccc(/C=[NH+]/CCCn2ccc3ccccc32)c1O ZINC000022729419 1118823529 /nfs/dbraw/zinc/82/35/29/1118823529.db2.gz NFTPTFMUQIGNAZ-CPNJWEJPSA-N 1 2 294.354 3.562 20 0 CHADLO CC(C)(C)n1ncnc1C[N@@H+]1CCCC[C@H]1c1ccccc1 ZINC000283145682 1118846971 /nfs/dbraw/zinc/84/69/71/1118846971.db2.gz OGLLEHNIFGDZSZ-INIZCTEOSA-N 1 2 298.434 3.760 20 0 CHADLO CC(C)(C)n1ncnc1C[N@H+]1CCCC[C@H]1c1ccccc1 ZINC000283145682 1118846974 /nfs/dbraw/zinc/84/69/74/1118846974.db2.gz OGLLEHNIFGDZSZ-INIZCTEOSA-N 1 2 298.434 3.760 20 0 CHADLO Cc1ocnc1CNc1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000092689631 1118849145 /nfs/dbraw/zinc/84/91/45/1118849145.db2.gz OLJABNFRKKJSKE-UHFFFAOYSA-N 1 2 274.368 3.632 20 0 CHADLO FC(F)(F)c1nc(C[N@@H+]2CCC[C@@H]2C2CC2)cs1 ZINC000891934214 1118854406 /nfs/dbraw/zinc/85/44/06/1118854406.db2.gz YBQVOBHPODXRKQ-SNVBAGLBSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1nc(C[N@H+]2CCC[C@@H]2C2CC2)cs1 ZINC000891934214 1118854409 /nfs/dbraw/zinc/85/44/09/1118854409.db2.gz YBQVOBHPODXRKQ-SNVBAGLBSA-N 1 2 276.327 3.536 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC[C@@H]1CSCCS1 ZINC000133214521 1118862551 /nfs/dbraw/zinc/86/25/51/1118862551.db2.gz HJESKXKOSFNRKK-CYBMUJFWSA-N 1 2 290.457 3.804 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@@H+]2CCO[C@H](c3ccco3)C2)o1 ZINC000093841565 1118868381 /nfs/dbraw/zinc/86/83/81/1118868381.db2.gz RNIJONYUYAQHLF-IFIJOSMWSA-N 1 2 287.359 3.570 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[N@H+]2CCO[C@H](c3ccco3)C2)o1 ZINC000093841565 1118868386 /nfs/dbraw/zinc/86/83/86/1118868386.db2.gz RNIJONYUYAQHLF-IFIJOSMWSA-N 1 2 287.359 3.570 20 0 CHADLO Cc1noc([C@@H]([NH2+]Cc2ccc(Cl)cc2C)C(C)C)n1 ZINC000129703747 1118882816 /nfs/dbraw/zinc/88/28/16/1118882816.db2.gz JJNNXDRLBJPMMN-AWEZNQCLSA-N 1 2 293.798 3.827 20 0 CHADLO Fc1ccc(-c2nc(C[NH+]3CCC(F)(F)CC3)co2)cc1 ZINC000684623463 1118909838 /nfs/dbraw/zinc/90/98/38/1118909838.db2.gz BQASYDVHCMNAEA-UHFFFAOYSA-N 1 2 296.292 3.712 20 0 CHADLO CN(Cc1ccc(Cl)cc1)c1cc(N)cc(Cl)[nH+]1 ZINC000228874789 1118915945 /nfs/dbraw/zinc/91/59/45/1118915945.db2.gz BENWBSAYLZBTTQ-UHFFFAOYSA-N 1 2 282.174 3.607 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cc(F)c(F)c1F)c1ccns1 ZINC000926321360 1118919354 /nfs/dbraw/zinc/91/93/54/1118919354.db2.gz MVUVDLLIOURSNE-LURJTMIESA-N 1 2 290.285 3.550 20 0 CHADLO CCC[C@@](C)([NH2+]Cc1nc(C(C)C)no1)c1ccccc1 ZINC000934185191 1118957505 /nfs/dbraw/zinc/95/75/05/1118957505.db2.gz XTWAGZSGMSNROD-QGZVFWFLSA-N 1 2 287.407 3.998 20 0 CHADLO C[C@H]([NH2+]Cc1cn(C2CCC2)nn1)c1ccccc1Cl ZINC000657287854 1118958585 /nfs/dbraw/zinc/95/85/85/1118958585.db2.gz YXEPQPIGOIHOOJ-NSHDSACASA-N 1 2 290.798 3.507 20 0 CHADLO Cc1oc2ccccc2c1C(=O)Nc1cccc2[nH+]ccn21 ZINC000342288466 1118968775 /nfs/dbraw/zinc/96/87/75/1118968775.db2.gz ILIOKQYOOAJILU-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO CCC[C@H]([NH2+]Cc1nnc(C)s1)c1ccc(F)cc1F ZINC000342298063 1118969736 /nfs/dbraw/zinc/96/97/36/1118969736.db2.gz UTXANYGFWWHZEF-ZDUSSCGKSA-N 1 2 297.374 3.756 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nnc(C)s1)c1ccc(F)cc1F ZINC000342298062 1118970024 /nfs/dbraw/zinc/97/00/24/1118970024.db2.gz UTXANYGFWWHZEF-CYBMUJFWSA-N 1 2 297.374 3.756 20 0 CHADLO Cc1nc2[nH]ccc2c(NC2CCC(C(F)F)CC2)[nH+]1 ZINC000413432204 1118974608 /nfs/dbraw/zinc/97/46/08/1118974608.db2.gz BLICUEAZTGSWSI-UHFFFAOYSA-N 1 2 280.322 3.502 20 0 CHADLO Cc1[nH]c(CN(C)Cc2ccc(C(F)(F)F)cc2)[nH+]c1C ZINC000628117161 1128813119 /nfs/dbraw/zinc/81/31/19/1128813119.db2.gz VMQYNIJBZDFQIZ-UHFFFAOYSA-N 1 2 297.324 3.677 20 0 CHADLO Cc1ccsc1C[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000724389250 1118985944 /nfs/dbraw/zinc/98/59/44/1118985944.db2.gz XGBSULWKIWSAQX-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO Cc1ccccc1C[NH2+]Cc1nc(C(C)(C)C)co1 ZINC000724394388 1118987240 /nfs/dbraw/zinc/98/72/40/1118987240.db2.gz KRQULCQGPZJASG-UHFFFAOYSA-N 1 2 258.365 3.570 20 0 CHADLO C[C@H](Nc1cc[nH+]c2c(Cl)cccc12)c1cnn(C)c1 ZINC000342349301 1118988034 /nfs/dbraw/zinc/98/80/34/1118988034.db2.gz NBRNAAGFQKXDIZ-JTQLQIEISA-N 1 2 286.766 3.795 20 0 CHADLO COc1cc[nH+]c(Nc2ccc(F)cc2OC(C)C)c1 ZINC001212289882 1128814090 /nfs/dbraw/zinc/81/40/90/1128814090.db2.gz IAOHMSQISKZLTE-UHFFFAOYSA-N 1 2 276.311 3.760 20 0 CHADLO Cn1ccnc1[C@@H](C1CC1)[N@H+](C)Cc1ccc(Cl)s1 ZINC000637869533 1129233721 /nfs/dbraw/zinc/23/37/21/1129233721.db2.gz XFNSQQUPCPQCGA-CYBMUJFWSA-N 1 2 295.839 3.718 20 0 CHADLO Cc1[nH]c(CN(Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)[nH+]c1C ZINC000628131407 1128815583 /nfs/dbraw/zinc/81/55/83/1128815583.db2.gz XTJWCCYZPDBCED-ZBEGNZNMSA-N 1 2 299.418 3.908 20 0 CHADLO Cc1cc(N2CC[C@@H](CC(C)C)C2)nc(C2CC2)[nH+]1 ZINC000342461037 1119037139 /nfs/dbraw/zinc/03/71/39/1119037139.db2.gz UYYMPGFPQGGKPN-ZDUSSCGKSA-N 1 2 259.397 3.535 20 0 CHADLO Cc1[nH]c(CN2CC(C)(C)[C@@H]2c2ccccc2)[nH+]c1C ZINC000628153243 1128818980 /nfs/dbraw/zinc/81/89/80/1128818980.db2.gz GODBBKQRHGDSRD-INIZCTEOSA-N 1 2 269.392 3.610 20 0 CHADLO Cc1[nH]c(CNc2ccccc2N2CCCCC2)[nH+]c1C ZINC000657404409 1119062239 /nfs/dbraw/zinc/06/22/39/1119062239.db2.gz HKIBNYCWVOSBQO-UHFFFAOYSA-N 1 2 284.407 3.629 20 0 CHADLO CC(C)Oc1ccc([C@H](C)[NH2+][C@H]2CCCc3[nH]ncc32)cc1 ZINC000353395699 1128819031 /nfs/dbraw/zinc/81/90/31/1128819031.db2.gz QWDBCFPHFVHVAY-GUYCJALGSA-N 1 2 299.418 3.925 20 0 CHADLO CCOc1cccc(CNc2cc(C)[nH+]c(C(C)C)n2)c1 ZINC000037680011 1119064270 /nfs/dbraw/zinc/06/42/70/1119064270.db2.gz LBHXODJFCTXSOT-UHFFFAOYSA-N 1 2 285.391 3.919 20 0 CHADLO CC[C@@H](C)C(=O)Nc1ccccc1-c1[nH+]cc2n1CCCC2 ZINC000684843284 1119065697 /nfs/dbraw/zinc/06/56/97/1119065697.db2.gz WQLQNBZBEZADFJ-CYBMUJFWSA-N 1 2 297.402 3.871 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)c(F)c1)C12CCC(CC1)C2 ZINC000342528649 1119069055 /nfs/dbraw/zinc/06/90/55/1119069055.db2.gz PAXVICSHPDODQP-UHFFFAOYSA-N 1 2 299.349 3.530 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)C[N@@H+]1Cc1cnc(C2CC2)o1 ZINC000628162150 1128819989 /nfs/dbraw/zinc/81/99/89/1128819989.db2.gz GDXAUSLHZKGXHR-CXAGYDPISA-N 1 2 298.386 3.514 20 0 CHADLO C[C@@H]1CO[C@@H](c2ccccc2)C[N@H+]1Cc1cnc(C2CC2)o1 ZINC000628162150 1128819994 /nfs/dbraw/zinc/81/99/94/1128819994.db2.gz GDXAUSLHZKGXHR-CXAGYDPISA-N 1 2 298.386 3.514 20 0 CHADLO CSc1ccc([C@H](C)[NH2+][C@H](C)c2nc(C)no2)cc1 ZINC000684892335 1119098401 /nfs/dbraw/zinc/09/84/01/1119098401.db2.gz IHOWPHJOANQUPO-VHSXEESVSA-N 1 2 277.393 3.512 20 0 CHADLO O=C(Nc1ccc2[nH+]ccn2c1)c1cccc(C2CCC2)c1 ZINC000684907543 1119108447 /nfs/dbraw/zinc/10/84/47/1119108447.db2.gz KRFKHPVSMRRGAA-UHFFFAOYSA-N 1 2 291.354 3.854 20 0 CHADLO Cc1[nH]c(CN2CC[C@H]2c2ccc(Cl)cc2)[nH+]c1C ZINC000628184377 1128822364 /nfs/dbraw/zinc/82/23/64/1128822364.db2.gz KWRWYNFHZNDYJA-AWEZNQCLSA-N 1 2 275.783 3.627 20 0 CHADLO CCOC(=O)C[N@H+](Cc1ccccc1SC)C(C)(C)C ZINC000511162959 1119114114 /nfs/dbraw/zinc/11/41/14/1119114114.db2.gz TYNUYVBROZHWQG-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO CCOC(=O)C[N@@H+](Cc1ccccc1SC)C(C)(C)C ZINC000511162959 1119114115 /nfs/dbraw/zinc/11/41/15/1119114115.db2.gz TYNUYVBROZHWQG-UHFFFAOYSA-N 1 2 295.448 3.572 20 0 CHADLO CCCCc1nc(C[N@@H+]2CC[C@@H](OCC(C)C)C2)cs1 ZINC000119916516 1119117720 /nfs/dbraw/zinc/11/77/20/1119117720.db2.gz AEQBJBLUDDFKJB-OAHLLOKOSA-N 1 2 296.480 3.733 20 0 CHADLO CCCCc1nc(C[N@H+]2CC[C@@H](OCC(C)C)C2)cs1 ZINC000119916516 1119117723 /nfs/dbraw/zinc/11/77/23/1119117723.db2.gz AEQBJBLUDDFKJB-OAHLLOKOSA-N 1 2 296.480 3.733 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2c(C)cccc2C)cs1 ZINC000342682204 1119124996 /nfs/dbraw/zinc/12/49/96/1119124996.db2.gz NXHWKOXMMWZKBB-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2c(C)cccc2C)cs1 ZINC000342682204 1119124998 /nfs/dbraw/zinc/12/49/98/1119124998.db2.gz NXHWKOXMMWZKBB-UHFFFAOYSA-N 1 2 260.406 3.700 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cccc(OCC(C)C)c1 ZINC001213640347 1119138862 /nfs/dbraw/zinc/13/88/62/1119138862.db2.gz UPNIFAWDZTXHKA-UHFFFAOYSA-N 1 2 295.386 3.951 20 0 CHADLO Fc1ccc(C2([NH2+]Cc3nc(C4CC4)cs3)CC2)cc1 ZINC000342735473 1119148194 /nfs/dbraw/zinc/14/81/94/1119148194.db2.gz PXTBFSCUNXYOAP-UHFFFAOYSA-N 1 2 288.391 3.939 20 0 CHADLO Cc1cc(N2CC=C(c3ccccc3C)C2)nc(C2CC2)[nH+]1 ZINC000342777030 1119162721 /nfs/dbraw/zinc/16/27/21/1119162721.db2.gz BRASXSOHFNFBFQ-UHFFFAOYSA-N 1 2 291.398 3.874 20 0 CHADLO Cc1ccccc1C1=CCN(c2nc(C)[nH+]c(C)c2C)C1 ZINC000342786381 1119166051 /nfs/dbraw/zinc/16/60/51/1119166051.db2.gz MCYSPPIZFHITAT-UHFFFAOYSA-N 1 2 279.387 3.614 20 0 CHADLO Cc1c[nH+]c(CN2CCC[C@H]2c2cccc(Cl)c2)n1C ZINC000342793705 1119172834 /nfs/dbraw/zinc/17/28/34/1119172834.db2.gz SEESXCNOSBYYBK-HNNXBMFYSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1c[nH+]c(CN2CCC[C@@H]2c2ccc(Cl)cc2)n1C ZINC000342798393 1119174585 /nfs/dbraw/zinc/17/45/85/1119174585.db2.gz IXXHGOHRVJMYEL-OAHLLOKOSA-N 1 2 289.810 3.719 20 0 CHADLO Cc1c[nH+]c(CN(Cc2ccc([C@H]3C[C@H]3C)o2)C2CC2)n1C ZINC000342801218 1119176649 /nfs/dbraw/zinc/17/66/49/1119176649.db2.gz WNOCKWJTMLIJTB-WBMJQRKESA-N 1 2 299.418 3.610 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2csc(-c3ccco3)n2)C[C@H](C)S1 ZINC000075894491 1119180601 /nfs/dbraw/zinc/18/06/01/1119180601.db2.gz OBUPPSGAZORBKV-PHIMTYICSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1C[N@H+](Cc2csc(-c3ccco3)n2)C[C@H](C)S1 ZINC000075894491 1119180602 /nfs/dbraw/zinc/18/06/02/1119180602.db2.gz OBUPPSGAZORBKV-PHIMTYICSA-N 1 2 294.445 3.729 20 0 CHADLO C[C@@H]1C[NH+](Cc2csc(-c3ccco3)n2)C[C@@H](C)S1 ZINC000075894494 1119180608 /nfs/dbraw/zinc/18/06/08/1119180608.db2.gz OBUPPSGAZORBKV-GHMZBOCLSA-N 1 2 294.445 3.729 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2ccon2)o1 ZINC000077318666 1119191624 /nfs/dbraw/zinc/19/16/24/1119191624.db2.gz OYTQPBZNZCAVJP-OAHLLOKOSA-N 1 2 274.364 3.947 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@H+]2Cc2ccon2)o1 ZINC000077318666 1119191625 /nfs/dbraw/zinc/19/16/25/1119191625.db2.gz OYTQPBZNZCAVJP-OAHLLOKOSA-N 1 2 274.364 3.947 20 0 CHADLO Fc1cc(Br)cc(CNc2cccc[nH+]2)c1 ZINC000077524591 1119194000 /nfs/dbraw/zinc/19/40/00/1119194000.db2.gz ZINNHDPJWUNUQG-UHFFFAOYSA-N 1 2 281.128 3.595 20 0 CHADLO Clc1cccc2c(NCC[C@@H]3CCOC3)cc[nH+]c12 ZINC000342827578 1119197944 /nfs/dbraw/zinc/19/79/44/1119197944.db2.gz ARXLGNCIPBGYCV-LLVKDONJSA-N 1 2 276.767 3.727 20 0 CHADLO Cc1[nH]c(CN(C)Cc2cccc(C(F)(F)F)c2)[nH+]c1C ZINC000628148537 1119200427 /nfs/dbraw/zinc/20/04/27/1119200427.db2.gz SBYVRWLNLMCUGC-UHFFFAOYSA-N 1 2 297.324 3.677 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(Cl)cc2N)c[nH+]1 ZINC001213650662 1119202605 /nfs/dbraw/zinc/20/26/05/1119202605.db2.gz NAJXSVWQNCBNBX-UHFFFAOYSA-N 1 2 276.771 3.517 20 0 CHADLO Cc1c[nH+]c(CN2C[C@@H](C(C)(C)C)c3ccccc32)n1C ZINC000342850526 1119209167 /nfs/dbraw/zinc/20/91/67/1119209167.db2.gz YNILGEZXPQYZCG-OAHLLOKOSA-N 1 2 283.419 3.878 20 0 CHADLO Cn1ccnc1[C@@H](C1CC1)[N@@H+](C)Cc1ccc(Cl)s1 ZINC000637869533 1129233726 /nfs/dbraw/zinc/23/37/26/1129233726.db2.gz XFNSQQUPCPQCGA-CYBMUJFWSA-N 1 2 295.839 3.718 20 0 CHADLO Cc1ccc(C(=O)Nc2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC000029914600 1119218052 /nfs/dbraw/zinc/21/80/52/1119218052.db2.gz NIHKVRHWPBAGNR-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(-c2ncc[nH]2)cc1 ZINC001213085660 1119222917 /nfs/dbraw/zinc/22/29/17/1119222917.db2.gz PUTPYJIVWNYZIU-UHFFFAOYSA-N 1 2 250.305 3.524 20 0 CHADLO COc1c(Cl)cccc1NCc1cccc2[nH+]ccn21 ZINC000342914872 1119244742 /nfs/dbraw/zinc/24/47/42/1119244742.db2.gz YEMWLNSHSOBLNN-UHFFFAOYSA-N 1 2 287.750 3.608 20 0 CHADLO C[C@@H]1CSCC[N@@H+]1Cc1csc(C(F)(F)F)c1 ZINC000628175175 1119246241 /nfs/dbraw/zinc/24/62/41/1119246241.db2.gz MCFYKERUEAJKTE-MRVPVSSYSA-N 1 2 281.368 3.704 20 0 CHADLO C[C@@H]1CSCC[N@H+]1Cc1csc(C(F)(F)F)c1 ZINC000628175175 1119246245 /nfs/dbraw/zinc/24/62/45/1119246245.db2.gz MCFYKERUEAJKTE-MRVPVSSYSA-N 1 2 281.368 3.704 20 0 CHADLO Cc1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)cnc1F ZINC001213086058 1119249210 /nfs/dbraw/zinc/24/92/10/1119249210.db2.gz FQRVCCUTEASQRX-UHFFFAOYSA-N 1 2 268.295 3.663 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628181048 1119259708 /nfs/dbraw/zinc/25/97/08/1119259708.db2.gz OGLCWTOSXIXZNX-OAHLLOKOSA-N 1 2 287.407 3.991 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@H+]2Cc2nc(C)c[nH]2)o1 ZINC000628181048 1119259711 /nfs/dbraw/zinc/25/97/11/1119259711.db2.gz OGLCWTOSXIXZNX-OAHLLOKOSA-N 1 2 287.407 3.991 20 0 CHADLO COCOc1ccccc1Nc1cccc2cc[nH+]cc21 ZINC001211564799 1119278535 /nfs/dbraw/zinc/27/85/35/1119278535.db2.gz WCAWEVWOEZFTTG-UHFFFAOYSA-N 1 2 280.327 3.961 20 0 CHADLO CC(C)CN(CC(C)(C)C)C(=O)c1cc2c[nH+]ccc2[nH]1 ZINC000934792419 1119295601 /nfs/dbraw/zinc/29/56/01/1119295601.db2.gz ZGPBAEXWXFNKKY-UHFFFAOYSA-N 1 2 287.407 3.707 20 0 CHADLO COC(=O)[C@@H](c1ccccc1Cl)[N@@H+]1CC[C@H](C(C)C)C1 ZINC001167656029 1119301022 /nfs/dbraw/zinc/30/10/22/1119301022.db2.gz FLCYRSQYYZIVDD-SWLSCSKDSA-N 1 2 295.810 3.532 20 0 CHADLO COC(=O)[C@@H](c1ccccc1Cl)[N@H+]1CC[C@H](C(C)C)C1 ZINC001167656029 1119301025 /nfs/dbraw/zinc/30/10/25/1119301025.db2.gz FLCYRSQYYZIVDD-SWLSCSKDSA-N 1 2 295.810 3.532 20 0 CHADLO Oc1c(F)cc(Nc2cccc(-n3cc[nH+]c3)c2)cc1F ZINC001213379145 1119310934 /nfs/dbraw/zinc/31/09/34/1119310934.db2.gz AEBCASHFLBLCBM-UHFFFAOYSA-N 1 2 287.269 3.600 20 0 CHADLO CS[C@H]1CCCCN(c2cc(C)[nH+]c(C(C)C)n2)C1 ZINC000154642574 1119316294 /nfs/dbraw/zinc/31/62/94/1119316294.db2.gz GGRDGTGGQFGWJY-ZDUSSCGKSA-N 1 2 279.453 3.630 20 0 CHADLO c1csc([C@H]([NH2+][C@@H]2CCCc3[nH]ncc32)C2CC2)c1 ZINC000353449247 1128838040 /nfs/dbraw/zinc/83/80/40/1128838040.db2.gz QLAHUZPKZOQXOU-IUODEOHRSA-N 1 2 273.405 3.590 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cccc(OC(F)F)c1)c1ccon1 ZINC000349859811 1119327217 /nfs/dbraw/zinc/32/72/17/1119327217.db2.gz YAMSKGDGSTXQIJ-VHSXEESVSA-N 1 2 282.290 3.688 20 0 CHADLO CCOc1ccc(CNc2cccc[nH+]2)cc1Cl ZINC000343159207 1119334878 /nfs/dbraw/zinc/33/48/78/1119334878.db2.gz ONBWAPFVASARME-UHFFFAOYSA-N 1 2 262.740 3.746 20 0 CHADLO COc1ccc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(F)c1 ZINC001213088086 1119345853 /nfs/dbraw/zinc/34/58/53/1119345853.db2.gz OSLKIAOJHREEPW-UHFFFAOYSA-N 1 2 283.306 3.968 20 0 CHADLO Cc1cccc(C[NH2+]CC(F)(F)c2ccccc2)c1 ZINC000227899486 1119351483 /nfs/dbraw/zinc/35/14/83/1119351483.db2.gz TVRVENJZGNTRJK-UHFFFAOYSA-N 1 2 261.315 3.877 20 0 CHADLO Cc1nc(C[NH2+][C@@H](C)c2ccc(F)cc2N(C)C)cs1 ZINC000126610467 1119352738 /nfs/dbraw/zinc/35/27/38/1119352738.db2.gz NGTXVGPHAMHHOT-JTQLQIEISA-N 1 2 293.411 3.507 20 0 CHADLO Cc1cc(N2CCC=C(c3ccco3)C2)nc(C(C)C)[nH+]1 ZINC000343230168 1119357784 /nfs/dbraw/zinc/35/77/84/1119357784.db2.gz ULIGMJIRNBFNCY-UHFFFAOYSA-N 1 2 283.375 3.795 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(OC(C)C)ccc1F ZINC001212312702 1128841051 /nfs/dbraw/zinc/84/10/51/1128841051.db2.gz MDBNFRVQPORMLA-UHFFFAOYSA-N 1 2 299.349 3.842 20 0 CHADLO O=c1[nH]c2ccc(Nc3ccc(-c4[nH]cc[nH+]4)cc3)cc2o1 ZINC001213089148 1119374047 /nfs/dbraw/zinc/37/40/47/1119374047.db2.gz WPLZLBDTSVQAKG-UHFFFAOYSA-N 1 2 292.298 3.667 20 0 CHADLO FC1(F)CCC(Nc2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000647298210 1119374420 /nfs/dbraw/zinc/37/44/20/1119374420.db2.gz IKPUUTYGEFOXNC-UHFFFAOYSA-N 1 2 277.318 3.862 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[N@@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000611784229 1119401202 /nfs/dbraw/zinc/40/12/02/1119401202.db2.gz BODVXPTZAZXJCS-CVEARBPZSA-N 1 2 293.382 3.550 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[N@H+]1CC[C@@H]1c1cccc(F)c1 ZINC000611784229 1119401204 /nfs/dbraw/zinc/40/12/04/1119401204.db2.gz BODVXPTZAZXJCS-CVEARBPZSA-N 1 2 293.382 3.550 20 0 CHADLO CCc1nc(C(C)C)ccc1C[NH+]1CC(C(F)(F)F)C1 ZINC000583793427 1119414246 /nfs/dbraw/zinc/41/42/46/1119414246.db2.gz XNICBUDQTUTRPA-UHFFFAOYSA-N 1 2 286.341 3.762 20 0 CHADLO C[C@H](O)c1ccccc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090802 1119420164 /nfs/dbraw/zinc/42/01/64/1119420164.db2.gz GUWVPZCOWZXIDO-LBPRGKRZSA-N 1 2 279.343 3.874 20 0 CHADLO Cc1nc(C[N@H+](Cc2ccc(F)cc2)C(C)C)[nH]c1C ZINC000628135352 1119429932 /nfs/dbraw/zinc/42/99/32/1119429932.db2.gz TYYNEVPLYDFIFN-UHFFFAOYSA-N 1 2 275.371 3.576 20 0 CHADLO Cc1nc(C[N@@H+](Cc2ccc(F)cc2)C(C)C)[nH]c1C ZINC000628135352 1119429934 /nfs/dbraw/zinc/42/99/34/1119429934.db2.gz TYYNEVPLYDFIFN-UHFFFAOYSA-N 1 2 275.371 3.576 20 0 CHADLO Cc1coc(-c2cccc(NCc3[nH]c(C)c(C)[nH+]3)c2)n1 ZINC000583945860 1119438450 /nfs/dbraw/zinc/43/84/50/1119438450.db2.gz IQTCKCPYEFXMEG-UHFFFAOYSA-N 1 2 282.347 3.602 20 0 CHADLO Nc1ccc(F)c2ccn(-c3ccc(-c4[nH]cc[nH+]4)cc3)c21 ZINC001213091737 1119444594 /nfs/dbraw/zinc/44/45/94/1119444594.db2.gz JAZBZTGMORYDKQ-UHFFFAOYSA-N 1 2 292.317 3.742 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@@H+]1Cc1nc(C2CC2)cs1 ZINC000343538168 1119446694 /nfs/dbraw/zinc/44/66/94/1119446694.db2.gz SNOGCQNIUUYZCG-LBPRGKRZSA-N 1 2 284.428 3.967 20 0 CHADLO C[C@H]1Cc2ccccc2C[N@H+]1Cc1nc(C2CC2)cs1 ZINC000343538168 1119446697 /nfs/dbraw/zinc/44/66/97/1119446697.db2.gz SNOGCQNIUUYZCG-LBPRGKRZSA-N 1 2 284.428 3.967 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1c(F)cccc1F)c1ccc(F)cn1 ZINC000147767199 1119457044 /nfs/dbraw/zinc/45/70/44/1119457044.db2.gz JRVUBWPRUFDPPO-UWVGGRQHSA-N 1 2 280.293 3.911 20 0 CHADLO CC1(C)C[N@H+](Cc2ccc(Cl)cc2F)[C@@H]1[C@H]1CCCO1 ZINC000584022623 1119460053 /nfs/dbraw/zinc/46/00/53/1119460053.db2.gz YKVBDTAXEPBODK-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccc(Cl)cc2F)[C@@H]1[C@H]1CCCO1 ZINC000584022623 1119460054 /nfs/dbraw/zinc/46/00/54/1119460054.db2.gz YKVBDTAXEPBODK-HUUCEWRRSA-N 1 2 297.801 3.869 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@H+](C)Cc1cc(C)ccc1F ZINC000343596717 1119467147 /nfs/dbraw/zinc/46/71/47/1119467147.db2.gz CLQOEBNKRFAGKJ-UHFFFAOYSA-N 1 2 289.398 3.852 20 0 CHADLO Cc1nn(C(C)C)cc1C[N@@H+](C)Cc1cc(C)ccc1F ZINC000343596717 1119467151 /nfs/dbraw/zinc/46/71/51/1119467151.db2.gz CLQOEBNKRFAGKJ-UHFFFAOYSA-N 1 2 289.398 3.852 20 0 CHADLO CC1(C)CCC(C[NH2+][C@@H](c2nnc[nH]2)c2ccccc2)CC1 ZINC000343707494 1119492046 /nfs/dbraw/zinc/49/20/46/1119492046.db2.gz KSLLJDPQBGBGHS-MRXNPFEDSA-N 1 2 298.434 3.700 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(C)nn(C)c3c2)c1 ZINC001213757068 1119495214 /nfs/dbraw/zinc/49/52/14/1119495214.db2.gz OQVAJRVDBGGJRT-UHFFFAOYSA-N 1 2 280.375 3.973 20 0 CHADLO Cc1cc(N[C@@H]2CCCc3cc(N)ccc32)nc(C(C)C)[nH+]1 ZINC000262292065 1119515475 /nfs/dbraw/zinc/51/54/75/1119515475.db2.gz NJQFYDUBWSZDTP-MRXNPFEDSA-N 1 2 296.418 3.980 20 0 CHADLO CCOc1ccc(C[N@H+](C)Cc2nc(C)c(C)s2)cc1 ZINC000081644391 1119519487 /nfs/dbraw/zinc/51/94/87/1119519487.db2.gz MRFHUCBVJLCKDZ-UHFFFAOYSA-N 1 2 290.432 3.791 20 0 CHADLO CCOc1ccc(C[N@@H+](C)Cc2nc(C)c(C)s2)cc1 ZINC000081644391 1119519488 /nfs/dbraw/zinc/51/94/88/1119519488.db2.gz MRFHUCBVJLCKDZ-UHFFFAOYSA-N 1 2 290.432 3.791 20 0 CHADLO Cc1ccccc1Cc1nc(C[NH+]2[C@@H](C)CCC[C@@H]2C)no1 ZINC000083170733 1119524911 /nfs/dbraw/zinc/52/49/11/1119524911.db2.gz CFHKQIMKLLTKOI-GJZGRUSLSA-N 1 2 299.418 3.732 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3ccccc3F)CCC2)no1 ZINC000647332660 1119526158 /nfs/dbraw/zinc/52/61/58/1119526158.db2.gz BNXFYOWMZYJENR-GFCCVEGCSA-N 1 2 274.339 3.852 20 0 CHADLO Cc1cc([C@H](C)[NH2+]C2(c3ccccc3F)CCC2)nn1C ZINC000647333679 1119530145 /nfs/dbraw/zinc/53/01/45/1119530145.db2.gz WYPGSUFEPCVHES-ZDUSSCGKSA-N 1 2 287.382 3.598 20 0 CHADLO CN(Cc1cn2c([nH+]1)CCCC2)Cc1sccc1Cl ZINC000375747841 1119539497 /nfs/dbraw/zinc/53/94/97/1119539497.db2.gz XVLJLGZPMBWYOD-UHFFFAOYSA-N 1 2 295.839 3.566 20 0 CHADLO Cc1cc(N2CCc3cc(F)ccc3C2)nc(C(C)C)[nH+]1 ZINC000091672070 1119558063 /nfs/dbraw/zinc/55/80/63/1119558063.db2.gz LZISQQPKFUVKQN-UHFFFAOYSA-N 1 2 285.366 3.610 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+][C@H](C)c1ccc(F)cn1 ZINC000092753168 1119566251 /nfs/dbraw/zinc/56/62/51/1119566251.db2.gz KYCFPINBCWFKJM-GHMZBOCLSA-N 1 2 292.329 3.780 20 0 CHADLO Cc1nc(C)c(C[NH2+]C2(c3ccc(F)cc3F)CCC2)o1 ZINC000685571404 1119632878 /nfs/dbraw/zinc/63/28/78/1119632878.db2.gz FSTAJFZVBROBJF-UHFFFAOYSA-N 1 2 292.329 3.739 20 0 CHADLO Cc1cn[nH]c1C[NH2+][C@H](c1ccccc1)c1ccc(F)cc1 ZINC000685614051 1119637807 /nfs/dbraw/zinc/63/78/07/1119637807.db2.gz MBHWWKFZNYDXRC-GOSISDBHSA-N 1 2 295.361 3.736 20 0 CHADLO Cc1c[nH]nc1C[NH2+][C@H](c1ccccc1)c1ccc(F)cc1 ZINC000685614051 1119637808 /nfs/dbraw/zinc/63/78/08/1119637808.db2.gz MBHWWKFZNYDXRC-GOSISDBHSA-N 1 2 295.361 3.736 20 0 CHADLO C[N@@H+](Cc1ccccc1Cl)Cc1cccc2nccn21 ZINC000179568209 1119637986 /nfs/dbraw/zinc/63/79/86/1119637986.db2.gz FJSNARJXIPEQGT-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@H+](Cc1ccccc1Cl)Cc1cccc2nccn21 ZINC000179568209 1119637989 /nfs/dbraw/zinc/63/79/89/1119637989.db2.gz FJSNARJXIPEQGT-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1cc(C)on1)c1ccccn1 ZINC000685646843 1119643946 /nfs/dbraw/zinc/64/39/46/1119643946.db2.gz SUKLZMHSLPBRHZ-GXTWGEPZSA-N 1 2 259.353 3.570 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]C2(c3ccc(F)cc3)CCC2)no1 ZINC000685651997 1119645171 /nfs/dbraw/zinc/64/51/71/1119645171.db2.gz KMIHHDLWPKJXKB-GFCCVEGCSA-N 1 2 274.339 3.852 20 0 CHADLO CC[C@@H](C)c1cccc(NC(=O)[C@H]2CCn3c[nH+]cc3C2)c1 ZINC000628432053 1128860493 /nfs/dbraw/zinc/86/04/93/1128860493.db2.gz ONUDBAKHIJXDEB-HIFRSBDPSA-N 1 2 297.402 3.598 20 0 CHADLO Oc1cccc(C[N@@H+]2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000093686239 1119661174 /nfs/dbraw/zinc/66/11/74/1119661174.db2.gz KFUIBAWECFGFRT-MRXNPFEDSA-N 1 2 273.763 3.993 20 0 CHADLO Oc1cccc(C[N@H+]2CC[C@@H]2c2ccc(Cl)cc2)c1 ZINC000093686239 1119661176 /nfs/dbraw/zinc/66/11/76/1119661176.db2.gz KFUIBAWECFGFRT-MRXNPFEDSA-N 1 2 273.763 3.993 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2c(OC)cccc2OC)o1 ZINC000658121106 1119688574 /nfs/dbraw/zinc/68/85/74/1119688574.db2.gz ZXBZAAWIJRXHIM-GFCCVEGCSA-N 1 2 289.375 3.710 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1cc2cnccc2o1 ZINC000658153327 1119692041 /nfs/dbraw/zinc/69/20/41/1119692041.db2.gz UPORPVNTUNMUAR-VHSXEESVSA-N 1 2 273.361 3.696 20 0 CHADLO FC(F)[C@@H](CCc1ccccc1)[NH2+][C@H]1COC2(CCC2)C1 ZINC000658183740 1119699585 /nfs/dbraw/zinc/69/95/85/1119699585.db2.gz PUQUDMLNGWDCIF-HUUCEWRRSA-N 1 2 295.373 3.554 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]CC(F)(F)C(C)(C)C ZINC000658336681 1119726441 /nfs/dbraw/zinc/72/64/41/1119726441.db2.gz HBEPRQBYPHUXDU-NSHDSACASA-N 1 2 256.340 3.722 20 0 CHADLO CC(=O)Nc1ccc([C@@H](C)[NH2+]CC(F)(F)C(C)(C)C)cc1 ZINC000658337114 1119726666 /nfs/dbraw/zinc/72/66/66/1119726666.db2.gz NRKHJFUSIDEEHZ-LLVKDONJSA-N 1 2 298.377 3.977 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCC3(CCC3)C2)c1 ZINC000584466344 1119765242 /nfs/dbraw/zinc/76/52/42/1119765242.db2.gz MFEKYTHHUIIIKF-UHFFFAOYSA-N 1 2 256.324 3.754 20 0 CHADLO Cc1[nH]c2ccc(C[NH2+][C@H]3CCCc4[nH]ncc43)cc2c1C ZINC000375853562 1119771371 /nfs/dbraw/zinc/77/13/71/1119771371.db2.gz QUCWIBZGRZBIHM-INIZCTEOSA-N 1 2 294.402 3.675 20 0 CHADLO CCCC[C@@H](C(=O)Nc1c(C)c[nH+]cc1C)C(C)C ZINC001625884272 1119834952 /nfs/dbraw/zinc/83/49/52/1119834952.db2.gz NKDZMOGJIRQRFV-CQSZACIVSA-N 1 2 262.397 3.521 20 0 CHADLO COCOc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cc1 ZINC001211638170 1119867743 /nfs/dbraw/zinc/86/77/43/1119867743.db2.gz XDNKNISDMNXJLV-UHFFFAOYSA-N 1 2 295.342 3.599 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1ccn(C)n1)c1cc(F)ccc1F ZINC000414092090 1119891548 /nfs/dbraw/zinc/89/15/48/1119891548.db2.gz LMCRTQAFWIPCQN-IINYFYTJSA-N 1 2 279.334 3.500 20 0 CHADLO Cc1ccc(C[NH2+]Cc2ccsc2Br)o1 ZINC000282332055 1119911494 /nfs/dbraw/zinc/91/14/94/1119911494.db2.gz VHACSJPQDNPKIA-UHFFFAOYSA-N 1 2 286.194 3.702 20 0 CHADLO CCn1ccc(C[NH2+][C@@H](c2cc(F)ccc2F)C(C)C)n1 ZINC000414208557 1119940538 /nfs/dbraw/zinc/94/05/38/1119940538.db2.gz LUNUAYJIHMLPHR-MRXNPFEDSA-N 1 2 293.361 3.668 20 0 CHADLO CCCCc1noc(C[NH2+][C@H](C)c2cc(C)sc2C)n1 ZINC000193130416 1119978193 /nfs/dbraw/zinc/97/81/93/1119978193.db2.gz MSDZSQLMXILSRE-LLVKDONJSA-N 1 2 293.436 3.941 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)s1)c1cc(F)cc(F)c1 ZINC000414323469 1119983870 /nfs/dbraw/zinc/98/38/70/1119983870.db2.gz IUEJNWJVGCCYKK-QMMMGPOBSA-N 1 2 295.358 3.545 20 0 CHADLO CCc1ccc([N@H+](CC)C[C@@H]2C[C@]23CCOC3)cc1 ZINC001167705555 1120015305 /nfs/dbraw/zinc/01/53/05/1120015305.db2.gz MRRKCYWPMVLYGU-RDJZCZTQSA-N 1 2 259.393 3.502 20 0 CHADLO CCc1ccc([N@@H+](CC)C[C@@H]2C[C@]23CCOC3)cc1 ZINC001167705555 1120015307 /nfs/dbraw/zinc/01/53/07/1120015307.db2.gz MRRKCYWPMVLYGU-RDJZCZTQSA-N 1 2 259.393 3.502 20 0 CHADLO Fc1ccc(-c2c(F)cccc2F)cc1-n1cc[nH+]c1 ZINC001239576026 1120035514 /nfs/dbraw/zinc/03/55/14/1120035514.db2.gz RLPWWNUXTNDPEY-UHFFFAOYSA-N 1 2 274.245 3.957 20 0 CHADLO CCN(CC)C(=S)SCc1ccc(C)[nH+]c1C ZINC000186364641 1120035745 /nfs/dbraw/zinc/03/57/45/1120035745.db2.gz UKZVVUIYHZPXMK-UHFFFAOYSA-N 1 2 268.451 3.558 20 0 CHADLO CC(C)c1nc(C[N@H+](CC2CCCCC2)C2CC2)no1 ZINC000053611559 1120043186 /nfs/dbraw/zinc/04/31/86/1120043186.db2.gz VSSGEOJVYUXOJU-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO CC(C)c1nc(C[N@@H+](CC2CCCCC2)C2CC2)no1 ZINC000053611559 1120043191 /nfs/dbraw/zinc/04/31/91/1120043191.db2.gz VSSGEOJVYUXOJU-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO CC=C(CC)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921658858 1120057395 /nfs/dbraw/zinc/05/73/95/1120057395.db2.gz DWYKAACNHIBKDO-WLRTZDKTSA-N 1 2 283.375 3.988 20 0 CHADLO C/C=C(\CC)C(=O)Nc1ccc(-c2[nH]c(C)c(C)[nH+]2)cc1 ZINC000921658858 1120057397 /nfs/dbraw/zinc/05/73/97/1120057397.db2.gz DWYKAACNHIBKDO-WLRTZDKTSA-N 1 2 283.375 3.988 20 0 CHADLO Fc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)c(F)c1 ZINC001239676875 1120069522 /nfs/dbraw/zinc/06/95/22/1120069522.db2.gz CIYQNYZGISMRPX-UHFFFAOYSA-N 1 2 256.255 3.818 20 0 CHADLO CC(C)C[N@H+](Cc1noc(C2CCCCC2)n1)C1CC1 ZINC000178911820 1120087612 /nfs/dbraw/zinc/08/76/12/1120087612.db2.gz IHOWZEBYTLLAQE-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO CC(C)C[N@@H+](Cc1noc(C2CCCCC2)n1)C1CC1 ZINC000178911820 1120087617 /nfs/dbraw/zinc/08/76/17/1120087617.db2.gz IHOWZEBYTLLAQE-UHFFFAOYSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@@H+]1Cc1noc(C2CCCCC2)n1 ZINC000179007988 1120089409 /nfs/dbraw/zinc/08/94/09/1120089409.db2.gz HFKLTBZDXHYCME-QWHCGFSZSA-N 1 2 277.412 3.738 20 0 CHADLO C[C@@H]1[C@@H](C)CCC[N@H+]1Cc1noc(C2CCCCC2)n1 ZINC000179007988 1120089415 /nfs/dbraw/zinc/08/94/15/1120089415.db2.gz HFKLTBZDXHYCME-QWHCGFSZSA-N 1 2 277.412 3.738 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2cnn(C(C)=O)c2c1 ZINC001212416690 1120089544 /nfs/dbraw/zinc/08/95/44/1120089544.db2.gz FEAIVZNHSXVUAF-UHFFFAOYSA-N 1 2 294.358 3.706 20 0 CHADLO C[C@]1(CNc2ccc([NH+]3CCCC3)cc2)CCCS1 ZINC000327888554 1120093235 /nfs/dbraw/zinc/09/32/35/1120093235.db2.gz NARDNRQZRRPKAR-MRXNPFEDSA-N 1 2 276.449 3.984 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc2ccccc2o1)c1cccc(O)c1 ZINC000181228659 1120102640 /nfs/dbraw/zinc/10/26/40/1120102640.db2.gz XTKHTGICFBZYNK-NEPJUHHUSA-N 1 2 282.343 3.945 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(Cl)s1)c1cnn(C2CCC2)c1 ZINC000926829941 1120119208 /nfs/dbraw/zinc/11/92/08/1120119208.db2.gz RELSPIGPDSGCRY-SECBINFHSA-N 1 2 296.827 3.569 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccc(F)c2OC(F)F)o1 ZINC000659826997 1120120273 /nfs/dbraw/zinc/12/02/73/1120120273.db2.gz MYNCXWFNBUCMNQ-UHFFFAOYSA-N 1 2 299.292 3.961 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccc(F)c2OC(F)F)o1 ZINC000659826997 1120120275 /nfs/dbraw/zinc/12/02/75/1120120275.db2.gz MYNCXWFNBUCMNQ-UHFFFAOYSA-N 1 2 299.292 3.961 20 0 CHADLO Cc1nc(C[N@@H+](C)Cc2ccc(C(C)(C)C)cc2)co1 ZINC000659827210 1120121494 /nfs/dbraw/zinc/12/14/94/1120121494.db2.gz VLZIESMGJUEPHC-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO Cc1nc(C[N@H+](C)Cc2ccc(C(C)(C)C)cc2)co1 ZINC000659827210 1120121496 /nfs/dbraw/zinc/12/14/96/1120121496.db2.gz VLZIESMGJUEPHC-UHFFFAOYSA-N 1 2 272.392 3.913 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)c2ccon2)cc1Cl ZINC000542700910 1120122058 /nfs/dbraw/zinc/12/20/58/1120122058.db2.gz YHHXMRUXVFNKTH-VHSXEESVSA-N 1 2 280.755 3.748 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(Cl)ccc2N)[nH+]1 ZINC001212427093 1120125292 /nfs/dbraw/zinc/12/52/92/1120125292.db2.gz GWDZVVABQYXYRL-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO CC[N@H+](Cc1cc2n(n1)CCC2)Cc1cccc(Cl)c1 ZINC000649488237 1128892176 /nfs/dbraw/zinc/89/21/76/1128892176.db2.gz HJFXKPWOCXTBIP-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO CC[N@@H+](Cc1cc2n(n1)CCC2)Cc1cccc(Cl)c1 ZINC000649488237 1128892177 /nfs/dbraw/zinc/89/21/77/1128892177.db2.gz HJFXKPWOCXTBIP-UHFFFAOYSA-N 1 2 289.810 3.505 20 0 CHADLO CCOc1ccc(F)c(F)c1C[N@H+](C)Cc1ccccc1 ZINC001143486093 1120132558 /nfs/dbraw/zinc/13/25/58/1120132558.db2.gz WWNKJCXKJNNTIF-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCOc1ccc(F)c(F)c1C[N@@H+](C)Cc1ccccc1 ZINC001143486093 1120132559 /nfs/dbraw/zinc/13/25/59/1120132559.db2.gz WWNKJCXKJNNTIF-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO Cc1nc(N[C@@H]2c3cccc(F)c3CC[C@H]2C)cc[nH+]1 ZINC000431837510 1120132617 /nfs/dbraw/zinc/13/26/17/1120132617.db2.gz LZMFRSFYOZLYAD-HWPZZCPQSA-N 1 2 271.339 3.660 20 0 CHADLO Cc1cc(NCc2cc(F)ccc2F)nc(C(C)C)[nH+]1 ZINC000111235133 1120141265 /nfs/dbraw/zinc/14/12/65/1120141265.db2.gz OUXLVHSXCQBEGQ-UHFFFAOYSA-N 1 2 277.318 3.799 20 0 CHADLO F[C@H]1CCc2ccccc2[C@H]1[NH2+]Cc1cccc2c1OCC2 ZINC001203463880 1120148881 /nfs/dbraw/zinc/14/88/81/1120148881.db2.gz HZVPEXQCXXXVSP-ZWKOTPCHSA-N 1 2 297.373 3.737 20 0 CHADLO CCc1nc(C[NH2+]Cc2ccc(CC(C)C)nc2)cs1 ZINC000892894901 1120155714 /nfs/dbraw/zinc/15/57/14/1120155714.db2.gz JMIQDPPYUGFFCM-UHFFFAOYSA-N 1 2 289.448 3.589 20 0 CHADLO C[C@@H]1CCCCC[N@@H+]1Cc1noc(C2CCCCC2)n1 ZINC000362601692 1120159328 /nfs/dbraw/zinc/15/93/28/1120159328.db2.gz IZCJHHNVWHPYAV-CYBMUJFWSA-N 1 2 277.412 3.882 20 0 CHADLO C[C@@H]1CCCCC[N@H+]1Cc1noc(C2CCCCC2)n1 ZINC000362601692 1120159332 /nfs/dbraw/zinc/15/93/32/1120159332.db2.gz IZCJHHNVWHPYAV-CYBMUJFWSA-N 1 2 277.412 3.882 20 0 CHADLO Clc1snnc1C[N@H+](CC1CC1)C1CCCCC1 ZINC000057631136 1120168028 /nfs/dbraw/zinc/16/80/28/1120168028.db2.gz HQWLLPTWKONCNC-UHFFFAOYSA-N 1 2 285.844 3.736 20 0 CHADLO Cc1cc(N)nc(SCCCc2ccc(Cl)cc2)[nH+]1 ZINC000303820827 1120185020 /nfs/dbraw/zinc/18/50/20/1120185020.db2.gz MYILJFJEDDBELL-UHFFFAOYSA-N 1 2 293.823 3.746 20 0 CHADLO COc1ccc(C[NH2+][C@@H](C)c2nc3ccccc3s2)o1 ZINC000892980514 1120187128 /nfs/dbraw/zinc/18/71/28/1120187128.db2.gz MRNCVAXWEQEBRB-JTQLQIEISA-N 1 2 288.372 3.749 20 0 CHADLO Nc1ccc(Cl)cc1Nc1[nH+]cccc1C1CC1 ZINC001212433643 1120190641 /nfs/dbraw/zinc/19/06/41/1120190641.db2.gz BOTUWOBLTATWKN-UHFFFAOYSA-N 1 2 259.740 3.938 20 0 CHADLO Clc1cccc([C@@H]2CC[N@H+](Cc3ncco3)C2)c1Cl ZINC001141007749 1120190635 /nfs/dbraw/zinc/19/06/35/1120190635.db2.gz YSUKKURAZORBFY-SNVBAGLBSA-N 1 2 297.185 3.971 20 0 CHADLO Clc1cccc([C@@H]2CC[N@@H+](Cc3ncco3)C2)c1Cl ZINC001141007749 1120190637 /nfs/dbraw/zinc/19/06/37/1120190637.db2.gz YSUKKURAZORBFY-SNVBAGLBSA-N 1 2 297.185 3.971 20 0 CHADLO Cc1nc(C[N@H+](Cc2cccc(F)c2)C(C)C)co1 ZINC000659852092 1120191936 /nfs/dbraw/zinc/19/19/36/1120191936.db2.gz HFIUVFIBMKDFDE-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO Cc1nc(C[N@@H+](Cc2cccc(F)c2)C(C)C)co1 ZINC000659852092 1120191937 /nfs/dbraw/zinc/19/19/37/1120191937.db2.gz HFIUVFIBMKDFDE-UHFFFAOYSA-N 1 2 262.328 3.533 20 0 CHADLO CCN(C)c1ccc(Nc2cc(Cl)ccc2N)c[nH+]1 ZINC001212434239 1120193114 /nfs/dbraw/zinc/19/31/14/1120193114.db2.gz WQBPFAQYQRGUBT-UHFFFAOYSA-N 1 2 276.771 3.517 20 0 CHADLO CSc1cc(Nc2cc(Cl)ccc2N)cc[nH+]1 ZINC001212434274 1120193517 /nfs/dbraw/zinc/19/35/17/1120193517.db2.gz XIKHYNNKYGXZND-UHFFFAOYSA-N 1 2 265.769 3.783 20 0 CHADLO Cc1ccc2c(ccc(C)c2C(=O)Nc2cc[nH+]c(C)c2)c1 ZINC001127644900 1128897620 /nfs/dbraw/zinc/89/76/20/1128897620.db2.gz PKTVWFLFOMPAFD-UHFFFAOYSA-N 1 2 290.366 3.834 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc[nH]2)nc(C2CCC2)[nH+]1 ZINC000893021455 1120200812 /nfs/dbraw/zinc/20/08/12/1120200812.db2.gz FLMGTXJOWCRYFX-LLVKDONJSA-N 1 2 256.353 3.554 20 0 CHADLO C[C@@H]1C[N@H+](Cc2c[nH]nc2C(F)(F)F)Cc2ccccc21 ZINC001141011545 1120201417 /nfs/dbraw/zinc/20/14/17/1120201417.db2.gz HZZSBWAKPZXNNT-SNVBAGLBSA-N 1 2 295.308 3.548 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2c[nH]nc2C(F)(F)F)Cc2ccccc21 ZINC001141011545 1120201422 /nfs/dbraw/zinc/20/14/22/1120201422.db2.gz HZZSBWAKPZXNNT-SNVBAGLBSA-N 1 2 295.308 3.548 20 0 CHADLO COCC[N@H+](Cc1nc(C)oc1C)[C@H](C)c1ccccc1 ZINC000659859822 1120203705 /nfs/dbraw/zinc/20/37/05/1120203705.db2.gz OXBYSVWVAACGFI-CYBMUJFWSA-N 1 2 288.391 3.501 20 0 CHADLO COCC[N@@H+](Cc1nc(C)oc1C)[C@H](C)c1ccccc1 ZINC000659859822 1120203709 /nfs/dbraw/zinc/20/37/09/1120203709.db2.gz OXBYSVWVAACGFI-CYBMUJFWSA-N 1 2 288.391 3.501 20 0 CHADLO Cc1cc(Cl)cc(CNc2ccc(N(C)C)[nH+]c2)c1 ZINC000395132706 1120227530 /nfs/dbraw/zinc/22/75/30/1120227530.db2.gz GSKFTJHBRUJZIO-UHFFFAOYSA-N 1 2 275.783 3.722 20 0 CHADLO CCc1cc(N2C[C@H](C)C[C@@H]2c2cccnc2)nc(CC)[nH+]1 ZINC000893154985 1120241617 /nfs/dbraw/zinc/24/16/17/1120241617.db2.gz CISRPIKGIFESFW-CZUORRHYSA-N 1 2 296.418 3.584 20 0 CHADLO CCOC1CCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000672175827 1120248623 /nfs/dbraw/zinc/24/86/23/1120248623.db2.gz PSRYAHRKBDHYNG-UHFFFAOYSA-N 1 2 290.794 3.894 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(COc2ccccc2)cc1 ZINC000179665177 1120251519 /nfs/dbraw/zinc/25/15/19/1120251519.db2.gz QCLMITLSBBGPSI-UHFFFAOYSA-N 1 2 293.370 3.611 20 0 CHADLO Cc1noc(C)c1[C@@H](C)[NH2+]Cc1cc(F)c(F)cc1F ZINC000136140671 1120252805 /nfs/dbraw/zinc/25/28/05/1120252805.db2.gz DOPVYVMVOUIZQZ-SSDOTTSWSA-N 1 2 284.281 3.560 20 0 CHADLO Fc1ccc(CNc2cc3cc[nH]c3c[nH+]2)cc1Cl ZINC000672202529 1120252928 /nfs/dbraw/zinc/25/29/28/1120252928.db2.gz PAXIXJIYYZCSHF-UHFFFAOYSA-N 1 2 275.714 3.968 20 0 CHADLO Cc1cc(CNc2cc3cc[nH]c3c[nH+]2)c(C)s1 ZINC000672222149 1120255753 /nfs/dbraw/zinc/25/57/53/1120255753.db2.gz BKYXNIWMPPJSGU-UHFFFAOYSA-N 1 2 257.362 3.853 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cnn(C(C)(C)C)c2)cs1 ZINC000111406372 1120260263 /nfs/dbraw/zinc/26/02/63/1120260263.db2.gz QWTQFMTYXZLBAI-NSHDSACASA-N 1 2 292.452 3.508 20 0 CHADLO CC[C@H](C)C[C@@H](C)Nc1cc(C(=O)OC)cc2[nH+]c[nH]c21 ZINC001168691376 1120263479 /nfs/dbraw/zinc/26/34/79/1120263479.db2.gz UQSCXHOQFYALJY-WDEREUQCSA-N 1 2 289.379 3.586 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3ccnn3C3CCC3)ccc21 ZINC000921885083 1120264492 /nfs/dbraw/zinc/26/44/92/1120264492.db2.gz XSSZUBHPTGSTQL-UHFFFAOYSA-N 1 2 296.418 3.603 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3ccnn3C3CCC3)ccc21 ZINC000921885083 1120264498 /nfs/dbraw/zinc/26/44/98/1120264498.db2.gz XSSZUBHPTGSTQL-UHFFFAOYSA-N 1 2 296.418 3.603 20 0 CHADLO C[C@@H]1CN(c2cc[nH+]c3c(Cl)cccc23)[C@@H](C)[C@@H](C)O1 ZINC000672261177 1120267944 /nfs/dbraw/zinc/26/79/44/1120267944.db2.gz JTYZAJSKWHSADV-GRYCIOLGSA-N 1 2 290.794 3.890 20 0 CHADLO CC[C@@H](C)C[C@@H](C)Nc1[nH+]c(C)nc2c1ccn2C ZINC001168696496 1120291508 /nfs/dbraw/zinc/29/15/08/1120291508.db2.gz TWJJZOQJUCMIGO-GHMZBOCLSA-N 1 2 260.385 3.513 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cccc(C(F)(F)F)c2)C[C@H]1F ZINC000679220647 1120295633 /nfs/dbraw/zinc/29/56/33/1120295633.db2.gz IMVYRSYLFMRLBP-ZWNOBZJWSA-N 1 2 275.289 3.885 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cccc(C(F)(F)F)c2)C[C@H]1F ZINC000679220647 1120295636 /nfs/dbraw/zinc/29/56/36/1120295636.db2.gz IMVYRSYLFMRLBP-ZWNOBZJWSA-N 1 2 275.289 3.885 20 0 CHADLO Cc1cc(Cl)cc(C[NH2+][C@H]2CCCC2(F)F)c1 ZINC000398092957 1120296699 /nfs/dbraw/zinc/29/66/99/1120296699.db2.gz JVSJPSHIEXQHRI-LBPRGKRZSA-N 1 2 259.727 3.926 20 0 CHADLO C(=C\c1nc2ccccc2o1)\c1c[nH+]c2ccccn12 ZINC000254952908 1120303662 /nfs/dbraw/zinc/30/36/62/1120303662.db2.gz YDJUIBPZRIJQCD-HJWRWDBZSA-N 1 2 261.284 3.646 20 0 CHADLO CCCCC[C@H]([NH2+]Cc1nnc(C)o1)c1ccccc1 ZINC000181747936 1120303880 /nfs/dbraw/zinc/30/38/80/1120303880.db2.gz GLTREYYSIATVIQ-HNNXBMFYSA-N 1 2 273.380 3.789 20 0 CHADLO Cc1nc(C(F)(F)F)ccc1-c1ccc2[nH+]ccn2c1 ZINC001240219657 1120303882 /nfs/dbraw/zinc/30/38/82/1120303882.db2.gz QWYVTMUGMARWKB-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cccc(F)c2F)s1 ZINC000181969637 1120311653 /nfs/dbraw/zinc/31/16/53/1120311653.db2.gz PKABHBURVLAQSP-SECBINFHSA-N 1 2 268.332 3.581 20 0 CHADLO CC(C)(C)n1cc(CNc2c[nH+]cc3c2CCCC3)cn1 ZINC000656775838 1120339407 /nfs/dbraw/zinc/33/94/07/1120339407.db2.gz CGOGPCXBAQOHLT-UHFFFAOYSA-N 1 2 284.407 3.524 20 0 CHADLO C[NH2+]c1ccc(NCc2cc(OC)c(OC)cc2C)cc1 ZINC000629389624 1128910079 /nfs/dbraw/zinc/91/00/79/1128910079.db2.gz ZYOSOLMFFQEBHG-UHFFFAOYSA-N 1 2 286.375 3.666 20 0 CHADLO CCCn1cc(C[NH2+][C@H](c2ccco2)c2ccccc2)cn1 ZINC000116912600 1120349445 /nfs/dbraw/zinc/34/94/45/1120349445.db2.gz ZKRVTKKTBORHAP-SFHVURJKSA-N 1 2 295.386 3.765 20 0 CHADLO CC[C@H](OCCNc1cccc[nH+]1)c1ccccc1 ZINC000651392471 1120349590 /nfs/dbraw/zinc/34/95/90/1120349590.db2.gz SAPAWYMWAYKXKL-HNNXBMFYSA-N 1 2 256.349 3.661 20 0 CHADLO CC(C)CCCn1nc(C(F)(F)F)c2c[nH+]ccc21 ZINC000431886050 1120350036 /nfs/dbraw/zinc/35/00/36/1120350036.db2.gz WTCQOZRVUHXTLS-UHFFFAOYSA-N 1 2 271.286 3.886 20 0 CHADLO FC(F)[C@@H]([NH2+]CC1CC1)c1ccc(Br)cc1 ZINC000621750340 1120354694 /nfs/dbraw/zinc/35/46/94/1120354694.db2.gz NLJIMEQIQHUHAF-NSHDSACASA-N 1 2 290.151 3.755 20 0 CHADLO CC(C)CCc1ccccc1NC(=O)CCc1[nH]cc[nH+]1 ZINC000672817598 1120355796 /nfs/dbraw/zinc/35/57/96/1120355796.db2.gz MLFSJNMMBPCCDH-UHFFFAOYSA-N 1 2 285.391 3.570 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@@H+]1Cc1scnc1Cl ZINC001137823301 1120359438 /nfs/dbraw/zinc/35/94/38/1120359438.db2.gz ANQQYCSXXRWCDR-SNVBAGLBSA-N 1 2 278.808 3.743 20 0 CHADLO C[C@@H]1Cc2ccccc2C[N@H+]1Cc1scnc1Cl ZINC001137823301 1120359442 /nfs/dbraw/zinc/35/94/42/1120359442.db2.gz ANQQYCSXXRWCDR-SNVBAGLBSA-N 1 2 278.808 3.743 20 0 CHADLO COc1cccc(C[N@H+](C)[C@@H](C)c2cccnc2)c1Cl ZINC000660142073 1120396396 /nfs/dbraw/zinc/39/63/96/1120396396.db2.gz ZNBTTZWPBZVLBN-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1cccc(C[N@@H+](C)[C@@H](C)c2cccnc2)c1Cl ZINC000660142073 1120396401 /nfs/dbraw/zinc/39/64/01/1120396401.db2.gz ZNBTTZWPBZVLBN-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO Cc1ncc(CSCCc2[nH+]cc(C)cc2C)s1 ZINC000584598032 1120397350 /nfs/dbraw/zinc/39/73/50/1120397350.db2.gz CPPBMTYIAUXSQS-UHFFFAOYSA-N 1 2 278.446 3.939 20 0 CHADLO CC[N@H+](Cc1nsc2ccccc12)Cc1ccncc1 ZINC000660162188 1120406545 /nfs/dbraw/zinc/40/65/45/1120406545.db2.gz LFOBIEZOAWWQPL-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO CC[N@@H+](Cc1nsc2ccccc12)Cc1ccncc1 ZINC000660162188 1120406548 /nfs/dbraw/zinc/40/65/48/1120406548.db2.gz LFOBIEZOAWWQPL-UHFFFAOYSA-N 1 2 283.400 3.713 20 0 CHADLO Cc1occc1C[NH2+]Cc1csc(C(C)(C)C)n1 ZINC000067217759 1120433918 /nfs/dbraw/zinc/43/39/18/1120433918.db2.gz AAFSCOUPFIRTQE-UHFFFAOYSA-N 1 2 264.394 3.632 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)C(F)F)c1cc2ccncc2s1 ZINC000641792545 1120448675 /nfs/dbraw/zinc/44/86/75/1120448675.db2.gz WVBLMMBKMCKUHG-SFYZADRCSA-N 1 2 256.321 3.601 20 0 CHADLO CC/C=C/CC[N@@H+]1CCCC[C@H]1c1noc(CC)n1 ZINC000364138578 1120453890 /nfs/dbraw/zinc/45/38/90/1120453890.db2.gz JVHJPSNEDSUDJR-GFUIURDCSA-N 1 2 263.385 3.515 20 0 CHADLO CC/C=C/CC[N@H+]1CCCC[C@H]1c1noc(CC)n1 ZINC000364138578 1120453895 /nfs/dbraw/zinc/45/38/95/1120453895.db2.gz JVHJPSNEDSUDJR-GFUIURDCSA-N 1 2 263.385 3.515 20 0 CHADLO CC(C)c1cccc(NC(=O)C[C@H](C)n2cc[nH+]c2)c1 ZINC000584842939 1120463580 /nfs/dbraw/zinc/46/35/80/1120463580.db2.gz JOGASASVWQZLKA-ZDUSSCGKSA-N 1 2 271.364 3.596 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(C2CC2)no1)c1nc(C)cs1 ZINC000660251937 1120466580 /nfs/dbraw/zinc/46/65/80/1120466580.db2.gz FHQFKWTZKPXHBL-GFCCVEGCSA-N 1 2 277.393 3.558 20 0 CHADLO Cc1cc(C[N@@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)n(C)n1 ZINC000417708358 1120474920 /nfs/dbraw/zinc/47/49/20/1120474920.db2.gz VTEBMQRAXXDDKH-SJCJKPOMSA-N 1 2 299.418 3.610 20 0 CHADLO Cc1cc(C[N@H+](Cc2ccc([C@H]3C[C@@H]3C)o2)C2CC2)n(C)n1 ZINC000417708358 1120474925 /nfs/dbraw/zinc/47/49/25/1120474925.db2.gz VTEBMQRAXXDDKH-SJCJKPOMSA-N 1 2 299.418 3.610 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(C)c(-c2nc(C)co2)c1 ZINC000417844235 1120485494 /nfs/dbraw/zinc/48/54/94/1120485494.db2.gz RMCHVWKOCDXMNW-UHFFFAOYSA-N 1 2 296.374 3.787 20 0 CHADLO CC[C@@H]1[C@@H](C)CC[N@@H+]1Cc1ncsc1Br ZINC000660317488 1120495223 /nfs/dbraw/zinc/49/52/23/1120495223.db2.gz PZMOOBHPAXNYIM-WCBMZHEXSA-N 1 2 289.242 3.526 20 0 CHADLO CC[C@@H]1[C@@H](C)CC[N@H+]1Cc1ncsc1Br ZINC000660317488 1120495226 /nfs/dbraw/zinc/49/52/26/1120495226.db2.gz PZMOOBHPAXNYIM-WCBMZHEXSA-N 1 2 289.242 3.526 20 0 CHADLO COc1ccc(F)cc1[C@H](C)[NH2+]Cc1cnc(C2CC2)o1 ZINC000651470788 1120518132 /nfs/dbraw/zinc/51/81/32/1120518132.db2.gz UMMXZMVNPVCBPF-JTQLQIEISA-N 1 2 290.338 3.551 20 0 CHADLO F[C@H]1CCc2ccccc2[C@@H]1[NH2+]Cc1ccnn1C1CCC1 ZINC000922336564 1120520341 /nfs/dbraw/zinc/52/03/41/1120520341.db2.gz ZDOUEVFZVRBLPM-ROUUACIJSA-N 1 2 299.393 3.723 20 0 CHADLO F[C@H]1CCCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000685917633 1120542536 /nfs/dbraw/zinc/54/25/36/1120542536.db2.gz LUVGQQBCJOPVMF-JTQLQIEISA-N 1 2 264.731 3.827 20 0 CHADLO c1nc(C[NH2+][C@@H](COc2ccccc2)c2ccccc2)co1 ZINC000428867940 1120544219 /nfs/dbraw/zinc/54/42/19/1120544219.db2.gz RHKJCGWWOIWYOF-SFHVURJKSA-N 1 2 294.354 3.585 20 0 CHADLO Cc1ccc(F)cc1C[NH2+][C@@H]1c2ccccc2OC[C@@H]1F ZINC000922521691 1120547145 /nfs/dbraw/zinc/54/71/45/1120547145.db2.gz XPPVHZFXVKHIDE-DOTOQJQBSA-N 1 2 289.325 3.696 20 0 CHADLO Cc1ccc(F)cc1C[NH2+][C@@H]1c2ccccc2OC[C@H]1F ZINC000922521693 1120547308 /nfs/dbraw/zinc/54/73/08/1120547308.db2.gz XPPVHZFXVKHIDE-NVXWUHKLSA-N 1 2 289.325 3.696 20 0 CHADLO COCc1cc[nH+]c(NC2CC(c3ccccc3F)C2)c1 ZINC000652068586 1120577685 /nfs/dbraw/zinc/57/76/85/1120577685.db2.gz IACPXGZVNIMQOH-UHFFFAOYSA-N 1 2 286.350 3.725 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2C[C@@H](c3ccccc3)[C@@H]2C)c1 ZINC000652068499 1120577833 /nfs/dbraw/zinc/57/78/33/1120577833.db2.gz FWQDILNQKCGJCJ-IAOVAPTHSA-N 1 2 282.387 3.832 20 0 CHADLO Cc1ccc([C@H]2CCC[N@@H+]2Cc2ccn(C(F)F)n2)s1 ZINC000347728223 1120578863 /nfs/dbraw/zinc/57/88/63/1120578863.db2.gz UYYLSNGFOTZVLG-GFCCVEGCSA-N 1 2 297.374 3.985 20 0 CHADLO Cc1ccc([C@H]2CCC[N@H+]2Cc2ccn(C(F)F)n2)s1 ZINC000347728223 1120578867 /nfs/dbraw/zinc/57/88/67/1120578867.db2.gz UYYLSNGFOTZVLG-GFCCVEGCSA-N 1 2 297.374 3.985 20 0 CHADLO C[N@H+](Cc1cc(C2CC2)no1)Cc1ccc(F)c(Cl)c1 ZINC000660419022 1120590768 /nfs/dbraw/zinc/59/07/68/1120590768.db2.gz UXBNHOPQYNDICU-UHFFFAOYSA-N 1 2 294.757 3.977 20 0 CHADLO C[N@@H+](Cc1cc(C2CC2)no1)Cc1ccc(F)c(Cl)c1 ZINC000660419022 1120590773 /nfs/dbraw/zinc/59/07/73/1120590773.db2.gz UXBNHOPQYNDICU-UHFFFAOYSA-N 1 2 294.757 3.977 20 0 CHADLO COc1cc(C[NH2+][C@@H]2CCCC2(F)F)ccc1SC ZINC000673618609 1120603668 /nfs/dbraw/zinc/60/36/68/1120603668.db2.gz VAVGVSBPNOAOKV-CYBMUJFWSA-N 1 2 287.375 3.695 20 0 CHADLO c1[nH]nnc1C[NH2+]C1CCCCCCCCCCC1 ZINC000398383011 1120615808 /nfs/dbraw/zinc/61/58/08/1120615808.db2.gz UYTSEXFFYXOJJR-UHFFFAOYSA-N 1 2 264.417 3.568 20 0 CHADLO c1n[nH]nc1C[NH2+]C1CCCCCCCCCCC1 ZINC000398383011 1120615811 /nfs/dbraw/zinc/61/58/11/1120615811.db2.gz UYTSEXFFYXOJJR-UHFFFAOYSA-N 1 2 264.417 3.568 20 0 CHADLO Oc1cccc(C[NH2+][C@@H]2CCCc3cccnc32)c1Cl ZINC000922878186 1120615830 /nfs/dbraw/zinc/61/58/30/1120615830.db2.gz HVBNWNMUCYVULE-CYBMUJFWSA-N 1 2 288.778 3.608 20 0 CHADLO Clc1cccc2c1C[C@@H]([NH+]1CC(Oc3ccccc3)C1)C2 ZINC001168736753 1120623019 /nfs/dbraw/zinc/62/30/19/1120623019.db2.gz ZZJLGICTXNVAAL-AWEZNQCLSA-N 1 2 299.801 3.570 20 0 CHADLO C[N@H+](Cc1nc(-c2cccc(F)c2)no1)Cc1ccccc1 ZINC000109605126 1120625861 /nfs/dbraw/zinc/62/58/61/1120625861.db2.gz YIIRCSRZOAXDOI-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1nc(-c2cccc(F)c2)no1)Cc1ccccc1 ZINC000109605126 1120625863 /nfs/dbraw/zinc/62/58/63/1120625863.db2.gz YIIRCSRZOAXDOI-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@H+](C)Cc1cc(O)ccc1Cl ZINC000625255857 1120657027 /nfs/dbraw/zinc/65/70/27/1120657027.db2.gz WLQNPFZDZIWGGQ-NSHDSACASA-N 1 2 291.778 3.944 20 0 CHADLO C[C@@H](c1cccc(O)c1)[N@@H+](C)Cc1cc(O)ccc1Cl ZINC000625255857 1120657031 /nfs/dbraw/zinc/65/70/31/1120657031.db2.gz WLQNPFZDZIWGGQ-NSHDSACASA-N 1 2 291.778 3.944 20 0 CHADLO Cc1ccc2sc(C[NH2+][C@H](C)c3ccccn3)nc2c1 ZINC000625318300 1120667852 /nfs/dbraw/zinc/66/78/52/1120667852.db2.gz OHWNPFFIFRSWLG-GFCCVEGCSA-N 1 2 283.400 3.851 20 0 CHADLO C[C@H](c1ccc(Cl)cc1Cl)N1CCc2[nH+]c[nH]c2C1 ZINC000625438455 1120680749 /nfs/dbraw/zinc/68/07/49/1120680749.db2.gz RTTDJWPEQHNXEJ-SECBINFHSA-N 1 2 296.201 3.836 20 0 CHADLO Cc1nc([C@H]2CCCC[N@@H+]2Cc2cccc3c2CCC3)no1 ZINC000651621850 1120684536 /nfs/dbraw/zinc/68/45/36/1120684536.db2.gz FUYLHHBEHXIRDA-QGZVFWFLSA-N 1 2 297.402 3.594 20 0 CHADLO Cc1nc([C@H]2CCCC[N@H+]2Cc2cccc3c2CCC3)no1 ZINC000651621850 1120684540 /nfs/dbraw/zinc/68/45/40/1120684540.db2.gz FUYLHHBEHXIRDA-QGZVFWFLSA-N 1 2 297.402 3.594 20 0 CHADLO COc1cccc(CSCCc2[nH+]cc(C)cc2C)n1 ZINC000592454275 1120699733 /nfs/dbraw/zinc/69/97/33/1120699733.db2.gz PLUWKIZUUHBOCB-UHFFFAOYSA-N 1 2 288.416 3.578 20 0 CHADLO COc1cc(C[NH2+]C2(c3ccccc3Cl)CCC2)on1 ZINC000660911631 1120701607 /nfs/dbraw/zinc/70/16/07/1120701607.db2.gz VGSAFPKFMKBRTL-UHFFFAOYSA-N 1 2 292.766 3.506 20 0 CHADLO C[N@H+](Cc1nnc(C(C)(C)C)o1)[C@H]1CCCc2ccccc21 ZINC000027224191 1120710980 /nfs/dbraw/zinc/71/09/80/1120710980.db2.gz KQASPLTWQZZVAN-HNNXBMFYSA-N 1 2 299.418 3.877 20 0 CHADLO C[N@@H+](Cc1nnc(C(C)(C)C)o1)[C@H]1CCCc2ccccc21 ZINC000027224191 1120710984 /nfs/dbraw/zinc/71/09/84/1120710984.db2.gz KQASPLTWQZZVAN-HNNXBMFYSA-N 1 2 299.418 3.877 20 0 CHADLO CC[C@H](C)[N@H+](CC)Cc1noc(Cc2ccccc2C)n1 ZINC000661109892 1120719779 /nfs/dbraw/zinc/71/97/79/1120719779.db2.gz UTPWNUXXDUANDQ-AWEZNQCLSA-N 1 2 287.407 3.589 20 0 CHADLO CC[C@H](C)[N@@H+](CC)Cc1noc(Cc2ccccc2C)n1 ZINC000661109892 1120719784 /nfs/dbraw/zinc/71/97/84/1120719784.db2.gz UTPWNUXXDUANDQ-AWEZNQCLSA-N 1 2 287.407 3.589 20 0 CHADLO CC(C)(C)c1cnc(C[NH+]2CCC(c3ccco3)CC2)o1 ZINC000661163594 1120729796 /nfs/dbraw/zinc/72/97/96/1120729796.db2.gz NLTPTCNWXSPBIQ-UHFFFAOYSA-N 1 2 288.391 3.945 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169539 1120731485 /nfs/dbraw/zinc/73/14/85/1120731485.db2.gz MNEHOXWRRGZUNI-MEDUHNTESA-N 1 2 281.346 3.527 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+]1CCO[C@@](C)(C2CC2)C1 ZINC000661169539 1120731488 /nfs/dbraw/zinc/73/14/88/1120731488.db2.gz MNEHOXWRRGZUNI-MEDUHNTESA-N 1 2 281.346 3.527 20 0 CHADLO COc1ccsc1C[N@H+](C)Cc1cccc(F)c1F ZINC001141329394 1120746546 /nfs/dbraw/zinc/74/65/46/1120746546.db2.gz VDTBJDYYGIJANU-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO COc1ccsc1C[N@@H+](C)Cc1cccc(F)c1F ZINC001141329394 1120746550 /nfs/dbraw/zinc/74/65/50/1120746550.db2.gz VDTBJDYYGIJANU-UHFFFAOYSA-N 1 2 283.343 3.667 20 0 CHADLO Cc1cccc2c1N(C(=O)CCCn1cc[nH+]c1)CC[C@@H]2C ZINC000661387857 1120759697 /nfs/dbraw/zinc/75/96/97/1120759697.db2.gz HVPICTDLXGNKAU-AWEZNQCLSA-N 1 2 297.402 3.512 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CCCC3)[C@H]2c2ccco2)nc(C)n1 ZINC000661435452 1120765977 /nfs/dbraw/zinc/76/59/77/1120765977.db2.gz NZLFQOJIRDWLHX-QGZVFWFLSA-N 1 2 297.402 3.804 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CCCC3)[C@H]2c2ccco2)nc(C)n1 ZINC000661435452 1120765979 /nfs/dbraw/zinc/76/59/79/1120765979.db2.gz NZLFQOJIRDWLHX-QGZVFWFLSA-N 1 2 297.402 3.804 20 0 CHADLO CC(C)(C)n1cc(C[N@@H+]2CC[C@H]2c2ccc(F)cc2)cn1 ZINC000661506652 1120772483 /nfs/dbraw/zinc/77/24/83/1120772483.db2.gz GSHZMEAZBXSOPR-INIZCTEOSA-N 1 2 287.382 3.724 20 0 CHADLO CC(C)(C)n1cc(C[N@H+]2CC[C@H]2c2ccc(F)cc2)cn1 ZINC000661506652 1120772486 /nfs/dbraw/zinc/77/24/86/1120772486.db2.gz GSHZMEAZBXSOPR-INIZCTEOSA-N 1 2 287.382 3.724 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@@H]2c2ccc(F)cc2)cc1F ZINC000661513267 1120772855 /nfs/dbraw/zinc/77/28/55/1120772855.db2.gz NNPUASNTLLVTBZ-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@@H]2c2ccc(F)cc2)cc1F ZINC000661513267 1120772858 /nfs/dbraw/zinc/77/28/58/1120772858.db2.gz NNPUASNTLLVTBZ-MRXNPFEDSA-N 1 2 289.325 3.920 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cnc(C3CCCC3)s2)[C@H](C)CO1 ZINC000651930796 1120783782 /nfs/dbraw/zinc/78/37/82/1120783782.db2.gz CNOYEPDDGZJBFD-TZMCWYRMSA-N 1 2 294.464 3.800 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cnc(C3CCCC3)s2)[C@H](C)CO1 ZINC000651930796 1120783777 /nfs/dbraw/zinc/78/37/77/1120783777.db2.gz CNOYEPDDGZJBFD-TZMCWYRMSA-N 1 2 294.464 3.800 20 0 CHADLO FCC[C@@H]1CCC[N@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000661691086 1120795477 /nfs/dbraw/zinc/79/54/77/1120795477.db2.gz SGTBAUDVBJMQOI-VIFPVBQESA-N 1 2 296.333 3.734 20 0 CHADLO FCC[C@@H]1CCC[N@@H+](Cc2nc(C(F)(F)F)cs2)C1 ZINC000661691086 1120795480 /nfs/dbraw/zinc/79/54/80/1120795480.db2.gz SGTBAUDVBJMQOI-VIFPVBQESA-N 1 2 296.333 3.734 20 0 CHADLO Cc1ccc([C@@H](C)[N@@H+](C)Cc2nc(C(C)C)no2)cc1 ZINC000047960006 1120798991 /nfs/dbraw/zinc/79/89/91/1120798991.db2.gz RJDIZUZKWBIIKA-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO Cc1ccc([C@@H](C)[N@H+](C)Cc2nc(C(C)C)no2)cc1 ZINC000047960006 1120798995 /nfs/dbraw/zinc/79/89/95/1120798995.db2.gz RJDIZUZKWBIIKA-CYBMUJFWSA-N 1 2 273.380 3.694 20 0 CHADLO CC(F)(F)C[NH2+][C@H](c1cccnc1)C1CCCCC1 ZINC000652202172 1120799857 /nfs/dbraw/zinc/79/98/57/1120799857.db2.gz BTTNCCIKFPEPCS-AWEZNQCLSA-N 1 2 268.351 3.948 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]C1(c2ccccc2C)CCC1 ZINC000652218075 1120800833 /nfs/dbraw/zinc/80/08/33/1120800833.db2.gz VMAMPPNZFHYXHM-ZDUSSCGKSA-N 1 2 269.392 3.757 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2cccc(F)c2)c1 ZINC000652329826 1120827917 /nfs/dbraw/zinc/82/79/17/1120827917.db2.gz KNRCJNYSCCLQJF-NSHDSACASA-N 1 2 260.312 3.540 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)c2ccc(C)cc2)c1 ZINC000652330085 1120828019 /nfs/dbraw/zinc/82/80/19/1120828019.db2.gz UBPUGZPSVHCHED-ZDUSSCGKSA-N 1 2 256.349 3.710 20 0 CHADLO COCc1cc[nH+]c(N[C@H](C)c2ccc(C)cc2)c1 ZINC000652330084 1120828107 /nfs/dbraw/zinc/82/81/07/1120828107.db2.gz UBPUGZPSVHCHED-CYBMUJFWSA-N 1 2 256.349 3.710 20 0 CHADLO COCc1cc[nH+]c(NC2CCc3ccccc3CC2)c1 ZINC000652331207 1120829562 /nfs/dbraw/zinc/82/95/62/1120829562.db2.gz AEQUSHGYUPFDOA-UHFFFAOYSA-N 1 2 282.387 3.588 20 0 CHADLO CC[C@@H](Nc1cc(COC)cc[nH+]1)c1ccc(OC)cc1 ZINC000652331060 1120830104 /nfs/dbraw/zinc/83/01/04/1120830104.db2.gz WWOCEZMVRIWLBB-MRXNPFEDSA-N 1 2 286.375 3.800 20 0 CHADLO FC1(F)CCC(c2csc(Cn3cc[nH+]c3)n2)CC1 ZINC000663220015 1120892684 /nfs/dbraw/zinc/89/26/84/1120892684.db2.gz MIJWAFRYAQEMJM-UHFFFAOYSA-N 1 2 283.347 3.681 20 0 CHADLO C(c1noc(C2CC2)n1)[N@@H+]1CCC[C@H](C2CCCCC2)C1 ZINC000662202063 1120897796 /nfs/dbraw/zinc/89/77/96/1120897796.db2.gz QYZLWRVRDYYLAS-HNNXBMFYSA-N 1 2 289.423 3.739 20 0 CHADLO C(c1noc(C2CC2)n1)[N@H+]1CCC[C@H](C2CCCCC2)C1 ZINC000662202063 1120897799 /nfs/dbraw/zinc/89/77/99/1120897799.db2.gz QYZLWRVRDYYLAS-HNNXBMFYSA-N 1 2 289.423 3.739 20 0 CHADLO Cc1cccc([C@H](C)[N@@H+]2CCO[C@@H](CC(F)(F)F)C2)c1 ZINC000662230575 1120906883 /nfs/dbraw/zinc/90/68/83/1120906883.db2.gz BPWIUWDSFLGFSK-JSGCOSHPSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1cccc([C@H](C)[N@H+]2CCO[C@@H](CC(F)(F)F)C2)c1 ZINC000662230575 1120906885 /nfs/dbraw/zinc/90/68/85/1120906885.db2.gz BPWIUWDSFLGFSK-JSGCOSHPSA-N 1 2 287.325 3.709 20 0 CHADLO Cc1cc(C)c(C(=O)N[C@@H]2CC[C@@H](C)C[C@H]2C)c(C)[nH+]1 ZINC001126217576 1120910013 /nfs/dbraw/zinc/91/00/13/1120910013.db2.gz BVOQSJMNFYXCAK-UEKVPHQBSA-N 1 2 274.408 3.561 20 0 CHADLO C[C@]1(C(F)F)C[N@@H+]([C@H]2CCCc3ccc(F)cc32)CCO1 ZINC000662370988 1120931829 /nfs/dbraw/zinc/93/18/29/1120931829.db2.gz GAWKQVGBTITTJZ-GOEBONIOSA-N 1 2 299.336 3.559 20 0 CHADLO C[C@]1(C(F)F)C[N@H+]([C@H]2CCCc3ccc(F)cc32)CCO1 ZINC000662370988 1120931832 /nfs/dbraw/zinc/93/18/32/1120931832.db2.gz GAWKQVGBTITTJZ-GOEBONIOSA-N 1 2 299.336 3.559 20 0 CHADLO Cc1ccc2nc(C[NH2+]Cc3ccccc3Cl)cn2c1 ZINC000066862909 1120951847 /nfs/dbraw/zinc/95/18/47/1120951847.db2.gz KHQZRMIWNBWMHW-UHFFFAOYSA-N 1 2 285.778 3.586 20 0 CHADLO Fc1cccc(COc2cccc(-n3cc[nH+]c3)c2)c1 ZINC000343906935 1120955363 /nfs/dbraw/zinc/95/53/63/1120955363.db2.gz JFYCRFNVCGYZTL-UHFFFAOYSA-N 1 2 268.291 3.590 20 0 CHADLO CC(C)C[C@H](C(=O)N(C)C1CCCCCC1)n1cc[nH+]c1 ZINC001141389488 1120990355 /nfs/dbraw/zinc/99/03/55/1120990355.db2.gz NPCOZVHKPRPCQM-MRXNPFEDSA-N 1 2 291.439 3.652 20 0 CHADLO CC[N@H+](Cc1cncc(OC)c1)Cc1ccccc1Cl ZINC000343968688 1121005827 /nfs/dbraw/zinc/00/58/27/1121005827.db2.gz NSZRYSYIJNSEPG-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO CC[N@@H+](Cc1cncc(OC)c1)Cc1ccccc1Cl ZINC000343968688 1121005832 /nfs/dbraw/zinc/00/58/32/1121005832.db2.gz NSZRYSYIJNSEPG-UHFFFAOYSA-N 1 2 290.794 3.766 20 0 CHADLO COc1cc(Nc2cncc(C(F)(F)F)c2)cc(C)[nH+]1 ZINC001174741234 1121019391 /nfs/dbraw/zinc/01/93/91/1121019391.db2.gz IUQDESJHCYKMFJ-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO Cc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)cc1O ZINC001211697899 1121023156 /nfs/dbraw/zinc/02/31/56/1121023156.db2.gz IDVJJNWQMBOKRR-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO CC[C@H](c1ccc(Cl)cc1)N1CCc2c([nH+]cn2C)C1 ZINC000369177065 1121024554 /nfs/dbraw/zinc/02/45/54/1121024554.db2.gz NYFYFSWTPUIHEF-OAHLLOKOSA-N 1 2 289.810 3.583 20 0 CHADLO Fc1cccc([C@@H]2CC[N@@H+]2Cc2cccc3c2OCCO3)c1 ZINC000674011036 1121039126 /nfs/dbraw/zinc/03/91/26/1121039126.db2.gz UDLSZYSFZYSHBH-INIZCTEOSA-N 1 2 299.345 3.544 20 0 CHADLO Fc1cccc([C@@H]2CC[N@H+]2Cc2cccc3c2OCCO3)c1 ZINC000674011036 1121039133 /nfs/dbraw/zinc/03/91/33/1121039133.db2.gz UDLSZYSFZYSHBH-INIZCTEOSA-N 1 2 299.345 3.544 20 0 CHADLO CC(C)c1nc(C[NH+]2C[C@@H](C)C(F)(F)[C@H](C)C2)cs1 ZINC000625648650 1121044087 /nfs/dbraw/zinc/04/40/87/1121044087.db2.gz RRBVNMQZUNWQKL-GHMZBOCLSA-N 1 2 288.407 3.990 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3c(c2)OCO3)c1 ZINC001174863856 1121071757 /nfs/dbraw/zinc/07/17/57/1121071757.db2.gz MIAUWHABNYGMHG-UHFFFAOYSA-N 1 2 256.305 3.506 20 0 CHADLO C[C@@H](c1ccc(C(C)(C)C)cc1)[N@@H+]1CCn2nccc2C1 ZINC001174863301 1121072305 /nfs/dbraw/zinc/07/23/05/1121072305.db2.gz LHIVAPBFAABOSY-AWEZNQCLSA-N 1 2 283.419 3.757 20 0 CHADLO C[C@@H](c1ccc(C(C)(C)C)cc1)[N@H+]1CCn2nccc2C1 ZINC001174863301 1121072314 /nfs/dbraw/zinc/07/23/14/1121072314.db2.gz LHIVAPBFAABOSY-AWEZNQCLSA-N 1 2 283.419 3.757 20 0 CHADLO C[C@H](CC(=O)N[C@@H](c1ccccc1)C(C)(C)C)n1cc[nH+]c1 ZINC000649606759 1128961020 /nfs/dbraw/zinc/96/10/20/1128961020.db2.gz QTSWAYZHJRQLNF-PBHICJAKSA-N 1 2 299.418 3.738 20 0 CHADLO CC(C)=CCC[C@@H](C)Nc1ccc(Cn2cc[nH+]c2)cn1 ZINC000348829851 1121085752 /nfs/dbraw/zinc/08/57/52/1121085752.db2.gz AORXLIYKGLQYFH-OAHLLOKOSA-N 1 2 284.407 3.873 20 0 CHADLO CC(C)OC(=O)c1cccc(Nc2cccc3[nH+]ccn32)c1 ZINC001174914052 1121089971 /nfs/dbraw/zinc/08/99/71/1121089971.db2.gz OHUBJWLVXHPNKZ-UHFFFAOYSA-N 1 2 295.342 3.643 20 0 CHADLO Cc1ccc(C[NH2+]C2(C(F)F)CCCC2)cc1F ZINC000674144038 1121093231 /nfs/dbraw/zinc/09/32/31/1121093231.db2.gz OAAGGVCEOPQHFY-UHFFFAOYSA-N 1 2 257.299 3.802 20 0 CHADLO Cc1cc(C)c(Nc2cc(F)c(F)c(F)c2)c[nH+]1 ZINC001174954880 1121110304 /nfs/dbraw/zinc/11/03/04/1121110304.db2.gz DKHPLOZQBBWGGM-UHFFFAOYSA-N 1 2 252.239 3.859 20 0 CHADLO Fc1cc(Nc2ccc(N3CCCC3)[nH+]c2)cc(F)c1F ZINC001174958180 1121112006 /nfs/dbraw/zinc/11/20/06/1121112006.db2.gz MINFKBBNHUCSSJ-UHFFFAOYSA-N 1 2 293.292 3.843 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cc(F)c(F)c(F)c3)ccc21 ZINC001174960433 1121112633 /nfs/dbraw/zinc/11/26/33/1121112633.db2.gz ZNKGKSDHRRNVEW-UHFFFAOYSA-N 1 2 277.249 3.734 20 0 CHADLO Cc1ccc(F)c(C(=O)Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC000171405450 1121113205 /nfs/dbraw/zinc/11/32/05/1121113205.db2.gz ZCNLJEWARXIRLG-UHFFFAOYSA-N 1 2 295.317 3.777 20 0 CHADLO CN(C)c1cccc(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001174963673 1121115231 /nfs/dbraw/zinc/11/52/31/1121115231.db2.gz ZSWQBLVWPDJLKM-UHFFFAOYSA-N 1 2 292.386 3.741 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@@H+]1Cc2ccc(O)cc2C1 ZINC000625727596 1121119603 /nfs/dbraw/zinc/11/96/03/1121119603.db2.gz ZRAMAMMJBNPIPD-QGZVFWFLSA-N 1 2 271.335 3.998 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[N@H+]1Cc2ccc(O)cc2C1 ZINC000625727596 1121119607 /nfs/dbraw/zinc/11/96/07/1121119607.db2.gz ZRAMAMMJBNPIPD-QGZVFWFLSA-N 1 2 271.335 3.998 20 0 CHADLO C[C@@H]1CCC[C@@H](NC(=O)c2cccc(-n3cc[nH+]c3)c2)CC1 ZINC000344212955 1121120662 /nfs/dbraw/zinc/12/06/62/1121120662.db2.gz SXGUARPVMXHIJY-GDBMZVCRSA-N 1 2 297.402 3.571 20 0 CHADLO COc1c(C)cc(Nc2cccn3cc[nH+]c23)cc1C ZINC001174997832 1121124796 /nfs/dbraw/zinc/12/47/96/1121124796.db2.gz NPNUPXUJOJXXMJ-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COc1c(C)cc(-n2c(C)[nH+]c3ccc(N)cc32)cc1C ZINC001174998461 1121125805 /nfs/dbraw/zinc/12/58/05/1121125805.db2.gz UMAPXBAATCPPMD-UHFFFAOYSA-N 1 2 281.359 3.542 20 0 CHADLO CCOc1cc(F)cc(Nc2ccc(N(C)CC)[nH+]c2)c1 ZINC001175003306 1121127573 /nfs/dbraw/zinc/12/75/73/1121127573.db2.gz YGMQTOWTSBILKX-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO COc1cc(F)cc(Nc2cccc(-n3cc[nH+]c3)c2)c1 ZINC001175010261 1121132745 /nfs/dbraw/zinc/13/27/45/1121132745.db2.gz PBUMDHNFIGXCIB-UHFFFAOYSA-N 1 2 283.306 3.764 20 0 CHADLO CCOc1cc(F)c(Nc2cccc3[nH+]ccn32)cc1F ZINC001175057549 1121143226 /nfs/dbraw/zinc/14/32/26/1121143226.db2.gz LAUDZJPCHYRMAQ-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CCOc1ccc(Nc2ccc(C)[nH+]c2C)cc1F ZINC001175066159 1121145917 /nfs/dbraw/zinc/14/59/17/1121145917.db2.gz LNJDEKNYDATNQU-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO COc1ccccc1[C@@H](C)OCc1cc(C)[nH+]c(C)c1 ZINC001223418894 1121148077 /nfs/dbraw/zinc/14/80/77/1121148077.db2.gz COFLXUQVPSDCLC-CQSZACIVSA-N 1 2 271.360 3.985 20 0 CHADLO CCOc1cc(Nc2ccc3c(c2)[nH+]cn3C)ccc1F ZINC001175055015 1121163592 /nfs/dbraw/zinc/16/35/92/1121163592.db2.gz TYEIGBITIZLJAT-UHFFFAOYSA-N 1 2 285.322 3.855 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2c(F)cc(O)cc2F)cc1 ZINC001175103104 1121165825 /nfs/dbraw/zinc/16/58/25/1121165825.db2.gz TUTBACJDUOQROP-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2c(F)cc(O)cc2F)cc1 ZINC001175103104 1121165829 /nfs/dbraw/zinc/16/58/29/1121165829.db2.gz TUTBACJDUOQROP-UHFFFAOYSA-N 1 2 278.302 3.870 20 0 CHADLO COc1cc(C)c(Nc2cccn3cc[nH+]c23)cc1C ZINC001175111433 1121170115 /nfs/dbraw/zinc/17/01/15/1121170115.db2.gz PRKNPMXTAHCKQM-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO CCOc1cccc(Nc2[nH+]cccc2N2CCCC2)c1 ZINC001175092790 1121181750 /nfs/dbraw/zinc/18/17/50/1121181750.db2.gz XZJFGKYOBMREJA-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO CCOc1cccc(Nc2ccc3c(c2)[nH+]cn3C)c1 ZINC001175092632 1121181874 /nfs/dbraw/zinc/18/18/74/1121181874.db2.gz FNERUIXWDCXCBW-UHFFFAOYSA-N 1 2 267.332 3.716 20 0 CHADLO Cc1cc([NH+](C)C)ccc1N[C@H]1CCO[C@@H](C(C)C)C1 ZINC000070349255 1128968108 /nfs/dbraw/zinc/96/81/08/1128968108.db2.gz HFXLHDQODFQAMI-WMLDXEAASA-N 1 2 276.424 3.677 20 0 CHADLO Cc1cc(N(C)C)ccc1[NH2+][C@H]1CCO[C@@H](C(C)C)C1 ZINC000070349255 1128968110 /nfs/dbraw/zinc/96/81/10/1128968110.db2.gz HFXLHDQODFQAMI-WMLDXEAASA-N 1 2 276.424 3.677 20 0 CHADLO CCOc1cc(Nc2[nH+]cccc2N)cc(C(F)(F)F)c1 ZINC001175138629 1121197971 /nfs/dbraw/zinc/19/79/71/1121197971.db2.gz HCIZRCYXXKIWAT-UHFFFAOYSA-N 1 2 297.280 3.825 20 0 CHADLO Fc1cc(Nc2ccn3cc[nH+]c3c2)ccc1Cl ZINC001175205469 1121207830 /nfs/dbraw/zinc/20/78/30/1121207830.db2.gz MPJKFCWCANHGNG-UHFFFAOYSA-N 1 2 261.687 3.870 20 0 CHADLO CCOc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1C ZINC001175166125 1121212990 /nfs/dbraw/zinc/21/29/90/1121212990.db2.gz GIQCQMRFHJLKOZ-UHFFFAOYSA-N 1 2 271.364 3.598 20 0 CHADLO CCOc1cc(Nc2c[nH+]ccc2OC)c(F)cc1F ZINC001212528617 1121215297 /nfs/dbraw/zinc/21/52/97/1121215297.db2.gz GBMNLUFCFCZSFR-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO Fc1ccc(F)c(C[NH2+][C@H]2COc3c2cccc3Cl)c1 ZINC000675103383 1121216612 /nfs/dbraw/zinc/21/66/12/1121216612.db2.gz QTZMIEXEDABKRH-AWEZNQCLSA-N 1 2 295.716 3.842 20 0 CHADLO Cc1ccc(NCc2csc(-c3ccccn3)n2)c(C)[nH+]1 ZINC000094664416 1121219645 /nfs/dbraw/zinc/21/96/45/1121219645.db2.gz OHOLCAANELZNHX-UHFFFAOYSA-N 1 2 296.399 3.829 20 0 CHADLO CC(=O)c1ccc(Nc2[nH+]cc(C)cc2C)cc1F ZINC001175227955 1121233184 /nfs/dbraw/zinc/23/31/84/1121233184.db2.gz DWJHWSSWZQVEOJ-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(C(C)=O)c(F)c2)c[nH+]1 ZINC001175232884 1121235387 /nfs/dbraw/zinc/23/53/87/1121235387.db2.gz SBAJKJTYLITKCO-UHFFFAOYSA-N 1 2 287.338 3.623 20 0 CHADLO CCOc1ccc(F)c(F)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001175281963 1121250418 /nfs/dbraw/zinc/25/04/18/1121250418.db2.gz VHNMMCRDXQVBTQ-UHFFFAOYSA-N 1 2 293.317 3.568 20 0 CHADLO CC[C@@H](CC(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C(C)(C)C ZINC000630863440 1128973273 /nfs/dbraw/zinc/97/32/73/1128973273.db2.gz LYXGNNIDEHXZJW-AWEZNQCLSA-N 1 2 291.439 3.578 20 0 CHADLO Clc1ccc(C[NH2+]Cc2cscn2)cc1Cl ZINC000070167429 1121266609 /nfs/dbraw/zinc/26/66/09/1121266609.db2.gz NDEWUEXYQWONBN-UHFFFAOYSA-N 1 2 273.188 3.740 20 0 CHADLO CCOc1cc(Nc2ccc(C)[nH+]c2C)ccc1OC ZINC001212538605 1121266680 /nfs/dbraw/zinc/26/66/80/1121266680.db2.gz BCMDYNRJRXCIJZ-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO CCCOc1ccc(Nc2ccc(N(C)C)[nH+]c2)cc1 ZINC001175342554 1121272170 /nfs/dbraw/zinc/27/21/70/1121272170.db2.gz ULFBTLBTMQJHFH-UHFFFAOYSA-N 1 2 271.364 3.680 20 0 CHADLO COc1cc(Nc2ccc(N(C)C)[nH+]c2)ccc1SC ZINC001175343131 1121272228 /nfs/dbraw/zinc/27/22/28/1121272228.db2.gz XUMUHJMUXOMQJH-UHFFFAOYSA-N 1 2 289.404 3.622 20 0 CHADLO CN(C)c1ccc(Nc2ccc(F)c(Cl)c2)c[nH+]1 ZINC001175341748 1121272415 /nfs/dbraw/zinc/27/24/15/1121272415.db2.gz JRCZDEMWJWWUJT-UHFFFAOYSA-N 1 2 265.719 3.684 20 0 CHADLO CC(=O)c1ccc(F)c(Nc2ccc(C)[nH+]c2C)c1 ZINC001175272603 1121272611 /nfs/dbraw/zinc/27/26/11/1121272611.db2.gz GLTZUSASQBHUSY-UHFFFAOYSA-N 1 2 258.296 3.784 20 0 CHADLO CN(C)c1ccc(Nc2ccc(C3CCOCC3)cc2)c[nH+]1 ZINC001175343778 1121273579 /nfs/dbraw/zinc/27/35/79/1121273579.db2.gz NWAWHBRLJOSFEX-UHFFFAOYSA-N 1 2 297.402 3.785 20 0 CHADLO CN(C)c1ccc(Nc2cc(Cl)c(F)cc2F)c[nH+]1 ZINC001175344303 1121273609 /nfs/dbraw/zinc/27/36/09/1121273609.db2.gz DHDSVPQWDGKKPB-UHFFFAOYSA-N 1 2 283.709 3.823 20 0 CHADLO CN(C)c1ccc(Nc2ccc(F)c3cnccc23)c[nH+]1 ZINC001175346373 1121274437 /nfs/dbraw/zinc/27/44/37/1121274437.db2.gz USHJBMYSLGGJIW-UHFFFAOYSA-N 1 2 282.322 3.579 20 0 CHADLO CN(C)c1ccc(Nc2ccccc2-n2cccc2)c[nH+]1 ZINC001175345624 1121274774 /nfs/dbraw/zinc/27/47/74/1121274774.db2.gz YYFSOHAOZWJUCU-UHFFFAOYSA-N 1 2 278.359 3.682 20 0 CHADLO CCOc1ccccc1[C@H](C)[NH2+][C@@H](C)c1nnc(C)s1 ZINC000274241879 1121281146 /nfs/dbraw/zinc/28/11/46/1121281146.db2.gz QYAHSXFHOWPCAP-QWRGUYRKSA-N 1 2 291.420 3.657 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2ccccc2OC(F)F)s1 ZINC000124029262 1121286111 /nfs/dbraw/zinc/28/61/11/1121286111.db2.gz BYARSJYVHXJRRF-SNVBAGLBSA-N 1 2 298.358 3.904 20 0 CHADLO CCOc1cc(Nc2ccc(F)c(OC)c2)cc(C)[nH+]1 ZINC001175396185 1121290418 /nfs/dbraw/zinc/29/04/18/1121290418.db2.gz XRHXMYPISJRCKJ-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO COc1ncc(C)cc1Nc1ccc2c(C)cc[nH+]c2c1 ZINC001175338228 1121290845 /nfs/dbraw/zinc/29/08/45/1121290845.db2.gz CFDRWJZGZIYCED-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO Cn1ccc2c1cccc2Nc1ccc(N2CCCC2)[nH+]c1 ZINC001175350919 1121291677 /nfs/dbraw/zinc/29/16/77/1121291677.db2.gz JJBIMNNOMUUZDE-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO CCc1cccc(Nc2ccc(N3CCCC3)[nH+]c2)c1 ZINC001175352860 1121293202 /nfs/dbraw/zinc/29/32/02/1121293202.db2.gz UJRWJSBPXASHNU-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO FC(F)c1ccc(CNc2c[nH+]cc3c2CCCC3)cn1 ZINC000630953794 1128976375 /nfs/dbraw/zinc/97/63/75/1128976375.db2.gz ALCOKQPPPWQYSW-UHFFFAOYSA-N 1 2 289.329 3.905 20 0 CHADLO CCO[C@H]1C[C@@H](Nc2cccc(-n3cc[nH+]c3)c2)C12CCC2 ZINC000124701748 1121318686 /nfs/dbraw/zinc/31/86/86/1121318686.db2.gz UPLJJQLOUFYTID-SJORKVTESA-N 1 2 297.402 3.632 20 0 CHADLO FC1(F)CCC12C[NH+](Cc1ccc(Cl)s1)C2 ZINC000336017955 1121318971 /nfs/dbraw/zinc/31/89/71/1121318971.db2.gz LTIKJYTYELLBKB-UHFFFAOYSA-N 1 2 263.740 3.633 20 0 CHADLO C[C@H]([NH2+]Cc1cocn1)c1csc(C(F)(F)F)c1 ZINC000925870125 1121326622 /nfs/dbraw/zinc/32/66/22/1121326622.db2.gz UMQSPZWTOMTEFZ-ZETCQYMHSA-N 1 2 276.283 3.606 20 0 CHADLO CCSCc1cnc(Cl)nc1NC(=[NH2+])C(C)(C)C ZINC001162657488 1121327955 /nfs/dbraw/zinc/32/79/55/1121327955.db2.gz LRLNSZUYJUFIAT-UHFFFAOYSA-N 1 2 286.832 3.818 20 0 CHADLO Clc1cc(Nc2ccc3nccnc3c2)cn2cc[nH+]c12 ZINC001175486918 1121339686 /nfs/dbraw/zinc/33/96/86/1121339686.db2.gz SEYUBDQARYYIJT-UHFFFAOYSA-N 1 2 295.733 3.675 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cn(C)nc1C(F)(F)F ZINC001213491498 1121344792 /nfs/dbraw/zinc/34/47/92/1121344792.db2.gz LNAJLICXNSMZPI-UHFFFAOYSA-N 1 2 296.296 3.763 20 0 CHADLO COc1nccc(C)c1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491475 1121344900 /nfs/dbraw/zinc/34/49/00/1121344900.db2.gz KMYIROMVJQIMAG-UHFFFAOYSA-N 1 2 269.348 3.723 20 0 CHADLO Cc1cc(OCC[C@@H]2CCOC2)c2cccc(C)c2[nH+]1 ZINC000418951769 1121345173 /nfs/dbraw/zinc/34/51/73/1121345173.db2.gz XLMWHSMDQBWXEY-AWEZNQCLSA-N 1 2 271.360 3.657 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@H+]1C/C(Cl)=C/Cl ZINC000763540968 1128979118 /nfs/dbraw/zinc/97/91/18/1128979118.db2.gz XZGPOCUOKFWGQB-BKLZJWBFSA-N 1 2 256.176 3.925 20 0 CHADLO C[C@@H]1c2ccccc2CC[N@@H+]1C/C(Cl)=C/Cl ZINC000763540968 1128979121 /nfs/dbraw/zinc/97/91/21/1128979121.db2.gz XZGPOCUOKFWGQB-BKLZJWBFSA-N 1 2 256.176 3.925 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc3cnn(C)c32)cc1 ZINC001175448846 1121346109 /nfs/dbraw/zinc/34/61/09/1121346109.db2.gz WGNWCZZOHCYZGQ-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc3cnn(C)c32)cc1 ZINC001175448846 1121346118 /nfs/dbraw/zinc/34/61/18/1121346118.db2.gz WGNWCZZOHCYZGQ-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO CC[C@](C)([NH2+]CCCc1cccc2ccccc21)C(=O)OC ZINC000512443826 1121347021 /nfs/dbraw/zinc/34/70/21/1121347021.db2.gz HVSLESWDPGKXEC-IBGZPJMESA-N 1 2 299.414 3.704 20 0 CHADLO F[C@]1(c2ccccc2)CCC[N@H+](C/C=C/Cl)C1 ZINC001175503496 1121350634 /nfs/dbraw/zinc/35/06/34/1121350634.db2.gz NEXLYRJFXGCVOL-VZUFXWRPSA-N 1 2 253.748 3.700 20 0 CHADLO F[C@]1(c2ccccc2)CCC[N@@H+](C/C=C/Cl)C1 ZINC001175503496 1121350644 /nfs/dbraw/zinc/35/06/44/1121350644.db2.gz NEXLYRJFXGCVOL-VZUFXWRPSA-N 1 2 253.748 3.700 20 0 CHADLO OCc1cccc(Nc2cc[nH+]c3ccc(Cl)cc23)c1 ZINC001175510011 1121353800 /nfs/dbraw/zinc/35/38/00/1121353800.db2.gz TWEBGKKBTSMEQJ-UHFFFAOYSA-N 1 2 284.746 3.546 20 0 CHADLO Cc1ccc2c(Nc3cccc(CO)c3)cccc2[nH+]1 ZINC001175513968 1121356154 /nfs/dbraw/zinc/35/61/54/1121356154.db2.gz FAURFORKHHJGQW-UHFFFAOYSA-N 1 2 264.328 3.779 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cc2c[nH]nc2c(C)c1 ZINC001175517479 1121358801 /nfs/dbraw/zinc/35/88/01/1121358801.db2.gz MVZYXQFOBBISNJ-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO Cc1ccc2n[nH]cc2c1Nc1ccc[nH+]c1N1CCCC1 ZINC001175526054 1121368512 /nfs/dbraw/zinc/36/85/12/1121368512.db2.gz SBKTTYWLGUAYDO-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO CCCOc1cccc(Nc2ccc(N(C)C)[nH+]c2)c1 ZINC001175598641 1121380177 /nfs/dbraw/zinc/38/01/77/1121380177.db2.gz OTBIWCPOJNVXEB-UHFFFAOYSA-N 1 2 271.364 3.680 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@@H+]1Cc1nnc(C(C)(C)C)[nH]1 ZINC000432816138 1121380319 /nfs/dbraw/zinc/38/03/19/1121380319.db2.gz NHHBNSWHEWCYQR-OAHLLOKOSA-N 1 2 298.434 3.748 20 0 CHADLO Cc1ccccc1[C@H]1CCC[N@H+]1Cc1nnc(C(C)(C)C)[nH]1 ZINC000432816138 1121380330 /nfs/dbraw/zinc/38/03/30/1121380330.db2.gz NHHBNSWHEWCYQR-OAHLLOKOSA-N 1 2 298.434 3.748 20 0 CHADLO CC(=O)[C@@H]1CCC[N@H+](Cc2cc(Cl)ccc2Cl)C1 ZINC000087760114 1121386748 /nfs/dbraw/zinc/38/67/48/1121386748.db2.gz JRURIPHSZPUTMY-LLVKDONJSA-N 1 2 286.202 3.794 20 0 CHADLO CC(=O)[C@@H]1CCC[N@@H+](Cc2cc(Cl)ccc2Cl)C1 ZINC000087760114 1121386758 /nfs/dbraw/zinc/38/67/58/1121386758.db2.gz JRURIPHSZPUTMY-LLVKDONJSA-N 1 2 286.202 3.794 20 0 CHADLO C[N@@H+]1CCCC(=Nc2ncccc2Oc2ccccc2)C1 ZINC001175573715 1121392648 /nfs/dbraw/zinc/39/26/48/1121392648.db2.gz IVKSHVIVJBLOBW-UHFFFAOYSA-N 1 2 281.359 3.505 20 0 CHADLO C[N@H+]1CCCC(=Nc2ncccc2Oc2ccccc2)C1 ZINC001175573715 1121392658 /nfs/dbraw/zinc/39/26/58/1121392658.db2.gz IVKSHVIVJBLOBW-UHFFFAOYSA-N 1 2 281.359 3.505 20 0 CHADLO C[N@@H+]1CCC=C(Nc2ncccc2Oc2ccccc2)C1 ZINC001175573715 1121392667 /nfs/dbraw/zinc/39/26/67/1121392667.db2.gz IVKSHVIVJBLOBW-UHFFFAOYSA-N 1 2 281.359 3.505 20 0 CHADLO C[N@H+]1CCC=C(Nc2ncccc2Oc2ccccc2)C1 ZINC001175573715 1121392676 /nfs/dbraw/zinc/39/26/76/1121392676.db2.gz IVKSHVIVJBLOBW-UHFFFAOYSA-N 1 2 281.359 3.505 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1F)c1cc2cnccc2o1 ZINC001175638602 1121404113 /nfs/dbraw/zinc/40/41/13/1121404113.db2.gz ONQJNFLOAZMBNR-JTQLQIEISA-N 1 2 288.297 3.957 20 0 CHADLO Clc1cnccc1C[N@@H+]1CCOC[C@H]1C1CCCCC1 ZINC001139849299 1121409177 /nfs/dbraw/zinc/40/91/77/1121409177.db2.gz GCNYPONQRBBYCZ-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO Clc1cnccc1C[N@H+]1CCOC[C@H]1C1CCCCC1 ZINC001139849299 1121409178 /nfs/dbraw/zinc/40/91/78/1121409178.db2.gz GCNYPONQRBBYCZ-INIZCTEOSA-N 1 2 294.826 3.516 20 0 CHADLO CSc1ccc(Nc2ccc(-n3cc[nH+]c3)cc2)cn1 ZINC001175652930 1121409979 /nfs/dbraw/zinc/40/99/79/1121409979.db2.gz HBNRRBQRLXVWGB-UHFFFAOYSA-N 1 2 282.372 3.733 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc3cn(C)nc3c2)c1 ZINC001175612955 1121411091 /nfs/dbraw/zinc/41/10/91/1121411091.db2.gz ZYHSYYMTNDHLRC-UHFFFAOYSA-N 1 2 266.348 3.664 20 0 CHADLO CC(C)c1ccc2c(c1)[C@H]([NH2+]Cc1csnn1)CCC2 ZINC000345067907 1121413156 /nfs/dbraw/zinc/41/31/56/1121413156.db2.gz PYTFHINLIVECDS-MRXNPFEDSA-N 1 2 287.432 3.829 20 0 CHADLO Cc1nc(NC(C)(C)Cc2ccccc2Cl)cc[nH+]1 ZINC000361012487 1121415843 /nfs/dbraw/zinc/41/58/43/1121415843.db2.gz XJZATEAKOCMXQN-UHFFFAOYSA-N 1 2 275.783 3.872 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2ccc3ccncc3c2)c1 ZINC001175702848 1121423516 /nfs/dbraw/zinc/42/35/16/1121423516.db2.gz MFWVFPVLJZSZAX-UHFFFAOYSA-N 1 2 290.370 3.974 20 0 CHADLO COc1cc(C)ccc1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175712698 1121434832 /nfs/dbraw/zinc/43/48/32/1121434832.db2.gz HJQSFBARHRZTJI-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO COc1ccc(C)c(Nc2c[nH+]c(N)cc2C(F)(F)F)c1 ZINC001175712972 1121435223 /nfs/dbraw/zinc/43/52/23/1121435223.db2.gz VUOPKOQUMQNENZ-UHFFFAOYSA-N 1 2 297.280 3.743 20 0 CHADLO CC(=O)c1ccccc1Nc1c[nH+]c(N)cc1C(F)(F)F ZINC001175717853 1121436656 /nfs/dbraw/zinc/43/66/56/1121436656.db2.gz UDGJMPNHXGMCON-UHFFFAOYSA-N 1 2 295.264 3.629 20 0 CHADLO CCCc1ccc(Nc2ccc[nH+]c2N2CCOCC2)cc1 ZINC001175716939 1121436721 /nfs/dbraw/zinc/43/67/21/1121436721.db2.gz XRLPTYPWGYQRIP-UHFFFAOYSA-N 1 2 297.402 3.614 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+](C)[C@H](C)c2ccccc2)n1 ZINC000893906420 1121438079 /nfs/dbraw/zinc/43/80/79/1121438079.db2.gz YFSZGBIYPQSTOU-CYBMUJFWSA-N 1 2 257.381 3.726 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+](C)[C@H](C)c2ccccc2)n1 ZINC000893906420 1121438080 /nfs/dbraw/zinc/43/80/80/1121438080.db2.gz YFSZGBIYPQSTOU-CYBMUJFWSA-N 1 2 257.381 3.726 20 0 CHADLO COc1ccc(C[N@H+](C)[C@@H](C)c2ccco2)c(F)c1OC ZINC000893932072 1121443349 /nfs/dbraw/zinc/44/33/49/1121443349.db2.gz XAELPCMVBNYIER-NSHDSACASA-N 1 2 293.338 3.629 20 0 CHADLO COc1ccc(C[N@@H+](C)[C@@H](C)c2ccco2)c(F)c1OC ZINC000893932072 1121443354 /nfs/dbraw/zinc/44/33/54/1121443354.db2.gz XAELPCMVBNYIER-NSHDSACASA-N 1 2 293.338 3.629 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC23CCCC3)c(Cl)n1 ZINC000707131812 1121450643 /nfs/dbraw/zinc/45/06/43/1121450643.db2.gz VXSCVZFLYBWITI-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Clc1ccc(C[N@H+]2CCC23CCCC3)c(Cl)n1 ZINC000707131812 1121450647 /nfs/dbraw/zinc/45/06/47/1121450647.db2.gz VXSCVZFLYBWITI-UHFFFAOYSA-N 1 2 271.191 3.907 20 0 CHADLO Brc1cc2c(s1)CC[N@@H+]([C@@H]1C=CCCC1)C2 ZINC000676709599 1121458286 /nfs/dbraw/zinc/45/82/86/1121458286.db2.gz KTHSVOLVUWVUFR-LLVKDONJSA-N 1 2 298.249 3.977 20 0 CHADLO Brc1cc2c(s1)CC[N@H+]([C@@H]1C=CCCC1)C2 ZINC000676709599 1121458289 /nfs/dbraw/zinc/45/82/89/1121458289.db2.gz KTHSVOLVUWVUFR-LLVKDONJSA-N 1 2 298.249 3.977 20 0 CHADLO Cc1ncoc1C[N@@H+]1CCCC[C@H]1c1nc2ccccc2o1 ZINC000894077952 1121467644 /nfs/dbraw/zinc/46/76/44/1121467644.db2.gz XGBCTAVILOKCNR-AWEZNQCLSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1ncoc1C[N@H+]1CCCC[C@H]1c1nc2ccccc2o1 ZINC000894077952 1121467646 /nfs/dbraw/zinc/46/76/46/1121467646.db2.gz XGBCTAVILOKCNR-AWEZNQCLSA-N 1 2 297.358 3.851 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+][C@@H]1c2ccccc2CC[C@H]1C ZINC000246013747 1121469272 /nfs/dbraw/zinc/46/92/72/1121469272.db2.gz UBPPIIIMRIFVOG-PEBVRCNWSA-N 1 2 269.392 3.692 20 0 CHADLO C[C@H]([NH2+]Cc1cccc(Cl)c1Cl)c1cn(C)cn1 ZINC000710023654 1121473365 /nfs/dbraw/zinc/47/33/65/1121473365.db2.gz KXWRSUDEVWWMJC-VIFPVBQESA-N 1 2 284.190 3.578 20 0 CHADLO COc1cccc(Nc2cccc3[nH+]ccn32)c1Cl ZINC001175893418 1121478284 /nfs/dbraw/zinc/47/82/84/1121478284.db2.gz FLCKWJDFYZYFSC-UHFFFAOYSA-N 1 2 273.723 3.740 20 0 CHADLO Cc1cc(NC(=O)CCc2cccc(Cl)c2)cc[nH+]1 ZINC000073699351 1121484228 /nfs/dbraw/zinc/48/42/28/1121484228.db2.gz TWEHPUVAHCVLFP-UHFFFAOYSA-N 1 2 274.751 3.615 20 0 CHADLO Cc1ccc([C@@]2(C)CCN(c3cccc[nH+]3)C2)cc1 ZINC000432932229 1121484970 /nfs/dbraw/zinc/48/49/70/1121484970.db2.gz OZEHRWPYLAUIIV-KRWDZBQOSA-N 1 2 252.361 3.558 20 0 CHADLO c1nc(Nc2ccc([NH+]3CCCCC3)cc2)cs1 ZINC001175943168 1121491214 /nfs/dbraw/zinc/49/12/14/1121491214.db2.gz KLRRSEQAYBUITC-UHFFFAOYSA-N 1 2 259.378 3.877 20 0 CHADLO Nc1ccc[nH+]c1Nc1ccc(F)c2ccccc12 ZINC001175954323 1121517151 /nfs/dbraw/zinc/51/71/51/1121517151.db2.gz KOKOPDLZKIJXIR-UHFFFAOYSA-N 1 2 253.280 3.700 20 0 CHADLO c1c[nH+]c(N2CCCCC2)c(Nc2cccc3c2OCC3)c1 ZINC001176077222 1121531694 /nfs/dbraw/zinc/53/16/94/1121531694.db2.gz VDJMVJLJQIKZFY-UHFFFAOYSA-N 1 2 295.386 3.750 20 0 CHADLO Cc1ccc(Nc2ccn3cc[nH+]c3c2)c(F)c1F ZINC001176094478 1121539137 /nfs/dbraw/zinc/53/91/37/1121539137.db2.gz HJSABMSNGZYELI-UHFFFAOYSA-N 1 2 259.259 3.665 20 0 CHADLO COc1cccc2[nH]c(Nc3cc(C)cc[nH+]3)cc21 ZINC001176059520 1121543500 /nfs/dbraw/zinc/54/35/00/1121543500.db2.gz HZIZMSWFJIIODH-UHFFFAOYSA-N 1 2 253.305 3.624 20 0 CHADLO COc1cc(Nc2[nH+]c(C)ccc2C)c(F)cc1F ZINC001176109784 1121553927 /nfs/dbraw/zinc/55/39/27/1121553927.db2.gz WYPJDSBHGHSQTE-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCn1c(CNc2ccc(C)[nH+]c2C)nc2ccccc21 ZINC000094664684 1128993238 /nfs/dbraw/zinc/99/32/38/1128993238.db2.gz BBMZOCSXNUHMIX-UHFFFAOYSA-N 1 2 280.375 3.680 20 0 CHADLO CC[C@H](COc1ccccc1-n1cc[nH+]c1)CC(F)F ZINC000663977955 1121556806 /nfs/dbraw/zinc/55/68/06/1121556806.db2.gz FIUVCGYNDRTIIO-LBPRGKRZSA-N 1 2 280.318 3.933 20 0 CHADLO CCCC(=O)c1ncccc1NCc1c[nH+]c(C)cc1C ZINC000663985657 1121558038 /nfs/dbraw/zinc/55/80/38/1121558038.db2.gz JWTVXQKNVPLMSP-UHFFFAOYSA-N 1 2 283.375 3.688 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(Cl)c(Cl)cc1O ZINC001176122618 1121558512 /nfs/dbraw/zinc/55/85/12/1121558512.db2.gz DMUGZFLDEBIDMM-UHFFFAOYSA-N 1 2 285.130 3.846 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(C(C)=O)cc1Cl ZINC001176122925 1121558640 /nfs/dbraw/zinc/55/86/40/1121558640.db2.gz CFZKDTZRPQSZDU-UHFFFAOYSA-N 1 2 276.723 3.690 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(Cl)c(Cl)c1O ZINC001176124074 1121559164 /nfs/dbraw/zinc/55/91/64/1121559164.db2.gz HJEITLBCSWKHDM-UHFFFAOYSA-N 1 2 285.130 3.846 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(C(C)(C)C)ccc1N ZINC001176122592 1121559285 /nfs/dbraw/zinc/55/92/85/1121559285.db2.gz CLGNCIBUZDQPCH-UHFFFAOYSA-N 1 2 271.364 3.714 20 0 CHADLO COc1cc[nH+]cc1N(c1ccccc1N)C1CCCC1 ZINC001176124862 1121559896 /nfs/dbraw/zinc/55/98/96/1121559896.db2.gz SRNZCDCPVJIPGO-UHFFFAOYSA-N 1 2 283.375 3.753 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OCC2CC2)cc1 ZINC001176125314 1121560245 /nfs/dbraw/zinc/56/02/45/1121560245.db2.gz YURZPKRCULADLC-UHFFFAOYSA-N 1 2 270.332 3.623 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(F)c2cnccc12 ZINC001176125013 1121560505 /nfs/dbraw/zinc/56/05/05/1121560505.db2.gz WMYZOAWADFKFGT-UHFFFAOYSA-N 1 2 269.279 3.521 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1C[C@@H](C)OC(C)(C)C1 ZINC000645138945 1121562649 /nfs/dbraw/zinc/56/26/49/1121562649.db2.gz RYBLHTVSYOXQPW-CYBMUJFWSA-N 1 2 270.376 3.547 20 0 CHADLO Cc1cc(C)c(CNc2cc(C)[nH+]c(C3CCC3)n2)cn1 ZINC000894119776 1121569266 /nfs/dbraw/zinc/56/92/66/1121569266.db2.gz ZSLBKVKKWXHXNL-UHFFFAOYSA-N 1 2 282.391 3.676 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@@H+]1CCO[C@@H](CCF)C1 ZINC000626002924 1121572593 /nfs/dbraw/zinc/57/25/93/1121572593.db2.gz WXGBHZMOOYZXRV-GJZGRUSLSA-N 1 2 285.790 3.852 20 0 CHADLO CC[C@@H](c1ccc(Cl)cc1)[N@H+]1CCO[C@@H](CCF)C1 ZINC000626002924 1121572595 /nfs/dbraw/zinc/57/25/95/1121572595.db2.gz WXGBHZMOOYZXRV-GJZGRUSLSA-N 1 2 285.790 3.852 20 0 CHADLO COc1cccc2c1C[N@H+](CCc1cccc(F)c1F)C2 ZINC000626023061 1121581113 /nfs/dbraw/zinc/58/11/13/1121581113.db2.gz VBMVSEUIOXLZDE-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO COc1cccc2c1C[N@@H+](CCc1cccc(F)c1F)C2 ZINC000626023061 1121581120 /nfs/dbraw/zinc/58/11/20/1121581120.db2.gz VBMVSEUIOXLZDE-UHFFFAOYSA-N 1 2 289.325 3.532 20 0 CHADLO CSc1cc(Nc2ccc3c(c2)CCC3=O)cc[nH+]1 ZINC001176231562 1121581827 /nfs/dbraw/zinc/58/18/27/1121581827.db2.gz GSWBHQSNERPQEB-UHFFFAOYSA-N 1 2 270.357 3.676 20 0 CHADLO Cc1ccc2ncc(C[N@@H+]3CCC[C@H]3c3ncc[nH]3)cc2c1 ZINC000411427369 1121582440 /nfs/dbraw/zinc/58/24/40/1121582440.db2.gz IJFDLADPGGVPAG-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO Cc1ccc2ncc(C[N@H+]3CCC[C@H]3c3ncc[nH]3)cc2c1 ZINC000411427369 1121582445 /nfs/dbraw/zinc/58/24/45/1121582445.db2.gz IJFDLADPGGVPAG-KRWDZBQOSA-N 1 2 292.386 3.603 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2cncc(C3CC3)c2)c1 ZINC001176282834 1121587093 /nfs/dbraw/zinc/58/70/93/1121587093.db2.gz WTSJRXFVHVQUKR-UHFFFAOYSA-N 1 2 280.375 3.698 20 0 CHADLO CCn1c(=S)n(C[NH+]2CCCCCCC2)nc1C1CC1 ZINC000070697995 1128995814 /nfs/dbraw/zinc/99/58/14/1128995814.db2.gz JBIHFIFSWYULID-UHFFFAOYSA-N 1 2 294.468 3.535 20 0 CHADLO COc1ccc(Nc2cccc(C(C)C)[nH+]2)cc1O ZINC001176254304 1121594163 /nfs/dbraw/zinc/59/41/63/1121594163.db2.gz PLDHPJDMIDHSIK-UHFFFAOYSA-N 1 2 258.321 3.663 20 0 CHADLO COc1c(Cl)cc(N(C)c2[nH+]cccc2N)cc1Cl ZINC001176307918 1121606488 /nfs/dbraw/zinc/60/64/88/1121606488.db2.gz QVYNRNFQTYDWHI-UHFFFAOYSA-N 1 2 298.173 3.747 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc2cc(O)ccc2c1 ZINC001176383923 1121607803 /nfs/dbraw/zinc/60/78/03/1121607803.db2.gz FMTDCMCMYRNXAB-UHFFFAOYSA-N 1 2 250.301 3.992 20 0 CHADLO COc1ccc(C)cc1C[NH2+][C@@H](CF)c1ccc(F)cc1 ZINC000631284999 1128996874 /nfs/dbraw/zinc/99/68/74/1128996874.db2.gz WOIMBMWEWBBTCE-INIZCTEOSA-N 1 2 291.341 3.943 20 0 CHADLO CCc1oc2ccccc2c1C[NH2+]CC(C)(F)F ZINC000645196837 1121621286 /nfs/dbraw/zinc/62/12/86/1121621286.db2.gz QBKLAZXZSBAEBP-UHFFFAOYSA-N 1 2 253.292 3.740 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(OC(C)C)ncc1F ZINC001176481755 1121635966 /nfs/dbraw/zinc/63/59/66/1121635966.db2.gz AEBSIDHIBHXMEO-UHFFFAOYSA-N 1 2 291.326 3.545 20 0 CHADLO Cc1cc[nH+]c(Nc2cccc(OCC3CC3)c2)c1 ZINC001176490333 1121637448 /nfs/dbraw/zinc/63/74/48/1121637448.db2.gz JSNGPNNNTCQESE-UHFFFAOYSA-N 1 2 254.333 3.922 20 0 CHADLO Cc1[nH]ncc1[C@H](C)[NH2+]CCSCc1ccc(C)cc1 ZINC000611518245 1121653892 /nfs/dbraw/zinc/65/38/92/1121653892.db2.gz XGZCSANCLYSOIM-ZDUSSCGKSA-N 1 2 289.448 3.611 20 0 CHADLO Cc1cc(C)[nH+]c(Nc2cn(C)nc2-c2ccccc2)c1 ZINC001176562728 1121655210 /nfs/dbraw/zinc/65/52/10/1121655210.db2.gz OUOYNRFUDLQOOD-UHFFFAOYSA-N 1 2 278.359 3.843 20 0 CHADLO C[C@@H]1CN(c2[nH+]ccc3cc(Cl)ccc32)CCCO1 ZINC001163962977 1121662440 /nfs/dbraw/zinc/66/24/40/1121662440.db2.gz ZUWMLKJJRDEWNZ-LLVKDONJSA-N 1 2 276.767 3.503 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000513272898 1121676588 /nfs/dbraw/zinc/67/65/88/1121676588.db2.gz TWGJYPFGGQHGTM-HIFRSBDPSA-N 1 2 299.418 3.950 20 0 CHADLO C[C@@H]1C[C@@H](c2ccccc2)[N@@H+](Cc2noc(C(C)(C)C)n2)C1 ZINC000513272898 1121676591 /nfs/dbraw/zinc/67/65/91/1121676591.db2.gz TWGJYPFGGQHGTM-HIFRSBDPSA-N 1 2 299.418 3.950 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCC[C@H]2c2ccccc2)o1 ZINC000273865658 1121680842 /nfs/dbraw/zinc/68/08/42/1121680842.db2.gz OZDITIUOSGFNBR-HNNXBMFYSA-N 1 2 285.391 3.920 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCC[C@H]2c2ccccc2)o1 ZINC000273865658 1121680845 /nfs/dbraw/zinc/68/08/45/1121680845.db2.gz OZDITIUOSGFNBR-HNNXBMFYSA-N 1 2 285.391 3.920 20 0 CHADLO CC(C)Cn1ncnc1C[N@@H+]1CCCC[C@@H]1c1ccccc1 ZINC000273833252 1121680963 /nfs/dbraw/zinc/68/09/63/1121680963.db2.gz HVSPBZKUCGALDX-QGZVFWFLSA-N 1 2 298.434 3.661 20 0 CHADLO CC(C)Cn1ncnc1C[N@H+]1CCCC[C@@H]1c1ccccc1 ZINC000273833252 1121680966 /nfs/dbraw/zinc/68/09/66/1121680966.db2.gz HVSPBZKUCGALDX-QGZVFWFLSA-N 1 2 298.434 3.661 20 0 CHADLO COc1ccc(C)c(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001176748812 1121686187 /nfs/dbraw/zinc/68/61/87/1121686187.db2.gz CEQCVGKHBNKSIG-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO CC(C)c1cncc(Nc2ccc[nH+]c2N2CCCC2)c1 ZINC001176748998 1121686341 /nfs/dbraw/zinc/68/63/41/1121686341.db2.gz NMDOSWMZSDLIBU-UHFFFAOYSA-N 1 2 282.391 3.944 20 0 CHADLO CC(C)c1cccnc1Nc1ccc[nH+]c1N1CCCC1 ZINC001176749035 1121686871 /nfs/dbraw/zinc/68/68/71/1121686871.db2.gz POOQQKNFSZKCEN-UHFFFAOYSA-N 1 2 282.391 3.944 20 0 CHADLO Cn1c(Nc2ccc[nH+]c2N2CCCC2)cc2ccccc21 ZINC001176749311 1121687067 /nfs/dbraw/zinc/68/70/67/1121687067.db2.gz CPWCYKMMHSKTRF-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO Cc1ccc(Nc2ccnn2Cc2ccccc2)c(C)[nH+]1 ZINC001176702207 1121687788 /nfs/dbraw/zinc/68/77/88/1121687788.db2.gz ZNGVWUIXDZYPGL-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO c1c[nH+]c(N2CCCC2)c(Nc2cnccc2C2CC2)c1 ZINC001176750214 1121688235 /nfs/dbraw/zinc/68/82/35/1121688235.db2.gz UBPGEXZZTKPREZ-UHFFFAOYSA-N 1 2 280.375 3.698 20 0 CHADLO C[C@H]1CCC(F)(F)C[N@@H+]1CC[C@H]1CCCC1(F)F ZINC001176896244 1121702789 /nfs/dbraw/zinc/70/27/89/1121702789.db2.gz FMXSDSPCSAAAFT-WDEREUQCSA-N 1 2 267.310 3.932 20 0 CHADLO C[C@H]1CCC(F)(F)C[N@H+]1CC[C@H]1CCCC1(F)F ZINC001176896244 1121702796 /nfs/dbraw/zinc/70/27/96/1121702796.db2.gz FMXSDSPCSAAAFT-WDEREUQCSA-N 1 2 267.310 3.932 20 0 CHADLO Cc1cc(N[C@H](C)c2cccnc2)ccc1[NH+](C)C ZINC000182851020 1129004703 /nfs/dbraw/zinc/00/47/03/1129004703.db2.gz VDHOWUQSPVETMA-CYBMUJFWSA-N 1 2 255.365 3.629 20 0 CHADLO CC[C@@H](C)c1ccc(NC(=O)CN(C)c2cccc[nH+]2)cc1 ZINC001177409718 1121728501 /nfs/dbraw/zinc/72/85/01/1121728501.db2.gz YZODIDOFHZMMJX-CQSZACIVSA-N 1 2 297.402 3.670 20 0 CHADLO CCOc1ccccc1NCc1cc(C)[nH+]c(C)c1 ZINC001177885046 1121755444 /nfs/dbraw/zinc/75/54/44/1121755444.db2.gz MSOJTXFYPGBPCP-UHFFFAOYSA-N 1 2 256.349 3.709 20 0 CHADLO C[C@H](C(=O)Nc1cccc2c1[C@H](C)CC2(C)C)n1cc[nH+]c1 ZINC001177927002 1121757706 /nfs/dbraw/zinc/75/77/06/1121757706.db2.gz RVZSXEWRIAVBLL-CHWSQXEVSA-N 1 2 297.402 3.868 20 0 CHADLO CC(C)c1nc(C[N@@H+]2C[C@@H](C)C[C@@H]2c2ccccc2)no1 ZINC000285892716 1121763084 /nfs/dbraw/zinc/76/30/84/1121763084.db2.gz ZJDCKWKHYDFXCT-DZGCQCFKSA-N 1 2 285.391 3.776 20 0 CHADLO CC(C)c1nc(C[N@H+]2C[C@@H](C)C[C@@H]2c2ccccc2)no1 ZINC000285892716 1121763089 /nfs/dbraw/zinc/76/30/89/1121763089.db2.gz ZJDCKWKHYDFXCT-DZGCQCFKSA-N 1 2 285.391 3.776 20 0 CHADLO Cc1cc(CNc2ccc3ncccc3c2)cc(C)[nH+]1 ZINC001178046270 1121763939 /nfs/dbraw/zinc/76/39/39/1121763939.db2.gz OSCUEPYQWSLQQE-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO CC[C@@H](C)Oc1cc(C)ccc1C[NH2+]Cc1nccs1 ZINC001178176358 1121769652 /nfs/dbraw/zinc/76/96/52/1121769652.db2.gz MKKNJVFLMRLDPG-CYBMUJFWSA-N 1 2 290.432 3.919 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccc(C)cc1Cl ZINC000773733602 1121774425 /nfs/dbraw/zinc/77/44/25/1121774425.db2.gz YATBFRDVUPOSMH-UHFFFAOYSA-N 1 2 278.783 3.885 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccc(C)cc1Cl ZINC000773733602 1121774430 /nfs/dbraw/zinc/77/44/30/1121774430.db2.gz YATBFRDVUPOSMH-UHFFFAOYSA-N 1 2 278.783 3.885 20 0 CHADLO Cc1ccc(C(=O)Nc2ccccc2-n2cc[nH+]c2)c(C)c1 ZINC000052909759 1121777675 /nfs/dbraw/zinc/77/76/75/1121777675.db2.gz VLJOLLDQELLEFH-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CCC/C=C/C(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000729339370 1121777829 /nfs/dbraw/zinc/77/78/29/1121777829.db2.gz XCFJCULTFQXGGF-UXBLZVDNSA-N 1 2 283.375 3.988 20 0 CHADLO CCCC=CC(=O)Nc1cccc(-c2[nH]c(C)c(C)[nH+]2)c1 ZINC000729339370 1121777836 /nfs/dbraw/zinc/77/78/36/1121777836.db2.gz XCFJCULTFQXGGF-UXBLZVDNSA-N 1 2 283.375 3.988 20 0 CHADLO CC[C@@H]1CCC[C@H](C[NH2+]CC(F)(F)Br)C1 ZINC001178665327 1121804446 /nfs/dbraw/zinc/80/44/46/1121804446.db2.gz BKCYJAKGRMKJPX-ZJUUUORDSA-N 1 2 284.188 3.780 20 0 CHADLO Cc1cccc(CNc2ccc(-c3cnn(C)c3)c(C)[nH+]2)c1 ZINC001178778531 1121819112 /nfs/dbraw/zinc/81/91/12/1121819112.db2.gz PCBRSBRNLFTQEK-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1cccc(F)c1 ZINC000414336903 1121839419 /nfs/dbraw/zinc/83/94/19/1121839419.db2.gz ZYOVWGMGFCSLOL-CYBMUJFWSA-N 1 2 291.395 3.796 20 0 CHADLO COc1cc(C[N@H+](C)Cc2ccccc2F)cnc1Cl ZINC001249926394 1121865474 /nfs/dbraw/zinc/86/54/74/1121865474.db2.gz GOAZDPXBKZECKL-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1cc(C[N@@H+](C)Cc2ccccc2F)cnc1Cl ZINC001249926394 1121865485 /nfs/dbraw/zinc/86/54/85/1121865485.db2.gz GOAZDPXBKZECKL-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CSc1ccc(C[NH2+]Cc2noc3ccc(F)cc32)o1 ZINC001179391738 1121876160 /nfs/dbraw/zinc/87/61/60/1121876160.db2.gz XLZQIEWBKDPOQO-UHFFFAOYSA-N 1 2 292.335 3.572 20 0 CHADLO Cc1ccc(C[NH2+]Cc2c(F)cccc2F)c(Cl)n1 ZINC001179458607 1121881825 /nfs/dbraw/zinc/88/18/25/1121881825.db2.gz WPGYRKOYBAWEHS-UHFFFAOYSA-N 1 2 282.721 3.611 20 0 CHADLO Clc1ccc2[nH]c(NCc3ccc4c[nH]nc4c3)[nH+]c2c1 ZINC001179515486 1121883978 /nfs/dbraw/zinc/88/39/78/1121883978.db2.gz AUFCWYGTSZSSKV-UHFFFAOYSA-N 1 2 297.749 3.705 20 0 CHADLO Cc1cn2cc(NC(=O)c3ccc(Cl)c(C)c3)ccc2[nH+]1 ZINC000345592340 1121897165 /nfs/dbraw/zinc/89/71/65/1121897165.db2.gz SSCQZHDVPYWNNX-UHFFFAOYSA-N 1 2 299.761 3.857 20 0 CHADLO CCc1noc(C[N@@H+](CC)Cc2ccc3ccccc3c2)n1 ZINC000619888267 1129017049 /nfs/dbraw/zinc/01/70/49/1129017049.db2.gz DNUKTOKYKSOTCG-UHFFFAOYSA-N 1 2 295.386 3.807 20 0 CHADLO CCc1noc(C[N@H+](CC)Cc2ccc3ccccc3c2)n1 ZINC000619888267 1129017053 /nfs/dbraw/zinc/01/70/53/1129017053.db2.gz DNUKTOKYKSOTCG-UHFFFAOYSA-N 1 2 295.386 3.807 20 0 CHADLO CCC[C@@H]1C[N@H+](CCCc2c(F)cccc2Cl)CCO1 ZINC001180203737 1121962334 /nfs/dbraw/zinc/96/23/34/1121962334.db2.gz YMKXOSDIMCVPCQ-CYBMUJFWSA-N 1 2 299.817 3.913 20 0 CHADLO CCC[C@@H]1C[N@@H+](CCCc2c(F)cccc2Cl)CCO1 ZINC001180203737 1121962341 /nfs/dbraw/zinc/96/23/41/1121962341.db2.gz YMKXOSDIMCVPCQ-CYBMUJFWSA-N 1 2 299.817 3.913 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCc3ccccc3[C@@H]2C)o1 ZINC000733309952 1121974261 /nfs/dbraw/zinc/97/42/61/1121974261.db2.gz CHJHZHUTJXPURH-ZDUSSCGKSA-N 1 2 270.376 3.917 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCc3ccccc3[C@@H]2C)o1 ZINC000733309952 1121974268 /nfs/dbraw/zinc/97/42/68/1121974268.db2.gz CHJHZHUTJXPURH-ZDUSSCGKSA-N 1 2 270.376 3.917 20 0 CHADLO CC[C@@H]1C[C@H](Nc2ccc3ccc(OC)cc3[nH+]2)CCO1 ZINC000894246365 1121974455 /nfs/dbraw/zinc/97/44/55/1121974455.db2.gz AUJBCHWOCHEZBA-ZIAGYGMSSA-N 1 2 286.375 3.613 20 0 CHADLO Cc1cccc(OCC[N@@H+](C)Cc2ncc(C(C)C)o2)c1 ZINC000733308141 1121975048 /nfs/dbraw/zinc/97/50/48/1121975048.db2.gz VTLZFFUCURPQBQ-UHFFFAOYSA-N 1 2 288.391 3.617 20 0 CHADLO Cc1cccc(OCC[N@H+](C)Cc2ncc(C(C)C)o2)c1 ZINC000733308141 1121975053 /nfs/dbraw/zinc/97/50/53/1121975053.db2.gz VTLZFFUCURPQBQ-UHFFFAOYSA-N 1 2 288.391 3.617 20 0 CHADLO CCOc1c(Cl)cccc1C[N@H+]1CC[C@H](C)[C@H](F)C1 ZINC001180333069 1121980805 /nfs/dbraw/zinc/98/08/05/1121980805.db2.gz YOHKESNPJLPPEV-SMDDNHRTSA-N 1 2 285.790 3.919 20 0 CHADLO CCOc1c(Cl)cccc1C[N@@H+]1CC[C@H](C)[C@H](F)C1 ZINC001180333069 1121980800 /nfs/dbraw/zinc/98/08/00/1121980800.db2.gz YOHKESNPJLPPEV-SMDDNHRTSA-N 1 2 285.790 3.919 20 0 CHADLO CCCC[N@H+](Cc1ccnn1C)Cc1ccccc1Cl ZINC000501534363 1121985908 /nfs/dbraw/zinc/98/59/08/1121985908.db2.gz DIJMUJSWYWGYOU-UHFFFAOYSA-N 1 2 291.826 3.876 20 0 CHADLO CCCC[N@@H+](Cc1ccnn1C)Cc1ccccc1Cl ZINC000501534363 1121985912 /nfs/dbraw/zinc/98/59/12/1121985912.db2.gz DIJMUJSWYWGYOU-UHFFFAOYSA-N 1 2 291.826 3.876 20 0 CHADLO Cc1ccc(Nc2cc(-c3cccnc3)ccn2)c(C)[nH+]1 ZINC001203362997 1121995503 /nfs/dbraw/zinc/99/55/03/1121995503.db2.gz JTTZSSYOXJOJDA-UHFFFAOYSA-N 1 2 276.343 3.899 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+]1CCc2sccc2C1 ZINC000501833899 1122013537 /nfs/dbraw/zinc/01/35/37/1122013537.db2.gz XHDJIFXPCDFTMP-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+]1CCc2sccc2C1 ZINC000501833899 1122013540 /nfs/dbraw/zinc/01/35/40/1122013540.db2.gz XHDJIFXPCDFTMP-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1ccc(F)c(Cl)c1)C2 ZINC001204280881 1122018582 /nfs/dbraw/zinc/01/85/82/1122018582.db2.gz QYJIYPNJCQDKOT-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1ccc(F)c(Cl)c1)C2 ZINC001204280881 1122018588 /nfs/dbraw/zinc/01/85/88/1122018588.db2.gz QYJIYPNJCQDKOT-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Cc1csc([C@H](C)[NH2+][C@@H]2CCc3c2nccc3Cl)n1 ZINC000924925461 1122023050 /nfs/dbraw/zinc/02/30/50/1122023050.db2.gz LXKYTUIJLXXODI-JOYOIKCWSA-N 1 2 293.823 3.838 20 0 CHADLO Cn1cc(Cl)c(C[N@H+](Cc2ccccc2)C(C)(C)C)n1 ZINC000420934968 1122044669 /nfs/dbraw/zinc/04/46/69/1122044669.db2.gz KMDJLHBBBREJRI-UHFFFAOYSA-N 1 2 291.826 3.874 20 0 CHADLO Cn1cc(Cl)c(C[N@@H+](Cc2ccccc2)C(C)(C)C)n1 ZINC000420934968 1122044674 /nfs/dbraw/zinc/04/46/74/1122044674.db2.gz KMDJLHBBBREJRI-UHFFFAOYSA-N 1 2 291.826 3.874 20 0 CHADLO CC1=CCC[N@H+](Cc2cccc(F)c2OC(F)(F)F)C1 ZINC001181254685 1122048087 /nfs/dbraw/zinc/04/80/87/1122048087.db2.gz JVZKHSZZMXYEJP-UHFFFAOYSA-N 1 2 289.272 3.876 20 0 CHADLO CC1=CCC[N@@H+](Cc2cccc(F)c2OC(F)(F)F)C1 ZINC001181254685 1122048093 /nfs/dbraw/zinc/04/80/93/1122048093.db2.gz JVZKHSZZMXYEJP-UHFFFAOYSA-N 1 2 289.272 3.876 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2nc3ccccc3s2)C[C@H](CC)O1 ZINC000420959646 1122050711 /nfs/dbraw/zinc/05/07/11/1122050711.db2.gz QICHBEXXYYKIML-BETUJISGSA-N 1 2 290.432 3.686 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2nc3ccccc3s2)C[C@H](CC)O1 ZINC000420959646 1122050717 /nfs/dbraw/zinc/05/07/17/1122050717.db2.gz QICHBEXXYYKIML-BETUJISGSA-N 1 2 290.432 3.686 20 0 CHADLO COC(=O)C[N@H+](Cc1ccc(C2CC2)c(C2CC2)c1)C1CC1 ZINC001181372924 1122051427 /nfs/dbraw/zinc/05/14/27/1122051427.db2.gz MTYBIEYLKZOCBS-UHFFFAOYSA-N 1 2 299.414 3.579 20 0 CHADLO COC(=O)C[N@@H+](Cc1ccc(C2CC2)c(C2CC2)c1)C1CC1 ZINC001181372924 1122051432 /nfs/dbraw/zinc/05/14/32/1122051432.db2.gz MTYBIEYLKZOCBS-UHFFFAOYSA-N 1 2 299.414 3.579 20 0 CHADLO CC[C@@H]1C[N@H+]([C@@H](C)c2nc3ccccc3o2)C[C@H](CC)O1 ZINC000420956209 1122051758 /nfs/dbraw/zinc/05/17/58/1122051758.db2.gz MSLULGPJFDWVHQ-MELADBBJSA-N 1 2 288.391 3.778 20 0 CHADLO CC[C@@H]1C[N@@H+]([C@@H](C)c2nc3ccccc3o2)C[C@H](CC)O1 ZINC000420956209 1122051763 /nfs/dbraw/zinc/05/17/63/1122051763.db2.gz MSLULGPJFDWVHQ-MELADBBJSA-N 1 2 288.391 3.778 20 0 CHADLO CNc1ccnc(Cl)c1Nc1ccc(C)[nH+]c1C ZINC001203367734 1122071149 /nfs/dbraw/zinc/07/11/49/1122071149.db2.gz OOMBWUKPYGOLQA-UHFFFAOYSA-N 1 2 262.744 3.532 20 0 CHADLO C[N@H+](Cc1cscn1)Cc1cccc(C2CC2)c1 ZINC000421325556 1122095285 /nfs/dbraw/zinc/09/52/85/1122095285.db2.gz ZZJKHDKQSHVJTB-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO C[N@@H+](Cc1cscn1)Cc1cccc(C2CC2)c1 ZINC000421325556 1122095291 /nfs/dbraw/zinc/09/52/91/1122095291.db2.gz ZZJKHDKQSHVJTB-UHFFFAOYSA-N 1 2 258.390 3.653 20 0 CHADLO c1cn(Cc2ccc(NC3CC4(C3)CCCCC4)nc2)c[nH+]1 ZINC000421358378 1122100376 /nfs/dbraw/zinc/10/03/76/1122100376.db2.gz SRFDICDGPLEWGC-UHFFFAOYSA-N 1 2 296.418 3.851 20 0 CHADLO C[C@@H]1C[N@H+](Cc2ccc(C(F)(F)F)nc2Cl)[C@@H]1C ZINC001182062339 1122109364 /nfs/dbraw/zinc/10/93/64/1122109364.db2.gz MHBOTIMADVCYSY-HTQZYQBOSA-N 1 2 278.705 3.594 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2ccc(C(F)(F)F)nc2Cl)[C@@H]1C ZINC001182062339 1122109367 /nfs/dbraw/zinc/10/93/67/1122109367.db2.gz MHBOTIMADVCYSY-HTQZYQBOSA-N 1 2 278.705 3.594 20 0 CHADLO Cc1ccc(Nc2cnc(Br)s2)c(C)[nH+]1 ZINC001203370206 1122117359 /nfs/dbraw/zinc/11/73/59/1122117359.db2.gz XIGAODKANGURDV-UHFFFAOYSA-N 1 2 284.182 3.661 20 0 CHADLO Cc1cc(CNC(=O)c2sccc2C(C)C)cc(C)[nH+]1 ZINC000421579616 1122139511 /nfs/dbraw/zinc/13/95/11/1122139511.db2.gz UQPQDMRIZADTBT-UHFFFAOYSA-N 1 2 288.416 3.813 20 0 CHADLO CC[C@@H]1CCCC[C@@H]1C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421578932 1122140059 /nfs/dbraw/zinc/14/00/59/1122140059.db2.gz SLLYMJIWBDHOTG-CVEARBPZSA-N 1 2 274.408 3.531 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@H+](Cc2scnc2Cl)C1 ZINC000878721343 1122160019 /nfs/dbraw/zinc/16/00/19/1122160019.db2.gz WBIOJMHXLQOGKH-MRVPVSSYSA-N 1 2 298.761 3.961 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@@H+](Cc2scnc2Cl)C1 ZINC000878721343 1122160023 /nfs/dbraw/zinc/16/00/23/1122160023.db2.gz WBIOJMHXLQOGKH-MRVPVSSYSA-N 1 2 298.761 3.961 20 0 CHADLO Cc1csc(C2([NH2+][C@@H](C)c3ccco3)CCC2)n1 ZINC000272027224 1122188254 /nfs/dbraw/zinc/18/82/54/1122188254.db2.gz YYPFEZBIIXLVNT-NSHDSACASA-N 1 2 262.378 3.775 20 0 CHADLO Cc1ccccc1[C@H]([NH2+]Cc1nnc(C(C)C)o1)C1CC1 ZINC000272074878 1122188915 /nfs/dbraw/zinc/18/89/15/1122188915.db2.gz VHQDWDJSTRENOU-MRXNPFEDSA-N 1 2 285.391 3.742 20 0 CHADLO COc1c(Cl)ccc(F)c1Nc1ccc(N(C)C)[nH+]c1 ZINC001250098826 1122200990 /nfs/dbraw/zinc/20/09/90/1122200990.db2.gz DCIJRQDNFGUDMN-UHFFFAOYSA-N 1 2 295.745 3.692 20 0 CHADLO CCC1(C(=O)N[C@@H](C)c2ccc(-n3cc[nH+]c3)cc2)CCC1 ZINC001183018331 1122201756 /nfs/dbraw/zinc/20/17/56/1122201756.db2.gz KOWNLAJREZIWQL-AWEZNQCLSA-N 1 2 297.402 3.630 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@@H+]1CCOC2(CCCC2)C1 ZINC000272472515 1122203916 /nfs/dbraw/zinc/20/39/16/1122203916.db2.gz FSRBNFOMDKGPCV-ZDUSSCGKSA-N 1 2 286.375 3.534 20 0 CHADLO C[C@@H](c1nc2ccccc2o1)[N@H+]1CCOC2(CCCC2)C1 ZINC000272472515 1122203922 /nfs/dbraw/zinc/20/39/22/1122203922.db2.gz FSRBNFOMDKGPCV-ZDUSSCGKSA-N 1 2 286.375 3.534 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](c2ncccc2C)C(C)C)s1 ZINC000273188078 1122222764 /nfs/dbraw/zinc/22/27/64/1122222764.db2.gz LYRHWZSSPHRFIA-WCQYABFASA-N 1 2 290.436 3.598 20 0 CHADLO CCSCc1ccc[nH+]c1NCC1CCC(OC)CC1 ZINC001183491128 1122223339 /nfs/dbraw/zinc/22/33/39/1122223339.db2.gz OPNBUJCCJYDOKV-UHFFFAOYSA-N 1 2 294.464 3.952 20 0 CHADLO COc1ccc(F)cc1C[NH2+]C(C)(C)c1ncc(C)s1 ZINC000273288995 1122226549 /nfs/dbraw/zinc/22/65/49/1122226549.db2.gz KTESFDWRNABWCL-UHFFFAOYSA-N 1 2 294.395 3.624 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cc(F)ccc2OC)cs1 ZINC000273276957 1122227090 /nfs/dbraw/zinc/22/70/90/1122227090.db2.gz HPUIMFDPZHPESU-JTQLQIEISA-N 1 2 294.395 3.704 20 0 CHADLO Cc1cc(C)c(/C=C/C[NH+]2CC(OC(C)C)C2)cc1C ZINC001183629566 1122233293 /nfs/dbraw/zinc/23/32/93/1122233293.db2.gz DNZPGWDSKPMJOE-VOTSOKGWSA-N 1 2 273.420 3.734 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1nnc(C)o1)c1ccc(Cl)s1 ZINC000273468292 1122233895 /nfs/dbraw/zinc/23/38/95/1122233895.db2.gz FKDYJIALNXXPAI-APPZFPTMSA-N 1 2 285.800 3.895 20 0 CHADLO Cc1nc(C[NH2+][C@]2(c3ccc(Cl)cc3)C[C@@H]2C)oc1C ZINC000503376961 1122259342 /nfs/dbraw/zinc/25/93/42/1122259342.db2.gz LGHCDEZRENALOD-MGPLVRAMSA-N 1 2 290.794 3.970 20 0 CHADLO CC[C@@](C)(CNc1[nH+]c2ccccc2n1CC1CC1)OC ZINC001184461487 1122278441 /nfs/dbraw/zinc/27/84/41/1122278441.db2.gz BDOFUKROIIFVIJ-KRWDZBQOSA-N 1 2 287.407 3.673 20 0 CHADLO C[C@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1ccccc1Cl ZINC001184474234 1122278531 /nfs/dbraw/zinc/27/85/31/1122278531.db2.gz SGYJOFPXTYADKR-NSHDSACASA-N 1 2 299.761 3.730 20 0 CHADLO CCc1cccc(O)c1Nc1ccc[nH+]c1N1CCCC1 ZINC001185043935 1122313863 /nfs/dbraw/zinc/31/38/63/1122313863.db2.gz QYHZMIPRHYSTAQ-UHFFFAOYSA-N 1 2 283.375 3.693 20 0 CHADLO Cc1nc(N[C@@H]2CC23CCCCC3)c2c([nH+]1)CCCC2 ZINC001185969526 1122369480 /nfs/dbraw/zinc/36/94/80/1122369480.db2.gz NCYSNEOAHBWKPX-OAHLLOKOSA-N 1 2 271.408 3.799 20 0 CHADLO CC[C@@H]1CCN(c2nc3ccccc3n3c[nH+]cc23)[C@@H]1C ZINC001186184062 1122378179 /nfs/dbraw/zinc/37/81/79/1122378179.db2.gz IGKWYHAYKRTRAM-CHWSQXEVSA-N 1 2 280.375 3.507 20 0 CHADLO Cn1nccc1[C@@H]1CCCC[N@@H+]1Cc1cc(Cl)cs1 ZINC000281117685 1122393855 /nfs/dbraw/zinc/39/38/55/1122393855.db2.gz BVKGJYZNXGZUNJ-AWEZNQCLSA-N 1 2 295.839 3.862 20 0 CHADLO Cn1nccc1[C@@H]1CCCC[N@H+]1Cc1cc(Cl)cs1 ZINC000281117685 1122393862 /nfs/dbraw/zinc/39/38/62/1122393862.db2.gz BVKGJYZNXGZUNJ-AWEZNQCLSA-N 1 2 295.839 3.862 20 0 CHADLO Cc1csc(CNc2nc(C)[nH+]c3c2CCCC3)c1 ZINC001186509966 1122394882 /nfs/dbraw/zinc/39/48/82/1122394882.db2.gz STRQGOUMPYOBHK-UHFFFAOYSA-N 1 2 273.405 3.646 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@@H+]1Cc1ccc(C)o1 ZINC000281178874 1122395280 /nfs/dbraw/zinc/39/52/80/1122395280.db2.gz RGUGTNYSLZWJMG-HNNXBMFYSA-N 1 2 273.380 3.532 20 0 CHADLO CCn1ccnc1[C@@H]1CCCC[N@H+]1Cc1ccc(C)o1 ZINC000281178874 1122395285 /nfs/dbraw/zinc/39/52/85/1122395285.db2.gz RGUGTNYSLZWJMG-HNNXBMFYSA-N 1 2 273.380 3.532 20 0 CHADLO CCc1cc(N[C@H]2c3ccccc3O[C@H]2C)nc(CC)[nH+]1 ZINC001186626021 1122400691 /nfs/dbraw/zinc/40/06/91/1122400691.db2.gz CUSVKOIIUGYNAX-APPDUMDISA-N 1 2 283.375 3.536 20 0 CHADLO Cc1cc(CNc2nc(-c3ccccc3)ns2)cc(C)[nH+]1 ZINC001186738830 1122405779 /nfs/dbraw/zinc/40/57/79/1122405779.db2.gz FVPVMOOQPJVCIO-UHFFFAOYSA-N 1 2 296.399 3.829 20 0 CHADLO CS[C@@H]1CCCC[C@H]1Nc1nc(C)[nH+]c2c1CCCC2 ZINC001186905475 1122414071 /nfs/dbraw/zinc/41/40/71/1122414071.db2.gz HEFKCUWXRGVECZ-HUUCEWRRSA-N 1 2 291.464 3.750 20 0 CHADLO CC[C@@H]([NH2+]Cc1ncc(C2CC2)o1)c1ccccc1OC ZINC000775066608 1122415321 /nfs/dbraw/zinc/41/53/21/1122415321.db2.gz QTBHEQCVRQFVRO-CQSZACIVSA-N 1 2 286.375 3.802 20 0 CHADLO CCc1cc(N2CCC(C3CCC3)CC2)nc(CC)[nH+]1 ZINC001186973425 1122415812 /nfs/dbraw/zinc/41/58/12/1122415812.db2.gz HRBKVXLRGNMAQS-UHFFFAOYSA-N 1 2 273.424 3.618 20 0 CHADLO CC(C)[C@]1(C)C[C@H]1C(=O)OCc1ccc(-n2cc[nH+]c2)cc1 ZINC000775078341 1122418328 /nfs/dbraw/zinc/41/83/28/1122418328.db2.gz RBUHMOZRQHVKOV-WMZOPIPTSA-N 1 2 298.386 3.598 20 0 CHADLO C[C@H]1CCc2nc(C[N@H+](C)Cc3cccs3)sc2C1 ZINC000505559206 1122419738 /nfs/dbraw/zinc/41/97/38/1122419738.db2.gz IZDGHTFURYJAKG-NSHDSACASA-N 1 2 292.473 3.961 20 0 CHADLO C[C@H]1CCc2nc(C[N@@H+](C)Cc3cccs3)sc2C1 ZINC000505559206 1122419742 /nfs/dbraw/zinc/41/97/42/1122419742.db2.gz IZDGHTFURYJAKG-NSHDSACASA-N 1 2 292.473 3.961 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ccc(Br)nc2)c1 ZINC001203654401 1122420312 /nfs/dbraw/zinc/42/03/12/1122420312.db2.gz IRTKMASPJXUMOK-UHFFFAOYSA-N 1 2 278.153 3.600 20 0 CHADLO CNc1ccnc(Cl)c1Nc1cc(C)c[nH+]c1C ZINC001203655155 1122421868 /nfs/dbraw/zinc/42/18/68/1122421868.db2.gz WYJXKXSNEJUVIF-UHFFFAOYSA-N 1 2 262.744 3.532 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2ncc(C(F)(F)F)cc2F)c1 ZINC001203655242 1122423200 /nfs/dbraw/zinc/42/32/00/1122423200.db2.gz ZGTOFSSWUKWRDT-UHFFFAOYSA-N 1 2 285.244 3.995 20 0 CHADLO Cc1ccc(Nc2ccc(F)c(C(=O)N3CCCC3)c2)[nH+]c1 ZINC001187456394 1122428777 /nfs/dbraw/zinc/42/87/77/1122428777.db2.gz BCAISZPZGJTTFG-UHFFFAOYSA-N 1 2 299.349 3.509 20 0 CHADLO Cc1cc[nH+]c(Nc2ccc(F)c(C(=O)N3CCCC3)c2)c1 ZINC001187457883 1122428807 /nfs/dbraw/zinc/42/88/07/1122428807.db2.gz YLLHFQWAOUPWLO-UHFFFAOYSA-N 1 2 299.349 3.509 20 0 CHADLO Cc1cccc(Nc2ccc(F)c(C(=O)N3CCCC3)c2)[nH+]1 ZINC001187457335 1122429064 /nfs/dbraw/zinc/42/90/64/1122429064.db2.gz NWSCRSVQGWFMTH-UHFFFAOYSA-N 1 2 299.349 3.509 20 0 CHADLO CC(C)c1cc(N2CC[C@@H](C)O[C@@H](C)C2)nc(C(C)C)[nH+]1 ZINC000664467918 1122431989 /nfs/dbraw/zinc/43/19/89/1122431989.db2.gz VEJWYCWGUNHCPQ-KGLIPLIRSA-N 1 2 291.439 3.727 20 0 CHADLO ClC(Cl)=CCNc1nc2ccccc2n2c[nH+]cc12 ZINC001187677108 1122437307 /nfs/dbraw/zinc/43/73/07/1122437307.db2.gz IRKRUASEZKEGDM-UHFFFAOYSA-N 1 2 293.157 3.613 20 0 CHADLO Cc1cc(N2CC[C@@H](C)C[C@@H](C)C2)nc(C2CCC2)[nH+]1 ZINC001187647582 1122438326 /nfs/dbraw/zinc/43/83/26/1122438326.db2.gz KQXBQOCSMHYLFZ-CHWSQXEVSA-N 1 2 273.424 3.925 20 0 CHADLO CCc1c[nH]c2nc[nH+]c(SCc3ccc(C)o3)c12 ZINC001187740012 1122441578 /nfs/dbraw/zinc/44/15/78/1122441578.db2.gz TVHVPRAWHVFMFE-UHFFFAOYSA-N 1 2 273.361 3.714 20 0 CHADLO COC(=O)[C@H]1CCc2cc(Nc3cc(C)c[nH+]c3C)ccc21 ZINC001203670030 1122444675 /nfs/dbraw/zinc/44/46/75/1122444675.db2.gz YLBVSQDKWJPWDT-INIZCTEOSA-N 1 2 296.370 3.645 20 0 CHADLO CC(C)n1c[nH+]cc1CN(C)[C@H](C)c1cc(F)ccc1F ZINC000425331036 1122455132 /nfs/dbraw/zinc/45/51/32/1122455132.db2.gz WNXTZDIZMWJTOI-GFCCVEGCSA-N 1 2 293.361 3.935 20 0 CHADLO Cc1cc2nc(N3CCC(c4c[nH]c[nH+]4)CC3)oc2c(C)c1 ZINC000514992970 1122468850 /nfs/dbraw/zinc/46/88/50/1122468850.db2.gz CKAHCERUYUHUPP-UHFFFAOYSA-N 1 2 296.374 3.552 20 0 CHADLO CCOC(=O)c1c[nH+]c2ccccc2c1N1CCC=C(C)C1 ZINC000435587789 1122476432 /nfs/dbraw/zinc/47/64/32/1122476432.db2.gz GDGHJPVXVBTCEV-UHFFFAOYSA-N 1 2 296.370 3.568 20 0 CHADLO CC(C)CCO[C@@H]1CCCC[C@H]1Nc1cccc[nH+]1 ZINC000435603253 1122477425 /nfs/dbraw/zinc/47/74/25/1122477425.db2.gz ZPLORSJGECZBQR-HUUCEWRRSA-N 1 2 262.397 3.867 20 0 CHADLO C[N@H+](Cc1ccns1)Cc1ccc(Cl)c(F)c1 ZINC000638138741 1122491316 /nfs/dbraw/zinc/49/13/16/1122491316.db2.gz KRGDVCQMVVJNCF-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1ccns1)Cc1ccc(Cl)c(F)c1 ZINC000638138741 1122491320 /nfs/dbraw/zinc/49/13/20/1122491320.db2.gz KRGDVCQMVVJNCF-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO Cc1[nH+]cc(NC(=O)Nc2ccccc2C(C)(C)C)n1C ZINC001189564432 1122505157 /nfs/dbraw/zinc/50/51/57/1122505157.db2.gz ASZHJKQNIMKOKE-UHFFFAOYSA-N 1 2 286.379 3.670 20 0 CHADLO COc1ccc(C)cc1C[N@H+](C)Cc1ccc(F)cc1F ZINC000515547299 1122508731 /nfs/dbraw/zinc/50/87/31/1122508731.db2.gz NHNSBBYJZLGPQA-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(C)cc1C[N@@H+](C)Cc1ccc(F)cc1F ZINC000515547299 1122508735 /nfs/dbraw/zinc/50/87/35/1122508735.db2.gz NHNSBBYJZLGPQA-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO CC(C)c1nnc(Nc2ccc([NH+]3CCCCC3)cc2)o1 ZINC001203713132 1122524053 /nfs/dbraw/zinc/52/40/53/1122524053.db2.gz VJOGAXXGYGZSML-UHFFFAOYSA-N 1 2 286.379 3.927 20 0 CHADLO Cc1ccccc1C[N@@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC001203713183 1122524110 /nfs/dbraw/zinc/52/41/10/1122524110.db2.gz OZNRVYMXJJZASF-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccccc1C[N@H+]1CCOC[C@H]1c1ccc(F)cc1 ZINC001203713183 1122524114 /nfs/dbraw/zinc/52/41/14/1122524114.db2.gz OZNRVYMXJJZASF-SFHVURJKSA-N 1 2 285.362 3.708 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2cccc(Cl)c2O)cc1 ZINC000183509222 1129060336 /nfs/dbraw/zinc/06/03/36/1129060336.db2.gz MNSQBBBJRUIEAJ-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2cccc(Cl)c2O)cc1 ZINC000183509222 1129060339 /nfs/dbraw/zinc/06/03/39/1129060339.db2.gz MNSQBBBJRUIEAJ-UHFFFAOYSA-N 1 2 291.778 3.686 20 0 CHADLO CC1=CCC[N@H+](Cc2ccc(F)c(Br)c2)C1 ZINC000436265874 1122527555 /nfs/dbraw/zinc/52/75/55/1122527555.db2.gz MYKVUOMUSJRQMC-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO CC1=CCC[N@@H+](Cc2ccc(F)c(Br)c2)C1 ZINC000436265874 1122527560 /nfs/dbraw/zinc/52/75/60/1122527560.db2.gz MYKVUOMUSJRQMC-UHFFFAOYSA-N 1 2 284.172 3.740 20 0 CHADLO Cc1ccc(-c2nc(C[N@@H+]3CCC=C(C)C3)cs2)o1 ZINC000436260719 1122528739 /nfs/dbraw/zinc/52/87/39/1122528739.db2.gz IIUWSZGBHGDDNI-UHFFFAOYSA-N 1 2 274.389 3.864 20 0 CHADLO Cc1ccc(-c2nc(C[N@H+]3CCC=C(C)C3)cs2)o1 ZINC000436260719 1122528740 /nfs/dbraw/zinc/52/87/40/1122528740.db2.gz IIUWSZGBHGDDNI-UHFFFAOYSA-N 1 2 274.389 3.864 20 0 CHADLO COc1ccccc1Cc1cn(C/C(Cl)=C\Cl)c[nH+]1 ZINC001190274162 1122531421 /nfs/dbraw/zinc/53/14/21/1122531421.db2.gz PKSGKOKIXFVTSW-KPKJPENVSA-N 1 2 297.185 3.802 20 0 CHADLO COc1cccnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203717651 1122531733 /nfs/dbraw/zinc/53/17/33/1122531733.db2.gz JEOZEPKNDWCMOC-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO CCC[C@@H]1CCC[N@@H+]([C@@H](C(=O)OC)c2ccccc2F)C1 ZINC001167960824 1122551611 /nfs/dbraw/zinc/55/16/11/1122551611.db2.gz WPQVLMRBNTZDNL-CZUORRHYSA-N 1 2 293.382 3.552 20 0 CHADLO CCC[C@@H]1CCC[N@H+]([C@@H](C(=O)OC)c2ccccc2F)C1 ZINC001167960824 1122551613 /nfs/dbraw/zinc/55/16/13/1122551613.db2.gz WPQVLMRBNTZDNL-CZUORRHYSA-N 1 2 293.382 3.552 20 0 CHADLO Fc1ccc2c(cc[nH+]c2N2CCC(c3ccon3)CC2)c1 ZINC000664566671 1122559084 /nfs/dbraw/zinc/55/90/84/1122559084.db2.gz TVZXNRGLQMEVEI-UHFFFAOYSA-N 1 2 297.333 3.746 20 0 CHADLO C[C@H]([NH2+][C@@H](c1nnc[nH]1)C1CCCCC1)c1cccs1 ZINC000638292083 1122577394 /nfs/dbraw/zinc/57/73/94/1122577394.db2.gz BJWWKZGAGFDXJT-SMDDNHRTSA-N 1 2 290.436 3.838 20 0 CHADLO CCCc1noc(C[N@@H+]2CCC[C@@H]2/C=C\c2ccccc2)n1 ZINC000505873911 1122579890 /nfs/dbraw/zinc/57/98/90/1122579890.db2.gz CESHMWHSQVSDSY-YUQCYMQKSA-N 1 2 297.402 3.700 20 0 CHADLO CCCc1noc(C[N@H+]2CCC[C@@H]2/C=C\c2ccccc2)n1 ZINC000505873911 1122579894 /nfs/dbraw/zinc/57/98/94/1122579894.db2.gz CESHMWHSQVSDSY-YUQCYMQKSA-N 1 2 297.402 3.700 20 0 CHADLO Cc1coc2ccc(NC(=O)c3cccc4[nH+]ccn43)cc12 ZINC000775445225 1122584500 /nfs/dbraw/zinc/58/45/00/1122584500.db2.gz HODVYLWSPPJXLV-UHFFFAOYSA-N 1 2 291.310 3.641 20 0 CHADLO CC[N@H+](C[C@@H]1CCO[C@H](C)C1)c1cc(C)ccc1C ZINC001191635307 1122607590 /nfs/dbraw/zinc/60/75/90/1122607590.db2.gz GXTCHIQYOUECSE-HZPDHXFCSA-N 1 2 261.409 3.945 20 0 CHADLO CC[N@@H+](C[C@@H]1CCO[C@H](C)C1)c1cc(C)ccc1C ZINC001191635307 1122607591 /nfs/dbraw/zinc/60/75/91/1122607591.db2.gz GXTCHIQYOUECSE-HZPDHXFCSA-N 1 2 261.409 3.945 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)c2cc3c[nH+]ccc3[nH]2)c2ccccc21 ZINC001151976734 1122617536 /nfs/dbraw/zinc/61/75/36/1122617536.db2.gz RXGOMCBPNHLYNA-BDJLRTHQSA-N 1 2 291.354 3.541 20 0 CHADLO Cc1cccc2c1CCC[C@H]2Nc1cc[nH+]c(C)n1 ZINC000664617484 1122621615 /nfs/dbraw/zinc/62/16/15/1122621615.db2.gz MCRWQFWZLCNUQN-OAHLLOKOSA-N 1 2 253.349 3.583 20 0 CHADLO Cc1ccc(C[N@@H+]2CCC[C@H]2c2cccnc2)c(Cl)n1 ZINC000838904078 1122629520 /nfs/dbraw/zinc/62/95/20/1122629520.db2.gz GNZYROKFQRIHLL-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1ccc(C[N@H+]2CCC[C@H]2c2cccnc2)c(Cl)n1 ZINC000838904078 1122629523 /nfs/dbraw/zinc/62/95/23/1122629523.db2.gz GNZYROKFQRIHLL-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1c[nH]nc1-c1ccsc1 ZINC000437575396 1122641121 /nfs/dbraw/zinc/64/11/21/1122641121.db2.gz DCJACECDAOERJY-GFCCVEGCSA-N 1 2 298.415 3.517 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1c[nH]nc1-c1ccsc1 ZINC000437575396 1122641124 /nfs/dbraw/zinc/64/11/24/1122641124.db2.gz DCJACECDAOERJY-GFCCVEGCSA-N 1 2 298.415 3.517 20 0 CHADLO CC[C@H](C)C[N@H+](CC)Cc1noc(C2CCCCC2)n1 ZINC000437579417 1122642290 /nfs/dbraw/zinc/64/22/90/1122642290.db2.gz QVSICSMSLIPVMO-ZDUSSCGKSA-N 1 2 279.428 3.985 20 0 CHADLO CC[C@H](C)C[N@@H+](CC)Cc1noc(C2CCCCC2)n1 ZINC000437579417 1122642292 /nfs/dbraw/zinc/64/22/92/1122642292.db2.gz QVSICSMSLIPVMO-ZDUSSCGKSA-N 1 2 279.428 3.985 20 0 CHADLO CCC(CC)n1ccc(C[N@@H+]2CCS[C@H](CC)C2)n1 ZINC000437580244 1122643347 /nfs/dbraw/zinc/64/33/47/1122643347.db2.gz TXIPRFVQVQAPAJ-OAHLLOKOSA-N 1 2 281.469 3.572 20 0 CHADLO CCC(CC)n1ccc(C[N@H+]2CCS[C@H](CC)C2)n1 ZINC000437580244 1122643348 /nfs/dbraw/zinc/64/33/48/1122643348.db2.gz TXIPRFVQVQAPAJ-OAHLLOKOSA-N 1 2 281.469 3.572 20 0 CHADLO CC[N@H+](Cc1c(Cl)cnn1C)[C@@H](C)c1ccc(F)cc1 ZINC000437584661 1122643831 /nfs/dbraw/zinc/64/38/31/1122643831.db2.gz XTKADCDSPRPBKL-NSHDSACASA-N 1 2 295.789 3.796 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cnn1C)[C@@H](C)c1ccc(F)cc1 ZINC000437584661 1122643832 /nfs/dbraw/zinc/64/38/32/1122643832.db2.gz XTKADCDSPRPBKL-NSHDSACASA-N 1 2 295.789 3.796 20 0 CHADLO CC[C@@H]([NH2+]Cc1ccc(C2CC2)cc1F)c1ccn(C)n1 ZINC000425936845 1122666628 /nfs/dbraw/zinc/66/66/28/1122666628.db2.gz OMSNQVGDXBQMEE-MRXNPFEDSA-N 1 2 287.382 3.678 20 0 CHADLO CCCC(C)(C)CC(=O)Nc1ccc2[nH+]c(C)cn2c1 ZINC000425939763 1122670404 /nfs/dbraw/zinc/67/04/04/1122670404.db2.gz UVCWSQOWWFMEHS-UHFFFAOYSA-N 1 2 273.380 3.798 20 0 CHADLO CCCC[C@H](C)NC(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC001193062398 1122671762 /nfs/dbraw/zinc/67/17/62/1122671762.db2.gz LVTZTHHOKDHSGU-ZDUSSCGKSA-N 1 2 286.379 3.573 20 0 CHADLO CC(C)CCOCC[N@H+](Cc1c(F)cccc1F)C1CC1 ZINC001193354928 1122685660 /nfs/dbraw/zinc/68/56/60/1122685660.db2.gz JVLFEQICMBPBEM-UHFFFAOYSA-N 1 2 297.389 3.992 20 0 CHADLO CC(C)CCOCC[N@@H+](Cc1c(F)cccc1F)C1CC1 ZINC001193354928 1122685663 /nfs/dbraw/zinc/68/56/63/1122685663.db2.gz JVLFEQICMBPBEM-UHFFFAOYSA-N 1 2 297.389 3.992 20 0 CHADLO CC1(C)CCCC[N@H+](Cc2ncc(Cl)s2)C1 ZINC000438384570 1122695902 /nfs/dbraw/zinc/69/59/02/1122695902.db2.gz XBBLGURXSSGQEP-UHFFFAOYSA-N 1 2 258.818 3.809 20 0 CHADLO CC1(C)CCCC[N@@H+](Cc2ncc(Cl)s2)C1 ZINC000438384570 1122695904 /nfs/dbraw/zinc/69/59/04/1122695904.db2.gz XBBLGURXSSGQEP-UHFFFAOYSA-N 1 2 258.818 3.809 20 0 CHADLO CC[C@@H]1CC[N@H+]([C@H](C(=O)OC)c2ccc(Cl)cc2)[C@@H]1C ZINC001193607007 1122705782 /nfs/dbraw/zinc/70/57/82/1122705782.db2.gz RMPCULMJSNGHDX-JMSVASOKSA-N 1 2 295.810 3.675 20 0 CHADLO CC[C@@H]1CC[N@@H+]([C@H](C(=O)OC)c2ccc(Cl)cc2)[C@@H]1C ZINC001193607007 1122705786 /nfs/dbraw/zinc/70/57/86/1122705786.db2.gz RMPCULMJSNGHDX-JMSVASOKSA-N 1 2 295.810 3.675 20 0 CHADLO Cc1nc2ccc(C[N@@H+]3CCn4cccc4[C@H]3C)cc2s1 ZINC000426062302 1122709973 /nfs/dbraw/zinc/70/99/73/1122709973.db2.gz XKMBOANOYCEKOT-GFCCVEGCSA-N 1 2 297.427 3.983 20 0 CHADLO Cc1nc2ccc(C[N@H+]3CCn4cccc4[C@H]3C)cc2s1 ZINC000426062302 1122709974 /nfs/dbraw/zinc/70/99/74/1122709974.db2.gz XKMBOANOYCEKOT-GFCCVEGCSA-N 1 2 297.427 3.983 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)Oc1ccc(Cl)cc1 ZINC001194025017 1122739805 /nfs/dbraw/zinc/73/98/05/1122739805.db2.gz XCJAAQKZIVPCAF-UHFFFAOYSA-N 1 2 287.706 3.599 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+]Cc1ccccc1OC(C)C ZINC000295341347 1122748010 /nfs/dbraw/zinc/74/80/10/1122748010.db2.gz YETAGJLMMDEFBB-AWEZNQCLSA-N 1 2 287.407 3.541 20 0 CHADLO Cc1ccc(OC(=O)Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001194420305 1122774148 /nfs/dbraw/zinc/77/41/48/1122774148.db2.gz BJQYOWDQTDOXKI-UHFFFAOYSA-N 1 2 293.326 3.792 20 0 CHADLO CCC[C@@H]([NH2+]CCc1cccc2ccccc21)C(=O)OCC ZINC000439550848 1122790437 /nfs/dbraw/zinc/79/04/37/1122790437.db2.gz PRJDIIBAQMIQHS-GOSISDBHSA-N 1 2 299.414 3.704 20 0 CHADLO CCc1noc(C[N@H+](C2CC2)[C@H]2CCCc3ccccc32)n1 ZINC000120969357 1122818453 /nfs/dbraw/zinc/81/84/53/1122818453.db2.gz BWSHJQFSLXPSGK-INIZCTEOSA-N 1 2 297.402 3.674 20 0 CHADLO CCc1noc(C[N@@H+](C2CC2)[C@H]2CCCc3ccccc32)n1 ZINC000120969357 1122818459 /nfs/dbraw/zinc/81/84/59/1122818459.db2.gz BWSHJQFSLXPSGK-INIZCTEOSA-N 1 2 297.402 3.674 20 0 CHADLO CC(C)c1c[nH]c(C[N@@H+]2C[C@@H](C)C[C@H]2c2cccnc2)n1 ZINC000894462565 1122857999 /nfs/dbraw/zinc/85/79/99/1122857999.db2.gz YBRACUIFKWFLOH-BBRMVZONSA-N 1 2 284.407 3.511 20 0 CHADLO CC(C)c1c[nH]c(C[N@H+]2C[C@@H](C)C[C@H]2c2cccnc2)n1 ZINC000894462565 1122858003 /nfs/dbraw/zinc/85/80/03/1122858003.db2.gz YBRACUIFKWFLOH-BBRMVZONSA-N 1 2 284.407 3.511 20 0 CHADLO CCCc1nc(C)c(C[N@@H+]2CC(C)(C)[C@H]2c2ccncc2)o1 ZINC000664829643 1122871405 /nfs/dbraw/zinc/87/14/05/1122871405.db2.gz ORLYPKDCZSMHGM-QGZVFWFLSA-N 1 2 299.418 3.914 20 0 CHADLO CCCc1nc(C)c(C[N@H+]2CC(C)(C)[C@H]2c2ccncc2)o1 ZINC000664829643 1122871408 /nfs/dbraw/zinc/87/14/08/1122871408.db2.gz ORLYPKDCZSMHGM-QGZVFWFLSA-N 1 2 299.418 3.914 20 0 CHADLO CN(C)c1cc(CSCc2ccccc2)cc[nH+]1 ZINC000121409577 1122883880 /nfs/dbraw/zinc/88/38/80/1122883880.db2.gz IWZSLXLSBGOSQP-UHFFFAOYSA-N 1 2 258.390 3.581 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@@H+]1Cc1cccc(OC)c1Cl ZINC001195850932 1122891126 /nfs/dbraw/zinc/89/11/26/1122891126.db2.gz GCTZYIJNYBKYTQ-ZDUSSCGKSA-N 1 2 297.826 3.738 20 0 CHADLO CC[C@H]1COC(C)(C)C[N@H+]1Cc1cccc(OC)c1Cl ZINC001195850932 1122891131 /nfs/dbraw/zinc/89/11/31/1122891131.db2.gz GCTZYIJNYBKYTQ-ZDUSSCGKSA-N 1 2 297.826 3.738 20 0 CHADLO Cc1[nH]nc(C[N@@H+]2C[C@H](C)C[C@@H]2c2ccccc2)c1C ZINC000894757562 1122901864 /nfs/dbraw/zinc/90/18/64/1122901864.db2.gz WRXRJKXOCXPKQY-SJKOYZFVSA-N 1 2 269.392 3.610 20 0 CHADLO Cc1[nH]nc(C[N@H+]2C[C@H](C)C[C@@H]2c2ccccc2)c1C ZINC000894757562 1122901866 /nfs/dbraw/zinc/90/18/66/1122901866.db2.gz WRXRJKXOCXPKQY-SJKOYZFVSA-N 1 2 269.392 3.610 20 0 CHADLO Cc1cc(N2CCC(F)(F)C[C@@H]2C)nc(C2CCC2)[nH+]1 ZINC000894765858 1122903230 /nfs/dbraw/zinc/90/32/30/1122903230.db2.gz OHRJRBKNCKQMLE-NSHDSACASA-N 1 2 281.350 3.677 20 0 CHADLO CC(C)Cc1ccc(C[N@H+](C)Cc2cscn2)cc1 ZINC000506952490 1122914730 /nfs/dbraw/zinc/91/47/30/1122914730.db2.gz GPDSKYFNXBFAQY-UHFFFAOYSA-N 1 2 274.433 3.974 20 0 CHADLO CC(C)Cc1ccc(C[N@@H+](C)Cc2cscn2)cc1 ZINC000506952490 1122914732 /nfs/dbraw/zinc/91/47/32/1122914732.db2.gz GPDSKYFNXBFAQY-UHFFFAOYSA-N 1 2 274.433 3.974 20 0 CHADLO Cc1cccc(NC(=S)Nc2cccc3[nH+]ccn32)c1C ZINC001196385691 1122919267 /nfs/dbraw/zinc/91/92/67/1122919267.db2.gz LQACSDXOFISXDX-UHFFFAOYSA-N 1 2 296.399 3.760 20 0 CHADLO COCc1nc(C[N@H+](C)[C@@H](C)c2ccccc2C)cs1 ZINC000507205119 1122936673 /nfs/dbraw/zinc/93/66/73/1122936673.db2.gz UHESZEFCHDZXFQ-ZDUSSCGKSA-N 1 2 290.432 3.791 20 0 CHADLO COCc1nc(C[N@@H+](C)[C@@H](C)c2ccccc2C)cs1 ZINC000507205119 1122936676 /nfs/dbraw/zinc/93/66/76/1122936676.db2.gz UHESZEFCHDZXFQ-ZDUSSCGKSA-N 1 2 290.432 3.791 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C)c2ccc(F)cc2Cl)nc(C)n1 ZINC000342810587 1122975463 /nfs/dbraw/zinc/97/54/63/1122975463.db2.gz BAMDOGRYKZLQHA-JTQLQIEISA-N 1 2 293.773 3.737 20 0 CHADLO CC(C)(C)OC(=O)[C@@H]([NH2+]C[Si](C)(C)C)c1ccccc1 ZINC001198174787 1122983141 /nfs/dbraw/zinc/98/31/41/1122983141.db2.gz XOQXYDOIBRBAHU-AWEZNQCLSA-N 1 2 293.483 3.536 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CCC[N@@H+]1Cc1cccnc1Cl ZINC000775867803 1122997140 /nfs/dbraw/zinc/99/71/40/1122997140.db2.gz SREIBSSBXALHFE-MWLCHTKSSA-N 1 2 292.732 3.898 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CCC[N@H+]1Cc1cccnc1Cl ZINC000775867803 1122997143 /nfs/dbraw/zinc/99/71/43/1122997143.db2.gz SREIBSSBXALHFE-MWLCHTKSSA-N 1 2 292.732 3.898 20 0 CHADLO CCc1ccc(-c2noc([C@H](C)[NH2+]C[C@@H](F)CC)n2)cc1 ZINC000440514378 1123009729 /nfs/dbraw/zinc/00/97/29/1123009729.db2.gz BWNBRJNEZRMMFW-FZMZJTMJSA-N 1 2 291.370 3.698 20 0 CHADLO CCc1[nH]c(CN(C)Cc2ccc(Cl)cc2)c(C)[nH+]1 ZINC001203244013 1123012487 /nfs/dbraw/zinc/01/24/87/1123012487.db2.gz QGQHEEMWRDIVHD-UHFFFAOYSA-N 1 2 277.799 3.566 20 0 CHADLO O=C1CC[N@@H+](Cc2ccc(Cl)cc2)[C@@H]2CCCC[C@H]12 ZINC001203918932 1123015698 /nfs/dbraw/zinc/01/56/98/1123015698.db2.gz MJMRJSLJESLTCT-LSDHHAIUSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2ccc(Cl)cc2)[C@@H]2CCCC[C@H]12 ZINC001203918932 1123015701 /nfs/dbraw/zinc/01/57/01/1123015701.db2.gz MJMRJSLJESLTCT-LSDHHAIUSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@@H+](Cc2ccc(Cl)cc2)[C@H]2CCCC[C@H]12 ZINC001203918930 1123015803 /nfs/dbraw/zinc/01/58/03/1123015803.db2.gz MJMRJSLJESLTCT-GJZGRUSLSA-N 1 2 277.795 3.674 20 0 CHADLO O=C1CC[N@H+](Cc2ccc(Cl)cc2)[C@H]2CCCC[C@H]12 ZINC001203918930 1123015807 /nfs/dbraw/zinc/01/58/07/1123015807.db2.gz MJMRJSLJESLTCT-GJZGRUSLSA-N 1 2 277.795 3.674 20 0 CHADLO CCOc1ccc(NCc2cc(-n3cc[nH+]c3)cs2)cc1 ZINC001199014621 1123017594 /nfs/dbraw/zinc/01/75/94/1123017594.db2.gz UBAYVIYOPLBBGW-UHFFFAOYSA-N 1 2 299.399 3.945 20 0 CHADLO COc1ccc(C[NH2+]C(C)(C)c2ncc(C)s2)c(F)c1 ZINC000519713891 1123020041 /nfs/dbraw/zinc/02/00/41/1123020041.db2.gz VMULTGINCBHRCS-UHFFFAOYSA-N 1 2 294.395 3.624 20 0 CHADLO COc1cccc2c(N(C)[C@@H](C)CCSC)cc[nH+]c12 ZINC000440656383 1123025084 /nfs/dbraw/zinc/02/50/84/1123025084.db2.gz CHHQMNGQMUVMAP-LBPRGKRZSA-N 1 2 290.432 3.821 20 0 CHADLO CC[C@H](F)C[N@H+](C)Cc1cc(Br)ccc1F ZINC000440694435 1123030465 /nfs/dbraw/zinc/03/04/65/1123030465.db2.gz OQPXDRTWTHIKKW-NSHDSACASA-N 1 2 292.167 3.768 20 0 CHADLO CC[C@H](F)C[N@@H+](C)Cc1cc(Br)ccc1F ZINC000440694435 1123030470 /nfs/dbraw/zinc/03/04/70/1123030470.db2.gz OQPXDRTWTHIKKW-NSHDSACASA-N 1 2 292.167 3.768 20 0 CHADLO Fc1ccccc1-c1ccccc1Cn1cc[nH+]c1 ZINC000143161049 1123034599 /nfs/dbraw/zinc/03/45/99/1123034599.db2.gz JJKONJOUYILXBO-UHFFFAOYSA-N 1 2 252.292 3.738 20 0 CHADLO CCC[C@@H](C)N(C)C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000455489679 1123050526 /nfs/dbraw/zinc/05/05/26/1123050526.db2.gz VYECMZKDTNKAAI-GFCCVEGCSA-N 1 2 263.385 3.659 20 0 CHADLO CCc1ccc([C@H](C)[NH2+]Cc2nccn2CC(C)C)o1 ZINC000164861603 1123057643 /nfs/dbraw/zinc/05/76/43/1123057643.db2.gz UNGXTCNDPXMJSM-ZDUSSCGKSA-N 1 2 275.396 3.545 20 0 CHADLO COC[C@H]([NH2+]Cc1ccc(C)cc1Cl)c1ccco1 ZINC000520238528 1123065748 /nfs/dbraw/zinc/06/57/48/1123065748.db2.gz MZHPZNCXRVHYDJ-AWEZNQCLSA-N 1 2 279.767 3.719 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2csnn2)CC(C)C)c1 ZINC000507738089 1123073542 /nfs/dbraw/zinc/07/35/42/1123073542.db2.gz GMWUBQYRXAOIHR-UHFFFAOYSA-N 1 2 275.421 3.505 20 0 CHADLO Cc1cc(C(N)=[NH+]OCc2ccc(Cl)cc2)ccc1F ZINC000049066045 1123074645 /nfs/dbraw/zinc/07/46/45/1123074645.db2.gz YADQDGVJORRPPS-UHFFFAOYSA-N 1 2 292.741 3.625 20 0 CHADLO Cc1cc(Nc2ccc(N)[nH+]c2)cc(C(F)(F)F)c1 ZINC001201285535 1123081352 /nfs/dbraw/zinc/08/13/52/1123081352.db2.gz KGEXQDLYORDEGI-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Nc1ccc(Nc2ccc3cnccc3c2Cl)c[nH+]1 ZINC001201292053 1123084089 /nfs/dbraw/zinc/08/40/89/1123084089.db2.gz ICAXOSRFHQZAAU-UHFFFAOYSA-N 1 2 270.723 3.609 20 0 CHADLO Nc1ccc(Nc2ccc(Cl)c(F)c2Cl)c[nH+]1 ZINC001201292079 1123084538 /nfs/dbraw/zinc/08/45/38/1123084538.db2.gz IXBCAONMMWJOTP-UHFFFAOYSA-N 1 2 272.110 3.853 20 0 CHADLO Clc1ncccc1CNc1ccc([NH+]2CCCC2)cc1 ZINC001201338982 1123088921 /nfs/dbraw/zinc/08/89/21/1123088921.db2.gz SZUVFNANFLDRFR-UHFFFAOYSA-N 1 2 287.794 3.947 20 0 CHADLO Clc1ccc(C[NH2+][C@@H]2COc3c2cccc3Cl)nc1 ZINC000776171988 1123101484 /nfs/dbraw/zinc/10/14/84/1123101484.db2.gz RBXSTXFQQKEPRA-CYBMUJFWSA-N 1 2 295.169 3.612 20 0 CHADLO Cc1nc2ccc(Nc3ccc([NH2+]C(C)C)cc3)cn2n1 ZINC001201489551 1123108341 /nfs/dbraw/zinc/10/83/41/1123108341.db2.gz SKSSDEJXTPJVIB-UHFFFAOYSA-N 1 2 281.363 3.602 20 0 CHADLO CC(C)[NH2+]c1ccc(Nc2ccnn2CC2CC2)cc1 ZINC001201489875 1123108797 /nfs/dbraw/zinc/10/87/97/1123108797.db2.gz WFHADNGSXZBMRI-UHFFFAOYSA-N 1 2 270.380 3.857 20 0 CHADLO CCc1cc2ncc(Nc3ccc([NH2+]C(C)C)cc3)cn2n1 ZINC001201490654 1123109395 /nfs/dbraw/zinc/10/93/95/1123109395.db2.gz ISUGVVDGIJTHQD-UHFFFAOYSA-N 1 2 295.390 3.856 20 0 CHADLO Cc1ccc(C)c(Nc2cncc(OC(C)C)c2)[nH+]1 ZINC001201542072 1123117099 /nfs/dbraw/zinc/11/70/99/1123117099.db2.gz IZYLBKLLMSXLKT-UHFFFAOYSA-N 1 2 257.337 3.624 20 0 CHADLO CC[C@@H]1C[C@H](CNc2ccc(N(CC)CC)[nH+]c2)CCO1 ZINC001201573246 1123126729 /nfs/dbraw/zinc/12/67/29/1123126729.db2.gz PTFMXMDUOKLMEI-GDBMZVCRSA-N 1 2 291.439 3.545 20 0 CHADLO CC[C@H]1C[C@@H](CNc2ccc(N(CC)CC)[nH+]c2)CCO1 ZINC001201573248 1123126880 /nfs/dbraw/zinc/12/68/80/1123126880.db2.gz PTFMXMDUOKLMEI-HOCLYGCPSA-N 1 2 291.439 3.545 20 0 CHADLO COCc1ccc(C[NH2+][C@@H](C)c2c(F)cccc2F)o1 ZINC000268789099 1129100406 /nfs/dbraw/zinc/10/04/06/1129100406.db2.gz LHSPIUYSESXJIU-JTQLQIEISA-N 1 2 281.302 3.555 20 0 CHADLO COc1ccccc1Nc1cccc2cc[nH+]cc21 ZINC000082792333 1123128138 /nfs/dbraw/zinc/12/81/38/1123128138.db2.gz WSVODVCHZOTMAO-UHFFFAOYSA-N 1 2 250.301 3.987 20 0 CHADLO CC[C@@H](C)n1nc(NCc2cc(C)[nH+]c(C)c2)cc1C ZINC001201674807 1123133443 /nfs/dbraw/zinc/13/34/43/1123133443.db2.gz DQOLIOCLRLSYKS-CYBMUJFWSA-N 1 2 272.396 3.786 20 0 CHADLO CCC[N@@H+]1CC[C@@H](Cc2ccc(F)cc2)C(F)(F)C1 ZINC001201652963 1123136583 /nfs/dbraw/zinc/13/65/83/1123136583.db2.gz ZHOOFGWDDLSNHF-ZDUSSCGKSA-N 1 2 271.326 3.735 20 0 CHADLO C[C@@H](C(=O)Nc1ccsc1Cl)[N@H+]1[C@H](C)CC[C@@H]1C ZINC000189499186 1123140093 /nfs/dbraw/zinc/14/00/93/1123140093.db2.gz IWSVTVFTZPPNEG-AEJSXWLSSA-N 1 2 286.828 3.601 20 0 CHADLO C[C@@H](C(=O)Nc1ccsc1Cl)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000189499186 1123140096 /nfs/dbraw/zinc/14/00/96/1123140096.db2.gz IWSVTVFTZPPNEG-AEJSXWLSSA-N 1 2 286.828 3.601 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@@H+]1C[C@@H](CO)CC(F)(F)C1 ZINC001201975458 1123158375 /nfs/dbraw/zinc/15/83/75/1123158375.db2.gz HRLADGCOQFDKBF-CABCVRRESA-N 1 2 289.410 3.709 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@H+]1C[C@@H](CO)CC(F)(F)C1 ZINC001201975458 1123158379 /nfs/dbraw/zinc/15/83/79/1123158379.db2.gz HRLADGCOQFDKBF-CABCVRRESA-N 1 2 289.410 3.709 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@@H+]1Cc2cnn(C)c2C1 ZINC001201983265 1123160491 /nfs/dbraw/zinc/16/04/91/1123160491.db2.gz ZUVPUJWJHWUVFK-CQSZACIVSA-N 1 2 261.413 3.508 20 0 CHADLO CC(C)=CCC[C@@H](C)CC[N@H+]1Cc2cnn(C)c2C1 ZINC001201983265 1123160493 /nfs/dbraw/zinc/16/04/93/1123160493.db2.gz ZUVPUJWJHWUVFK-CQSZACIVSA-N 1 2 261.413 3.508 20 0 CHADLO Cn1c2cc(F)ccc2nc1-c1ccc(-n2cc[nH+]c2)cc1 ZINC000178976363 1123181076 /nfs/dbraw/zinc/18/10/76/1123181076.db2.gz MYCHYXKXUWEOSC-UHFFFAOYSA-N 1 2 292.317 3.565 20 0 CHADLO Clc1ccc([C@@H]2CC[N@H+](Cc3ncc[nH]3)C2)cc1Cl ZINC001203983052 1123186198 /nfs/dbraw/zinc/18/61/98/1123186198.db2.gz FAIJNNSTLXKDLY-LLVKDONJSA-N 1 2 296.201 3.706 20 0 CHADLO Clc1ccc([C@@H]2CC[N@@H+](Cc3ncc[nH]3)C2)cc1Cl ZINC001203983052 1123186201 /nfs/dbraw/zinc/18/62/01/1123186201.db2.gz FAIJNNSTLXKDLY-LLVKDONJSA-N 1 2 296.201 3.706 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(Cl)c(Cl)c2)n(C)n1 ZINC000417741977 1123210767 /nfs/dbraw/zinc/21/07/67/1123210767.db2.gz JTKRONWMILNKMD-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(Cl)c(Cl)c2)n(C)n1 ZINC000417741977 1123210769 /nfs/dbraw/zinc/21/07/69/1123210769.db2.gz JTKRONWMILNKMD-UHFFFAOYSA-N 1 2 298.217 3.667 20 0 CHADLO Cc1cccnc1[C@H]([NH2+]Cc1noc2c1CCCC2)C(C)C ZINC000120930707 1123212888 /nfs/dbraw/zinc/21/28/88/1123212888.db2.gz IGADFBMCEFBZHD-QGZVFWFLSA-N 1 2 299.418 3.744 20 0 CHADLO Fc1cc(-c2ccccc2)ccc1C[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000507813135 1123221453 /nfs/dbraw/zinc/22/14/53/1123221453.db2.gz JDEKKEHEMFCUHR-HDICACEKSA-N 1 2 297.373 3.856 20 0 CHADLO Fc1cc(-c2ccccc2)ccc1C[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000507813135 1123221456 /nfs/dbraw/zinc/22/14/56/1123221456.db2.gz JDEKKEHEMFCUHR-HDICACEKSA-N 1 2 297.373 3.856 20 0 CHADLO CCCC[N@@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000507838536 1123237832 /nfs/dbraw/zinc/23/78/32/1123237832.db2.gz ZNJUBPKQHYTBMF-CQSZACIVSA-N 1 2 253.773 3.513 20 0 CHADLO CCCC[N@H+]1CCO[C@@H](c2ccccc2Cl)C1 ZINC000507838536 1123237835 /nfs/dbraw/zinc/23/78/35/1123237835.db2.gz ZNJUBPKQHYTBMF-CQSZACIVSA-N 1 2 253.773 3.513 20 0 CHADLO C[C@H]1C[C@@H](O)CC[N@@H+]1Cc1csc(C2CCCCC2)n1 ZINC000334537452 1123246931 /nfs/dbraw/zinc/24/69/31/1123246931.db2.gz LIFSMLYWHWXRHU-WFASDCNBSA-N 1 2 294.464 3.536 20 0 CHADLO C[C@H]1C[C@@H](O)CC[N@H+]1Cc1csc(C2CCCCC2)n1 ZINC000334537452 1123246934 /nfs/dbraw/zinc/24/69/34/1123246934.db2.gz LIFSMLYWHWXRHU-WFASDCNBSA-N 1 2 294.464 3.536 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H]1CC[C@H](C)c2ccsc21 ZINC000334543082 1123250177 /nfs/dbraw/zinc/25/01/77/1123250177.db2.gz CUUUNXYNBPDVPX-TVQRCGJNSA-N 1 2 261.394 3.508 20 0 CHADLO Cc1sccc1C(=O)Nc1c(C)cc(C)[nH+]c1C ZINC000334552698 1123252138 /nfs/dbraw/zinc/25/21/38/1123252138.db2.gz HJEFEWGWGLQYHE-UHFFFAOYSA-N 1 2 260.362 3.629 20 0 CHADLO Fc1ccc2c(c1)[C@@H]([NH2+]Cc1ccc(Cl)cc1F)CO2 ZINC000427895577 1123257775 /nfs/dbraw/zinc/25/77/75/1123257775.db2.gz SYJGRSBHWKFJIJ-AWEZNQCLSA-N 1 2 295.716 3.842 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cc2cnccc2o1)c1nccs1 ZINC000850433622 1123266071 /nfs/dbraw/zinc/26/60/71/1123266071.db2.gz OWDRGHRJKFXHJJ-VHSXEESVSA-N 1 2 273.361 3.696 20 0 CHADLO Cc1cc(NC2CC(CF)(CF)C2)ccc1[NH+](C)C ZINC000850455894 1123269982 /nfs/dbraw/zinc/26/99/82/1123269982.db2.gz VEZFXSKVJRKBIA-UHFFFAOYSA-N 1 2 268.351 3.561 20 0 CHADLO C[C@@H]1COCCN1c1ccc([NH2+][C@H]2CCCC23CC3)cc1 ZINC000850458599 1123270322 /nfs/dbraw/zinc/27/03/22/1123270322.db2.gz VROJDURWLDOXBT-PBHICJAKSA-N 1 2 286.419 3.656 20 0 CHADLO CCCc1nc(C)c(C[N@H+](C)Cc2ccccc2OC)o1 ZINC000428220711 1123282953 /nfs/dbraw/zinc/28/29/53/1123282953.db2.gz RTWQWTZBTPPXEL-UHFFFAOYSA-N 1 2 288.391 3.576 20 0 CHADLO CCCc1nc(C)c(C[N@@H+](C)Cc2ccccc2OC)o1 ZINC000428220711 1123282956 /nfs/dbraw/zinc/28/29/56/1123282956.db2.gz RTWQWTZBTPPXEL-UHFFFAOYSA-N 1 2 288.391 3.576 20 0 CHADLO c1cc(-c2nc(C[N@@H+]3C[C@@H]4C[C@H]3CS4)cs2)cs1 ZINC000335157737 1123311257 /nfs/dbraw/zinc/31/12/57/1123311257.db2.gz UTERJXOKPRDCIU-RYUDHWBXSA-N 1 2 294.470 3.561 20 0 CHADLO c1cc(-c2nc(C[N@H+]3C[C@@H]4C[C@H]3CS4)cs2)cs1 ZINC000335157737 1123311262 /nfs/dbraw/zinc/31/12/62/1123311262.db2.gz UTERJXOKPRDCIU-RYUDHWBXSA-N 1 2 294.470 3.561 20 0 CHADLO Cc1cc(C)c(NC(=O)N(C)Cc2cccs2)c(C)[nH+]1 ZINC000335159070 1123311317 /nfs/dbraw/zinc/31/13/17/1123311317.db2.gz UEGDGOQKGDPJQT-UHFFFAOYSA-N 1 2 289.404 3.732 20 0 CHADLO CC[C@H]([NH2+][C@@H](COC)c1ccco1)c1ccc(F)cc1F ZINC000156643747 1129114500 /nfs/dbraw/zinc/11/45/00/1129114500.db2.gz MFECVDSSTZORIJ-GJZGRUSLSA-N 1 2 295.329 3.986 20 0 CHADLO FC(F)(F)c1cc(C[N@@H+]2CCC[C@@H]2c2ccccc2)[nH]n1 ZINC000442805952 1123339415 /nfs/dbraw/zinc/33/94/15/1123339415.db2.gz BDHXHRJSKUWTGN-CYBMUJFWSA-N 1 2 295.308 3.766 20 0 CHADLO FC(F)(F)c1cc(C[N@H+]2CCC[C@@H]2c2ccccc2)[nH]n1 ZINC000442805952 1123339418 /nfs/dbraw/zinc/33/94/18/1123339418.db2.gz BDHXHRJSKUWTGN-CYBMUJFWSA-N 1 2 295.308 3.766 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC[C@H]2c2cccnc2)o1 ZINC000335329405 1123359652 /nfs/dbraw/zinc/35/96/52/1123359652.db2.gz SEWUDGOXNZRNDF-ZDUSSCGKSA-N 1 2 262.740 3.665 20 0 CHADLO Clc1ccc(C[N@H+]2CCC[C@H]2c2cccnc2)o1 ZINC000335329405 1123359655 /nfs/dbraw/zinc/35/96/55/1123359655.db2.gz SEWUDGOXNZRNDF-ZDUSSCGKSA-N 1 2 262.740 3.665 20 0 CHADLO Cc1cc(C)c(NC(=O)c2coc(C3CCCC3)n2)c(C)[nH+]1 ZINC000335353969 1123363150 /nfs/dbraw/zinc/36/31/50/1123363150.db2.gz RHRIHBZPDPFSSX-UHFFFAOYSA-N 1 2 299.374 3.905 20 0 CHADLO COCC[N@H+](CC=C(Cl)Cl)Cc1cccs1 ZINC000851712706 1123373930 /nfs/dbraw/zinc/37/39/30/1123373930.db2.gz UNGFZJOOFZWWJW-UHFFFAOYSA-N 1 2 280.220 3.516 20 0 CHADLO COCC[N@@H+](CC=C(Cl)Cl)Cc1cccs1 ZINC000851712706 1123373934 /nfs/dbraw/zinc/37/39/34/1123373934.db2.gz UNGFZJOOFZWWJW-UHFFFAOYSA-N 1 2 280.220 3.516 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@H]2CCCc3occc32)c(C)[nH+]1 ZINC000335586422 1123379864 /nfs/dbraw/zinc/37/98/64/1123379864.db2.gz OTVXPUOGVAGWMB-AWEZNQCLSA-N 1 2 299.374 3.799 20 0 CHADLO Cc1ccc(NC(=O)NCc2cc(C)[nH+]c(C)c2)c(C)c1 ZINC000335602036 1123383501 /nfs/dbraw/zinc/38/35/01/1123383501.db2.gz KXITWVDOVYWIKU-UHFFFAOYSA-N 1 2 283.375 3.637 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)CC=C(Cl)Cl ZINC000851842242 1123384847 /nfs/dbraw/zinc/38/48/47/1123384847.db2.gz STCNWUVNEXNYFS-SECBINFHSA-N 1 2 260.164 3.704 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)CC=C(Cl)Cl ZINC000851842242 1123384852 /nfs/dbraw/zinc/38/48/52/1123384852.db2.gz STCNWUVNEXNYFS-SECBINFHSA-N 1 2 260.164 3.704 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@@H+]1Cc1cccc(F)n1 ZINC000851887414 1123386454 /nfs/dbraw/zinc/38/64/54/1123386454.db2.gz OOVZOSLFLFJEQE-NEPJUHHUSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@@H]1Cc2cc(F)ccc2[C@H](C)[N@H+]1Cc1cccc(F)n1 ZINC000851887414 1123386458 /nfs/dbraw/zinc/38/64/58/1123386458.db2.gz OOVZOSLFLFJEQE-NEPJUHHUSA-N 1 2 288.341 3.868 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@@H+]1CC=C(Cl)Cl ZINC000851911444 1123389238 /nfs/dbraw/zinc/38/92/38/1123389238.db2.gz CNTMESGQDXVXEI-YUMQZZPRSA-N 1 2 276.129 3.968 20 0 CHADLO C[C@H]1CC[C@H](C(F)(F)F)C[N@H+]1CC=C(Cl)Cl ZINC000851911444 1123389242 /nfs/dbraw/zinc/38/92/42/1123389242.db2.gz CNTMESGQDXVXEI-YUMQZZPRSA-N 1 2 276.129 3.968 20 0 CHADLO C[N@H+](CC=C(Cl)Cl)[C@@H](CO)c1ccc(Cl)cc1 ZINC000852113460 1123398173 /nfs/dbraw/zinc/39/81/73/1123398173.db2.gz ABHYQLITNJJJDU-NSHDSACASA-N 1 2 294.609 3.624 20 0 CHADLO C[N@@H+](CC=C(Cl)Cl)[C@@H](CO)c1ccc(Cl)cc1 ZINC000852113460 1123398177 /nfs/dbraw/zinc/39/81/77/1123398177.db2.gz ABHYQLITNJJJDU-NSHDSACASA-N 1 2 294.609 3.624 20 0 CHADLO C[N@H+](CC=C(Cl)Cl)[C@H](CO)c1ccc(Cl)cc1 ZINC000852113459 1123398367 /nfs/dbraw/zinc/39/83/67/1123398367.db2.gz ABHYQLITNJJJDU-LLVKDONJSA-N 1 2 294.609 3.624 20 0 CHADLO C[N@@H+](CC=C(Cl)Cl)[C@H](CO)c1ccc(Cl)cc1 ZINC000852113459 1123398369 /nfs/dbraw/zinc/39/83/69/1123398369.db2.gz ABHYQLITNJJJDU-LLVKDONJSA-N 1 2 294.609 3.624 20 0 CHADLO CC(C)(C)c1coc(C[N@@H+]2CCC[C@@]3(CC3(F)F)C2)n1 ZINC000895181741 1123399208 /nfs/dbraw/zinc/39/92/08/1123399208.db2.gz YRDFKQYDJIOYSJ-CQSZACIVSA-N 1 2 284.350 3.593 20 0 CHADLO CC(C)(C)c1coc(C[N@H+]2CCC[C@@]3(CC3(F)F)C2)n1 ZINC000895181741 1123399212 /nfs/dbraw/zinc/39/92/12/1123399212.db2.gz YRDFKQYDJIOYSJ-CQSZACIVSA-N 1 2 284.350 3.593 20 0 CHADLO COCc1cc[nH+]c(NCc2ccc(C(F)F)c(F)c2)c1 ZINC000895186273 1123402058 /nfs/dbraw/zinc/40/20/58/1123402058.db2.gz JCXMTJHKUWSDFU-UHFFFAOYSA-N 1 2 296.292 3.917 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc2ccccc2n1)c1c(F)cccc1F ZINC000314631765 1123402130 /nfs/dbraw/zinc/40/21/30/1123402130.db2.gz YLPPQWGCMVRQJN-LLVKDONJSA-N 1 2 299.324 3.759 20 0 CHADLO Cl/C=C\C[N@@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000852426810 1123405657 /nfs/dbraw/zinc/40/56/57/1123405657.db2.gz OOAVUTHYSXLMQJ-IBSXUBTNSA-N 1 2 251.757 3.949 20 0 CHADLO Cl/C=C\C[N@H+]1CC2(CCCC2)[C@H]1c1ccco1 ZINC000852426810 1123405659 /nfs/dbraw/zinc/40/56/59/1123405659.db2.gz OOAVUTHYSXLMQJ-IBSXUBTNSA-N 1 2 251.757 3.949 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@@H](C)c2nccs2)cnn1C(C)(C)C ZINC000191273594 1123417396 /nfs/dbraw/zinc/41/73/96/1123417396.db2.gz WXUAAIZCILESAV-MNOVXSKESA-N 1 2 292.452 3.815 20 0 CHADLO C[N@@H+](Cc1ccccc1)Cn1ncc(Cl)c1C1CC1 ZINC000853488230 1123442677 /nfs/dbraw/zinc/44/26/77/1123442677.db2.gz YANBYQQXOFINTI-UHFFFAOYSA-N 1 2 275.783 3.503 20 0 CHADLO C[N@H+](Cc1ccccc1)Cn1ncc(Cl)c1C1CC1 ZINC000853488230 1123442682 /nfs/dbraw/zinc/44/26/82/1123442682.db2.gz YANBYQQXOFINTI-UHFFFAOYSA-N 1 2 275.783 3.503 20 0 CHADLO Cc1ccccc1-n1nccc1C[N@@H+](C)[C@H](C)c1ccco1 ZINC000474121233 1123454301 /nfs/dbraw/zinc/45/43/01/1123454301.db2.gz VNVGTGPYIWTHTN-OAHLLOKOSA-N 1 2 295.386 3.967 20 0 CHADLO Cc1ccccc1-n1nccc1C[N@H+](C)[C@H](C)c1ccco1 ZINC000474121233 1123454305 /nfs/dbraw/zinc/45/43/05/1123454305.db2.gz VNVGTGPYIWTHTN-OAHLLOKOSA-N 1 2 295.386 3.967 20 0 CHADLO CCc1ccc(CNc2ccc(N3CCCCC3)[nH+]c2)o1 ZINC000051722992 1123470093 /nfs/dbraw/zinc/47/00/93/1123470093.db2.gz DXEAUBPYVACOBW-UHFFFAOYSA-N 1 2 285.391 3.839 20 0 CHADLO Cc1ccc2cc(C[N@@H+](C)Cc3ccns3)[nH]c2c1 ZINC000895452726 1123482554 /nfs/dbraw/zinc/48/25/54/1123482554.db2.gz SXHJCZDNDNVQDN-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO Cc1ccc2cc(C[N@H+](C)Cc3ccns3)[nH]c2c1 ZINC000895452726 1123482557 /nfs/dbraw/zinc/48/25/57/1123482557.db2.gz SXHJCZDNDNVQDN-UHFFFAOYSA-N 1 2 271.389 3.565 20 0 CHADLO COC[C@@H](Cc1ccccc1)Nc1ccc([NH+](C)C)cc1C ZINC000776765623 1123500971 /nfs/dbraw/zinc/50/09/71/1123500971.db2.gz HMTUTQXJVDYEJO-QGZVFWFLSA-N 1 2 298.430 3.731 20 0 CHADLO CCCC[C@H](COC)Nc1ccc([NH+]2CCCC2)cc1 ZINC000776802077 1123512476 /nfs/dbraw/zinc/51/24/76/1123512476.db2.gz BMVIKEWTFPKYFA-MRXNPFEDSA-N 1 2 276.424 3.904 20 0 CHADLO CC(C)CN(C(=O)c1cccc2[nH+]ccn21)c1ccccc1 ZINC000776807086 1123514433 /nfs/dbraw/zinc/51/44/33/1123514433.db2.gz WIWXTUMMPJKCKC-UHFFFAOYSA-N 1 2 293.370 3.637 20 0 CHADLO Cc1cc(N[C@@H](c2ccccn2)C2CC2)nc(C2CC2)[nH+]1 ZINC000895793635 1123515074 /nfs/dbraw/zinc/51/50/74/1123515074.db2.gz NYVIPGMGBYXKSN-MRXNPFEDSA-N 1 2 280.375 3.621 20 0 CHADLO C[C@H]1CCC[C@@H](C2C[NH+](Cc3nnc(C4CC4)s3)C2)C1 ZINC000895862110 1123520368 /nfs/dbraw/zinc/52/03/68/1123520368.db2.gz VLYCRXHGTYZCIF-WCQYABFASA-N 1 2 291.464 3.674 20 0 CHADLO Fc1ccc2occ(C[N@@H+]3CCc4ncsc4C3)c2c1 ZINC001141854922 1123530115 /nfs/dbraw/zinc/53/01/15/1123530115.db2.gz WEIDCRLNTGQTDQ-UHFFFAOYSA-N 1 2 288.347 3.587 20 0 CHADLO Fc1ccc2occ(C[N@H+]3CCc4ncsc4C3)c2c1 ZINC001141854922 1123530117 /nfs/dbraw/zinc/53/01/17/1123530117.db2.gz WEIDCRLNTGQTDQ-UHFFFAOYSA-N 1 2 288.347 3.587 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NC(C)(C)/C=C/Cl ZINC000895916089 1123531234 /nfs/dbraw/zinc/53/12/34/1123531234.db2.gz VKLHFAMTUQODFR-VOTSOKGWSA-N 1 2 293.802 3.524 20 0 CHADLO CCOc1ccc(C[NH2+][C@@H]2c3ccccc3CC[C@@H]2F)o1 ZINC000896030503 1123544193 /nfs/dbraw/zinc/54/41/93/1123544193.db2.gz MADJYDRAJBVJRF-DOTOQJQBSA-N 1 2 289.350 3.794 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1nnc(C(C)(C)C)o1 ZINC000114492496 1123564777 /nfs/dbraw/zinc/56/47/77/1123564777.db2.gz KGDIGWXIHYTJTQ-HNNXBMFYSA-N 1 2 299.418 3.877 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1nnc(C(C)(C)C)o1 ZINC000114492496 1123564783 /nfs/dbraw/zinc/56/47/83/1123564783.db2.gz KGDIGWXIHYTJTQ-HNNXBMFYSA-N 1 2 299.418 3.877 20 0 CHADLO CC[C@@H]([NH2+]Cc1cn(C)nc1Cl)c1ccc(F)cc1F ZINC000856769832 1123567421 /nfs/dbraw/zinc/56/74/21/1123567421.db2.gz DRHWXWRVAJRHGQ-CYBMUJFWSA-N 1 2 299.752 3.593 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nnc(C)s1)c1ccccc1F ZINC000274278275 1129130295 /nfs/dbraw/zinc/13/02/95/1129130295.db2.gz XYDIAZPPYLSCIE-NOZJJQNGSA-N 1 2 279.384 3.788 20 0 CHADLO Cc1ccc([C@H](C)[N@H+](C)Cc2cn(C)nc2Cl)cc1 ZINC000857012322 1123577783 /nfs/dbraw/zinc/57/77/83/1123577783.db2.gz DUOUFKWJICIYEQ-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO Cc1ccc([C@H](C)[N@@H+](C)Cc2cn(C)nc2Cl)cc1 ZINC000857012322 1123577789 /nfs/dbraw/zinc/57/77/89/1123577789.db2.gz DUOUFKWJICIYEQ-LBPRGKRZSA-N 1 2 277.799 3.575 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](Cc1cn(C)nc1Cl)C1CC1 ZINC000857012827 1123578143 /nfs/dbraw/zinc/57/81/43/1123578143.db2.gz VLKQRFLBNUGSDE-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](Cc1cn(C)nc1Cl)C1CC1 ZINC000857012827 1123578147 /nfs/dbraw/zinc/57/81/47/1123578147.db2.gz VLKQRFLBNUGSDE-LBPRGKRZSA-N 1 2 289.810 3.799 20 0 CHADLO Cc1nc(N2CC[C@H](Cc3ccc(F)cc3)C2)c(C)c(C)[nH+]1 ZINC000474781463 1123586202 /nfs/dbraw/zinc/58/62/02/1123586202.db2.gz YUOWKYJVKRUXNC-MRXNPFEDSA-N 1 2 299.393 3.610 20 0 CHADLO c1ccc2c(c1)cc[nH+]c2N1CCC[C@@H](C2CCOCC2)C1 ZINC000896591985 1123602998 /nfs/dbraw/zinc/60/29/98/1123602998.db2.gz QBBBIHNCIASJRT-QGZVFWFLSA-N 1 2 296.414 3.878 20 0 CHADLO C/C(=C/C(=O)Nc1c(C)cc(C)[nH+]c1C)c1ccco1 ZINC000857574710 1123608276 /nfs/dbraw/zinc/60/82/76/1123608276.db2.gz BMZHUBBRDIOSGC-KTKRTIGZSA-N 1 2 270.332 3.642 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H]2CCc3ccc(Cl)nc32)n1 ZINC000857903933 1123625028 /nfs/dbraw/zinc/62/50/28/1123625028.db2.gz SINRQNWRSDNHAG-KOLCDFICSA-N 1 2 293.823 3.838 20 0 CHADLO Fc1cccc(Br)c1CNc1cccc[nH+]1 ZINC000192488420 1123642212 /nfs/dbraw/zinc/64/22/12/1123642212.db2.gz QZQRFBVUVAYHCI-UHFFFAOYSA-N 1 2 281.128 3.595 20 0 CHADLO CCC(F)(F)C[NH2+][C@H](C)c1ccc(N2CCCC2=O)cc1 ZINC000858508094 1123658916 /nfs/dbraw/zinc/65/89/16/1123658916.db2.gz MOSBLAKLNXJCPT-GFCCVEGCSA-N 1 2 296.361 3.509 20 0 CHADLO CCc1cnc(C[N@@H+]2C[C@H](C)[C@@H]2c2ccccc2)s1 ZINC000346204155 1123672292 /nfs/dbraw/zinc/67/22/92/1123672292.db2.gz PBWBOUHQHHSRMH-BLLLJJGKSA-N 1 2 272.417 3.899 20 0 CHADLO CCc1cnc(C[N@H+]2C[C@H](C)[C@@H]2c2ccccc2)s1 ZINC000346204155 1123672296 /nfs/dbraw/zinc/67/22/96/1123672296.db2.gz PBWBOUHQHHSRMH-BLLLJJGKSA-N 1 2 272.417 3.899 20 0 CHADLO COc1ccc(Cl)cc1C[N@H+](C)Cc1ccnc(F)c1 ZINC000859050826 1123681441 /nfs/dbraw/zinc/68/14/41/1123681441.db2.gz SOBQJRIAJCPLHS-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO COc1ccc(Cl)cc1C[N@@H+](C)Cc1ccnc(F)c1 ZINC000859050826 1123681443 /nfs/dbraw/zinc/68/14/43/1123681443.db2.gz SOBQJRIAJCPLHS-UHFFFAOYSA-N 1 2 294.757 3.515 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@H+]1Cc1cc(Cl)n(C)n1 ZINC000859142033 1123687158 /nfs/dbraw/zinc/68/71/58/1123687158.db2.gz OSEOQNCHFOBYRN-HNNXBMFYSA-N 1 2 289.810 3.583 20 0 CHADLO CC[C@H]1c2ccccc2CC[N@@H+]1Cc1cc(Cl)n(C)n1 ZINC000859142033 1123687160 /nfs/dbraw/zinc/68/71/60/1123687160.db2.gz OSEOQNCHFOBYRN-HNNXBMFYSA-N 1 2 289.810 3.583 20 0 CHADLO Oc1ccc(Cl)c(C[NH2+]C2(c3ccccc3F)CC2)c1 ZINC000859235507 1123691811 /nfs/dbraw/zinc/69/18/11/1123691811.db2.gz RCHLJWPPYZZVNQ-UHFFFAOYSA-N 1 2 291.753 3.964 20 0 CHADLO CC1(C)C[N@H+](Cc2ccnc(F)c2)[C@@H]1c1cccs1 ZINC000859305828 1123694254 /nfs/dbraw/zinc/69/42/54/1123694254.db2.gz PVEMQMAPULSQMX-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO CC1(C)C[N@@H+](Cc2ccnc(F)c2)[C@@H]1c1cccs1 ZINC000859305828 1123694255 /nfs/dbraw/zinc/69/42/55/1123694255.db2.gz PVEMQMAPULSQMX-CQSZACIVSA-N 1 2 276.380 3.865 20 0 CHADLO C[C@H](CCC1CC1)Nc1ccc(N2CCCC2)c[nH+]1 ZINC000346622755 1123699216 /nfs/dbraw/zinc/69/92/16/1123699216.db2.gz UVZPZLWRKHAYJH-CYBMUJFWSA-N 1 2 259.397 3.672 20 0 CHADLO Cc1cccc(C[N@@H+](C)Cc2ccc(Cl)cc2F)n1 ZINC000346649508 1123701095 /nfs/dbraw/zinc/70/10/95/1123701095.db2.gz UOGJWHNVCCICKD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cccc(C[N@H+](C)Cc2ccc(Cl)cc2F)n1 ZINC000346649508 1123701100 /nfs/dbraw/zinc/70/11/00/1123701100.db2.gz UOGJWHNVCCICKD-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(N(C)[C@@H]2CCc3ccccc32)nc(C2CC2)[nH+]1 ZINC000346650985 1123701472 /nfs/dbraw/zinc/70/14/72/1123701472.db2.gz IXACJEKVFMODJJ-MRXNPFEDSA-N 1 2 279.387 3.786 20 0 CHADLO FC(F)n1ccc(C[NH2+]C2(c3ccc(Cl)cc3)CC2)n1 ZINC000346685349 1123709004 /nfs/dbraw/zinc/70/90/04/1123709004.db2.gz IUSHQECOKPYLEM-UHFFFAOYSA-N 1 2 297.736 3.711 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ccns1)c1nccn1-c1ccccc1 ZINC000860062251 1123720308 /nfs/dbraw/zinc/72/03/08/1123720308.db2.gz SJXQCYAKIYNKQU-QWHCGFSZSA-N 1 2 298.415 3.741 20 0 CHADLO Fc1cccc(C[NH2+]C2(c3c(F)cccc3F)CCC2)n1 ZINC000860205906 1123725792 /nfs/dbraw/zinc/72/57/92/1123725792.db2.gz WROIRCOOIMXQFE-UHFFFAOYSA-N 1 2 292.304 3.668 20 0 CHADLO CCCCC[N@H+](CC(=O)OC)Cc1ccc(Cl)cc1 ZINC000522778292 1123727965 /nfs/dbraw/zinc/72/79/65/1123727965.db2.gz MKEQHBZVKRWVSV-UHFFFAOYSA-N 1 2 283.799 3.505 20 0 CHADLO CCCCC[N@@H+](CC(=O)OC)Cc1ccc(Cl)cc1 ZINC000522778292 1123727969 /nfs/dbraw/zinc/72/79/69/1123727969.db2.gz MKEQHBZVKRWVSV-UHFFFAOYSA-N 1 2 283.799 3.505 20 0 CHADLO C[C@H]([NH2+]C1(c2ccccc2F)CC1)c1ccc(F)cn1 ZINC000346922815 1123731304 /nfs/dbraw/zinc/73/13/04/1123731304.db2.gz OHZFCLBJYUOMKP-NSHDSACASA-N 1 2 274.314 3.700 20 0 CHADLO Cc1sc(C[NH2+][C@H](C)c2ccccn2)nc1C(C)C ZINC000346990914 1123739897 /nfs/dbraw/zinc/73/98/97/1123739897.db2.gz XQUSKQNPMBZYAX-LLVKDONJSA-N 1 2 275.421 3.821 20 0 CHADLO CSc1ccc([C@@H](C)Nc2cc[nH+]c(C)n2)cc1 ZINC000281907658 1123749456 /nfs/dbraw/zinc/74/94/56/1123749456.db2.gz QAGGMTQZGYSFPJ-SNVBAGLBSA-N 1 2 259.378 3.680 20 0 CHADLO C[C@H]1CC(F)(F)CCN1c1cc2ccccc2c[nH+]1 ZINC000631402126 1123752630 /nfs/dbraw/zinc/75/26/30/1123752630.db2.gz MLDIQYRTIYGATL-NSHDSACASA-N 1 2 262.303 3.859 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+]Cc1nc(C)c(C)o1 ZINC000281975669 1123764513 /nfs/dbraw/zinc/76/45/13/1123764513.db2.gz HEVMYJVDDXFWFQ-LLVKDONJSA-N 1 2 276.405 3.864 20 0 CHADLO CC(C)c1cnc(N2CC[C@H](C)[C@@H](n3cc[nH+]c3)C2)s1 ZINC000336398126 1123768628 /nfs/dbraw/zinc/76/86/28/1123768628.db2.gz UQAFCEZVZVKLQR-STQMWFEESA-N 1 2 290.436 3.551 20 0 CHADLO CCC(F)(F)C[NH+]1CCC(c2c[nH]c3ncccc23)CC1 ZINC000639531048 1123773239 /nfs/dbraw/zinc/77/32/39/1123773239.db2.gz RWMJVDSSWZHJDD-UHFFFAOYSA-N 1 2 293.361 3.788 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@@H+]1Cc1cc(F)c(F)cc1F ZINC000475282130 1123834223 /nfs/dbraw/zinc/83/42/23/1123834223.db2.gz UOXMZKPRUIVQIL-VXNVDRBHSA-N 1 2 297.242 3.877 20 0 CHADLO C[C@@H]1[C@H](C(F)(F)F)CC[N@H+]1Cc1cc(F)c(F)cc1F ZINC000475282130 1123834228 /nfs/dbraw/zinc/83/42/28/1123834228.db2.gz UOXMZKPRUIVQIL-VXNVDRBHSA-N 1 2 297.242 3.877 20 0 CHADLO CCC(=CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1)CC ZINC000119292192 1123847523 /nfs/dbraw/zinc/84/75/23/1123847523.db2.gz KYADXYGTTHVFHD-UHFFFAOYSA-N 1 2 269.348 3.557 20 0 CHADLO CSc1ccc(C[N@@H+]2CC[C@](C)(F)[C@H](F)C2)s1 ZINC001142018881 1123862172 /nfs/dbraw/zinc/86/21/72/1123862172.db2.gz ZSFJYOOCAWXYGI-PWSUYJOCSA-N 1 2 277.405 3.742 20 0 CHADLO CSc1ccc(C[N@H+]2CC[C@](C)(F)[C@H](F)C2)s1 ZINC001142018881 1123862177 /nfs/dbraw/zinc/86/21/77/1123862177.db2.gz ZSFJYOOCAWXYGI-PWSUYJOCSA-N 1 2 277.405 3.742 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc3c(c2)OCO3)nc(C(C)C)[nH+]1 ZINC000301072736 1123871535 /nfs/dbraw/zinc/87/15/35/1123871535.db2.gz ICOFMFCWPGIPNE-LBPRGKRZSA-N 1 2 299.374 3.810 20 0 CHADLO Cc1cc(N(C)Cc2ccccc2)nc(C(C)C)[nH+]1 ZINC000301071255 1123871713 /nfs/dbraw/zinc/87/17/13/1123871713.db2.gz ULDIAYUUGAYXEM-UHFFFAOYSA-N 1 2 255.365 3.545 20 0 CHADLO CCC(F)(F)C[NH2+]C[C@@H](O)c1ccc(Cl)cc1Cl ZINC000863578333 1123876890 /nfs/dbraw/zinc/87/68/90/1123876890.db2.gz WSQYGTFFHFDYEV-LLVKDONJSA-N 1 2 298.160 3.662 20 0 CHADLO Clc1ccc(C2(CNc3cccc[nH+]3)CC2)cc1 ZINC000301148449 1123877869 /nfs/dbraw/zinc/87/78/69/1123877869.db2.gz LFGVKXMEMCHAEV-UHFFFAOYSA-N 1 2 258.752 3.879 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(N3CCCCC3)nc[nH+]2)cc1 ZINC000301284895 1123888456 /nfs/dbraw/zinc/88/84/56/1123888456.db2.gz NVZFEFDIRBLBCD-OAHLLOKOSA-N 1 2 296.418 3.948 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(N3CCCCC3)[nH+]cn2)cc1 ZINC000301284895 1123888464 /nfs/dbraw/zinc/88/84/64/1123888464.db2.gz NVZFEFDIRBLBCD-OAHLLOKOSA-N 1 2 296.418 3.948 20 0 CHADLO O[C@H](CNc1cccc[nH+]1)c1cc(Cl)cc(Cl)c1 ZINC000301301047 1123889451 /nfs/dbraw/zinc/88/94/51/1123889451.db2.gz UXESDUOQBKHFNK-GFCCVEGCSA-N 1 2 283.158 3.534 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@H+]1Cc1cncc(Cl)c1 ZINC000621245229 1129152400 /nfs/dbraw/zinc/15/24/00/1129152400.db2.gz UHTHDJLPTYJFAL-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@@H]1c2ccsc2CC[N@@H+]1Cc1cncc(Cl)c1 ZINC000621245229 1129152403 /nfs/dbraw/zinc/15/24/03/1129152403.db2.gz UHTHDJLPTYJFAL-SNVBAGLBSA-N 1 2 278.808 3.916 20 0 CHADLO C[C@H](CNc1cccc[nH+]1)Oc1ccc(Cl)cc1 ZINC000301418416 1123899730 /nfs/dbraw/zinc/89/97/30/1123899730.db2.gz BUSLCYUJQCNGAW-LLVKDONJSA-N 1 2 262.740 3.614 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000339064504 1123901115 /nfs/dbraw/zinc/90/11/15/1123901115.db2.gz JBIVNTNCKUKBCX-INIZCTEOSA-N 1 2 284.407 3.574 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCC[C@H]1c1ncc[nH]1 ZINC000339064504 1123901118 /nfs/dbraw/zinc/90/11/18/1123901118.db2.gz JBIVNTNCKUKBCX-INIZCTEOSA-N 1 2 284.407 3.574 20 0 CHADLO c1c2c(oc1-c1ccccc1)CN(c1cccc[nH+]1)CC2 ZINC000301471629 1123902982 /nfs/dbraw/zinc/90/29/82/1123902982.db2.gz KAATUFFSPRHXLT-UHFFFAOYSA-N 1 2 276.339 3.904 20 0 CHADLO Clc1ccccc1C[C@@H]1CCCN1c1cccc[nH+]1 ZINC000301539048 1123909793 /nfs/dbraw/zinc/90/97/93/1123909793.db2.gz NMCMRCPSZUQEGW-AWEZNQCLSA-N 1 2 272.779 3.947 20 0 CHADLO Cc1cc(N[C@@H]2CCc3c2cccc3O)nc(C(C)C)[nH+]1 ZINC000301627114 1123918473 /nfs/dbraw/zinc/91/84/73/1123918473.db2.gz BERAUHXRZOEZHH-CQSZACIVSA-N 1 2 283.375 3.713 20 0 CHADLO Cc1cc(N2CCC[C@H]3CCC[C@@H]32)nc(C(C)C)[nH+]1 ZINC000301639957 1123919680 /nfs/dbraw/zinc/91/96/80/1123919680.db2.gz GEPFOAPHHGSULE-KGLIPLIRSA-N 1 2 259.397 3.677 20 0 CHADLO Cc1cc(N2CCS[C@H]3CCCC[C@H]32)nc(C(C)C)[nH+]1 ZINC000301681302 1123923561 /nfs/dbraw/zinc/92/35/61/1123923561.db2.gz VDMGYQHXXMYPJC-KGLIPLIRSA-N 1 2 291.464 3.773 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@@H]2/C=C\c2ccccc2)[nH]1 ZINC000428343906 1123925549 /nfs/dbraw/zinc/92/55/49/1123925549.db2.gz FADFXXPIJZPHEK-BLIJAFNYSA-N 1 2 296.418 3.606 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@@H]2/C=C\c2ccccc2)[nH]1 ZINC000428343906 1123925550 /nfs/dbraw/zinc/92/55/50/1123925550.db2.gz FADFXXPIJZPHEK-BLIJAFNYSA-N 1 2 296.418 3.606 20 0 CHADLO Cc1nc(N2C[C@H](c3ccc(F)cc3)C[C@@H]2C)c(C)c(C)[nH+]1 ZINC000301715348 1123926967 /nfs/dbraw/zinc/92/69/67/1123926967.db2.gz ZXQKPVDGZIHVFP-MEDUHNTESA-N 1 2 299.393 3.923 20 0 CHADLO CN(Cc1ccccc1Cl)c1nc(N)c2ccccc2[nH+]1 ZINC000301764928 1123930619 /nfs/dbraw/zinc/93/06/19/1123930619.db2.gz GYIIGLDSDBJGTI-UHFFFAOYSA-N 1 2 298.777 3.502 20 0 CHADLO C[C@@H](Nc1[nH+]cnc2c1cnn2C(C)(C)C)c1ccccc1 ZINC000301830152 1123936195 /nfs/dbraw/zinc/93/61/95/1123936195.db2.gz HIAFAFLKYVVUHK-GFCCVEGCSA-N 1 2 295.390 3.754 20 0 CHADLO Nc1nc(NCc2cc3ccccc3o2)[nH+]c2ccccc12 ZINC000301895141 1123941663 /nfs/dbraw/zinc/94/16/63/1123941663.db2.gz TXHUBMWNBDRPQX-UHFFFAOYSA-N 1 2 290.326 3.570 20 0 CHADLO CC(C)(C)n1ncc2c1nc[nH+]c2NCCC1CCCC1 ZINC000301897475 1123942051 /nfs/dbraw/zinc/94/20/51/1123942051.db2.gz RHJDZTLPVNQUDW-UHFFFAOYSA-N 1 2 287.411 3.574 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NC1C[C@H](C)O[C@@H](C)C1 ZINC000301983940 1123947938 /nfs/dbraw/zinc/94/79/38/1123947938.db2.gz YMIIPQLEUADETM-STQMWFEESA-N 1 2 270.376 3.911 20 0 CHADLO CCSCC[C@@H](C)Nc1nc(C)[nH+]c2c1CCCC2 ZINC000302059618 1123952235 /nfs/dbraw/zinc/95/22/35/1123952235.db2.gz BEXBLLKHQAGZMG-LLVKDONJSA-N 1 2 279.453 3.607 20 0 CHADLO CCCc1nc(C)c(C[NH2+][C@H](CC)c2nc(C)cs2)o1 ZINC000428347545 1123960122 /nfs/dbraw/zinc/96/01/22/1123960122.db2.gz KKXNEIOSWDXLAJ-GFCCVEGCSA-N 1 2 293.436 3.941 20 0 CHADLO Cc1ccc(SC[C@@H](C)Nc2cccc[nH+]2)cc1 ZINC000302234413 1123963079 /nfs/dbraw/zinc/96/30/79/1123963079.db2.gz DKSDEHNXIANAFJ-CYBMUJFWSA-N 1 2 258.390 3.983 20 0 CHADLO Clc1cncc(C[N@@H+]2CC[C@H]2c2ccccc2)c1 ZINC000621261205 1129156599 /nfs/dbraw/zinc/15/65/99/1129156599.db2.gz IBEZQRYREVRMGD-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1cncc(C[N@H+]2CC[C@H]2c2ccccc2)c1 ZINC000621261205 1129156601 /nfs/dbraw/zinc/15/66/01/1129156601.db2.gz IBEZQRYREVRMGD-HNNXBMFYSA-N 1 2 258.752 3.682 20 0 CHADLO COc1cccc2c(N[C@@H]3CCC[C@@H]4OCC[C@H]43)cc[nH+]c12 ZINC000302530313 1123971508 /nfs/dbraw/zinc/97/15/08/1123971508.db2.gz LEACYERFPUEQMK-BJJXKVORSA-N 1 2 298.386 3.613 20 0 CHADLO COc1cccc2c(N3CCSC(C)(C)C3)cc[nH+]c12 ZINC000302573100 1123972829 /nfs/dbraw/zinc/97/28/29/1123972829.db2.gz ZOVUXSAJAUKESI-UHFFFAOYSA-N 1 2 288.416 3.575 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)c1ccc(F)cc1)c1ncc[nH]1 ZINC000336859425 1123973265 /nfs/dbraw/zinc/97/32/65/1123973265.db2.gz HJNXUQZRQPRIMM-SUMWQHHRSA-N 1 2 295.361 3.989 20 0 CHADLO CC(C)c1cc(N[C@@H]2C[C@@H]3OCCC[C@H]23)nc(C(C)C)[nH+]1 ZINC000302574462 1123973299 /nfs/dbraw/zinc/97/32/99/1123973299.db2.gz RGEJLLZEPRXYQM-YUELXQCFSA-N 1 2 289.423 3.703 20 0 CHADLO Cc1cc(N[C@@H]2C[C@H]2c2ccc(F)c(F)c2)c[nH+]c1C ZINC001168067058 1123973742 /nfs/dbraw/zinc/97/37/42/1123973742.db2.gz FLFYQOGXWPKXOT-XJKSGUPXSA-N 1 2 274.314 3.945 20 0 CHADLO Clc1cncc(C[N@@H+]2CC[C@@H]2c2ccccc2)c1 ZINC000621261206 1129156979 /nfs/dbraw/zinc/15/69/79/1129156979.db2.gz IBEZQRYREVRMGD-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Clc1cncc(C[N@H+]2CC[C@@H]2c2ccccc2)c1 ZINC000621261206 1129156981 /nfs/dbraw/zinc/15/69/81/1129156981.db2.gz IBEZQRYREVRMGD-OAHLLOKOSA-N 1 2 258.752 3.682 20 0 CHADLO Cc1cc(C)c(NC(=O)Cn2c[nH+]c(C(C)(C)C)c2)c(C)c1 ZINC000865375174 1123976543 /nfs/dbraw/zinc/97/65/43/1123976543.db2.gz RLVCMHJRGGSYKI-UHFFFAOYSA-N 1 2 299.418 3.745 20 0 CHADLO CC(C)(C)c1cn(CCCCC2CCOCC2)c[nH+]1 ZINC000865376426 1123977365 /nfs/dbraw/zinc/97/73/65/1123977365.db2.gz MUFMXXUMMVPVLH-UHFFFAOYSA-N 1 2 264.413 3.778 20 0 CHADLO C[C@H]([NH2+][C@@H](c1ccccc1)c1ccc(F)cc1)c1ncc[nH]1 ZINC000336859424 1123977483 /nfs/dbraw/zinc/97/74/83/1123977483.db2.gz HJNXUQZRQPRIMM-GUYCJALGSA-N 1 2 295.361 3.989 20 0 CHADLO Cc1csc([C@H](C)[NH2+]Cc2oc(C(C)C)nc2C)n1 ZINC000428351645 1123987678 /nfs/dbraw/zinc/98/76/78/1123987678.db2.gz OTUFVELCPJTYOG-NSHDSACASA-N 1 2 279.409 3.722 20 0 CHADLO CCO[C@H]1C[C@H](Nc2ccc([NH+](C)C)cc2C)C1(C)C ZINC000085381636 1129159149 /nfs/dbraw/zinc/15/91/49/1129159149.db2.gz LDCBYBHPEVRLSQ-HOTGVXAUSA-N 1 2 276.424 3.677 20 0 CHADLO Cc1cc(NCc2ccnn2CC2CCC2)ccc1[NH+](C)C ZINC000865498328 1123990863 /nfs/dbraw/zinc/99/08/63/1123990863.db2.gz FJWZICCUMSJPJN-UHFFFAOYSA-N 1 2 298.434 3.670 20 0 CHADLO CC1(C)C[N@H+](Cc2cncc(Cl)c2)CC2(CCCC2)O1 ZINC000621284813 1129159469 /nfs/dbraw/zinc/15/94/69/1129159469.db2.gz DNDSQLNQGHZHHR-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO CC1(C)C[N@@H+](Cc2cncc(Cl)c2)CC2(CCCC2)O1 ZINC000621284813 1129159470 /nfs/dbraw/zinc/15/94/70/1129159470.db2.gz DNDSQLNQGHZHHR-UHFFFAOYSA-N 1 2 294.826 3.659 20 0 CHADLO Cc1cc(C[NH2+][C@@H](CF)c2ccc(F)cc2)c(C)o1 ZINC000865822208 1124018208 /nfs/dbraw/zinc/01/82/08/1124018208.db2.gz AWYWSQPYGNEQRX-HNNXBMFYSA-N 1 2 265.303 3.836 20 0 CHADLO Cc1ccc(CNc2[nH+]c3cc(Cl)ccc3n2C)o1 ZINC000134429162 1124024877 /nfs/dbraw/zinc/02/48/77/1124024877.db2.gz XVYWBVRVRPSVIH-UHFFFAOYSA-N 1 2 275.739 3.740 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCSc2ccccc21)C(C)(F)F ZINC000389473564 1124029015 /nfs/dbraw/zinc/02/90/15/1124029015.db2.gz VPMPJCKBLXWMDV-GXSJLCMTSA-N 1 2 257.349 3.857 20 0 CHADLO C[C@@H]([NH2+]Cc1cccc(OC(F)(F)F)c1)C(C)(F)F ZINC000389475987 1124031168 /nfs/dbraw/zinc/03/11/68/1124031168.db2.gz ZOERQQAJJZGZLR-MRVPVSSYSA-N 1 2 283.240 3.719 20 0 CHADLO c1ccc2c(c1)CC[C@H]2Nc1cc(N2CCCCC2)nc[nH+]1 ZINC000110124460 1124032573 /nfs/dbraw/zinc/03/25/73/1124032573.db2.gz AEFJOJYYCOKEKZ-MRXNPFEDSA-N 1 2 294.402 3.566 20 0 CHADLO c1ccc2c(c1)CC[C@H]2Nc1cc(N2CCCCC2)[nH+]cn1 ZINC000110124460 1124032578 /nfs/dbraw/zinc/03/25/78/1124032578.db2.gz AEFJOJYYCOKEKZ-MRXNPFEDSA-N 1 2 294.402 3.566 20 0 CHADLO Cc1ccccc1C[NH2+][C@@H]1c2ccccc2OC[C@H]1F ZINC000866214448 1124038612 /nfs/dbraw/zinc/03/86/12/1124038612.db2.gz AJVZZRGZBADUKO-NVXWUHKLSA-N 1 2 271.335 3.556 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@H+](C)CCO[C@H]1CCCCO1 ZINC000444589936 1124044458 /nfs/dbraw/zinc/04/44/58/1124044458.db2.gz LEFSCPYWUHNJQI-DOMZBBRYSA-N 1 2 299.361 3.501 20 0 CHADLO C[C@H](c1c(F)cccc1F)[N@@H+](C)CCO[C@H]1CCCCO1 ZINC000444589936 1124044460 /nfs/dbraw/zinc/04/44/60/1124044460.db2.gz LEFSCPYWUHNJQI-DOMZBBRYSA-N 1 2 299.361 3.501 20 0 CHADLO Cc1cncc([C@@H]2CCCN2c2cc(C)[nH+]c(C3CC3)n2)c1 ZINC000866278588 1124046119 /nfs/dbraw/zinc/04/61/19/1124046119.db2.gz UKMGPULZEILFRR-INIZCTEOSA-N 1 2 294.402 3.707 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+][C@H]1c2ccccc2OC[C@@H]1F ZINC000866289343 1124048333 /nfs/dbraw/zinc/04/83/33/1124048333.db2.gz ZRAVIXXIOBNYRI-NUTKFTJISA-N 1 2 286.350 3.512 20 0 CHADLO Cc1csc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)c1 ZINC000866367203 1124058471 /nfs/dbraw/zinc/05/84/71/1124058471.db2.gz GYSDPJXTHRXVJN-HIFRSBDPSA-N 1 2 277.364 3.618 20 0 CHADLO Cc1scc(C[NH2+][C@H]2c3ccccc3OC[C@H]2F)c1C ZINC000866428975 1124065570 /nfs/dbraw/zinc/06/55/70/1124065570.db2.gz HDHATVIDOUCCJM-ZBFHGGJFSA-N 1 2 291.391 3.926 20 0 CHADLO Cc1csc(C(C)(C)[NH2+]Cc2oc(C(C)C)nc2C)n1 ZINC000428401020 1124082247 /nfs/dbraw/zinc/08/22/47/1124082247.db2.gz PWZUUZBTDZGQKR-UHFFFAOYSA-N 1 2 293.436 3.896 20 0 CHADLO Cc1nccnc1[C@@H](C)[NH2+]Cc1ccc(C(C)(C)C)o1 ZINC000866574281 1124084809 /nfs/dbraw/zinc/08/48/09/1124084809.db2.gz RFPQKHXPZMKFKO-GFCCVEGCSA-N 1 2 273.380 3.526 20 0 CHADLO C[C@@H]([NH2+][C@@H](CF)c1ccc(F)cc1)c1ccoc1 ZINC000631794906 1124107015 /nfs/dbraw/zinc/10/70/15/1124107015.db2.gz AYVAPRXFFUAHPE-YGRLFVJLSA-N 1 2 251.276 3.780 20 0 CHADLO CCc1cnc(C[N@H+]2CC=C(c3ccc(F)cc3)CC2)o1 ZINC000525270501 1124119436 /nfs/dbraw/zinc/11/94/36/1124119436.db2.gz QVZUZPVKNRJGSQ-UHFFFAOYSA-N 1 2 286.350 3.665 20 0 CHADLO CCc1cnc(C[N@@H+]2CC=C(c3ccc(F)cc3)CC2)o1 ZINC000525270501 1124119438 /nfs/dbraw/zinc/11/94/38/1124119438.db2.gz QVZUZPVKNRJGSQ-UHFFFAOYSA-N 1 2 286.350 3.665 20 0 CHADLO Cc1cnc([C@H](C)[N@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000428452841 1124119825 /nfs/dbraw/zinc/11/98/25/1124119825.db2.gz DDDQCPFWNYLXAP-JTQLQIEISA-N 1 2 281.812 3.693 20 0 CHADLO Cc1cnc([C@H](C)[N@@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000428452841 1124119826 /nfs/dbraw/zinc/11/98/26/1124119826.db2.gz DDDQCPFWNYLXAP-JTQLQIEISA-N 1 2 281.812 3.693 20 0 CHADLO Cc1cc(CNC(=O)N(C)C[C@@H](C)C(C)(C)C)cc(C)[nH+]1 ZINC000634960034 1129167471 /nfs/dbraw/zinc/16/74/71/1129167471.db2.gz INIZIOABEPRKPL-GFCCVEGCSA-N 1 2 291.439 3.522 20 0 CHADLO CCc1nc(C)c(C[N@H+](C)Cc2ccc(Cl)s2)o1 ZINC000428457711 1124126841 /nfs/dbraw/zinc/12/68/41/1124126841.db2.gz MZJJOSWKUZZNRO-UHFFFAOYSA-N 1 2 284.812 3.892 20 0 CHADLO CCc1nc(C)c(C[N@@H+](C)Cc2ccc(Cl)s2)o1 ZINC000428457711 1124126842 /nfs/dbraw/zinc/12/68/42/1124126842.db2.gz MZJJOSWKUZZNRO-UHFFFAOYSA-N 1 2 284.812 3.892 20 0 CHADLO Cc1c(F)cccc1-c1ccc(C[N@H+]2CCOC[C@H]2C)o1 ZINC000446909113 1124128175 /nfs/dbraw/zinc/12/81/75/1124128175.db2.gz VJKPITOFADUODL-GFCCVEGCSA-N 1 2 289.350 3.615 20 0 CHADLO Cc1c(F)cccc1-c1ccc(C[N@@H+]2CCOC[C@H]2C)o1 ZINC000446909113 1124128178 /nfs/dbraw/zinc/12/81/78/1124128178.db2.gz VJKPITOFADUODL-GFCCVEGCSA-N 1 2 289.350 3.615 20 0 CHADLO COCc1ccc(C[N@H+](C)Cc2cc(Cl)ccc2F)o1 ZINC000446976795 1124129862 /nfs/dbraw/zinc/12/98/62/1124129862.db2.gz YHMKWERDKZRNFF-UHFFFAOYSA-N 1 2 297.757 3.851 20 0 CHADLO COCc1ccc(C[N@@H+](C)Cc2cc(Cl)ccc2F)o1 ZINC000446976795 1124129863 /nfs/dbraw/zinc/12/98/63/1124129863.db2.gz YHMKWERDKZRNFF-UHFFFAOYSA-N 1 2 297.757 3.851 20 0 CHADLO Cc1ccc(C)c(NC(=O)[C@H](CC(C)C)n2cc[nH+]c2)c1 ZINC000635052404 1129172292 /nfs/dbraw/zinc/17/22/92/1129172292.db2.gz BHDJOHPVTAKGDQ-INIZCTEOSA-N 1 2 285.391 3.726 20 0 CHADLO Cc1cc(C[NH2+][C@@H](C(F)F)C2CCCCC2)ccn1 ZINC000621400397 1129172841 /nfs/dbraw/zinc/17/28/41/1129172841.db2.gz GNICJQAQZFBOMX-CQSZACIVSA-N 1 2 268.351 3.694 20 0 CHADLO Cc1ncc(C[NH2+][C@H](C(F)F)C2CCCCC2)s1 ZINC000621401734 1129173809 /nfs/dbraw/zinc/17/38/09/1129173809.db2.gz IJBPUANUCHSCEQ-LBPRGKRZSA-N 1 2 274.380 3.755 20 0 CHADLO CC(C)C[C@@H](C(=O)N(C)[C@@H](C)c1ccccc1)n1cc[nH+]c1 ZINC000635148768 1129173962 /nfs/dbraw/zinc/17/39/62/1129173962.db2.gz BSZBCFNGFLAZBO-RDJZCZTQSA-N 1 2 299.418 3.690 20 0 CHADLO CC(C)=C(C)CC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000635203852 1129175620 /nfs/dbraw/zinc/17/56/20/1129175620.db2.gz QXYQBHIPIVGVDY-UHFFFAOYSA-N 1 2 269.348 3.557 20 0 CHADLO Cc1ccc([C@@H](O)CNc2ccc([NH+](C)C)cc2C)cc1 ZINC000819409680 1131245362 /nfs/dbraw/zinc/24/53/62/1131245362.db2.gz SMXCJTQPFNXSOU-SFHVURJKSA-N 1 2 284.403 3.515 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(C2CC2)nc1 ZINC001238854688 1131257642 /nfs/dbraw/zinc/25/76/42/1131257642.db2.gz IOOXZKLXQUJAPB-UHFFFAOYSA-N 1 2 291.354 3.820 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)C=Cc3cccs3)cc2[nH+]1 ZINC000819632948 1131257904 /nfs/dbraw/zinc/25/79/04/1131257904.db2.gz WSYCULPXUQWFEG-ALCCZGGFSA-N 1 2 283.356 3.585 20 0 CHADLO Cc1cc([C@@H](C)NC[C@H]2CCCC[N@@H+]2C2CC2)c(C)o1 ZINC000447604174 1124499666 /nfs/dbraw/zinc/49/96/66/1124499666.db2.gz ZBHWJUKFHQRNQH-CZUORRHYSA-N 1 2 276.424 3.564 20 0 CHADLO Cc1cc([C@@H](C)NC[C@H]2CCCC[N@H+]2C2CC2)c(C)o1 ZINC000447604174 1124499670 /nfs/dbraw/zinc/49/96/70/1124499670.db2.gz ZBHWJUKFHQRNQH-CZUORRHYSA-N 1 2 276.424 3.564 20 0 CHADLO C[C@H]1C[N@H+](CCCc2c(F)cccc2Cl)[C@@H](C)CO1 ZINC000447733796 1124516289 /nfs/dbraw/zinc/51/62/89/1124516289.db2.gz QZQREHDCCHZNES-RYUDHWBXSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@H]1C[N@@H+](CCCc2c(F)cccc2Cl)[C@@H](C)CO1 ZINC000447733796 1124516295 /nfs/dbraw/zinc/51/62/95/1124516295.db2.gz QZQREHDCCHZNES-RYUDHWBXSA-N 1 2 285.790 3.521 20 0 CHADLO CC[C@H]1C[N@H+](CCCc2c(F)cccc2Cl)CCO1 ZINC000447747026 1124521674 /nfs/dbraw/zinc/52/16/74/1124521674.db2.gz RXZRYFLVOIOUSB-LBPRGKRZSA-N 1 2 285.790 3.523 20 0 CHADLO CC[C@H]1C[N@@H+](CCCc2c(F)cccc2Cl)CCO1 ZINC000447747026 1124521680 /nfs/dbraw/zinc/52/16/80/1124521680.db2.gz RXZRYFLVOIOUSB-LBPRGKRZSA-N 1 2 285.790 3.523 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000447833942 1124534869 /nfs/dbraw/zinc/53/48/69/1124534869.db2.gz JRUNUTJILPRELO-XHDPSFHLSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@@H](c1ccc(F)c(F)c1)[N@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000447833942 1124534873 /nfs/dbraw/zinc/53/48/73/1124534873.db2.gz JRUNUTJILPRELO-XHDPSFHLSA-N 1 2 283.362 3.773 20 0 CHADLO CC[C@@H](Nc1ccc(N2C[C@@H](C)O[C@@H](C)C2)[nH+]c1)C(C)C ZINC000526991055 1124540749 /nfs/dbraw/zinc/54/07/49/1124540749.db2.gz BQVKCEOEYZOHKZ-IJEWVQPXSA-N 1 2 291.439 3.542 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@@H+]1CCc2ncsc2C1 ZINC000339110514 1124581811 /nfs/dbraw/zinc/58/18/11/1124581811.db2.gz GEBWJEMXDTVJKV-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO Cc1nc(C(C)C)ccc1C[N@H+]1CCc2ncsc2C1 ZINC000339110514 1124581818 /nfs/dbraw/zinc/58/18/18/1124581818.db2.gz GEBWJEMXDTVJKV-UHFFFAOYSA-N 1 2 287.432 3.528 20 0 CHADLO CNc1cc(-c2cnc(OCC(C)C)c(C)c2)cc[nH+]1 ZINC001238925054 1131271126 /nfs/dbraw/zinc/27/11/26/1131271126.db2.gz SSRUWKOMJHJHIT-UHFFFAOYSA-N 1 2 271.364 3.529 20 0 CHADLO CC[C@@H]1CCC[C@H]1C(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000448840660 1124617124 /nfs/dbraw/zinc/61/71/24/1124617124.db2.gz MNWQKWGUUYNBSY-IUODEOHRSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@@H]1CCC[C@H](N(C)C(=O)c2cccc(-n3cc[nH+]c3)c2)C1 ZINC000117598209 1124619419 /nfs/dbraw/zinc/61/94/19/1124619419.db2.gz MXUDZZPLEWJWSY-ZBFHGGJFSA-N 1 2 297.402 3.523 20 0 CHADLO COc1cc(F)cc(C[N@H+]2CC=C(C(F)(F)F)CC2)c1 ZINC000449027556 1124634245 /nfs/dbraw/zinc/63/42/45/1124634245.db2.gz JAAJGBVYTCUJTF-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO COc1cc(F)cc(C[N@@H+]2CC=C(C(F)(F)F)CC2)c1 ZINC000449027556 1124634247 /nfs/dbraw/zinc/63/42/47/1124634247.db2.gz JAAJGBVYTCUJTF-UHFFFAOYSA-N 1 2 289.272 3.529 20 0 CHADLO CC[C@H](Nc1ccccc1OCCn1cc[nH+]c1)C1CCC1 ZINC000449048113 1124641910 /nfs/dbraw/zinc/64/19/10/1124641910.db2.gz DVEJILHAFRZZLA-INIZCTEOSA-N 1 2 299.418 3.953 20 0 CHADLO Cc1noc(C)c1[C@H](C)[NH2+][C@H](C)c1cc(O)ccc1F ZINC000872034508 1124661408 /nfs/dbraw/zinc/66/14/08/1124661408.db2.gz JWJWPOWUAKYFSM-BDAKNGLRSA-N 1 2 278.327 3.548 20 0 CHADLO Cc1ccc2c(c1)[C@H]([NH2+][C@H](C)c1cc(O)ccc1F)CO2 ZINC000872048709 1124665889 /nfs/dbraw/zinc/66/58/89/1124665889.db2.gz MFRDAMOLUFSBGB-BDJLRTHQSA-N 1 2 287.334 3.624 20 0 CHADLO CC[C@@H](Nc1ccc([NH+](C)C)cc1C)[C@H]1CCCOC1 ZINC000872056378 1124667963 /nfs/dbraw/zinc/66/79/63/1124667963.db2.gz QYDRQXACMWJKPH-GOEBONIOSA-N 1 2 276.424 3.678 20 0 CHADLO CC[C@@H]([NH2+]c1ccc(N(C)C)cc1C)[C@H]1CCCOC1 ZINC000872056378 1124667965 /nfs/dbraw/zinc/66/79/65/1124667965.db2.gz QYDRQXACMWJKPH-GOEBONIOSA-N 1 2 276.424 3.678 20 0 CHADLO COc1ccc(F)cc1C[NH2+][C@H](C)c1cc(O)ccc1F ZINC000872063713 1124670997 /nfs/dbraw/zinc/67/09/97/1124670997.db2.gz SIGMDQZEXQCPHC-SNVBAGLBSA-N 1 2 293.313 3.530 20 0 CHADLO CCOCC[N@H+](C)Cc1cc(Cl)sc1Cl ZINC000449227613 1124673829 /nfs/dbraw/zinc/67/38/29/1124673829.db2.gz PSTHWKLPQIESRR-UHFFFAOYSA-N 1 2 268.209 3.523 20 0 CHADLO CCOCC[N@@H+](C)Cc1cc(Cl)sc1Cl ZINC000449227613 1124673831 /nfs/dbraw/zinc/67/38/31/1124673831.db2.gz PSTHWKLPQIESRR-UHFFFAOYSA-N 1 2 268.209 3.523 20 0 CHADLO FC(F)(F)c1ncccc1C[N@@H+]1CCCc2occc2C1 ZINC000528507164 1124684296 /nfs/dbraw/zinc/68/42/96/1124684296.db2.gz VXHWBMHWUHEOKR-UHFFFAOYSA-N 1 2 296.292 3.642 20 0 CHADLO FC(F)(F)c1ncccc1C[N@H+]1CCCc2occc2C1 ZINC000528507164 1124684299 /nfs/dbraw/zinc/68/42/99/1124684299.db2.gz VXHWBMHWUHEOKR-UHFFFAOYSA-N 1 2 296.292 3.642 20 0 CHADLO CCCCc1ccc([C@H](C)[NH2+]Cc2nonc2C)cc1 ZINC000872138079 1124694418 /nfs/dbraw/zinc/69/44/18/1124694418.db2.gz ZOCPGTHBQBGDCL-LBPRGKRZSA-N 1 2 273.380 3.571 20 0 CHADLO FCC(CF)[NH2+]Cc1ccc(-c2ccccc2)cc1 ZINC000449372056 1124704967 /nfs/dbraw/zinc/70/49/67/1124704967.db2.gz BSYNLLHMSLCURN-UHFFFAOYSA-N 1 2 261.315 3.751 20 0 CHADLO Cc1ccc(C[N@@H+]2CC(C)(C)[C@@H]2c2nccn2C)c(C)c1 ZINC000449412950 1124720913 /nfs/dbraw/zinc/72/09/13/1124720913.db2.gz POVYMGQEMHSGPE-INIZCTEOSA-N 1 2 283.419 3.620 20 0 CHADLO Cc1ccc(C[N@H+]2CC(C)(C)[C@@H]2c2nccn2C)c(C)c1 ZINC000449412950 1124720915 /nfs/dbraw/zinc/72/09/15/1124720915.db2.gz POVYMGQEMHSGPE-INIZCTEOSA-N 1 2 283.419 3.620 20 0 CHADLO CCc1nc(C[N@@H+]2CC[C@H](C(F)(F)F)C[C@@H]2C)cs1 ZINC000449459704 1124747033 /nfs/dbraw/zinc/74/70/33/1124747033.db2.gz LEYLLOGZBIIZHJ-UWVGGRQHSA-N 1 2 292.370 3.868 20 0 CHADLO CCc1nc(C[N@H+]2CC[C@H](C(F)(F)F)C[C@@H]2C)cs1 ZINC000449459704 1124747038 /nfs/dbraw/zinc/74/70/38/1124747038.db2.gz LEYLLOGZBIIZHJ-UWVGGRQHSA-N 1 2 292.370 3.868 20 0 CHADLO COc1cccc(-c2cc3[nH]c[nH+]c3cc2OC)c1C ZINC001238982189 1131285167 /nfs/dbraw/zinc/28/51/67/1131285167.db2.gz DHBZZTMVDOXFOB-UHFFFAOYSA-N 1 2 268.316 3.556 20 0 CHADLO Cc1c[nH+]cc(C)c1NC(=O)[C@H](C)CCC(C)(C)C ZINC001121231106 1131293685 /nfs/dbraw/zinc/29/36/85/1131293685.db2.gz HUSJQZSPCNLGSV-LLVKDONJSA-N 1 2 262.397 3.521 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccn(C(C)C)c1 ZINC001239078965 1131301910 /nfs/dbraw/zinc/30/19/10/1131301910.db2.gz LXIXDIPRQNUWSJ-UHFFFAOYSA-N 1 2 281.359 3.930 20 0 CHADLO COc1cc(C)ccc1N[C@H](C)c1cn2c([nH+]1)CCCC2 ZINC000820835515 1131305646 /nfs/dbraw/zinc/30/56/46/1131305646.db2.gz BXDCKHUYLUBDLK-CYBMUJFWSA-N 1 2 285.391 3.710 20 0 CHADLO CC[C@H]([NH2+]Cc1nc(C2CC2)no1)c1cc(C)ccc1C ZINC000528801970 1124811552 /nfs/dbraw/zinc/81/15/52/1124811552.db2.gz PKFAFZSDUIDCGX-HNNXBMFYSA-N 1 2 285.391 3.805 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+]C3CCCC3)cc2)C[C@H](C)O1 ZINC000124824440 1124818462 /nfs/dbraw/zinc/81/84/62/1124818462.db2.gz VPGXEQIIVYDNHS-OKILXGFUSA-N 1 2 274.408 3.655 20 0 CHADLO CCn1c[nH+]cc1COC(=O)[C@H]1CCC[C@H]1c1ccccc1 ZINC001123376441 1131313458 /nfs/dbraw/zinc/31/34/58/1131313458.db2.gz CYUUGPKPKZLXON-IRXDYDNUSA-N 1 2 298.386 3.530 20 0 CHADLO Oc1cccc(C[N@@H+](C/C(Cl)=C/Cl)CC2CC2)c1 ZINC000125273056 1124876777 /nfs/dbraw/zinc/87/67/77/1124876777.db2.gz OHXQOMULPUWFLX-QPEQYQDCSA-N 1 2 286.202 3.923 20 0 CHADLO Oc1cccc(C[N@H+](C/C(Cl)=C/Cl)CC2CC2)c1 ZINC000125273056 1124876782 /nfs/dbraw/zinc/87/67/82/1124876782.db2.gz OHXQOMULPUWFLX-QPEQYQDCSA-N 1 2 286.202 3.923 20 0 CHADLO C[N@H+](Cc1nc(Cl)cs1)[C@@H]1CCc2ccccc21 ZINC000876560656 1124961377 /nfs/dbraw/zinc/96/13/77/1124961377.db2.gz JYGVUTAUBMTCBF-GFCCVEGCSA-N 1 2 278.808 3.916 20 0 CHADLO C[N@@H+](Cc1nc(Cl)cs1)[C@@H]1CCc2ccccc21 ZINC000876560656 1124961382 /nfs/dbraw/zinc/96/13/82/1124961382.db2.gz JYGVUTAUBMTCBF-GFCCVEGCSA-N 1 2 278.808 3.916 20 0 CHADLO Clc1ccc(C[N@@H+]2CCC[C@@H]2c2ccsc2)nn1 ZINC000876580027 1124962133 /nfs/dbraw/zinc/96/21/33/1124962133.db2.gz PGPDEADGLAQBIG-GFCCVEGCSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc(C[N@H+]2CCC[C@@H]2c2ccsc2)nn1 ZINC000876580027 1124962137 /nfs/dbraw/zinc/96/21/37/1124962137.db2.gz PGPDEADGLAQBIG-GFCCVEGCSA-N 1 2 279.796 3.529 20 0 CHADLO C[C@H](Nc1ccc(N2CCCC2)c[nH+]1)C1CC(F)(F)C1 ZINC000529531268 1124981214 /nfs/dbraw/zinc/98/12/14/1124981214.db2.gz LKJRKNPNZTUUAQ-NSHDSACASA-N 1 2 281.350 3.528 20 0 CHADLO COC[C@@H]1CCN(c2cc[nH+]c3cc(F)c(Cl)cc32)C1 ZINC000450348606 1124990390 /nfs/dbraw/zinc/99/03/90/1124990390.db2.gz SHFSIRRANZXMEC-SNVBAGLBSA-N 1 2 294.757 3.500 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2c(F)ccc(F)c2Cl)CCCO1 ZINC000529554091 1124995093 /nfs/dbraw/zinc/99/50/93/1124995093.db2.gz ALMCIWWLRSWJNP-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2c(F)ccc(F)c2Cl)CCCO1 ZINC000529554091 1124995098 /nfs/dbraw/zinc/99/50/98/1124995098.db2.gz ALMCIWWLRSWJNP-SNVBAGLBSA-N 1 2 289.753 3.619 20 0 CHADLO CCCC[C@H](CC)COCCCNc1cc[nH+]c(C)n1 ZINC001168118521 1124996823 /nfs/dbraw/zinc/99/68/23/1124996823.db2.gz XYSVIVVSKLOWHT-HNNXBMFYSA-N 1 2 279.428 3.820 20 0 CHADLO COc1c(C)c[nH+]c(CNc2ccc3nc(C)oc3c2)c1C ZINC000877100182 1125010309 /nfs/dbraw/zinc/01/03/09/1125010309.db2.gz QKYIBOIPTJNKST-UHFFFAOYSA-N 1 2 297.358 3.769 20 0 CHADLO Fc1cccc2c1OCC[C@@H]2[NH2+]Cc1nc(Cl)cs1 ZINC000877466126 1125028772 /nfs/dbraw/zinc/02/87/72/1125028772.db2.gz YMEXIZYCQGZWMZ-JTQLQIEISA-N 1 2 298.770 3.549 20 0 CHADLO Cc1cc(F)c(C[NH+]2CC(Cc3ccco3)C2)c(F)c1 ZINC000529648921 1125030884 /nfs/dbraw/zinc/03/08/84/1125030884.db2.gz LJPIHPVDKNAODU-UHFFFAOYSA-N 1 2 277.314 3.541 20 0 CHADLO CC(C)(C)c1n[nH]cc1CNc1ccc(-n2cc[nH+]c2)cc1 ZINC000121064379 1125033883 /nfs/dbraw/zinc/03/38/83/1125033883.db2.gz GYGHKADSHXLTOY-UHFFFAOYSA-N 1 2 295.390 3.505 20 0 CHADLO COc1cccc(C)c1C[NH2+]Cc1c(F)cc(F)cc1F ZINC000877551783 1125044786 /nfs/dbraw/zinc/04/47/86/1125044786.db2.gz CEVRHMRUKDOUPI-UHFFFAOYSA-N 1 2 295.304 3.711 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccc(Cl)cc1 ZINC000450505812 1125046629 /nfs/dbraw/zinc/04/66/29/1125046629.db2.gz FLDKQCZFTFIRIJ-SECBINFHSA-N 1 2 293.823 3.920 20 0 CHADLO Clc1ccc(C[NH2+]C2(c3ccccc3Cl)CC2)nn1 ZINC000877605371 1125052698 /nfs/dbraw/zinc/05/26/98/1125052698.db2.gz ZDZKFMVEDMWFOF-UHFFFAOYSA-N 1 2 294.185 3.562 20 0 CHADLO Fc1cc(C[NH+]2CC(Cc3cccs3)C2)cnc1Cl ZINC000877658679 1125059663 /nfs/dbraw/zinc/05/96/63/1125059663.db2.gz BASAHWOHJUSMHJ-UHFFFAOYSA-N 1 2 296.798 3.610 20 0 CHADLO CC(C)n1ncc2cc(C[NH+]3CC(C)(C)C3)c(Cl)nc21 ZINC000877717001 1125068294 /nfs/dbraw/zinc/06/82/94/1125068294.db2.gz AUZJNDURAPCBKI-UHFFFAOYSA-N 1 2 292.814 3.507 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]Cc2cc(F)ncc2F)o1 ZINC000877735439 1125070836 /nfs/dbraw/zinc/07/08/36/1125070836.db2.gz UHTHWXKBLIQCND-UHFFFAOYSA-N 1 2 280.318 3.540 20 0 CHADLO CC(=O)c1ccc(C[N@@H+]2CCc3cccc(F)c3C2)cc1 ZINC000450646324 1125077586 /nfs/dbraw/zinc/07/75/86/1125077586.db2.gz HVKPSLJWDICFDA-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO CC(=O)c1ccc(C[N@H+]2CCc3cccc(F)c3C2)cc1 ZINC000450646324 1125077594 /nfs/dbraw/zinc/07/75/94/1125077594.db2.gz HVKPSLJWDICFDA-UHFFFAOYSA-N 1 2 283.346 3.587 20 0 CHADLO OCC[C@@H](Nc1cccc[nH+]1)c1cccc(C(F)(F)F)c1 ZINC000450663751 1125081348 /nfs/dbraw/zinc/08/13/48/1125081348.db2.gz MIKPEVAPWGQYLH-CYBMUJFWSA-N 1 2 296.292 3.636 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877893347 1125097343 /nfs/dbraw/zinc/09/73/43/1125097343.db2.gz NXUCWIMRORZRGB-GFCCVEGCSA-N 1 2 288.366 3.886 20 0 CHADLO C[C@H](c1ccc(F)cc1)[N@@H+](C)Cc1nc2c(o1)CCCC2 ZINC000877893347 1125097351 /nfs/dbraw/zinc/09/73/51/1125097351.db2.gz NXUCWIMRORZRGB-GFCCVEGCSA-N 1 2 288.366 3.886 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2CC[C@H]2CCCC2(F)F)no1 ZINC000877979687 1125110328 /nfs/dbraw/zinc/11/03/28/1125110328.db2.gz KHXGSDLULCTSCP-OLZOCXBDSA-N 1 2 299.365 3.731 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2CC[C@H]2CCCC2(F)F)no1 ZINC000877979687 1125110331 /nfs/dbraw/zinc/11/03/31/1125110331.db2.gz KHXGSDLULCTSCP-OLZOCXBDSA-N 1 2 299.365 3.731 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc3c(o2)CCCC3)[C@H]1c1ccccc1 ZINC000878166608 1125126777 /nfs/dbraw/zinc/12/67/77/1125126777.db2.gz ZKFWAPSNNWPEQX-FZKQIMNGSA-N 1 2 282.387 3.746 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc3c(o2)CCCC3)[C@H]1c1ccccc1 ZINC000878166608 1125126780 /nfs/dbraw/zinc/12/67/80/1125126780.db2.gz ZKFWAPSNNWPEQX-FZKQIMNGSA-N 1 2 282.387 3.746 20 0 CHADLO C[C@@H]1C[N@H+](Cc2nc3c(o2)CCCC3)[C@@H]1c1ccccc1 ZINC000878166607 1125126990 /nfs/dbraw/zinc/12/69/90/1125126990.db2.gz ZKFWAPSNNWPEQX-ACJLOTCBSA-N 1 2 282.387 3.746 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2nc3c(o2)CCCC3)[C@@H]1c1ccccc1 ZINC000878166607 1125126994 /nfs/dbraw/zinc/12/69/94/1125126994.db2.gz ZKFWAPSNNWPEQX-ACJLOTCBSA-N 1 2 282.387 3.746 20 0 CHADLO Cc1nc(C[NH+]2[C@H](C)CC[C@H]2C)sc1Br ZINC000878187956 1125129156 /nfs/dbraw/zinc/12/91/56/1125129156.db2.gz JWQVRYPHQUKJRI-HTQZYQBOSA-N 1 2 289.242 3.587 20 0 CHADLO COc1cc(C)ccc1[C@H](C)[NH2+][C@H](C)c1nnc(C)s1 ZINC000391781366 1125131147 /nfs/dbraw/zinc/13/11/47/1125131147.db2.gz OOHLITALUGEZAZ-WDEREUQCSA-N 1 2 291.420 3.575 20 0 CHADLO CC1(C)CCc2onc(C[N@@H+]3CCC[C@@H]3c3ccc[nH]3)c2C1 ZINC000878213657 1125132109 /nfs/dbraw/zinc/13/21/09/1125132109.db2.gz MEVGSTXBBNLESL-MRXNPFEDSA-N 1 2 299.418 3.855 20 0 CHADLO CC1(C)CCc2onc(C[N@H+]3CCC[C@@H]3c3ccc[nH]3)c2C1 ZINC000878213657 1125132112 /nfs/dbraw/zinc/13/21/12/1125132112.db2.gz MEVGSTXBBNLESL-MRXNPFEDSA-N 1 2 299.418 3.855 20 0 CHADLO FC(F)(F)c1coc(C[N@H+](Cc2ccncc2)C2CC2)c1 ZINC000878310212 1125138426 /nfs/dbraw/zinc/13/84/26/1125138426.db2.gz WUFHDZUNAYGOMR-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1coc(C[N@@H+](Cc2ccncc2)C2CC2)c1 ZINC000878310212 1125138429 /nfs/dbraw/zinc/13/84/29/1125138429.db2.gz WUFHDZUNAYGOMR-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO CCC[C@H]([NH2+]Cc1nocc1C)c1ccc(F)cc1F ZINC000878371141 1125146371 /nfs/dbraw/zinc/14/63/71/1125146371.db2.gz SOMANDGRMJHDQQ-AWEZNQCLSA-N 1 2 280.318 3.892 20 0 CHADLO CCc1cnc(C[N@@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000530392586 1125148693 /nfs/dbraw/zinc/14/86/93/1125148693.db2.gz HSHRDTQBMBXPFL-UHFFFAOYSA-N 1 2 284.403 3.919 20 0 CHADLO CCc1cnc(C[N@H+]2CCc3c(cccc3C(C)C)C2)o1 ZINC000530392586 1125148697 /nfs/dbraw/zinc/14/86/97/1125148697.db2.gz HSHRDTQBMBXPFL-UHFFFAOYSA-N 1 2 284.403 3.919 20 0 CHADLO Cc1ccncc1[C@@H](C)[NH2+]Cc1c(Cl)cncc1Cl ZINC000878403837 1125149144 /nfs/dbraw/zinc/14/91/44/1125149144.db2.gz UBRFZCCJWRSJSF-SNVBAGLBSA-N 1 2 296.201 3.943 20 0 CHADLO Cc1ccc([C@@H]([NH2+]Cc2ncccc2F)C2CCC2)o1 ZINC000530470836 1125155408 /nfs/dbraw/zinc/15/54/08/1125155408.db2.gz HTFVQCPUPSUDIJ-INIZCTEOSA-N 1 2 274.339 3.753 20 0 CHADLO CCOc1cccc(CNc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC000121846405 1125158801 /nfs/dbraw/zinc/15/88/01/1125158801.db2.gz CYOSXUHSEMKSLB-UHFFFAOYSA-N 1 2 293.370 3.883 20 0 CHADLO CCc1cccc(Cl)c1C[N@@H+](C)Cc1cnn(CC)c1 ZINC000530552774 1125162654 /nfs/dbraw/zinc/16/26/54/1125162654.db2.gz FNPIJCTUMLINSX-UHFFFAOYSA-N 1 2 291.826 3.751 20 0 CHADLO CCc1cccc(Cl)c1C[N@H+](C)Cc1cnn(CC)c1 ZINC000530552774 1125162657 /nfs/dbraw/zinc/16/26/57/1125162657.db2.gz FNPIJCTUMLINSX-UHFFFAOYSA-N 1 2 291.826 3.751 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@@H]1C[C@@H]3CCC[C@@H]3O1)C2 ZINC000878619272 1125165338 /nfs/dbraw/zinc/16/53/38/1125165338.db2.gz VCFVFIQUCBHGJI-RBOXIYTFSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@@H]1C[C@@H]3CCC[C@@H]3O1)C2 ZINC000878619272 1125165342 /nfs/dbraw/zinc/16/53/42/1125165342.db2.gz VCFVFIQUCBHGJI-RBOXIYTFSA-N 1 2 277.795 3.613 20 0 CHADLO Clc1cccc2c1C[N@H+](C[C@@H]1CCC3(CCC3)O1)C2 ZINC000878617850 1125166320 /nfs/dbraw/zinc/16/63/20/1125166320.db2.gz BIZHXGUNZQYARU-ZDUSSCGKSA-N 1 2 277.795 3.757 20 0 CHADLO Clc1cccc2c1C[N@@H+](C[C@@H]1CCC3(CCC3)O1)C2 ZINC000878617850 1125166325 /nfs/dbraw/zinc/16/63/25/1125166325.db2.gz BIZHXGUNZQYARU-ZDUSSCGKSA-N 1 2 277.795 3.757 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(C(F)(F)F)co2)CCC1(F)F ZINC000878625465 1125167827 /nfs/dbraw/zinc/16/78/27/1125167827.db2.gz VCTJHSMDSWFFOJ-MRVPVSSYSA-N 1 2 283.240 3.776 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(C(F)(F)F)co2)CCC1(F)F ZINC000878625465 1125167829 /nfs/dbraw/zinc/16/78/29/1125167829.db2.gz VCTJHSMDSWFFOJ-MRVPVSSYSA-N 1 2 283.240 3.776 20 0 CHADLO CC1(F)CC[NH+](Cc2cc(Cl)nc(Cl)c2)CC1 ZINC000879381216 1125211607 /nfs/dbraw/zinc/21/16/07/1125211607.db2.gz UFCRRGBVJNUWPD-UHFFFAOYSA-N 1 2 277.170 3.712 20 0 CHADLO Cc1conc1C[NH2+][C@@H](c1ccccc1F)C(C)C ZINC000878918636 1125185962 /nfs/dbraw/zinc/18/59/62/1125185962.db2.gz TZQJVSILRDWYKO-OAHLLOKOSA-N 1 2 262.328 3.609 20 0 CHADLO CCN(C)c1ccc(Nc2cc(C)c(O)c(C)c2)c[nH+]1 ZINC001211908585 1125199620 /nfs/dbraw/zinc/19/96/20/1125199620.db2.gz GBWLVKFRQIGPKZ-UHFFFAOYSA-N 1 2 271.364 3.604 20 0 CHADLO C[C@@H]1[C@H](c2ccccc2)CC[N@@H+]1Cc1nnsc1Cl ZINC000531441230 1125219859 /nfs/dbraw/zinc/21/98/59/1125219859.db2.gz XPQLRYMEMJJFIY-ZYHUDNBSSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@@H]1[C@H](c2ccccc2)CC[N@H+]1Cc1nnsc1Cl ZINC000531441230 1125219863 /nfs/dbraw/zinc/21/98/63/1125219863.db2.gz XPQLRYMEMJJFIY-ZYHUDNBSSA-N 1 2 293.823 3.570 20 0 CHADLO C[C@H]([NH2+][C@@H]1CCCn2ccnc21)c1ccc(F)cc1Cl ZINC000655728835 1125229557 /nfs/dbraw/zinc/22/95/57/1125229557.db2.gz YVBKWCJMJGFSFU-IINYFYTJSA-N 1 2 293.773 3.861 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)Cc3ccc(C)c(C)c3)cc2[nH+]1 ZINC000532185908 1125238225 /nfs/dbraw/zinc/23/82/25/1125238225.db2.gz YAYHORZVXLDZII-UHFFFAOYSA-N 1 2 293.370 3.669 20 0 CHADLO COc1cc(C[NH+]2CCOCC2)ccc1-c1cccc(C)c1 ZINC001239489110 1131343156 /nfs/dbraw/zinc/34/31/56/1131343156.db2.gz LLGAXJILRBZPNU-UHFFFAOYSA-N 1 2 297.398 3.503 20 0 CHADLO CC(C)(C)c1ccc(C[NH2+]C2(c3ncccn3)CCC2)cc1 ZINC000880363871 1125266646 /nfs/dbraw/zinc/26/66/46/1125266646.db2.gz JODNLNINLDKGNC-UHFFFAOYSA-N 1 2 295.430 3.943 20 0 CHADLO C[C@@H]1c2sccc2CC[N@H+]1Cc1coc(C2CC2)n1 ZINC000880375129 1125268715 /nfs/dbraw/zinc/26/87/15/1125268715.db2.gz BACDRRBJBFFMLB-SNVBAGLBSA-N 1 2 274.389 3.733 20 0 CHADLO C[C@@H]1c2sccc2CC[N@@H+]1Cc1coc(C2CC2)n1 ZINC000880375129 1125268717 /nfs/dbraw/zinc/26/87/17/1125268717.db2.gz BACDRRBJBFFMLB-SNVBAGLBSA-N 1 2 274.389 3.733 20 0 CHADLO Cc1cc(N2CC=C(c3ccccc3)C2)nc(C2CC2)[nH+]1 ZINC000342775778 1125289082 /nfs/dbraw/zinc/28/90/82/1125289082.db2.gz AMECGQJQMWDYPC-UHFFFAOYSA-N 1 2 277.371 3.566 20 0 CHADLO Cc1nc(NCC2(CCOCc3ccccc3)CC2)cc[nH+]1 ZINC000184117377 1125292196 /nfs/dbraw/zinc/29/21/96/1125292196.db2.gz DMBNTOCTWWVNJL-UHFFFAOYSA-N 1 2 297.402 3.584 20 0 CHADLO Cn1c[nH+]cc1CNc1ccc(C(C)(C)C(F)(F)F)cc1 ZINC000428545321 1125315271 /nfs/dbraw/zinc/31/52/71/1125315271.db2.gz KKFHFKROKPXNPM-UHFFFAOYSA-N 1 2 297.324 3.872 20 0 CHADLO Cc1oncc1C[N@H+](CCC(F)(F)F)Cc1ccccc1 ZINC000191242141 1125323113 /nfs/dbraw/zinc/32/31/13/1125323113.db2.gz TUYAPGIPGZSOLD-UHFFFAOYSA-N 1 2 298.308 3.938 20 0 CHADLO Cc1oncc1C[N@@H+](CCC(F)(F)F)Cc1ccccc1 ZINC000191242141 1125323114 /nfs/dbraw/zinc/32/31/14/1125323114.db2.gz TUYAPGIPGZSOLD-UHFFFAOYSA-N 1 2 298.308 3.938 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccn1)c1ccc(C(C)(C)C)cc1 ZINC000192149917 1125331447 /nfs/dbraw/zinc/33/14/47/1125331447.db2.gz AJBOWVXOQJYTBI-CYBMUJFWSA-N 1 2 269.392 3.625 20 0 CHADLO Cc1occc1CNc1[nH+]c2ccccc2n1C1CC1 ZINC000666230492 1125335901 /nfs/dbraw/zinc/33/59/01/1125335901.db2.gz ADKYYJLZVVQNML-UHFFFAOYSA-N 1 2 267.332 3.885 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCC[C@H]2c2cccs2)o1 ZINC000170691803 1125343603 /nfs/dbraw/zinc/34/36/03/1125343603.db2.gz DCNKDFUYDROGLD-NSHDSACASA-N 1 2 277.393 3.592 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCC[C@H]2c2cccs2)o1 ZINC000170691803 1125343604 /nfs/dbraw/zinc/34/36/04/1125343604.db2.gz DCNKDFUYDROGLD-NSHDSACASA-N 1 2 277.393 3.592 20 0 CHADLO Cc1cc(C)c(C(=O)NCc2ccccc2Cl)c(C)[nH+]1 ZINC001124425356 1131349516 /nfs/dbraw/zinc/34/95/16/1131349516.db2.gz VOVZKQLFZUWCCQ-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]Cc2ccccc2OC2CCC2)n1 ZINC000883011673 1125367512 /nfs/dbraw/zinc/36/75/12/1125367512.db2.gz FSFQSBWKGRXSQU-UHFFFAOYSA-N 1 2 299.418 3.754 20 0 CHADLO CC(C)(C)c1ccc(C[N@@H+]2CCO[C@@H](CCF)C2)s1 ZINC000628458162 1125368222 /nfs/dbraw/zinc/36/82/22/1125368222.db2.gz FVXPARFWODZEQH-LBPRGKRZSA-N 1 2 285.428 3.606 20 0 CHADLO CC(C)(C)c1ccc(C[N@H+]2CCO[C@@H](CCF)C2)s1 ZINC000628458162 1125368224 /nfs/dbraw/zinc/36/82/24/1125368224.db2.gz FVXPARFWODZEQH-LBPRGKRZSA-N 1 2 285.428 3.606 20 0 CHADLO CC(C)c1c[nH]c(C[NH2+]C2(c3ccccc3F)CCC2)n1 ZINC000883152141 1125373781 /nfs/dbraw/zinc/37/37/81/1125373781.db2.gz KQFOXNQYOVKSPO-UHFFFAOYSA-N 1 2 287.382 3.841 20 0 CHADLO c1nc(CNc2[nH+]c3ccccc3n2C2CC2)cn1C1CC1 ZINC000883218527 1125379338 /nfs/dbraw/zinc/37/93/38/1125379338.db2.gz BWZZXRQYFMCHHB-UHFFFAOYSA-N 1 2 293.374 3.515 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C2CC2)[nH]1)c1sccc1Cl ZINC000883225886 1125379908 /nfs/dbraw/zinc/37/99/08/1125379908.db2.gz OHFJHZGFGVEDIP-SNVBAGLBSA-N 1 2 296.827 3.638 20 0 CHADLO C/C=C/C[C@H]1CCC[N@@H+](Cc2noc(CCCC)n2)C1 ZINC000626162624 1125380966 /nfs/dbraw/zinc/38/09/66/1125380966.db2.gz WWWBEXPYCXUIQG-KQIUPUNMSA-N 1 2 277.412 3.590 20 0 CHADLO C/C=C/C[C@H]1CCC[N@H+](Cc2noc(CCCC)n2)C1 ZINC000626162624 1125380967 /nfs/dbraw/zinc/38/09/67/1125380967.db2.gz WWWBEXPYCXUIQG-KQIUPUNMSA-N 1 2 277.412 3.590 20 0 CHADLO CCc1cnc(C[NH2+][C@H](C)c2ccc(SC)cc2)o1 ZINC000223667622 1125385964 /nfs/dbraw/zinc/38/59/64/1125385964.db2.gz SAGHJQHXIDYHGJ-LLVKDONJSA-N 1 2 276.405 3.810 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@H+](Cc2noc(C3CCC3)n2)C1 ZINC000626164624 1125389442 /nfs/dbraw/zinc/38/94/42/1125389442.db2.gz MDWMWJUDVHUVSZ-XQJDBVBESA-N 1 2 275.396 3.515 20 0 CHADLO C/C=C\C[C@@H]1CCC[N@@H+](Cc2noc(C3CCC3)n2)C1 ZINC000626164624 1125389444 /nfs/dbraw/zinc/38/94/44/1125389444.db2.gz MDWMWJUDVHUVSZ-XQJDBVBESA-N 1 2 275.396 3.515 20 0 CHADLO Cc1nc([C@@H](C)[NH2+]Cc2ccc(C)nc2Cl)c(C)s1 ZINC000883301701 1125391819 /nfs/dbraw/zinc/39/18/19/1125391819.db2.gz HAIVXLCLHCCHTA-SECBINFHSA-N 1 2 295.839 3.968 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+]C2(c3ncccn3)CCC2)c1 ZINC000883314246 1125394712 /nfs/dbraw/zinc/39/47/12/1125394712.db2.gz YVJLOOIIAVMJKM-CQSZACIVSA-N 1 2 297.402 3.605 20 0 CHADLO c1n[nH]c([C@@H]([NH2+][C@@H]2C[C@@H]3CCCC[C@H]23)C2CCCCC2)n1 ZINC000883321412 1125396123 /nfs/dbraw/zinc/39/61/23/1125396123.db2.gz BXONQBQOLJUQTD-JONQDZQNSA-N 1 2 288.439 3.594 20 0 CHADLO Cc1cc(N[C@H](C)c2ccc([S@@](C)=O)cc2)c[nH+]c1C ZINC000883342073 1125398608 /nfs/dbraw/zinc/39/86/08/1125398608.db2.gz JPAGUPIZWQMSBN-ZUOKHONESA-N 1 2 288.416 3.609 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(Cl)cn2)c[nH+]c1C ZINC000883342813 1125398893 /nfs/dbraw/zinc/39/88/93/1125398893.db2.gz NCFXIVQYSINBNP-NSHDSACASA-N 1 2 261.756 3.920 20 0 CHADLO C[C@H]([NH2+]Cc1cc(Br)cs1)C(C)(F)F ZINC000389484361 1125399100 /nfs/dbraw/zinc/39/91/00/1125399100.db2.gz ZSNHUWMGEIQNPM-LURJTMIESA-N 1 2 284.169 3.644 20 0 CHADLO Cc1[nH]c(CNc2cccc(F)c2Br)[nH+]c1C ZINC000883352192 1125399534 /nfs/dbraw/zinc/39/95/34/1125399534.db2.gz WEGGBQBMNPREGQ-UHFFFAOYSA-N 1 2 298.159 3.540 20 0 CHADLO CC(C)Oc1cc(C[N@H+](C/C=C/Cl)C2CC2)ccn1 ZINC000131637267 1125402239 /nfs/dbraw/zinc/40/22/39/1125402239.db2.gz DHCSLKAYNKMZMP-XVNBXDOJSA-N 1 2 280.799 3.586 20 0 CHADLO CC[N@H+](Cc1cscn1)[C@@H](C)c1cccc(OC)c1 ZINC000171064767 1125401286 /nfs/dbraw/zinc/40/12/86/1125401286.db2.gz IHFMJZPMUDTKCK-LBPRGKRZSA-N 1 2 276.405 3.735 20 0 CHADLO CC[N@@H+](Cc1cscn1)[C@@H](C)c1cccc(OC)c1 ZINC000171064767 1125401288 /nfs/dbraw/zinc/40/12/88/1125401288.db2.gz IHFMJZPMUDTKCK-LBPRGKRZSA-N 1 2 276.405 3.735 20 0 CHADLO CC(C)Oc1cc(C[N@@H+](C/C=C/Cl)C2CC2)ccn1 ZINC000131637267 1125402241 /nfs/dbraw/zinc/40/22/41/1125402241.db2.gz DHCSLKAYNKMZMP-XVNBXDOJSA-N 1 2 280.799 3.586 20 0 CHADLO C[C@H]([NH2+][C@H]1c2ccccc2CC[C@@H]1F)c1cncs1 ZINC000883375844 1125404069 /nfs/dbraw/zinc/40/40/69/1125404069.db2.gz ACUXEPLPFGHMFV-XEGUGMAKSA-N 1 2 276.380 3.819 20 0 CHADLO CCSCc1ccc[nH+]c1NCc1ccc(C)cn1 ZINC000883377439 1125404723 /nfs/dbraw/zinc/40/47/23/1125404723.db2.gz HZOGRJHPZFASEI-UHFFFAOYSA-N 1 2 273.405 3.650 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@H+](C)Cc1cccnc1 ZINC000171146524 1125404833 /nfs/dbraw/zinc/40/48/33/1125404833.db2.gz BMJRPOQHNQRJID-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO C[C@@H](c1ccc(F)cc1F)[N@@H+](C)Cc1cccnc1 ZINC000171146524 1125404834 /nfs/dbraw/zinc/40/48/34/1125404834.db2.gz BMJRPOQHNQRJID-NSHDSACASA-N 1 2 262.303 3.553 20 0 CHADLO CC(C)n1nccc1C[NH2+][C@H]1c2ccccc2CC[C@@H]1F ZINC000883380833 1125406399 /nfs/dbraw/zinc/40/63/99/1125406399.db2.gz BCKSXIDDQUVMNM-IRXDYDNUSA-N 1 2 287.382 3.579 20 0 CHADLO Cc1ccc(C[NH2+][C@@H]2c3ccccc3CC[C@H]2F)nc1 ZINC000883382310 1125406583 /nfs/dbraw/zinc/40/65/83/1125406583.db2.gz DOMNGODUBDZIMC-IAGOWNOFSA-N 1 2 270.351 3.505 20 0 CHADLO CCc1ncc(C[NH2+][C@@H]2c3ccccc3CC[C@H]2F)s1 ZINC000883382494 1125406756 /nfs/dbraw/zinc/40/67/56/1125406756.db2.gz IARGQBMDQCNPRP-GDBMZVCRSA-N 1 2 290.407 3.821 20 0 CHADLO CCc1ncc(C[NH2+][C@H]2c3ccccc3CC[C@@H]2F)s1 ZINC000883382496 1125407046 /nfs/dbraw/zinc/40/70/46/1125407046.db2.gz IARGQBMDQCNPRP-HOCLYGCPSA-N 1 2 290.407 3.821 20 0 CHADLO CC1(C)Nc2ccccc2[C@@H]1[NH2+]Cc1noc2c1CCCC2 ZINC000883463556 1125411092 /nfs/dbraw/zinc/41/10/92/1125411092.db2.gz SOLOVSFIVPPVGL-KRWDZBQOSA-N 1 2 297.402 3.588 20 0 CHADLO O=C(CC1CCCCC1)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000029951161 1125417428 /nfs/dbraw/zinc/41/74/28/1125417428.db2.gz KVCBQQVABLTCMJ-UHFFFAOYSA-N 1 2 283.375 3.781 20 0 CHADLO Cc1ccc2c(Nc3ccccc3CO)cccc2[nH+]1 ZINC001212796999 1125430046 /nfs/dbraw/zinc/43/00/46/1125430046.db2.gz ROHAKZUWZHYOAA-UHFFFAOYSA-N 1 2 264.328 3.779 20 0 CHADLO CC(C)c1noc([C@H](C)[N@@H+]2CC[C@@H](C(F)(F)F)[C@@H]2C)n1 ZINC000348248769 1125431808 /nfs/dbraw/zinc/43/18/08/1125431808.db2.gz PZQNKMKQQWRVPW-LPEHRKFASA-N 1 2 291.317 3.527 20 0 CHADLO CC(C)c1noc([C@H](C)[N@H+]2CC[C@@H](C(F)(F)F)[C@@H]2C)n1 ZINC000348248769 1125431810 /nfs/dbraw/zinc/43/18/10/1125431810.db2.gz PZQNKMKQQWRVPW-LPEHRKFASA-N 1 2 291.317 3.527 20 0 CHADLO CCc1nc(C[NH2+][C@H](C)c2ccc(Cl)nc2)cs1 ZINC000179123530 1125439255 /nfs/dbraw/zinc/43/92/55/1125439255.db2.gz BOWCVHFDUFGWLK-SECBINFHSA-N 1 2 281.812 3.605 20 0 CHADLO CC(C)n1ccnc1C[N@@H+]1CCc2ccccc2[C@H]1C ZINC000106862719 1125445368 /nfs/dbraw/zinc/44/53/68/1125445368.db2.gz RLPDZUHTDPBDJG-CQSZACIVSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)n1ccnc1C[N@H+]1CCc2ccccc2[C@H]1C ZINC000106862719 1125445371 /nfs/dbraw/zinc/44/53/71/1125445371.db2.gz RLPDZUHTDPBDJG-CQSZACIVSA-N 1 2 269.392 3.583 20 0 CHADLO Cc1nccnc1C[N@@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000621711034 1129183335 /nfs/dbraw/zinc/18/33/35/1129183335.db2.gz BFVAVWSTUTWTSC-BLLLJJGKSA-N 1 2 297.402 3.666 20 0 CHADLO Cc1nccnc1C[N@H+](Cc1ccc([C@@H]2C[C@@H]2C)o1)C1CC1 ZINC000621711034 1129183337 /nfs/dbraw/zinc/18/33/37/1129183337.db2.gz BFVAVWSTUTWTSC-BLLLJJGKSA-N 1 2 297.402 3.666 20 0 CHADLO CCC[NH2+][C@@H](c1ccc(Br)cc1)C(F)F ZINC000621749476 1129184217 /nfs/dbraw/zinc/18/42/17/1129184217.db2.gz ZJQZMTHUCZODTG-JTQLQIEISA-N 1 2 278.140 3.755 20 0 CHADLO CCCC[C@@H](C(=O)N1CCC(c2[nH]cc[nH+]2)CC1)C(C)C ZINC000621807857 1129198344 /nfs/dbraw/zinc/19/83/44/1129198344.db2.gz PJIQUIXHOLTZOJ-OAHLLOKOSA-N 1 2 291.439 3.578 20 0 CHADLO CCCC[C@H](C(=O)N1CCC[C@H](c2[nH]cc[nH+]2)C1)C(C)C ZINC000621853074 1129199987 /nfs/dbraw/zinc/19/99/87/1129199987.db2.gz SFNPBISKISQXFS-GJZGRUSLSA-N 1 2 291.439 3.578 20 0 CHADLO Cc1nc(C)c(CNc2ccc([NH+]3CCCC3)cc2)s1 ZINC000088867926 1129211956 /nfs/dbraw/zinc/21/19/56/1129211956.db2.gz DPCRMRNUFYUZID-UHFFFAOYSA-N 1 2 287.432 3.972 20 0 CHADLO COc1ccccc1-c1cc(C[NH2+][C@H](C)c2ccco2)on1 ZINC000637635721 1129223997 /nfs/dbraw/zinc/22/39/97/1129223997.db2.gz ZZUPASOOWVQMKH-GFCCVEGCSA-N 1 2 298.342 3.794 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@H+](C)Cc1ccns1 ZINC000637884692 1129233307 /nfs/dbraw/zinc/23/33/07/1129233307.db2.gz FTKSTVQZYMDEBL-SECBINFHSA-N 1 2 268.332 3.614 20 0 CHADLO C[C@H](c1ccc(F)c(F)c1)[N@@H+](C)Cc1ccns1 ZINC000637884692 1129233308 /nfs/dbraw/zinc/23/33/08/1129233308.db2.gz FTKSTVQZYMDEBL-SECBINFHSA-N 1 2 268.332 3.614 20 0 CHADLO COc1cccc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001239520345 1131360296 /nfs/dbraw/zinc/36/02/96/1131360296.db2.gz GEVIVYGPVUKCIC-UHFFFAOYSA-N 1 2 250.301 3.548 20 0 CHADLO Fc1cccc2c1C[N@H+](Cc1ccc(C(F)F)nc1)CC2 ZINC000638088546 1129242329 /nfs/dbraw/zinc/24/23/29/1129242329.db2.gz NRGIHQSSARLSHJ-UHFFFAOYSA-N 1 2 292.304 3.717 20 0 CHADLO Fc1cccc2c1C[N@@H+](Cc1ccc(C(F)F)nc1)CC2 ZINC000638088546 1129242331 /nfs/dbraw/zinc/24/23/31/1129242331.db2.gz NRGIHQSSARLSHJ-UHFFFAOYSA-N 1 2 292.304 3.717 20 0 CHADLO FC(F)(F)Cc1ccccc1C[N@@H+]1CCOC2(CCC2)C1 ZINC000638113241 1129243219 /nfs/dbraw/zinc/24/32/19/1129243219.db2.gz AIWZJDISZPCVHG-UHFFFAOYSA-N 1 2 299.336 3.546 20 0 CHADLO FC(F)(F)Cc1ccccc1C[N@H+]1CCOC2(CCC2)C1 ZINC000638113241 1129243222 /nfs/dbraw/zinc/24/32/22/1129243222.db2.gz AIWZJDISZPCVHG-UHFFFAOYSA-N 1 2 299.336 3.546 20 0 CHADLO COc1cccc(-c2cc(F)c(C[NH+](C)C)c(F)c2)c1 ZINC001239521340 1131361040 /nfs/dbraw/zinc/36/10/40/1131361040.db2.gz CVSLOIYJFKJXKR-UHFFFAOYSA-N 1 2 277.314 3.702 20 0 CHADLO CCC[C@@H]([NH2+]Cc1nc2ccccc2o1)c1ccccn1 ZINC000638534387 1129262022 /nfs/dbraw/zinc/26/20/22/1129262022.db2.gz AHXMDUUAMXBFHM-CYBMUJFWSA-N 1 2 281.359 3.854 20 0 CHADLO Cc1ncc(CNc2ccc([NH+]3CCCC3)cc2)s1 ZINC000037797475 1129271387 /nfs/dbraw/zinc/27/13/87/1129271387.db2.gz CWIVITBWCZSNDR-UHFFFAOYSA-N 1 2 273.405 3.664 20 0 CHADLO CSc1ccccc1[C@@H](C)[NH2+][C@@H](C)c1nnc(C)s1 ZINC000282603273 1129273683 /nfs/dbraw/zinc/27/36/83/1129273683.db2.gz YGINNOXOIVAQNP-ZJUUUORDSA-N 1 2 293.461 3.980 20 0 CHADLO CO[C@H]1CC=C(Nc2ccc([NH+]3CCCC3)cc2)CC1 ZINC001212878076 1129275516 /nfs/dbraw/zinc/27/55/16/1129275516.db2.gz DMKUSYSLAOIIST-KRWDZBQOSA-N 1 2 272.392 3.782 20 0 CHADLO CO[C@@H]1CCC[C@H]([NH2+]c2ccc(N(C)C)cc2)CC1 ZINC000718859185 1129291362 /nfs/dbraw/zinc/29/13/62/1129291362.db2.gz LIWSZQXIRZOXGO-XJKSGUPXSA-N 1 2 262.397 3.512 20 0 CHADLO CO[C@@H]1CCC[C@H](Nc2ccc([NH+](C)C)cc2)CC1 ZINC000718859185 1129291366 /nfs/dbraw/zinc/29/13/66/1129291366.db2.gz LIWSZQXIRZOXGO-XJKSGUPXSA-N 1 2 262.397 3.512 20 0 CHADLO Cc1cc(C[N@@H+]2CC3(CCC3)[C@H]2c2ccco2)sn1 ZINC000639235259 1129295893 /nfs/dbraw/zinc/29/58/93/1129295893.db2.gz RCGJTPAYVYTUNO-CQSZACIVSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1cc(C[N@H+]2CC3(CCC3)[C@H]2c2ccco2)sn1 ZINC000639235259 1129295899 /nfs/dbraw/zinc/29/58/99/1129295899.db2.gz RCGJTPAYVYTUNO-CQSZACIVSA-N 1 2 274.389 3.772 20 0 CHADLO Cc1cc(C[NH2+]C2(c3c(F)cccc3F)CCC2)sn1 ZINC000639358501 1129306715 /nfs/dbraw/zinc/30/67/15/1129306715.db2.gz ZSGSTTYPITYOOM-UHFFFAOYSA-N 1 2 294.370 3.899 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@H+](C)Cc1nc(CC2CC2)no1 ZINC000639457894 1129316988 /nfs/dbraw/zinc/31/69/88/1129316988.db2.gz FRKVSFUWKLDCBQ-CYBMUJFWSA-N 1 2 285.391 3.524 20 0 CHADLO Cc1ccccc1[C@@H](C)[N@@H+](C)Cc1nc(CC2CC2)no1 ZINC000639457894 1129316993 /nfs/dbraw/zinc/31/69/93/1129316993.db2.gz FRKVSFUWKLDCBQ-CYBMUJFWSA-N 1 2 285.391 3.524 20 0 CHADLO CC(C)Oc1cccc(C[N@@H+]2CCC[C@H]2c2ncccn2)c1 ZINC000639843516 1129336323 /nfs/dbraw/zinc/33/63/23/1129336323.db2.gz RHVVGVQGSZMOPJ-KRWDZBQOSA-N 1 2 297.402 3.601 20 0 CHADLO CC(C)Oc1cccc(C[N@H+]2CCC[C@H]2c2ncccn2)c1 ZINC000639843516 1129336326 /nfs/dbraw/zinc/33/63/26/1129336326.db2.gz RHVVGVQGSZMOPJ-KRWDZBQOSA-N 1 2 297.402 3.601 20 0 CHADLO CC(C)Oc1ccccc1C[N@@H+]1CCC[C@@H]1c1ncccn1 ZINC000639842160 1129336540 /nfs/dbraw/zinc/33/65/40/1129336540.db2.gz BHPASIXVEBZNHD-MRXNPFEDSA-N 1 2 297.402 3.601 20 0 CHADLO CC(C)Oc1ccccc1C[N@H+]1CCC[C@@H]1c1ncccn1 ZINC000639842160 1129336542 /nfs/dbraw/zinc/33/65/42/1129336542.db2.gz BHPASIXVEBZNHD-MRXNPFEDSA-N 1 2 297.402 3.601 20 0 CHADLO O=C(/C=C\C1CCCCC1)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000735432073 1129345556 /nfs/dbraw/zinc/34/55/56/1129345556.db2.gz VFXIPUMCPXKIQI-KTKRTIGZSA-N 1 2 295.386 3.947 20 0 CHADLO CC(C)NC(=O)Nc1ccc([C@@H](C)[NH2+][C@@H](C)C(F)F)cc1 ZINC000641790364 1129392056 /nfs/dbraw/zinc/39/20/56/1129392056.db2.gz IPUWMYFGCDDVNQ-MNOVXSKESA-N 1 2 299.365 3.521 20 0 CHADLO CC(C)c1ccccc1NC(=O)C[C@H](C)[NH2+][C@@H](C)C(F)F ZINC000641789892 1129392796 /nfs/dbraw/zinc/39/27/96/1129392796.db2.gz DIXZNFXKBFAXQJ-RYUDHWBXSA-N 1 2 298.377 3.770 20 0 CHADLO C[C@H]([NH2+]CCCc1ccc(Cl)cc1)C(=O)OC(C)(C)C ZINC000738418002 1129394258 /nfs/dbraw/zinc/39/42/58/1129394258.db2.gz XZRUKSAPQVJUGB-LBPRGKRZSA-N 1 2 297.826 3.592 20 0 CHADLO CCCCOC(=O)C[N@@H+]1CCCCC[C@H]1c1ccc(C)o1 ZINC000738881315 1129399224 /nfs/dbraw/zinc/39/92/24/1129399224.db2.gz GQRBUHZSSQIKKK-HNNXBMFYSA-N 1 2 293.407 3.848 20 0 CHADLO CCCCOC(=O)C[N@H+]1CCCCC[C@H]1c1ccc(C)o1 ZINC000738881315 1129399229 /nfs/dbraw/zinc/39/92/29/1129399229.db2.gz GQRBUHZSSQIKKK-HNNXBMFYSA-N 1 2 293.407 3.848 20 0 CHADLO CC[C@H](C)[N@@H+]1CCO[C@@H](c2ccccc2Br)C1 ZINC000248373463 1129415259 /nfs/dbraw/zinc/41/52/59/1129415259.db2.gz JDAUXLLUJISSRJ-SMDDNHRTSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@H](C)[N@H+]1CCO[C@@H](c2ccccc2Br)C1 ZINC000248373463 1129415261 /nfs/dbraw/zinc/41/52/61/1129415261.db2.gz JDAUXLLUJISSRJ-SMDDNHRTSA-N 1 2 298.224 3.621 20 0 CHADLO C[C@@H]([NH2+]Cc1nc2c(s1)CCC2)c1cccc(F)c1F ZINC000093111956 1129417096 /nfs/dbraw/zinc/41/70/96/1129417096.db2.gz WWONLGWPJSGOHX-SECBINFHSA-N 1 2 294.370 3.761 20 0 CHADLO C[C@H]([NH2+]Cc1nc2c(s1)CCC2)c1cccc(F)c1F ZINC000093111954 1129417575 /nfs/dbraw/zinc/41/75/75/1129417575.db2.gz WWONLGWPJSGOHX-VIFPVBQESA-N 1 2 294.370 3.761 20 0 CHADLO Cc1[nH]c([C@H]2CCCN2C(=O)[C@H](C)C2CCCC2)[nH+]c1C ZINC001155173825 1129440661 /nfs/dbraw/zinc/44/06/61/1129440661.db2.gz IDDCVTBVPIYNSA-IAQYHMDHSA-N 1 2 289.423 3.516 20 0 CHADLO Cc1cn2cc(NC(=O)C3CCCCCCC3)ccc2[nH+]1 ZINC000741555315 1129443365 /nfs/dbraw/zinc/44/33/65/1129443365.db2.gz YVXYTYXKBJJAAY-UHFFFAOYSA-N 1 2 285.391 3.942 20 0 CHADLO Cc1ccc(-c2ccc(NC(=[NH2+])C(C)(C)C)nn2)cc1 ZINC001155180255 1129443973 /nfs/dbraw/zinc/44/39/73/1129443973.db2.gz ODAGSPIFTCNWFE-UHFFFAOYSA-N 1 2 268.364 3.887 20 0 CHADLO COc1ccc([C@@H]([NH2+][C@H](C)c2cn[nH]c2C)C(C)C)cc1 ZINC000180020500 1129444975 /nfs/dbraw/zinc/44/49/75/1129444975.db2.gz NFNQVFLFBDHECQ-PXAZEXFGSA-N 1 2 287.407 3.775 20 0 CHADLO CC[C@@H](NC(=O)c1c(C)cc(C)[nH+]c1C)[C@H]1CC1(C)C ZINC001436878457 1129446747 /nfs/dbraw/zinc/44/67/47/1129446747.db2.gz CQSXOAWKTGUEAJ-ZIAGYGMSSA-N 1 2 274.408 3.561 20 0 CHADLO Cn1c[nH+]c2ccc(NCCC3=CCCCC3)cc21 ZINC001168772679 1129449867 /nfs/dbraw/zinc/44/98/67/1129449867.db2.gz YRUXIVJOCRPANF-UHFFFAOYSA-N 1 2 255.365 3.876 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@@H+](C)Cc1ccc(Cl)cc1 ZINC000741775525 1129452047 /nfs/dbraw/zinc/45/20/47/1129452047.db2.gz TYHXOZGCRWHBFX-LBPRGKRZSA-N 1 2 283.799 3.504 20 0 CHADLO CCCCOC(=O)[C@H](C)[N@H+](C)Cc1ccc(Cl)cc1 ZINC000741775525 1129452045 /nfs/dbraw/zinc/45/20/45/1129452045.db2.gz TYHXOZGCRWHBFX-LBPRGKRZSA-N 1 2 283.799 3.504 20 0 CHADLO CCCCCC[C@H](C)C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000741929555 1129461828 /nfs/dbraw/zinc/46/18/28/1129461828.db2.gz WFUBQIOKNNTZKV-ZDUSSCGKSA-N 1 2 273.380 3.879 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)C1CCCCCCC1 ZINC000741970070 1129463627 /nfs/dbraw/zinc/46/36/27/1129463627.db2.gz SMIMAJWCHIXFQB-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO CC(C)(C)OCCC[NH2+][C@H](c1ccccc1)C(F)F ZINC000645013836 1129483107 /nfs/dbraw/zinc/48/31/07/1129483107.db2.gz LQQLQZSQAOYIMF-CYBMUJFWSA-N 1 2 271.351 3.788 20 0 CHADLO CCCCCC[C@](C)(CC)C(=O)N[C@@H](C)Cn1cc[nH+]c1 ZINC000742543845 1129486674 /nfs/dbraw/zinc/48/66/74/1129486674.db2.gz KPAZJVLGDFVXFQ-RDJZCZTQSA-N 1 2 293.455 3.775 20 0 CHADLO Cc1c(-c2cn(C)c3ccccc23)ccc2[nH+]ccn21 ZINC001239544744 1131377527 /nfs/dbraw/zinc/37/75/27/1131377527.db2.gz CRCFRHIZASWUDQ-UHFFFAOYSA-N 1 2 261.328 3.801 20 0 CHADLO CC[C@@H]1CN(c2[nH+]ccc3cc(F)ccc32)CCS1 ZINC000645181814 1129490814 /nfs/dbraw/zinc/49/08/14/1129490814.db2.gz SLQYAWYNSBGTEK-CYBMUJFWSA-N 1 2 276.380 3.706 20 0 CHADLO Cc1cc(N(C)C)[nH+]cc1-c1cc(C(C)(C)F)ccn1 ZINC001241242820 1129496869 /nfs/dbraw/zinc/49/68/69/1129496869.db2.gz AAFWUKIFRBVQPG-UHFFFAOYSA-N 1 2 273.355 3.723 20 0 CHADLO Cc1cccc(C2([NH2+]Cc3nc(C(C)C)no3)CCC2)c1 ZINC000646021263 1129518567 /nfs/dbraw/zinc/51/85/67/1129518567.db2.gz RHCYZQDXGWUSII-UHFFFAOYSA-N 1 2 285.391 3.670 20 0 CHADLO CC(C)[C@H]1C[N@H+](Cn2ccn(C(C)C)c2=S)CCS1 ZINC000743396285 1129521632 /nfs/dbraw/zinc/52/16/32/1129521632.db2.gz PRLJRRLEUFSCRL-CYBMUJFWSA-N 1 2 299.509 3.631 20 0 CHADLO CC(C)[C@H]1C[N@@H+](Cn2ccn(C(C)C)c2=S)CCS1 ZINC000743396285 1129521634 /nfs/dbraw/zinc/52/16/34/1129521634.db2.gz PRLJRRLEUFSCRL-CYBMUJFWSA-N 1 2 299.509 3.631 20 0 CHADLO Cc1noc([C@H](C)[N@@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)n1 ZINC000646116848 1129523973 /nfs/dbraw/zinc/52/39/73/1129523973.db2.gz HJEKAPAWURLODA-XJKSGUPXSA-N 1 2 295.386 3.832 20 0 CHADLO Cc1noc([C@H](C)[N@H+]2CC3(CC=CC3)[C@H]2c2ccccc2)n1 ZINC000646116848 1129523976 /nfs/dbraw/zinc/52/39/76/1129523976.db2.gz HJEKAPAWURLODA-XJKSGUPXSA-N 1 2 295.386 3.832 20 0 CHADLO Clc1cncc(Cl)c1C[N@H+](CC1CC1)C1CC1 ZINC001137610470 1131385155 /nfs/dbraw/zinc/38/51/55/1131385155.db2.gz SZRKJJLFZFLSNO-UHFFFAOYSA-N 1 2 271.191 3.763 20 0 CHADLO Clc1cncc(Cl)c1C[N@@H+](CC1CC1)C1CC1 ZINC001137610470 1131385157 /nfs/dbraw/zinc/38/51/57/1131385157.db2.gz SZRKJJLFZFLSNO-UHFFFAOYSA-N 1 2 271.191 3.763 20 0 CHADLO CCCCOC(=O)C[N@@H+]1C[C@H](C)C[C@H]1c1ccccc1F ZINC000743856965 1129540913 /nfs/dbraw/zinc/54/09/13/1129540913.db2.gz QDLQHAFYRFYTQU-CJNGLKHVSA-N 1 2 293.382 3.552 20 0 CHADLO CCCCOC(=O)C[N@H+]1C[C@H](C)C[C@H]1c1ccccc1F ZINC000743856965 1129540915 /nfs/dbraw/zinc/54/09/15/1129540915.db2.gz QDLQHAFYRFYTQU-CJNGLKHVSA-N 1 2 293.382 3.552 20 0 CHADLO CCCCOC(=O)C[N@@H+]1C[C@@H](C)C[C@@H]1c1ccccc1F ZINC000743856967 1129541251 /nfs/dbraw/zinc/54/12/51/1129541251.db2.gz QDLQHAFYRFYTQU-XJKSGUPXSA-N 1 2 293.382 3.552 20 0 CHADLO CCCCOC(=O)C[N@H+]1C[C@@H](C)C[C@@H]1c1ccccc1F ZINC000743856967 1129541253 /nfs/dbraw/zinc/54/12/53/1129541253.db2.gz QDLQHAFYRFYTQU-XJKSGUPXSA-N 1 2 293.382 3.552 20 0 CHADLO COc1ccccc1C1([NH2+][C@@H](C)c2csc(C)n2)CC1 ZINC000647329242 1129568927 /nfs/dbraw/zinc/56/89/27/1129568927.db2.gz JTIBUCFBDXQJRK-NSHDSACASA-N 1 2 288.416 3.800 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000303840289 1129576584 /nfs/dbraw/zinc/57/65/84/1129576584.db2.gz BMXTZBNQDRJSBZ-VXGBXAGGSA-N 1 2 283.799 3.675 20 0 CHADLO CCCOC(=O)[C@@H](C)[N@@H+](C)[C@H](C)c1cccc(Cl)c1 ZINC000303840289 1129576587 /nfs/dbraw/zinc/57/65/87/1129576587.db2.gz BMXTZBNQDRJSBZ-VXGBXAGGSA-N 1 2 283.799 3.675 20 0 CHADLO CC1(C(=O)Nc2ccn3cc[nH+]c3c2)CCCCCC1 ZINC000647644766 1129582928 /nfs/dbraw/zinc/58/29/28/1129582928.db2.gz WVMWJHJDNVSAMC-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO Cc1nc(C[N@H+]2CCS[C@H](C)[C@H]2c2ccccc2)co1 ZINC000647964552 1129589817 /nfs/dbraw/zinc/58/98/17/1129589817.db2.gz OIKNAPVFIKQVOQ-WBMJQRKESA-N 1 2 288.416 3.662 20 0 CHADLO Cc1nc(C[N@@H+]2CCS[C@H](C)[C@H]2c2ccccc2)co1 ZINC000647964552 1129589820 /nfs/dbraw/zinc/58/98/20/1129589820.db2.gz OIKNAPVFIKQVOQ-WBMJQRKESA-N 1 2 288.416 3.662 20 0 CHADLO CC(C)COc1ccccc1C[NH+]1CC(C(F)(F)F)C1 ZINC000828406224 1131384339 /nfs/dbraw/zinc/38/43/39/1131384339.db2.gz XJLQHBBQHZXTTA-UHFFFAOYSA-N 1 2 287.325 3.716 20 0 CHADLO Clc1cc(C[N@@H+]2CCC23CCOCC3)c(Cl)s1 ZINC000648560583 1129614249 /nfs/dbraw/zinc/61/42/49/1129614249.db2.gz YGKGVLNOKPTTOK-UHFFFAOYSA-N 1 2 292.231 3.810 20 0 CHADLO Clc1cc(C[N@H+]2CCC23CCOCC3)c(Cl)s1 ZINC000648560583 1129614250 /nfs/dbraw/zinc/61/42/50/1129614250.db2.gz YGKGVLNOKPTTOK-UHFFFAOYSA-N 1 2 292.231 3.810 20 0 CHADLO Clc1oc2ccccc2c1C[NH2+][C@H]1CCn2ccnc21 ZINC000648629181 1129616581 /nfs/dbraw/zinc/61/65/81/1129616581.db2.gz JKYJTPXOXLFONO-LBPRGKRZSA-N 1 2 287.750 3.517 20 0 CHADLO Cc1cc(-c2ccc3[nH+]ccn3c2C)cc(C)c1N ZINC001241885948 1129626896 /nfs/dbraw/zinc/62/68/96/1129626896.db2.gz NQTDOBYGQXGHCH-UHFFFAOYSA-N 1 2 251.333 3.509 20 0 CHADLO CCCC[N@H+](Cc1cnns1)[C@H](C)c1ccc(C)o1 ZINC000649269787 1129638747 /nfs/dbraw/zinc/63/87/47/1129638747.db2.gz DFPGCOSFXQYLKB-GFCCVEGCSA-N 1 2 279.409 3.803 20 0 CHADLO CCCC[N@@H+](Cc1cnns1)[C@H](C)c1ccc(C)o1 ZINC000649269787 1129638749 /nfs/dbraw/zinc/63/87/49/1129638749.db2.gz DFPGCOSFXQYLKB-GFCCVEGCSA-N 1 2 279.409 3.803 20 0 CHADLO C[C@@H]([NH2+]Cc1cn(C)cn1)c1ccc(Cl)cc1Cl ZINC000386602785 1129653702 /nfs/dbraw/zinc/65/37/02/1129653702.db2.gz IFIHGXLQUFYQSC-SECBINFHSA-N 1 2 284.190 3.578 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@H]1C[C@@H]1C1CCCC1 ZINC000649568335 1129658137 /nfs/dbraw/zinc/65/81/37/1129658137.db2.gz XQMBPZWLOVCNBM-SJORKVTESA-N 1 2 295.386 3.637 20 0 CHADLO CCn1ccc(C[NH2+][C@@H](c2ccc(F)cc2F)C(C)C)n1 ZINC000649576319 1129659287 /nfs/dbraw/zinc/65/92/87/1129659287.db2.gz UMYXFBGDPUMMBD-MRXNPFEDSA-N 1 2 293.361 3.668 20 0 CHADLO C[C@@H]([NH2+]Cc1ccon1)c1ccc(SCC(F)F)cc1 ZINC000766422247 1129664247 /nfs/dbraw/zinc/66/42/47/1129664247.db2.gz SZUDDDJUMGIJPR-SNVBAGLBSA-N 1 2 298.358 3.883 20 0 CHADLO Cc1cc(C[NH2+]C2(c3ccccc3Cl)CCC2)on1 ZINC000649642975 1129665438 /nfs/dbraw/zinc/66/54/38/1129665438.db2.gz UYQVYQIVQJSEQS-UHFFFAOYSA-N 1 2 276.767 3.805 20 0 CHADLO Cc1cc2cc(NCCCCc3ccccn3)[nH+]cc2[nH]1 ZINC001168792728 1129666147 /nfs/dbraw/zinc/66/61/47/1129666147.db2.gz BCKBDRGWECHDEO-UHFFFAOYSA-N 1 2 280.375 3.701 20 0 CHADLO C[C@H](CSc1ccccc1)[N@H+](C)Cc1cscn1 ZINC000649664915 1129668478 /nfs/dbraw/zinc/66/84/78/1129668478.db2.gz WAFRXRUXPLBASK-GFCCVEGCSA-N 1 2 278.446 3.756 20 0 CHADLO C[C@H](CSc1ccccc1)[N@@H+](C)Cc1cscn1 ZINC000649664915 1129668479 /nfs/dbraw/zinc/66/84/79/1129668479.db2.gz WAFRXRUXPLBASK-GFCCVEGCSA-N 1 2 278.446 3.756 20 0 CHADLO CC(C)Oc1cc(NCc2cc(C(C)C)no2)cc[nH+]1 ZINC001168827563 1129675437 /nfs/dbraw/zinc/67/54/37/1129675437.db2.gz MSWKINBPHSNNSD-UHFFFAOYSA-N 1 2 275.352 3.592 20 0 CHADLO CCOc1ccc(-c2ccc3[nH+]ccn3c2C)cc1 ZINC001239565810 1131389954 /nfs/dbraw/zinc/38/99/54/1131389954.db2.gz PQMMVFUXCOLWAT-UHFFFAOYSA-N 1 2 252.317 3.708 20 0 CHADLO CC(C)(C)CC(C)(C)Nc1ccc2c([nH+]1)CCOC2 ZINC001169052090 1129692748 /nfs/dbraw/zinc/69/27/48/1129692748.db2.gz IKPDEYBBLIIACU-UHFFFAOYSA-N 1 2 262.397 3.781 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1ccc(Cl)c(F)c1)C2 ZINC001137650551 1131391317 /nfs/dbraw/zinc/39/13/17/1131391317.db2.gz KJOOVQZGMAEMOI-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1ccc(Cl)c(F)c1)C2 ZINC001137650551 1131391322 /nfs/dbraw/zinc/39/13/22/1131391322.db2.gz KJOOVQZGMAEMOI-UHFFFAOYSA-N 1 2 291.753 3.743 20 0 CHADLO COc1ccc2nc(CNc3cc(C)c[nH+]c3C)sc2c1 ZINC000650242223 1129702394 /nfs/dbraw/zinc/70/23/94/1129702394.db2.gz CUKWMSMSRFYXDR-UHFFFAOYSA-N 1 2 299.399 3.929 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(F)cn1)c1nc2ccccc2s1 ZINC000766608772 1129704346 /nfs/dbraw/zinc/70/43/46/1129704346.db2.gz QQPCJBUVKJXJGK-JTQLQIEISA-N 1 2 287.363 3.681 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1c2cc[nH]c2c(F)cc1C ZINC001212974790 1129711825 /nfs/dbraw/zinc/71/18/25/1129711825.db2.gz LUBKGAPOQGDGMR-UHFFFAOYSA-N 1 2 294.333 3.845 20 0 CHADLO COc1c[nH+]c(N[C@H](C2CCC2)C(F)(F)F)c2[nH]ccc21 ZINC001169282320 1129714306 /nfs/dbraw/zinc/71/43/06/1129714306.db2.gz SLEHRTRWDXTDTE-GFCCVEGCSA-N 1 2 299.296 3.714 20 0 CHADLO C[C@@H](CC(=O)Nc1cc(C(F)F)ccc1F)n1cc[nH+]c1 ZINC000650587309 1129714732 /nfs/dbraw/zinc/71/47/32/1129714732.db2.gz CUZBXKGURMVTBM-VIFPVBQESA-N 1 2 297.280 3.550 20 0 CHADLO Cn1c[nH+]c2ccc(N[C@@H](C3CCC3)C(F)(F)F)cc21 ZINC001169288330 1129716172 /nfs/dbraw/zinc/71/61/72/1129716172.db2.gz ZGTIWMWYKXASJK-ZDUSSCGKSA-N 1 2 283.297 3.716 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccc3c([nH+]2)CCOC3)c2ccccc21 ZINC001169363803 1129720432 /nfs/dbraw/zinc/72/04/32/1129720432.db2.gz JNYDXCROFWYKSV-SJKOYZFVSA-N 1 2 280.371 3.815 20 0 CHADLO C[C@@H]1C[C@@H](Nc2ccn3cc[nH+]c3c2)c2ccccc21 ZINC001169373438 1129721800 /nfs/dbraw/zinc/72/18/00/1129721800.db2.gz HBQYUOOMQGSRAZ-MLGOLLRUSA-N 1 2 263.344 3.995 20 0 CHADLO C[C@H]1C[C@H](Nc2ccc(N(C)C)c[nH+]2)c2ccccc21 ZINC001169377261 1129722546 /nfs/dbraw/zinc/72/25/46/1129722546.db2.gz IASKSUJRDNFNGT-LRDDRELGSA-N 1 2 267.376 3.808 20 0 CHADLO Cc1nc(-c2ccccc2)sc1[C@H](C)[NH2+]Cc1c[nH]cn1 ZINC000796449838 1129727911 /nfs/dbraw/zinc/72/79/11/1129727911.db2.gz LYCPSGBDAUTWDB-NSHDSACASA-N 1 2 298.415 3.692 20 0 CHADLO CC(C)(C)C[N@H+](C[C@H]1C[C@]12CCOC2)c1ccccc1 ZINC001169481013 1129728618 /nfs/dbraw/zinc/72/86/18/1129728618.db2.gz ABZBNGBUXZJHRY-QAPCUYQASA-N 1 2 273.420 3.966 20 0 CHADLO CC(C)(C)C[N@@H+](C[C@H]1C[C@]12CCOC2)c1ccccc1 ZINC001169481013 1129728620 /nfs/dbraw/zinc/72/86/20/1129728620.db2.gz ABZBNGBUXZJHRY-QAPCUYQASA-N 1 2 273.420 3.966 20 0 CHADLO CSc1cc(NCCc2coc3ccccc23)nc(C)[nH+]1 ZINC001169592168 1129739837 /nfs/dbraw/zinc/73/98/37/1129739837.db2.gz XHNYBQWKSICWJY-UHFFFAOYSA-N 1 2 299.399 3.908 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(C3CCC3)s2)C2(CCC2)CO1 ZINC000651975987 1129754231 /nfs/dbraw/zinc/75/42/31/1129754231.db2.gz TWQBTLJWRFWBCV-LBPRGKRZSA-N 1 2 292.448 3.554 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(C3CCC3)s2)C2(CCC2)CO1 ZINC000651975987 1129754234 /nfs/dbraw/zinc/75/42/34/1129754234.db2.gz TWQBTLJWRFWBCV-LBPRGKRZSA-N 1 2 292.448 3.554 20 0 CHADLO COCc1cc[nH+]c(N[C@@H](C)CCc2ccccc2F)c1 ZINC000652067877 1129757198 /nfs/dbraw/zinc/75/71/98/1129757198.db2.gz KMNXNFURFSRVTR-ZDUSSCGKSA-N 1 2 288.366 3.800 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCCC23CCCC3)c1 ZINC000652067735 1129757761 /nfs/dbraw/zinc/75/77/61/1129757761.db2.gz FFNGTLAFQWBZPZ-CQSZACIVSA-N 1 2 260.381 3.753 20 0 CHADLO CCc1ccc(CNc2ccc([NH+](C)C)cc2)cc1 ZINC000000516834 1129760023 /nfs/dbraw/zinc/76/00/23/1129760023.db2.gz VDPVFKZSAZQHDI-UHFFFAOYSA-N 1 2 254.377 3.927 20 0 CHADLO CCCC[N@@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC001169918417 1129766354 /nfs/dbraw/zinc/76/63/54/1129766354.db2.gz VIIBDFNUXVTVNG-UHFFFAOYSA-N 1 2 262.784 3.910 20 0 CHADLO CCCC[N@H+]1CCn2c(cc3ccc(Cl)cc32)C1 ZINC001169918417 1129766355 /nfs/dbraw/zinc/76/63/55/1129766355.db2.gz VIIBDFNUXVTVNG-UHFFFAOYSA-N 1 2 262.784 3.910 20 0 CHADLO CCN(CC)c1ccc(NCc2cc(C)oc2C)c[nH+]1 ZINC000767392513 1129792296 /nfs/dbraw/zinc/79/22/96/1129792296.db2.gz KENCDWGJHROASP-UHFFFAOYSA-N 1 2 273.380 3.750 20 0 CHADLO Cc1cccc(C[N@H+](Cc2ccco2)C2CC2)c1F ZINC001137678529 1131398105 /nfs/dbraw/zinc/39/81/05/1131398105.db2.gz NMMLMCXSFWLAEN-UHFFFAOYSA-N 1 2 259.324 3.892 20 0 CHADLO Cc1cccc(C[N@@H+](Cc2ccco2)C2CC2)c1F ZINC001137678529 1131398110 /nfs/dbraw/zinc/39/81/10/1131398110.db2.gz NMMLMCXSFWLAEN-UHFFFAOYSA-N 1 2 259.324 3.892 20 0 CHADLO Cc1noc(C[N@@H+](C)[C@H](C)c2ccc(C(C)(C)C)cc2)n1 ZINC000653889094 1129800151 /nfs/dbraw/zinc/80/01/51/1129800151.db2.gz QVNSNGQCLWDHLV-GFCCVEGCSA-N 1 2 287.407 3.869 20 0 CHADLO Cc1noc(C[N@H+](C)[C@H](C)c2ccc(C(C)(C)C)cc2)n1 ZINC000653889094 1129800156 /nfs/dbraw/zinc/80/01/56/1129800156.db2.gz QVNSNGQCLWDHLV-GFCCVEGCSA-N 1 2 287.407 3.869 20 0 CHADLO COc1ccc2c(c1)C[N@@H+](Cc1cccc(C)c1F)C2 ZINC001137677190 1131398960 /nfs/dbraw/zinc/39/89/60/1131398960.db2.gz DSDMNKIJQWADCF-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1ccc2c(c1)C[N@H+](Cc1cccc(C)c1F)C2 ZINC001137677190 1131398965 /nfs/dbraw/zinc/39/89/65/1131398965.db2.gz DSDMNKIJQWADCF-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@@H+]1Cc1nnc(C(C)(C)C)o1 ZINC000767884795 1129817685 /nfs/dbraw/zinc/81/76/85/1129817685.db2.gz UVEMMHRIODNFNE-OAHLLOKOSA-N 1 2 299.418 3.704 20 0 CHADLO CC[C@@H]1Cc2ccccc2C[N@H+]1Cc1nnc(C(C)(C)C)o1 ZINC000767884795 1129817689 /nfs/dbraw/zinc/81/76/89/1129817689.db2.gz UVEMMHRIODNFNE-OAHLLOKOSA-N 1 2 299.418 3.704 20 0 CHADLO Cc1cccc(CSCc2cc[nH+]c(N(C)C)c2)c1 ZINC000767869080 1129818838 /nfs/dbraw/zinc/81/88/38/1129818838.db2.gz LBALNVGBRDLJNN-UHFFFAOYSA-N 1 2 272.417 3.889 20 0 CHADLO CN(C)c1cc(CSCc2ccc(F)c(F)c2)cc[nH+]1 ZINC000767870244 1129819087 /nfs/dbraw/zinc/81/90/87/1129819087.db2.gz SZKIEYHOMAQLTR-UHFFFAOYSA-N 1 2 294.370 3.859 20 0 CHADLO CN(C)c1cc(CSCC2CCCC2)cc[nH+]1 ZINC000767876579 1129819149 /nfs/dbraw/zinc/81/91/49/1129819149.db2.gz VRBKYMVIHSNLSW-UHFFFAOYSA-N 1 2 250.411 3.571 20 0 CHADLO CCc1nc(C[NH2+][C@@H](CC)c2ccccc2OC)cs1 ZINC000380937889 1129833084 /nfs/dbraw/zinc/83/30/84/1129833084.db2.gz QHOGQLUPDZNKOX-AWEZNQCLSA-N 1 2 290.432 3.955 20 0 CHADLO C[N@H+](Cc1ccc(Cl)o1)Cc1ccc(Cl)nc1 ZINC000768236188 1129846640 /nfs/dbraw/zinc/84/66/40/1129846640.db2.gz HHZDNRBYNDZPFE-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C[N@@H+](Cc1ccc(Cl)o1)Cc1ccc(Cl)nc1 ZINC000768236188 1129846646 /nfs/dbraw/zinc/84/66/46/1129846646.db2.gz HHZDNRBYNDZPFE-UHFFFAOYSA-N 1 2 271.147 3.613 20 0 CHADLO C=C/C=C\CC[N@@H+]1CCn2c(cc3ccccc32)C1 ZINC001460178307 1129850156 /nfs/dbraw/zinc/85/01/56/1129850156.db2.gz DJFIXVFYEOWSAT-ARJAWSKDSA-N 1 2 252.361 3.589 20 0 CHADLO C=C/C=C\CC[N@H+]1CCn2c(cc3ccccc32)C1 ZINC001460178307 1129850161 /nfs/dbraw/zinc/85/01/61/1129850161.db2.gz DJFIXVFYEOWSAT-ARJAWSKDSA-N 1 2 252.361 3.589 20 0 CHADLO c1cc2n(c1)CC[N@@H+](Cc1ccoc1)[C@H]2c1ccccc1 ZINC000768422737 1129857035 /nfs/dbraw/zinc/85/70/35/1129857035.db2.gz DIFQLPIJNQQGKY-SFHVURJKSA-N 1 2 278.355 3.686 20 0 CHADLO c1cc2n(c1)CC[N@H+](Cc1ccoc1)[C@H]2c1ccccc1 ZINC000768422737 1129857041 /nfs/dbraw/zinc/85/70/41/1129857041.db2.gz DIFQLPIJNQQGKY-SFHVURJKSA-N 1 2 278.355 3.686 20 0 CHADLO Cc1cc(Cl)nc(C[NH2+]Cc2cc(F)ccc2F)c1 ZINC000800285398 1129863818 /nfs/dbraw/zinc/86/38/18/1129863818.db2.gz YVHLIBRELDWOSE-UHFFFAOYSA-N 1 2 282.721 3.611 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(C)on1)c1ccccc1OC ZINC000800502500 1129881816 /nfs/dbraw/zinc/88/18/16/1129881816.db2.gz CGMPRLWYZUGTLL-TZMCWYRMSA-N 1 2 274.364 3.794 20 0 CHADLO COc1ccc([NH2+][C@@H]2CC[C@H]2C2CCC2)c(OC)c1 ZINC000800977271 1129901661 /nfs/dbraw/zinc/90/16/61/1129901661.db2.gz YEHQXQRHWZIZPZ-UONOGXRCSA-N 1 2 261.365 3.694 20 0 CHADLO CN1CCCc2cc([NH2+][C@H]3CCC[C@H]4C[C@H]43)ccc21 ZINC000800981289 1129902175 /nfs/dbraw/zinc/90/21/75/1129902175.db2.gz VDNFCMQSWJYEKX-MAZHCROVSA-N 1 2 256.393 3.670 20 0 CHADLO Cc1cccc(C)c1-c1ccc(Cn2cc[nH+]c2)cn1 ZINC001239595828 1131406718 /nfs/dbraw/zinc/40/67/18/1131406718.db2.gz HGFCEFMBMKKKKQ-UHFFFAOYSA-N 1 2 263.344 3.610 20 0 CHADLO C[N@@H+](Cc1c[nH]c2ccc(Cl)cc12)Cc1nccs1 ZINC001137714899 1131406795 /nfs/dbraw/zinc/40/67/95/1131406795.db2.gz ZMRMWNFLIKQSGE-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@H+](Cc1c[nH]c2ccc(Cl)cc12)Cc1nccs1 ZINC001137714899 1131406799 /nfs/dbraw/zinc/40/67/99/1131406799.db2.gz ZMRMWNFLIKQSGE-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+]1CCCc2cc(NCc3ncc(Cl)s3)ccc21 ZINC000769921498 1129930082 /nfs/dbraw/zinc/93/00/82/1129930082.db2.gz XFPTULSLDHRGQG-UHFFFAOYSA-N 1 2 293.823 3.791 20 0 CHADLO C[N@H+]1CCCc2cc(NCc3ncc(Cl)s3)ccc21 ZINC000769921498 1129930085 /nfs/dbraw/zinc/93/00/85/1129930085.db2.gz XFPTULSLDHRGQG-UHFFFAOYSA-N 1 2 293.823 3.791 20 0 CHADLO Cc1cc(C)c(C(=O)N(C)[C@H](C)c2ccccc2)c(C)[nH+]1 ZINC000901539091 1129934791 /nfs/dbraw/zinc/93/47/91/1129934791.db2.gz VWIICLOVLFVSSC-OAHLLOKOSA-N 1 2 282.387 3.840 20 0 CHADLO CC(C)c1cccc(N(C)C(=O)c2cccc3[nH+]ccn32)c1 ZINC000770418275 1129946552 /nfs/dbraw/zinc/94/65/52/1129946552.db2.gz IIJJCCVWMFAWMC-UHFFFAOYSA-N 1 2 293.370 3.734 20 0 CHADLO O=C(c1cccc2[nH+]ccn21)N1CCC[C@H]1C1CCCCC1 ZINC000770411506 1129946767 /nfs/dbraw/zinc/94/67/67/1129946767.db2.gz HTVPMIQHPBRYMO-HNNXBMFYSA-N 1 2 297.402 3.519 20 0 CHADLO Cc1nc(CSCCn2cc[nH+]c2)sc1C(C)(C)C ZINC000901813609 1129956236 /nfs/dbraw/zinc/95/62/36/1129956236.db2.gz OKSXCHIZWMIMTL-UHFFFAOYSA-N 1 2 295.477 3.879 20 0 CHADLO CC(C)(C)CCCC(=O)OCc1cccc2[nH+]ccn21 ZINC000770925915 1129963697 /nfs/dbraw/zinc/96/36/97/1129963697.db2.gz BSSMMEDPORHSEM-UHFFFAOYSA-N 1 2 274.364 3.594 20 0 CHADLO COc1ccc(CNc2[nH+]c3ccccc3n2C2CC2)o1 ZINC000902066471 1129976970 /nfs/dbraw/zinc/97/69/70/1129976970.db2.gz QZSIPCLXJUPYJR-UHFFFAOYSA-N 1 2 283.331 3.585 20 0 CHADLO CCn1cc(CNc2[nH+]c3ccccc3n2C2CC2)c(C)n1 ZINC000902066459 1129977032 /nfs/dbraw/zinc/97/70/32/1129977032.db2.gz QWBPEEZKSWKLQM-UHFFFAOYSA-N 1 2 295.390 3.508 20 0 CHADLO Cc1cc(NCc2cnc(C3CC3)s2)nc(C(C)C)[nH+]1 ZINC000902185940 1129986635 /nfs/dbraw/zinc/98/66/35/1129986635.db2.gz QMZFQMVSPPSOHU-UHFFFAOYSA-N 1 2 288.420 3.854 20 0 CHADLO FC(F)(F)c1nn(C/C(Cl)=C\Cl)c2cc[nH+]cc21 ZINC000771669943 1129987030 /nfs/dbraw/zinc/98/70/30/1129987030.db2.gz ZSDURZSGDLWVJL-ZZXKWVIFSA-N 1 2 296.079 3.769 20 0 CHADLO Cc1ccc2[nH+]cc(CNc3cccnc3C3CC3)n2c1 ZINC000902291279 1129997173 /nfs/dbraw/zinc/99/71/73/1129997173.db2.gz OHXTUGJOQYNSHJ-UHFFFAOYSA-N 1 2 278.359 3.527 20 0 CHADLO Cc1[nH+]c2cc(OC[C@H]3C[C@@H]4[C@H](C3)C4(F)F)ccc2n1C ZINC000902424656 1130009526 /nfs/dbraw/zinc/00/95/26/1130009526.db2.gz USCVHAMBBUVQDU-LMKPVCQUSA-N 1 2 292.329 3.552 20 0 CHADLO COc1ccc(-c2ccc(-n3cc[nH+]c3)cc2)cc1OC ZINC001239616161 1130013510 /nfs/dbraw/zinc/01/35/10/1130013510.db2.gz NKIMMXZQQBKVBB-UHFFFAOYSA-N 1 2 280.327 3.557 20 0 CHADLO COc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)cc1OC ZINC001239617247 1130014399 /nfs/dbraw/zinc/01/43/99/1130014399.db2.gz IFVSMXFFUUIRHG-UHFFFAOYSA-N 1 2 294.354 3.771 20 0 CHADLO COc1ccc(-c2ccccc2Cn2cc[nH+]c2)c(OC)c1 ZINC001239622217 1130017521 /nfs/dbraw/zinc/01/75/21/1130017521.db2.gz BANPLBCOASMZSS-UHFFFAOYSA-N 1 2 294.354 3.616 20 0 CHADLO CC(=O)c1cccc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001239635419 1130026444 /nfs/dbraw/zinc/02/64/44/1130026444.db2.gz BJJODTGOUGCUGN-UHFFFAOYSA-N 1 2 262.312 3.742 20 0 CHADLO CCNc1ccccc1C[NH2+]Cc1ncc(Cl)s1 ZINC000902639952 1130032607 /nfs/dbraw/zinc/03/26/07/1130032607.db2.gz ZAIRFCMRYVHKRX-UHFFFAOYSA-N 1 2 281.812 3.518 20 0 CHADLO CC(C)(C)SCCOc1cccc(-n2cc[nH+]c2)c1 ZINC000802730333 1130032844 /nfs/dbraw/zinc/03/28/44/1130032844.db2.gz SQHVFWSQQMILEW-UHFFFAOYSA-N 1 2 276.405 3.783 20 0 CHADLO C[C@@H]([NH2+]c1ccc(N2CCO[C@H](C)C2)cc1)[C@H]1CC1(C)C ZINC000785494417 1130036608 /nfs/dbraw/zinc/03/66/08/1130036608.db2.gz BWGPNWBTFASTSB-CKEIUWERSA-N 1 2 288.435 3.758 20 0 CHADLO C/C(=C\C=C\[NH3+])c1ccc(N(C)Cc2ccccc2)nc1 ZINC001239662677 1130039325 /nfs/dbraw/zinc/03/93/25/1130039325.db2.gz URFJJSAGUKHOIV-DXLNJPRNSA-N 1 2 279.387 3.594 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cccc(F)c1F ZINC001239707530 1130064209 /nfs/dbraw/zinc/06/42/09/1130064209.db2.gz PZWZPHCKSNWDJD-UHFFFAOYSA-N 1 2 286.281 3.826 20 0 CHADLO Cc1[nH+]cn(-c2ccc(-c3cccc(C)c3C)nn2)c1C ZINC001239715773 1130069648 /nfs/dbraw/zinc/06/96/48/1130069648.db2.gz SBNXBEDTMQESGC-UHFFFAOYSA-N 1 2 278.359 3.563 20 0 CHADLO c1cc([NH+]2CCCC2)ccc1N[C@@H]1CC[C@@H]2[C@H]3OCC[C@H]3[C@@H]21 ZINC000902899095 1130080081 /nfs/dbraw/zinc/08/00/81/1130080081.db2.gz CJDIGDWVEGAOMX-NTZUZEMLSA-N 1 2 298.430 3.512 20 0 CHADLO c1cc(N2CCCC2)ccc1[NH2+][C@@H]1CC[C@@H]2[C@H]3OCC[C@H]3[C@@H]21 ZINC000902899095 1130080085 /nfs/dbraw/zinc/08/00/85/1130080085.db2.gz CJDIGDWVEGAOMX-NTZUZEMLSA-N 1 2 298.430 3.512 20 0 CHADLO CCOC(=O)c1c[nH+]c(-c2ccccc2CC)cc1N(C)C ZINC001239743001 1130084533 /nfs/dbraw/zinc/08/45/33/1130084533.db2.gz WZZYBMAOSKUSEU-UHFFFAOYSA-N 1 2 298.386 3.554 20 0 CHADLO CCc1nc(OC)ccc1-c1ccc(F)c(-n2cc[nH+]c2)c1 ZINC001239778526 1130104440 /nfs/dbraw/zinc/10/44/40/1130104440.db2.gz FZGJFMBWUZNPTG-UHFFFAOYSA-N 1 2 297.333 3.644 20 0 CHADLO c1cn(Cc2ccc(-c3cnc4ccccc4c3)nc2)c[nH+]1 ZINC001239793108 1130109049 /nfs/dbraw/zinc/10/90/49/1130109049.db2.gz NLOJTYBMAQZEQH-UHFFFAOYSA-N 1 2 286.338 3.542 20 0 CHADLO CCc1cc(OCc2ccc(F)cn2)c2ccccc2[nH+]1 ZINC000786749624 1130115613 /nfs/dbraw/zinc/11/56/13/1130115613.db2.gz RKRUTABUQBCRKQ-UHFFFAOYSA-N 1 2 282.318 3.910 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1ccc(C)c(F)c1 ZINC001239824963 1130126025 /nfs/dbraw/zinc/12/60/25/1130126025.db2.gz PQLFHGZTRPVZKT-UHFFFAOYSA-N 1 2 282.318 3.995 20 0 CHADLO Cc1nc(F)ccc1C[N@H+](Cc1ccccc1F)C1CC1 ZINC000787060955 1130130644 /nfs/dbraw/zinc/13/06/44/1130130644.db2.gz GUYDZPUWQGEPQR-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO Cc1nc(F)ccc1C[N@@H+](Cc1ccccc1F)C1CC1 ZINC000787060955 1130130645 /nfs/dbraw/zinc/13/06/45/1130130645.db2.gz GUYDZPUWQGEPQR-UHFFFAOYSA-N 1 2 288.341 3.833 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1C[C@@H](O)c1c(F)cccc1F ZINC000746668983 1130144558 /nfs/dbraw/zinc/14/45/58/1130144558.db2.gz CAYSWSDUNVLLBF-MEDUHNTESA-N 1 2 289.325 3.575 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1C[C@@H](O)c1c(F)cccc1F ZINC000746668983 1130144560 /nfs/dbraw/zinc/14/45/60/1130144560.db2.gz CAYSWSDUNVLLBF-MEDUHNTESA-N 1 2 289.325 3.575 20 0 CHADLO C[C@H]1c2ccccc2C[N@H+]1C[C@H](O)c1c(F)cccc1F ZINC000746668986 1130144850 /nfs/dbraw/zinc/14/48/50/1130144850.db2.gz CAYSWSDUNVLLBF-ZBEGNZNMSA-N 1 2 289.325 3.575 20 0 CHADLO C[C@H]1c2ccccc2C[N@@H+]1C[C@H](O)c1c(F)cccc1F ZINC000746668986 1130144853 /nfs/dbraw/zinc/14/48/53/1130144853.db2.gz CAYSWSDUNVLLBF-ZBEGNZNMSA-N 1 2 289.325 3.575 20 0 CHADLO Cc1cc(-c2ccc(C(=O)N3CCCC3)cc2)cc(C)[nH+]1 ZINC001239896586 1130148936 /nfs/dbraw/zinc/14/89/36/1130148936.db2.gz XFIUQKPWWOILOW-UHFFFAOYSA-N 1 2 280.371 3.601 20 0 CHADLO Cc1cnc(C)c(-c2ccc(Cn3cc[nH+]c3)cc2)c1 ZINC001239901052 1130149857 /nfs/dbraw/zinc/14/98/57/1130149857.db2.gz ABWIWZJCIASGHT-UHFFFAOYSA-N 1 2 263.344 3.610 20 0 CHADLO C[C@@H]([NH2+][C@H]1COCc2cccc(Cl)c21)c1cncs1 ZINC000903312595 1130151461 /nfs/dbraw/zinc/15/14/61/1130151461.db2.gz WYTMKBNHCDSLDH-SKDRFNHKSA-N 1 2 294.807 3.719 20 0 CHADLO COCc1cccc(-c2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001239937287 1130160008 /nfs/dbraw/zinc/16/00/08/1130160008.db2.gz XDCXDOLWYXKYRB-UHFFFAOYSA-N 1 2 264.328 3.686 20 0 CHADLO c1ccc(NCC[C@@H]2CCc3ccc4c(c32)CCO4)[nH+]c1 ZINC001170084149 1130182802 /nfs/dbraw/zinc/18/28/02/1130182802.db2.gz GLMOKALJJHVSBV-AWEZNQCLSA-N 1 2 280.371 3.549 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(-c2cnccc2Cl)cc1 ZINC001243045479 1130183326 /nfs/dbraw/zinc/18/33/26/1130183326.db2.gz GARGAQLHGDHWIQ-UHFFFAOYSA-N 1 2 269.735 3.803 20 0 CHADLO Cc1cc([NH+](C)C)ccc1NCc1ccc(-c2cc[nH]n2)o1 ZINC000788506158 1130190807 /nfs/dbraw/zinc/19/08/07/1130190807.db2.gz AXVNBEVVGNLTJW-UHFFFAOYSA-N 1 2 296.374 3.656 20 0 CHADLO Cc1c(-c2cccc3cnccc32)ccc2[nH+]ccn21 ZINC001240011471 1130192543 /nfs/dbraw/zinc/19/25/43/1130192543.db2.gz YNAURZZWGFKIIF-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Cc1[nH+]cccc1NCC[C@@H]1CCc2ccc3c(c21)CCO3 ZINC001170085803 1130195111 /nfs/dbraw/zinc/19/51/11/1130195111.db2.gz SLWNBLYOSBBWIS-HNNXBMFYSA-N 1 2 294.398 3.857 20 0 CHADLO C[C@@H]1CN(c2ccc([NH2+]C3CC(C)(C)C3)cc2)C[C@@H](C)O1 ZINC000788598197 1130196147 /nfs/dbraw/zinc/19/61/47/1130196147.db2.gz KTONTYXCZVPJEC-ZIAGYGMSSA-N 1 2 288.435 3.901 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1cccc(C(=O)NC(C)C)c1 ZINC001240082549 1130209748 /nfs/dbraw/zinc/20/97/48/1130209748.db2.gz HTHPVAABRHHPQI-UHFFFAOYSA-N 1 2 268.360 3.504 20 0 CHADLO CCCCNC(=O)c1cccc(-c2cc(C)[nH+]c(C)c2)c1 ZINC001240085777 1130210409 /nfs/dbraw/zinc/21/04/09/1130210409.db2.gz OQKRVBPGIIASBA-UHFFFAOYSA-N 1 2 282.387 3.895 20 0 CHADLO CN(C)c1cc(CSCCCCOC(C)(C)C)cc[nH+]1 ZINC000788812483 1130210587 /nfs/dbraw/zinc/21/05/87/1130210587.db2.gz NUDVDERYMUQQMO-UHFFFAOYSA-N 1 2 296.480 3.976 20 0 CHADLO Nc1cc(-c2cccc(OCc3ccccc3)c2)cc[nH+]1 ZINC001240122397 1130217153 /nfs/dbraw/zinc/21/71/53/1130217153.db2.gz VFNLMXDKJYLYRU-UHFFFAOYSA-N 1 2 276.339 3.910 20 0 CHADLO Nc1ccc(-c2ccc(C(=O)c3ccccc3)cc2)c[nH+]1 ZINC001240137316 1130222652 /nfs/dbraw/zinc/22/26/52/1130222652.db2.gz WWUKRSFCACJWDS-UHFFFAOYSA-N 1 2 274.323 3.562 20 0 CHADLO Cc1sc2ncnc(-c3ccc4[nH+]ccn4c3)c2c1C ZINC001240214305 1130234157 /nfs/dbraw/zinc/23/41/57/1130234157.db2.gz NUXXFVPRNAHPGX-UHFFFAOYSA-N 1 2 280.356 3.623 20 0 CHADLO Cc1cc(C(F)(F)F)cc(-c2ccc3[nH+]ccn3c2)n1 ZINC001240215346 1130234518 /nfs/dbraw/zinc/23/45/18/1130234518.db2.gz XYCXQCVPPTWVRT-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO COc1cccc2ccc(-c3ccc4[nH+]ccn4c3)nc21 ZINC001240215326 1130234525 /nfs/dbraw/zinc/23/45/25/1130234525.db2.gz XOBQXAAWPIBTIC-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO Cc1nc(C(C)(C)C)nc(-c2ccc3[nH+]ccn3c2)c1C ZINC001240217192 1130235818 /nfs/dbraw/zinc/23/58/18/1130235818.db2.gz GOKGUHUCKPAOKP-UHFFFAOYSA-N 1 2 280.375 3.706 20 0 CHADLO c1cn2cc(-c3ccc(-c4ccsc4)nn3)ccc2[nH+]1 ZINC001240217148 1130236098 /nfs/dbraw/zinc/23/60/98/1130236098.db2.gz DFFMYDMJDBQVKC-UHFFFAOYSA-N 1 2 278.340 3.520 20 0 CHADLO c1ccn(-c2cccc(-c3ccc4[nH+]ccn4c3)c2)c1 ZINC001240217977 1130236190 /nfs/dbraw/zinc/23/61/90/1130236190.db2.gz UVLWKJBIFGCSEA-UHFFFAOYSA-N 1 2 259.312 3.792 20 0 CHADLO CC(=O)n1ccc2cc(-c3ccc4[nH+]ccn4c3)ccc21 ZINC001240219381 1130237635 /nfs/dbraw/zinc/23/76/35/1130237635.db2.gz JKXYERDHDRKJNB-UHFFFAOYSA-N 1 2 275.311 3.616 20 0 CHADLO CCOc1cc(F)c(-c2ccc3[nH+]ccn3c2)cc1OC ZINC001240220912 1130238247 /nfs/dbraw/zinc/23/82/47/1130238247.db2.gz NMAOHFZQDBDIQY-UHFFFAOYSA-N 1 2 286.306 3.548 20 0 CHADLO CCOC(=O)c1cc(C)c(-c2ccc3[nH+]ccn3c2)cc1C ZINC001240220122 1130238805 /nfs/dbraw/zinc/23/88/05/1130238805.db2.gz AVIZUYKBGHPTSZ-UHFFFAOYSA-N 1 2 294.354 3.795 20 0 CHADLO Cc1ccc2ncc(-c3ccc4[nH+]ccn4c3)cc2c1 ZINC001240221138 1130238859 /nfs/dbraw/zinc/23/88/59/1130238859.db2.gz GQYUUXBBAMRTQK-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO c1cnn(-c2cccc(-c3cc[nH+]c(N4CCCC4)c3)c2)c1 ZINC001240248412 1130247129 /nfs/dbraw/zinc/24/71/29/1130247129.db2.gz ZKLWSAPPXQZBRG-UHFFFAOYSA-N 1 2 290.370 3.535 20 0 CHADLO Cc1cn2c(cccc2-c2ccc(C(F)(F)F)nc2)[nH+]1 ZINC001240268153 1130252981 /nfs/dbraw/zinc/25/29/81/1130252981.db2.gz XFFFWQISQBBFBA-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO FC(F)(F)c1cc(-c2ccc(-n3cc[nH+]c3)cc2)ccn1 ZINC001240338787 1130269199 /nfs/dbraw/zinc/26/91/99/1130269199.db2.gz DWODKWHHXBQEMM-UHFFFAOYSA-N 1 2 289.260 3.953 20 0 CHADLO Cc1cn2cc(-c3ccnc(C(F)(F)F)c3)ccc2[nH+]1 ZINC001240339265 1130269541 /nfs/dbraw/zinc/26/95/41/1130269541.db2.gz SHTPGAIKBKGBKR-UHFFFAOYSA-N 1 2 277.249 3.724 20 0 CHADLO Cc1ccc(OC(=O)c2ccc(-n3cc[nH+]c3)cc2)cc1F ZINC000789660849 1130270557 /nfs/dbraw/zinc/27/05/57/1130270557.db2.gz XLQVGDAJHYZDFI-UHFFFAOYSA-N 1 2 296.301 3.539 20 0 CHADLO CCc1noc(C[NH2+][C@H](C)c2ccc(F)c3ccccc32)n1 ZINC000676841367 1130273533 /nfs/dbraw/zinc/27/35/33/1130273533.db2.gz KBLZGHMJZCLFAD-LLVKDONJSA-N 1 2 299.349 3.775 20 0 CHADLO Cc1cc[nH+]c(C)c1-c1ccc(-c2cnn(C)c2)cc1 ZINC001240364916 1130279081 /nfs/dbraw/zinc/27/90/81/1130279081.db2.gz RGLHXOIXRULTSB-UHFFFAOYSA-N 1 2 263.344 3.766 20 0 CHADLO CC(C)n1cnc2cc(-c3cccc4[nH+]ccn43)ccc21 ZINC001240369825 1130281624 /nfs/dbraw/zinc/28/16/24/1130281624.db2.gz LKQNRRHOXRYYJC-UHFFFAOYSA-N 1 2 276.343 3.932 20 0 CHADLO CC(C)n1cnc2cc(-c3ccn4cc(N)[nH+]c4c3)ccc21 ZINC001240368951 1130281779 /nfs/dbraw/zinc/28/17/79/1130281779.db2.gz CCLDEAIVAQKJHN-UHFFFAOYSA-N 1 2 291.358 3.514 20 0 CHADLO Cc1ccc(Cl)cc1C(=O)Nc1ccc2[nH+]ccn2c1 ZINC000677059721 1130287125 /nfs/dbraw/zinc/28/71/25/1130287125.db2.gz TZEIRXYEDALQJV-UHFFFAOYSA-N 1 2 285.734 3.548 20 0 CHADLO Cc1cc(N2CCCCC2)[nH+]cc1-c1cnc2[nH]ccc2c1 ZINC001240391288 1130287824 /nfs/dbraw/zinc/28/78/24/1130287824.db2.gz GNFPRPFODBGGOO-UHFFFAOYSA-N 1 2 292.386 3.875 20 0 CHADLO CCC[C@H](C)[NH2+]c1ccc(N2C[C@@H](C)O[C@@H](C)C2)cc1 ZINC000790504228 1130316885 /nfs/dbraw/zinc/31/68/85/1130316885.db2.gz BXUOTIGLIVDLTE-SOUVJXGZSA-N 1 2 276.424 3.901 20 0 CHADLO Cc1nn(C)c2ccc(-c3ccc(-n4cc[nH+]c4)cc3)cc12 ZINC001240456860 1130317706 /nfs/dbraw/zinc/31/77/06/1130317706.db2.gz LRSQQYMHJZOIFO-UHFFFAOYSA-N 1 2 288.354 3.734 20 0 CHADLO CC(C)(C)c1ccnc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240497165 1130329165 /nfs/dbraw/zinc/32/91/65/1130329165.db2.gz ZXYHCQMXKYSWRU-UHFFFAOYSA-N 1 2 251.333 3.694 20 0 CHADLO CC(C)(C)c1nc2[nH]ccc2c(-c2ccn3cc[nH+]c3c2)n1 ZINC001240497763 1130329505 /nfs/dbraw/zinc/32/95/05/1130329505.db2.gz YXHQFVFGYJXCHR-UHFFFAOYSA-N 1 2 291.358 3.522 20 0 CHADLO CCSc1ccnc(-c2ccn3cc[nH+]c3c2)c1 ZINC001240503174 1130332490 /nfs/dbraw/zinc/33/24/90/1130332490.db2.gz IYIUXJAGOMPMCA-UHFFFAOYSA-N 1 2 255.346 3.508 20 0 CHADLO CCc1nc2sccc2c(-c2ccn3cc[nH+]c3c2)n1 ZINC001240503624 1130332998 /nfs/dbraw/zinc/33/29/98/1130332998.db2.gz PKWXLSSPUDWMFX-UHFFFAOYSA-N 1 2 280.356 3.568 20 0 CHADLO Fc1cc2cc[nH]c2c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240508616 1130334212 /nfs/dbraw/zinc/33/42/12/1130334212.db2.gz FSAMRCCMHGUXCH-UHFFFAOYSA-N 1 2 251.264 3.622 20 0 CHADLO Cc1cccc2ccnc(-c3ccn4cc[nH+]c4c3)c12 ZINC001240504832 1130334908 /nfs/dbraw/zinc/33/49/08/1130334908.db2.gz YYWASMHEONAMAC-UHFFFAOYSA-N 1 2 259.312 3.858 20 0 CHADLO Fc1ccc2c(c1)nccc2-c1ccn2cc[nH+]c2c1 ZINC001240510115 1130336366 /nfs/dbraw/zinc/33/63/66/1130336366.db2.gz BUXISRYUBDCWQG-UHFFFAOYSA-N 1 2 263.275 3.689 20 0 CHADLO c1cn2ccc(-c3ccc(O[C@H]4CCCCO4)cc3)cc2[nH+]1 ZINC001240511926 1130336431 /nfs/dbraw/zinc/33/64/31/1130336431.db2.gz LYEJEQYVDBJNKH-SFHVURJKSA-N 1 2 294.354 3.907 20 0 CHADLO CC[N@H+](Cc1cccc(Cl)c1)[C@H](C)c1nc(C)no1 ZINC000677887065 1130337993 /nfs/dbraw/zinc/33/79/93/1130337993.db2.gz ZHIQACFZXLCSQT-SNVBAGLBSA-N 1 2 279.771 3.615 20 0 CHADLO CC[N@@H+](Cc1cccc(Cl)c1)[C@H](C)c1nc(C)no1 ZINC000677887065 1130337998 /nfs/dbraw/zinc/33/79/98/1130337998.db2.gz ZHIQACFZXLCSQT-SNVBAGLBSA-N 1 2 279.771 3.615 20 0 CHADLO COc1cc2ncccc2c(-c2ccn3cc[nH+]c3c2)c1 ZINC001240512691 1130337957 /nfs/dbraw/zinc/33/79/57/1130337957.db2.gz WZZKKGSYBHHOBX-UHFFFAOYSA-N 1 2 275.311 3.558 20 0 CHADLO C[N@@H+](Cc1c(Cl)ccnc1Cl)CC1CC(F)(F)C1 ZINC001139479842 1130346290 /nfs/dbraw/zinc/34/62/90/1130346290.db2.gz QHFHKBZMWDLGHJ-UHFFFAOYSA-N 1 2 295.160 3.866 20 0 CHADLO C[N@H+](Cc1c(Cl)ccnc1Cl)CC1CC(F)(F)C1 ZINC001139479842 1130346296 /nfs/dbraw/zinc/34/62/96/1130346296.db2.gz QHFHKBZMWDLGHJ-UHFFFAOYSA-N 1 2 295.160 3.866 20 0 CHADLO c1cn2cccc(-c3cccc(N4CCCC4)c3)c2[nH+]1 ZINC001240534373 1130347200 /nfs/dbraw/zinc/34/72/00/1130347200.db2.gz AJVWREQZLWVWFW-UHFFFAOYSA-N 1 2 263.344 3.602 20 0 CHADLO c1cc(-c2cc[nH+]c(N3CCCC3)c2)cc(N2CCCC2)c1 ZINC001240534423 1130347604 /nfs/dbraw/zinc/34/76/04/1130347604.db2.gz CGUPVVYDZHTRSJ-UHFFFAOYSA-N 1 2 293.414 3.949 20 0 CHADLO CC[C@@H](C(=O)Nc1ccc(C)[nH+]c1N(C)C)c1ccccc1 ZINC000791139573 1130354693 /nfs/dbraw/zinc/35/46/93/1130354693.db2.gz LBBKSZOBNYOXPD-OAHLLOKOSA-N 1 2 297.402 3.588 20 0 CHADLO C[N@H+](Cc1cscc1Cl)Cc1ccc2c(c1)OCO2 ZINC000678125033 1130355298 /nfs/dbraw/zinc/35/52/98/1130355298.db2.gz TXFDDMBUNHBAPK-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO C[N@@H+](Cc1cscc1Cl)Cc1ccc2c(c1)OCO2 ZINC000678125033 1130355304 /nfs/dbraw/zinc/35/53/04/1130355304.db2.gz TXFDDMBUNHBAPK-UHFFFAOYSA-N 1 2 295.791 3.762 20 0 CHADLO Fc1cccc(C[N@H+](Cc2n[nH]c3ccccc32)C2CC2)c1 ZINC000678135953 1130356432 /nfs/dbraw/zinc/35/64/32/1130356432.db2.gz LHWWNVBAOYAEBD-UHFFFAOYSA-N 1 2 295.361 3.867 20 0 CHADLO Fc1cccc(C[N@@H+](Cc2n[nH]c3ccccc32)C2CC2)c1 ZINC000678135953 1130356438 /nfs/dbraw/zinc/35/64/38/1130356438.db2.gz LHWWNVBAOYAEBD-UHFFFAOYSA-N 1 2 295.361 3.867 20 0 CHADLO Cn1c[nH+]cc1-c1cccc(-c2ccc3c[nH]nc3c2)c1 ZINC001240558338 1130356982 /nfs/dbraw/zinc/35/69/82/1130356982.db2.gz LZUTUYULFRECAY-UHFFFAOYSA-N 1 2 274.327 3.630 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)c1ccccc1 ZINC000791269705 1130360519 /nfs/dbraw/zinc/36/05/19/1130360519.db2.gz IPNZCBWZRFWLPD-UHFFFAOYSA-N 1 2 292.338 3.538 20 0 CHADLO Cc1cc(-c2cccc(-c3cc[nH]n3)c2)c2[nH+]ccn2c1 ZINC001240562710 1130361121 /nfs/dbraw/zinc/36/11/21/1130361121.db2.gz RWKQQIMUOFNYBL-UHFFFAOYSA-N 1 2 274.327 3.700 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1COC(=O)[C@H](C)C(C)C ZINC000791383538 1130366203 /nfs/dbraw/zinc/36/62/03/1130366203.db2.gz XDKRGGUBPIFFBR-CQSZACIVSA-N 1 2 286.375 3.516 20 0 CHADLO Cc1ccoc1C[N@@H+]1CCc2[nH]c3ccc(C)cc3c2C1 ZINC000678171780 1130367115 /nfs/dbraw/zinc/36/71/15/1130367115.db2.gz LAPOJVDHWGUAMI-UHFFFAOYSA-N 1 2 280.371 3.936 20 0 CHADLO Cc1ccoc1C[N@H+]1CCc2[nH]c3ccc(C)cc3c2C1 ZINC000678171780 1130367119 /nfs/dbraw/zinc/36/71/19/1130367119.db2.gz LAPOJVDHWGUAMI-UHFFFAOYSA-N 1 2 280.371 3.936 20 0 CHADLO C[C@@H](c1ccco1)[N@H+](Cc1cn2cccc(F)c2n1)C1CC1 ZINC000678213393 1130372262 /nfs/dbraw/zinc/37/22/62/1130372262.db2.gz SULFFYWRMGRVOW-LBPRGKRZSA-N 1 2 299.349 3.792 20 0 CHADLO C[C@@H](c1ccco1)[N@@H+](Cc1cn2cccc(F)c2n1)C1CC1 ZINC000678213393 1130372267 /nfs/dbraw/zinc/37/22/67/1130372267.db2.gz SULFFYWRMGRVOW-LBPRGKRZSA-N 1 2 299.349 3.792 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1c[nH]c(-c2ccccc2)n1 ZINC000678222088 1130374416 /nfs/dbraw/zinc/37/44/16/1130374416.db2.gz ININEJQWEOULSF-UHFFFAOYSA-N 1 2 297.324 3.851 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1c[nH]c(-c2ccccc2)n1 ZINC000678222088 1130374423 /nfs/dbraw/zinc/37/44/23/1130374423.db2.gz ININEJQWEOULSF-UHFFFAOYSA-N 1 2 297.324 3.851 20 0 CHADLO CC[N@H+](CCC(F)(F)F)Cc1cnc(-c2ccccc2)[nH]1 ZINC000678222088 1130374429 /nfs/dbraw/zinc/37/44/29/1130374429.db2.gz ININEJQWEOULSF-UHFFFAOYSA-N 1 2 297.324 3.851 20 0 CHADLO CC[N@@H+](CCC(F)(F)F)Cc1cnc(-c2ccccc2)[nH]1 ZINC000678222088 1130374435 /nfs/dbraw/zinc/37/44/35/1130374435.db2.gz ININEJQWEOULSF-UHFFFAOYSA-N 1 2 297.324 3.851 20 0 CHADLO CCN(C)c1cc(C)[nH+]c(-c2ccc(N3CCCC3)cc2)n1 ZINC001240587671 1130374782 /nfs/dbraw/zinc/37/47/82/1130374782.db2.gz YAKJPKDCALRVBT-UHFFFAOYSA-N 1 2 296.418 3.508 20 0 CHADLO c1cc(-c2ccc(N3CCCC3)cc2)cc(N2CCCC2)[nH+]1 ZINC001240589790 1130375199 /nfs/dbraw/zinc/37/51/99/1130375199.db2.gz IFMBLRQMKGQDOV-UHFFFAOYSA-N 1 2 293.414 3.949 20 0 CHADLO Cc1cc(C)c(C[N@@H+]2CCOC[C@H]2c2ccco2)cc1C ZINC000678264955 1130382834 /nfs/dbraw/zinc/38/28/34/1130382834.db2.gz QLHIIZXGLQAIST-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Cc1cc(C)c(C[N@H+]2CCOC[C@H]2c2ccco2)cc1C ZINC000678264955 1130382839 /nfs/dbraw/zinc/38/28/39/1130382839.db2.gz QLHIIZXGLQAIST-KRWDZBQOSA-N 1 2 285.387 3.778 20 0 CHADLO Cn1ncc2c1CCC[C@@H]2[N@H+](C)Cc1cscc1Cl ZINC000678296258 1130394850 /nfs/dbraw/zinc/39/48/50/1130394850.db2.gz YTOXBSYPDJTSBF-ZDUSSCGKSA-N 1 2 295.839 3.644 20 0 CHADLO Cn1ncc2c1CCC[C@@H]2[N@@H+](C)Cc1cscc1Cl ZINC000678296258 1130394857 /nfs/dbraw/zinc/39/48/57/1130394857.db2.gz YTOXBSYPDJTSBF-ZDUSSCGKSA-N 1 2 295.839 3.644 20 0 CHADLO CCC[C@H](CC1CCCC1)C(=O)OCc1cc[nH+]c(N)c1 ZINC000791899660 1130417085 /nfs/dbraw/zinc/41/70/85/1130417085.db2.gz OVRKDCLNAJCMHR-OAHLLOKOSA-N 1 2 290.407 3.704 20 0 CHADLO Cc1ccc(-c2cc[nH+]c(N3CCCC3)c2)cc1C ZINC001240701950 1130427548 /nfs/dbraw/zinc/42/75/48/1130427548.db2.gz VPZUALRNBRCRCR-UHFFFAOYSA-N 1 2 252.361 3.966 20 0 CHADLO C[C@@H]([NH2+]Cc1ncccn1)c1csc2ccccc21 ZINC000792290276 1130442476 /nfs/dbraw/zinc/44/24/76/1130442476.db2.gz YTAICGMEUISUHP-LLVKDONJSA-N 1 2 269.373 3.542 20 0 CHADLO COCOc1cc(C)ccc1-c1cccc2[nH+]c(C)cn21 ZINC001240747135 1130452715 /nfs/dbraw/zinc/45/27/15/1130452715.db2.gz GTRHMMYHHWTTDL-UHFFFAOYSA-N 1 2 282.343 3.601 20 0 CHADLO CC(C)[N@H+](C)Cc1c(Cl)ccc(F)c1Cl ZINC001137353739 1130465747 /nfs/dbraw/zinc/46/57/47/1130465747.db2.gz UOZZRTRMTVVXHI-UHFFFAOYSA-N 1 2 250.144 3.973 20 0 CHADLO CC(C)[N@@H+](C)Cc1c(Cl)ccc(F)c1Cl ZINC001137353739 1130465752 /nfs/dbraw/zinc/46/57/52/1130465752.db2.gz UOZZRTRMTVVXHI-UHFFFAOYSA-N 1 2 250.144 3.973 20 0 CHADLO CC(C)(C)C[C@H]([NH2+]Cc1csnn1)c1ccc(F)cc1 ZINC000678896898 1130469317 /nfs/dbraw/zinc/46/93/17/1130469317.db2.gz CSDQNHFWCGNADJ-AWEZNQCLSA-N 1 2 293.411 3.944 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2csnn2)C(C)(C)C)c1 ZINC000678909097 1130470422 /nfs/dbraw/zinc/47/04/22/1130470422.db2.gz VKYXJEALJDWBLN-CQSZACIVSA-N 1 2 275.421 3.724 20 0 CHADLO Oc1ccc(-c2ccc(F)c(-n3cc[nH+]c3)c2)cc1F ZINC001240791288 1130474186 /nfs/dbraw/zinc/47/41/86/1130474186.db2.gz YGEGVMFHNAMEPT-UHFFFAOYSA-N 1 2 272.254 3.523 20 0 CHADLO Fc1ccccc1[C@H]([NH2+]Cc1csnn1)C1CCCC1 ZINC000678938599 1130475444 /nfs/dbraw/zinc/47/54/44/1130475444.db2.gz DHGMPTDLJZYQPF-OAHLLOKOSA-N 1 2 291.395 3.698 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[N@H+](C)CC(=O)OC(C)(C)C ZINC001170278191 1130478242 /nfs/dbraw/zinc/47/82/42/1130478242.db2.gz VZWOZZBNBXEXLI-GFCCVEGCSA-N 1 2 297.826 3.545 20 0 CHADLO C[C@H](Cc1ccccc1Cl)[N@@H+](C)CC(=O)OC(C)(C)C ZINC001170278191 1130478250 /nfs/dbraw/zinc/47/82/50/1130478250.db2.gz VZWOZZBNBXEXLI-GFCCVEGCSA-N 1 2 297.826 3.545 20 0 CHADLO Cc1cc(Cl)cc2c1OCCC[C@H]2[NH2+]Cc1cocn1 ZINC000679051908 1130488862 /nfs/dbraw/zinc/48/88/62/1130488862.db2.gz ZPKUHAAFDYFMOM-CQSZACIVSA-N 1 2 292.766 3.640 20 0 CHADLO Cn1ccc2cc(-c3ccc(F)c(-n4cc[nH+]c4)c3)cnc21 ZINC001240845616 1130491349 /nfs/dbraw/zinc/49/13/49/1130491349.db2.gz HZZBXCOYKGRRFQ-UHFFFAOYSA-N 1 2 292.317 3.565 20 0 CHADLO Cc1[nH]ncc1[C@@H](C)[NH2+][C@@H](c1cccs1)C1CC1 ZINC000679330672 1130515296 /nfs/dbraw/zinc/51/52/96/1130515296.db2.gz PPQANIZHVCWIPH-YMTOWFKASA-N 1 2 261.394 3.582 20 0 CHADLO FC(F)Oc1cccc(Cl)c1C[N@H+]1CC=CCC1 ZINC000679360833 1130522645 /nfs/dbraw/zinc/52/26/45/1130522645.db2.gz XRYQQGCWQCGQRM-UHFFFAOYSA-N 1 2 273.710 3.703 20 0 CHADLO CC(C)OC(=O)c1cccc(-c2ccc3[nH+]ccn3c2)c1 ZINC001240946801 1130528666 /nfs/dbraw/zinc/52/86/66/1130528666.db2.gz WVZPWZBHLAONPN-UHFFFAOYSA-N 1 2 280.327 3.567 20 0 CHADLO COCc1ccc(-c2cc[nH+]c(N3CCCCC3)c2)cc1 ZINC001240951408 1130531188 /nfs/dbraw/zinc/53/11/88/1130531188.db2.gz ZXZMVTBWPVRDKI-UHFFFAOYSA-N 1 2 282.387 3.885 20 0 CHADLO COc1cc(F)c(-c2ccc3[nH+]ccn3c2C)cc1F ZINC001240960042 1130537695 /nfs/dbraw/zinc/53/76/95/1130537695.db2.gz YZDHZAUSRVCFQN-UHFFFAOYSA-N 1 2 274.270 3.597 20 0 CHADLO Fc1ncccc1C[NH2+]Cc1cc(Cl)ccc1Cl ZINC000793290221 1130538694 /nfs/dbraw/zinc/53/86/94/1130538694.db2.gz SBCSKPXTDFDEPU-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO Fc1ncccc1C[NH2+]Cc1c(Cl)cccc1Cl ZINC000793279228 1130540229 /nfs/dbraw/zinc/54/02/29/1130540229.db2.gz BXPCUVVDEOESPE-UHFFFAOYSA-N 1 2 285.149 3.817 20 0 CHADLO COCC[C@@H]([NH2+][C@H](C)c1nc(C)sc1C)c1ccco1 ZINC000679576745 1130542301 /nfs/dbraw/zinc/54/23/01/1130542301.db2.gz RXLOOEQODFOAKG-ZWNOBZJWSA-N 1 2 294.420 3.781 20 0 CHADLO Cc1ccc(Br)c(C[N@@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c1 ZINC001137382069 1130547189 /nfs/dbraw/zinc/54/71/89/1130547189.db2.gz KEWZGMWPAHSWHJ-JKOKRWQUSA-N 1 2 298.199 3.547 20 0 CHADLO Cc1ccc(Br)c(C[N@H+]2C[C@@H]3C[C@@H]3[C@@H](F)C2)c1 ZINC001137382069 1130547193 /nfs/dbraw/zinc/54/71/93/1130547193.db2.gz KEWZGMWPAHSWHJ-JKOKRWQUSA-N 1 2 298.199 3.547 20 0 CHADLO COc1cc(-n2cc[nH+]c2)ccc1-c1cccc(N(C)C)c1 ZINC001240988336 1130554248 /nfs/dbraw/zinc/55/42/48/1130554248.db2.gz OLXPHGXHDUFCGL-UHFFFAOYSA-N 1 2 293.370 3.614 20 0 CHADLO Cc1nc(NCc2ccc(Cl)o2)c2c([nH+]1)CCCC2 ZINC000679837234 1130558527 /nfs/dbraw/zinc/55/85/27/1130558527.db2.gz DOBWHUPJVBTJRM-UHFFFAOYSA-N 1 2 277.755 3.522 20 0 CHADLO Cc1ccncc1[C@H](C)[NH2+]Cc1ncc(-c2cccs2)o1 ZINC000679939722 1130563224 /nfs/dbraw/zinc/56/32/24/1130563224.db2.gz HBNDJOPQBPIQHH-LBPRGKRZSA-N 1 2 299.399 3.957 20 0 CHADLO COc1cccc(F)c1-c1ccc(-n2cc[nH+]c2)cc1 ZINC001241011641 1130565816 /nfs/dbraw/zinc/56/58/16/1130565816.db2.gz YKPNEGVBBLXAJB-UHFFFAOYSA-N 1 2 268.291 3.687 20 0 CHADLO CCOC(=[NH2+])c1ccc(-c2cnn(C(C)(C)C)c2)cc1 ZINC001243565542 1130566762 /nfs/dbraw/zinc/56/67/62/1130566762.db2.gz VSNDFPJSNQAPEF-UHFFFAOYSA-N 1 2 271.364 3.667 20 0 CHADLO Cc1cn2cc(NC(=O)c3cc(Cl)cs3)ccc2[nH+]1 ZINC000758205192 1130602919 /nfs/dbraw/zinc/60/29/19/1130602919.db2.gz NTYLGVRTRIBIPY-UHFFFAOYSA-N 1 2 291.763 3.610 20 0 CHADLO CCSc1cccc(C[NH+]2CC(O)(c3ccccc3)C2)c1 ZINC000811509761 1130618498 /nfs/dbraw/zinc/61/84/98/1130618498.db2.gz CSEBBYUDEGQMDY-UHFFFAOYSA-N 1 2 299.439 3.502 20 0 CHADLO CCOC(=[NH2+])c1ccc(O[C@H](C)COc2ccccc2)cc1 ZINC001234587843 1130659615 /nfs/dbraw/zinc/65/96/15/1130659615.db2.gz DMJOMPCHWDKJKQ-CQSZACIVSA-N 1 2 299.370 3.895 20 0 CHADLO CCCC1(C(=O)OCc2ccc(-n3cc[nH+]c3)cc2)CCC1 ZINC000759466632 1130660240 /nfs/dbraw/zinc/66/02/40/1130660240.db2.gz QWJDLWLGGRSIDQ-UHFFFAOYSA-N 1 2 298.386 3.886 20 0 CHADLO CCC(C)=C(C)C(=O)Nc1ccc2[nH]c(C)[nH+]c2c1 ZINC000780863785 1130693676 /nfs/dbraw/zinc/69/36/76/1130693676.db2.gz WLDYVRXBJQNSRS-KTKRTIGZSA-N 1 2 257.337 3.556 20 0 CHADLO Cc1cc(C)cc([C@@H]2CCC[N@@H+]2Cc2ncc(F)cn2)c1 ZINC001235012683 1130703869 /nfs/dbraw/zinc/70/38/69/1130703869.db2.gz HBLBQKWFBUCUOD-INIZCTEOSA-N 1 2 285.366 3.570 20 0 CHADLO Cc1cc(C)cc([C@@H]2CCC[N@H+]2Cc2ncc(F)cn2)c1 ZINC001235012683 1130703875 /nfs/dbraw/zinc/70/38/75/1130703875.db2.gz HBLBQKWFBUCUOD-INIZCTEOSA-N 1 2 285.366 3.570 20 0 CHADLO COc1ccc(C[N@H+](C)Cc2c(F)cc(C)cc2F)cc1 ZINC001235189710 1130721188 /nfs/dbraw/zinc/72/11/88/1130721188.db2.gz LGXKRGVZMSSHSJ-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1ccc(C[N@@H+](C)Cc2c(F)cc(C)cc2F)cc1 ZINC001235189710 1130721192 /nfs/dbraw/zinc/72/11/92/1130721192.db2.gz LGXKRGVZMSSHSJ-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1cnc(F)c(C[N@@H+]2CCCC[C@H]2c2cccnc2)c1 ZINC001235335291 1130734315 /nfs/dbraw/zinc/73/43/15/1130734315.db2.gz RLODLOHHAQCMMD-INIZCTEOSA-N 1 2 285.366 3.651 20 0 CHADLO Cc1cnc(F)c(C[N@H+]2CCCC[C@H]2c2cccnc2)c1 ZINC001235335291 1130734318 /nfs/dbraw/zinc/73/43/18/1130734318.db2.gz RLODLOHHAQCMMD-INIZCTEOSA-N 1 2 285.366 3.651 20 0 CHADLO CC[N@H+](Cc1ccccc1)Cc1cncc(F)c1Cl ZINC001235493065 1130748822 /nfs/dbraw/zinc/74/88/22/1130748822.db2.gz QVSCKFRWKVOADP-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO CC[N@@H+](Cc1ccccc1)Cc1cncc(F)c1Cl ZINC001235493065 1130748825 /nfs/dbraw/zinc/74/88/25/1130748825.db2.gz QVSCKFRWKVOADP-UHFFFAOYSA-N 1 2 278.758 3.896 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1nccc(C)c1Cl)CC2 ZINC001235575421 1130755529 /nfs/dbraw/zinc/75/55/29/1130755529.db2.gz FAYXGNBEVOPVRY-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1nccc(C)c1Cl)CC2 ZINC001235575421 1130755534 /nfs/dbraw/zinc/75/55/34/1130755534.db2.gz FAYXGNBEVOPVRY-UHFFFAOYSA-N 1 2 286.806 3.910 20 0 CHADLO CCSCCSCc1c[nH+]cn1Cc1ccccc1 ZINC000782296556 1130769480 /nfs/dbraw/zinc/76/94/80/1130769480.db2.gz XRMGXESQOKBIPM-UHFFFAOYSA-N 1 2 292.473 3.918 20 0 CHADLO C[C@H]1CC[C@@H]1Nc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000783283277 1130811490 /nfs/dbraw/zinc/81/14/90/1130811490.db2.gz UTAXOPSHBHGQLS-BBRMVZONSA-N 1 2 279.387 3.812 20 0 CHADLO COc1cnccc1[C@H](C)[NH2+][C@@H](C)c1cccnc1Cl ZINC000783329294 1130816231 /nfs/dbraw/zinc/81/62/31/1130816231.db2.gz FKIHWAGSMZMTLL-QWRGUYRKSA-N 1 2 291.782 3.550 20 0 CHADLO COC(=[NH2+])c1cccc(-c2ccnn2Cc2ccccc2)c1 ZINC001236048647 1130839173 /nfs/dbraw/zinc/83/91/73/1130839173.db2.gz FXHNVECPNKQGKG-UHFFFAOYSA-N 1 2 291.354 3.570 20 0 CHADLO C[C@H](Nc1ccc(Cn2cc[nH+]c2)cc1)c1cccc(N)c1 ZINC001170733092 1130841773 /nfs/dbraw/zinc/84/17/73/1130841773.db2.gz INOJRBYVVCSZQW-AWEZNQCLSA-N 1 2 292.386 3.687 20 0 CHADLO OCc1ccc(C(F)(F)F)cc1-c1cccc2[nH+]ccn21 ZINC001236058288 1130842763 /nfs/dbraw/zinc/84/27/63/1130842763.db2.gz MGYBJRDNSSPISK-UHFFFAOYSA-N 1 2 292.260 3.512 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](Cc1ccc3c(c1)NCC3)C2 ZINC001236071905 1130848441 /nfs/dbraw/zinc/84/84/41/1130848441.db2.gz LWNYGXVCRDRIBV-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](Cc1ccc3c(c1)NCC3)C2 ZINC001236071905 1130848448 /nfs/dbraw/zinc/84/84/48/1130848448.db2.gz LWNYGXVCRDRIBV-UHFFFAOYSA-N 1 2 284.790 3.824 20 0 CHADLO CC(C)COc1cc(N[C@@H](C)c2cccc(N)c2)cc[nH+]1 ZINC001170735393 1130851090 /nfs/dbraw/zinc/85/10/90/1130851090.db2.gz TZYOLKJFZURVHH-ZDUSSCGKSA-N 1 2 285.391 3.872 20 0 CHADLO COc1cc(-c2cccc3[nH+]c(C)cn32)cc(C)c1F ZINC001236189709 1130881083 /nfs/dbraw/zinc/88/10/83/1130881083.db2.gz DVVSMXZUUIMEQL-UHFFFAOYSA-N 1 2 270.307 3.766 20 0 CHADLO CC(C)C(=O)Nc1ccc(-c2ccc3[nH+]ccn3c2)cc1 ZINC001236261809 1130909330 /nfs/dbraw/zinc/90/93/30/1130909330.db2.gz WWAIKZFHXOXAEP-UHFFFAOYSA-N 1 2 279.343 3.596 20 0 CHADLO Cc1cc2[nH+]ccn2cc1-c1ccc(NC(=O)C(C)C)cc1 ZINC001236264622 1130909438 /nfs/dbraw/zinc/90/94/38/1130909438.db2.gz QXRFRGVQKYCHDT-UHFFFAOYSA-N 1 2 293.370 3.904 20 0 CHADLO Nc1ccc(-c2ccc3c(c2)[nH]c2ccccc23)c[nH+]1 ZINC001236313461 1130912529 /nfs/dbraw/zinc/91/25/29/1130912529.db2.gz PHJZTTXILPZSRK-UHFFFAOYSA-N 1 2 259.312 3.965 20 0 CHADLO CCCCOc1ccc(-c2ccc(N)[nH+]c2)c(C)c1 ZINC001236317152 1130913430 /nfs/dbraw/zinc/91/34/30/1130913430.db2.gz QLLAGCALWJKUBE-UHFFFAOYSA-N 1 2 256.349 3.818 20 0 CHADLO CC[C@H]1CCCC[C@@H]1C(=O)OCc1cccc2[nH+]ccn21 ZINC000796784602 1130933328 /nfs/dbraw/zinc/93/33/28/1130933328.db2.gz LOISYUHYNVXDDV-ZFWWWQNUSA-N 1 2 286.375 3.594 20 0 CHADLO c1n[nH]c2c1[C@@H]([NH2+][C@H](CC1CC1)c1ccccc1)CCC2 ZINC000796877568 1130942788 /nfs/dbraw/zinc/94/27/88/1130942788.db2.gz AQUWGLOAUAJEFX-FUHWJXTLSA-N 1 2 281.403 3.918 20 0 CHADLO COc1cc(C)[nH+]cc1-c1ccc(C(C)C)nc1OC ZINC001236444460 1130943335 /nfs/dbraw/zinc/94/33/35/1130943335.db2.gz LEYPIDZDVHQLHX-UHFFFAOYSA-N 1 2 272.348 3.593 20 0 CHADLO CC(C)[C@@H]1CC[C@H]1[NH2+]c1ccc(N2CCO[C@H](C)C2)cc1 ZINC000796925555 1130946588 /nfs/dbraw/zinc/94/65/88/1130946588.db2.gz KHFRUFWLRFNGMT-FHLIZLRMSA-N 1 2 288.435 3.758 20 0 CHADLO Cc1cc([NH2+][C@@H]2CC[C@H]2C(C)C)ccc1N1CCOCC1 ZINC000796935875 1130946763 /nfs/dbraw/zinc/94/67/63/1130946763.db2.gz WPUDCOKVUMATEQ-DLBZAZTESA-N 1 2 288.435 3.678 20 0 CHADLO Cc1cc([NH2+][C@H]2CC[C@@H]2C(C)C)ccc1N1CCOCC1 ZINC000796935878 1130947008 /nfs/dbraw/zinc/94/70/08/1130947008.db2.gz WPUDCOKVUMATEQ-SJORKVTESA-N 1 2 288.435 3.678 20 0 CHADLO CCCCOc1ccc(-c2c[nH+]cn2C)cc1Cl ZINC001236476515 1130950544 /nfs/dbraw/zinc/95/05/44/1130950544.db2.gz WGXJTGJGXFMNQJ-UHFFFAOYSA-N 1 2 264.756 3.919 20 0 CHADLO COC(=[NH2+])c1cccc(-c2cncc(OC(C)C)c2)c1 ZINC001236520121 1130962448 /nfs/dbraw/zinc/96/24/48/1130962448.db2.gz OOLBDCYDBXOHEC-UHFFFAOYSA-N 1 2 270.332 3.508 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1c(F)cncc1F)c1ccnc(Cl)c1 ZINC000797128433 1130964459 /nfs/dbraw/zinc/96/44/59/1130964459.db2.gz SAYGSTMUKPLEBA-BDAKNGLRSA-N 1 2 297.736 3.820 20 0 CHADLO CC[C@@H](C)[N@H+](C)Cc1c(Br)ccnc1Cl ZINC001236587413 1130982269 /nfs/dbraw/zinc/98/22/69/1130982269.db2.gz FAYDLQXMTXQJBT-MRVPVSSYSA-N 1 2 291.620 3.728 20 0 CHADLO CC[C@@H](C)[N@@H+](C)Cc1c(Br)ccnc1Cl ZINC001236587413 1130982271 /nfs/dbraw/zinc/98/22/71/1130982271.db2.gz FAYDLQXMTXQJBT-MRVPVSSYSA-N 1 2 291.620 3.728 20 0 CHADLO Cc1nc(C)c(C[N@@H+]2CCC[C@H]2c2cc(F)ccc2F)o1 ZINC001236591715 1130982444 /nfs/dbraw/zinc/98/24/44/1130982444.db2.gz PVGBTAJMIISXMY-HNNXBMFYSA-N 1 2 292.329 3.907 20 0 CHADLO Cc1nc(C)c(C[N@H+]2CCC[C@H]2c2cc(F)ccc2F)o1 ZINC001236591715 1130982446 /nfs/dbraw/zinc/98/24/46/1130982446.db2.gz PVGBTAJMIISXMY-HNNXBMFYSA-N 1 2 292.329 3.907 20 0 CHADLO COc1ccc2sc(C[NH+]3CC(OC(C)C)C3)cc2c1 ZINC001236668781 1130991749 /nfs/dbraw/zinc/99/17/49/1130991749.db2.gz YXQCKBNAXSNIJX-UHFFFAOYSA-N 1 2 291.416 3.519 20 0 CHADLO Fc1cc(OC(F)F)ccc1C[N@@H+]1CCCC[C@@H](F)C1 ZINC001236717895 1130999034 /nfs/dbraw/zinc/99/90/34/1130999034.db2.gz HUZZBQZJEOCLHV-LLVKDONJSA-N 1 2 291.288 3.751 20 0 CHADLO Fc1cc(OC(F)F)ccc1C[N@H+]1CCCC[C@@H](F)C1 ZINC001236717895 1130999037 /nfs/dbraw/zinc/99/90/37/1130999037.db2.gz HUZZBQZJEOCLHV-LLVKDONJSA-N 1 2 291.288 3.751 20 0 CHADLO F[C@H]1C[N@H+](Cc2cccc3occc32)CCC1(F)F ZINC001236735454 1131000676 /nfs/dbraw/zinc/00/06/76/1131000676.db2.gz QWFLPQWKNXZPRF-ZDUSSCGKSA-N 1 2 269.266 3.612 20 0 CHADLO F[C@H]1C[N@@H+](Cc2cccc3occc32)CCC1(F)F ZINC001236735454 1131000680 /nfs/dbraw/zinc/00/06/80/1131000680.db2.gz QWFLPQWKNXZPRF-ZDUSSCGKSA-N 1 2 269.266 3.612 20 0 CHADLO C[N@H+](Cc1nccs1)Cc1cccc2occc21 ZINC001236739088 1131003508 /nfs/dbraw/zinc/00/35/08/1131003508.db2.gz KVVBHIOQCYJYQR-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO C[N@@H+](Cc1nccs1)Cc1cccc2occc21 ZINC001236739088 1131003512 /nfs/dbraw/zinc/00/35/12/1131003512.db2.gz KVVBHIOQCYJYQR-UHFFFAOYSA-N 1 2 258.346 3.521 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3occc3C2)c1Cl ZINC001236860320 1131025328 /nfs/dbraw/zinc/02/53/28/1131025328.db2.gz YFWVNMMXMGIVLE-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3occc3C2)c1Cl ZINC001236860320 1131025332 /nfs/dbraw/zinc/02/53/32/1131025332.db2.gz YFWVNMMXMGIVLE-UHFFFAOYSA-N 1 2 261.752 3.800 20 0 CHADLO C[N@H+](Cn1nc(-c2cccs2)oc1=S)C1CCCC1 ZINC000798193251 1131028047 /nfs/dbraw/zinc/02/80/47/1131028047.db2.gz GRFPGLDERKJCKK-UHFFFAOYSA-N 1 2 295.433 3.766 20 0 CHADLO C[N@@H+](Cn1nc(-c2cccs2)oc1=S)C1CCCC1 ZINC000798193251 1131028052 /nfs/dbraw/zinc/02/80/52/1131028052.db2.gz GRFPGLDERKJCKK-UHFFFAOYSA-N 1 2 295.433 3.766 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1ccc(F)c3ccoc31)C2 ZINC001236899348 1131028244 /nfs/dbraw/zinc/02/82/44/1131028244.db2.gz SIIHVJOKTHNPBO-UHFFFAOYSA-N 1 2 282.318 3.791 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1ccc(F)c3ccoc31)C2 ZINC001236899348 1131028248 /nfs/dbraw/zinc/02/82/48/1131028248.db2.gz SIIHVJOKTHNPBO-UHFFFAOYSA-N 1 2 282.318 3.791 20 0 CHADLO C[N@H+](Cc1ccno1)Cc1ccc(-c2ccccc2F)nc1 ZINC001236992661 1131034224 /nfs/dbraw/zinc/03/42/24/1131034224.db2.gz JKYPODDFHJJYBV-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1ccno1)Cc1ccc(-c2ccccc2F)nc1 ZINC001236992661 1131034227 /nfs/dbraw/zinc/03/42/27/1131034227.db2.gz JKYPODDFHJJYBV-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO CC(C)(C)Oc1ccccc1C[N@@H+]1CCn2cccc2C1 ZINC001237025581 1131037122 /nfs/dbraw/zinc/03/71/22/1131037122.db2.gz KWKVGDRYHVRHCG-UHFFFAOYSA-N 1 2 284.403 3.681 20 0 CHADLO CC(C)(C)Oc1ccccc1C[N@H+]1CCn2cccc2C1 ZINC001237025581 1131037128 /nfs/dbraw/zinc/03/71/28/1131037128.db2.gz KWKVGDRYHVRHCG-UHFFFAOYSA-N 1 2 284.403 3.681 20 0 CHADLO Cc1cc(NCOc2ccccc2)ccc1[NH+](C)C ZINC001170809241 1131049243 /nfs/dbraw/zinc/04/92/43/1131049243.db2.gz HQJCHLSTUNOPCX-UHFFFAOYSA-N 1 2 256.349 3.509 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccnc2c(Cl)cccc12 ZINC001237152871 1131060765 /nfs/dbraw/zinc/06/07/65/1131060765.db2.gz ZHDLEUGZQUSIOY-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccnc2c(Cl)cccc12 ZINC001237152871 1131060769 /nfs/dbraw/zinc/06/07/69/1131060769.db2.gz ZHDLEUGZQUSIOY-UHFFFAOYSA-N 1 2 287.750 3.508 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccc(C)nc2)c1Cl ZINC001237198924 1131070007 /nfs/dbraw/zinc/07/00/07/1131070007.db2.gz ZUSJDKWUTMSCFI-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccc(C)nc2)c1Cl ZINC001237198924 1131070014 /nfs/dbraw/zinc/07/00/14/1131070014.db2.gz ZUSJDKWUTMSCFI-UHFFFAOYSA-N 1 2 290.794 3.684 20 0 CHADLO C[N@H+](CCCOCc1ccccc1)C/C(Cl)=C\Cl ZINC000799200359 1131076210 /nfs/dbraw/zinc/07/62/10/1131076210.db2.gz INOZRFXAGKZRPJ-GXDHUFHOSA-N 1 2 288.218 3.844 20 0 CHADLO COc1cccc2c(N[C@@H](C)Cc3ccco3)cc[nH+]c12 ZINC000799319924 1131080404 /nfs/dbraw/zinc/08/04/04/1131080404.db2.gz ONFGZVCWHDXBHH-LBPRGKRZSA-N 1 2 282.343 3.880 20 0 CHADLO Cc1cc2c(cn1)C[N@H+](Cc1c(C)cc(F)cc1C)C2 ZINC001237324744 1131083388 /nfs/dbraw/zinc/08/33/88/1131083388.db2.gz DUMZOSRJVCQSIG-UHFFFAOYSA-N 1 2 270.351 3.662 20 0 CHADLO Cc1cc2c(cn1)C[N@@H+](Cc1c(C)cc(F)cc1C)C2 ZINC001237324744 1131083392 /nfs/dbraw/zinc/08/33/92/1131083392.db2.gz DUMZOSRJVCQSIG-UHFFFAOYSA-N 1 2 270.351 3.662 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+]2CC[C@@]23CCOC3)c1Cl ZINC001237340188 1131088056 /nfs/dbraw/zinc/08/80/56/1131088056.db2.gz SHODQCATFMKQNE-AWEZNQCLSA-N 1 2 286.202 3.667 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+]2CC[C@@]23CCOC3)c1Cl ZINC001237340188 1131088059 /nfs/dbraw/zinc/08/80/59/1131088059.db2.gz SHODQCATFMKQNE-AWEZNQCLSA-N 1 2 286.202 3.667 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[NH+]1CC(OC(C)C)C1 ZINC001237350185 1131089199 /nfs/dbraw/zinc/08/91/99/1131089199.db2.gz JANYXJNKIBQMRQ-UHFFFAOYSA-N 1 2 267.800 3.566 20 0 CHADLO C[C@H]1C[N@H+](Cc2cnc(Cl)c(F)c2)Cc2ccccc21 ZINC001237397635 1131094836 /nfs/dbraw/zinc/09/48/36/1131094836.db2.gz KKKRRAXBNJKUPD-NSHDSACASA-N 1 2 290.769 3.993 20 0 CHADLO C[C@H]1C[N@@H+](Cc2cnc(Cl)c(F)c2)Cc2ccccc21 ZINC001237397635 1131094842 /nfs/dbraw/zinc/09/48/42/1131094842.db2.gz KKKRRAXBNJKUPD-NSHDSACASA-N 1 2 290.769 3.993 20 0 CHADLO CC(C)c1ccc(C[N@H+](C)Cc2cnn(C)c2Cl)cc1 ZINC000816481498 1131098475 /nfs/dbraw/zinc/09/84/75/1131098475.db2.gz YLKODMTXJHSIGF-UHFFFAOYSA-N 1 2 291.826 3.829 20 0 CHADLO CC(C)c1ccc(C[N@@H+](C)Cc2cnn(C)c2Cl)cc1 ZINC000816481498 1131098481 /nfs/dbraw/zinc/09/84/81/1131098481.db2.gz YLKODMTXJHSIGF-UHFFFAOYSA-N 1 2 291.826 3.829 20 0 CHADLO CCn1cncc1C[N@@H+]1CCC[C@H]1c1cc(F)ccc1F ZINC001237589884 1131117286 /nfs/dbraw/zinc/11/72/86/1131117286.db2.gz IVYKNLRKSYHMNU-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO CCn1cncc1C[N@H+]1CCC[C@H]1c1cc(F)ccc1F ZINC001237589884 1131117287 /nfs/dbraw/zinc/11/72/87/1131117287.db2.gz IVYKNLRKSYHMNU-INIZCTEOSA-N 1 2 291.345 3.518 20 0 CHADLO C[N@H+](CCF)Cc1ccccc1OCc1ccccc1 ZINC000816639867 1131118083 /nfs/dbraw/zinc/11/80/83/1131118083.db2.gz JNSZDUOBFJPDMH-UHFFFAOYSA-N 1 2 273.351 3.667 20 0 CHADLO C[N@@H+](CCF)Cc1ccccc1OCc1ccccc1 ZINC000816639867 1131118087 /nfs/dbraw/zinc/11/80/87/1131118087.db2.gz JNSZDUOBFJPDMH-UHFFFAOYSA-N 1 2 273.351 3.667 20 0 CHADLO CCOc1ccc(C[N@H+](CC)Cc2ccccn2)c(F)c1 ZINC001237635203 1131122681 /nfs/dbraw/zinc/12/26/81/1131122681.db2.gz QKMLHRZIZCSWGY-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO CCOc1ccc(C[N@@H+](CC)Cc2ccccn2)c(F)c1 ZINC001237635203 1131122682 /nfs/dbraw/zinc/12/26/82/1131122682.db2.gz QKMLHRZIZCSWGY-UHFFFAOYSA-N 1 2 288.366 3.642 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(C(C)(C)C)ccc3C2)no1 ZINC001115904067 1131123185 /nfs/dbraw/zinc/12/31/85/1131123185.db2.gz IFSWGJBUNBTTJY-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(C(C)(C)C)ccc3C2)no1 ZINC001115904067 1131123188 /nfs/dbraw/zinc/12/31/88/1131123188.db2.gz IFSWGJBUNBTTJY-UHFFFAOYSA-N 1 2 284.403 3.839 20 0 CHADLO Cc1cc(NC[C@@H](O)C2CCCCC2)[nH+]c2ccccc12 ZINC001115971978 1131124051 /nfs/dbraw/zinc/12/40/51/1131124051.db2.gz IXNUPCPZFKJFMK-QGZVFWFLSA-N 1 2 284.403 3.896 20 0 CHADLO Cc1coc(C[N@@H+](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC001237649679 1131124117 /nfs/dbraw/zinc/12/41/17/1131124117.db2.gz QPOZOBJERBSBER-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO Cc1coc(C[N@H+](C)[C@H](C)c2ccc(Cl)cc2)n1 ZINC001237649679 1131124120 /nfs/dbraw/zinc/12/41/20/1131124120.db2.gz QPOZOBJERBSBER-LLVKDONJSA-N 1 2 264.756 3.829 20 0 CHADLO C[N@H+](Cc1ccon1)Cc1ccc(-c2ccc(O)cc2)cc1 ZINC001237664000 1131124706 /nfs/dbraw/zinc/12/47/06/1131124706.db2.gz BVWNXGUMABWEJF-UHFFFAOYSA-N 1 2 294.354 3.679 20 0 CHADLO C[N@@H+](Cc1ccon1)Cc1ccc(-c2ccc(O)cc2)cc1 ZINC001237664000 1131124709 /nfs/dbraw/zinc/12/47/09/1131124709.db2.gz BVWNXGUMABWEJF-UHFFFAOYSA-N 1 2 294.354 3.679 20 0 CHADLO CCc1[nH]ncc1C[N@H+](C)Cc1ccc2ccccc2c1 ZINC001237651954 1131125697 /nfs/dbraw/zinc/12/56/97/1131125697.db2.gz BZAOCWYGKSCWAC-UHFFFAOYSA-N 1 2 279.387 3.757 20 0 CHADLO CCc1[nH]ncc1C[N@@H+](C)Cc1ccc2ccccc2c1 ZINC001237651954 1131125701 /nfs/dbraw/zinc/12/57/01/1131125701.db2.gz BZAOCWYGKSCWAC-UHFFFAOYSA-N 1 2 279.387 3.757 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@H+]2C[C@@H](C)OC[C@H]2C)c1 ZINC001237703126 1131130811 /nfs/dbraw/zinc/13/08/11/1131130811.db2.gz YVQKGUMMLFGXPL-CHWSQXEVSA-N 1 2 267.800 3.566 20 0 CHADLO Cc1cc(C)c(Cl)c(C[N@@H+]2C[C@@H](C)OC[C@H]2C)c1 ZINC001237703126 1131130813 /nfs/dbraw/zinc/13/08/13/1131130813.db2.gz YVQKGUMMLFGXPL-CHWSQXEVSA-N 1 2 267.800 3.566 20 0 CHADLO CCc1cc(C[N@@H+]2CCOC(C)(C)C2)ccc1Cl ZINC001237738500 1131135974 /nfs/dbraw/zinc/13/59/74/1131135974.db2.gz LCUPURBADLKRIF-UHFFFAOYSA-N 1 2 267.800 3.513 20 0 CHADLO CCc1cc(C[N@H+]2CCOC(C)(C)C2)ccc1Cl ZINC001237738500 1131135977 /nfs/dbraw/zinc/13/59/77/1131135977.db2.gz LCUPURBADLKRIF-UHFFFAOYSA-N 1 2 267.800 3.513 20 0 CHADLO C[N@H+](Cc1cccc2c1OCC2)Cc1ccccc1Cl ZINC001237750867 1131137755 /nfs/dbraw/zinc/13/77/55/1131137755.db2.gz OLSSANLXTAJZRU-UHFFFAOYSA-N 1 2 287.790 3.907 20 0 CHADLO C[N@@H+](Cc1cccc2c1OCC2)Cc1ccccc1Cl ZINC001237750867 1131137759 /nfs/dbraw/zinc/13/77/59/1131137759.db2.gz OLSSANLXTAJZRU-UHFFFAOYSA-N 1 2 287.790 3.907 20 0 CHADLO CCn1nc(C)c(C[N@H+](C(C)C)[C@@H](C)c2ccsc2)n1 ZINC000817287831 1131152345 /nfs/dbraw/zinc/15/23/45/1131152345.db2.gz QSOUUOHGKGXIFU-ZDUSSCGKSA-N 1 2 292.452 3.640 20 0 CHADLO CCn1nc(C)c(C[N@@H+](C(C)C)[C@@H](C)c2ccsc2)n1 ZINC000817287831 1131152348 /nfs/dbraw/zinc/15/23/48/1131152348.db2.gz QSOUUOHGKGXIFU-ZDUSSCGKSA-N 1 2 292.452 3.640 20 0 CHADLO CCCc1ccc(NC(=O)NCc2cc(C)[nH+]c(C)c2)cc1 ZINC000817298944 1131152657 /nfs/dbraw/zinc/15/26/57/1131152657.db2.gz OMYRJGRCOQOFLU-UHFFFAOYSA-N 1 2 297.402 3.973 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@@H](CC)c2ccc(F)cc2)n1 ZINC001116539005 1131153690 /nfs/dbraw/zinc/15/36/90/1131153690.db2.gz HVUCPLJUDWAWRW-MFKMUULPSA-N 1 2 277.343 3.573 20 0 CHADLO COc1cc2c(cc1OC)C[NH+](Cc1ccsc1C)C2 ZINC001237976846 1131156366 /nfs/dbraw/zinc/15/63/66/1131156366.db2.gz VLIZNRQFJZGLQY-UHFFFAOYSA-N 1 2 289.400 3.590 20 0 CHADLO Oc1ccc(-c2nc(C[NH+]3CC4(CCC4)C3)cs2)cc1 ZINC001237982233 1131157598 /nfs/dbraw/zinc/15/75/98/1131157598.db2.gz FOMKYEFXONNQFY-UHFFFAOYSA-N 1 2 286.400 3.502 20 0 CHADLO C[C@@H]1CC[N@H+](Cc2cc(F)c(O)c(Cl)c2)[C@@H](C)C1 ZINC001237994549 1131159401 /nfs/dbraw/zinc/15/94/01/1131159401.db2.gz FBGXVXMCNLWVIY-ZJUUUORDSA-N 1 2 271.763 3.805 20 0 CHADLO C[C@@H]1CC[N@@H+](Cc2cc(F)c(O)c(Cl)c2)[C@@H](C)C1 ZINC001237994549 1131159405 /nfs/dbraw/zinc/15/94/05/1131159405.db2.gz FBGXVXMCNLWVIY-ZJUUUORDSA-N 1 2 271.763 3.805 20 0 CHADLO Oc1c(F)cc(C[N@@H+]2CC3CCC2CC3)cc1Cl ZINC001237996659 1131159453 /nfs/dbraw/zinc/15/94/53/1131159453.db2.gz GWRQIFLEVJSXRB-UHFFFAOYSA-N 1 2 269.747 3.559 20 0 CHADLO Oc1c(F)cc(C[N@H+]2CC3CCC2CC3)cc1Cl ZINC001237996659 1131159456 /nfs/dbraw/zinc/15/94/56/1131159456.db2.gz GWRQIFLEVJSXRB-UHFFFAOYSA-N 1 2 269.747 3.559 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@H+](Cc2coc(C3CC3)n2)C1 ZINC000817412656 1131160470 /nfs/dbraw/zinc/16/04/70/1131160470.db2.gz NRWCTZNZPZEEPK-SNVBAGLBSA-N 1 2 288.313 3.716 20 0 CHADLO FC(F)(F)C[C@H]1CCC[N@@H+](Cc2coc(C3CC3)n2)C1 ZINC000817412656 1131160471 /nfs/dbraw/zinc/16/04/71/1131160471.db2.gz NRWCTZNZPZEEPK-SNVBAGLBSA-N 1 2 288.313 3.716 20 0 CHADLO Oc1c(F)cc(C[NH+]2CCCCCCC2)cc1Cl ZINC001237998778 1131160589 /nfs/dbraw/zinc/16/05/89/1131160589.db2.gz WWBIWWCCTRWVGD-UHFFFAOYSA-N 1 2 271.763 3.951 20 0 CHADLO CC(C)Oc1ccc[nH+]c1N[C@H]1CS[C@H](C(C)C)C1 ZINC001116758552 1131162488 /nfs/dbraw/zinc/16/24/88/1131162488.db2.gz VJBRQUWCFVRMQR-OCCSQVGLSA-N 1 2 280.437 3.811 20 0 CHADLO CCCCC[C@H](CC)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000817458371 1131162731 /nfs/dbraw/zinc/16/27/31/1131162731.db2.gz FKQHSAZXZXBJAK-INIZCTEOSA-N 1 2 276.424 3.921 20 0 CHADLO c1cn2c(cccc2CNc2ccn(C3CCCCC3)n2)[nH+]1 ZINC001116786359 1131163400 /nfs/dbraw/zinc/16/34/00/1131163400.db2.gz LORFEQFFNNGFGD-UHFFFAOYSA-N 1 2 295.390 3.648 20 0 CHADLO Cc1ccc(-c2nc(C[NH+]3CC(C)(C)C3)cs2)cc1 ZINC001238037143 1131163737 /nfs/dbraw/zinc/16/37/37/1131163737.db2.gz MVOBYNFJTUSORH-UHFFFAOYSA-N 1 2 272.417 3.960 20 0 CHADLO COc1ccc(C(F)(F)F)c(C[N@@H+]2C[C@@H](F)C[C@H]2C)c1 ZINC001238047849 1131165184 /nfs/dbraw/zinc/16/51/84/1131165184.db2.gz JVOHXUINVVWCRF-KOLCDFICSA-N 1 2 291.288 3.646 20 0 CHADLO COc1ccc(C(F)(F)F)c(C[N@H+]2C[C@@H](F)C[C@H]2C)c1 ZINC001238047849 1131165186 /nfs/dbraw/zinc/16/51/86/1131165186.db2.gz JVOHXUINVVWCRF-KOLCDFICSA-N 1 2 291.288 3.646 20 0 CHADLO Cc1cc(C[N@@H+]2CCOCC23CCC3)cc(C)c1Cl ZINC001238090099 1131169923 /nfs/dbraw/zinc/16/99/23/1131169923.db2.gz CBICAVKYIJJZTL-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO Cc1cc(C[N@H+]2CCOCC23CCC3)cc(C)c1Cl ZINC001238090099 1131169927 /nfs/dbraw/zinc/16/99/27/1131169927.db2.gz CBICAVKYIJJZTL-UHFFFAOYSA-N 1 2 279.811 3.712 20 0 CHADLO CC(C)c1ocnc1C[NH+]1CCC(CC(F)(F)F)CC1 ZINC001117098567 1131172324 /nfs/dbraw/zinc/17/23/24/1131172324.db2.gz XGFZGQYTUUELPK-UHFFFAOYSA-N 1 2 290.329 3.962 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCC[C@H]3CCC[C@@H]32)cc1Cl ZINC001238150032 1131174461 /nfs/dbraw/zinc/17/44/61/1131174461.db2.gz PPYGSVYCBZBDCN-YGRLFVJLSA-N 1 2 283.774 3.949 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCC[C@H]3CCC[C@@H]32)cc1Cl ZINC001238150032 1131174462 /nfs/dbraw/zinc/17/44/62/1131174462.db2.gz PPYGSVYCBZBDCN-YGRLFVJLSA-N 1 2 283.774 3.949 20 0 CHADLO Oc1cc(F)c(C[NH+]2CCC3(CC3)CC2)cc1Cl ZINC001238146983 1131174608 /nfs/dbraw/zinc/17/46/08/1131174608.db2.gz PGOOAOBRNCVPDR-UHFFFAOYSA-N 1 2 269.747 3.561 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CC3CCC2CC3)cc1Cl ZINC001238150878 1131175065 /nfs/dbraw/zinc/17/50/65/1131175065.db2.gz CEJYUZNCSIZJOT-UHFFFAOYSA-N 1 2 269.747 3.559 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CC3CCC2CC3)cc1Cl ZINC001238150878 1131175069 /nfs/dbraw/zinc/17/50/69/1131175069.db2.gz CEJYUZNCSIZJOT-UHFFFAOYSA-N 1 2 269.747 3.559 20 0 CHADLO C[C@H]1CCC[C@H](C)[NH+]1Cc1cc(Cl)c(O)cc1F ZINC001238144587 1131175132 /nfs/dbraw/zinc/17/51/32/1131175132.db2.gz WBXDGWTYMQZXCJ-UWVGGRQHSA-N 1 2 271.763 3.948 20 0 CHADLO Oc1cc(F)c(C[N@@H+]2CCC3(CCCC3)C2)cc1Cl ZINC001238151908 1131175859 /nfs/dbraw/zinc/17/58/59/1131175859.db2.gz JANBNXRJTULRFL-UHFFFAOYSA-N 1 2 283.774 3.951 20 0 CHADLO Oc1cc(F)c(C[N@H+]2CCC3(CCCC3)C2)cc1Cl ZINC001238151908 1131175862 /nfs/dbraw/zinc/17/58/62/1131175862.db2.gz JANBNXRJTULRFL-UHFFFAOYSA-N 1 2 283.774 3.951 20 0 CHADLO C[N@H+](Cc1cncc(C2CC2)c1)Cc1ccccc1F ZINC001238187259 1131177739 /nfs/dbraw/zinc/17/77/39/1131177739.db2.gz XZGDORWXSQCFAN-UHFFFAOYSA-N 1 2 270.351 3.730 20 0 CHADLO C[N@@H+](Cc1cncc(C2CC2)c1)Cc1ccccc1F ZINC001238187259 1131177743 /nfs/dbraw/zinc/17/77/43/1131177743.db2.gz XZGDORWXSQCFAN-UHFFFAOYSA-N 1 2 270.351 3.730 20 0 CHADLO c1ccc([C@@H]2C[N@H+](Cc3cncc(C4CC4)c3)CCO2)cc1 ZINC001238188961 1131178113 /nfs/dbraw/zinc/17/81/13/1131178113.db2.gz LKFJZYJAOZZATQ-IBGZPJMESA-N 1 2 294.398 3.533 20 0 CHADLO c1ccc([C@@H]2C[N@@H+](Cc3cncc(C4CC4)c3)CCO2)cc1 ZINC001238188961 1131178115 /nfs/dbraw/zinc/17/81/15/1131178115.db2.gz LKFJZYJAOZZATQ-IBGZPJMESA-N 1 2 294.398 3.533 20 0 CHADLO Fc1cccc2c1CC[N@H+](Cc1cncc(C3CC3)c1)C2 ZINC001238194181 1131179490 /nfs/dbraw/zinc/17/94/90/1131179490.db2.gz NYRNFWNYQRQIEC-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO Fc1cccc2c1CC[N@@H+](Cc1cncc(C3CC3)c1)C2 ZINC001238194181 1131179491 /nfs/dbraw/zinc/17/94/91/1131179491.db2.gz NYRNFWNYQRQIEC-UHFFFAOYSA-N 1 2 282.362 3.656 20 0 CHADLO CC(C)c1cc(C[N@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1Cl ZINC001238219878 1131183554 /nfs/dbraw/zinc/18/35/54/1131183554.db2.gz RLCDJGQRQGRWCE-OKILXGFUSA-N 1 2 279.811 3.827 20 0 CHADLO CC(C)c1cc(C[N@@H+]2C[C@H]3CC[C@@H](C2)O3)ccc1Cl ZINC001238219878 1131183557 /nfs/dbraw/zinc/18/35/57/1131183557.db2.gz RLCDJGQRQGRWCE-OKILXGFUSA-N 1 2 279.811 3.827 20 0 CHADLO Cc1cccc(CC[N@@H+](C)Cc2c(F)ccnc2Cl)c1 ZINC001238229381 1131186215 /nfs/dbraw/zinc/18/62/15/1131186215.db2.gz IIOHQPSTBWNEJO-UHFFFAOYSA-N 1 2 292.785 3.857 20 0 CHADLO Cc1cccc(CC[N@H+](C)Cc2c(F)ccnc2Cl)c1 ZINC001238229381 1131186217 /nfs/dbraw/zinc/18/62/17/1131186217.db2.gz IIOHQPSTBWNEJO-UHFFFAOYSA-N 1 2 292.785 3.857 20 0 CHADLO C[NH+](C)Cc1c(F)c(F)ccc1OCc1ccccc1 ZINC001238296068 1131187968 /nfs/dbraw/zinc/18/79/68/1131187968.db2.gz GBCMOBBTCOFRKQ-UHFFFAOYSA-N 1 2 277.314 3.605 20 0 CHADLO Cc1ccc(Br)c(C[NH+]2CC=CC2)c1Cl ZINC001238284635 1131188341 /nfs/dbraw/zinc/18/83/41/1131188341.db2.gz IYMBUFHNBPIPKV-UHFFFAOYSA-N 1 2 286.600 3.783 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@H](C(F)(F)F)C2)ncc1Cl ZINC001238419886 1131196945 /nfs/dbraw/zinc/19/69/45/1131196945.db2.gz MOJHYRKVQUHDJJ-JTQLQIEISA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H](C(F)(F)F)C2)ncc1Cl ZINC001238419888 1131197314 /nfs/dbraw/zinc/19/73/14/1131197314.db2.gz MOJHYRKVQUHDJJ-SNVBAGLBSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H](C(F)(F)F)C2)ncc1Cl ZINC001238419888 1131197317 /nfs/dbraw/zinc/19/73/17/1131197317.db2.gz MOJHYRKVQUHDJJ-SNVBAGLBSA-N 1 2 292.732 3.818 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccccc2F)ncc1Cl ZINC001238419284 1131197432 /nfs/dbraw/zinc/19/74/32/1131197432.db2.gz XIHPQDWDTBUNER-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccccc2F)ncc1Cl ZINC001238419284 1131197436 /nfs/dbraw/zinc/19/74/36/1131197436.db2.gz XIHPQDWDTBUNER-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO ClC1(Cl)C[C@H]1CCOc1cccc(-n2cc[nH+]c2)c1 ZINC000818077530 1131198286 /nfs/dbraw/zinc/19/82/86/1131198286.db2.gz HGAXAPOGQYLNGD-LLVKDONJSA-N 1 2 297.185 3.835 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3cc(F)c(F)cc3C2)c(C)c1 ZINC001238439157 1131200974 /nfs/dbraw/zinc/20/09/74/1131200974.db2.gz ZDPDKRQIQDBPMY-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3cc(F)c(F)cc3C2)c(C)c1 ZINC001238439157 1131200975 /nfs/dbraw/zinc/20/09/75/1131200975.db2.gz ZDPDKRQIQDBPMY-UHFFFAOYSA-N 1 2 288.341 3.535 20 0 CHADLO Cc1cnc(C[N@H+](C)Cc2ccc(F)cc2F)c(C)c1 ZINC001238436823 1131201269 /nfs/dbraw/zinc/20/12/69/1131201269.db2.gz DQQRXXVATSAGPK-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1cnc(C[N@@H+](C)Cc2ccc(F)cc2F)c(C)c1 ZINC001238436823 1131201274 /nfs/dbraw/zinc/20/12/74/1131201274.db2.gz DQQRXXVATSAGPK-UHFFFAOYSA-N 1 2 276.330 3.609 20 0 CHADLO Cc1c[nH+]c(CCSCc2ccc(C)nc2)c(C)c1 ZINC001118394094 1131222340 /nfs/dbraw/zinc/22/23/40/1131222340.db2.gz IYTBTUZITYBHBU-UHFFFAOYSA-N 1 2 272.417 3.878 20 0 CHADLO O=C(c1cccc2[nH+]ccn21)N1CCCC2(CCCCC2)C1 ZINC001136674070 1131415326 /nfs/dbraw/zinc/41/53/26/1131415326.db2.gz TUJHXOQEDXMTBB-UHFFFAOYSA-N 1 2 297.402 3.521 20 0 CHADLO CC(C)(C)C1=CC[N@H+](Cc2cc(F)ncc2F)CC1 ZINC000823490136 1131429453 /nfs/dbraw/zinc/42/94/53/1131429453.db2.gz VHJWBZHLQBRGFR-UHFFFAOYSA-N 1 2 266.335 3.538 20 0 CHADLO CC(C)(C)C1=CC[N@@H+](Cc2cc(F)ncc2F)CC1 ZINC000823490136 1131429454 /nfs/dbraw/zinc/42/94/54/1131429454.db2.gz VHJWBZHLQBRGFR-UHFFFAOYSA-N 1 2 266.335 3.538 20 0 CHADLO Fc1ccc(F)c(C[NH+]2CCC(OC3CCC3)CC2)c1F ZINC001137926805 1131436568 /nfs/dbraw/zinc/43/65/68/1131436568.db2.gz CHWQJLCOJWRWLP-UHFFFAOYSA-N 1 2 299.336 3.637 20 0 CHADLO Fc1ccc(F)c(C[N@@H+]2CCC3(CC3)C2)c1Cl ZINC001138001290 1131449028 /nfs/dbraw/zinc/44/90/28/1131449028.db2.gz VWOBCZZEMFENIE-UHFFFAOYSA-N 1 2 257.711 3.604 20 0 CHADLO Cc1ccc(Cl)c(C[N@H+](C)C[C@H]2CCCCO2)c1F ZINC001138034367 1131455836 /nfs/dbraw/zinc/45/58/36/1131455836.db2.gz BFBRSTDYSRDZNC-GFCCVEGCSA-N 1 2 285.790 3.788 20 0 CHADLO Cc1ccc(Cl)c(C[N@@H+](C)C[C@H]2CCCCO2)c1F ZINC001138034367 1131455838 /nfs/dbraw/zinc/45/58/38/1131455838.db2.gz BFBRSTDYSRDZNC-GFCCVEGCSA-N 1 2 285.790 3.788 20 0 CHADLO CC1(C)C[NH+](Cc2cc(F)ccc2C(F)(F)F)C1 ZINC001138087647 1131463227 /nfs/dbraw/zinc/46/32/27/1131463227.db2.gz HYNIPNXQLLHYTH-UHFFFAOYSA-N 1 2 261.262 3.686 20 0 CHADLO C[N@H+](Cc1cc2c(cccc2Cl)[nH]1)Cc1cncs1 ZINC001136863417 1131465431 /nfs/dbraw/zinc/46/54/31/1131465431.db2.gz AULFAFDCGLRAIG-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO C[N@@H+](Cc1cc2c(cccc2Cl)[nH]1)Cc1cncs1 ZINC001136863417 1131465433 /nfs/dbraw/zinc/46/54/33/1131465433.db2.gz AULFAFDCGLRAIG-UHFFFAOYSA-N 1 2 291.807 3.910 20 0 CHADLO Fc1c(C[N@@H+]2CC3(CCC3)[C@@H]2C2CC2)ccnc1Cl ZINC000824416452 1131469311 /nfs/dbraw/zinc/46/93/11/1131469311.db2.gz NHMHACCQPOWSHF-ZDUSSCGKSA-N 1 2 280.774 3.639 20 0 CHADLO Fc1c(C[N@H+]2CC3(CCC3)[C@@H]2C2CC2)ccnc1Cl ZINC000824416452 1131469315 /nfs/dbraw/zinc/46/93/15/1131469315.db2.gz NHMHACCQPOWSHF-ZDUSSCGKSA-N 1 2 280.774 3.639 20 0 CHADLO CCC1CC[NH+](Cc2c(F)c(F)cc(F)c2F)CC1 ZINC001138229521 1131479769 /nfs/dbraw/zinc/47/97/69/1131479769.db2.gz JKAZWIYCLBHJQN-UHFFFAOYSA-N 1 2 275.289 3.865 20 0 CHADLO CC(C)(C)c1[nH]c2ccccc2c1C[N@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001138310089 1131488054 /nfs/dbraw/zinc/48/80/54/1131488054.db2.gz AZBOFRVAFDWVRD-OKILXGFUSA-N 1 2 292.373 3.957 20 0 CHADLO CC(C)(C)c1[nH]c2ccccc2c1C[N@@H+]1C[C@@H](F)[C@@H](F)C1 ZINC001138310089 1131488055 /nfs/dbraw/zinc/48/80/55/1131488055.db2.gz AZBOFRVAFDWVRD-OKILXGFUSA-N 1 2 292.373 3.957 20 0 CHADLO CCc1cccc2c(C[N@@H+]3CCC(F)(F)[C@@H](F)C3)c[nH]c21 ZINC001138360362 1131495036 /nfs/dbraw/zinc/49/50/36/1131495036.db2.gz UQIVHIZWCAUDJU-AWEZNQCLSA-N 1 2 296.336 3.909 20 0 CHADLO CCc1cccc2c(C[N@H+]3CCC(F)(F)[C@@H](F)C3)c[nH]c21 ZINC001138360362 1131495039 /nfs/dbraw/zinc/49/50/39/1131495039.db2.gz UQIVHIZWCAUDJU-AWEZNQCLSA-N 1 2 296.336 3.909 20 0 CHADLO CCc1cccc2c(C[N@@H+]3CCc4oc(C)nc4C3)c[nH]c21 ZINC001138365117 1131495237 /nfs/dbraw/zinc/49/52/37/1131495237.db2.gz YJNPPDOXDCEJEP-UHFFFAOYSA-N 1 2 295.386 3.585 20 0 CHADLO CCc1cccc2c(C[N@H+]3CCc4oc(C)nc4C3)c[nH]c21 ZINC001138365117 1131495240 /nfs/dbraw/zinc/49/52/40/1131495240.db2.gz YJNPPDOXDCEJEP-UHFFFAOYSA-N 1 2 295.386 3.585 20 0 CHADLO COc1cccc(F)c1C[N@@H+]1Cc2cccc(C)c2C1 ZINC001138379926 1131496345 /nfs/dbraw/zinc/49/63/45/1131496345.db2.gz KMYDRZNLTCMMBQ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO COc1cccc(F)c1C[N@H+]1Cc2cccc(C)c2C1 ZINC001138379926 1131496349 /nfs/dbraw/zinc/49/63/49/1131496349.db2.gz KMYDRZNLTCMMBQ-UHFFFAOYSA-N 1 2 271.335 3.659 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1c[nH]c3cccc(F)c13)C2 ZINC001136985471 1131497676 /nfs/dbraw/zinc/49/76/76/1131497676.db2.gz IAFYOMCSJGSXHY-UHFFFAOYSA-N 1 2 284.309 3.962 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1c[nH]c3cccc(F)c13)C2 ZINC001136985471 1131497678 /nfs/dbraw/zinc/49/76/78/1131497678.db2.gz IAFYOMCSJGSXHY-UHFFFAOYSA-N 1 2 284.309 3.962 20 0 CHADLO COc1cccc2c1C[N@H+](Cc1c[nH]c3cccc(F)c13)C2 ZINC001136987368 1131499012 /nfs/dbraw/zinc/49/90/12/1131499012.db2.gz VPSJRSQNQUOWQJ-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO COc1cccc2c1C[N@@H+](Cc1c[nH]c3cccc(F)c13)C2 ZINC001136987368 1131499014 /nfs/dbraw/zinc/49/90/14/1131499014.db2.gz VPSJRSQNQUOWQJ-UHFFFAOYSA-N 1 2 296.345 3.831 20 0 CHADLO C[N@H+](CC(=O)c1ccccc1)Cc1c[nH]c2cccc(F)c12 ZINC001136987169 1131499217 /nfs/dbraw/zinc/49/92/17/1131499217.db2.gz MFHKZLDZCIOXNI-UHFFFAOYSA-N 1 2 296.345 3.622 20 0 CHADLO C[N@@H+](CC(=O)c1ccccc1)Cc1c[nH]c2cccc(F)c12 ZINC001136987169 1131499218 /nfs/dbraw/zinc/49/92/18/1131499218.db2.gz MFHKZLDZCIOXNI-UHFFFAOYSA-N 1 2 296.345 3.622 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccnc2Cl)cc1C ZINC000825299441 1131505935 /nfs/dbraw/zinc/50/59/35/1131505935.db2.gz KKXXKAWDAVKUIB-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccnc2Cl)cc1C ZINC000825299441 1131505938 /nfs/dbraw/zinc/50/59/38/1131505938.db2.gz KKXXKAWDAVKUIB-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO CSC1CC[NH+](Cc2ccc(F)c(Cl)c2F)CC1 ZINC001143626015 1131520451 /nfs/dbraw/zinc/52/04/51/1131520451.db2.gz DGSAFGNBBIMQBP-UHFFFAOYSA-N 1 2 291.794 3.946 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@@H+]2CCC(=O)[C@@H](C)CC2)c1 ZINC001143672630 1131523223 /nfs/dbraw/zinc/52/32/23/1131523223.db2.gz NWHGMBBZQOUZDI-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO Cc1ccc(C(F)(F)F)c(C[N@H+]2CCC(=O)[C@@H](C)CC2)c1 ZINC001143672630 1131523226 /nfs/dbraw/zinc/52/32/26/1131523226.db2.gz NWHGMBBZQOUZDI-LBPRGKRZSA-N 1 2 299.336 3.815 20 0 CHADLO CCSc1ncc(C[N@@H+]2CCc3ccccc3[C@@H]2C)cn1 ZINC001138650065 1131533315 /nfs/dbraw/zinc/53/33/15/1131533315.db2.gz NXZILBOINNIROZ-ZDUSSCGKSA-N 1 2 299.443 3.708 20 0 CHADLO CCSc1ncc(C[N@H+]2CCc3ccccc3[C@@H]2C)cn1 ZINC001138650065 1131533319 /nfs/dbraw/zinc/53/33/19/1131533319.db2.gz NXZILBOINNIROZ-ZDUSSCGKSA-N 1 2 299.443 3.708 20 0 CHADLO C[N@H+](Cc1ccncc1)Cc1cc(F)ccc1Cl ZINC001138672282 1131535193 /nfs/dbraw/zinc/53/51/93/1131535193.db2.gz XBLAFZAOBFKJFD-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO C[N@@H+](Cc1ccncc1)Cc1cc(F)ccc1Cl ZINC001138672282 1131535194 /nfs/dbraw/zinc/53/51/94/1131535194.db2.gz XBLAFZAOBFKJFD-UHFFFAOYSA-N 1 2 264.731 3.506 20 0 CHADLO COC(=O)c1cccc([C@@H](C)Nc2c[nH+]cc(C)c2C)c1 ZINC001171109364 1131535920 /nfs/dbraw/zinc/53/59/20/1131535920.db2.gz ZYOJRNMYPABYAG-CYBMUJFWSA-N 1 2 284.359 3.658 20 0 CHADLO CC[N@H+](Cc1c(F)ccc(F)c1Br)C(C)C ZINC001143811841 1131536443 /nfs/dbraw/zinc/53/64/43/1131536443.db2.gz NIHMAIPHHUGSSK-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO CC[N@@H+](Cc1c(F)ccc(F)c1Br)C(C)C ZINC001143811841 1131536446 /nfs/dbraw/zinc/53/64/46/1131536446.db2.gz NIHMAIPHHUGSSK-UHFFFAOYSA-N 1 2 292.167 3.958 20 0 CHADLO COC[C@H]1CCC[N@@H+]1Cc1c(F)ccc(Cl)c1Cl ZINC001143863333 1131539200 /nfs/dbraw/zinc/53/92/00/1131539200.db2.gz GNFBTWZREKMPGJ-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO COC[C@H]1CCC[N@H+]1Cc1c(F)ccc(Cl)c1Cl ZINC001143863333 1131539205 /nfs/dbraw/zinc/53/92/05/1131539205.db2.gz GNFBTWZREKMPGJ-SECBINFHSA-N 1 2 292.181 3.743 20 0 CHADLO CC(C)c1ccccc1OC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000844287974 1131547315 /nfs/dbraw/zinc/54/73/15/1131547315.db2.gz PDAJIPBZYFGARX-CYBMUJFWSA-N 1 2 272.348 3.563 20 0 CHADLO C[N@@H+](Cc1ccccc1Cl)Cc1cccc2ccnn21 ZINC001138807144 1131551151 /nfs/dbraw/zinc/55/11/51/1131551151.db2.gz YQSZLYAVVZARRE-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO C[N@H+](Cc1ccccc1Cl)Cc1cccc2ccnn21 ZINC001138807144 1131551156 /nfs/dbraw/zinc/55/11/56/1131551156.db2.gz YQSZLYAVVZARRE-UHFFFAOYSA-N 1 2 285.778 3.620 20 0 CHADLO COc1ccc(C[N@@H+]2CC[C@@H]2c2ccccc2)c(F)c1F ZINC001143914901 1131554133 /nfs/dbraw/zinc/55/41/33/1131554133.db2.gz LQXFYDOUAKOHHW-CQSZACIVSA-N 1 2 289.325 3.920 20 0 CHADLO COc1ccc(C[N@H+]2CC[C@@H]2c2ccccc2)c(F)c1F ZINC001143914901 1131554137 /nfs/dbraw/zinc/55/41/37/1131554137.db2.gz LQXFYDOUAKOHHW-CQSZACIVSA-N 1 2 289.325 3.920 20 0 CHADLO Clc1cccc2c(C[N@@H+]3CCCCC34COC4)c[nH]c21 ZINC001138834489 1131555074 /nfs/dbraw/zinc/55/50/74/1131555074.db2.gz BXYSHVPGCHGVMN-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO Clc1cccc2c(C[N@H+]3CCCCC34COC4)c[nH]c21 ZINC001138834489 1131555078 /nfs/dbraw/zinc/55/50/78/1131555078.db2.gz BXYSHVPGCHGVMN-UHFFFAOYSA-N 1 2 290.794 3.576 20 0 CHADLO CC1=C(C(=O)Nc2ccc(-n3cc[nH+]c3)c(C)c2)CCC1 ZINC001143939875 1131555743 /nfs/dbraw/zinc/55/57/43/1131555743.db2.gz PUVYGVFZMWCDKY-UHFFFAOYSA-N 1 2 281.359 3.620 20 0 CHADLO Cc1cc(NC(=O)[C@@H]2C[C@H]2CC(C)C)ccc1-n1cc[nH+]c1 ZINC001143939853 1131555985 /nfs/dbraw/zinc/55/59/85/1131555985.db2.gz PERLRJVTNKUERM-GDBMZVCRSA-N 1 2 297.402 3.801 20 0 CHADLO CC(C)c1nc(C[N@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)cs1 ZINC001138884101 1131556485 /nfs/dbraw/zinc/55/64/85/1131556485.db2.gz XUPWYOJHTWMMSU-PHIMTYICSA-N 1 2 286.391 3.744 20 0 CHADLO CC(C)c1nc(C[N@@H+]2C[C@H]3CC[C@@H](C2)C3(F)F)cs1 ZINC001138884101 1131556487 /nfs/dbraw/zinc/55/64/87/1131556487.db2.gz XUPWYOJHTWMMSU-PHIMTYICSA-N 1 2 286.391 3.744 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCC[C@@H](C(F)F)C2)cs1 ZINC001138886822 1131557684 /nfs/dbraw/zinc/55/76/84/1131557684.db2.gz GRMYFBBDGNEFFM-SNVBAGLBSA-N 1 2 274.380 3.744 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCC[C@@H](C(F)F)C2)cs1 ZINC001138886822 1131557686 /nfs/dbraw/zinc/55/76/86/1131557686.db2.gz GRMYFBBDGNEFFM-SNVBAGLBSA-N 1 2 274.380 3.744 20 0 CHADLO FC1(F)[C@H]2C[N@H+](Cc3ccc(Cl)c(Cl)c3)C[C@H]21 ZINC000844451237 1131562287 /nfs/dbraw/zinc/56/22/87/1131562287.db2.gz RYFBHBVBOYCSPZ-DTORHVGOSA-N 1 2 278.129 3.690 20 0 CHADLO FC1(F)[C@H]2C[N@@H+](Cc3ccc(Cl)c(Cl)c3)C[C@H]21 ZINC000844451237 1131562292 /nfs/dbraw/zinc/56/22/92/1131562292.db2.gz RYFBHBVBOYCSPZ-DTORHVGOSA-N 1 2 278.129 3.690 20 0 CHADLO CC[N@H+](Cc1nc(C)c[nH]1)Cc1cccc(C(F)(F)F)c1 ZINC001138942531 1131562393 /nfs/dbraw/zinc/56/23/93/1131562393.db2.gz JZNVRAJYCUIYHH-UHFFFAOYSA-N 1 2 297.324 3.759 20 0 CHADLO CC[N@@H+](Cc1nc(C)c[nH]1)Cc1cccc(C(F)(F)F)c1 ZINC001138942531 1131562396 /nfs/dbraw/zinc/56/23/96/1131562396.db2.gz JZNVRAJYCUIYHH-UHFFFAOYSA-N 1 2 297.324 3.759 20 0 CHADLO C[C@@H](OC(=O)C[C@H](C)n1cc[nH+]c1)c1ccccc1Cl ZINC000844431909 1131564202 /nfs/dbraw/zinc/56/42/02/1131564202.db2.gz ADAOZDRAXQKVKZ-NWDGAFQWSA-N 1 2 292.766 3.792 20 0 CHADLO FC(F)(F)c1ccc(C[N@H+](Cc2ccco2)C2CC2)nc1 ZINC001139017076 1131572091 /nfs/dbraw/zinc/57/20/91/1131572091.db2.gz PRMMBOJOAIMTJK-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1ccc(C[N@@H+](Cc2ccco2)C2CC2)nc1 ZINC001139017076 1131572094 /nfs/dbraw/zinc/57/20/94/1131572094.db2.gz PRMMBOJOAIMTJK-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO COc1ccccc1-c1ccc(C[NH+]2CCC(=O)CC2)cc1 ZINC001139033653 1131574582 /nfs/dbraw/zinc/57/45/82/1131574582.db2.gz FHXRGBBTYKMNAJ-UHFFFAOYSA-N 1 2 295.382 3.527 20 0 CHADLO CCn1ccc2ccc(C[N@H+](C)Cc3nccs3)cc21 ZINC001139123677 1131584065 /nfs/dbraw/zinc/58/40/65/1131584065.db2.gz AIQQJLLMZODHLR-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO CCn1ccc2ccc(C[N@@H+](C)Cc3nccs3)cc21 ZINC001139123677 1131584068 /nfs/dbraw/zinc/58/40/68/1131584068.db2.gz AIQQJLLMZODHLR-UHFFFAOYSA-N 1 2 285.416 3.750 20 0 CHADLO C[C@H]1CC[N@H+](Cc2n[nH]c3ccc(F)cc32)CCC1(F)F ZINC001144190750 1131595500 /nfs/dbraw/zinc/59/55/00/1131595500.db2.gz AKGLPOMHGSYXQQ-JTQLQIEISA-N 1 2 297.324 3.569 20 0 CHADLO C[C@H]1CC[N@@H+](Cc2n[nH]c3ccc(F)cc32)CCC1(F)F ZINC001144190750 1131595502 /nfs/dbraw/zinc/59/55/02/1131595502.db2.gz AKGLPOMHGSYXQQ-JTQLQIEISA-N 1 2 297.324 3.569 20 0 CHADLO Cn1ccc2ccc(C[N@@H+]3Cc4ccc(F)cc4C3)cc21 ZINC001139203868 1131596435 /nfs/dbraw/zinc/59/64/35/1131596435.db2.gz BTHPHWCBXIRBAW-UHFFFAOYSA-N 1 2 280.346 3.833 20 0 CHADLO Cn1ccc2ccc(C[N@H+]3Cc4ccc(F)cc4C3)cc21 ZINC001139203868 1131596439 /nfs/dbraw/zinc/59/64/39/1131596439.db2.gz BTHPHWCBXIRBAW-UHFFFAOYSA-N 1 2 280.346 3.833 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2cccc(F)c2F)cnc1Cl ZINC001139547971 1131615809 /nfs/dbraw/zinc/61/58/09/1131615809.db2.gz PPMXKEGKHSHXTC-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2cccc(F)c2F)cnc1Cl ZINC001139547971 1131615813 /nfs/dbraw/zinc/61/58/13/1131615813.db2.gz PPMXKEGKHSHXTC-UHFFFAOYSA-N 1 2 296.748 3.954 20 0 CHADLO C[C@H]1c2ccccc2CC[N@H+]1Cc1cn2c(cccc2F)n1 ZINC001139569678 1131617689 /nfs/dbraw/zinc/61/76/89/1131617689.db2.gz GDVRRTKLSUNCER-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO C[C@H]1c2ccccc2CC[N@@H+]1Cc1cn2c(cccc2F)n1 ZINC001139569678 1131617690 /nfs/dbraw/zinc/61/76/90/1131617690.db2.gz GDVRRTKLSUNCER-ZDUSSCGKSA-N 1 2 295.361 3.593 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cc(C)cnc2Cl)cc1 ZINC001139590397 1131618617 /nfs/dbraw/zinc/61/86/17/1131618617.db2.gz RVHUOYVSIJUARJ-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cc(C)cnc2Cl)cc1 ZINC001139590397 1131618619 /nfs/dbraw/zinc/61/86/19/1131618619.db2.gz RVHUOYVSIJUARJ-UHFFFAOYSA-N 1 2 274.795 3.984 20 0 CHADLO CCOc1ccc(C)cc1C[N@@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001139600275 1131620855 /nfs/dbraw/zinc/62/08/55/1131620855.db2.gz MGYXOUBWHLFGCK-HZPDHXFCSA-N 1 2 283.362 3.666 20 0 CHADLO CCOc1ccc(C)cc1C[N@H+]1CC[C@@](C)(F)[C@H](F)C1 ZINC001139600275 1131620856 /nfs/dbraw/zinc/62/08/56/1131620856.db2.gz MGYXOUBWHLFGCK-HZPDHXFCSA-N 1 2 283.362 3.666 20 0 CHADLO CCCC[C@@H](CC)COC(=O)C[C@@H](C)n1cc[nH+]c1 ZINC000845284221 1131624602 /nfs/dbraw/zinc/62/46/02/1131624602.db2.gz LZKZBQZHYDHFFT-ZIAGYGMSSA-N 1 2 266.385 3.594 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1CCOCC1(C)C ZINC001139886051 1131646691 /nfs/dbraw/zinc/64/66/91/1131646691.db2.gz XTXGKACHPQUIRX-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1CCOCC1(C)C ZINC001139886051 1131646692 /nfs/dbraw/zinc/64/66/92/1131646692.db2.gz XTXGKACHPQUIRX-UHFFFAOYSA-N 1 2 287.325 3.625 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@H+]1C[C@@H](C)OC[C@H]1C ZINC001139886584 1131646749 /nfs/dbraw/zinc/64/67/49/1131646749.db2.gz FVIDLBBJAXWQSR-VXGBXAGGSA-N 1 2 287.325 3.623 20 0 CHADLO Cc1ccc(C(F)(F)F)cc1C[N@@H+]1C[C@@H](C)OC[C@H]1C ZINC001139886584 1131646751 /nfs/dbraw/zinc/64/67/51/1131646751.db2.gz FVIDLBBJAXWQSR-VXGBXAGGSA-N 1 2 287.325 3.623 20 0 CHADLO C[C@@H](CC(=O)OC1CCC2(CCCC2)CC1)n1cc[nH+]c1 ZINC000845699898 1131648808 /nfs/dbraw/zinc/64/88/08/1131648808.db2.gz NXHBBGBYORZBFG-AWEZNQCLSA-N 1 2 290.407 3.880 20 0 CHADLO CC1(C)C[N@@H+](Cc2c(F)cc(O)cc2F)CCC1(F)F ZINC001144545278 1131652210 /nfs/dbraw/zinc/65/22/10/1131652210.db2.gz ZMFWPYJICUHZPA-UHFFFAOYSA-N 1 2 291.288 3.538 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@H+](C)Cc1cnc(Cl)nc1 ZINC001139993310 1131654841 /nfs/dbraw/zinc/65/48/41/1131654841.db2.gz IPLOBSSMIKXQCM-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO C[C@@H](c1ccccc1Cl)[N@@H+](C)Cc1cnc(Cl)nc1 ZINC001139993310 1131654843 /nfs/dbraw/zinc/65/48/43/1131654843.db2.gz IPLOBSSMIKXQCM-JTQLQIEISA-N 1 2 296.201 3.976 20 0 CHADLO FC(F)[C@H]1CCC[N@H+](Cc2cc(C(F)(F)F)ccn2)C1 ZINC001140108330 1131663977 /nfs/dbraw/zinc/66/39/77/1131663977.db2.gz SGBHJZVIZMJDSM-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+](Cc2cc(C(F)(F)F)ccn2)C1 ZINC001140108330 1131663981 /nfs/dbraw/zinc/66/39/81/1131663981.db2.gz SGBHJZVIZMJDSM-VIFPVBQESA-N 1 2 294.267 3.578 20 0 CHADLO FC(F)(F)c1ccnc(C[N@H+](Cc2ccco2)C2CC2)c1 ZINC001140108881 1131664658 /nfs/dbraw/zinc/66/46/58/1131664658.db2.gz RNAGYEYRXGSNQN-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO FC(F)(F)c1ccnc(C[N@@H+](Cc2ccco2)C2CC2)c1 ZINC001140108881 1131664661 /nfs/dbraw/zinc/66/46/61/1131664661.db2.gz RNAGYEYRXGSNQN-UHFFFAOYSA-N 1 2 296.292 3.858 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@@H+]1Cc1ncn2ccccc12 ZINC001144622215 1131669085 /nfs/dbraw/zinc/66/90/85/1131669085.db2.gz GNXASHSKWPEMIF-QGZVFWFLSA-N 1 2 295.361 3.811 20 0 CHADLO Fc1ccccc1[C@H]1CCC[N@H+]1Cc1ncn2ccccc12 ZINC001144622215 1131669087 /nfs/dbraw/zinc/66/90/87/1131669087.db2.gz GNXASHSKWPEMIF-QGZVFWFLSA-N 1 2 295.361 3.811 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)c(O)cc2F)Cc2ccccc21 ZINC001144646916 1131673193 /nfs/dbraw/zinc/67/31/93/1131673193.db2.gz QENPGNPABZBJLI-LLVKDONJSA-N 1 2 289.325 3.790 20 0 CHADLO Fc1ccc(C[N@@H+]2C[C@H]3[C@@H](C2)C3(F)F)c(C(F)(F)F)c1 ZINC000846111632 1131687784 /nfs/dbraw/zinc/68/77/84/1131687784.db2.gz MOEDOVLHAUVNIO-PHIMTYICSA-N 1 2 295.226 3.541 20 0 CHADLO Fc1ccc(C[N@H+]2C[C@H]3[C@@H](C2)C3(F)F)c(C(F)(F)F)c1 ZINC000846111632 1131687787 /nfs/dbraw/zinc/68/77/87/1131687787.db2.gz MOEDOVLHAUVNIO-PHIMTYICSA-N 1 2 295.226 3.541 20 0 CHADLO CCn1ccnc1[C@@H](C)Nc1ccc([NH+]2CCCC2)cc1 ZINC000834705399 1131695626 /nfs/dbraw/zinc/69/56/26/1131695626.db2.gz JUHVKLBYKZTYRX-CQSZACIVSA-N 1 2 284.407 3.676 20 0 CHADLO Cc1ccc2[nH]nc(C[N@@H+](C)Cc3cccc(F)c3)c2c1 ZINC001140328654 1131698656 /nfs/dbraw/zinc/69/86/56/1131698656.db2.gz JVYUYNYGALDOTI-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO Cc1ccc2[nH]nc(C[N@H+](C)Cc3cccc(F)c3)c2c1 ZINC001140328654 1131698657 /nfs/dbraw/zinc/69/86/57/1131698657.db2.gz JVYUYNYGALDOTI-UHFFFAOYSA-N 1 2 283.350 3.642 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccc2scnc2c1 ZINC001144743648 1131703457 /nfs/dbraw/zinc/70/34/57/1131703457.db2.gz UKPXKMOSLACHSN-GFCCVEGCSA-N 1 2 283.400 3.675 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccc2scnc2c1 ZINC001144743648 1131703461 /nfs/dbraw/zinc/70/34/61/1131703461.db2.gz UKPXKMOSLACHSN-GFCCVEGCSA-N 1 2 283.400 3.675 20 0 CHADLO Cc1ccc2cc([C@H](C)[NH2+][C@H]3CCn4ccnc43)oc2c1 ZINC000834832491 1131708490 /nfs/dbraw/zinc/70/84/90/1131708490.db2.gz PESBFNBUHJHLBS-JSGCOSHPSA-N 1 2 281.359 3.733 20 0 CHADLO CCc1nc(C[NH2+][C@@H](C)c2cc3ccccc3o2)co1 ZINC000834901188 1131725736 /nfs/dbraw/zinc/72/57/36/1131725736.db2.gz QSSJZIIDMQRFIT-NSHDSACASA-N 1 2 270.332 3.834 20 0 CHADLO CCC[N@H+](Cc1cnsn1)[C@H](CC)c1ccccc1 ZINC000846784764 1131734215 /nfs/dbraw/zinc/73/42/15/1131734215.db2.gz UUIWEJDPUXDJIE-OAHLLOKOSA-N 1 2 275.421 3.901 20 0 CHADLO CCC[N@@H+](Cc1cnsn1)[C@H](CC)c1ccccc1 ZINC000846784764 1131734217 /nfs/dbraw/zinc/73/42/17/1131734217.db2.gz UUIWEJDPUXDJIE-OAHLLOKOSA-N 1 2 275.421 3.901 20 0 CHADLO Cc1cccc([C@@H]([NH2+]Cc2cnsn2)C(C)(C)C)c1 ZINC000846845351 1131748903 /nfs/dbraw/zinc/74/89/03/1131748903.db2.gz JONWDGXUAPHWLS-CQSZACIVSA-N 1 2 275.421 3.724 20 0 CHADLO Fc1ccc(F)c([C@@H]2CCC[N@@H+]2Cc2ccccn2)c1 ZINC001204061793 1131757267 /nfs/dbraw/zinc/75/72/67/1131757267.db2.gz PDQJEPVZXGGENI-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccc(F)c([C@@H]2CCC[N@H+]2Cc2ccccn2)c1 ZINC001204061793 1131757270 /nfs/dbraw/zinc/75/72/70/1131757270.db2.gz PDQJEPVZXGGENI-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Cc1ncccc1C[N@@H+](C)Cc1cccc(F)c1Cl ZINC001140563894 1131794409 /nfs/dbraw/zinc/79/44/09/1131794409.db2.gz JJXABELFRZZLKE-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO Cc1ncccc1C[N@H+](C)Cc1cccc(F)c1Cl ZINC001140563894 1131794414 /nfs/dbraw/zinc/79/44/14/1131794414.db2.gz JJXABELFRZZLKE-UHFFFAOYSA-N 1 2 278.758 3.815 20 0 CHADLO CSCc1ccc(N[C@@H]2CCC(C)(C)C2)[nH+]c1 ZINC001161033578 1131816008 /nfs/dbraw/zinc/81/60/08/1131816008.db2.gz MWIXAHFAFFMQKN-GFCCVEGCSA-N 1 2 250.411 3.935 20 0 CHADLO COc1cccc(Cl)c1C[NH2+][C@H]1CCc2cccnc21 ZINC000234940414 1131839570 /nfs/dbraw/zinc/83/95/70/1131839570.db2.gz LILUSTYSQCFEJP-AWEZNQCLSA-N 1 2 288.778 3.521 20 0 CHADLO Cc1ccc(Br)cc1C[N@H+]1C[C@H](F)C[C@H]1C ZINC001140645901 1131845170 /nfs/dbraw/zinc/84/51/70/1131845170.db2.gz MLNPYXPFKGOOOR-ZWNOBZJWSA-N 1 2 286.188 3.690 20 0 CHADLO Cc1ccc(Br)cc1C[N@@H+]1C[C@H](F)C[C@H]1C ZINC001140645901 1131845176 /nfs/dbraw/zinc/84/51/76/1131845176.db2.gz MLNPYXPFKGOOOR-ZWNOBZJWSA-N 1 2 286.188 3.690 20 0 CHADLO CC[C@@H]1CC[C@H](C)N(C(=O)[C@H](CC(C)C)n2cc[nH+]c2)C1 ZINC001140679651 1131858735 /nfs/dbraw/zinc/85/87/35/1131858735.db2.gz XLCBGGZNOOFTPX-XHSDSOJGSA-N 1 2 291.439 3.507 20 0 CHADLO Cc1[nH]c2ccc(O[C@H]3CCC(=O)c4ccccc43)cc2[nH+]1 ZINC001228326075 1131859198 /nfs/dbraw/zinc/85/91/98/1131859198.db2.gz DTVVMDJFGLMZLD-SFHVURJKSA-N 1 2 292.338 3.968 20 0 CHADLO Cc1[nH]c2ccc(O[C@@H](C)c3cc(C)cnc3F)cc2[nH+]1 ZINC001228330192 1131859451 /nfs/dbraw/zinc/85/94/51/1131859451.db2.gz WEMSSLOGPPTHGE-JTQLQIEISA-N 1 2 285.322 3.854 20 0 CHADLO C/C=C/c1ccc(OCC)c(OC2C[NH+](C(C)C)C2)c1 ZINC001228376767 1131871828 /nfs/dbraw/zinc/87/18/28/1131871828.db2.gz UZNXSXRJGTVXIR-FNORWQNLSA-N 1 2 275.392 3.590 20 0 CHADLO Cc1noc([C@@H](C)[NH2+]Cc2c(C)ccc3ccccc32)n1 ZINC000348094109 1131880404 /nfs/dbraw/zinc/88/04/04/1131880404.db2.gz FPEBZHFCXDDXSZ-GFCCVEGCSA-N 1 2 281.359 3.690 20 0 CHADLO Cc1cc([C@@H](C)[NH2+]Cc2ccc(C(F)(F)F)cc2)no1 ZINC000282731425 1131886035 /nfs/dbraw/zinc/88/60/35/1131886035.db2.gz QZEVHUWEZHFORU-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO C[N@@H+](Cc1cc(Cl)ccc1N)Cc1cccc(F)c1F ZINC001140719589 1131893461 /nfs/dbraw/zinc/89/34/61/1131893461.db2.gz SSRMLBYOADSJGG-UHFFFAOYSA-N 1 2 296.748 3.832 20 0 CHADLO C[N@H+](Cc1cc(Cl)ccc1N)Cc1cccc(F)c1F ZINC001140719589 1131893468 /nfs/dbraw/zinc/89/34/68/1131893468.db2.gz SSRMLBYOADSJGG-UHFFFAOYSA-N 1 2 296.748 3.832 20 0 CHADLO Cc1cc(Oc2cccc(-n3cc[nH+]c3)c2)nc(C2CC2)n1 ZINC000348184171 1131902884 /nfs/dbraw/zinc/90/28/84/1131902884.db2.gz GGZSMZCAOCVLQM-UHFFFAOYSA-N 1 2 292.342 3.640 20 0 CHADLO COc1cc(F)c(C[N@H+](C)Cc2cccc(C)c2)c(F)c1 ZINC001140823874 1131936695 /nfs/dbraw/zinc/93/66/95/1131936695.db2.gz RIEZHGGAWLXIDH-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO COc1cc(F)c(C[N@@H+](C)Cc2cccc(C)c2)c(F)c1 ZINC001140823874 1131936699 /nfs/dbraw/zinc/93/66/99/1131936699.db2.gz RIEZHGGAWLXIDH-UHFFFAOYSA-N 1 2 291.341 3.914 20 0 CHADLO Cc1cc(C[N@@H+]2CCC[C@@H]2c2ccc(Cl)s2)[nH]n1 ZINC001203077421 1131962143 /nfs/dbraw/zinc/96/21/43/1131962143.db2.gz XJSUBIJBBGGGBW-LLVKDONJSA-N 1 2 281.812 3.770 20 0 CHADLO Cc1cc(C[N@H+]2CCC[C@@H]2c2ccc(Cl)s2)[nH]n1 ZINC001203077421 1131962148 /nfs/dbraw/zinc/96/21/48/1131962148.db2.gz XJSUBIJBBGGGBW-LLVKDONJSA-N 1 2 281.812 3.770 20 0 CHADLO CSc1ccccc1[C@H]1CCC[N@@H+]1Cc1cc(C)[nH]n1 ZINC001203080910 1131966993 /nfs/dbraw/zinc/96/69/93/1131966993.db2.gz HATPWBUQCDPJOR-OAHLLOKOSA-N 1 2 287.432 3.777 20 0 CHADLO CSc1ccccc1[C@H]1CCC[N@H+]1Cc1cc(C)[nH]n1 ZINC001203080910 1131966998 /nfs/dbraw/zinc/96/69/98/1131966998.db2.gz HATPWBUQCDPJOR-OAHLLOKOSA-N 1 2 287.432 3.777 20 0 CHADLO Clc1ccccc1C1CC[NH+](Cc2ncco2)CC1 ZINC001141011185 1131984535 /nfs/dbraw/zinc/98/45/35/1131984535.db2.gz UCTCVRKUCKVMME-UHFFFAOYSA-N 1 2 276.767 3.708 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@H+](C)Cc1ncco1 ZINC001141012615 1131985655 /nfs/dbraw/zinc/98/56/55/1131985655.db2.gz SCRRBZIXQGDJMP-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO C[C@@H](c1ccc(Br)cc1)[N@@H+](C)Cc1ncco1 ZINC001141012615 1131985660 /nfs/dbraw/zinc/98/56/60/1131985660.db2.gz SCRRBZIXQGDJMP-JTQLQIEISA-N 1 2 295.180 3.630 20 0 CHADLO CCCN(C(=O)CCCn1cc[nH+]c1)c1ccc(CC)cc1 ZINC000836851185 1132000480 /nfs/dbraw/zinc/00/04/80/1132000480.db2.gz XKWCIXFOFWMATH-UHFFFAOYSA-N 1 2 299.418 3.669 20 0 CHADLO Cc1cc(C)c(CNC(=O)C(C)(C)C2CCCCC2)c[nH+]1 ZINC000837104849 1132037818 /nfs/dbraw/zinc/03/78/18/1132037818.db2.gz NJJQUMDCCIKWHW-UHFFFAOYSA-N 1 2 288.435 3.921 20 0 CHADLO Cc1ccc(C[N@@H+]2CCO[C@@H](c3ccccc3)C2)c(F)c1 ZINC001141194491 1132040101 /nfs/dbraw/zinc/04/01/01/1132040101.db2.gz AKNDKMLDHKCHTR-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO Cc1ccc(C[N@H+]2CCO[C@@H](c3ccccc3)C2)c(F)c1 ZINC001141194491 1132040106 /nfs/dbraw/zinc/04/01/06/1132040106.db2.gz AKNDKMLDHKCHTR-GOSISDBHSA-N 1 2 285.362 3.708 20 0 CHADLO CC[N@H+](Cc1ccccc1F)Cc1cccc(OC)c1F ZINC001141222450 1132047043 /nfs/dbraw/zinc/04/70/43/1132047043.db2.gz BMNSKOGOTPARRC-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CC[N@@H+](Cc1ccccc1F)Cc1cccc(OC)c1F ZINC001141222450 1132047051 /nfs/dbraw/zinc/04/70/51/1132047051.db2.gz BMNSKOGOTPARRC-UHFFFAOYSA-N 1 2 291.341 3.996 20 0 CHADLO CCc1ccccc1C[N@@H+]1Cc2cccc(C(=O)OC)c2C1 ZINC001141227790 1132050338 /nfs/dbraw/zinc/05/03/38/1132050338.db2.gz JFDULBMISODHNS-UHFFFAOYSA-N 1 2 295.382 3.551 20 0 CHADLO CCc1ccccc1C[N@H+]1Cc2cccc(C(=O)OC)c2C1 ZINC001141227790 1132050345 /nfs/dbraw/zinc/05/03/45/1132050345.db2.gz JFDULBMISODHNS-UHFFFAOYSA-N 1 2 295.382 3.551 20 0 CHADLO Cc1cccc2c1CC[C@@H]([N@@H+]1CC[C@@H](CF)C(F)(F)C1)C2 ZINC001171564821 1132056694 /nfs/dbraw/zinc/05/66/94/1132056694.db2.gz GSFJEJJJHBMPID-LSDHHAIUSA-N 1 2 297.364 3.779 20 0 CHADLO Cc1cccc2c1CC[C@@H]([N@H+]1CC[C@@H](CF)C(F)(F)C1)C2 ZINC001171564821 1132056700 /nfs/dbraw/zinc/05/67/00/1132056700.db2.gz GSFJEJJJHBMPID-LSDHHAIUSA-N 1 2 297.364 3.779 20 0 CHADLO Cc1cccc2c1CC[C@@H]([N@@H+]1CC[C@H](CF)C(F)(F)C1)C2 ZINC001171564820 1132057792 /nfs/dbraw/zinc/05/77/92/1132057792.db2.gz GSFJEJJJHBMPID-HUUCEWRRSA-N 1 2 297.364 3.779 20 0 CHADLO Cc1cccc2c1CC[C@@H]([N@H+]1CC[C@H](CF)C(F)(F)C1)C2 ZINC001171564820 1132057798 /nfs/dbraw/zinc/05/77/98/1132057798.db2.gz GSFJEJJJHBMPID-HUUCEWRRSA-N 1 2 297.364 3.779 20 0 CHADLO CC1(C)CCC[C@@H](C[NH+]2Cc3cc(F)c(F)cc3C2)O1 ZINC001171568244 1132059545 /nfs/dbraw/zinc/05/95/45/1132059545.db2.gz WCPRUGRJEJZJLN-ZDUSSCGKSA-N 1 2 281.346 3.628 20 0 CHADLO CN(Cc1ccc(-n2cc[nH+]c2)cc1)c1ccsc1 ZINC001171647541 1132067084 /nfs/dbraw/zinc/06/70/84/1132067084.db2.gz IMZYJNWESOBWLJ-UHFFFAOYSA-N 1 2 269.373 3.570 20 0 CHADLO Cc1ccc(CC[C@H](C)[N@@H+]2CCC(F)(F)C2)cc1 ZINC001171672250 1132074443 /nfs/dbraw/zinc/07/44/43/1132074443.db2.gz WBETXZZJZBXYAL-ZDUSSCGKSA-N 1 2 253.336 3.657 20 0 CHADLO Cc1ccc(CC[C@H](C)[N@H+]2CCC(F)(F)C2)cc1 ZINC001171672250 1132074447 /nfs/dbraw/zinc/07/44/47/1132074447.db2.gz WBETXZZJZBXYAL-ZDUSSCGKSA-N 1 2 253.336 3.657 20 0 CHADLO CC[C@@H]1CO[C@@H](CC)C[N@@H+]1Cc1ccc(F)c(Cl)c1 ZINC001171778577 1132095306 /nfs/dbraw/zinc/09/53/06/1132095306.db2.gz NYWWWWRXAFNSCM-OLZOCXBDSA-N 1 2 285.790 3.869 20 0 CHADLO CC[C@@H]1CO[C@@H](CC)C[N@H+]1Cc1ccc(F)c(Cl)c1 ZINC001171778577 1132095312 /nfs/dbraw/zinc/09/53/12/1132095312.db2.gz NYWWWWRXAFNSCM-OLZOCXBDSA-N 1 2 285.790 3.869 20 0 CHADLO Cc1cc(S)ccc1O[C@H]1CC[C@H]([NH+](C)C)CC1 ZINC001229635015 1132099448 /nfs/dbraw/zinc/09/94/48/1132099448.db2.gz QKHCEJIAXQICIZ-JOCQHMNTSA-N 1 2 265.422 3.535 20 0 CHADLO Oc1cc(C(F)(F)F)ccc1Nc1cccc2[nH+]ccn21 ZINC001212628951 1132106349 /nfs/dbraw/zinc/10/63/49/1132106349.db2.gz HYRMRKVPYLUYGO-UHFFFAOYSA-N 1 2 293.248 3.802 20 0 CHADLO C[C@H](COc1ccccc1)Oc1ccc(-n2cc[nH+]c2)cc1 ZINC001229950222 1132135322 /nfs/dbraw/zinc/13/53/22/1132135322.db2.gz IPOMTHZEEGPSAS-OAHLLOKOSA-N 1 2 294.354 3.719 20 0 CHADLO c1cn(-c2ccc(O[C@@H]3CCOc4ccccc43)cc2)c[nH+]1 ZINC001229954642 1132136920 /nfs/dbraw/zinc/13/69/20/1132136920.db2.gz SJFGFUFSIQPKDL-GOSISDBHSA-N 1 2 292.338 3.775 20 0 CHADLO c1cn(-c2ccc(O[C@@H]3CCc4ccccc4C3)cc2)c[nH+]1 ZINC001229955218 1132137090 /nfs/dbraw/zinc/13/70/90/1132137090.db2.gz YVGNOFWSGPNMKR-LJQANCHMSA-N 1 2 290.366 3.809 20 0 CHADLO c1cn(-c2ccc(O[C@@H]3CC4CCC3CC4)cc2)c[nH+]1 ZINC001229954342 1132137417 /nfs/dbraw/zinc/13/74/17/1132137417.db2.gz NVXATFRKBSKADS-MQBCKMQZSA-N 1 2 268.360 3.830 20 0 CHADLO FC1(F)CCCC[N@@H+]([C@@H]2CSc3ccccc3C2)C1 ZINC001172192870 1132146129 /nfs/dbraw/zinc/14/61/29/1132146129.db2.gz HFVZPZSYQLCSEX-ZDUSSCGKSA-N 1 2 283.387 3.825 20 0 CHADLO FC1(F)CCCC[N@H+]([C@@H]2CSc3ccccc3C2)C1 ZINC001172192870 1132146131 /nfs/dbraw/zinc/14/61/31/1132146131.db2.gz HFVZPZSYQLCSEX-ZDUSSCGKSA-N 1 2 283.387 3.825 20 0 CHADLO Clc1ccc2c(C[N@@H+]3Cc4cccnc4C3)c[nH]c2c1 ZINC001141577476 1132186752 /nfs/dbraw/zinc/18/67/52/1132186752.db2.gz GQHKGEOKMXPNJG-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1ccc2c(C[N@H+]3Cc4cccnc4C3)c[nH]c2c1 ZINC001141577476 1132186756 /nfs/dbraw/zinc/18/67/56/1132186756.db2.gz GQHKGEOKMXPNJG-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO O[C@@H](C[N@@H+]1Cc2ccc(Cl)cc2C1)c1ccc(F)cc1 ZINC000839194266 1132190141 /nfs/dbraw/zinc/19/01/41/1132190141.db2.gz JCFMHCJQQUQETK-INIZCTEOSA-N 1 2 291.753 3.528 20 0 CHADLO O[C@@H](C[N@H+]1Cc2ccc(Cl)cc2C1)c1ccc(F)cc1 ZINC000839194266 1132190143 /nfs/dbraw/zinc/19/01/43/1132190143.db2.gz JCFMHCJQQUQETK-INIZCTEOSA-N 1 2 291.753 3.528 20 0 CHADLO CCOCC[C@H](C)[N@@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001172427113 1132205324 /nfs/dbraw/zinc/20/53/24/1132205324.db2.gz MIDIQVGBMWXIHO-XJKSGUPXSA-N 1 2 297.826 3.528 20 0 CHADLO CCOCC[C@H](C)[N@H+]1CCOC[C@@H]1c1ccccc1Cl ZINC001172427113 1132205332 /nfs/dbraw/zinc/20/53/32/1132205332.db2.gz MIDIQVGBMWXIHO-XJKSGUPXSA-N 1 2 297.826 3.528 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](CCSC(C)(C)C)C2 ZINC000839633802 1132226620 /nfs/dbraw/zinc/22/66/20/1132226620.db2.gz VWACZAXYHHQCCD-UHFFFAOYSA-N 1 2 277.433 3.737 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](CCSC(C)(C)C)C2 ZINC000839633802 1132226629 /nfs/dbraw/zinc/22/66/29/1132226629.db2.gz VWACZAXYHHQCCD-UHFFFAOYSA-N 1 2 277.433 3.737 20 0 CHADLO CC1(C)CCC(Oc2cc3c(cc2O)C=[NH+]CC3)CC1 ZINC001230920121 1132228344 /nfs/dbraw/zinc/22/83/44/1132228344.db2.gz JULWRRYOUYVJJS-UHFFFAOYSA-N 1 2 273.376 3.715 20 0 CHADLO CCCC/C=C/[C@H](C)Oc1cc2c(cc1O)C=[NH+]CC2 ZINC001230921925 1132229313 /nfs/dbraw/zinc/22/93/13/1132229313.db2.gz VWALSRBCLHOPPX-YBJDMEARSA-N 1 2 273.376 3.881 20 0 CHADLO C[C@H]1CCC[N@@H+]1Cc1cc(Br)cc(F)c1F ZINC001141795700 1132249489 /nfs/dbraw/zinc/24/94/89/1132249489.db2.gz MXJJLHSJSGCYOB-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO C[C@H]1CCC[N@H+]1Cc1cc(Br)cc(F)c1F ZINC001141795700 1132249496 /nfs/dbraw/zinc/24/94/96/1132249496.db2.gz MXJJLHSJSGCYOB-QMMMGPOBSA-N 1 2 290.151 3.712 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(NC3CC3)[nH+]cn2)s1 ZINC000840249741 1132253012 /nfs/dbraw/zinc/25/30/12/1132253012.db2.gz UYNMVIKPJKIAQJ-SNVBAGLBSA-N 1 2 274.393 3.594 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(NC3CC3)nc[nH+]2)s1 ZINC000840249741 1132253017 /nfs/dbraw/zinc/25/30/17/1132253017.db2.gz UYNMVIKPJKIAQJ-SNVBAGLBSA-N 1 2 274.393 3.594 20 0 CHADLO C[C@@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1ccncc1F ZINC001231082325 1132253190 /nfs/dbraw/zinc/25/31/90/1132253190.db2.gz UZPKBBMSSYKMQH-LLVKDONJSA-N 1 2 283.306 3.751 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@H]2CCCC[C@@H]2F)cc1 ZINC001231083223 1132253314 /nfs/dbraw/zinc/25/33/14/1132253314.db2.gz GGYCYBDZECXPQA-HOCLYGCPSA-N 1 2 274.339 3.747 20 0 CHADLO C[C@@H](Oc1ccc(-c2[nH]cc[nH+]2)cc1)c1cccnc1 ZINC001231082133 1132253407 /nfs/dbraw/zinc/25/34/07/1132253407.db2.gz RUBGLJYSHZLWJF-GFCCVEGCSA-N 1 2 265.316 3.612 20 0 CHADLO C[C@H](COc1ccccc1)Oc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001231081831 1132253977 /nfs/dbraw/zinc/25/39/77/1132253977.db2.gz OENDJPAMNIMYMG-CQSZACIVSA-N 1 2 294.354 3.923 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@H]2CCc3cccnc32)cc1 ZINC001231084199 1132254778 /nfs/dbraw/zinc/25/47/78/1132254778.db2.gz ZPCMPJZMYILUPB-KRWDZBQOSA-N 1 2 291.354 3.548 20 0 CHADLO Cn1c[nH+]cc1-c1ccc(O[C@@H]2C[C@@H]3[C@H](C2)C3(C)C)cc1 ZINC001231083955 1132254836 /nfs/dbraw/zinc/25/48/36/1132254836.db2.gz QPSJCXZTTXSCEC-PHZGNYQRSA-N 1 2 282.387 3.901 20 0 CHADLO FC1(F)CC[C@H](Oc2ccc(-c3[nH]cc[nH+]3)cc2)C1 ZINC001231085552 1132254858 /nfs/dbraw/zinc/25/48/58/1132254858.db2.gz VNSJFLMQOYWXGW-LBPRGKRZSA-N 1 2 264.275 3.643 20 0 CHADLO COC(C)(C)C[C@@H](C)Oc1ccc(-c2c[nH+]cn2C)cc1 ZINC001231084055 1132255368 /nfs/dbraw/zinc/25/53/68/1132255368.db2.gz VIXCXBINTDPTOZ-CYBMUJFWSA-N 1 2 288.391 3.670 20 0 CHADLO FC1(F)C[C@@H]1[NH2+][C@@H]1CCC[C@@H](c2ccccc2)C1 ZINC001172536643 1132258806 /nfs/dbraw/zinc/25/88/06/1132258806.db2.gz FMUQVNHDXWGHSV-MCIONIFRSA-N 1 2 251.320 3.710 20 0 CHADLO FC(F)(F)c1nc(C[NH+]2CCCCCCC2)cs1 ZINC001141883171 1132262198 /nfs/dbraw/zinc/26/21/98/1132262198.db2.gz CXAGSNOFKWLFHH-UHFFFAOYSA-N 1 2 278.343 3.928 20 0 CHADLO FC1(F)CC[N@@H+]([C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001172557204 1132267302 /nfs/dbraw/zinc/26/73/02/1132267302.db2.gz PBYVKZJSOGMLFN-ZIAGYGMSSA-N 1 2 251.320 3.664 20 0 CHADLO FC1(F)CC[N@H+]([C@@H]2CC[C@@H](c3ccccc3)C2)C1 ZINC001172557204 1132267308 /nfs/dbraw/zinc/26/73/08/1132267308.db2.gz PBYVKZJSOGMLFN-ZIAGYGMSSA-N 1 2 251.320 3.664 20 0 CHADLO Cc1c(F)ccc(C[NH+]2CCC(F)(F)CC2)c1F ZINC001231473821 1132297405 /nfs/dbraw/zinc/29/74/05/1132297405.db2.gz MYDHHUZFYUBFCU-UHFFFAOYSA-N 1 2 261.262 3.504 20 0 CHADLO COc1cc(C)c([C@H](C)[NH2+][C@@H](C)c2csnn2)cc1C ZINC000840885914 1132302288 /nfs/dbraw/zinc/30/22/88/1132302288.db2.gz IKTKKYVUYHOQHJ-RYUDHWBXSA-N 1 2 291.420 3.575 20 0 CHADLO Clc1cccc2c1C[N@H+](Cc1c[nH]c3cccnc13)C2 ZINC001231490972 1132302732 /nfs/dbraw/zinc/30/27/32/1132302732.db2.gz ASXNGRJVVVBXOA-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO Clc1cccc2c1C[N@@H+](Cc1c[nH]c3cccnc13)C2 ZINC001231490972 1132302738 /nfs/dbraw/zinc/30/27/38/1132302738.db2.gz ASXNGRJVVVBXOA-UHFFFAOYSA-N 1 2 283.762 3.732 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@@H+]1CCOC(C)(C)C1 ZINC001172848829 1132337289 /nfs/dbraw/zinc/33/72/89/1132337289.db2.gz HCMCYSQBVDKUFL-LLVKDONJSA-N 1 2 285.790 3.521 20 0 CHADLO C[C@H](Cc1c(F)cccc1Cl)[N@H+]1CCOC(C)(C)C1 ZINC001172848829 1132337295 /nfs/dbraw/zinc/33/72/95/1132337295.db2.gz HCMCYSQBVDKUFL-LLVKDONJSA-N 1 2 285.790 3.521 20 0 CHADLO Cc1cccc(CNc2c[nH+]cc3c2CCCC3)c1 ZINC001203118352 1132337902 /nfs/dbraw/zinc/33/79/02/1132337902.db2.gz FIXAVOMDPAPZNU-UHFFFAOYSA-N 1 2 252.361 3.881 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1nc(-c2ccccc2)cs1 ZINC001231680734 1132344938 /nfs/dbraw/zinc/34/49/38/1132344938.db2.gz VLDDKLDQOVUJGT-YPMHNXCESA-N 1 2 276.380 3.742 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1nc(-c2ccccc2)cs1 ZINC001231680734 1132344946 /nfs/dbraw/zinc/34/49/46/1132344946.db2.gz VLDDKLDQOVUJGT-YPMHNXCESA-N 1 2 276.380 3.742 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1Cl)[N@@H+]1Cc2cccnc2C1 ZINC001172864153 1132347948 /nfs/dbraw/zinc/34/79/48/1132347948.db2.gz ORDANNFZXTYKRZ-NSHDSACASA-N 1 2 290.769 3.821 20 0 CHADLO C[C@@H](Cc1ccc(F)cc1Cl)[N@H+]1Cc2cccnc2C1 ZINC001172864153 1132347957 /nfs/dbraw/zinc/34/79/57/1132347957.db2.gz ORDANNFZXTYKRZ-NSHDSACASA-N 1 2 290.769 3.821 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1ccc(Cl)c(O)c1)CC2 ZINC001231732779 1132350117 /nfs/dbraw/zinc/35/01/17/1132350117.db2.gz FVCHEAVSRQBDBL-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1ccc(Cl)c(O)c1)CC2 ZINC001231732779 1132350126 /nfs/dbraw/zinc/35/01/26/1132350126.db2.gz FVCHEAVSRQBDBL-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO Oc1cc(C[N@@H+]2CCOC3(CCCCC3)C2)ccc1Cl ZINC001231737487 1132351636 /nfs/dbraw/zinc/35/16/36/1132351636.db2.gz RSCFGJFURQNHMH-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO Oc1cc(C[N@H+]2CCOC3(CCCCC3)C2)ccc1Cl ZINC001231737487 1132351644 /nfs/dbraw/zinc/35/16/44/1132351644.db2.gz RSCFGJFURQNHMH-UHFFFAOYSA-N 1 2 295.810 3.581 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1ccc(CC(C)C)cc1)C2 ZINC001231789899 1132362221 /nfs/dbraw/zinc/36/22/21/1132362221.db2.gz KUYXEPBTUAZKEU-UHFFFAOYSA-N 1 2 284.403 3.740 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1ccc(CC(C)C)cc1)C2 ZINC001231789899 1132362228 /nfs/dbraw/zinc/36/22/28/1132362228.db2.gz KUYXEPBTUAZKEU-UHFFFAOYSA-N 1 2 284.403 3.740 20 0 CHADLO CC(C)Cc1ccc(C[N@@H+]2Cc3ccncc3C2)cc1 ZINC001231788774 1132362802 /nfs/dbraw/zinc/36/28/02/1132362802.db2.gz XERTXDVHVUSKJW-UHFFFAOYSA-N 1 2 266.388 3.796 20 0 CHADLO CC(C)Cc1ccc(C[N@H+]2Cc3ccncc3C2)cc1 ZINC001231788774 1132362811 /nfs/dbraw/zinc/36/28/11/1132362811.db2.gz XERTXDVHVUSKJW-UHFFFAOYSA-N 1 2 266.388 3.796 20 0 CHADLO CN(C(=O)c1cccc2[nH+]ccn21)[C@@H]1CCC[C@@H]1C(C)(C)C ZINC000841452999 1132364259 /nfs/dbraw/zinc/36/42/59/1132364259.db2.gz MOTGJYRBIJBKHE-UONOGXRCSA-N 1 2 299.418 3.621 20 0 CHADLO Cc1ccc(N)c(Nc2ccc(Cn3cc[nH+]c3)cc2)c1C ZINC001212696630 1132375862 /nfs/dbraw/zinc/37/58/62/1132375862.db2.gz BEWFOAXVOSWURI-UHFFFAOYSA-N 1 2 292.386 3.874 20 0 CHADLO CCc1ccc(Nc2ccc(Cn3cc[nH+]c3)cc2)c(O)c1 ZINC001212697617 1132376970 /nfs/dbraw/zinc/37/69/70/1132376970.db2.gz JXFULZSYYGDOSE-UHFFFAOYSA-N 1 2 293.370 3.943 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@@H+]1Cc1ccc(OC2CCCCC2)nc1 ZINC001231873694 1132385943 /nfs/dbraw/zinc/38/59/43/1132385943.db2.gz ONSDIQYTQKPURU-HIFRSBDPSA-N 1 2 292.398 3.725 20 0 CHADLO C[C@@H]1C[C@H](F)C[N@H+]1Cc1ccc(OC2CCCCC2)nc1 ZINC001231873694 1132385952 /nfs/dbraw/zinc/38/59/52/1132385952.db2.gz ONSDIQYTQKPURU-HIFRSBDPSA-N 1 2 292.398 3.725 20 0 CHADLO CSc1cc(Nc2ccc(NC(C)=O)c(F)c2)cc[nH+]1 ZINC001212703967 1132388372 /nfs/dbraw/zinc/38/83/72/1132388372.db2.gz QRUYQLDQMGJBAP-UHFFFAOYSA-N 1 2 291.351 3.645 20 0 CHADLO Cc1nc2c(o1)CC[N@@H+](Cc1ccccc1C(C)C)C2 ZINC001231878072 1132390103 /nfs/dbraw/zinc/39/01/03/1132390103.db2.gz DOOHDCHYPSZKSB-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO Cc1nc2c(o1)CC[N@H+](Cc1ccccc1C(C)C)C2 ZINC001231878072 1132390111 /nfs/dbraw/zinc/39/01/11/1132390111.db2.gz DOOHDCHYPSZKSB-UHFFFAOYSA-N 1 2 270.376 3.665 20 0 CHADLO CC[N@H+](Cc1cnc(F)cc1C)Cc1cccc(F)c1F ZINC001231985777 1132406615 /nfs/dbraw/zinc/40/66/15/1132406615.db2.gz SZHIXVIJDLZNFE-UHFFFAOYSA-N 1 2 294.320 3.829 20 0 CHADLO CC[N@@H+](Cc1cnc(F)cc1C)Cc1cccc(F)c1F ZINC001231985777 1132406617 /nfs/dbraw/zinc/40/66/17/1132406617.db2.gz SZHIXVIJDLZNFE-UHFFFAOYSA-N 1 2 294.320 3.829 20 0 CHADLO C[C@@H]1c2cccn2CC[N@H+]1Cc1ccnc(C(C)(C)C)c1 ZINC001232217721 1132438461 /nfs/dbraw/zinc/43/84/61/1132438461.db2.gz NYWDCFRKVINUHM-CQSZACIVSA-N 1 2 283.419 3.757 20 0 CHADLO C[C@@H]1c2cccn2CC[N@@H+]1Cc1ccnc(C(C)(C)C)c1 ZINC001232217721 1132438464 /nfs/dbraw/zinc/43/84/64/1132438464.db2.gz NYWDCFRKVINUHM-CQSZACIVSA-N 1 2 283.419 3.757 20 0 CHADLO C[N@H+](Cc1cnsc1)Cc1cccc(C(F)(F)F)c1 ZINC001232227744 1132438890 /nfs/dbraw/zinc/43/88/90/1132438890.db2.gz RRXDIJCRPPUNPF-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1cnsc1)Cc1cccc(C(F)(F)F)c1 ZINC001232227744 1132438894 /nfs/dbraw/zinc/43/88/94/1132438894.db2.gz RRXDIJCRPPUNPF-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@H+](Cc1cncs1)Cc1cccn1Cc1ccccc1 ZINC001232239418 1132442411 /nfs/dbraw/zinc/44/24/11/1132442411.db2.gz XLFFLHGCGPOWGD-UHFFFAOYSA-N 1 2 297.427 3.625 20 0 CHADLO C[N@@H+](Cc1cncs1)Cc1cccn1Cc1ccccc1 ZINC001232239418 1132442415 /nfs/dbraw/zinc/44/24/15/1132442415.db2.gz XLFFLHGCGPOWGD-UHFFFAOYSA-N 1 2 297.427 3.625 20 0 CHADLO CSc1ccc(C[N@@H+]2CCc3cc(F)ccc3C2)cn1 ZINC001232330346 1132457026 /nfs/dbraw/zinc/45/70/26/1132457026.db2.gz RYKNEVDHQYZPSG-UHFFFAOYSA-N 1 2 288.391 3.501 20 0 CHADLO CSc1ccc(C[N@H+]2CCc3cc(F)ccc3C2)cn1 ZINC001232330346 1132457029 /nfs/dbraw/zinc/45/70/29/1132457029.db2.gz RYKNEVDHQYZPSG-UHFFFAOYSA-N 1 2 288.391 3.501 20 0 CHADLO CSc1cc(C)c(C[N@@H+]2CCc3sccc3C2)cn1 ZINC001232344986 1132459043 /nfs/dbraw/zinc/45/90/43/1132459043.db2.gz KYVFPMMKHHXFIB-UHFFFAOYSA-N 1 2 290.457 3.732 20 0 CHADLO CSc1cc(C)c(C[N@H+]2CCc3sccc3C2)cn1 ZINC001232344986 1132459045 /nfs/dbraw/zinc/45/90/45/1132459045.db2.gz KYVFPMMKHHXFIB-UHFFFAOYSA-N 1 2 290.457 3.732 20 0 CHADLO Cc1ccc(OC(C)C)c(C[N@H+](C)Cc2cnc(C)nc2)c1 ZINC001232398362 1132465505 /nfs/dbraw/zinc/46/55/05/1132465505.db2.gz HMKMTPNHPMYEMG-UHFFFAOYSA-N 1 2 299.418 3.513 20 0 CHADLO Cc1ccc(OC(C)C)c(C[N@@H+](C)Cc2cnc(C)nc2)c1 ZINC001232398362 1132465509 /nfs/dbraw/zinc/46/55/09/1132465509.db2.gz HMKMTPNHPMYEMG-UHFFFAOYSA-N 1 2 299.418 3.513 20 0 CHADLO Cc1cc(Br)c(C[NH+]2CC3(CCC3)C2)cc1F ZINC001232466141 1132473207 /nfs/dbraw/zinc/47/32/07/1132473207.db2.gz JVNRYPNYKJQADI-UHFFFAOYSA-N 1 2 298.199 3.883 20 0 CHADLO Fc1ccc2c(c1)CC[N@@H+](Cc1cc3ccoc3cn1)C2 ZINC001232557567 1132487103 /nfs/dbraw/zinc/48/71/03/1132487103.db2.gz XUKRCFKXNPGXSP-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO Fc1ccc2c(c1)CC[N@H+](Cc1cc3ccoc3cn1)C2 ZINC001232557567 1132487108 /nfs/dbraw/zinc/48/71/08/1132487108.db2.gz XUKRCFKXNPGXSP-UHFFFAOYSA-N 1 2 282.318 3.525 20 0 CHADLO CC[NH2+]Cc1noc(/C=C/c2ccc(C(C)(C)C)cc2)n1 ZINC000843144590 1132495908 /nfs/dbraw/zinc/49/59/08/1132495908.db2.gz VQTGGUZXFQIFAM-DHZHZOJOSA-N 1 2 285.391 3.647 20 0 CHADLO CC[NH2+]Cc1noc([C@H](C)c2cccc(CC(C)C)c2)n1 ZINC000843144538 1132496018 /nfs/dbraw/zinc/49/60/18/1132496018.db2.gz ZSJBQNHHZMHSSP-CYBMUJFWSA-N 1 2 287.407 3.529 20 0 CHADLO Nc1ccc(CNc2ccc(OC3CCCC3)cc2)c[nH+]1 ZINC000843125735 1132496576 /nfs/dbraw/zinc/49/65/76/1132496576.db2.gz GUUTVOPIVJBVJH-UHFFFAOYSA-N 1 2 283.375 3.597 20 0 CHADLO Cc1ccc2c(c1)CC[N@@H+](Cc1cccc(O)c1Cl)C2 ZINC001232789457 1132520233 /nfs/dbraw/zinc/52/02/33/1132520233.db2.gz JENVNWSWPDFGQU-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO Cc1ccc2c(c1)CC[N@H+](Cc1cccc(O)c1Cl)C2 ZINC001232789457 1132520241 /nfs/dbraw/zinc/52/02/41/1132520241.db2.gz JENVNWSWPDFGQU-UHFFFAOYSA-N 1 2 287.790 3.912 20 0 CHADLO Cc1cc(C[NH+]2CC(C)(C)OC(C)(C)C2)c(F)cc1F ZINC001232786488 1132520266 /nfs/dbraw/zinc/52/02/66/1132520266.db2.gz MOAIMWUZIFQIOI-UHFFFAOYSA-N 1 2 283.362 3.663 20 0 CHADLO Cc1cc(C[N@@H+]2CCOC3(CCCCC3)C2)c(F)cc1F ZINC001232786300 1132522004 /nfs/dbraw/zinc/52/20/04/1132522004.db2.gz JWTPOBZBEPFYRA-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO Cc1cc(C[N@H+]2CCOC3(CCCCC3)C2)c(F)cc1F ZINC001232786300 1132522006 /nfs/dbraw/zinc/52/20/06/1132522006.db2.gz JWTPOBZBEPFYRA-UHFFFAOYSA-N 1 2 295.373 3.808 20 0 CHADLO CCCn1cnc(C[N@@H+]2CCC[C@@H]2c2ccccc2F)c1 ZINC001232799186 1132522888 /nfs/dbraw/zinc/52/28/88/1132522888.db2.gz ZHYYDXRMXUEXQL-QGZVFWFLSA-N 1 2 287.382 3.769 20 0 CHADLO CCCn1cnc(C[N@H+]2CCC[C@@H]2c2ccccc2F)c1 ZINC001232799186 1132522895 /nfs/dbraw/zinc/52/28/95/1132522895.db2.gz ZHYYDXRMXUEXQL-QGZVFWFLSA-N 1 2 287.382 3.769 20 0 CHADLO Brc1cc(Nc2ccccc2)c2[nH+]ccn2c1 ZINC001173791234 1132527402 /nfs/dbraw/zinc/52/74/02/1132527402.db2.gz JNIOYHBXTHCCND-UHFFFAOYSA-N 1 2 288.148 3.840 20 0 CHADLO Clc1cc2c(nn1)C[N@H+](Cc1ccccc1C1CC1)CC2 ZINC000843419196 1132531229 /nfs/dbraw/zinc/53/12/29/1132531229.db2.gz WCGMRUHHGYFYIJ-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO Clc1cc2c(nn1)C[N@@H+](Cc1ccccc1C1CC1)CC2 ZINC000843419196 1132531235 /nfs/dbraw/zinc/53/12/35/1132531235.db2.gz WCGMRUHHGYFYIJ-UHFFFAOYSA-N 1 2 299.805 3.566 20 0 CHADLO Oc1ccc2[nH]cc(C[N@@H+]3Cc4cccc(F)c4C3)c2c1 ZINC001232865538 1132532397 /nfs/dbraw/zinc/53/23/97/1132532397.db2.gz FNSODGKUTPUUSZ-UHFFFAOYSA-N 1 2 282.318 3.528 20 0 CHADLO Oc1ccc2[nH]cc(C[N@H+]3Cc4cccc(F)c4C3)c2c1 ZINC001232865538 1132532401 /nfs/dbraw/zinc/53/24/01/1132532401.db2.gz FNSODGKUTPUUSZ-UHFFFAOYSA-N 1 2 282.318 3.528 20 0 CHADLO CC1(CC(F)F)C[NH+](Cc2cc(Cl)cs2)C1 ZINC000843424846 1132532978 /nfs/dbraw/zinc/53/29/78/1132532978.db2.gz MWAJPAOGWAIBCS-UHFFFAOYSA-N 1 2 265.756 3.879 20 0 CHADLO Cc1cc(O)cc(C[N@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)c1 ZINC001232883667 1132536106 /nfs/dbraw/zinc/53/61/06/1132536106.db2.gz SUUGTEQBSIUMEO-HNAYVOBHSA-N 1 2 297.398 3.663 20 0 CHADLO Cc1cc(O)cc(C[N@@H+]2C[C@H](C)OC[C@@H]2c2ccccc2)c1 ZINC001232883667 1132536110 /nfs/dbraw/zinc/53/61/10/1132536110.db2.gz SUUGTEQBSIUMEO-HNAYVOBHSA-N 1 2 297.398 3.663 20 0 CHADLO CC[N@H+](Cc1cccs1)Cc1ccc(F)c(O)c1F ZINC001232937698 1132544422 /nfs/dbraw/zinc/54/44/22/1132544422.db2.gz RIKWPLASOJFGNM-UHFFFAOYSA-N 1 2 283.343 3.754 20 0 CHADLO CC[N@@H+](Cc1cccs1)Cc1ccc(F)c(O)c1F ZINC001232937698 1132544428 /nfs/dbraw/zinc/54/44/28/1132544428.db2.gz RIKWPLASOJFGNM-UHFFFAOYSA-N 1 2 283.343 3.754 20 0 CHADLO c1csc([C@@H]2CCC[N@H+]2Cc2ccc3ncoc3c2)n1 ZINC001232945518 1132547843 /nfs/dbraw/zinc/54/78/43/1132547843.db2.gz VFWMHTKOTWBQMQ-ZDUSSCGKSA-N 1 2 285.372 3.621 20 0 CHADLO c1csc([C@@H]2CCC[N@@H+]2Cc2ccc3ncoc3c2)n1 ZINC001232945518 1132547850 /nfs/dbraw/zinc/54/78/50/1132547850.db2.gz VFWMHTKOTWBQMQ-ZDUSSCGKSA-N 1 2 285.372 3.621 20 0 CHADLO Fc1ccccc1Nc1ccc[nH+]c1N1CCCC1 ZINC001173848225 1132550875 /nfs/dbraw/zinc/55/08/75/1132550875.db2.gz QFUWEWXNNPYBKT-UHFFFAOYSA-N 1 2 257.312 3.565 20 0 CHADLO CCCC1CC[NH+](Cc2cc(O)c(F)c(F)c2)CC1 ZINC001232970232 1132552617 /nfs/dbraw/zinc/55/26/17/1132552617.db2.gz CMFCCYJGARULIA-UHFFFAOYSA-N 1 2 269.335 3.683 20 0 CHADLO CCOc1ccccc1Nc1ccc2c(c1)[nH+]cn2C ZINC001173850989 1132555189 /nfs/dbraw/zinc/55/51/89/1132555189.db2.gz UIHWFWONRSCODQ-UHFFFAOYSA-N 1 2 267.332 3.716 20 0 CHADLO Fc1cccc(F)c1Nc1ccccc1-n1cc[nH+]c1 ZINC001173859967 1132561466 /nfs/dbraw/zinc/56/14/66/1132561466.db2.gz KTLBCRGLQSJFGB-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO Cn1c[nH+]c2cc(Nc3c(F)cccc3F)ccc21 ZINC001173860338 1132562429 /nfs/dbraw/zinc/56/24/29/1132562429.db2.gz LZNSKVSULOYILU-UHFFFAOYSA-N 1 2 259.259 3.595 20 0 CHADLO COc1ccc2c(c1)CC[N@@H+](Cc1ccsc1Cl)C2 ZINC001233053211 1132567512 /nfs/dbraw/zinc/56/75/12/1132567512.db2.gz DVEOORNQXISZEE-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO COc1ccc2c(c1)CC[N@H+](Cc1ccsc1Cl)C2 ZINC001233053211 1132567516 /nfs/dbraw/zinc/56/75/16/1132567516.db2.gz DVEOORNQXISZEE-UHFFFAOYSA-N 1 2 293.819 3.968 20 0 CHADLO C[N@H+](Cc1cccc(Cl)c1)Cc1cccc(O)c1F ZINC001233051863 1132568176 /nfs/dbraw/zinc/56/81/76/1132568176.db2.gz BGUQSJKYAJDTFM-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO C[N@@H+](Cc1cccc(Cl)c1)Cc1cccc(O)c1F ZINC001233051863 1132568180 /nfs/dbraw/zinc/56/81/80/1132568180.db2.gz BGUQSJKYAJDTFM-UHFFFAOYSA-N 1 2 279.742 3.817 20 0 CHADLO CC(C)Oc1ncc(Cl)cc1C[NH+]1CCCCC1 ZINC001233165123 1132577264 /nfs/dbraw/zinc/57/72/64/1132577264.db2.gz SXPJKNLHODRRSE-UHFFFAOYSA-N 1 2 268.788 3.508 20 0 CHADLO COc1cccc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001173823956 1132580088 /nfs/dbraw/zinc/58/00/88/1132580088.db2.gz MLPMYNBMOOVNHE-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)CCCC3=O)c(C)[nH+]1 ZINC001203376036 1132581658 /nfs/dbraw/zinc/58/16/58/1132581658.db2.gz HGJPLTHHBIVNQR-UHFFFAOYSA-N 1 2 266.344 3.961 20 0 CHADLO Cc1ccc(Nc2cccc3c2OCCC3)c(C)[nH+]1 ZINC001203376056 1132581997 /nfs/dbraw/zinc/58/19/97/1132581997.db2.gz IIDMRPWYUKBGIT-UHFFFAOYSA-N 1 2 254.333 3.767 20 0 CHADLO FC(F)[C@H]([NH2+]CC[C@@H]1CCOC1)c1cccc(Cl)c1 ZINC001331188158 1132596287 /nfs/dbraw/zinc/59/62/87/1132596287.db2.gz GINAYIHPFXYXSV-ZWNOBZJWSA-N 1 2 289.753 3.662 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccc(Cl)o2)c1OC ZINC001233317046 1132599736 /nfs/dbraw/zinc/59/97/36/1132599736.db2.gz SIPQRJKLMQVBGU-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccc(Cl)o2)c1OC ZINC001233317046 1132599739 /nfs/dbraw/zinc/59/97/39/1132599739.db2.gz SIPQRJKLMQVBGU-UHFFFAOYSA-N 1 2 295.766 3.582 20 0 CHADLO Cc1cnsc1C[N@@H+]1CCc2ccc(Cl)cc2C1 ZINC001233318055 1132600224 /nfs/dbraw/zinc/60/02/24/1132600224.db2.gz OAWKKIXDZDXXTM-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnsc1C[N@H+]1CCc2ccc(Cl)cc2C1 ZINC001233318055 1132600227 /nfs/dbraw/zinc/60/02/27/1132600227.db2.gz OAWKKIXDZDXXTM-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO CC(=O)c1ccc(Nc2ccc(N3CCCC3)[nH+]c2)cc1 ZINC001173896503 1132600690 /nfs/dbraw/zinc/60/06/90/1132600690.db2.gz XGXSTETUQVJYLK-UHFFFAOYSA-N 1 2 281.359 3.628 20 0 CHADLO CC(=O)c1ccc(Nc2ccccc2-n2cc[nH+]c2)cc1 ZINC001173897929 1132602298 /nfs/dbraw/zinc/60/22/98/1132602298.db2.gz QYHVXLOFNVOYTE-UHFFFAOYSA-N 1 2 277.327 3.819 20 0 CHADLO c1ccc2oc(CNc3cc4ccccc4c[nH+]3)nc2c1 ZINC001154646728 1132620541 /nfs/dbraw/zinc/62/05/41/1132620541.db2.gz LEBPQKBZBZQYLU-UHFFFAOYSA-N 1 2 275.311 3.988 20 0 CHADLO COc1cc(C)c[nH+]c1NCc1cccc(OC(F)F)c1 ZINC001154670102 1132623155 /nfs/dbraw/zinc/62/31/55/1132623155.db2.gz VXOJQENSNQXTLI-UHFFFAOYSA-N 1 2 294.301 3.612 20 0 CHADLO CCOc1cc(Nc2ccc(OC)c(OC)c2)cc(C)[nH+]1 ZINC001173885634 1132625318 /nfs/dbraw/zinc/62/53/18/1132625318.db2.gz LXRXGUPBFBBPNG-UHFFFAOYSA-N 1 2 288.347 3.550 20 0 CHADLO Cc1nc(NC2CC(F)C2)cc(C2CCCCC2)[nH+]1 ZINC001154842640 1132627346 /nfs/dbraw/zinc/62/73/46/1132627346.db2.gz BUTTZVOXFYRHRC-UHFFFAOYSA-N 1 2 263.360 3.745 20 0 CHADLO C[N@H+](Cc1ccsc1Br)C1CC(F)(F)C1 ZINC001233478980 1132627913 /nfs/dbraw/zinc/62/79/13/1132627913.db2.gz CRSQZGQXGFDPEJ-UHFFFAOYSA-N 1 2 296.180 3.740 20 0 CHADLO C[N@@H+](Cc1ccsc1Br)C1CC(F)(F)C1 ZINC001233478980 1132627919 /nfs/dbraw/zinc/62/79/19/1132627919.db2.gz CRSQZGQXGFDPEJ-UHFFFAOYSA-N 1 2 296.180 3.740 20 0 CHADLO Cc1oncc1CNc1[nH+]cccc1OCc1ccccc1 ZINC001154884045 1132629644 /nfs/dbraw/zinc/62/96/44/1132629644.db2.gz HDCGYLDEOAFRPO-UHFFFAOYSA-N 1 2 295.342 3.569 20 0 CHADLO Cc1ccc2c(c1)[C@H](Nc1ccc(C(C)(C)C)c[nH+]1)C(=O)N2 ZINC001155110672 1132642686 /nfs/dbraw/zinc/64/26/86/1132642686.db2.gz KNSRDVLCSUEUMH-INIZCTEOSA-N 1 2 295.386 3.793 20 0 CHADLO Cc1cc(NC[C@H]2CCC(F)(F)C2)nc(C(C)(C)C)[nH+]1 ZINC001155173202 1132645385 /nfs/dbraw/zinc/64/53/85/1132645385.db2.gz HSYCPQGYWFRQGZ-NSHDSACASA-N 1 2 283.366 3.930 20 0 CHADLO COc1ccccc1-c1ccc(NC(=[NH2+])C(C)(C)C)nn1 ZINC001155180216 1132645804 /nfs/dbraw/zinc/64/58/04/1132645804.db2.gz MGAMXFFFWUJZNQ-UHFFFAOYSA-N 1 2 284.363 3.587 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cnc(-c2ccccc2)cn1 ZINC001155180424 1132646484 /nfs/dbraw/zinc/64/64/84/1132646484.db2.gz XLMLFBHUJVEXHB-UHFFFAOYSA-N 1 2 254.337 3.579 20 0 CHADLO COc1ccc2[nH+]c(NCc3cccn3C)c(C)cc2c1 ZINC001155210119 1132647622 /nfs/dbraw/zinc/64/76/22/1132647622.db2.gz CKIFFHBECWBBNM-UHFFFAOYSA-N 1 2 281.359 3.502 20 0 CHADLO Cn1cccc1CNc1cc(-c2ccccc2)cc[nH+]1 ZINC001155210134 1132647843 /nfs/dbraw/zinc/64/78/43/1132647843.db2.gz CSFBWSDIVIXSCZ-UHFFFAOYSA-N 1 2 263.344 3.699 20 0 CHADLO Cc1ccn2c(CNc3cc4ccccc4c[nH+]3)cnc2c1 ZINC001155274440 1132651287 /nfs/dbraw/zinc/65/12/87/1132651287.db2.gz SVAFMNMXZOBIDE-UHFFFAOYSA-N 1 2 288.354 3.803 20 0 CHADLO Fc1cccc(Nc2ccccc2-n2cc[nH+]c2)c1F ZINC001173945437 1132653049 /nfs/dbraw/zinc/65/30/49/1132653049.db2.gz AURGHNXZWZPYDV-UHFFFAOYSA-N 1 2 271.270 3.894 20 0 CHADLO COc1ccc(C)cc1Nc1ccc(N2CCCC2)[nH+]c1 ZINC001173984040 1132663442 /nfs/dbraw/zinc/66/34/42/1132663442.db2.gz ISDDCDFRESORSR-UHFFFAOYSA-N 1 2 283.375 3.742 20 0 CHADLO CCOC(CCCNc1ccc(CSC)c[nH+]1)OCC ZINC001155725090 1132665408 /nfs/dbraw/zinc/66/54/08/1132665408.db2.gz CYSBCYPOCNERFT-UHFFFAOYSA-N 1 2 298.452 3.536 20 0 CHADLO COCc1cc[nH+]c(NCc2c(Cl)ccc(C)c2F)c1 ZINC001155828024 1132667140 /nfs/dbraw/zinc/66/71/40/1132667140.db2.gz ADRXLUSUEIGQPC-UHFFFAOYSA-N 1 2 294.757 3.941 20 0 CHADLO Brc1c[nH+]c2ccc(NC3=CCCC3)cn12 ZINC001173996712 1132668927 /nfs/dbraw/zinc/66/89/27/1132668927.db2.gz CJXZZMKMDZTJDO-UHFFFAOYSA-N 1 2 278.153 3.577 20 0 CHADLO CCSc1cc[nH+]c(NC[C@@H]2Cc3ccccc3O2)c1 ZINC001155979277 1132674799 /nfs/dbraw/zinc/67/47/99/1132674799.db2.gz PSBMMKMSCKINPQ-ZDUSSCGKSA-N 1 2 286.400 3.609 20 0 CHADLO Cc1nc(N2CCC[C@@H]2c2ccccn2)cc(C(C)C)[nH+]1 ZINC001156022188 1132677320 /nfs/dbraw/zinc/67/73/20/1132677320.db2.gz ONJARJHSKMIHPK-MRXNPFEDSA-N 1 2 282.391 3.645 20 0 CHADLO COc1cc(C)c[nH+]c1NCCCOc1ccccc1C ZINC001156033630 1132678274 /nfs/dbraw/zinc/67/82/74/1132678274.db2.gz AEOTZEJAESYKCX-UHFFFAOYSA-N 1 2 286.375 3.588 20 0 CHADLO Cc1cc(NCc2cc3ccccc3n2C)nc(C2CC2)[nH+]1 ZINC001156016002 1132678372 /nfs/dbraw/zinc/67/83/72/1132678372.db2.gz KAXWUOCABWDMKR-UHFFFAOYSA-N 1 2 292.386 3.766 20 0 CHADLO CSc1nc(NCc2cnc3ccccc3c2)cc(C)[nH+]1 ZINC001156169116 1132686476 /nfs/dbraw/zinc/68/64/76/1132686476.db2.gz NMUPQFZSYNNFMR-UHFFFAOYSA-N 1 2 296.399 3.667 20 0 CHADLO CCCc1cc[nH+]c(Nc2ccc(NC(C)=O)cc2)c1 ZINC001173978789 1132686739 /nfs/dbraw/zinc/68/67/39/1132686739.db2.gz ZQBBBLZXSIOXLC-UHFFFAOYSA-N 1 2 269.348 3.736 20 0 CHADLO NC(=O)c1ccccc1Nc1cc[nH+]c2cc(Cl)ccc12 ZINC001173980881 1132687544 /nfs/dbraw/zinc/68/75/44/1132687544.db2.gz NMFWEBKAXQVNGD-UHFFFAOYSA-N 1 2 297.745 3.731 20 0 CHADLO Cc1cc2ccccc2[nH+]c1NCc1ccc2nonc2c1 ZINC001156260902 1132688883 /nfs/dbraw/zinc/68/88/83/1132688883.db2.gz NLXGQRQWUXCNOQ-UHFFFAOYSA-N 1 2 290.326 3.692 20 0 CHADLO CCc1cccc(Nc2ccc(C(=O)N3CCCC3)cc2)[nH+]1 ZINC001174066683 1132690696 /nfs/dbraw/zinc/69/06/96/1132690696.db2.gz VFRXSSJYLMIRMR-UHFFFAOYSA-N 1 2 295.386 3.624 20 0 CHADLO Cc1ccc(Nc2[nH+]cccc2N2CCCC2)cc1F ZINC001174020079 1132693987 /nfs/dbraw/zinc/69/39/87/1132693987.db2.gz VYWGFBLDBRYTIO-UHFFFAOYSA-N 1 2 271.339 3.873 20 0 CHADLO COc1ccc(Nc2cccc3[nH+]c(C)cn32)c(C)c1 ZINC001174020734 1132694881 /nfs/dbraw/zinc/69/48/81/1132694881.db2.gz BECBDFLKLKKLSK-UHFFFAOYSA-N 1 2 267.332 3.703 20 0 CHADLO COc1ccc(Nc2cc[nH+]c(SC)c2)c(C)c1 ZINC001174021675 1132696017 /nfs/dbraw/zinc/69/60/17/1132696017.db2.gz BKVMPNXGNLJTLL-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO Cc1cc(N2CC(C)(C)OC(C)(C)C2)[nH+]c2ccccc12 ZINC001156454203 1132697609 /nfs/dbraw/zinc/69/76/09/1132697609.db2.gz ZVIMNOFAUNUAOD-UHFFFAOYSA-N 1 2 284.403 3.937 20 0 CHADLO CN(c1ccc(Cl)c(N)[nH+]1)C(C)(C)Cc1ccccc1 ZINC001156515232 1132700345 /nfs/dbraw/zinc/70/03/45/1132700345.db2.gz BUWITODEJIOXTD-UHFFFAOYSA-N 1 2 289.810 3.775 20 0 CHADLO c1cc(CCNc2[nH+]cnc3[nH]c4ccccc4c32)cs1 ZINC001156521567 1132702554 /nfs/dbraw/zinc/70/25/54/1132702554.db2.gz GANQTPGRCMNYKR-UHFFFAOYSA-N 1 2 294.383 3.827 20 0 CHADLO CSc1cc[nH+]c(N[C@@H](C)c2ccc(F)cn2)c1 ZINC001156678675 1132705939 /nfs/dbraw/zinc/70/59/39/1132705939.db2.gz GUFYKKWBZJCLFI-VIFPVBQESA-N 1 2 263.341 3.511 20 0 CHADLO Cc1cc(NCc2cc[nH+]c(N)c2)nc2cc(Cl)ccc12 ZINC001156757367 1132708409 /nfs/dbraw/zinc/70/84/09/1132708409.db2.gz TVVLIHVYUROXBR-UHFFFAOYSA-N 1 2 298.777 3.786 20 0 CHADLO CC(C)c1ccccc1Nc1ccc(N2CC[C@H](O)C2)[nH+]c1 ZINC001174046157 1132708706 /nfs/dbraw/zinc/70/87/06/1132708706.db2.gz IOKIJTUTHFMWKD-HNNXBMFYSA-N 1 2 297.402 3.520 20 0 CHADLO COCc1cccc(Nc2ccc[nH+]c2N2CCCCC2)c1 ZINC001174092890 1132710389 /nfs/dbraw/zinc/71/03/89/1132710389.db2.gz QFXCSYGTXXIOTO-UHFFFAOYSA-N 1 2 297.402 3.962 20 0 CHADLO CSc1cc[nH+]c(NCc2nccc3ccccc32)c1 ZINC001156869897 1132712393 /nfs/dbraw/zinc/71/23/93/1132712393.db2.gz OUHSOIFXENZKGT-UHFFFAOYSA-N 1 2 281.384 3.964 20 0 CHADLO CCN(C)c1ccc(Nc2ccc3c(ccn3C)c2)c[nH+]1 ZINC001174097187 1132714624 /nfs/dbraw/zinc/71/46/24/1132714624.db2.gz JBFDJLNDCXHCNQ-UHFFFAOYSA-N 1 2 280.375 3.773 20 0 CHADLO COc1cc(Nc2ccc3c(ccn3C)c2)cc(C)[nH+]1 ZINC001174097281 1132715102 /nfs/dbraw/zinc/71/51/02/1132715102.db2.gz IIFJGAIESIFPSB-UHFFFAOYSA-N 1 2 267.332 3.634 20 0 CHADLO CCCOCCNc1cc(-c2ccnc(Cl)c2)cc[nH+]1 ZINC001157086957 1132721721 /nfs/dbraw/zinc/72/17/21/1132721721.db2.gz UUJZFEYHYMYSNT-UHFFFAOYSA-N 1 2 291.782 3.636 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(OC(C)C)cc2)[nH+]1 ZINC001174108641 1132724062 /nfs/dbraw/zinc/72/40/62/1132724062.db2.gz HQTPARINKCTNQP-UHFFFAOYSA-N 1 2 258.321 3.626 20 0 CHADLO Cc1cccc2c(C)cc(NCc3ccc(=O)[nH]c3)[nH+]c12 ZINC001157511311 1132733140 /nfs/dbraw/zinc/73/31/40/1132733140.db2.gz WFTODWHXBKTMLU-UHFFFAOYSA-N 1 2 279.343 3.564 20 0 CHADLO CC1(C)C[C@H](CNc2[nH+]ccc3cc(Cl)ccc32)O1 ZINC001157662662 1132737372 /nfs/dbraw/zinc/73/73/72/1132737372.db2.gz LPYIEGDAOURGAB-GFCCVEGCSA-N 1 2 276.767 3.868 20 0 CHADLO Cc1nc(NC[C@@H]2CC(C)(C)O2)cc(C2CCCCC2)[nH+]1 ZINC001157661405 1132737731 /nfs/dbraw/zinc/73/77/31/1132737731.db2.gz TWQPGNNCWUUSHD-AWEZNQCLSA-N 1 2 289.423 3.812 20 0 CHADLO Cc1cc(NC[C@H]2CC(C)(C)O2)[nH+]c2ccccc12 ZINC001157662512 1132738258 /nfs/dbraw/zinc/73/82/58/1132738258.db2.gz IPNGRYNFCSSNHD-GFCCVEGCSA-N 1 2 256.349 3.523 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@@H](c1ccccn1)C(F)(F)F ZINC001157684037 1132739690 /nfs/dbraw/zinc/73/96/90/1132739690.db2.gz KBLVVOMPLAKQJD-NSHDSACASA-N 1 2 285.244 3.640 20 0 CHADLO CCSc1cc[nH+]c(NC2CCC(OC)CC2)c1 ZINC001157810989 1132743817 /nfs/dbraw/zinc/74/38/17/1132743817.db2.gz LBKDIRSXGOLBIH-UHFFFAOYSA-N 1 2 266.410 3.563 20 0 CHADLO Cc1cc(N[C@H]2CCNc3ccccc32)nc(C2CC2)[nH+]1 ZINC001157837933 1132748129 /nfs/dbraw/zinc/74/81/29/1132748129.db2.gz UWCBPOINPMYYSS-HNNXBMFYSA-N 1 2 280.375 3.631 20 0 CHADLO Cc1cc(C)c(Nc2ccc(C(=O)NC(C)C)cc2)c[nH+]1 ZINC001174148569 1132748772 /nfs/dbraw/zinc/74/87/72/1132748772.db2.gz XDTUBSGXTZPGCG-UHFFFAOYSA-N 1 2 283.375 3.580 20 0 CHADLO CCN(C)c1ccc(Nc2ccc(OC)c(Cl)c2)c[nH+]1 ZINC001174152640 1132750368 /nfs/dbraw/zinc/75/03/68/1132750368.db2.gz FYTYLQHEMJKGDM-UHFFFAOYSA-N 1 2 291.782 3.943 20 0 CHADLO Cc1ccc(Nc2ccc3c(c2)C(=O)N(C2CC2)C3)c(C)[nH+]1 ZINC001203380012 1132750606 /nfs/dbraw/zinc/75/06/06/1132750606.db2.gz GDTFQXMVMDIGKJ-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO CCCCNC(=O)c1cccc(Nc2cccc(C)[nH+]2)c1 ZINC001174188765 1132754394 /nfs/dbraw/zinc/75/43/94/1132754394.db2.gz CGMHNOUXBXMLFC-UHFFFAOYSA-N 1 2 283.375 3.664 20 0 CHADLO CCCCNC(=O)c1cccc(Nc2ccc(C)c[nH+]2)c1 ZINC001174188951 1132754568 /nfs/dbraw/zinc/75/45/68/1132754568.db2.gz HKBXPPNBQJWVKW-UHFFFAOYSA-N 1 2 283.375 3.664 20 0 CHADLO Cc1ccc([C@H](C)Nc2cc(C(C)C)[nH+]c(C)n2)nc1 ZINC001158014805 1132761251 /nfs/dbraw/zinc/76/12/51/1132761251.db2.gz REZYRRCNMJDKSZ-LBPRGKRZSA-N 1 2 270.380 3.785 20 0 CHADLO Cc1ccc([C@@H](C)Nc2cc(C)[nH+]c(C3CC3)n2)nc1 ZINC001158020475 1132762096 /nfs/dbraw/zinc/76/20/96/1132762096.db2.gz HTBJNOIRWVZEGL-GFCCVEGCSA-N 1 2 268.364 3.539 20 0 CHADLO CSCc1cc[nH+]c(N[C@@H](C)c2ccc(C)cn2)c1 ZINC001158021668 1132762386 /nfs/dbraw/zinc/76/23/86/1132762386.db2.gz RPSLDTUJIKBVEY-LBPRGKRZSA-N 1 2 273.405 3.821 20 0 CHADLO Cc1ccc(Nc2ccc[nH+]c2N2CCCCC2)cn1 ZINC001174280573 1132769564 /nfs/dbraw/zinc/76/95/64/1132769564.db2.gz KGACNNFHEJDDLV-UHFFFAOYSA-N 1 2 268.364 3.519 20 0 CHADLO Cc1c(F)cc[nH+]c1N[C@H](C1CCC1)C(F)(F)F ZINC001158399228 1132774751 /nfs/dbraw/zinc/77/47/51/1132774751.db2.gz DAYOLINHYYMQCS-SNVBAGLBSA-N 1 2 262.250 3.672 20 0 CHADLO Cc1nc(N[C@@H](C)c2cnccn2)cc(C2CCCCC2)[nH+]1 ZINC001158599953 1132784307 /nfs/dbraw/zinc/78/43/07/1132784307.db2.gz AUTDFNBIDCCARS-LBPRGKRZSA-N 1 2 297.406 3.796 20 0 CHADLO Cc1ccc(Nc2cccc3c2C(=O)N(C2CC2)C3)c(C)[nH+]1 ZINC001203380707 1132787678 /nfs/dbraw/zinc/78/76/78/1132787678.db2.gz MIAMZWPOILGTRZ-UHFFFAOYSA-N 1 2 293.370 3.560 20 0 CHADLO COc1cc(Nc2ccc([NH+]3CCCCC3)cc2)ccn1 ZINC001174306869 1132788073 /nfs/dbraw/zinc/78/80/73/1132788073.db2.gz ZSQVUPYUBYNHQJ-UHFFFAOYSA-N 1 2 283.375 3.824 20 0 CHADLO COc1cc(Nc2ccc(C(F)(F)F)nc2)cc(C)[nH+]1 ZINC001174309259 1132789671 /nfs/dbraw/zinc/78/96/71/1132789671.db2.gz DYTYJRAQVJJGHX-UHFFFAOYSA-N 1 2 283.253 3.556 20 0 CHADLO COCc1cc[nH+]c(N[C@@H]2CCO[C@@H](c3ccccc3)C2)c1 ZINC001158793538 1132793531 /nfs/dbraw/zinc/79/35/31/1132793531.db2.gz IPCLIFIELMONGS-IAGOWNOFSA-N 1 2 298.386 3.560 20 0 CHADLO Nc1cc2ccccc2n1-c1ccc2c(c1)CCC[NH2+]2 ZINC001159240298 1132818647 /nfs/dbraw/zinc/81/86/47/1132818647.db2.gz CSCOLMBHHOIMJR-UHFFFAOYSA-N 1 2 263.344 3.571 20 0 CHADLO Cn1c[nH+]c2cc(Nc3ccnc(OCC4CC4)c3)ccc21 ZINC001213017310 1132819913 /nfs/dbraw/zinc/81/99/13/1132819913.db2.gz NFHKDJRJHTYEHJ-UHFFFAOYSA-N 1 2 294.358 3.501 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1Nc1ccc(N)[nH+]c1 ZINC001159282350 1132823310 /nfs/dbraw/zinc/82/33/10/1132823310.db2.gz UNZWOHYUXMJWJQ-UHFFFAOYSA-N 1 2 267.254 3.735 20 0 CHADLO Nc1ccc(Nc2ccc(Cc3ccccc3)cc2)c[nH+]1 ZINC001159281894 1132823975 /nfs/dbraw/zinc/82/39/75/1132823975.db2.gz HWZOSADCEKPARO-UHFFFAOYSA-N 1 2 275.355 3.998 20 0 CHADLO CC(C)n1c[nH+]c2cc(Nc3cncc(Cl)n3)ccc21 ZINC001174381373 1132829713 /nfs/dbraw/zinc/82/97/13/1132829713.db2.gz YFLSYUSLVMRSGJ-UHFFFAOYSA-N 1 2 287.754 3.804 20 0 CHADLO CSc1cc[nH+]c(NC2CC(C)(C)OC(C)(C)C2)c1 ZINC001159322626 1132831712 /nfs/dbraw/zinc/83/17/12/1132831712.db2.gz BNOJCGBUSZHVAJ-UHFFFAOYSA-N 1 2 280.437 3.952 20 0 CHADLO COc1ccc(F)c(F)c1Nc1ccc(C)[nH+]c1C ZINC001174420998 1132837399 /nfs/dbraw/zinc/83/73/99/1132837399.db2.gz FHAFDQBWDGJDQM-UHFFFAOYSA-N 1 2 264.275 3.729 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1c[nH]c2cnccc12 ZINC001159416836 1132840499 /nfs/dbraw/zinc/84/04/99/1132840499.db2.gz JTFVVGROVBLKKM-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO Cc1cc(Nc2ccc(F)cc2N)ccc1[NH+](C)C ZINC001159442621 1132845290 /nfs/dbraw/zinc/84/52/90/1132845290.db2.gz SJEFCWDEIPQQSI-UHFFFAOYSA-N 1 2 259.328 3.526 20 0 CHADLO Cc1cc(Nc2cc[nH+]c3[nH]ccc32)cc(Cl)n1 ZINC001174410070 1132848181 /nfs/dbraw/zinc/84/81/81/1132848181.db2.gz IBJKTXHSUDTCMN-UHFFFAOYSA-N 1 2 258.712 3.615 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1NCC2 ZINC001159457207 1132848257 /nfs/dbraw/zinc/84/82/57/1132848257.db2.gz BMUOJDYHBAKSIY-UHFFFAOYSA-N 1 2 265.360 3.979 20 0 CHADLO c1cc2c(nccc2Nc2ccc(Cn3cc[nH+]c3)cc2)[nH]1 ZINC001174411235 1132848805 /nfs/dbraw/zinc/84/88/05/1132848805.db2.gz QAQODVJXOGBTJX-UHFFFAOYSA-N 1 2 289.342 3.503 20 0 CHADLO c1cc2c([nH]1)[nH+]ccc2Nc1ccc2scnc2c1 ZINC001174411871 1132850843 /nfs/dbraw/zinc/85/08/43/1132850843.db2.gz KCPMWERKHYEAKD-UHFFFAOYSA-N 1 2 266.329 3.868 20 0 CHADLO COc1cc(C)c(Nc2cc[nH+]c3[nH]ccc32)c(C)c1 ZINC001174413098 1132852217 /nfs/dbraw/zinc/85/22/17/1132852217.db2.gz JRASRLUFMJAOIN-UHFFFAOYSA-N 1 2 267.332 3.884 20 0 CHADLO CCc1ccc[nH+]c1Nc1cccc(N2CCCC2)c1 ZINC001174486427 1132857575 /nfs/dbraw/zinc/85/75/75/1132857575.db2.gz HOUCHXUOMBZKDL-UHFFFAOYSA-N 1 2 267.376 3.988 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc2cn[nH]c2c1 ZINC001174499474 1132866474 /nfs/dbraw/zinc/86/64/74/1132866474.db2.gz RFCGAGDLKWEQAY-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO CCc1ccc(C)[nH+]c1Nc1ccc2c[nH]nc2c1 ZINC001174499474 1132866482 /nfs/dbraw/zinc/86/64/82/1132866482.db2.gz RFCGAGDLKWEQAY-UHFFFAOYSA-N 1 2 252.321 3.572 20 0 CHADLO c1nn(CC2CC2)cc1Nc1cccc2cc[nH+]cc21 ZINC001174468881 1132875924 /nfs/dbraw/zinc/87/59/24/1132875924.db2.gz MSBONZJALQKNGI-UHFFFAOYSA-N 1 2 264.332 3.585 20 0 CHADLO Cc1ccc2c(Nc3cnc(N)c(Cl)c3)cccc2[nH+]1 ZINC001159712837 1132896647 /nfs/dbraw/zinc/89/66/47/1132896647.db2.gz NOZCWVFEIWFZBP-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO C[C@H]1COCC[C@H]1Nc1cc(-c2ccccc2)cc[nH+]1 ZINC001159711404 1132897057 /nfs/dbraw/zinc/89/70/57/1132897057.db2.gz QAXPBEXAHFIHIE-XJKSGUPXSA-N 1 2 268.360 3.586 20 0 CHADLO COc1cc(Nc2[nH+]cccc2C(C)C)ccc1O ZINC001174542141 1132901722 /nfs/dbraw/zinc/90/17/22/1132901722.db2.gz YRSRFTGCOYBMGD-UHFFFAOYSA-N 1 2 258.321 3.663 20 0 CHADLO Cn1ccc2ccc(Nc3ccc(N4CCCC4)[nH+]c3)cc21 ZINC001174516929 1132909394 /nfs/dbraw/zinc/90/93/94/1132909394.db2.gz FODSWCMHUIVSKL-UHFFFAOYSA-N 1 2 292.386 3.917 20 0 CHADLO Cc1cccc(O)c1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174524894 1132912388 /nfs/dbraw/zinc/91/23/88/1132912388.db2.gz UQRZGSIDAZUNBD-UHFFFAOYSA-N 1 2 283.375 3.830 20 0 CHADLO c1cc(Nc2ccc(N3CCCCC3)[nH+]c2)n(C2CCC2)n1 ZINC001174522452 1132912784 /nfs/dbraw/zinc/91/27/84/1132912784.db2.gz MCEURMDVIHJHLZ-UHFFFAOYSA-N 1 2 297.406 3.737 20 0 CHADLO Cc1ccc(Nc2ccc(N3CCCC3)cc2)[nH+]c1 ZINC001174524280 1132912819 /nfs/dbraw/zinc/91/28/19/1132912819.db2.gz CPIBTHYULGRHTI-UHFFFAOYSA-N 1 2 253.349 3.734 20 0 CHADLO CCN(c1ccc(N2CCCCC2)[nH+]c1)c1ccccc1N ZINC001174524891 1132913023 /nfs/dbraw/zinc/91/30/23/1132913023.db2.gz UOQBHWZEGUYTTE-UHFFFAOYSA-N 1 2 296.418 3.812 20 0 CHADLO C[C@@H](O)c1cccc(Nc2ccc(N3CCCCC3)[nH+]c2)c1 ZINC001174522529 1132913092 /nfs/dbraw/zinc/91/30/92/1132913092.db2.gz QKCGLVPXOXOVJS-CQSZACIVSA-N 1 2 297.402 3.869 20 0 CHADLO COc1ncc(Nc2ccc(N3CCCCC3)[nH+]c2)cc1C ZINC001174523083 1132913385 /nfs/dbraw/zinc/91/33/85/1132913385.db2.gz FUEUEJPIJMMOSX-UHFFFAOYSA-N 1 2 298.390 3.528 20 0 CHADLO COc1cccc(O)c1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001174523543 1132913413 /nfs/dbraw/zinc/91/34/13/1132913413.db2.gz NOZHIQCAPZLJIZ-UHFFFAOYSA-N 1 2 299.374 3.530 20 0 CHADLO [NH3+][C@@H]1CCCN(c2ccccc2Nc2cccc(S)c2)C1 ZINC001159982658 1132932082 /nfs/dbraw/zinc/93/20/82/1132932082.db2.gz PFVHCMYFBUMPHM-CYBMUJFWSA-N 1 2 299.443 3.646 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc3[nH]ccc32)[nH+]1 ZINC001174645182 1132938401 /nfs/dbraw/zinc/93/84/01/1132938401.db2.gz WXEWKPQAIYEXQD-UHFFFAOYSA-N 1 2 262.316 3.868 20 0 CHADLO CCSc1ccccc1C[N@@H+]1CCC(F)(F)[C@H](F)C1 ZINC001249437822 1132943200 /nfs/dbraw/zinc/94/32/00/1132943200.db2.gz FTKOYXOCVQKSCP-CYBMUJFWSA-N 1 2 289.366 3.978 20 0 CHADLO CCSc1ccccc1C[N@H+]1CCC(F)(F)[C@H](F)C1 ZINC001249437822 1132943204 /nfs/dbraw/zinc/94/32/04/1132943204.db2.gz FTKOYXOCVQKSCP-CYBMUJFWSA-N 1 2 289.366 3.978 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc2[nH]ccc21 ZINC001174647762 1132948723 /nfs/dbraw/zinc/94/87/23/1132948723.db2.gz VCPFADNKGRBISS-UHFFFAOYSA-N 1 2 253.305 3.705 20 0 CHADLO Cc1nc2[nH]ccc2c(N[C@@H](CC(C)C)c2ccccn2)[nH+]1 ZINC001160482546 1132971171 /nfs/dbraw/zinc/97/11/71/1132971171.db2.gz LAQMDBINEPKREO-HNNXBMFYSA-N 1 2 295.390 3.861 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3nccc(C(C)C)n3)c[nH+]c12 ZINC001160686251 1132978324 /nfs/dbraw/zinc/97/83/24/1132978324.db2.gz BPQUSEDFDHZBRB-ZDUSSCGKSA-N 1 2 295.390 3.729 20 0 CHADLO Cc1cccn2c([C@H](C)Nc3ccc(Cl)cn3)c[nH+]c12 ZINC001160683300 1132978527 /nfs/dbraw/zinc/97/85/27/1132978527.db2.gz PEOBTELXTOAZIC-NSHDSACASA-N 1 2 286.766 3.864 20 0 CHADLO CC(C)C[C@H](C(=O)N[C@@]1(C)CCCC[C@@H]1C)n1cc[nH+]c1 ZINC001160888086 1132985951 /nfs/dbraw/zinc/98/59/51/1132985951.db2.gz KSAOLYGBQZESGT-UXLLHSPISA-N 1 2 291.439 3.555 20 0 CHADLO CCOc1ccc[nH+]c1NCc1ccc2onc(C)c2c1 ZINC001160868828 1132986187 /nfs/dbraw/zinc/98/61/87/1132986187.db2.gz GKWZYWZRTURGJV-UHFFFAOYSA-N 1 2 283.331 3.542 20 0 CHADLO Cc1cnn(C2CCC2)c1Nc1cccc2[nH+]c[nH]c21 ZINC001213030786 1132994531 /nfs/dbraw/zinc/99/45/31/1132994531.db2.gz QYNMQAFGTWQPQZ-UHFFFAOYSA-N 1 2 267.336 3.536 20 0 CHADLO Cc1cc(Nc2cccc3[nH+]c[nH]c32)cnc1OC(C)C ZINC001213030797 1132994778 /nfs/dbraw/zinc/99/47/78/1132994778.db2.gz RHGASRKVIDKRTL-UHFFFAOYSA-N 1 2 282.347 3.797 20 0 CHADLO c1nc(C2CC2)c(Nc2cccc3[nH+]c[nH]c32)s1 ZINC001213030863 1132995269 /nfs/dbraw/zinc/99/52/69/1132995269.db2.gz VAOPEEJUURREGN-UHFFFAOYSA-N 1 2 256.334 3.640 20 0 CHADLO Cc1c(F)cc[nH+]c1NC1(c2ccccc2Cl)COC1 ZINC001161267670 1132997126 /nfs/dbraw/zinc/99/71/26/1132997126.db2.gz FRBGVUGISSKDEJ-UHFFFAOYSA-N 1 2 292.741 3.520 20 0 CHADLO CC(C)OC(=O)c1ccc(Nc2cccc3[nH+]c[nH]c32)cc1 ZINC001213031731 1132997162 /nfs/dbraw/zinc/99/71/62/1132997162.db2.gz OQSNUXUFFHMAEG-UHFFFAOYSA-N 1 2 295.342 3.872 20 0 CHADLO COCc1ccc(CNc2cc(C)[nH+]c(C(C)(C)C)n2)cc1 ZINC001161326764 1132998213 /nfs/dbraw/zinc/99/82/13/1132998213.db2.gz CKIDTYUNXVWNDX-UHFFFAOYSA-N 1 2 299.418 3.841 20 0 CHADLO CN1CCCc2ccc(Nc3cccc4[nH+]c[nH]c43)cc21 ZINC001213032192 1132999135 /nfs/dbraw/zinc/99/91/35/1132999135.db2.gz XDTPAFZTVLWZOB-UHFFFAOYSA-N 1 2 278.359 3.689 20 0 CHADLO CCSc1cc[nH+]c(N[C@H](CC)C(F)(F)F)c1 ZINC001161382968 1132999292 /nfs/dbraw/zinc/99/92/92/1132999292.db2.gz WRUJLXILRIZESU-SECBINFHSA-N 1 2 264.316 3.946 20 0 CHADLO Cc1nc(Cl)cc(NCc2c[nH+]cn2C(C)C)c1C ZINC001161565305 1133006661 /nfs/dbraw/zinc/00/66/61/1133006661.db2.gz MALSEUDZCSYFPU-UHFFFAOYSA-N 1 2 278.787 3.741 20 0 CHADLO CC1(C)C[C@@H](CNc2cc3ccccc3c[nH+]2)CCO1 ZINC001161424744 1133000192 /nfs/dbraw/zinc/00/01/92/1133000192.db2.gz IUVXJSDLDNGJEP-ZDUSSCGKSA-N 1 2 270.376 3.852 20 0 CHADLO Nc1ccc(Nc2cccc3[nH+]c[nH]c32)c(Cl)c1 ZINC001213032465 1133000391 /nfs/dbraw/zinc/00/03/91/1133000391.db2.gz HOWWPZSKVMXQGM-UHFFFAOYSA-N 1 2 258.712 3.542 20 0 CHADLO Cc1cc(Cl)c(C)c(N[C@@H]2CCCc3nccnc32)[nH+]1 ZINC001161409407 1133000537 /nfs/dbraw/zinc/00/05/37/1133000537.db2.gz PFQLNUSYIHXWOC-CYBMUJFWSA-N 1 2 288.782 3.631 20 0 CHADLO COc1cc(Cl)cc(Nc2cccc3[nH+]c[nH]c32)c1 ZINC001213032627 1133000836 /nfs/dbraw/zinc/00/08/36/1133000836.db2.gz PKNMRGCATARBCN-UHFFFAOYSA-N 1 2 273.723 3.969 20 0 CHADLO Cc1cc(C(C)C)nc(NCc2c[nH+]cn2CC2CCC2)n1 ZINC001161535781 1133004953 /nfs/dbraw/zinc/00/49/53/1133004953.db2.gz LNVQKTJATMKCCJ-UHFFFAOYSA-N 1 2 299.422 3.517 20 0 CHADLO c1ncn(CC2CCC2)c1CNc1cc2ccccc2c[nH+]1 ZINC001161531655 1133005583 /nfs/dbraw/zinc/00/55/83/1133005583.db2.gz QCWHPPIRDVQDEQ-UHFFFAOYSA-N 1 2 292.386 3.844 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cc2ccsc2cn1 ZINC001161565701 1133006094 /nfs/dbraw/zinc/00/60/94/1133006094.db2.gz RCIHNYXNLIUCBW-UHFFFAOYSA-N 1 2 272.377 3.686 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cc(Cl)nc2c1CCC2 ZINC001161563315 1133006291 /nfs/dbraw/zinc/00/62/91/1133006291.db2.gz YTCPRTMMSZGDDO-UHFFFAOYSA-N 1 2 290.798 3.613 20 0 CHADLO Cc1cc2cc(NCc3ccccc3C)[nH+]cc2[nH]1 ZINC001161617170 1133009522 /nfs/dbraw/zinc/00/95/22/1133009522.db2.gz PXVRXLTYDUNMQX-UHFFFAOYSA-N 1 2 251.333 3.792 20 0 CHADLO CO[C@H]1CCOc2c(Nc3cccc4[nH+]c[nH]c43)cccc21 ZINC001213033779 1133010738 /nfs/dbraw/zinc/01/07/38/1133010738.db2.gz XAJMYOCNAJWWLW-HNNXBMFYSA-N 1 2 295.342 3.777 20 0 CHADLO CC(=O)c1cc(C)ccc1Nc1cccc2[nH+]c[nH]c21 ZINC001213034561 1133015203 /nfs/dbraw/zinc/01/52/03/1133015203.db2.gz QSJUOHTVKUXJMO-UHFFFAOYSA-N 1 2 265.316 3.818 20 0 CHADLO c1cn(CCCNc2cncc(Oc3ccccc3)c2)c[nH+]1 ZINC001161687996 1133016230 /nfs/dbraw/zinc/01/62/30/1133016230.db2.gz GEUDLSLIQWOQAU-UHFFFAOYSA-N 1 2 294.358 3.573 20 0 CHADLO CCC[C@H](C)Nc1[nH+]cnc2[nH]c3cc(F)ccc3c21 ZINC001161719371 1133020334 /nfs/dbraw/zinc/02/03/34/1133020334.db2.gz KBGANCCLLHOMPC-VIFPVBQESA-N 1 2 272.327 3.851 20 0 CHADLO COC[C@H](Nc1cc(C2CCCCC2)[nH+]c(C)n1)C1CC1 ZINC001161726378 1133021100 /nfs/dbraw/zinc/02/11/00/1133021100.db2.gz LFQSZYLHKOXLHA-INIZCTEOSA-N 1 2 289.423 3.670 20 0 CHADLO Fc1ccc2c(c1)[nH]c1nc[nH+]c(N[C@H]3CC=CCC3)c21 ZINC001161757933 1133024741 /nfs/dbraw/zinc/02/47/41/1133024741.db2.gz RADBSZZSKATBSX-NSHDSACASA-N 1 2 282.322 3.771 20 0 CHADLO Cc1nc2[nH]ccc2c(NC[C@H]2CCC(C)(C)C2)[nH+]1 ZINC001162123012 1133052851 /nfs/dbraw/zinc/05/28/51/1133052851.db2.gz KBYOKHIWDSTJRI-NSHDSACASA-N 1 2 258.369 3.505 20 0 CHADLO COc1cc[nH+]c(C(C)(C)CNc2nccc3occc32)c1 ZINC001162128942 1133053633 /nfs/dbraw/zinc/05/36/33/1133053633.db2.gz XRDZZGZRLBRDRX-UHFFFAOYSA-N 1 2 297.358 3.621 20 0 CHADLO COc1cc[nH+]c(C(C)(C)CNc2nccc3ccoc32)c1 ZINC001162128994 1133053764 /nfs/dbraw/zinc/05/37/64/1133053764.db2.gz ZITARGZFDXLLTM-UHFFFAOYSA-N 1 2 297.358 3.621 20 0 CHADLO Cc1cc(NC(C)(C)Cc2cccnc2)nc(C(C)(C)C)[nH+]1 ZINC001162241868 1133062856 /nfs/dbraw/zinc/06/28/56/1133062856.db2.gz TVQLHMGWXIDUPF-UHFFFAOYSA-N 1 2 298.434 3.911 20 0 CHADLO CC(C)(C)OC(=O)Cc1ccc[nH+]c1NC(C)(C)C1CC1 ZINC001162516501 1133084779 /nfs/dbraw/zinc/08/47/79/1133084779.db2.gz BZLFHLAEFVHADU-UHFFFAOYSA-N 1 2 290.407 3.566 20 0 CHADLO CSc1cc[nH+]c(NCC(C)(C)C(=O)OC(C)(C)C)c1 ZINC001162602009 1133094134 /nfs/dbraw/zinc/09/41/34/1133094134.db2.gz GETWJUCKFORRDI-UHFFFAOYSA-N 1 2 296.436 3.583 20 0 CHADLO COC(=[NH2+])c1cccc(NC(C)(C)C(=O)c2ccccc2)c1 ZINC001162639873 1133098335 /nfs/dbraw/zinc/09/83/35/1133098335.db2.gz OODFFEMYPNHUND-UHFFFAOYSA-N 1 2 296.370 3.732 20 0 CHADLO Clc1cnc(CNc2cc(-c3ccccc3)cc[nH+]2)cn1 ZINC001162649811 1133100024 /nfs/dbraw/zinc/10/00/24/1133100024.db2.gz HBRAEJRUAHDNOV-UHFFFAOYSA-N 1 2 296.761 3.804 20 0 CHADLO CC(C)(C)C(=[NH2+])Nc1cncc(-c2ccccc2)n1 ZINC001162656697 1133100949 /nfs/dbraw/zinc/10/09/49/1133100949.db2.gz BNOYCJNPSOSWML-UHFFFAOYSA-N 1 2 254.337 3.579 20 0 CHADLO Cc1ccc2cc(NCc3ccncc3C)[nH+]cc2c1 ZINC001162716525 1133105830 /nfs/dbraw/zinc/10/58/30/1133105830.db2.gz QIKQPDNQJUFDIA-UHFFFAOYSA-N 1 2 263.344 3.859 20 0 CHADLO Cc1cc(NC2(Cc3ccc(O)cc3)CC2)nc(C2CC2)[nH+]1 ZINC001162736062 1133106501 /nfs/dbraw/zinc/10/65/01/1133106501.db2.gz XAYUWJWHYUOKNI-UHFFFAOYSA-N 1 2 295.386 3.555 20 0 CHADLO Cc1c[nH+]cc(NCc2ccc(C(F)(F)F)n2C)c1C ZINC001162755194 1133109029 /nfs/dbraw/zinc/10/90/29/1133109029.db2.gz ZWBSLGRUKMWIJL-UHFFFAOYSA-N 1 2 283.297 3.668 20 0 CHADLO CC(C)c1ccc[nH+]c1Nc1ccc2c(c1)OCCN2C ZINC001213043821 1133112677 /nfs/dbraw/zinc/11/26/77/1133112677.db2.gz YCUFWQMYVDNJKJ-UHFFFAOYSA-N 1 2 283.375 3.777 20 0 CHADLO Cc1ccc2c(c1)[C@@H](Nc1[nH+]ccc(F)c1C)CC2 ZINC001162824031 1133114439 /nfs/dbraw/zinc/11/44/39/1133114439.db2.gz LLSADNLSGXJPNL-HNNXBMFYSA-N 1 2 256.324 3.937 20 0 CHADLO Cc1ccc(CNc2cc3cc(F)ccc3c[nH+]2)cn1 ZINC001162865570 1133117067 /nfs/dbraw/zinc/11/70/67/1133117067.db2.gz NRGGUOFYHCSIPM-UHFFFAOYSA-N 1 2 267.307 3.689 20 0 CHADLO Nc1cc(Cl)[nH+]c(N[C@H]2CCc3c2c(F)ccc3F)c1 ZINC001162931197 1133122693 /nfs/dbraw/zinc/12/26/93/1133122693.db2.gz CPFSPOIATRGQEU-NSHDSACASA-N 1 2 295.720 3.695 20 0 CHADLO COC1CC(CNc2cc(C)c3cccc(C)c3[nH+]2)C1 ZINC001162986012 1133125730 /nfs/dbraw/zinc/12/57/30/1133125730.db2.gz FYCLODBAAUDAAS-UHFFFAOYSA-N 1 2 270.376 3.689 20 0 CHADLO Clc1cc(Cl)nc(NCc2ccc3[nH+]ccn3c2)c1 ZINC001163136608 1133130658 /nfs/dbraw/zinc/13/06/58/1133130658.db2.gz LCLQEWMJDRWJRQ-UHFFFAOYSA-N 1 2 293.157 3.648 20 0 CHADLO C[C@H](Nc1cc(-c2ccccc2)cc[nH+]1)c1ccno1 ZINC001163207665 1133136977 /nfs/dbraw/zinc/13/69/77/1133136977.db2.gz DOAOESOXJDROKM-LBPRGKRZSA-N 1 2 265.316 3.910 20 0 CHADLO COc1ccc2[nH+]c(N[C@H](C)c3ccno3)cc(C)c2c1 ZINC001163207690 1133137026 /nfs/dbraw/zinc/13/70/26/1133137026.db2.gz FBGSKLJZRJNFAD-LLVKDONJSA-N 1 2 283.331 3.713 20 0 CHADLO Cc1cc(NCCC(C)(C)C2CC2)nc(C2CC2)[nH+]1 ZINC001163378786 1133146031 /nfs/dbraw/zinc/14/60/31/1133146031.db2.gz WKGQSXZEXHTMLT-UHFFFAOYSA-N 1 2 259.397 3.901 20 0 CHADLO CCOC(CCC[NH2+]c1ccc(N(C)C)c(C)c1)OCC ZINC001163490009 1133153103 /nfs/dbraw/zinc/15/31/03/1133153103.db2.gz PCQAYCJAZZDHHC-UHFFFAOYSA-N 1 2 294.439 3.652 20 0 CHADLO CCOC(CCCNc1ccc([NH+](C)C)c(C)c1)OCC ZINC001163490009 1133153106 /nfs/dbraw/zinc/15/31/06/1133153106.db2.gz PCQAYCJAZZDHHC-UHFFFAOYSA-N 1 2 294.439 3.652 20 0 CHADLO COC(=O)[C@H]1CCc2cc(Nc3ccc(C)[nH+]c3C)ccc21 ZINC001203381142 1133155644 /nfs/dbraw/zinc/15/56/44/1133155644.db2.gz PZZYHMHBTDTBHV-INIZCTEOSA-N 1 2 296.370 3.645 20 0 CHADLO Cc1ccc(Cl)c(CNc2ccc(N(C)C)c[nH+]2)c1F ZINC001163655829 1133164398 /nfs/dbraw/zinc/16/43/98/1133164398.db2.gz PGZAFSOGOBALKW-UHFFFAOYSA-N 1 2 293.773 3.861 20 0 CHADLO CSc1cc(NCc2cc(C)cc(C)c2)nc(C)[nH+]1 ZINC001163747611 1133171191 /nfs/dbraw/zinc/17/11/91/1133171191.db2.gz NAYGLHGQTBVVMO-UHFFFAOYSA-N 1 2 273.405 3.736 20 0 CHADLO FC1(F)CC2(CN(c3cc(-c4ccccc4)cc[nH+]3)C2)C1 ZINC001163746072 1133171331 /nfs/dbraw/zinc/17/13/31/1133171331.db2.gz YNCYOLIKZLLJCF-UHFFFAOYSA-N 1 2 286.325 3.984 20 0 CHADLO CC(C)c1ccc(N2CCC(=O)[C@@H]3CCCC[C@@H]32)[nH+]c1 ZINC001163797624 1133176852 /nfs/dbraw/zinc/17/68/52/1133176852.db2.gz YFGUWNJUGIKRST-CABCVRRESA-N 1 2 272.392 3.543 20 0 CHADLO [NH2+]=C(Nc1cncc2nc[nH]c21)C12CC3CC(CC(C3)C1)C2 ZINC001163848456 1133179544 /nfs/dbraw/zinc/17/95/44/1133179544.db2.gz TVAKFPXNXYUWKL-UHFFFAOYSA-N 1 2 295.390 3.563 20 0 CHADLO CSCCCNc1cc2cc(F)ccc2c[nH+]1 ZINC001163868949 1133181091 /nfs/dbraw/zinc/18/10/91/1133181091.db2.gz LJUITGSGFCFBGF-UHFFFAOYSA-N 1 2 250.342 3.539 20 0 CHADLO CSc1cc[nH+]c(N2CCc3ccccc3[C@@H]2C)c1 ZINC001163955686 1133187623 /nfs/dbraw/zinc/18/76/23/1133187623.db2.gz BAGVRUFCBAGXRP-LBPRGKRZSA-N 1 2 270.401 3.927 20 0 CHADLO Cc1cccc2c(C)cc(N3CC(F)(C4CC4)C3)[nH+]c12 ZINC001163968147 1133189633 /nfs/dbraw/zinc/18/96/33/1133189633.db2.gz NZPYFONEFSTZEC-UHFFFAOYSA-N 1 2 270.351 3.790 20 0 CHADLO Cc1cc[nH+]cc1NCCCOc1ccccc1C ZINC001163975895 1133189951 /nfs/dbraw/zinc/18/99/51/1133189951.db2.gz KVALBHFZKFYRHD-UHFFFAOYSA-N 1 2 256.349 3.579 20 0 CHADLO F[C@H]1CCCN(c2[nH+]ccc3ccccc32)CC1(F)F ZINC001164056674 1133194984 /nfs/dbraw/zinc/19/49/84/1133194984.db2.gz PTIUQCJMOBVZIP-ZDUSSCGKSA-N 1 2 280.293 3.808 20 0 CHADLO COc1ccc(O[C@@H](C)CNc2c[nH+]c(C)c(C)c2)cc1 ZINC001164046267 1133195044 /nfs/dbraw/zinc/19/50/44/1133195044.db2.gz RMKQFHPHAIUKSX-ZDUSSCGKSA-N 1 2 286.375 3.586 20 0 CHADLO Cc1cc(Cl)c(C)c(N2CC[C@H](CF)C(F)(F)C2)[nH+]1 ZINC001164095147 1133197006 /nfs/dbraw/zinc/19/70/06/1133197006.db2.gz SIOYCPIMLJQDJZ-SNVBAGLBSA-N 1 2 292.732 3.783 20 0 CHADLO CN(Cc1cnc(Cl)s1)c1[nH+]ccc2ccccc21 ZINC001164168374 1133202776 /nfs/dbraw/zinc/20/27/76/1133202776.db2.gz UZUIQRYBYUXTMX-UHFFFAOYSA-N 1 2 289.791 3.981 20 0 CHADLO FC[C@@]1(C(F)(F)F)CCN(c2cccc(C3CC3)[nH+]2)C1 ZINC001164271888 1133211853 /nfs/dbraw/zinc/21/18/53/1133211853.db2.gz NGVKQHWHHSCTMK-ZDUSSCGKSA-N 1 2 288.288 3.687 20 0 CHADLO CCC1CCN(c2cc(C)[nH+]c(C(C)(C)C)n2)CC1 ZINC001164456929 1133220704 /nfs/dbraw/zinc/22/07/04/1133220704.db2.gz VXNAYUIZJYMOFH-UHFFFAOYSA-N 1 2 261.413 3.709 20 0 CHADLO CC(=O)c1cccc(Nc2ccc(C)[nH+]c2C)c1C ZINC001203381487 1133225851 /nfs/dbraw/zinc/22/58/51/1133225851.db2.gz ZYAKDJSLYMMGGX-UHFFFAOYSA-N 1 2 254.333 3.953 20 0 CHADLO Cc1cccc2c(C)cc(N3C[C@H]4[C@H](CC4(F)F)C3)[nH+]c12 ZINC001165293481 1133237227 /nfs/dbraw/zinc/23/72/27/1133237227.db2.gz AHSFYGAGUNZBBL-OCCSQVGLSA-N 1 2 288.341 3.943 20 0 CHADLO Cc1nc(N2C[C@@H]3C[C@@H]3[C@H](F)C2)cc(C2CCCCC2)[nH+]1 ZINC001165366502 1133240995 /nfs/dbraw/zinc/24/09/95/1133240995.db2.gz CJEYMSZTAVYMTQ-SOUVJXGZSA-N 1 2 289.398 3.627 20 0 CHADLO COCc1cc[nH+]c(N2CCC[C@@H](Cc3ccccc3)C2)c1 ZINC001166562958 1133270266 /nfs/dbraw/zinc/27/02/66/1133270266.db2.gz IXBFMROZQXUGKU-KRWDZBQOSA-N 1 2 296.414 3.687 20 0 CHADLO Cc1c(F)cc[nH+]c1N1CCC[C@H](Cc2ccccc2)C1 ZINC001166563145 1133270286 /nfs/dbraw/zinc/27/02/86/1133270286.db2.gz ACTAWAHLHKANDT-MRXNPFEDSA-N 1 2 284.378 3.988 20 0 CHADLO CCSc1cc[nH+]c(N2CC[C@H](c3ccncc3)C2)c1 ZINC001166568103 1133271787 /nfs/dbraw/zinc/27/17/87/1133271787.db2.gz MGJJXEKXMRLLDF-AWEZNQCLSA-N 1 2 285.416 3.583 20 0 CHADLO CC(C)(C)c1ccc(N2CC(OCc3ccccc3)C2)[nH+]c1 ZINC001166640527 1133279468 /nfs/dbraw/zinc/27/94/68/1133279468.db2.gz WELVQXMIIXENMF-UHFFFAOYSA-N 1 2 296.414 3.785 20 0 CHADLO CCSc1cc[nH+]c(N2CCC(c3ccccn3)CC2)c1 ZINC001166672330 1133280425 /nfs/dbraw/zinc/28/04/25/1133280425.db2.gz ISTIFPTVPIXJQZ-UHFFFAOYSA-N 1 2 299.443 3.973 20 0 CHADLO CN(CCc1cccs1)c1cc2ccccc2c[nH+]1 ZINC001166678706 1133281567 /nfs/dbraw/zinc/28/15/67/1133281567.db2.gz WNCDHAUDHQNQHX-UHFFFAOYSA-N 1 2 268.385 3.975 20 0 CHADLO Cc1ccnc(SCc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001166805100 1133289035 /nfs/dbraw/zinc/28/90/35/1133289035.db2.gz IZAFQAGIBMYASF-UHFFFAOYSA-N 1 2 281.384 3.868 20 0 CHADLO CSc1cc[nH+]c(N(C)Cc2ccsc2)c1 ZINC001166828623 1133291291 /nfs/dbraw/zinc/29/12/91/1133291291.db2.gz BEGXBIQJYWDOCO-UHFFFAOYSA-N 1 2 250.392 3.501 20 0 CHADLO CSc1cc(NCc2ccccc2C2CC2)nc(C)[nH+]1 ZINC001166980726 1133296510 /nfs/dbraw/zinc/29/65/10/1133296510.db2.gz HNZDSVYMEAYMQR-UHFFFAOYSA-N 1 2 285.416 3.996 20 0 CHADLO CSc1cc[nH+]c(N2CCC[C@H]2c2nccs2)c1 ZINC001167044773 1133302071 /nfs/dbraw/zinc/30/20/71/1133302071.db2.gz YLVRUYFAZLLHKX-NSHDSACASA-N 1 2 277.418 3.602 20 0 CHADLO Cc1cncc(C[N@H+](C)Cc2cc(Cl)cc(Cl)c2)n1 ZINC001203180430 1133305334 /nfs/dbraw/zinc/30/53/34/1133305334.db2.gz HNWCORYBJUZIHW-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1cncc(C[N@@H+](C)Cc2cc(Cl)cc(Cl)c2)n1 ZINC001203180430 1133305339 /nfs/dbraw/zinc/30/53/39/1133305339.db2.gz HNWCORYBJUZIHW-UHFFFAOYSA-N 1 2 296.201 3.724 20 0 CHADLO Cc1ccc(Nc2cc(Cl)ncc2F)c(C)[nH+]1 ZINC001203367832 1133318496 /nfs/dbraw/zinc/31/84/96/1133318496.db2.gz PXBGZOOCXVYEMK-UHFFFAOYSA-N 1 2 251.692 3.630 20 0 CHADLO Cc1ccc(Nc2ccccc2P(C)(C)=O)c(C)[nH+]1 ZINC001203374470 1133319771 /nfs/dbraw/zinc/31/97/71/1133319771.db2.gz KBFIPTNKNZJLBF-UHFFFAOYSA-N 1 2 274.304 3.690 20 0 CHADLO Cc1cc2cc(N)ccc2n1-c1ccc(C)[nH+]c1C ZINC001203372758 1133320313 /nfs/dbraw/zinc/32/03/13/1133320313.db2.gz HGHKXMBMNVNMHH-UHFFFAOYSA-N 1 2 251.333 3.533 20 0 CHADLO CNc1ccc(Nc2cccc(Cl)c2F)c[nH+]1 ZINC001203456701 1133328938 /nfs/dbraw/zinc/32/89/38/1133328938.db2.gz RPLWEZFSIIGLJR-UHFFFAOYSA-N 1 2 251.692 3.659 20 0 CHADLO CNc1ccc(Nc2ccccc2Br)c[nH+]1 ZINC001203454921 1133329190 /nfs/dbraw/zinc/32/91/90/1133329190.db2.gz MFTXQBOMRJUFEP-UHFFFAOYSA-N 1 2 278.153 3.629 20 0 CHADLO CNc1ccc(Nc2c(C)cc(Cl)nc2Cl)c[nH+]1 ZINC001203448844 1133330002 /nfs/dbraw/zinc/33/00/02/1133330002.db2.gz MWSQWBRTRWWQRH-UHFFFAOYSA-N 1 2 283.162 3.877 20 0 CHADLO CNc1ccc(Nc2ccccc2-c2ccncc2)c[nH+]1 ZINC001203462321 1133331063 /nfs/dbraw/zinc/33/10/63/1133331063.db2.gz QQYPZZFCCBYLLG-UHFFFAOYSA-N 1 2 276.343 3.929 20 0 CHADLO COc1ccc(CNc2[nH]c3cc(C)ccc3[nH+]2)cc1C ZINC001203478680 1133332252 /nfs/dbraw/zinc/33/22/52/1133332252.db2.gz GVIMBPNUAPYSAF-UHFFFAOYSA-N 1 2 281.359 3.800 20 0 CHADLO COc1ccc(F)c(CNc2[nH]c3cc(C)ccc3[nH+]2)c1 ZINC001203478832 1133332767 /nfs/dbraw/zinc/33/27/67/1133332767.db2.gz KOPRVUFELHFROC-UHFFFAOYSA-N 1 2 285.322 3.631 20 0 CHADLO Cc1ccc2[nH+]c(NCc3nc4ccccc4o3)[nH]c2c1 ZINC001203478790 1133333215 /nfs/dbraw/zinc/33/32/15/1133333215.db2.gz ISHZCGYDEKZSTC-UHFFFAOYSA-N 1 2 278.315 3.625 20 0 CHADLO CCn1c2ccc(Cl)cc2[nH+]c1NC[C@@H]1CCCOC1 ZINC001203529538 1133336694 /nfs/dbraw/zinc/33/66/94/1133336694.db2.gz JHRIPGYXNFAMPJ-NSHDSACASA-N 1 2 293.798 3.548 20 0 CHADLO COc1cccc2[nH+]c(NCC3CCCCCC3)[nH]c21 ZINC001203542104 1133338809 /nfs/dbraw/zinc/33/88/09/1133338809.db2.gz RCDSXNJBWQAIGT-UHFFFAOYSA-N 1 2 273.380 3.954 20 0 CHADLO COc1cccc2[nH]c(NCC3CCCCCC3)[nH+]c21 ZINC001203542104 1133338813 /nfs/dbraw/zinc/33/88/13/1133338813.db2.gz RCDSXNJBWQAIGT-UHFFFAOYSA-N 1 2 273.380 3.954 20 0 CHADLO Clc1cnc(C[NH2+]Cc2ccc3scnc3c2)s1 ZINC001203566168 1133340070 /nfs/dbraw/zinc/34/00/70/1133340070.db2.gz PCIXSHQOMALCJH-UHFFFAOYSA-N 1 2 295.820 3.696 20 0 CHADLO Clc1ccc2[nH]c(NCc3ccc4c(c3)CCO4)[nH+]c2c1 ZINC001203572961 1133342159 /nfs/dbraw/zinc/34/21/59/1133342159.db2.gz SKMLIJMKZHKVLL-UHFFFAOYSA-N 1 2 299.761 3.763 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2csc(-c3ccncc3)n2)c1 ZINC001203660151 1133349207 /nfs/dbraw/zinc/34/92/07/1133349207.db2.gz GAOJSTPDFJLBJQ-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2snnc2-c2ccccc2)c1 ZINC001203655828 1133349857 /nfs/dbraw/zinc/34/98/57/1133349857.db2.gz CLEVCQOVWQWJFT-UHFFFAOYSA-N 1 2 282.372 3.961 20 0 CHADLO COC(=O)c1ccc(Nc2cc(C)c[nH+]c2C)cc1C ZINC001203661119 1133349893 /nfs/dbraw/zinc/34/98/93/1133349893.db2.gz QXRQRQNAOOANQP-UHFFFAOYSA-N 1 2 270.332 3.537 20 0 CHADLO CCOC(=O)c1ccc(Nc2cc(C)c[nH+]c2C)cc1 ZINC001203662980 1133350017 /nfs/dbraw/zinc/35/00/17/1133350017.db2.gz BLTQRXWZQVRTBU-UHFFFAOYSA-N 1 2 270.332 3.619 20 0 CHADLO Cc1c[nH+]c(C)c(N(c2ccc(N)cn2)C2CCCC2)c1 ZINC001203661608 1133350145 /nfs/dbraw/zinc/35/01/45/1133350145.db2.gz XJRZLNFKUDJBDB-UHFFFAOYSA-N 1 2 282.391 3.756 20 0 CHADLO Cc1c[nH+]c(C)c(Nc2cccc3c2OCCC3)c1 ZINC001203664087 1133350781 /nfs/dbraw/zinc/35/07/81/1133350781.db2.gz XMCZWDCGFFAOMR-UHFFFAOYSA-N 1 2 254.333 3.767 20 0 CHADLO Cc1cnc(C)c(Nc2cccc(Cn3cc[nH+]c3)c2)c1 ZINC001203663656 1133351769 /nfs/dbraw/zinc/35/17/69/1133351769.db2.gz VNUGIGWHQXVCGU-UHFFFAOYSA-N 1 2 278.359 3.687 20 0 CHADLO CN(C)c1cccnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC001203718712 1133358874 /nfs/dbraw/zinc/35/88/74/1133358874.db2.gz LTPGIFMOSLXTMF-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3ccc(F)cc3C2)c(C)c1C ZINC001203724804 1133360026 /nfs/dbraw/zinc/36/00/26/1133360026.db2.gz NDSUGVLGDPXDKP-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO COc1ccc(C[N@H+]2Cc3ccc(F)cc3C2)c(C)c1C ZINC001203724804 1133360030 /nfs/dbraw/zinc/36/00/30/1133360030.db2.gz NDSUGVLGDPXDKP-UHFFFAOYSA-N 1 2 285.362 3.967 20 0 CHADLO Fc1cc2[nH]ccc2c(Nc2ccn3cc[nH+]c3c2)c1 ZINC001203730360 1133361572 /nfs/dbraw/zinc/36/15/72/1133361572.db2.gz JRYKHPVZYIDRRZ-UHFFFAOYSA-N 1 2 266.279 3.698 20 0 CHADLO CCCCOC1C[NH+](Cc2c(OC)ccc3ccccc32)C1 ZINC001203990238 1133394881 /nfs/dbraw/zinc/39/48/81/1133394881.db2.gz VIICRUNQNMGIIS-UHFFFAOYSA-N 1 2 299.414 3.849 20 0 CHADLO COc1ccc(C[N@@H+]2Cc3cccnc3C2)c2ccccc12 ZINC001203991496 1133395597 /nfs/dbraw/zinc/39/55/97/1133395597.db2.gz JUDXFJGLTRRSKA-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO COc1ccc(C[N@H+]2Cc3cccnc3C2)c2ccccc12 ZINC001203991496 1133395601 /nfs/dbraw/zinc/39/56/01/1133395601.db2.gz JUDXFJGLTRRSKA-UHFFFAOYSA-N 1 2 290.366 3.759 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@@H+]1Cc1ccc[nH]1 ZINC001204009421 1133398977 /nfs/dbraw/zinc/39/89/77/1133398977.db2.gz XTRIQJKBJUOSDJ-CVEARBPZSA-N 1 2 264.413 3.778 20 0 CHADLO CC[C@@H]1CO[C@@](C)(CCC(C)C)[N@H+]1Cc1ccc[nH]1 ZINC001204009421 1133398980 /nfs/dbraw/zinc/39/89/80/1133398980.db2.gz XTRIQJKBJUOSDJ-CVEARBPZSA-N 1 2 264.413 3.778 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@H+](Cc2cc(Br)cs2)C1 ZINC001204026631 1133400882 /nfs/dbraw/zinc/40/08/82/1133400882.db2.gz SFTKIEMTWJASQH-WPRPVWTQSA-N 1 2 292.217 3.691 20 0 CHADLO C[C@H]1C[C@@H](CF)[N@@H+](Cc2cc(Br)cs2)C1 ZINC001204026631 1133400888 /nfs/dbraw/zinc/40/08/88/1133400888.db2.gz SFTKIEMTWJASQH-WPRPVWTQSA-N 1 2 292.217 3.691 20 0 CHADLO COc1ccc(C[NH+]2Cc3ccccc3C2)c(OC)c1C ZINC001204254034 1133425626 /nfs/dbraw/zinc/42/56/26/1133425626.db2.gz PPJUIXSKLAOKNP-UHFFFAOYSA-N 1 2 283.371 3.528 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2cnccn2)cc1Cl ZINC001204284711 1133428187 /nfs/dbraw/zinc/42/81/87/1133428187.db2.gz QQAYEIAPYGYSER-HNNXBMFYSA-N 1 2 291.757 3.606 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2cnccn2)cc1Cl ZINC001204284711 1133428189 /nfs/dbraw/zinc/42/81/89/1133428189.db2.gz QQAYEIAPYGYSER-HNNXBMFYSA-N 1 2 291.757 3.606 20 0 CHADLO CCCOc1ccc(C[N@@H+]2Cc3cccc(OC)c3C2)cc1 ZINC001204306945 1133433899 /nfs/dbraw/zinc/43/38/99/1133433899.db2.gz CRBDDWRLOOXAEI-UHFFFAOYSA-N 1 2 297.398 4.000 20 0 CHADLO CCCOc1ccc(C[N@H+]2Cc3cccc(OC)c3C2)cc1 ZINC001204306945 1133433900 /nfs/dbraw/zinc/43/39/00/1133433900.db2.gz CRBDDWRLOOXAEI-UHFFFAOYSA-N 1 2 297.398 4.000 20 0 CHADLO CCCOc1ccc(C[N@@H+]2CCC[C@H]2c2ncccn2)cc1 ZINC001204310624 1133434192 /nfs/dbraw/zinc/43/41/92/1133434192.db2.gz XGNAIABYTLOAQH-KRWDZBQOSA-N 1 2 297.402 3.603 20 0 CHADLO CCCOc1ccc(C[N@H+]2CCC[C@H]2c2ncccn2)cc1 ZINC001204310624 1133434196 /nfs/dbraw/zinc/43/41/96/1133434196.db2.gz XGNAIABYTLOAQH-KRWDZBQOSA-N 1 2 297.402 3.603 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccc(OC(F)F)cc1)C2 ZINC001204422687 1133445353 /nfs/dbraw/zinc/44/53/53/1133445353.db2.gz YMKBPKCENURJLX-UHFFFAOYSA-N 1 2 293.288 3.943 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccc(OC(F)F)cc1)C2 ZINC001204422687 1133445358 /nfs/dbraw/zinc/44/53/58/1133445358.db2.gz YMKBPKCENURJLX-UHFFFAOYSA-N 1 2 293.288 3.943 20 0 CHADLO CC(C)C[N@H+](Cc1nn(C)cc1Cl)Cc1ccccc1 ZINC001204430040 1133446500 /nfs/dbraw/zinc/44/65/00/1133446500.db2.gz GJFYNBKSTWYDKH-UHFFFAOYSA-N 1 2 291.826 3.732 20 0 CHADLO CC(C)C[N@@H+](Cc1nn(C)cc1Cl)Cc1ccccc1 ZINC001204430040 1133446504 /nfs/dbraw/zinc/44/65/04/1133446504.db2.gz GJFYNBKSTWYDKH-UHFFFAOYSA-N 1 2 291.826 3.732 20 0 CHADLO COc1cccc([C@H]2CCCC[N@@H+]2Cc2nccs2)c1 ZINC001204547365 1133460697 /nfs/dbraw/zinc/46/06/97/1133460697.db2.gz CJBGNWUYCOYHPZ-OAHLLOKOSA-N 1 2 288.416 3.879 20 0 CHADLO COc1cccc([C@H]2CCCC[N@H+]2Cc2nccs2)c1 ZINC001204547365 1133460700 /nfs/dbraw/zinc/46/07/00/1133460700.db2.gz CJBGNWUYCOYHPZ-OAHLLOKOSA-N 1 2 288.416 3.879 20 0 CHADLO COc1c(Cl)cc(-c2ccc(N)[nH+]c2)cc1Cl ZINC001204830292 1133490464 /nfs/dbraw/zinc/49/04/64/1133490464.db2.gz NOBZHQQXTACDRA-UHFFFAOYSA-N 1 2 269.131 3.646 20 0 CHADLO C[N@H+](Cc1ccc(N)nc1)Cc1ccc(Cl)cc1Cl ZINC001204870109 1133493872 /nfs/dbraw/zinc/49/38/72/1133493872.db2.gz PIDKAEHBBFZNHF-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO C[N@@H+](Cc1ccc(N)nc1)Cc1ccc(Cl)cc1Cl ZINC001204870109 1133493875 /nfs/dbraw/zinc/49/38/75/1133493875.db2.gz PIDKAEHBBFZNHF-UHFFFAOYSA-N 1 2 296.201 3.603 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc2c(c1)c(C)nn2C ZINC001204865891 1133494122 /nfs/dbraw/zinc/49/41/22/1133494122.db2.gz JXGFSSQRYFGHTR-UHFFFAOYSA-N 1 2 280.375 3.891 20 0 CHADLO Cc1cc2cc(Nc3[nH+]cccc3N3CCCC3)cnc2[nH]1 ZINC001204911412 1133499001 /nfs/dbraw/zinc/49/90/01/1133499001.db2.gz PVPWCVQNACQWQV-UHFFFAOYSA-N 1 2 293.374 3.610 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnc2[nH]c(C)cc2c1 ZINC001204920775 1133500406 /nfs/dbraw/zinc/50/04/06/1133500406.db2.gz PHGSKZCQTLXWEZ-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO COc1ccc(C[N@H+](Cc2ccco2)C2CC2)c(F)c1 ZINC001204933629 1133502725 /nfs/dbraw/zinc/50/27/25/1133502725.db2.gz DRHPGSSXSUARPG-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO COc1ccc(C[N@@H+](Cc2ccco2)C2CC2)c(F)c1 ZINC001204933629 1133502729 /nfs/dbraw/zinc/50/27/29/1133502729.db2.gz DRHPGSSXSUARPG-UHFFFAOYSA-N 1 2 275.323 3.592 20 0 CHADLO Clc1ccc2oc(Nc3ccn4cc[nH+]c4c3)nc2c1 ZINC001204944222 1133504886 /nfs/dbraw/zinc/50/48/86/1133504886.db2.gz VPBPWWNDBISWNU-UHFFFAOYSA-N 1 2 284.706 3.873 20 0 CHADLO Cc1cccc2sc(Nc3ccn4cc[nH+]c4c3)nc21 ZINC001204951214 1133505656 /nfs/dbraw/zinc/50/56/56/1133505656.db2.gz PAZZGNKDEZDQMG-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO Cc1nc2ccc(Nc3ccn4cc[nH+]c4c3)cc2o1 ZINC001204978605 1133511542 /nfs/dbraw/zinc/51/15/42/1133511542.db2.gz WDFJZYWJIWWGRG-UHFFFAOYSA-N 1 2 264.288 3.528 20 0 CHADLO CCOC(=O)c1ccc(Nc2ccn3cc[nH+]c3c2)c(C)c1 ZINC001204978256 1133511909 /nfs/dbraw/zinc/51/19/09/1133511909.db2.gz RNRAWXITQQOHLK-UHFFFAOYSA-N 1 2 295.342 3.563 20 0 CHADLO Cc1c(C)c(-c2ccc(-c3[nH]cc[nH+]3)cc2)ccc1CO ZINC001204984438 1133512581 /nfs/dbraw/zinc/51/25/81/1133512581.db2.gz YEXYKHDZIDDBMD-UHFFFAOYSA-N 1 2 278.355 3.853 20 0 CHADLO CCOC(=O)c1cccc(Nc2ccn3cc[nH+]c3c2)c1C ZINC001204982773 1133512715 /nfs/dbraw/zinc/51/27/15/1133512715.db2.gz IJQROHRMOPWFDZ-UHFFFAOYSA-N 1 2 295.342 3.563 20 0 CHADLO COc1ccc2cc(Nc3ccn4cc[nH+]c4c3)ccc2n1 ZINC001204983087 1133512721 /nfs/dbraw/zinc/51/27/21/1133512721.db2.gz PFGOIVASNRYJQV-UHFFFAOYSA-N 1 2 290.326 3.635 20 0 CHADLO Cc1c(Cl)cc(N)cc1Nc1ccn2cc[nH+]c2c1 ZINC001204990727 1133513816 /nfs/dbraw/zinc/51/38/16/1133513816.db2.gz FLSUUXKDNRGTQE-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO Fc1cccc(N2CCCC2)c1Nc1ccn2cc[nH+]c2c1 ZINC001204998333 1133515540 /nfs/dbraw/zinc/51/55/40/1133515540.db2.gz WPCZPFXWUMGREK-UHFFFAOYSA-N 1 2 296.349 3.817 20 0 CHADLO CC(=O)c1cccc(Cl)c1Nc1ccn2cc[nH+]c2c1 ZINC001205006197 1133516534 /nfs/dbraw/zinc/51/65/34/1133516534.db2.gz VCTYNPYGFFPXGR-UHFFFAOYSA-N 1 2 285.734 3.934 20 0 CHADLO Cc1cccc(C[N@@H+]2CCCCC(F)(F)C2)c1C ZINC001205060865 1133522590 /nfs/dbraw/zinc/52/25/90/1133522590.db2.gz UTUIWHRYEKWTNU-UHFFFAOYSA-N 1 2 253.336 3.925 20 0 CHADLO Cc1cccc(C[N@H+]2CCCCC(F)(F)C2)c1C ZINC001205060865 1133522595 /nfs/dbraw/zinc/52/25/95/1133522595.db2.gz UTUIWHRYEKWTNU-UHFFFAOYSA-N 1 2 253.336 3.925 20 0 CHADLO Oc1ccc(-c2ccn3cc[nH+]c3c2)c(C(F)(F)F)c1 ZINC001205087060 1133526794 /nfs/dbraw/zinc/52/67/94/1133526794.db2.gz KMJYFBJDYHHOKU-UHFFFAOYSA-N 1 2 278.233 3.726 20 0 CHADLO COc1cc(Cl)cc(-c2cccc3[nH+]c(C)cn32)c1 ZINC001205129517 1133534200 /nfs/dbraw/zinc/53/42/00/1133534200.db2.gz JYMITWNCERRVFB-UHFFFAOYSA-N 1 2 272.735 3.972 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@@H+](Cc1ccc(F)c(C)c1)CC2 ZINC001205192662 1133544350 /nfs/dbraw/zinc/54/43/50/1133544350.db2.gz AWWCEBBYDVPRKT-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO CC(=O)c1ccc2c(c1)C[N@H+](Cc1ccc(F)c(C)c1)CC2 ZINC001205192662 1133544355 /nfs/dbraw/zinc/54/43/55/1133544355.db2.gz AWWCEBBYDVPRKT-UHFFFAOYSA-N 1 2 297.373 3.895 20 0 CHADLO Oc1ccc2c(c1)CC[N@@H+](Cc1sccc1Cl)C2 ZINC001205319606 1133560322 /nfs/dbraw/zinc/56/03/22/1133560322.db2.gz JMDPWPOQAQTIKD-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO Oc1ccc2c(c1)CC[N@H+](Cc1sccc1Cl)C2 ZINC001205319606 1133560324 /nfs/dbraw/zinc/56/03/24/1133560324.db2.gz JMDPWPOQAQTIKD-UHFFFAOYSA-N 1 2 279.792 3.665 20 0 CHADLO CCOC(=O)[C@@H](C)[N@H+](Cc1ccc(Cl)c(C)c1)C1CC1 ZINC001205428007 1133575792 /nfs/dbraw/zinc/57/57/92/1133575792.db2.gz ZCDVJIXODLAIRC-GFCCVEGCSA-N 1 2 295.810 3.564 20 0 CHADLO CCOC(=O)[C@@H](C)[N@@H+](Cc1ccc(Cl)c(C)c1)C1CC1 ZINC001205428007 1133575797 /nfs/dbraw/zinc/57/57/97/1133575797.db2.gz ZCDVJIXODLAIRC-GFCCVEGCSA-N 1 2 295.810 3.564 20 0 CHADLO COCOc1cc(C)ccc1Nc1cc[nH+]c(SC)c1 ZINC001205460566 1133578921 /nfs/dbraw/zinc/57/89/21/1133578921.db2.gz NHHMPSVAAWVFMN-UHFFFAOYSA-N 1 2 290.388 3.838 20 0 CHADLO Fc1ccc(-c2c[nH+]c3c(c2)CCCN3)c(Cl)c1F ZINC001205726972 1133607087 /nfs/dbraw/zinc/60/70/87/1133607087.db2.gz MRFOVBCAFTXXCY-UHFFFAOYSA-N 1 2 280.705 3.681 20 0 CHADLO Cc1cc(-c2ccc(F)c(F)c2OC(C)C)c[nH+]c1N ZINC001205862031 1133624278 /nfs/dbraw/zinc/62/42/78/1133624278.db2.gz RTGFYVNAGQYQIS-UHFFFAOYSA-N 1 2 278.302 3.705 20 0 CHADLO Cc1cc(C)c(NC(=O)N(CC(F)F)C2CCC2)c(C)[nH+]1 ZINC001205908749 1133629698 /nfs/dbraw/zinc/62/96/98/1133629698.db2.gz YMBTVPLVKPIYPU-UHFFFAOYSA-N 1 2 297.349 3.658 20 0 CHADLO Cc1c[nH]c2ncc(Nc3ccc(C)[nH+]c3C)cc12 ZINC001206017263 1133642390 /nfs/dbraw/zinc/64/23/90/1133642390.db2.gz XMSPPSMVKXSHQH-UHFFFAOYSA-N 1 2 252.321 3.627 20 0 CHADLO Cc1cc(O)cc(-c2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001206247775 1133676048 /nfs/dbraw/zinc/67/60/48/1133676048.db2.gz HWSNVVJSRJZBCW-UHFFFAOYSA-N 1 2 250.301 3.758 20 0 CHADLO CC[C@@H]1C[NH+](C/C=C\c2ccc(F)cc2F)C[C@@H](CC)O1 ZINC001206351421 1133693489 /nfs/dbraw/zinc/69/34/89/1133693489.db2.gz VCLPTWLAJQPWCB-ILNYKDOHSA-N 1 2 295.373 3.867 20 0 CHADLO CC(C)[C@H]1CCC[C@@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC001206377214 1133695661 /nfs/dbraw/zinc/69/56/61/1133695661.db2.gz QIQSOUIGJLTDHR-CVEARBPZSA-N 1 2 273.424 3.918 20 0 CHADLO C[C@H](CC(C)(C)C)Nc1ccc(N2CCCC2)c[nH+]1 ZINC001206376434 1133695782 /nfs/dbraw/zinc/69/57/82/1133695782.db2.gz GUELTAKTXVASQV-CYBMUJFWSA-N 1 2 261.413 3.918 20 0 CHADLO C[C@@H](CC(C)(C)C)Nc1ccc(N2CCCC2)c[nH+]1 ZINC001206376435 1133695792 /nfs/dbraw/zinc/69/57/92/1133695792.db2.gz GUELTAKTXVASQV-ZDUSSCGKSA-N 1 2 261.413 3.918 20 0 CHADLO CC[C@H]([NH2+][C@H](C)Cc1ccc(C)cc1)C(=O)OC(C)(C)C ZINC001206400171 1133698757 /nfs/dbraw/zinc/69/87/57/1133698757.db2.gz GMIKLJOSERISAX-ZBFHGGJFSA-N 1 2 291.435 3.636 20 0 CHADLO c1cc2cc(NC[C@H]3CCc4ccccc4C3)[nH+]cc2[nH]1 ZINC001206477615 1133708290 /nfs/dbraw/zinc/70/82/90/1133708290.db2.gz PWTWUBLZBBLXGL-ZDUSSCGKSA-N 1 2 277.371 3.780 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cccnc3OC(C)(C)C)ccc21 ZINC001206501460 1133712132 /nfs/dbraw/zinc/71/21/32/1133712132.db2.gz XPJPDAUXJICQPT-UHFFFAOYSA-N 1 2 296.374 3.889 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@@H+]1Cc1ccncc1F ZINC001206785795 1133748190 /nfs/dbraw/zinc/74/81/90/1133748190.db2.gz MOZGEOISLOSXAP-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1ccccc1[C@@H]1CCC[N@H+]1Cc1ccncc1F ZINC001206785795 1133748195 /nfs/dbraw/zinc/74/81/95/1133748195.db2.gz MOZGEOISLOSXAP-INIZCTEOSA-N 1 2 274.314 3.697 20 0 CHADLO Clc1ccccc1C1CC[NH+](Cc2ncccn2)CC1 ZINC001207016064 1133760575 /nfs/dbraw/zinc/76/05/75/1133760575.db2.gz PUZORTFHDJFDSI-UHFFFAOYSA-N 1 2 287.794 3.510 20 0 CHADLO CN(CCc1ccc(Cl)cc1)Cc1[nH+]cc2ccccn21 ZINC001207053408 1133763728 /nfs/dbraw/zinc/76/37/28/1133763728.db2.gz QXUHXHJLNSIZDL-UHFFFAOYSA-N 1 2 299.805 3.662 20 0 CHADLO Clc1ccc2c(c1)C[N@@H+](CCOCc1ccccc1)C2 ZINC001207236442 1133781700 /nfs/dbraw/zinc/78/17/00/1133781700.db2.gz IXWQWEXEFOJZKN-UHFFFAOYSA-N 1 2 287.790 3.872 20 0 CHADLO Clc1ccc2c(c1)C[N@H+](CCOCc1ccccc1)C2 ZINC001207236442 1133781704 /nfs/dbraw/zinc/78/17/04/1133781704.db2.gz IXWQWEXEFOJZKN-UHFFFAOYSA-N 1 2 287.790 3.872 20 0 CHADLO COC[C@H](C)CNc1[nH+]c2ccccc2n1C1CCCC1 ZINC001207873307 1133856781 /nfs/dbraw/zinc/85/67/81/1133856781.db2.gz QNXAICFVPQJXEF-CYBMUJFWSA-N 1 2 287.407 3.846 20 0 CHADLO CC/C=C\CCCN1CC[C@@H]([NH+]2CCCC2)C(F)(F)C1 ZINC001208138350 1133884572 /nfs/dbraw/zinc/88/45/72/1133884572.db2.gz OEQROFSHSHUNSR-ABCZVMIZSA-N 1 2 286.410 3.538 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](C[C@@H]1CCC(C)=C[C@@H]1C)C2 ZINC001208168730 1133894727 /nfs/dbraw/zinc/89/47/27/1133894727.db2.gz LEQKITOCOYTJRR-AAEUAGOBSA-N 1 2 262.422 3.760 20 0 CHADLO Cc1nc2c(s1)C[N@H+](C[C@@H]1CCC(C)=C[C@@H]1C)C2 ZINC001208168730 1133894730 /nfs/dbraw/zinc/89/47/30/1133894730.db2.gz LEQKITOCOYTJRR-AAEUAGOBSA-N 1 2 262.422 3.760 20 0 CHADLO COC(C)(C)CCC[C@H](C)CC[NH+]1CC(C)(F)C1 ZINC001208209230 1133906985 /nfs/dbraw/zinc/90/69/85/1133906985.db2.gz LGFHMCJIJFLRHA-ZDUSSCGKSA-N 1 2 259.409 3.652 20 0 CHADLO COC(C)(C)CCC[C@@H](C)CC[NH+]1CC(C)(F)C1 ZINC001208209229 1133907651 /nfs/dbraw/zinc/90/76/51/1133907651.db2.gz LGFHMCJIJFLRHA-CYBMUJFWSA-N 1 2 259.409 3.652 20 0 CHADLO Cc1nc2c(s1)C[N@H+](CC1(C)CCCCC1)C2 ZINC001208902895 1133960215 /nfs/dbraw/zinc/96/02/15/1133960215.db2.gz MXQBBRGMGYIMNT-UHFFFAOYSA-N 1 2 250.411 3.738 20 0 CHADLO Cc1nc2c(s1)C[N@@H+](CC1(C)CCCCC1)C2 ZINC001208902895 1133960219 /nfs/dbraw/zinc/96/02/19/1133960219.db2.gz MXQBBRGMGYIMNT-UHFFFAOYSA-N 1 2 250.411 3.738 20 0 CHADLO C[C@]1(F)CC[N@H+](CCc2ccc(F)cc2Cl)C[C@@H]1F ZINC001209109906 1133976345 /nfs/dbraw/zinc/97/63/45/1133976345.db2.gz ZFGPJSADUUBJDP-KBPBESRZSA-N 1 2 291.744 3.794 20 0 CHADLO C[C@]1(F)CC[N@@H+](CCc2ccc(F)cc2Cl)C[C@@H]1F ZINC001209109906 1133976349 /nfs/dbraw/zinc/97/63/49/1133976349.db2.gz ZFGPJSADUUBJDP-KBPBESRZSA-N 1 2 291.744 3.794 20 0 CHADLO C[C@H]1C[C@@H]1C[N@H+]1Cc2nc(-c3ccccc3)sc2C1 ZINC001209425331 1134014464 /nfs/dbraw/zinc/01/44/64/1134014464.db2.gz FBYRUUZJIHEWJH-WCQYABFASA-N 1 2 270.401 3.782 20 0 CHADLO C[C@H]1C[C@@H]1C[N@@H+]1Cc2nc(-c3ccccc3)sc2C1 ZINC001209425331 1134014472 /nfs/dbraw/zinc/01/44/72/1134014472.db2.gz FBYRUUZJIHEWJH-WCQYABFASA-N 1 2 270.401 3.782 20 0 CHADLO Cc1ccc2[nH]cc(C[N@@H+]3CCC(F)(F)[C@H](F)C3)c2c1 ZINC001209491944 1134028383 /nfs/dbraw/zinc/02/83/83/1134028383.db2.gz ZENUUHVUABFLHF-CQSZACIVSA-N 1 2 282.309 3.655 20 0 CHADLO Cc1ccc2[nH]cc(C[N@H+]3CCC(F)(F)[C@H](F)C3)c2c1 ZINC001209491944 1134028387 /nfs/dbraw/zinc/02/83/87/1134028387.db2.gz ZENUUHVUABFLHF-CQSZACIVSA-N 1 2 282.309 3.655 20 0 CHADLO Cc1cccc2c(C[N@@H+]3CCn4cccc4[C@@H]3C)c[nH]c21 ZINC001209488579 1134028622 /nfs/dbraw/zinc/02/86/22/1134028622.db2.gz YJDXIUKUYMZTCI-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cc1cccc2c(C[N@H+]3CCn4cccc4[C@@H]3C)c[nH]c21 ZINC001209488579 1134028626 /nfs/dbraw/zinc/02/86/26/1134028626.db2.gz YJDXIUKUYMZTCI-AWEZNQCLSA-N 1 2 279.387 3.855 20 0 CHADLO Cn1nc2ccccc2c1C[N@H+](C)Cc1ccccc1Cl ZINC001209536907 1134034225 /nfs/dbraw/zinc/03/42/25/1134034225.db2.gz OYBMHUNDVWRNNT-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO Cn1nc2ccccc2c1C[N@@H+](C)Cc1ccccc1Cl ZINC001209536907 1134034232 /nfs/dbraw/zinc/03/42/32/1134034232.db2.gz OYBMHUNDVWRNNT-UHFFFAOYSA-N 1 2 299.805 3.859 20 0 CHADLO c1ncc(C[N@H+](Cc2ccccc2)Cc2cccnc2)s1 ZINC001209549418 1134037375 /nfs/dbraw/zinc/03/73/75/1134037375.db2.gz SGEZLSMUWYFSCC-UHFFFAOYSA-N 1 2 295.411 3.741 20 0 CHADLO c1ncc(C[N@@H+](Cc2ccccc2)Cc2cccnc2)s1 ZINC001209549418 1134037378 /nfs/dbraw/zinc/03/73/78/1134037378.db2.gz SGEZLSMUWYFSCC-UHFFFAOYSA-N 1 2 295.411 3.741 20 0 CHADLO CCCCOC1C[NH+](Cc2cccc3[nH]cc(Cl)c32)C1 ZINC001209655615 1134046069 /nfs/dbraw/zinc/04/60/69/1134046069.db2.gz WAOHVANHGIACOJ-UHFFFAOYSA-N 1 2 292.810 3.822 20 0 CHADLO CC(C)c1cccc(Nc2ccc3c(c2)OCCN3)[nH+]1 ZINC001209704958 1134051266 /nfs/dbraw/zinc/05/12/66/1134051266.db2.gz LKKKWVYWUVCIGK-UHFFFAOYSA-N 1 2 269.348 3.753 20 0 CHADLO Clc1ccc2n[nH]c(C[N@@H+]3CCc4ccccc4C3)c2c1 ZINC001209751743 1134057326 /nfs/dbraw/zinc/05/73/26/1134057326.db2.gz WVSGHNXFIBTITA-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc2n[nH]c(C[N@H+]3CCc4ccccc4C3)c2c1 ZINC001209751743 1134057334 /nfs/dbraw/zinc/05/73/34/1134057334.db2.gz WVSGHNXFIBTITA-UHFFFAOYSA-N 1 2 297.789 3.775 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@@H+]2Cc2cnccn2)s1 ZINC001209785277 1134064276 /nfs/dbraw/zinc/06/42/76/1134064276.db2.gz IUGKJRQVCIFJFT-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccc([C@@H]2CCC[N@H+]2Cc2cnccn2)s1 ZINC001209785277 1134064282 /nfs/dbraw/zinc/06/42/82/1134064282.db2.gz IUGKJRQVCIFJFT-NSHDSACASA-N 1 2 279.796 3.529 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(OC)ccc1C ZINC001209839679 1134076382 /nfs/dbraw/zinc/07/63/82/1134076382.db2.gz VYGJFVLSZIWJAJ-UHFFFAOYSA-N 1 2 258.321 3.541 20 0 CHADLO c1[nH]c2ccc(Nc3cc(Cc4ccccc4)no3)cc2[nH+]1 ZINC001209841451 1134078210 /nfs/dbraw/zinc/07/82/10/1134078210.db2.gz PKTWICTVEVRMSL-UHFFFAOYSA-N 1 2 290.326 3.885 20 0 CHADLO c1[nH]c2ccc(Nc3csc(-c4ccncc4)n3)cc2[nH+]1 ZINC001209847502 1134080118 /nfs/dbraw/zinc/08/01/18/1134080118.db2.gz FALRRKZOVWSFJL-UHFFFAOYSA-N 1 2 293.355 3.825 20 0 CHADLO CCCOc1ccc(Nc2[nH+]c(C)ccc2O)cc1 ZINC001209868278 1134090488 /nfs/dbraw/zinc/09/04/88/1134090488.db2.gz SOUQUQHXOVRATO-UHFFFAOYSA-N 1 2 258.321 3.628 20 0 CHADLO Cc1cc[nH+]c(C(C)C)c1Nc1ccc(F)c(CO)c1 ZINC001209879157 1134093411 /nfs/dbraw/zinc/09/34/11/1134093411.db2.gz LUZOKHGYUOIHPR-UHFFFAOYSA-N 1 2 274.339 3.888 20 0 CHADLO CCOc1ccc(Nc2c[nH+]ccc2OC)c(Cl)c1 ZINC001209893082 1134096720 /nfs/dbraw/zinc/09/67/20/1134096720.db2.gz CDKVIRNMFMDWCE-UHFFFAOYSA-N 1 2 278.739 3.886 20 0 CHADLO CO[C@@H](C)c1cccc(Nc2[nH+]c(C)ccc2O)c1 ZINC001209945143 1134105726 /nfs/dbraw/zinc/10/57/26/1134105726.db2.gz AYFBNTOUSOTPOY-NSHDSACASA-N 1 2 258.321 3.547 20 0 CHADLO CN(C)c1ccccc1Nc1[nH+]cccc1N1CCCCC1 ZINC001209990505 1134117422 /nfs/dbraw/zinc/11/74/22/1134117422.db2.gz AQNPBDCUOQXORX-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO CCc1cccc(Nc2ccc3c(c2)OCCCO3)[nH+]1 ZINC001209999605 1134119919 /nfs/dbraw/zinc/11/99/19/1134119919.db2.gz OUUDPVOSNAZHQZ-UHFFFAOYSA-N 1 2 270.332 3.549 20 0 CHADLO COc1cc(NC2=CCC(F)(F)CC2)cc(C)[nH+]1 ZINC001210031140 1134127621 /nfs/dbraw/zinc/12/76/21/1134127621.db2.gz LKGPHQFJVDREFQ-UHFFFAOYSA-N 1 2 254.280 3.514 20 0 CHADLO FC1(F)CC=C(Nc2ccc(-n3cc[nH+]c3)cc2)CC1 ZINC001210032183 1134128308 /nfs/dbraw/zinc/12/83/08/1134128308.db2.gz HIMURSZIOXALJR-UHFFFAOYSA-N 1 2 275.302 3.987 20 0 CHADLO FC1(F)CC=C(Nc2ccc(N3CCCC3)[nH+]c2)CC1 ZINC001210032242 1134128345 /nfs/dbraw/zinc/12/83/45/1134128345.db2.gz JXPSOACGURXOBU-UHFFFAOYSA-N 1 2 279.334 3.797 20 0 CHADLO Cc1cc(Nc2c(C)cccc2N)ccc1[NH+](C)C ZINC001210042974 1134132525 /nfs/dbraw/zinc/13/25/25/1134132525.db2.gz GTRUWGPPENFALG-UHFFFAOYSA-N 1 2 255.365 3.695 20 0 CHADLO Cc1cc(Nc2ccc3c(c2)N(C)CC3)ccc1[NH+](C)C ZINC001210044456 1134132799 /nfs/dbraw/zinc/13/27/99/1134132799.db2.gz QHOCAYRQEOYWKJ-UHFFFAOYSA-N 1 2 281.403 3.797 20 0 CHADLO c1coc(-c2nnc(Nc3ccc4c[nH+]ccc4c3)o2)c1 ZINC001210044995 1134133106 /nfs/dbraw/zinc/13/31/06/1134133106.db2.gz TZSOVDRAKURUIW-UHFFFAOYSA-N 1 2 278.271 3.621 20 0 CHADLO Cc1cc(Nc2ccc(C3OCCO3)cc2)ccc1[NH+](C)C ZINC001210044283 1134133467 /nfs/dbraw/zinc/13/34/67/1134133467.db2.gz WKWJBHQVSDOZPE-UHFFFAOYSA-N 1 2 298.386 3.850 20 0 CHADLO Cc1cn2cccc(Nc3cc(F)c(C)c(F)c3)c2[nH+]1 ZINC001210105550 1134149318 /nfs/dbraw/zinc/14/93/18/1134149318.db2.gz BZMFCJLMAMVEDN-UHFFFAOYSA-N 1 2 273.286 3.973 20 0 CHADLO Oc1c(F)cccc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001210196427 1134163479 /nfs/dbraw/zinc/16/34/79/1134163479.db2.gz SUQREQBIKSEIGY-UHFFFAOYSA-N 1 2 287.338 3.660 20 0 CHADLO Oc1c(F)cccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001210198552 1134164435 /nfs/dbraw/zinc/16/44/35/1134164435.db2.gz YDXCTUYAAVWVOJ-UHFFFAOYSA-N 1 2 283.306 3.520 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cccc2cc[nH]c21 ZINC001210210512 1134166864 /nfs/dbraw/zinc/16/68/64/1134166864.db2.gz WYOGCCSVSBBZTD-UHFFFAOYSA-N 1 2 253.305 3.705 20 0 CHADLO Oc1c(Cl)cccc1Nc1ccc(-n2cc[nH+]c2)cc1 ZINC001210220212 1134169059 /nfs/dbraw/zinc/16/90/59/1134169059.db2.gz OXDROLITEAUYGW-UHFFFAOYSA-N 1 2 285.734 3.975 20 0 CHADLO O=C1CCCc2nc(Nc3cccc4cc[nH+]cc43)sc21 ZINC001210223785 1134171305 /nfs/dbraw/zinc/17/13/05/1134171305.db2.gz GZAHQRXTARZUGR-UHFFFAOYSA-N 1 2 295.367 3.954 20 0 CHADLO Fc1cnc(F)c(F)c1Nc1cccc2cc[nH+]cc21 ZINC001210223966 1134171949 /nfs/dbraw/zinc/17/19/49/1134171949.db2.gz LWLGESPOTADRIT-UHFFFAOYSA-N 1 2 275.233 3.791 20 0 CHADLO CC(C)Cn1cnc(Nc2cccc3cc[nH+]cc32)c1 ZINC001210225425 1134172415 /nfs/dbraw/zinc/17/24/15/1134172415.db2.gz GMYSGRIWFMCFMX-UHFFFAOYSA-N 1 2 266.348 3.831 20 0 CHADLO Oc1c(F)cc(Nc2cccc3cc[nH+]cc32)cc1F ZINC001210230512 1134172443 /nfs/dbraw/zinc/17/24/43/1134172443.db2.gz INMOOSLJUMWEKB-UHFFFAOYSA-N 1 2 272.254 3.962 20 0 CHADLO OCc1cccc(Nc2cccc3cc[nH+]cc32)c1F ZINC001210232081 1134173933 /nfs/dbraw/zinc/17/39/33/1134173933.db2.gz ZASXVJXGRXNKDH-UHFFFAOYSA-N 1 2 268.291 3.610 20 0 CHADLO Cc1ccc(Nc2[nH+]ccc3ccccc32)cc1CO ZINC001210246681 1134177092 /nfs/dbraw/zinc/17/70/92/1134177092.db2.gz WZQWKKRTSFJFMK-UHFFFAOYSA-N 1 2 264.328 3.779 20 0 CHADLO Cc1cc(Nc2c[nH+]c(C)c(N)c2)c2cccc(F)c2n1 ZINC001210286814 1134185235 /nfs/dbraw/zinc/18/52/35/1134185235.db2.gz CJFPNPNVANHTFW-UHFFFAOYSA-N 1 2 282.322 3.712 20 0 CHADLO Cc1[nH+]cc(Nc2ccc(Br)cc2F)cc1N ZINC001210287721 1134185579 /nfs/dbraw/zinc/18/55/79/1134185579.db2.gz BKTWFFVSGFIBFW-UHFFFAOYSA-N 1 2 296.143 3.617 20 0 CHADLO CCOc1ccc(OCC)c(Nc2c[nH+]c(C)c(N)c2)c1 ZINC001210288988 1134186154 /nfs/dbraw/zinc/18/61/54/1134186154.db2.gz ZDFHWJDYTHZRPW-UHFFFAOYSA-N 1 2 287.363 3.513 20 0 CHADLO Cc1cnn(-c2ccccc2C)c1Nc1c[nH+]c(C)c(N)c1 ZINC001210288164 1134186474 /nfs/dbraw/zinc/18/64/74/1134186474.db2.gz CGLWPFGDGDLMOQ-UHFFFAOYSA-N 1 2 293.374 3.518 20 0 CHADLO Cc1[nH+]cc(Nc2cc(Cl)c(F)cc2F)cc1N ZINC001210290856 1134186479 /nfs/dbraw/zinc/18/64/79/1134186479.db2.gz ROMPXKUIUAXATR-UHFFFAOYSA-N 1 2 269.682 3.647 20 0 CHADLO Cc1ccc(OC(C)C)cc1Nc1c[nH+]c(C)c(N)c1 ZINC001210289559 1134186536 /nfs/dbraw/zinc/18/65/36/1134186536.db2.gz RIWTWVPQWUCBBN-UHFFFAOYSA-N 1 2 271.364 3.811 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(-c3cccnc3)c2)cc1N ZINC001210288571 1134186721 /nfs/dbraw/zinc/18/67/21/1134186721.db2.gz PPUAJHUQXVUCOR-UHFFFAOYSA-N 1 2 276.343 3.778 20 0 CHADLO Cc1[nH+]cc(Nc2ccc3c(ccnc3Cl)c2)cc1N ZINC001210290730 1134187056 /nfs/dbraw/zinc/18/70/56/1134187056.db2.gz FFJHUKVFFBGBKM-UHFFFAOYSA-N 1 2 284.750 3.917 20 0 CHADLO Cc1[nH+]cc(Nc2cccc(OC(C)(C)C)c2)cc1N ZINC001210293637 1134187425 /nfs/dbraw/zinc/18/74/25/1134187425.db2.gz NHHXNKDUDDBNOQ-UHFFFAOYSA-N 1 2 271.364 3.893 20 0 CHADLO CC(=O)c1ccc(Nc2c[nH+]c(C)c(N)c2)c(Cl)c1 ZINC001210292046 1134187988 /nfs/dbraw/zinc/18/79/88/1134187988.db2.gz ZJMCSXQKQNFGEH-UHFFFAOYSA-N 1 2 275.739 3.572 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2cccc3c2OCCO3)cc1 ZINC001210371575 1134201155 /nfs/dbraw/zinc/20/11/55/1134201155.db2.gz RRNNGVVRVBQJKS-UHFFFAOYSA-N 1 2 284.359 3.658 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2cccc3c2OCCO3)cc1 ZINC001210371575 1134201162 /nfs/dbraw/zinc/20/11/62/1134201162.db2.gz RRNNGVVRVBQJKS-UHFFFAOYSA-N 1 2 284.359 3.658 20 0 CHADLO COCCCn1cc(Nc2ccc3c(C)cc[nH+]c3c2)cn1 ZINC001210423860 1134211974 /nfs/dbraw/zinc/21/19/74/1134211974.db2.gz SLVLVLAOTJGPHA-UHFFFAOYSA-N 1 2 296.374 3.520 20 0 CHADLO COCOc1ccc(Nc2cccc(C3CC3)[nH+]2)c(C)c1 ZINC001210492293 1134227814 /nfs/dbraw/zinc/22/78/14/1134227814.db2.gz WRQKNJKJAPDJLK-UHFFFAOYSA-N 1 2 284.359 3.994 20 0 CHADLO C[C@H](O)c1cccc(Nc2ccccc2-n2cc[nH+]c2)c1 ZINC001210508955 1134234060 /nfs/dbraw/zinc/23/40/60/1134234060.db2.gz QQOLMVREUPCGSZ-ZDUSSCGKSA-N 1 2 279.343 3.669 20 0 CHADLO CC(C)n1nccc1Nc1cc(Cl)c2[nH+]ccn2c1 ZINC001210517324 1134237379 /nfs/dbraw/zinc/23/73/79/1134237379.db2.gz UVMBTEPAJONXHM-UHFFFAOYSA-N 1 2 275.743 3.509 20 0 CHADLO COc1cc(F)c(F)cc1Nc1cccc2[nH+]c(C)cn21 ZINC001210543289 1134243503 /nfs/dbraw/zinc/24/35/03/1134243503.db2.gz FOMACDMKYOPMLU-UHFFFAOYSA-N 1 2 289.285 3.673 20 0 CHADLO Cc1cn2cccc(Nc3ccc4ocnc4c3)c2[nH+]1 ZINC001210570243 1134251784 /nfs/dbraw/zinc/25/17/84/1134251784.db2.gz CPTRJJVBHZHCIP-UHFFFAOYSA-N 1 2 264.288 3.528 20 0 CHADLO CC(C)(O)c1ccc(Nc2ccc[nH+]c2N2CCCC2)cc1 ZINC001210617572 1134261490 /nfs/dbraw/zinc/26/14/90/1134261490.db2.gz SVHBIVRDPINKNM-UHFFFAOYSA-N 1 2 297.402 3.653 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cccnc1OC(F)F ZINC001210626243 1134263340 /nfs/dbraw/zinc/26/33/40/1134263340.db2.gz IXZDUCXYJXHCJZ-UHFFFAOYSA-N 1 2 279.290 3.692 20 0 CHADLO Cc1ccc(CO)cc1Nc1cccc(C2CCC2)[nH+]1 ZINC001210677236 1134272393 /nfs/dbraw/zinc/27/23/93/1134272393.db2.gz ICZURTPJHSKSCN-UHFFFAOYSA-N 1 2 268.360 3.893 20 0 CHADLO Cc1cn2c(cccc2Nc2cnc3sccc3c2)[nH+]1 ZINC001210687736 1134274916 /nfs/dbraw/zinc/27/49/16/1134274916.db2.gz JMHRMCXCOSORSJ-UHFFFAOYSA-N 1 2 280.356 3.996 20 0 CHADLO CCc1cc(OC)ccc1Nc1cccc2[nH+]ccn21 ZINC001210711099 1134277529 /nfs/dbraw/zinc/27/75/29/1134277529.db2.gz JPNKCJJSENYLDV-UHFFFAOYSA-N 1 2 267.332 3.649 20 0 CHADLO CCc1cc(OC)ccc1Nc1cccn2cc(C)[nH+]c12 ZINC001210711664 1134277882 /nfs/dbraw/zinc/27/78/82/1134277882.db2.gz RGHNTZIQSIMQKI-UHFFFAOYSA-N 1 2 281.359 3.957 20 0 CHADLO COc1cc(Nc2ccc3cc(C)ccc3n2)cc(C)[nH+]1 ZINC001210775854 1134293497 /nfs/dbraw/zinc/29/34/97/1134293497.db2.gz JOPWFUYZJZMQEN-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COc1cc(Nc2csc(-c3ccncc3)n2)cc(C)[nH+]1 ZINC001210780383 1134295728 /nfs/dbraw/zinc/29/57/28/1134295728.db2.gz QUJBEOSLZNIQTE-UHFFFAOYSA-N 1 2 298.371 3.661 20 0 CHADLO Cc1cccc(Nc2cc(O)cc(C(F)(F)F)c2)[nH+]1 ZINC001210823113 1134305492 /nfs/dbraw/zinc/30/54/92/1134305492.db2.gz QGTOSUAHCGKZDA-UHFFFAOYSA-N 1 2 268.238 3.858 20 0 CHADLO CN(C)c1ccc[nH+]c1Nc1ccccc1N1CCCCC1 ZINC001210960733 1134339751 /nfs/dbraw/zinc/33/97/51/1134339751.db2.gz PEOWZOSVHDVIOM-UHFFFAOYSA-N 1 2 296.418 3.882 20 0 CHADLO Nc1cn(-c2ccccc2[NH+]2CCCCC2)c2cccnc12 ZINC001210960365 1134340183 /nfs/dbraw/zinc/34/01/83/1134340183.db2.gz GMWKBNDLZGLFGR-UHFFFAOYSA-N 1 2 292.386 3.598 20 0 CHADLO C=C(Nc1ccc(N2CCCC2)[nH+]c1)c1ccccc1 ZINC001210985788 1134343259 /nfs/dbraw/zinc/34/32/59/1134343259.db2.gz XLZCVCHIUFYFOU-UHFFFAOYSA-N 1 2 265.360 3.765 20 0 CHADLO CCOc1ccc(F)c(Nc2ccc(N(C)CC)[nH+]c2)c1 ZINC001211050394 1134356645 /nfs/dbraw/zinc/35/66/45/1134356645.db2.gz JGLQUNKRHINAPT-UHFFFAOYSA-N 1 2 289.354 3.819 20 0 CHADLO COCc1cncc(Nc2c[nH+]c(CC(C)C)cc2C)c1 ZINC001211080583 1134365617 /nfs/dbraw/zinc/36/56/17/1134365617.db2.gz MGYQQFQYGQZESR-UHFFFAOYSA-N 1 2 285.391 3.874 20 0 CHADLO Cc1occc1Nc1ccc(N2CCCCC2)[nH+]c1 ZINC001211238075 1134397088 /nfs/dbraw/zinc/39/70/88/1134397088.db2.gz VIZMMOQCZPGRJP-UHFFFAOYSA-N 1 2 257.337 3.717 20 0 CHADLO Cc1cc2c(ccc(N)c2F)n1-c1ccc2[nH]c(C)[nH+]c2c1 ZINC001213075053 1134421586 /nfs/dbraw/zinc/42/15/86/1134421586.db2.gz AOCCKDOLUJAXMW-UHFFFAOYSA-N 1 2 294.333 3.845 20 0 CHADLO CC(C)Cn1cnc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213088044 1134424872 /nfs/dbraw/zinc/42/48/72/1134424872.db2.gz MTPFFSAAJCKLEK-UHFFFAOYSA-N 1 2 281.363 3.673 20 0 CHADLO Cc1cc(N)cc2c1ccn2-c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213089793 1134425440 /nfs/dbraw/zinc/42/54/40/1134425440.db2.gz DXMOPSFTMQOMOW-UHFFFAOYSA-N 1 2 288.354 3.911 20 0 CHADLO CC(=O)c1cc(Nc2ccc(-c3[nH]cc[nH+]3)cc2)ccc1O ZINC001213089764 1134425671 /nfs/dbraw/zinc/42/56/71/1134425671.db2.gz CVNDLIWPDCINGJ-UHFFFAOYSA-N 1 2 293.326 3.729 20 0 CHADLO c1c[nH+]c(-c2ccc(Nc3ccc4c(c3)CCO4)cc2)[nH]1 ZINC001213090082 1134425714 /nfs/dbraw/zinc/42/57/14/1134425714.db2.gz SUFUWBUOFHDIDE-UHFFFAOYSA-N 1 2 277.327 3.755 20 0 CHADLO Nc1ccc2c(c1)CCCN2c1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090860 1134425877 /nfs/dbraw/zinc/42/58/77/1134425877.db2.gz KEPPPNGTFPGEJT-UHFFFAOYSA-N 1 2 290.370 3.743 20 0 CHADLO Oc1cc(F)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c(F)c1 ZINC001213090823 1134425952 /nfs/dbraw/zinc/42/59/52/1134425952.db2.gz IAYQGJSEHZFHMQ-UHFFFAOYSA-N 1 2 287.269 3.804 20 0 CHADLO Nc1ccc(F)c(Nc2ccc(-c3[nH]cc[nH+]3)cc2)c1 ZINC001213089919 1134426084 /nfs/dbraw/zinc/42/60/84/1134426084.db2.gz LBWRUCGNEQLUDW-UHFFFAOYSA-N 1 2 268.295 3.542 20 0 CHADLO Cc1cccc(CO)c1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090871 1134426115 /nfs/dbraw/zinc/42/61/15/1134426115.db2.gz KLWZEHMYSKCNEV-UHFFFAOYSA-N 1 2 279.343 3.621 20 0 CHADLO CCOc1ccc(N)cc1Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC001213090128 1134426221 /nfs/dbraw/zinc/42/62/21/1134426221.db2.gz VNNIHABFXUWWES-UHFFFAOYSA-N 1 2 294.358 3.801 20 0 CHADLO CN1c2ccc(Nc3[nH+]cccc3C3CC3)cc2CCC1=O ZINC001213130553 1134430181 /nfs/dbraw/zinc/43/01/81/1134430181.db2.gz CSWPHYDIOMOJNL-UHFFFAOYSA-N 1 2 293.370 3.612 20 0 CHADLO Cc1nc2c(C)cc(Nc3cc(C4CC4)c[nH+]c3C)cn2n1 ZINC001213494497 1134453686 /nfs/dbraw/zinc/45/36/86/1134453686.db2.gz ABRMWOGILDLEQM-UHFFFAOYSA-N 1 2 293.374 3.671 20 0 CHADLO CCOc1cncc(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213495129 1134453711 /nfs/dbraw/zinc/45/37/11/1134453711.db2.gz ROECAHBWTGQYIK-UHFFFAOYSA-N 1 2 269.348 3.805 20 0 CHADLO CCOC(=O)c1cccnc1Nc1cc(C2CC2)c[nH+]c1C ZINC001213491159 1134453921 /nfs/dbraw/zinc/45/39/21/1134453921.db2.gz AHVHEWQEIFPZLN-UHFFFAOYSA-N 1 2 297.358 3.583 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cnc2c(ccn2C)c1 ZINC001213492177 1134454427 /nfs/dbraw/zinc/45/44/27/1134454427.db2.gz YUDSDGVVKOAMDU-UHFFFAOYSA-N 1 2 278.359 3.898 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cc2ccccn2n1 ZINC001213497055 1134455041 /nfs/dbraw/zinc/45/50/41/1134455041.db2.gz GIEQNUYNYXKQFU-UHFFFAOYSA-N 1 2 264.332 3.659 20 0 CHADLO COC(=O)c1ccc(Nc2cc(C3CC3)c[nH+]c2C)c(O)c1 ZINC001213499599 1134455071 /nfs/dbraw/zinc/45/50/71/1134455071.db2.gz RGCFENWBOXDYCE-UHFFFAOYSA-N 1 2 298.342 3.503 20 0 CHADLO CC(=O)Nc1ccc(O)c(Nc2cc(C3CC3)c[nH+]c2C)c1 ZINC001213503363 1134456530 /nfs/dbraw/zinc/45/65/30/1134456530.db2.gz BBVXYWVIDKXWEJ-UHFFFAOYSA-N 1 2 297.358 3.675 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1N1CCCc2cc(N)ccc21 ZINC001213503385 1134456915 /nfs/dbraw/zinc/45/69/15/1134456915.db2.gz CIDZQIXWXMMHLO-UHFFFAOYSA-N 1 2 279.387 3.934 20 0 CHADLO COC(=O)c1cc(Nc2c[nH+]c(C)cc2C)c(Cl)s1 ZINC001213505294 1134457602 /nfs/dbraw/zinc/45/76/02/1134457602.db2.gz AYAIRCBUHBXHRW-UHFFFAOYSA-N 1 2 296.779 3.944 20 0 CHADLO Cc1[nH+]cc(C2CC2)cc1Nc1cccc2c1CNC(=O)N2 ZINC001213503794 1134457722 /nfs/dbraw/zinc/45/77/22/1134457722.db2.gz YKQGZSRFMKFLHM-UHFFFAOYSA-N 1 2 294.358 3.646 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(C)cc(=O)oc3c2)c[nH+]1 ZINC001213513325 1134457917 /nfs/dbraw/zinc/45/79/17/1134457917.db2.gz CITSJVOPKQUUIW-UHFFFAOYSA-N 1 2 280.327 3.857 20 0 CHADLO Cc1cc(C)c(Nc2cnc3cc(C(C)C)nn3c2)c[nH+]1 ZINC001213510533 1134458036 /nfs/dbraw/zinc/45/80/36/1134458036.db2.gz DVCFJSOTXZTAIP-UHFFFAOYSA-N 1 2 281.363 3.608 20 0 CHADLO Cc1cc(C)c(Nc2ccc3c(c2)C(C)(C)NC(=O)N3)c[nH+]1 ZINC001213520709 1134459068 /nfs/dbraw/zinc/45/90/68/1134459068.db2.gz GEKYNUFQFWKWMF-UHFFFAOYSA-N 1 2 296.374 3.812 20 0 CHADLO COc1cnc2c(cccc2Nc2c[nH+]c(C)cc2C)c1 ZINC001213520556 1134459295 /nfs/dbraw/zinc/45/92/95/1134459295.db2.gz DYERXLXPPDSAHH-UHFFFAOYSA-N 1 2 279.343 3.999 20 0 CHADLO COC(=O)c1sc(C)cc1Nc1c[nH+]cc(C)c1C ZINC001213522646 1134459579 /nfs/dbraw/zinc/45/95/79/1134459579.db2.gz DSZDFTYFALVQRK-UHFFFAOYSA-N 1 2 276.361 3.599 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(C(=O)N3CCCC3)cc2)c1C ZINC001213528611 1134459865 /nfs/dbraw/zinc/45/98/65/1134459865.db2.gz IEEQUKUJFRODRX-UHFFFAOYSA-N 1 2 295.386 3.678 20 0 CHADLO Cc1c[nH+]cc(Nc2c[nH]c(=O)c(C(F)(F)F)c2)c1C ZINC001213527352 1134460250 /nfs/dbraw/zinc/46/02/50/1134460250.db2.gz HNLUBGFNRPHMOI-UHFFFAOYSA-N 1 2 283.253 3.561 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc(C(F)(F)F)n2)c1C ZINC001213523587 1134460527 /nfs/dbraw/zinc/46/05/27/1134460527.db2.gz VJNFDMNDKQKGJT-UHFFFAOYSA-N 1 2 267.254 3.856 20 0 CHADLO Cc1nn(C)c2cc(Nc3c[nH+]cc(C)c3C)ccc12 ZINC001213532395 1134461143 /nfs/dbraw/zinc/46/11/43/1134461143.db2.gz GEFXFFVFHBJOKT-UHFFFAOYSA-N 1 2 266.348 3.637 20 0 CHADLO Cc1c[nH+]cc(Nc2cccc(OC(=O)N(C)C)c2)c1C ZINC001213533968 1134461761 /nfs/dbraw/zinc/46/17/61/1134461761.db2.gz FQVFXECBFZLZCN-UHFFFAOYSA-N 1 2 285.347 3.502 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc3c(c2)OCCCO3)c1C ZINC001213529106 1134462040 /nfs/dbraw/zinc/46/20/40/1134462040.db2.gz WCQUHLQMDQXJOK-UHFFFAOYSA-N 1 2 270.332 3.603 20 0 CHADLO Cc1c[nH+]cc(Nc2ccc(F)c3c2OCCC3=O)c1C ZINC001213536697 1134462550 /nfs/dbraw/zinc/46/25/50/1134462550.db2.gz MDLGDYLFNLQLBD-UHFFFAOYSA-N 1 2 286.306 3.546 20 0 CHADLO CCOc1cccc(F)c1Nc1c[nH+]cc(C)c1C ZINC001213534337 1134462785 /nfs/dbraw/zinc/46/27/85/1134462785.db2.gz XLSCZCMPWAAUKR-UHFFFAOYSA-N 1 2 260.312 3.980 20 0 CHADLO COCc1ccc(C)c(Nc2cc(C)[nH+]c(OC)c2)c1 ZINC001213574081 1134465141 /nfs/dbraw/zinc/46/51/41/1134465141.db2.gz UIIQGWBVKANYNA-UHFFFAOYSA-N 1 2 272.348 3.597 20 0 CHADLO CCOc1cc(Nc2ccc(Cl)cc2N)cc(C)[nH+]1 ZINC001213650182 1134473871 /nfs/dbraw/zinc/47/38/71/1134473871.db2.gz XQNVHKHPYCUXMD-UHFFFAOYSA-N 1 2 277.755 3.768 20 0 CHADLO Cc1cn2cccc(Nc3ccc4c(C)nn(C)c4c3)c2[nH+]1 ZINC001213752427 1134479781 /nfs/dbraw/zinc/47/97/81/1134479781.db2.gz ASLJUNRSBUEWHR-UHFFFAOYSA-N 1 2 291.358 3.581 20 0 CHADLO Cc1cn2c(cccc2Nc2ccc3c(C)nn(C)c3c2)[nH+]1 ZINC001213750257 1134479874 /nfs/dbraw/zinc/47/98/74/1134479874.db2.gz BDSNPJSENYVBEG-UHFFFAOYSA-N 1 2 291.358 3.581 20 0 CHADLO CC(C)c1cccc(Nc2ccc3[nH]c(=O)ccc3c2)[nH+]1 ZINC001213767568 1134481819 /nfs/dbraw/zinc/48/18/19/1134481819.db2.gz AEESJZUTXISFJO-UHFFFAOYSA-N 1 2 279.343 3.790 20 0 CHADLO Cc1cc(C2CC2)ccc1Nc1[nH+]cccc1CCO ZINC001213864437 1134492373 /nfs/dbraw/zinc/49/23/73/1134492373.db2.gz JHWCFNYGFGEVCF-UHFFFAOYSA-N 1 2 268.360 3.546 20 0 CHADLO Cn1c[nH+]c2cc(Nc3cnccc3C3CC3)ccc21 ZINC001213877100 1134494073 /nfs/dbraw/zinc/49/40/73/1134494073.db2.gz PBLYQEDEKFLVQC-UHFFFAOYSA-N 1 2 264.332 3.589 20 0 CHADLO Nc1ccc(F)cc1Nc1ccc[nH+]c1N1CCCCC1 ZINC001214197848 1134520287 /nfs/dbraw/zinc/52/02/87/1134520287.db2.gz DACLQPJINBNNAT-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO Cc1ccc(O)c(Nc2ccc(-n3cc[nH+]c3)cc2)c1 ZINC001214225337 1134523336 /nfs/dbraw/zinc/52/33/36/1134523336.db2.gz BVLNGKOPEQCNDD-UHFFFAOYSA-N 1 2 265.316 3.630 20 0 CHADLO COCOc1ccc(C)cc1Nc1ccc(C)[nH+]c1C ZINC001214233824 1134524111 /nfs/dbraw/zinc/52/41/11/1134524111.db2.gz JODNRNGCUIUXCE-UHFFFAOYSA-N 1 2 272.348 3.733 20 0 CHADLO COc1ccc(C)c(F)c1Nc1cccn2cc[nH+]c12 ZINC001214624862 1134558366 /nfs/dbraw/zinc/55/83/66/1134558366.db2.gz KUUVCJGPMMOFMV-UHFFFAOYSA-N 1 2 271.295 3.534 20 0 CHADLO CCc1ccc[nH+]c1Nc1ccc(CO)c(C(F)(F)F)c1 ZINC001214677573 1134564419 /nfs/dbraw/zinc/56/44/19/1134564419.db2.gz KXABGLHSJFUSRO-UHFFFAOYSA-N 1 2 296.292 3.899 20 0 CHADLO Cc1ccc[nH+]c1Nc1ccc(OC(F)(F)F)c(CO)c1 ZINC001214822381 1134576315 /nfs/dbraw/zinc/57/63/15/1134576315.db2.gz CXGQZKQDVVCUPY-UHFFFAOYSA-N 1 2 298.264 3.525 20 0 CHADLO CCOc1ncc(Nc2cccc(-n3cc[nH+]c3)c2)cc1C ZINC001214933386 1134582670 /nfs/dbraw/zinc/58/26/70/1134582670.db2.gz YRROYDNSZNSNJD-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO COc1cc[nH+]cc1Nc1cc(F)c(OC)c(Cl)c1 ZINC001214966372 1134588612 /nfs/dbraw/zinc/58/86/12/1134588612.db2.gz HJJFPXYKSUOXBD-UHFFFAOYSA-N 1 2 282.702 3.635 20 0 CHADLO Nc1cc(F)cnc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC000401178756 1134606159 /nfs/dbraw/zinc/60/61/59/1134606159.db2.gz ZNAQBIUOAHTJFT-UHFFFAOYSA-N 1 2 286.354 3.537 20 0 CHADLO COc1cc(CO)ccc1Nc1c(C)cc[nH+]c1C(C)C ZINC001215207206 1134607246 /nfs/dbraw/zinc/60/72/46/1134607246.db2.gz VFARLDVCPCLNGC-UHFFFAOYSA-N 1 2 286.375 3.758 20 0 CHADLO CCOc1c(Nc2c[nH+]ccc2OC)ccc(F)c1F ZINC001215411603 1134625325 /nfs/dbraw/zinc/62/53/25/1134625325.db2.gz VVXMUJZGJKBOBQ-UHFFFAOYSA-N 1 2 280.274 3.511 20 0 CHADLO CCOc1c(F)c(F)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001215415128 1134626333 /nfs/dbraw/zinc/62/63/33/1134626333.db2.gz FNFNZAJYXQVXMP-UHFFFAOYSA-N 1 2 289.285 3.755 20 0 CHADLO CCOc1cc[nH+]cc1Nc1c(C)cc(COC)cc1C ZINC001215529547 1134638582 /nfs/dbraw/zinc/63/85/82/1134638582.db2.gz UYAVINBUDWBSDH-UHFFFAOYSA-N 1 2 286.375 3.987 20 0 CHADLO CCOc1ccc(Nc2cccc(-n3cc[nH+]c3)c2)c(C)n1 ZINC001215578438 1134646860 /nfs/dbraw/zinc/64/68/60/1134646860.db2.gz XGZYKVJJSMXJJK-UHFFFAOYSA-N 1 2 294.358 3.718 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(Cl)c(CO)c1F ZINC001215604281 1134653192 /nfs/dbraw/zinc/65/31/92/1134653192.db2.gz AXAXGNHTIFAREI-UHFFFAOYSA-N 1 2 296.729 3.509 20 0 CHADLO CC[N@H+](C)c1ccc(Nc2ccc(F)c(CO)c2F)cc1 ZINC001215655916 1134657986 /nfs/dbraw/zinc/65/79/86/1134657986.db2.gz UHMDJPXRNZEBLI-UHFFFAOYSA-N 1 2 292.329 3.657 20 0 CHADLO CC[N@@H+](C)c1ccc(Nc2ccc(F)c(CO)c2F)cc1 ZINC001215655916 1134657989 /nfs/dbraw/zinc/65/79/89/1134657989.db2.gz UHMDJPXRNZEBLI-UHFFFAOYSA-N 1 2 292.329 3.657 20 0 CHADLO COc1cc(F)c(Nc2c[nH+]c(C)cc2C)cc1OC ZINC001215717417 1134665934 /nfs/dbraw/zinc/66/59/34/1134665934.db2.gz ARPYYZGFRRRYPV-UHFFFAOYSA-N 1 2 276.311 3.598 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccc(C)c2n[nH]cc21 ZINC001215757751 1134676383 /nfs/dbraw/zinc/67/63/83/1134676383.db2.gz ZHYNQOKZNBKAHK-UHFFFAOYSA-N 1 2 266.348 3.881 20 0 CHADLO CCOc1ccc(C)c(F)c1Nc1c[nH+]ccc1OC ZINC001215761468 1134678735 /nfs/dbraw/zinc/67/87/35/1134678735.db2.gz KDRFDRZDJUSEPO-UHFFFAOYSA-N 1 2 276.311 3.680 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1ccnc(OC(C)C)c1 ZINC001215768859 1134681373 /nfs/dbraw/zinc/68/13/73/1134681373.db2.gz VFVAEWQIZFUCFR-UHFFFAOYSA-N 1 2 271.364 3.878 20 0 CHADLO CSc1cc(Nc2[nH+]cccc2N(C)C)ccc1F ZINC001215794352 1134687949 /nfs/dbraw/zinc/68/79/49/1134687949.db2.gz QBDZEUHMOLXCJW-UHFFFAOYSA-N 1 2 277.368 3.752 20 0 CHADLO CSc1cccc(F)c1Nc1cccc2[nH+]ccn21 ZINC001215822058 1134694194 /nfs/dbraw/zinc/69/41/94/1134694194.db2.gz NGFOEDOMFHQLAL-UHFFFAOYSA-N 1 2 273.336 3.939 20 0 CHADLO COc1cc(Nc2ccc(O)c(C(C)C)c2)cc(C)[nH+]1 ZINC001215844414 1134701298 /nfs/dbraw/zinc/70/12/98/1134701298.db2.gz IDQRNEBXMPRXMB-UHFFFAOYSA-N 1 2 272.348 3.971 20 0 CHADLO CSc1cc(C)ccc1Nc1ccc(N(C)C)[nH+]c1 ZINC001215871143 1134709343 /nfs/dbraw/zinc/70/93/43/1134709343.db2.gz VXXHTHJJNXULHN-UHFFFAOYSA-N 1 2 273.405 3.922 20 0 CHADLO CCOc1cc(C)ccc1-n1c(C)[nH+]c2ccc(N)cc21 ZINC001215875186 1134710448 /nfs/dbraw/zinc/71/04/48/1134710448.db2.gz LSDFVFSSOSPYPS-UHFFFAOYSA-N 1 2 281.359 3.623 20 0 CHADLO CCOc1cc[nH+]cc1Nc1ccc(SC)nc1C ZINC001215908207 1134715490 /nfs/dbraw/zinc/71/54/90/1134715490.db2.gz JFFWMVKYPKELFH-UHFFFAOYSA-N 1 2 275.377 3.649 20 0 CHADLO CSc1ccc(Nc2[nH+]cc(C)cc2C)c(C)n1 ZINC001215907081 1134716036 /nfs/dbraw/zinc/71/60/36/1134716036.db2.gz MRRKUWGHYPRKRE-UHFFFAOYSA-N 1 2 259.378 3.867 20 0 CHADLO CSc1ccc(Nc2ccc3c(c2)[nH+]cn3C)c(C)n1 ZINC001215911791 1134718114 /nfs/dbraw/zinc/71/81/14/1134718114.db2.gz JMLMXEIVHCAKJU-UHFFFAOYSA-N 1 2 284.388 3.742 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(Cl)cnc1SC ZINC001215914971 1134718604 /nfs/dbraw/zinc/71/86/04/1134718604.db2.gz CKBUUDYVVMUCQN-UHFFFAOYSA-N 1 2 295.795 3.994 20 0 CHADLO Cc1nc(OC(C)C)ccc1Nc1ccn2cc[nH+]c2c1 ZINC001215919110 1134719613 /nfs/dbraw/zinc/71/96/13/1134719613.db2.gz OTTKMYCDNPAMQT-UHFFFAOYSA-N 1 2 282.347 3.569 20 0 CHADLO CSc1c(F)cc(Nc2ccc(N(C)C)[nH+]c2)cc1F ZINC001215922971 1134721629 /nfs/dbraw/zinc/72/16/29/1134721629.db2.gz IDOXTVQRVWTBGW-UHFFFAOYSA-N 1 2 295.358 3.891 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2C)cc1SC ZINC001215931143 1134724198 /nfs/dbraw/zinc/72/41/98/1134724198.db2.gz UKMKTXLNIFCYJD-UHFFFAOYSA-N 1 2 260.362 3.864 20 0 CHADLO COc1ccc(Nc2[nH+]cccc2N(C)C)cc1SC ZINC001215932585 1134724634 /nfs/dbraw/zinc/72/46/34/1134724634.db2.gz LOHZNWUHUJJNLE-UHFFFAOYSA-N 1 2 289.404 3.622 20 0 CHADLO COc1cc[nH+]cc1Nc1ccc(OCC(C)C)nc1C ZINC001215944963 1134727709 /nfs/dbraw/zinc/72/77/09/1134727709.db2.gz PBIGVKINHKGHJJ-UHFFFAOYSA-N 1 2 287.363 3.572 20 0 CHADLO CCOc1cc[nH+]cc1Nc1cc(C2CC2)cnc1F ZINC001215955794 1134730374 /nfs/dbraw/zinc/73/03/74/1134730374.db2.gz VNKVWHKNXRECPM-UHFFFAOYSA-N 1 2 273.311 3.635 20 0 CHADLO CCc1cccc(Nc2ccc(N)c(OC(F)(F)F)c2)[nH+]1 ZINC001216031755 1134753632 /nfs/dbraw/zinc/75/36/32/1134753632.db2.gz FNFLZMWHYRYNNG-UHFFFAOYSA-N 1 2 297.280 3.868 20 0 CHADLO Cc1cn2c(cccc2Nc2cc(C)cc(C)c2N)[nH+]1 ZINC001216095262 1134775441 /nfs/dbraw/zinc/77/54/41/1134775441.db2.gz GDLPHTAJNYPYNB-UHFFFAOYSA-N 1 2 266.348 3.585 20 0 CHADLO COc1cc(Nc2cc(C)cnc2SC)cc(C)[nH+]1 ZINC001216240709 1134821145 /nfs/dbraw/zinc/82/11/45/1134821145.db2.gz BXELOENOESXUJT-UHFFFAOYSA-N 1 2 275.377 3.568 20 0 CHADLO CCc1[nH+]ccc(C)c1Nc1cnc(Br)s1 ZINC001216266709 1134831779 /nfs/dbraw/zinc/83/17/79/1134831779.db2.gz QXPHQUJBQUDPDN-UHFFFAOYSA-N 1 2 298.209 3.915 20 0 CHADLO COc1cc(Nc2ccc(CO)c(C)c2Cl)cc(C)[nH+]1 ZINC001216329706 1134858040 /nfs/dbraw/zinc/85/80/40/1134858040.db2.gz RASYYGGBJPREGN-UHFFFAOYSA-N 1 2 292.766 3.596 20 0 CHADLO COc1cc[nH+]c(Nc2cc(F)c(F)cc2Cl)c1 ZINC001216369100 1134869120 /nfs/dbraw/zinc/86/91/20/1134869120.db2.gz NOICQRJZDPKRBG-UHFFFAOYSA-N 1 2 270.666 3.765 20 0 CHADLO Cc1[nH+]c2ccc(N)cc2n1-c1cc(F)c(F)cc1Cl ZINC001216371239 1134870474 /nfs/dbraw/zinc/87/04/74/1134870474.db2.gz HLKUNKMUJPJFBA-UHFFFAOYSA-N 1 2 293.704 3.848 20 0 CHADLO CCOc1cc(C)c(C)cc1Nc1c[nH+]ccc1OC ZINC001216377011 1134873710 /nfs/dbraw/zinc/87/37/10/1134873710.db2.gz HEXIDVSILWNABW-UHFFFAOYSA-N 1 2 272.348 3.849 20 0 CHADLO FC(F)(F)c1ccc2c(NC3=CCCOC3)cc[nH+]c2c1 ZINC001216504839 1134912528 /nfs/dbraw/zinc/91/25/28/1134912528.db2.gz TZROIMRFUCAUFY-UHFFFAOYSA-N 1 2 294.276 3.970 20 0 CHADLO Cc1cn2c(cccc2Nc2cccc(Cl)c2N)[nH+]1 ZINC001216523823 1134914706 /nfs/dbraw/zinc/91/47/06/1134914706.db2.gz ASSNMBUOBHBLLU-UHFFFAOYSA-N 1 2 272.739 3.622 20 0 CHADLO Nc1c(Cl)cccc1Nc1cccc(Cn2cc[nH+]c2)c1 ZINC001216527958 1134916244 /nfs/dbraw/zinc/91/62/44/1134916244.db2.gz JALDOYMRFXWVIK-UHFFFAOYSA-N 1 2 298.777 3.911 20 0 CHADLO FC1(F)CC[NH+](Cc2cc(Br)cs2)CC1 ZINC000404762953 1134948697 /nfs/dbraw/zinc/94/86/97/1134948697.db2.gz JZGBWPMIPKDBFW-UHFFFAOYSA-N 1 2 296.180 3.742 20 0 CHADLO Cc1ccc(-c2noc(-c3cccc4[nH+]ccn43)n2)cc1C ZINC001217500003 1134982058 /nfs/dbraw/zinc/98/20/58/1134982058.db2.gz QJQHDQSCQATRSP-UHFFFAOYSA-N 1 2 290.326 3.668 20 0 CHADLO Nc1[nH+]cccc1OC1c2ccccc2-c2ccccc21 ZINC001218293068 1135080287 /nfs/dbraw/zinc/08/02/87/1135080287.db2.gz FONZZEFSXSIOGB-UHFFFAOYSA-N 1 2 274.323 3.813 20 0 CHADLO Cc1ccc([C@H](Oc2ccc[nH+]c2N)C(F)(F)F)cc1 ZINC001218303980 1135082039 /nfs/dbraw/zinc/08/20/39/1135082039.db2.gz XVQINFBTEXNEEA-LBPRGKRZSA-N 1 2 282.265 3.655 20 0 CHADLO C[C@H](Oc1ccc[nH+]c1N)c1ccccc1OC(F)(F)F ZINC001218319305 1135087549 /nfs/dbraw/zinc/08/75/49/1135087549.db2.gz HYKOBBCJICBMGY-VIFPVBQESA-N 1 2 298.264 3.702 20 0 CHADLO Cc1nc(CNc2cc(C)c3ccccc3[nH+]2)cs1 ZINC000311664236 1135130349 /nfs/dbraw/zinc/13/03/49/1135130349.db2.gz XDEKMHNJQZFMKG-UHFFFAOYSA-N 1 2 269.373 3.920 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@H+](C)Cc1cocn1 ZINC000191926638 1135142692 /nfs/dbraw/zinc/14/26/92/1135142692.db2.gz OURQMXGGMQQMRI-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO C[C@@H](c1ccc(Cl)cc1)[N@@H+](C)Cc1cocn1 ZINC000191926638 1135142693 /nfs/dbraw/zinc/14/26/93/1135142693.db2.gz OURQMXGGMQQMRI-JTQLQIEISA-N 1 2 250.729 3.521 20 0 CHADLO CCOc1cccc(CNc2ccc(N(CC)CC)[nH+]c2)c1 ZINC000314657164 1135146030 /nfs/dbraw/zinc/14/60/30/1135146030.db2.gz DUFVRCMTQPRWKJ-UHFFFAOYSA-N 1 2 299.418 3.939 20 0 CHADLO Cc1cc(C)c(CNC(=O)N[C@@H](C)CCCC(C)C)c[nH+]1 ZINC001220554279 1135155595 /nfs/dbraw/zinc/15/55/95/1135155595.db2.gz DBFSRSOTZTZEQZ-AWEZNQCLSA-N 1 2 291.439 3.712 20 0 CHADLO N#Cc1cc(N)ccc1Nc1ccc([NH+]2CCCCC2)cc1 ZINC000316092178 1135164906 /nfs/dbraw/zinc/16/49/06/1135164906.db2.gz MTBSHWIJSGSZNH-UHFFFAOYSA-N 1 2 292.386 3.874 20 0 CHADLO CCOc1ccc(-c2cccc(-c3c[nH+]cn3C)c2)c(C)n1 ZINC001222116775 1135195001 /nfs/dbraw/zinc/19/50/01/1135195001.db2.gz SVYLPPLQFRCJEC-UHFFFAOYSA-N 1 2 293.370 3.856 20 0 CHADLO C[C@@H](OCCn1cc[nH+]c1)c1ccc(Cl)c(Cl)c1 ZINC001222134571 1135198263 /nfs/dbraw/zinc/19/82/63/1135198263.db2.gz GKEOISWIMJDXDT-SNVBAGLBSA-N 1 2 285.174 3.968 20 0 CHADLO C[C@H](OCCn1cc[nH+]c1)c1ccc(Cl)cc1Cl ZINC001222134471 1135198698 /nfs/dbraw/zinc/19/86/98/1135198698.db2.gz CZBGVUPKIJJOBC-JTQLQIEISA-N 1 2 285.174 3.968 20 0 CHADLO C[C@@H](OCCn1cc[nH+]c1)c1ccc(Cl)cc1Cl ZINC001222134472 1135199018 /nfs/dbraw/zinc/19/90/18/1135199018.db2.gz CZBGVUPKIJJOBC-SNVBAGLBSA-N 1 2 285.174 3.968 20 0 CHADLO Cc1cn2c(cccc2-c2cn(C)nc2-c2ccccc2)[nH+]1 ZINC001222530005 1135249224 /nfs/dbraw/zinc/24/92/24/1135249224.db2.gz KYUMNGUBOVEXMF-UHFFFAOYSA-N 1 2 288.354 3.710 20 0 CHADLO Cn1c[nH+]cc1COC1CCC(C(C)(C)C)CC1 ZINC001222593995 1135253760 /nfs/dbraw/zinc/25/37/60/1135253760.db2.gz BBVJIGLGSZPCNN-UHFFFAOYSA-N 1 2 250.386 3.542 20 0 CHADLO CC(C)Oc1cc(F)c(COc2cc[nH+]cc2)c(F)c1 ZINC001225294103 1135536870 /nfs/dbraw/zinc/53/68/70/1135536870.db2.gz FFLBDOUHRWWPOP-UHFFFAOYSA-N 1 2 279.286 3.726 20 0 CHADLO C[N@@H+]1CCC[C@@H](Oc2c(Cl)c(F)cc(F)c2Cl)C1 ZINC001225998660 1135650172 /nfs/dbraw/zinc/65/01/72/1135650172.db2.gz HOCDFMUUXVQFFV-SSDOTTSWSA-N 1 2 296.144 3.745 20 0 CHADLO C[N@H+]1CCC[C@@H](Oc2c(Cl)c(F)cc(F)c2Cl)C1 ZINC001225998660 1135650174 /nfs/dbraw/zinc/65/01/74/1135650174.db2.gz HOCDFMUUXVQFFV-SSDOTTSWSA-N 1 2 296.144 3.745 20 0 CHADLO Cc1cc[nH+]c(C)c1O[C@H]1C[C@@H](OCc2ccccc2)C1 ZINC001227264962 1135812076 /nfs/dbraw/zinc/81/20/76/1135812076.db2.gz QLXWFLFYFMQULD-CALCHBBNSA-N 1 2 283.371 3.825 20 0 CHADLO Cc1ccc(OC[C@@H](C)Oc2c(C)cc[nH+]c2C)cc1 ZINC001227265074 1135812477 /nfs/dbraw/zinc/81/24/77/1135812477.db2.gz RRUCWWFEHYRNJX-CQSZACIVSA-N 1 2 271.360 3.853 20 0 CHADLO Cc1cc[nH+]c(C)c1OC[C@@H](C)OCc1ccccc1 ZINC001227264936 1135812847 /nfs/dbraw/zinc/81/28/47/1135812847.db2.gz QCLCGDIXXPQHOD-CQSZACIVSA-N 1 2 271.360 3.683 20 0 CHADLO Cc1oc2ccccc2c1C(=O)Nc1c[nH+]c2n1CCCC2 ZINC000573297029 334925058 /nfs/dbraw/zinc/92/50/58/334925058.db2.gz PYTDUGKJPNYPGR-UHFFFAOYSA-N 1 2 295.342 3.526 20 0 CHADLO Cc1cc[nH+]cc1NCc1sccc1Br ZINC000096033616 185068201 /nfs/dbraw/zinc/06/82/01/185068201.db2.gz JKHFYXGDPHJQOB-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO C[C@@H](Nc1cc(C(F)(F)F)cc[nH+]1)c1ccccn1 ZINC000078591976 260168320 /nfs/dbraw/zinc/16/83/20/260168320.db2.gz QCGLGZKPSLPVOI-SECBINFHSA-N 1 2 267.254 3.669 20 0 CHADLO CC[C@@]1(C)C[N@@H+]([C@@H](C)c2ccc(F)cc2F)CCO1 ZINC000442891835 529532217 /nfs/dbraw/zinc/53/22/17/529532217.db2.gz NVMLIZRQYPIBDE-NHYWBVRUSA-N 1 2 269.335 3.527 20 0 CHADLO CC[C@@]1(C)C[N@H+]([C@@H](C)c2ccc(F)cc2F)CCO1 ZINC000442891835 529532220 /nfs/dbraw/zinc/53/22/20/529532220.db2.gz NVMLIZRQYPIBDE-NHYWBVRUSA-N 1 2 269.335 3.527 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@@H+]2[C@@H](C)c2nnc(CC)o2)o1 ZINC000331375109 529714511 /nfs/dbraw/zinc/71/45/11/529714511.db2.gz CWCCODFAFAYLQB-AAEUAGOBSA-N 1 2 289.379 3.686 20 0 CHADLO CCc1ccc([C@@H]2CCC[N@H+]2[C@@H](C)c2nnc(CC)o2)o1 ZINC000331375109 529714514 /nfs/dbraw/zinc/71/45/14/529714514.db2.gz CWCCODFAFAYLQB-AAEUAGOBSA-N 1 2 289.379 3.686 20 0 CHADLO CN(Cc1ccc(F)cc1Br)c1cccc[nH+]1 ZINC000301429197 529988167 /nfs/dbraw/zinc/98/81/67/529988167.db2.gz FPLZTBRPRAARNG-UHFFFAOYSA-N 1 2 295.155 3.620 20 0 CHADLO C[N@H+](Cc1ccoc1)Cc1ncc(-c2cccc(F)c2)o1 ZINC000355804758 530013002 /nfs/dbraw/zinc/01/30/02/530013002.db2.gz UOORWYRWBGZSMW-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO C[N@@H+](Cc1ccoc1)Cc1ncc(-c2cccc(F)c2)o1 ZINC000355804758 530013004 /nfs/dbraw/zinc/01/30/04/530013004.db2.gz UOORWYRWBGZSMW-UHFFFAOYSA-N 1 2 286.306 3.706 20 0 CHADLO Cc1[nH+]cccc1NCc1cc(Br)cs1 ZINC000085711483 179124632 /nfs/dbraw/zinc/12/46/32/179124632.db2.gz PNDSMHCITAGHHJ-UHFFFAOYSA-N 1 2 283.194 3.826 20 0 CHADLO CC1(C)C[N@H+](Cc2cc(F)ccc2Cl)[C@H]1[C@@H]1CCCO1 ZINC000574677693 335038907 /nfs/dbraw/zinc/03/89/07/335038907.db2.gz CLGJTQIKLGGCDQ-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO CC1(C)C[N@@H+](Cc2cc(F)ccc2Cl)[C@H]1[C@@H]1CCCO1 ZINC000574677693 335038908 /nfs/dbraw/zinc/03/89/08/335038908.db2.gz CLGJTQIKLGGCDQ-GJZGRUSLSA-N 1 2 297.801 3.869 20 0 CHADLO Cc1nsc(C)c1C[N@@H+](C)Cc1ccc(F)cc1F ZINC000516660215 262026305 /nfs/dbraw/zinc/02/63/05/262026305.db2.gz QWKNTQSXWQZYOB-UHFFFAOYSA-N 1 2 282.359 3.670 20 0 CHADLO Cc1nsc(C)c1C[N@H+](C)Cc1ccc(F)cc1F ZINC000516660215 262026307 /nfs/dbraw/zinc/02/63/07/262026307.db2.gz QWKNTQSXWQZYOB-UHFFFAOYSA-N 1 2 282.359 3.670 20 0 CHADLO CSc1ccc(N[C@H](C)Cc2ccc(O)cc2)[nH+]c1 ZINC000352683500 532984552 /nfs/dbraw/zinc/98/45/52/532984552.db2.gz TWBPOIBEWQOUTC-LLVKDONJSA-N 1 2 274.389 3.552 20 0 CHADLO COCCC1(CNc2[nH+]ccc3ccc(F)cc32)CCC1 ZINC000354887521 533212920 /nfs/dbraw/zinc/21/29/20/533212920.db2.gz PELXGOITDMTPBV-UHFFFAOYSA-N 1 2 288.366 3.993 20 0 CHADLO CC[C@@H](C)[N@@H+]1CCO[C@H](c2cccc(Br)c2)C1 ZINC000172693799 260018625 /nfs/dbraw/zinc/01/86/25/260018625.db2.gz NDDWIJCBCTZCHL-RISCZKNCSA-N 1 2 298.224 3.621 20 0 CHADLO CC[C@@H](C)[N@H+]1CCO[C@H](c2cccc(Br)c2)C1 ZINC000172693799 260018626 /nfs/dbraw/zinc/01/86/26/260018626.db2.gz NDDWIJCBCTZCHL-RISCZKNCSA-N 1 2 298.224 3.621 20 0 CHADLO C[C@H]([NH2+]Cc1nc(C(F)F)no1)c1ccc(C2CC2)cc1 ZINC000575054829 335059836 /nfs/dbraw/zinc/05/98/36/335059836.db2.gz LJSPBXQMVFUKNM-VIFPVBQESA-N 1 2 293.317 3.735 20 0 CHADLO Fc1cccc(F)c1C[N@@H+]1CCC[C@H](C(F)(F)F)C1 ZINC000179624041 260058786 /nfs/dbraw/zinc/05/87/86/260058786.db2.gz OMIDTUQEFMZGCX-VIFPVBQESA-N 1 2 279.252 3.739 20 0 CHADLO Fc1cccc(F)c1C[N@H+]1CCC[C@H](C(F)(F)F)C1 ZINC000179624041 260058790 /nfs/dbraw/zinc/05/87/90/260058790.db2.gz OMIDTUQEFMZGCX-VIFPVBQESA-N 1 2 279.252 3.739 20 0 CHADLO COc1ccc2cc(CNc3cc(C)[nH+]cn3)ccc2c1 ZINC000301223401 533332201 /nfs/dbraw/zinc/33/22/01/533332201.db2.gz CBIABSYHAVTBQQ-UHFFFAOYSA-N 1 2 279.343 3.559 20 0 CHADLO C[C@@H]([NH2+]Cc1cncc(F)c1)c1c(F)cccc1Cl ZINC000351488727 533467722 /nfs/dbraw/zinc/46/77/22/533467722.db2.gz AUEHOLRPVUEJFK-SECBINFHSA-N 1 2 282.721 3.864 20 0 CHADLO C[C@@H]([NH2+][C@H](c1nccn1C)C1CC1)c1cccc(F)c1F ZINC000353820058 533538383 /nfs/dbraw/zinc/53/83/83/533538383.db2.gz QWUIBYFFOXUNNW-BMIGLBTASA-N 1 2 291.345 3.500 20 0 CHADLO Cc1cc(CNc2cc[nH+]c3ccncc23)ccc1Cl ZINC000347884664 533639345 /nfs/dbraw/zinc/63/93/45/533639345.db2.gz XDPGEOVIKNPYFN-UHFFFAOYSA-N 1 2 283.762 3.626 20 0 CHADLO CCc1nc(N(Cc2ccccc2)C2CC2)cc(C)[nH+]1 ZINC000341745622 130017748 /nfs/dbraw/zinc/01/77/48/130017748.db2.gz SCZDZHBNKORIIK-UHFFFAOYSA-N 1 2 267.376 3.516 20 0 CHADLO CCn1ccnc1C[N@H+]([C@H](C)c1ccccc1)C1CC1 ZINC000341812224 130072919 /nfs/dbraw/zinc/07/29/19/130072919.db2.gz XERNRXVVZPESOB-CQSZACIVSA-N 1 2 269.392 3.629 20 0 CHADLO CCn1ccnc1C[N@@H+]([C@H](C)c1ccccc1)C1CC1 ZINC000341812224 130072921 /nfs/dbraw/zinc/07/29/21/130072921.db2.gz XERNRXVVZPESOB-CQSZACIVSA-N 1 2 269.392 3.629 20 0 CHADLO Cc1oc2ccccc2c1CNc1cc[nH+]c2ccncc12 ZINC000341972018 130183998 /nfs/dbraw/zinc/18/39/98/130183998.db2.gz QKPVIMCEYOQTCU-UHFFFAOYSA-N 1 2 289.338 3.718 20 0 CHADLO FC(F)(F)Cn1cc[nH+]c1/C=C/c1nc2ccccc2o1 ZINC000171537865 335069598 /nfs/dbraw/zinc/06/95/98/335069598.db2.gz PCNDXMRVPHWXJS-AATRIKPKSA-N 1 2 293.248 3.757 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccccn1 ZINC000020123346 171003882 /nfs/dbraw/zinc/00/38/82/171003882.db2.gz OPMBGKKLTUZHIS-GHMZBOCLSA-N 1 2 262.303 3.772 20 0 CHADLO C[C@H]([NH2+]Cc1c(F)cccc1Cl)c1ccccn1 ZINC000020123654 171004706 /nfs/dbraw/zinc/00/47/06/171004706.db2.gz MUNXLWNWJMQCGW-JTQLQIEISA-N 1 2 264.731 3.725 20 0 CHADLO C[C@@H]([NH2+]Cc1c(F)cccc1Cl)c1ccccn1 ZINC000020123653 171005186 /nfs/dbraw/zinc/00/51/86/171005186.db2.gz MUNXLWNWJMQCGW-SNVBAGLBSA-N 1 2 264.731 3.725 20 0 CHADLO CCOc1ccc([NH2+]C[C@H](C)CC)cc1OCC ZINC000021509001 171309338 /nfs/dbraw/zinc/30/93/38/171309338.db2.gz JKUWBWYPQOUIIQ-GFCCVEGCSA-N 1 2 251.370 3.942 20 0 CHADLO COC(=O)c1occc1C[NH2+][C@H](C)c1ccccc1Cl ZINC000035222976 172066376 /nfs/dbraw/zinc/06/63/76/172066376.db2.gz FWZVYSQZERBMMT-SNVBAGLBSA-N 1 2 293.750 3.570 20 0 CHADLO COc1cc(OC)c([NH2+]C2CCSCC2)cc1Cl ZINC000035651919 172328239 /nfs/dbraw/zinc/32/82/39/172328239.db2.gz GGFDIEJSALAJCM-UHFFFAOYSA-N 1 2 287.812 3.665 20 0 CHADLO Cc1csc(C[NH2+][C@@H](C)c2ccc(F)cc2F)n1 ZINC000038090697 174104176 /nfs/dbraw/zinc/10/41/76/174104176.db2.gz GIMINPRYGQZSQX-VIFPVBQESA-N 1 2 268.332 3.581 20 0 CHADLO CCc1ccsc1-c1nc(CCc2[nH+]cccc2C)no1 ZINC000575137191 335071502 /nfs/dbraw/zinc/07/15/02/335071502.db2.gz SCDLNWHFQJXDMT-UHFFFAOYSA-N 1 2 299.399 3.849 20 0 CHADLO CC[C@H]([NH2+]Cc1nccn1C)c1ccc(Cl)cc1Cl ZINC000071273185 176105016 /nfs/dbraw/zinc/10/50/16/176105016.db2.gz WZBLHQZAPFKKLM-ZDUSSCGKSA-N 1 2 298.217 3.968 20 0 CHADLO Cc1cc[nH+]cc1NCc1cc(Br)ccc1F ZINC000071412959 176158309 /nfs/dbraw/zinc/15/83/09/176158309.db2.gz ILWBIFUNDMRICQ-UHFFFAOYSA-N 1 2 295.155 3.904 20 0 CHADLO CCCOc1ccc(CNc2c[nH+]ccc2C)cc1 ZINC000071413576 176159410 /nfs/dbraw/zinc/15/94/10/176159410.db2.gz BDTNDCCUIVTTCF-UHFFFAOYSA-N 1 2 256.349 3.791 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+]Cc3ccco3)co2)cc1 ZINC000072181148 176334534 /nfs/dbraw/zinc/33/45/34/176334534.db2.gz WDRMTOIVAMKVGP-UHFFFAOYSA-N 1 2 268.316 3.533 20 0 CHADLO O=C(Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1)c1cscn1 ZINC000078487918 177346202 /nfs/dbraw/zinc/34/62/02/177346202.db2.gz POGBXMWCCDBIIM-UHFFFAOYSA-N 1 2 298.371 3.539 20 0 CHADLO Cc1cccnc1SCc1ccc(-n2cc[nH+]c2)cc1 ZINC000344590375 225112918 /nfs/dbraw/zinc/11/29/18/225112918.db2.gz LEVWOTYUAXUJHS-UHFFFAOYSA-N 1 2 281.384 3.868 20 0 CHADLO C[C@H]([NH2+][C@H]1COc2ccc(F)cc21)c1ccc(Cl)cn1 ZINC000334639948 225187454 /nfs/dbraw/zinc/18/74/54/225187454.db2.gz RFGWZUFMHBRMNS-XPTSAGLGSA-N 1 2 292.741 3.658 20 0 CHADLO Cc1ccc(NCc2cccn2CCC(C)C)c[nH+]1 ZINC000345043736 225264354 /nfs/dbraw/zinc/26/43/54/225264354.db2.gz RRCBWRBMHIJBKA-UHFFFAOYSA-N 1 2 257.381 3.850 20 0 CHADLO Cc1cc(C)c(NC(=O)N2C[C@@H](C)CC[C@H]2C)c(C)[nH+]1 ZINC000334714145 225284882 /nfs/dbraw/zinc/28/48/82/225284882.db2.gz WVRKJUJZOMNANN-GXFFZTMASA-N 1 2 275.396 3.659 20 0 CHADLO C[C@H]1C[C@@H](NC(=O)c2ccc(C3CCC3)cc2)c2[nH+]ccn21 ZINC000334766516 225313344 /nfs/dbraw/zinc/31/33/44/225313344.db2.gz CCBANYYQYPPLHO-BLLLJJGKSA-N 1 2 295.386 3.586 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1nccn1-c1ccccc1)c1ccco1 ZINC000347171296 226067842 /nfs/dbraw/zinc/06/78/42/226067842.db2.gz QEXSELROQNMNOT-KBPBESRZSA-N 1 2 281.359 3.877 20 0 CHADLO C[C@]1(F)CC[N@H+](Cc2cccc(C(F)(F)F)c2)C1 ZINC000347387745 226141873 /nfs/dbraw/zinc/14/18/73/226141873.db2.gz RCCVEBVQNCZGRV-LBPRGKRZSA-N 1 2 261.262 3.639 20 0 CHADLO C[C@]1(F)CC[N@@H+](Cc2cccc(C(F)(F)F)c2)C1 ZINC000347387745 226141877 /nfs/dbraw/zinc/14/18/77/226141877.db2.gz RCCVEBVQNCZGRV-LBPRGKRZSA-N 1 2 261.262 3.639 20 0 CHADLO FC(F)COc1ccc(C[N@@H+]2CCC[C@H]2C(F)F)cc1 ZINC000348833234 226524661 /nfs/dbraw/zinc/52/46/61/226524661.db2.gz LNTWXMRJCZRVOF-LBPRGKRZSA-N 1 2 291.288 3.560 20 0 CHADLO FC(F)COc1ccc(C[N@H+]2CCC[C@H]2C(F)F)cc1 ZINC000348833234 226524663 /nfs/dbraw/zinc/52/46/63/226524663.db2.gz LNTWXMRJCZRVOF-LBPRGKRZSA-N 1 2 291.288 3.560 20 0 CHADLO FC(F)(F)c1cc[nH+]c(N2CCC[C@@H]2c2cccnc2)c1 ZINC000336433365 227007413 /nfs/dbraw/zinc/00/74/13/227007413.db2.gz FNAYCXYBEFRDAO-CYBMUJFWSA-N 1 2 293.292 3.837 20 0 CHADLO Cc1ccc(-c2nc(C[NH2+]Cc3cnccc3C)co2)cc1 ZINC000354505540 227016416 /nfs/dbraw/zinc/01/64/16/227016416.db2.gz PYWOOCADXLSGNA-UHFFFAOYSA-N 1 2 293.370 3.643 20 0 CHADLO CCc1noc([C@@H](C)[NH2+][C@H](C)c2c(C)cccc2C)n1 ZINC000354537089 227025379 /nfs/dbraw/zinc/02/53/79/227025379.db2.gz CCIXJSQDLUHVAC-CHWSQXEVSA-N 1 2 273.380 3.661 20 0 CHADLO c1ccc(COc2ccc[nH+]c2NC2CCC2)cc1 ZINC000354573617 227039757 /nfs/dbraw/zinc/03/97/57/227039757.db2.gz SDVQZYPUJPTPTK-UHFFFAOYSA-N 1 2 254.333 3.625 20 0 CHADLO Cc1ccc(CCCN2CCc3c2cccc3F)c[nH+]1 ZINC000354685340 227069930 /nfs/dbraw/zinc/06/99/30/227069930.db2.gz YZLXKWDHYRLVGZ-UHFFFAOYSA-N 1 2 270.351 3.524 20 0 CHADLO C[C@H]1CC[C@H](C(N)=O)CN1c1[nH+]ccc2ccc(F)cc21 ZINC000336540277 227106684 /nfs/dbraw/zinc/10/66/84/227106684.db2.gz MJVLQUTTYJUSHO-JQWIXIFHSA-N 1 2 287.338 3.514 20 0 CHADLO CC(C)c1nccc(NCCCc2[nH]c3ccccc3[nH+]2)n1 ZINC000171813010 335081245 /nfs/dbraw/zinc/08/12/45/335081245.db2.gz CNTMHWQSGXFHDL-UHFFFAOYSA-N 1 2 295.390 3.521 20 0 CHADLO COc1cccc(C[N@H+](C)Cc2ccoc2)c1OC(F)F ZINC000352650373 227135987 /nfs/dbraw/zinc/13/59/87/227135987.db2.gz WEJMIHGIFLZNQU-UHFFFAOYSA-N 1 2 297.301 3.522 20 0 CHADLO COc1cccc(C[N@@H+](C)Cc2ccoc2)c1OC(F)F ZINC000352650373 227135989 /nfs/dbraw/zinc/13/59/89/227135989.db2.gz WEJMIHGIFLZNQU-UHFFFAOYSA-N 1 2 297.301 3.522 20 0 CHADLO Cc1cc(N2CCC[C@H]2c2ccc(C)c(C)c2)nc[nH+]1 ZINC000301223718 227478970 /nfs/dbraw/zinc/47/89/70/227478970.db2.gz DKWSEWBSWDBPGS-INIZCTEOSA-N 1 2 267.376 3.743 20 0 CHADLO Cc1cc(N[C@@H](C)c2ccc(OC(F)F)cc2)nc[nH+]1 ZINC000301248006 227486058 /nfs/dbraw/zinc/48/60/58/227486058.db2.gz OTZRNMKELFCFBP-JTQLQIEISA-N 1 2 279.290 3.560 20 0 CHADLO C[C@@H]1CN(c2[nH]c3ccccc3[nH+]2)[C@@H]2CCCC[C@H]21 ZINC000302136006 227800071 /nfs/dbraw/zinc/80/00/71/227800071.db2.gz DDZVXEMVJOQVNS-TYNCELHUSA-N 1 2 255.365 3.578 20 0 CHADLO Cc1cc(C(F)(F)F)ccc1CNc1cc[nH+]c(C)n1 ZINC000302710041 227879234 /nfs/dbraw/zinc/87/92/34/227879234.db2.gz KWOPZRGFFKWFRZ-UHFFFAOYSA-N 1 2 281.281 3.724 20 0 CHADLO Cc1cccc2[nH+]c(CCc3nc([C@H]4CC4(C)C)no3)[nH]c21 ZINC000355874652 228031481 /nfs/dbraw/zinc/03/14/81/228031481.db2.gz RZSVCVPPYIUYQV-LLVKDONJSA-N 1 2 296.374 3.553 20 0 CHADLO Cc1cccc2[nH]c(CCc3nc([C@H]4CC4(C)C)no3)[nH+]c21 ZINC000355874652 228031482 /nfs/dbraw/zinc/03/14/82/228031482.db2.gz RZSVCVPPYIUYQV-LLVKDONJSA-N 1 2 296.374 3.553 20 0 CHADLO CCn1c[nH+]cc1CN1C[C@H](C)CSc2ccccc21 ZINC000418075261 228008854 /nfs/dbraw/zinc/00/88/54/228008854.db2.gz DQWPEHVEGOOFGO-ZDUSSCGKSA-N 1 2 287.432 3.651 20 0 CHADLO CCn1c[nH+]cc1CNc1ccc(Cl)c(SC)c1 ZINC000418092225 228028146 /nfs/dbraw/zinc/02/81/46/228028146.db2.gz FOSFADBLBMFXFD-UHFFFAOYSA-N 1 2 281.812 3.890 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cscc2C(F)(F)F)CC(C)(C)O1 ZINC000356057777 228104089 /nfs/dbraw/zinc/10/40/89/228104089.db2.gz OIZZEOMOVDWUDX-SECBINFHSA-N 1 2 293.354 3.766 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cscc2C(F)(F)F)CC(C)(C)O1 ZINC000356057777 228104091 /nfs/dbraw/zinc/10/40/91/228104091.db2.gz OIZZEOMOVDWUDX-SECBINFHSA-N 1 2 293.354 3.766 20 0 CHADLO C[C@H](CC(C)(C)C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000356061773 228105543 /nfs/dbraw/zinc/10/55/43/228105543.db2.gz CKVIATMIKZNERK-CYBMUJFWSA-N 1 2 285.391 3.883 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000353469904 228107694 /nfs/dbraw/zinc/10/76/94/228107694.db2.gz HDRMTAODIUVOIL-VIFPVBQESA-N 1 2 293.329 3.782 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1cc(C(F)(F)F)ccc1F ZINC000353469904 228107695 /nfs/dbraw/zinc/10/76/95/228107695.db2.gz HDRMTAODIUVOIL-VIFPVBQESA-N 1 2 293.329 3.782 20 0 CHADLO C[C@H]1CSCC[N@@H+]1Cc1cc(F)cc(C(F)(F)F)c1 ZINC000353468782 228107873 /nfs/dbraw/zinc/10/78/73/228107873.db2.gz CITOXBWWLCBPNY-VIFPVBQESA-N 1 2 293.329 3.782 20 0 CHADLO C[C@H]1CSCC[N@H+]1Cc1cc(F)cc(C(F)(F)F)c1 ZINC000353468782 228107876 /nfs/dbraw/zinc/10/78/76/228107876.db2.gz CITOXBWWLCBPNY-VIFPVBQESA-N 1 2 293.329 3.782 20 0 CHADLO FC(F)[C@H]1CCC[N@@H+]1Cc1cc2ccccc2o1 ZINC000353201016 228069216 /nfs/dbraw/zinc/06/92/16/228069216.db2.gz KYQZHGYJRXTPSD-GFCCVEGCSA-N 1 2 251.276 3.662 20 0 CHADLO FC(F)[C@H]1CCC[N@H+]1Cc1cc2ccccc2o1 ZINC000353201016 228069218 /nfs/dbraw/zinc/06/92/18/228069218.db2.gz KYQZHGYJRXTPSD-GFCCVEGCSA-N 1 2 251.276 3.662 20 0 CHADLO C[C@@H]1C[N@H+](Cc2cc(F)cc(C(F)(F)F)c2)CCS1 ZINC000353290801 228080745 /nfs/dbraw/zinc/08/07/45/228080745.db2.gz XKYRQHCJQLHOIN-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO C[C@@H]1C[N@@H+](Cc2cc(F)cc(C(F)(F)F)c2)CCS1 ZINC000353290801 228080746 /nfs/dbraw/zinc/08/07/46/228080746.db2.gz XKYRQHCJQLHOIN-SECBINFHSA-N 1 2 293.329 3.782 20 0 CHADLO Cc1ccc(CNc2ccc(C)[nH+]c2)c(-c2cnn(C)c2)c1 ZINC000367222989 228126128 /nfs/dbraw/zinc/12/61/28/228126128.db2.gz DNPNHEFYDDOEGG-UHFFFAOYSA-N 1 2 292.386 3.711 20 0 CHADLO Cc1ccc(Cc2noc(/C=C/c3cccs3)n2)c[nH+]1 ZINC000356124610 228130889 /nfs/dbraw/zinc/13/08/89/228130889.db2.gz JPIHGSRJMPYRDJ-VOTSOKGWSA-N 1 2 283.356 3.596 20 0 CHADLO Cc1ccc(Cc2noc(Cc3ccc(Cl)cc3)n2)c[nH+]1 ZINC000356134741 228135274 /nfs/dbraw/zinc/13/52/74/228135274.db2.gz ZFADRRGTGHJKAK-UHFFFAOYSA-N 1 2 299.761 3.608 20 0 CHADLO Cc1ccc(Cc2nc(-c3ccc4cc[nH]c4c3)no2)c[nH+]1 ZINC000356148666 228139826 /nfs/dbraw/zinc/13/98/26/228139826.db2.gz LYOBEWZHHHQQHR-UHFFFAOYSA-N 1 2 290.326 3.512 20 0 CHADLO Cc1ccc(CCCNc2cc(F)ccc2C)c[nH+]1 ZINC000353817666 228155682 /nfs/dbraw/zinc/15/56/82/228155682.db2.gz RGTTWOAFRJTTOM-UHFFFAOYSA-N 1 2 258.340 3.882 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)NCCCC1CCCC1 ZINC000351923322 228165342 /nfs/dbraw/zinc/16/53/42/228165342.db2.gz TWBJWNPQHZQCEP-UHFFFAOYSA-N 1 2 275.396 3.790 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N1C[C@H](C)C[C@H](C)[C@@H]1C ZINC000351929761 228166648 /nfs/dbraw/zinc/16/66/48/228166648.db2.gz BFPLNOKECJPRRR-OSMZGAPFSA-N 1 2 275.396 3.597 20 0 CHADLO Cc1ccccc1OCC[N@@H+](C)Cc1ncc(Cl)s1 ZINC000351990013 228171677 /nfs/dbraw/zinc/17/16/77/228171677.db2.gz XXQYXVFLKZORGJ-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO Cc1ccccc1OCC[N@H+](C)Cc1ncc(Cl)s1 ZINC000351990013 228171678 /nfs/dbraw/zinc/17/16/78/228171678.db2.gz XXQYXVFLKZORGJ-UHFFFAOYSA-N 1 2 296.823 3.616 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1ncc(Cl)s1 ZINC000352004219 228173735 /nfs/dbraw/zinc/17/37/35/228173735.db2.gz UWOIRBZRZKGXRM-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1ncc(Cl)s1 ZINC000352004219 228173736 /nfs/dbraw/zinc/17/37/36/228173736.db2.gz UWOIRBZRZKGXRM-SNVBAGLBSA-N 1 2 266.797 3.990 20 0 CHADLO C[C@H]1c2ccc(F)cc2CCN1c1cc[nH+]c(C2CC2)n1 ZINC000167569651 228182318 /nfs/dbraw/zinc/18/23/18/228182318.db2.gz OBIGLLCCBLMTEE-NSHDSACASA-N 1 2 283.350 3.617 20 0 CHADLO Cc1ccc(COc2cccc(N3CCCC3)c2)c(C)[nH+]1 ZINC000352220840 228200766 /nfs/dbraw/zinc/20/07/66/228200766.db2.gz DXEXCDUWRXMJPX-UHFFFAOYSA-N 1 2 282.387 3.878 20 0 CHADLO CN(C)c1ccc(NCc2ccccc2C(F)(F)F)c[nH+]1 ZINC000036988809 260061780 /nfs/dbraw/zinc/06/17/80/260061780.db2.gz HLKHIXQZJMZULS-UHFFFAOYSA-N 1 2 295.308 3.779 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000447852077 260143678 /nfs/dbraw/zinc/14/36/78/260143678.db2.gz XWOUKBTZSPSGRE-IAQYHMDHSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@H](c1cc(F)ccc1F)[N@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000447852077 260143681 /nfs/dbraw/zinc/14/36/81/260143681.db2.gz XWOUKBTZSPSGRE-IAQYHMDHSA-N 1 2 283.362 3.773 20 0 CHADLO C[C@H](Nc1ccc2oc(C3CC3)nc2c1)c1[nH+]ccn1C ZINC000070444110 260157863 /nfs/dbraw/zinc/15/78/63/260157863.db2.gz UAGMFGBLWKPSJQ-JTQLQIEISA-N 1 2 282.347 3.612 20 0 CHADLO COCc1cccc(CNc2cc(C(F)(F)F)cc[nH+]2)c1 ZINC000078592040 260168404 /nfs/dbraw/zinc/16/84/04/260168404.db2.gz JZBYHWWQWBBCON-UHFFFAOYSA-N 1 2 296.292 3.859 20 0 CHADLO C[C@@H]([NH2+]C1(C(F)F)CC1)c1cccc(Br)c1 ZINC000309608874 260180745 /nfs/dbraw/zinc/18/07/45/260180745.db2.gz ZJNOFAJKNJHZJA-MRVPVSSYSA-N 1 2 290.151 3.897 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc2c1CCCC2 ZINC000090207489 260239270 /nfs/dbraw/zinc/23/92/70/260239270.db2.gz CXSNUMQVLPEUSS-UHFFFAOYSA-N 1 2 269.392 3.955 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1cccc(OC(F)(F)F)c1 ZINC000090207589 260239424 /nfs/dbraw/zinc/23/94/24/260239424.db2.gz CIYLOYPISPKGAI-UHFFFAOYSA-N 1 2 299.296 3.975 20 0 CHADLO CCC(CC)(CNc1ccc2ccccc2[nH+]1)OC ZINC000311475185 260250496 /nfs/dbraw/zinc/25/04/96/260250496.db2.gz PQYSZHCMUMMJHS-UHFFFAOYSA-N 1 2 258.365 3.852 20 0 CHADLO Cc1[nH+]c(-c2ccncc2)nc(N[C@@H]2CCC[C@H](C)C2)c1C ZINC000517927611 260318697 /nfs/dbraw/zinc/31/86/97/260318697.db2.gz UQGDLNIUJZBGPZ-BLLLJJGKSA-N 1 2 296.418 3.568 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2cnc3ccccc3c2)cs1 ZINC000518279844 260368382 /nfs/dbraw/zinc/36/83/82/260368382.db2.gz BFDUEFYIFMTUKC-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2cnc3ccccc3c2)cs1 ZINC000518279844 260368383 /nfs/dbraw/zinc/36/83/83/260368383.db2.gz BFDUEFYIFMTUKC-UHFFFAOYSA-N 1 2 297.427 3.886 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](C)c1cc2c(s1)CCCC2 ZINC000129421801 260387202 /nfs/dbraw/zinc/38/72/02/260387202.db2.gz FSAHBZPHUPFCSJ-NSHDSACASA-N 1 2 275.421 3.509 20 0 CHADLO CCc1cnc(C[N@H+](C)Cc2cc(F)cc(F)c2)s1 ZINC000093807461 260524777 /nfs/dbraw/zinc/52/47/77/260524777.db2.gz FHHQNWLPOJEKAE-UHFFFAOYSA-N 1 2 282.359 3.616 20 0 CHADLO CCc1cnc(C[N@@H+](C)Cc2cc(F)cc(F)c2)s1 ZINC000093807461 260524778 /nfs/dbraw/zinc/52/47/78/260524778.db2.gz FHHQNWLPOJEKAE-UHFFFAOYSA-N 1 2 282.359 3.616 20 0 CHADLO CCc1nc(C)c(C[NH2+][C@H](c2nc(C)cs2)C2CC2)o1 ZINC000428322739 260791521 /nfs/dbraw/zinc/79/15/21/260791521.db2.gz IBDCFBCRTBFWHO-AWEZNQCLSA-N 1 2 291.420 3.551 20 0 CHADLO Cc1cc(C)c(NC(=O)N[C@@H]2CCCC[C@@H]2C)c(C)[nH+]1 ZINC000408405269 260835477 /nfs/dbraw/zinc/83/54/77/260835477.db2.gz CHKXMCOMUHFMHV-IINYFYTJSA-N 1 2 275.396 3.707 20 0 CHADLO CCCN(CC1CC1)c1cc(CC)[nH+]c(-c2ccncc2)n1 ZINC000448128906 260854304 /nfs/dbraw/zinc/85/43/04/260854304.db2.gz PIBWDDHSQALGQD-UHFFFAOYSA-N 1 2 296.418 3.727 20 0 CHADLO CC[C@H](C)CN(CC)C(=O)Nc1ccc(C)[nH+]c1C ZINC000152196059 261061296 /nfs/dbraw/zinc/06/12/96/261061296.db2.gz JTBIGJCPUNNJCF-NSHDSACASA-N 1 2 263.385 3.598 20 0 CHADLO Cc1ccc(NC(=O)N2CCC(C(C)C)CC2)c(C)[nH+]1 ZINC000152242747 261062066 /nfs/dbraw/zinc/06/20/66/261062066.db2.gz QJNWCJPXSIOOJM-UHFFFAOYSA-N 1 2 275.396 3.598 20 0 CHADLO CC[C@@](C)([NH2+]Cc1ccccc1F)c1nccs1 ZINC000152631210 261066685 /nfs/dbraw/zinc/06/66/85/261066685.db2.gz PQTCKHDRXWQEFL-CQSZACIVSA-N 1 2 264.369 3.697 20 0 CHADLO CCc1nc(C[NH2+][C@@](C)(CC)c2nccs2)cs1 ZINC000152644088 261067345 /nfs/dbraw/zinc/06/73/45/261067345.db2.gz UUPYUFPIYRDTFB-ZDUSSCGKSA-N 1 2 281.450 3.577 20 0 CHADLO COc1ccc(C(C)(C)C(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000152650426 261067531 /nfs/dbraw/zinc/06/75/31/261067531.db2.gz LVVIUHBUQKKADH-UHFFFAOYSA-N 1 2 298.386 3.623 20 0 CHADLO CCc1nc2c(s1)[C@@H]([N@H+](C)Cc1cc(C)on1)CCC2 ZINC000152697325 261068457 /nfs/dbraw/zinc/06/84/57/261068457.db2.gz QSYXBZQTBYKCPY-ZDUSSCGKSA-N 1 2 291.420 3.511 20 0 CHADLO CCc1nc2c(s1)[C@@H]([N@@H+](C)Cc1cc(C)on1)CCC2 ZINC000152697325 261068458 /nfs/dbraw/zinc/06/84/58/261068458.db2.gz QSYXBZQTBYKCPY-ZDUSSCGKSA-N 1 2 291.420 3.511 20 0 CHADLO CC(C)CCCC(=O)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000448732650 261072314 /nfs/dbraw/zinc/07/23/14/261072314.db2.gz BZCIIDGMPRTXKD-UHFFFAOYSA-N 1 2 285.391 3.852 20 0 CHADLO CC[N@H+](Cc1c(F)cccc1F)[C@@H](C)c1ccccn1 ZINC000155863053 261108925 /nfs/dbraw/zinc/10/89/25/261108925.db2.gz PBXWPQBMFSQCEP-LBPRGKRZSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@@H+](Cc1c(F)cccc1F)[C@@H](C)c1ccccn1 ZINC000155863053 261108926 /nfs/dbraw/zinc/10/89/26/261108926.db2.gz PBXWPQBMFSQCEP-LBPRGKRZSA-N 1 2 276.330 3.943 20 0 CHADLO CC(C)(C)c1ncc(C[N@@H+]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000448364939 261128712 /nfs/dbraw/zinc/12/87/12/261128712.db2.gz HSSBVDJZKLBHFO-ZDUSSCGKSA-N 1 2 296.480 3.688 20 0 CHADLO CC(C)(C)c1ncc(C[N@H+]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000448364939 261128714 /nfs/dbraw/zinc/12/87/14/261128714.db2.gz HSSBVDJZKLBHFO-ZDUSSCGKSA-N 1 2 296.480 3.688 20 0 CHADLO COC[C@@H]([NH2+][C@H](C)c1c(F)cccc1F)c1ccco1 ZINC000156660223 261128730 /nfs/dbraw/zinc/12/87/30/261128730.db2.gz AWUPSQJYZZJESM-ZWNOBZJWSA-N 1 2 281.302 3.596 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000448367959 261130944 /nfs/dbraw/zinc/13/09/44/261130944.db2.gz SXPDNCZKUBYUFC-ZDUSSCGKSA-N 1 2 282.453 3.513 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCO[C@H](C(C)(C)C)C2)s1 ZINC000448367959 261130945 /nfs/dbraw/zinc/13/09/45/261130945.db2.gz SXPDNCZKUBYUFC-ZDUSSCGKSA-N 1 2 282.453 3.513 20 0 CHADLO CC[C@H]1CCC[C@@H]1C(=O)Nc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000448896442 261141288 /nfs/dbraw/zinc/14/12/88/261141288.db2.gz NJJFARDGSUTQFL-WFASDCNBSA-N 1 2 283.375 3.842 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ccn(-c2ccc(F)cc2)n1 ZINC000173564432 261165110 /nfs/dbraw/zinc/16/51/10/261165110.db2.gz PBTUDPVZQHOTFC-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ccn(-c2ccc(F)cc2)n1 ZINC000173564432 261165111 /nfs/dbraw/zinc/16/51/11/261165111.db2.gz PBTUDPVZQHOTFC-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO Cc1cc2ccccc2n1CC(=O)Nc1ccc(C)[nH+]c1C ZINC000176201361 261269821 /nfs/dbraw/zinc/26/98/21/261269821.db2.gz RVYLAGHMJDMITG-UHFFFAOYSA-N 1 2 293.370 3.600 20 0 CHADLO CCSc1ccc([C@@H](C)[NH2+]Cc2cn[nH]c2C)cc1 ZINC000103345657 261278741 /nfs/dbraw/zinc/27/87/41/261278741.db2.gz YELQEPXPRWDJEN-LLVKDONJSA-N 1 2 275.421 3.681 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000162475737 261282831 /nfs/dbraw/zinc/28/28/31/261282831.db2.gz FFFIUSYYGMRJAW-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1ncc(C(C)(C)C)o1 ZINC000162475737 261282832 /nfs/dbraw/zinc/28/28/32/261282832.db2.gz FFFIUSYYGMRJAW-GFCCVEGCSA-N 1 2 273.380 3.560 20 0 CHADLO C[C@]12CC3CC(C(=O)Nc4ccc(N)[nH+]c4)(C1)C[C@@](C)(C3)C2 ZINC000176441951 261288545 /nfs/dbraw/zinc/28/85/45/261288545.db2.gz OIXHFNNACUJOEG-FGKMVVGBSA-N 1 2 299.418 3.599 20 0 CHADLO CC(C)(C)c1ccc(NC(=O)[C@@H]2CCCc3[nH+]c[nH]c32)cc1 ZINC000368458424 261303337 /nfs/dbraw/zinc/30/33/37/261303337.db2.gz OOADCCMSTDEHOD-CQSZACIVSA-N 1 2 297.402 3.766 20 0 CHADLO CC[N@H+](Cc1ccccn1)[C@@H](C)c1cc(F)ccc1F ZINC000104949195 261305253 /nfs/dbraw/zinc/30/52/53/261305253.db2.gz NADCEBRZEXBRNE-LBPRGKRZSA-N 1 2 276.330 3.943 20 0 CHADLO CC[N@@H+](Cc1ccccn1)[C@@H](C)c1cc(F)ccc1F ZINC000104949195 261305255 /nfs/dbraw/zinc/30/52/55/261305255.db2.gz NADCEBRZEXBRNE-LBPRGKRZSA-N 1 2 276.330 3.943 20 0 CHADLO CCC[C@@](C)([NH2+]C/C=C\c1ccc(Cl)cc1)C(=O)OC ZINC000526055814 261520455 /nfs/dbraw/zinc/52/04/55/261520455.db2.gz PISBXJRAWBJUST-OGZRUICASA-N 1 2 295.810 3.675 20 0 CHADLO Cc1cnc(C(C)(C)[NH2+]Cc2cc(F)ccc2F)s1 ZINC000175982904 261633148 /nfs/dbraw/zinc/63/31/48/261633148.db2.gz TWBOZXHFZLLGBU-UHFFFAOYSA-N 1 2 282.359 3.755 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@H+](C)Cc1cnc(Cl)s1 ZINC000177165617 261689204 /nfs/dbraw/zinc/68/92/04/261689204.db2.gz XWFHLISQPNKZQG-SECBINFHSA-N 1 2 282.796 3.695 20 0 CHADLO C[C@H](c1cccc(O)c1)[N@@H+](C)Cc1cnc(Cl)s1 ZINC000177165617 261689206 /nfs/dbraw/zinc/68/92/06/261689206.db2.gz XWFHLISQPNKZQG-SECBINFHSA-N 1 2 282.796 3.695 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2ccc(F)c(F)c2)oc1C ZINC000180876320 261877615 /nfs/dbraw/zinc/87/76/15/261877615.db2.gz ATWYAVIDNLBEPE-UHFFFAOYSA-N 1 2 280.318 3.595 20 0 CHADLO CC(=O)Nc1ccc([C@@H](C)Nc2ccc([NH+](C)C)cc2)cc1 ZINC000182210883 261929830 /nfs/dbraw/zinc/92/98/30/261929830.db2.gz XWPWUILVHSLFSV-CYBMUJFWSA-N 1 2 297.402 3.884 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)s1)c1ccon1 ZINC000336744523 262002536 /nfs/dbraw/zinc/00/25/36/262002536.db2.gz QCKJMTIAUXBONG-YUMQZZPRSA-N 1 2 256.758 3.801 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)c3cc(C)cc(F)c3)ccc2n1C ZINC000525724084 262005029 /nfs/dbraw/zinc/00/50/29/262005029.db2.gz UCMHGPSPFXUIMR-UHFFFAOYSA-N 1 2 297.333 3.582 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnc(Cl)s1)c1nc(C)cs1 ZINC000184407436 262019391 /nfs/dbraw/zinc/01/93/91/262019391.db2.gz CRIUYINKZFFABW-SECBINFHSA-N 1 2 287.841 3.802 20 0 CHADLO COc1cc(C)c(NCc2[nH+]ccn2C(C)C)cc1F ZINC000184562813 262023325 /nfs/dbraw/zinc/02/33/25/262023325.db2.gz REGFVINKBGMUHN-UHFFFAOYSA-N 1 2 277.343 3.532 20 0 CHADLO Cc1noc(C)c1C[NH2+][C@H](C)c1csc(C(C)C)n1 ZINC000186275350 262087218 /nfs/dbraw/zinc/08/72/18/262087218.db2.gz JHLJGRJYLRBXHF-SNVBAGLBSA-N 1 2 279.409 3.722 20 0 CHADLO Cc1cc(NC(=O)N(C)CC2(c3ccccc3)CC2)cc[nH+]1 ZINC000186736463 262107970 /nfs/dbraw/zinc/10/79/70/262107970.db2.gz QUKUPRDIEQGRMB-UHFFFAOYSA-N 1 2 295.386 3.586 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)C[C@H]2C=CCCC2)c1 ZINC000186929277 262116718 /nfs/dbraw/zinc/11/67/18/262116718.db2.gz YYTWAPUZPJSGTN-AWEZNQCLSA-N 1 2 295.386 3.772 20 0 CHADLO CC(C)c1nnc(C[NH+]2CCC(c3ccsc3)CC2)o1 ZINC000186919386 262116877 /nfs/dbraw/zinc/11/68/77/262116877.db2.gz BOBXALJNCVTHHK-UHFFFAOYSA-N 1 2 291.420 3.634 20 0 CHADLO O=C(/C=C\C1CC1)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000491931266 262157322 /nfs/dbraw/zinc/15/73/22/262157322.db2.gz YWCNUCSTOIDOKP-SREVYHEPSA-N 1 2 280.327 3.779 20 0 CHADLO c1cncc(CNc2ccc([N@@H+]3C[C@H]4CC[C@@H]3C4)cc2)c1 ZINC000192214865 262199943 /nfs/dbraw/zinc/19/99/43/262199943.db2.gz SQUYPRQDLRNCIA-KBXCAEBGSA-N 1 2 279.387 3.682 20 0 CHADLO c1cncc(CNc2ccc([N@H+]3C[C@H]4CC[C@@H]3C4)cc2)c1 ZINC000192214865 262199944 /nfs/dbraw/zinc/19/99/44/262199944.db2.gz SQUYPRQDLRNCIA-KBXCAEBGSA-N 1 2 279.387 3.682 20 0 CHADLO Cc1cc(N[C@@H]2CCC[C@@H](C)[C@@H]2C)nc(-c2cccnc2)[nH+]1 ZINC000521402534 262300849 /nfs/dbraw/zinc/30/08/49/262300849.db2.gz ODNJCOHKPVFRHG-IVMMDQJWSA-N 1 2 296.418 3.506 20 0 CHADLO CC[C@@H]([NH2+]Cc1cc(F)ccc1OC)c1nccs1 ZINC000311974819 262345016 /nfs/dbraw/zinc/34/50/16/262345016.db2.gz PPXCFJXHBGNBSQ-GFCCVEGCSA-N 1 2 280.368 3.532 20 0 CHADLO C[C@H]1C[C@@H](Nc2[nH+]ccc3ccc(F)cc32)CS1 ZINC000413513053 262767285 /nfs/dbraw/zinc/76/72/85/262767285.db2.gz IDEJXDHEANMCTE-JOYOIKCWSA-N 1 2 262.353 3.680 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccn(C)n1)c1cc(F)ccc1F ZINC000414092084 262803305 /nfs/dbraw/zinc/80/33/05/262803305.db2.gz LMCRTQAFWIPCQN-HZMBPMFUSA-N 1 2 279.334 3.500 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1cccc(F)c1F)c1cc2n(n1)CCC2 ZINC000414104186 262805521 /nfs/dbraw/zinc/80/55/21/262805521.db2.gz OCPFBJLWNBMUOJ-QWRGUYRKSA-N 1 2 291.345 3.519 20 0 CHADLO COC[C@H]([NH2+][C@H](C)c1cscn1)c1ccc(Cl)cc1 ZINC000279151236 263053305 /nfs/dbraw/zinc/05/33/05/263053305.db2.gz APEJLJVOQLHEDY-MFKMUULPSA-N 1 2 296.823 3.835 20 0 CHADLO C[C@@H]([NH2+]Cc1ncnn1C(C)(C)C)c1csc(Cl)c1 ZINC000282693822 263077998 /nfs/dbraw/zinc/07/79/98/263077998.db2.gz KLYFKKTVPISAOI-SECBINFHSA-N 1 2 298.843 3.599 20 0 CHADLO CCCCN(C(=O)C=Cc1c[nH]c[nH+]1)[C@H](C)c1ccccc1 ZINC000283544663 263083387 /nfs/dbraw/zinc/08/33/87/263083387.db2.gz SNIVWEITXWSLES-AUECHBEKSA-N 1 2 297.402 3.813 20 0 CHADLO Cc1cc([C@@H](C)Nc2ccc([NH+](C)C)cc2C)no1 ZINC000284884971 263088307 /nfs/dbraw/zinc/08/83/07/263088307.db2.gz BMXSFQSIYSVLFG-GFCCVEGCSA-N 1 2 259.353 3.531 20 0 CHADLO C[C@@H]1CC[C@@H](c2ccccc2)[N@H+](Cc2csnn2)C1 ZINC000289749949 263111966 /nfs/dbraw/zinc/11/19/66/263111966.db2.gz QMEMXFCTSFTHDF-DOMZBBRYSA-N 1 2 273.405 3.511 20 0 CHADLO C[C@@H]1CC[C@@H](c2ccccc2)[N@@H+](Cc2csnn2)C1 ZINC000289749949 263111967 /nfs/dbraw/zinc/11/19/67/263111967.db2.gz QMEMXFCTSFTHDF-DOMZBBRYSA-N 1 2 273.405 3.511 20 0 CHADLO Fc1cc(F)c(C[N@H+](Cc2ccccn2)C2CC2)cc1F ZINC000290607518 263117733 /nfs/dbraw/zinc/11/77/33/263117733.db2.gz WHPGJSZHHZZZLM-UHFFFAOYSA-N 1 2 292.304 3.664 20 0 CHADLO Fc1cc(F)c(C[N@@H+](Cc2ccccn2)C2CC2)cc1F ZINC000290607518 263117734 /nfs/dbraw/zinc/11/77/34/263117734.db2.gz WHPGJSZHHZZZLM-UHFFFAOYSA-N 1 2 292.304 3.664 20 0 CHADLO Cc1ccoc1C[N@H+](C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000292720255 263134232 /nfs/dbraw/zinc/13/42/32/263134232.db2.gz FEQPQYJVPYCDBF-ZDUSSCGKSA-N 1 2 292.404 3.528 20 0 CHADLO Cc1ccoc1C[N@@H+](C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000292720255 263134233 /nfs/dbraw/zinc/13/42/33/263134233.db2.gz FEQPQYJVPYCDBF-ZDUSSCGKSA-N 1 2 292.404 3.528 20 0 CHADLO Clc1csc(C[N@@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000293864534 263151361 /nfs/dbraw/zinc/15/13/61/263151361.db2.gz CDTBLQPCRGBGFV-UONOGXRCSA-N 1 2 283.824 3.545 20 0 CHADLO Clc1csc(C[N@H+]2C[C@]3(CCCO3)[C@@H]2C2CC2)c1 ZINC000293864534 263151362 /nfs/dbraw/zinc/15/13/62/263151362.db2.gz CDTBLQPCRGBGFV-UONOGXRCSA-N 1 2 283.824 3.545 20 0 CHADLO CC[C@H](C)[C@@H](C)C(=O)N(C)[C@H](c1[nH]cc[nH+]1)c1ccccc1 ZINC000293871191 263151544 /nfs/dbraw/zinc/15/15/44/263151544.db2.gz HCJMUYFMASPYIW-LZWOXQAQSA-N 1 2 299.418 3.640 20 0 CHADLO CCCCC[C@@](C)(CC)C(=O)NCc1[nH+]ccn1CC ZINC000295900707 263183677 /nfs/dbraw/zinc/18/36/77/263183677.db2.gz ZSKBBKPDTGOLPW-MRXNPFEDSA-N 1 2 279.428 3.516 20 0 CHADLO O=C(Nc1ccc2[nH]c(C3CCC3)[nH+]c2c1)C1CCC1 ZINC000299560963 263247597 /nfs/dbraw/zinc/24/75/97/263247597.db2.gz VMCNODZPBXCIDB-UHFFFAOYSA-N 1 2 269.348 3.569 20 0 CHADLO Fc1ccc([C@@H](NCc2ccccc2F)c2[nH]cc[nH+]2)cc1 ZINC000187686944 263264958 /nfs/dbraw/zinc/26/49/58/263264958.db2.gz RRSAXKIBWHSAOT-MRXNPFEDSA-N 1 2 299.324 3.567 20 0 CHADLO FC(F)(F)Cn1cc[nH+]c1CNc1ccc(Cl)cc1 ZINC000342435663 263434141 /nfs/dbraw/zinc/43/41/41/263434141.db2.gz ZPUNIFDUKOIOMW-UHFFFAOYSA-N 1 2 289.688 3.711 20 0 CHADLO C[C@@H]1OCCN(c2cc[nH+]c3c(Cl)cccc23)[C@H]1C ZINC000342635453 263457793 /nfs/dbraw/zinc/45/77/93/263457793.db2.gz LICYGWBWYLDAKJ-QWRGUYRKSA-N 1 2 276.767 3.502 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC[C@H]2c2ccc(Cl)cc2)[nH+]1 ZINC000343249441 263914222 /nfs/dbraw/zinc/91/42/22/263914222.db2.gz LAYKADCCSRTFID-AWEZNQCLSA-N 1 2 298.777 3.871 20 0 CHADLO Cc1cc(C)cc(N(C)Cc2c[nH+]cn2C(C)C)c1 ZINC000425340496 264007500 /nfs/dbraw/zinc/00/75/00/264007500.db2.gz FBGYFLHZIXOVOY-UHFFFAOYSA-N 1 2 257.381 3.717 20 0 CHADLO CCSCc1cc[nH+]c(N[C@H]2CC[C@@H]2SC)c1 ZINC000425359696 264141735 /nfs/dbraw/zinc/14/17/35/264141735.db2.gz SCZTVOLBSTXTQI-RYUDHWBXSA-N 1 2 268.451 3.641 20 0 CHADLO CC[C@@H](OC1CCCCC1)C(=O)Nc1c(C)cc[nH+]c1C ZINC000331169501 264145926 /nfs/dbraw/zinc/14/59/26/264145926.db2.gz ZEZYJXLREGTRJK-OAHLLOKOSA-N 1 2 290.407 3.765 20 0 CHADLO CC[C@H](NC(=O)[C@@H](C)CC1CCCCC1)c1[nH]cc[nH+]1 ZINC000331294994 264197487 /nfs/dbraw/zinc/19/74/87/264197487.db2.gz YDCRNSAPFOQVPF-JSGCOSHPSA-N 1 2 277.412 3.584 20 0 CHADLO Fc1ccc(C[NH+]2CC(CC(F)F)C2)cc1C(F)(F)F ZINC000425365474 264211318 /nfs/dbraw/zinc/21/13/18/264211318.db2.gz KUWODZQMUJVPOV-UHFFFAOYSA-N 1 2 297.242 3.932 20 0 CHADLO FC(F)CC1C[NH+](Cc2nc(-c3ccccc3)cs2)C1 ZINC000425368947 264212941 /nfs/dbraw/zinc/21/29/41/264212941.db2.gz YOQGBOPJUVTLQG-UHFFFAOYSA-N 1 2 294.370 3.897 20 0 CHADLO COC(=O)[C@H]([NH2+][C@@H](C)C1CCCC1)c1ccc(Cl)cc1 ZINC000474931067 264241835 /nfs/dbraw/zinc/24/18/35/264241835.db2.gz YLQOXIWZCRAQSS-XHDPSFHLSA-N 1 2 295.810 3.722 20 0 CHADLO Cc1cc(N)nc(S[C@H]2CCCc3ccccc32)[nH+]1 ZINC000071918037 264260846 /nfs/dbraw/zinc/26/08/46/264260846.db2.gz RNUVUMWBKFCARV-ZDUSSCGKSA-N 1 2 271.389 3.537 20 0 CHADLO COc1cccc2c(NCCCc3ccccn3)cc[nH+]c12 ZINC000189534144 264306858 /nfs/dbraw/zinc/30/68/58/264306858.db2.gz KXMDMWWEXOTZLI-UHFFFAOYSA-N 1 2 293.370 3.683 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)Nc1c[nH+]ccc1OC ZINC000074540580 264312199 /nfs/dbraw/zinc/31/21/99/264312199.db2.gz IIYIEWMZWXZFBO-ZDUSSCGKSA-N 1 2 276.380 3.635 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(F)c(F)c1)c1cscn1 ZINC000162298951 264318496 /nfs/dbraw/zinc/31/84/96/264318496.db2.gz XLLSGHBJENNOCD-RKDXNWHRSA-N 1 2 268.332 3.833 20 0 CHADLO Cc1noc(C)c1[C@@H](C)CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000129414243 264324017 /nfs/dbraw/zinc/32/40/17/264324017.db2.gz ZNJGKKKOGLMQOQ-QMMMGPOBSA-N 1 2 299.296 3.921 20 0 CHADLO CC[C@@H]([NH2+]Cc1nc(C(F)F)no1)c1ccc(C)cc1 ZINC000425460223 264333068 /nfs/dbraw/zinc/33/30/68/264333068.db2.gz QNYKNTICWHNXGM-LLVKDONJSA-N 1 2 281.306 3.557 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+]Cc2cc(F)c(F)c(F)c2)s1 ZINC000134904861 264528981 /nfs/dbraw/zinc/52/89/81/264528981.db2.gz LQRJYVAQSGPJLA-MRVPVSSYSA-N 1 2 286.322 3.720 20 0 CHADLO CCc1cc(N[C@@H]2CCC[C@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000518843368 265179616 /nfs/dbraw/zinc/17/96/16/265179616.db2.gz FZHGNNHWCAHWEW-XJKSGUPXSA-N 1 2 296.418 3.514 20 0 CHADLO CCC[C@@H](Cc1ccccc1)Nc1cc[nH+]c(C2CC2)n1 ZINC000518895601 265200707 /nfs/dbraw/zinc/20/07/07/265200707.db2.gz ABIFRPNYBBPMKO-INIZCTEOSA-N 1 2 281.403 3.599 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc(C2CC3(CCC3)C2)n1 ZINC000519154498 265340924 /nfs/dbraw/zinc/34/09/24/265340924.db2.gz PMBKJZUDUBTADU-UHFFFAOYSA-N 1 2 283.375 3.606 20 0 CHADLO Cc1csc([C@@H](C)[NH2+][C@H](C)c2cc(C)ccn2)n1 ZINC000353504225 266039804 /nfs/dbraw/zinc/03/98/04/266039804.db2.gz QVMPXYILLQKWLI-VXGBXAGGSA-N 1 2 261.394 3.567 20 0 CHADLO Cc1ccc(Cc2noc(C[C@H](C)CC(C)C)n2)c[nH+]1 ZINC000356161935 266059586 /nfs/dbraw/zinc/05/95/86/266059586.db2.gz DLFQCYDNNCCHDY-GFCCVEGCSA-N 1 2 273.380 3.589 20 0 CHADLO Cc1c[nH+]c(CN2CC[C@@H](C)Sc3ccccc32)n1C ZINC000356297256 266090199 /nfs/dbraw/zinc/09/01/99/266090199.db2.gz FFFNMJFGNZGKKZ-CYBMUJFWSA-N 1 2 287.432 3.619 20 0 CHADLO CCOc1cccc([C@@H](C)[NH2+][C@H](C)c2ncc(C)o2)c1 ZINC000356293870 266090365 /nfs/dbraw/zinc/09/03/65/266090365.db2.gz XLACMIXTAXOPLL-CHWSQXEVSA-N 1 2 274.364 3.794 20 0 CHADLO Cc1cnc([C@@H](C)[NH2+][C@@H](C)c2cccc(N3CCCC3)c2)o1 ZINC000356312868 266094281 /nfs/dbraw/zinc/09/42/81/266094281.db2.gz QAVCHKCHDJJGSB-LSDHHAIUSA-N 1 2 299.418 3.995 20 0 CHADLO CCC1(CC)CCN(C(=O)c2ccc3[nH+]c(C)n(C)c3c2)C1 ZINC000356354584 266100024 /nfs/dbraw/zinc/10/00/24/266100024.db2.gz AVPONSMRNFGBJQ-UHFFFAOYSA-N 1 2 299.418 3.534 20 0 CHADLO C[N@H+](Cc1cscc1C(F)(F)F)Cc1ccccn1 ZINC000356428943 266109827 /nfs/dbraw/zinc/10/98/27/266109827.db2.gz AAXXAAQFVZUBMV-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO C[N@@H+](Cc1cscc1C(F)(F)F)Cc1ccccn1 ZINC000356428943 266109830 /nfs/dbraw/zinc/10/98/30/266109830.db2.gz AAXXAAQFVZUBMV-UHFFFAOYSA-N 1 2 286.322 3.794 20 0 CHADLO CC(C)=C(F)c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000356638667 266151650 /nfs/dbraw/zinc/15/16/50/266151650.db2.gz FPZSMXSRVUIWDF-UHFFFAOYSA-N 1 2 284.294 3.643 20 0 CHADLO C[C@@H]1CCN(c2cc[nH+]c3ccncc32)CC12CCC2 ZINC000356772369 266172860 /nfs/dbraw/zinc/17/28/60/266172860.db2.gz AIIDBWOYSDAXBK-CYBMUJFWSA-N 1 2 267.376 3.646 20 0 CHADLO CC[N@H+](Cc1cccs1)[C@H](C)c1ncc(C)o1 ZINC000356958051 266218321 /nfs/dbraw/zinc/21/83/21/266218321.db2.gz SPVNFJYGIDTJBT-LLVKDONJSA-N 1 2 250.367 3.628 20 0 CHADLO CC[N@@H+](Cc1cccs1)[C@H](C)c1ncc(C)o1 ZINC000356958051 266218325 /nfs/dbraw/zinc/21/83/25/266218325.db2.gz SPVNFJYGIDTJBT-LLVKDONJSA-N 1 2 250.367 3.628 20 0 CHADLO Cc1cc2[nH+]cn(Cc3nc4cccnc4s3)c2cc1C ZINC000357085481 266244806 /nfs/dbraw/zinc/24/48/06/266244806.db2.gz BYHZEEMOHBNFAP-UHFFFAOYSA-N 1 2 294.383 3.706 20 0 CHADLO Cc1ccccc1[C@@H](C)CC(=O)N1CCC[C@H]1c1[nH]cc[nH+]1 ZINC000357117724 266251098 /nfs/dbraw/zinc/25/10/98/266251098.db2.gz KAPCERZZLDJWFY-HOCLYGCPSA-N 1 2 297.402 3.575 20 0 CHADLO CC(C)c1cc(N[C@@]2(C)CCCOC2)nc(C(C)C)[nH+]1 ZINC000357212026 266266889 /nfs/dbraw/zinc/26/68/89/266266889.db2.gz XNBBSGOYBUOJFG-INIZCTEOSA-N 1 2 277.412 3.704 20 0 CHADLO O=C(Nc1ccc(-n2cc[nH+]c2)cc1)[C@H]1CC12CCCCC2 ZINC000357480748 266305561 /nfs/dbraw/zinc/30/55/61/266305561.db2.gz RUKWWJCBOIQCML-MRXNPFEDSA-N 1 2 295.386 3.781 20 0 CHADLO COCc1cc(N2CC[C@@H](C)[C@@H]2C)c2cc(F)ccc2[nH+]1 ZINC000357516263 266314271 /nfs/dbraw/zinc/31/42/71/266314271.db2.gz QOKJLLAAJOKDTP-NEPJUHHUSA-N 1 2 288.366 3.755 20 0 CHADLO Cc1nc(C[N@H+](C2CC2)C2CC(c3ccccc3C)C2)no1 ZINC000357814130 266371386 /nfs/dbraw/zinc/37/13/86/266371386.db2.gz WMYSXNZOTKFDII-UHFFFAOYSA-N 1 2 297.402 3.597 20 0 CHADLO Cc1nc(C[N@@H+](C2CC2)C2CC(c3ccccc3C)C2)no1 ZINC000357814130 266371389 /nfs/dbraw/zinc/37/13/89/266371389.db2.gz WMYSXNZOTKFDII-UHFFFAOYSA-N 1 2 297.402 3.597 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1cccc2ccccc21 ZINC000358758664 266492360 /nfs/dbraw/zinc/49/23/60/266492360.db2.gz ADNMXGASNIWEOF-UHFFFAOYSA-N 1 2 287.322 3.740 20 0 CHADLO C[C@@H]([NH2+][C@H](CC(F)(F)F)c1ccccc1)c1cnccn1 ZINC000360147840 266686740 /nfs/dbraw/zinc/68/67/40/266686740.db2.gz HWZGGVNVVWJQLE-DGCLKSJQSA-N 1 2 295.308 3.821 20 0 CHADLO Fc1ccc2cc[nH+]c(N[C@@H]3CCO[C@@H](C4CC4)C3)c2c1 ZINC000360863924 266749547 /nfs/dbraw/zinc/74/95/47/266749547.db2.gz JGHLPZUAGUWRHX-GDBMZVCRSA-N 1 2 286.350 3.743 20 0 CHADLO CCc1ncc(C[N@@H+]2CCc3cc(Cl)ccc3C2)s1 ZINC000361726667 266875217 /nfs/dbraw/zinc/87/52/17/266875217.db2.gz KGURTKDYZKPZRD-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CCc1ncc(C[N@H+]2CCc3cc(Cl)ccc3C2)s1 ZINC000361726667 266875218 /nfs/dbraw/zinc/87/52/18/266875218.db2.gz KGURTKDYZKPZRD-UHFFFAOYSA-N 1 2 292.835 3.917 20 0 CHADLO CC(C)Cn1ccnc1C[NH2+]Cc1cc(F)cc(Cl)c1 ZINC000362527076 267000650 /nfs/dbraw/zinc/00/06/50/267000650.db2.gz XYYHIJSFGQCEEF-UHFFFAOYSA-N 1 2 295.789 3.621 20 0 CHADLO Cc1[nH+]cccc1NCc1cc2ccc(F)cc2[nH]1 ZINC000362801820 267048460 /nfs/dbraw/zinc/04/84/60/267048460.db2.gz PESVSNKGZCYVRQ-UHFFFAOYSA-N 1 2 255.296 3.623 20 0 CHADLO FC(F)(F)COCC[N@@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000362866009 267055104 /nfs/dbraw/zinc/05/51/04/267055104.db2.gz SQRHUILVRDOFNJ-LBPRGKRZSA-N 1 2 293.716 3.666 20 0 CHADLO FC(F)(F)COCC[N@H+]1CC[C@H]1c1ccc(Cl)cc1 ZINC000362866009 267055105 /nfs/dbraw/zinc/05/51/05/267055105.db2.gz SQRHUILVRDOFNJ-LBPRGKRZSA-N 1 2 293.716 3.666 20 0 CHADLO CC[C@H](C)c1nnc([C@H](C)[NH2+]Cc2ccsc2Cl)[nH]1 ZINC000362922101 267060883 /nfs/dbraw/zinc/06/08/83/267060883.db2.gz BPIASKXUCFFDDI-IUCAKERBSA-N 1 2 298.843 3.884 20 0 CHADLO CC[C@H](C)c1nnc([C@@H](C)[NH2+]Cc2ccsc2Cl)[nH]1 ZINC000362922100 267061305 /nfs/dbraw/zinc/06/13/05/267061305.db2.gz BPIASKXUCFFDDI-DTWKUNHWSA-N 1 2 298.843 3.884 20 0 CHADLO COc1ncccc1C[N@H+](Cc1ccccc1F)C(C)C ZINC000362924098 267061570 /nfs/dbraw/zinc/06/15/70/267061570.db2.gz WJDQQOQVINAUGX-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO COc1ncccc1C[N@@H+](Cc1ccccc1F)C(C)C ZINC000362924098 267061573 /nfs/dbraw/zinc/06/15/73/267061573.db2.gz WJDQQOQVINAUGX-UHFFFAOYSA-N 1 2 288.366 3.640 20 0 CHADLO CCOc1ccccc1[C@H](CC)[NH2+][C@H]1CCCc2[nH]ncc21 ZINC000367535013 267097303 /nfs/dbraw/zinc/09/73/03/267097303.db2.gz ZTCLWUCCFPYWER-HOTGVXAUSA-N 1 2 299.418 3.927 20 0 CHADLO CC(C)(C)CCCCC(=O)N1CCC[C@H](n2cc[nH+]c2)C1 ZINC000367719467 267113161 /nfs/dbraw/zinc/11/31/61/267113161.db2.gz GQNCKGFRLONNBI-HNNXBMFYSA-N 1 2 291.439 3.653 20 0 CHADLO Cc1cccc(C)c1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117567756 267216082 /nfs/dbraw/zinc/21/60/82/267216082.db2.gz OLUHVDANSWYBNG-UHFFFAOYSA-N 1 2 291.354 3.741 20 0 CHADLO CCc1ccccc1NC(=O)c1cccc(-n2cc[nH+]c2)c1 ZINC000117570502 267216240 /nfs/dbraw/zinc/21/62/40/267216240.db2.gz YBUFHTFFDBWYPB-UHFFFAOYSA-N 1 2 291.354 3.687 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@H+](Cc2cscn2)C1 ZINC000370312691 267308613 /nfs/dbraw/zinc/30/86/13/267308613.db2.gz AXFGKMQXKRZWDQ-HNNXBMFYSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1ccccc1[C@]1(F)CC[N@@H+](Cc2cscn2)C1 ZINC000370312691 267308615 /nfs/dbraw/zinc/30/86/15/267308615.db2.gz AXFGKMQXKRZWDQ-HNNXBMFYSA-N 1 2 276.380 3.522 20 0 CHADLO Cc1cc(NC(=O)N[C@@H]2CCCc3ccccc32)cc[nH+]1 ZINC000119307140 267321269 /nfs/dbraw/zinc/32/12/69/267321269.db2.gz IXQNLIMNKNFRCS-MRXNPFEDSA-N 1 2 281.359 3.589 20 0 CHADLO CO[C@@H]1CCCN(c2cc[nH+]c3c(Cl)cccc23)CC1 ZINC000370668305 267336761 /nfs/dbraw/zinc/33/67/61/267336761.db2.gz VDCKHBIYRJCGES-GFCCVEGCSA-N 1 2 290.794 3.894 20 0 CHADLO Cc1cc(NC(=O)N[C@@H](C)c2ccccc2Cl)cc[nH+]1 ZINC000119566529 267345057 /nfs/dbraw/zinc/34/50/57/267345057.db2.gz GTQUWZBYJYOYJJ-NSHDSACASA-N 1 2 289.766 3.926 20 0 CHADLO Cc1cc(C[N@@H+]2CCCC[C@@H]2c2ccc(F)cc2F)on1 ZINC000371228889 267374401 /nfs/dbraw/zinc/37/44/01/267374401.db2.gz HVUBFVNMSDJASX-MRXNPFEDSA-N 1 2 292.329 3.988 20 0 CHADLO Cc1cc(C[N@H+]2CCCC[C@@H]2c2ccc(F)cc2F)on1 ZINC000371228889 267374403 /nfs/dbraw/zinc/37/44/03/267374403.db2.gz HVUBFVNMSDJASX-MRXNPFEDSA-N 1 2 292.329 3.988 20 0 CHADLO C[C@H]([NH2+]Cc1ncoc1-c1ccccc1)c1ccoc1 ZINC000371281241 267380864 /nfs/dbraw/zinc/38/08/64/267380864.db2.gz URWHVROENHFZSU-LBPRGKRZSA-N 1 2 268.316 3.785 20 0 CHADLO Cc1cc([C@H](C)[NH2+][C@@H](c2ccccc2)[C@@H]2CCCO2)no1 ZINC000377086474 268046619 /nfs/dbraw/zinc/04/66/19/268046619.db2.gz LYDWKXRPQRNJBY-JQFCIGGWSA-N 1 2 286.375 3.554 20 0 CHADLO CC(C)n1ccc(C[N@@H+]2CCc3ccccc3[C@@H]2C)n1 ZINC000172014747 335088555 /nfs/dbraw/zinc/08/85/55/335088555.db2.gz AGSHCMGMAXDICI-AWEZNQCLSA-N 1 2 269.392 3.583 20 0 CHADLO CC(C)n1ccc(C[N@H+]2CCc3ccccc3[C@@H]2C)n1 ZINC000172014747 335088556 /nfs/dbraw/zinc/08/85/56/335088556.db2.gz AGSHCMGMAXDICI-AWEZNQCLSA-N 1 2 269.392 3.583 20 0 CHADLO Cc1ccc(C)c([C@H](C)N(C)C(=O)C[C@@H](C)n2cc[nH+]c2)c1 ZINC000570727020 327614840 /nfs/dbraw/zinc/61/48/40/327614840.db2.gz JIOMCQTXEKUSJV-CVEARBPZSA-N 1 2 299.418 3.671 20 0 CHADLO CCc1cc(NC(=O)N[C@H]2C[C@H](C)n3cc[nH+]c32)ccc1C ZINC000570774928 327618058 /nfs/dbraw/zinc/61/80/58/327618058.db2.gz FGSCISIYVIKFLH-WFASDCNBSA-N 1 2 298.390 3.581 20 0 CHADLO Cc1[nH]c(CNc2cc(C)ccc2Br)[nH+]c1C ZINC000570816996 327622421 /nfs/dbraw/zinc/62/24/21/327622421.db2.gz YNNCCLUFSIKWRO-UHFFFAOYSA-N 1 2 294.196 3.710 20 0 CHADLO C[C@@H]([NH2+]Cc1ccc(C(F)(F)F)cc1)C(C)(F)F ZINC000389456130 328846778 /nfs/dbraw/zinc/84/67/78/328846778.db2.gz YFMFDNDOXFMHQV-MRVPVSSYSA-N 1 2 267.241 3.839 20 0 CHADLO C[C@@H]([NH2+]C1CCC(C(F)(F)F)CC1)C(F)(F)F ZINC000389463839 328848220 /nfs/dbraw/zinc/84/82/20/328848220.db2.gz IONHCWRVTDGTLI-JECWYVHBSA-N 1 2 263.225 3.648 20 0 CHADLO COc1cccc2cc([C@H](C)[NH2+]CC(C)(F)F)oc21 ZINC000580222001 327738559 /nfs/dbraw/zinc/73/85/59/327738559.db2.gz YAYIUNHZVSBXFL-VIFPVBQESA-N 1 2 269.291 3.747 20 0 CHADLO C[C@H]([NH2+]Cc1nc2cc(F)ccc2o1)c1cccc(O)c1 ZINC000181518851 327740949 /nfs/dbraw/zinc/74/09/49/327740949.db2.gz CZGLHQWMAJNLNX-JTQLQIEISA-N 1 2 286.306 3.523 20 0 CHADLO CCCCc1nc(C[NH2+][C@@H](c2ccccc2)C2CC2)no1 ZINC000179103290 327799452 /nfs/dbraw/zinc/79/94/52/327799452.db2.gz QWOPOSXVVQHJCL-KRWDZBQOSA-N 1 2 285.391 3.653 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccccc2Cl)s1 ZINC000273191017 327873669 /nfs/dbraw/zinc/87/36/69/327873669.db2.gz NQVCMLDYUNFDON-BDAKNGLRSA-N 1 2 281.812 3.912 20 0 CHADLO CCC[C@H](CC)S(=O)(=O)Nc1ccc2c[nH+]ccc2c1 ZINC000563222822 327980883 /nfs/dbraw/zinc/98/08/83/327980883.db2.gz REHUMEIAGZLPEY-HNNXBMFYSA-N 1 2 292.404 3.555 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)[C@@H](Cc1ccccc1)C(C)C ZINC000531547144 328014786 /nfs/dbraw/zinc/01/47/86/328014786.db2.gz HOACAFWAJYOFJD-KRWDZBQOSA-N 1 2 296.414 3.519 20 0 CHADLO CCc1cc(C[NH2+][C@H](C)c2ccc(F)cc2Cl)on1 ZINC000531721097 328028605 /nfs/dbraw/zinc/02/86/05/328028605.db2.gz KEVMKURJQZPJKI-SECBINFHSA-N 1 2 282.746 3.880 20 0 CHADLO COc1cc(C)[nH+]c(COc2ccc(F)c(Cl)c2)c1 ZINC000534065470 328033307 /nfs/dbraw/zinc/03/33/07/328033307.db2.gz OQMNUURJPMVUNM-UHFFFAOYSA-N 1 2 281.714 3.770 20 0 CHADLO Cc1cc(N[C@H]2CCO[C@@H]2C2CC2)c2cccc(F)c2[nH+]1 ZINC000534061947 328033344 /nfs/dbraw/zinc/03/33/44/328033344.db2.gz JJKIKHNLVZXBCS-WMLDXEAASA-N 1 2 286.350 3.662 20 0 CHADLO Cc1cccc(NC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000531777104 328034403 /nfs/dbraw/zinc/03/44/03/328034403.db2.gz RRMKPDPBYLBZTB-UHFFFAOYSA-N 1 2 255.321 3.651 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCOCC23CCCC3)cs1 ZINC000534231285 328040081 /nfs/dbraw/zinc/04/00/81/328040081.db2.gz IREISOKONGTKDG-UHFFFAOYSA-N 1 2 294.464 3.631 20 0 CHADLO CCCCc1nc(C[N@H+]2CCOCC23CCCC3)cs1 ZINC000534231285 328040082 /nfs/dbraw/zinc/04/00/82/328040082.db2.gz IREISOKONGTKDG-UHFFFAOYSA-N 1 2 294.464 3.631 20 0 CHADLO CCCC[C@@H]([NH2+][C@@H]1CCc2c1cccc2Cl)C(=O)OC ZINC000534243049 328041657 /nfs/dbraw/zinc/04/16/57/328041657.db2.gz JWNGFSVMQFHGLM-HUUCEWRRSA-N 1 2 295.810 3.649 20 0 CHADLO c1cc(CNc2c[nH+]c3c(c2)CCCC3)cc2c1OCC2 ZINC000541064542 328044561 /nfs/dbraw/zinc/04/45/61/328044561.db2.gz AABWMVMZENXPDQ-UHFFFAOYSA-N 1 2 280.371 3.507 20 0 CHADLO Cc1cc(NC(=O)Nc2cccc3ccsc32)c(C)c[nH+]1 ZINC000534324237 328045819 /nfs/dbraw/zinc/04/58/19/328045819.db2.gz XZPBLMFIOCZLSZ-UHFFFAOYSA-N 1 2 297.383 3.979 20 0 CHADLO C[C@@H]([NH2+][C@H](c1ccccc1)c1ccncc1)c1cscn1 ZINC000534329603 328046335 /nfs/dbraw/zinc/04/63/35/328046335.db2.gz OVJGCAXONVYJRA-CXAGYDPISA-N 1 2 295.411 3.978 20 0 CHADLO CC(C)c1nc(N2CC[C@@H](c3ccccc3)C2)cc[nH+]1 ZINC000110381377 328050016 /nfs/dbraw/zinc/05/00/16/328050016.db2.gz UAZAHLIEOMCLHZ-OAHLLOKOSA-N 1 2 267.376 3.594 20 0 CHADLO Cc1cccc2c1cc[nH+]c2N1CCOC2(CCCC2)C1 ZINC000567381155 328065213 /nfs/dbraw/zinc/06/52/13/328065213.db2.gz KXOASLDTCWLBHN-UHFFFAOYSA-N 1 2 282.387 3.693 20 0 CHADLO CCc1cc(C[NH2+]Cc2csc(Cl)c2Cl)on1 ZINC000563669189 328083082 /nfs/dbraw/zinc/08/30/82/328083082.db2.gz IPVUSPDZJKGHBP-UHFFFAOYSA-N 1 2 291.203 3.895 20 0 CHADLO Cc1ccc(NC(=O)N[C@H](C)c2ccccc2C)c(C)[nH+]1 ZINC000152270043 328083647 /nfs/dbraw/zinc/08/36/47/328083647.db2.gz MRPPAWGXLBQMFP-CYBMUJFWSA-N 1 2 283.375 3.890 20 0 CHADLO CCCCCOc1ccc(CNc2c[nH]c[nH+]2)cc1 ZINC000571577084 328134790 /nfs/dbraw/zinc/13/47/90/328134790.db2.gz ULIHXPHCBYRKHY-UHFFFAOYSA-N 1 2 259.353 3.591 20 0 CHADLO C[C@@H](c1ccccc1Cl)[NH+]1CC(C(F)(F)F)C1 ZINC000571630700 328150421 /nfs/dbraw/zinc/15/04/21/328150421.db2.gz KPSVTASZDPXMOR-QMMMGPOBSA-N 1 2 263.690 3.895 20 0 CHADLO Cc1cc2nc(N[C@H]3C[C@@H](C)n4cc[nH+]c43)oc2c(C)c1 ZINC000571630582 328150425 /nfs/dbraw/zinc/15/04/25/328150425.db2.gz AVQIYMCLWWQKQS-YPMHNXCESA-N 1 2 282.347 3.759 20 0 CHADLO Cc1cccnc1[C@H]([NH2+][C@@H](C)c1cscn1)C(C)C ZINC000271138509 328195189 /nfs/dbraw/zinc/19/51/89/328195189.db2.gz NSHIMVVISRBDHK-GXTWGEPZSA-N 1 2 275.421 3.894 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1ccnn1-c1ccc(F)cc1 ZINC000353442488 328232893 /nfs/dbraw/zinc/23/28/93/328232893.db2.gz ADLGJJXITRWYRN-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1ccnn1-c1ccc(F)cc1 ZINC000353442488 328232894 /nfs/dbraw/zinc/23/28/94/328232894.db2.gz ADLGJJXITRWYRN-UHFFFAOYSA-N 1 2 299.349 3.545 20 0 CHADLO C[C@H](c1ccccc1)[N@H+](C)Cc1cc(F)ncc1F ZINC000294611965 328282068 /nfs/dbraw/zinc/28/20/68/328282068.db2.gz WLFSLSPDBSICCD-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO C[C@H](c1ccccc1)[N@@H+](C)Cc1cc(F)ncc1F ZINC000294611965 328282069 /nfs/dbraw/zinc/28/20/69/328282069.db2.gz WLFSLSPDBSICCD-LLVKDONJSA-N 1 2 262.303 3.553 20 0 CHADLO COC[C@@]1(C)CCN(c2cc[nH+]c3c(Cl)cccc23)C1 ZINC000294882146 328283546 /nfs/dbraw/zinc/28/35/46/328283546.db2.gz FHXZKYRWNMUVDC-INIZCTEOSA-N 1 2 290.794 3.751 20 0 CHADLO COc1cc(C)[nH+]c(CSc2cccc(C)c2)c1 ZINC000534519357 328304922 /nfs/dbraw/zinc/30/49/22/328304922.db2.gz LSDDMGUSELJMAP-UHFFFAOYSA-N 1 2 259.374 3.999 20 0 CHADLO COc1cc(C)[nH+]c(CSc2nc3cc(C)ccc3[nH]2)c1 ZINC000534520081 328305292 /nfs/dbraw/zinc/30/52/92/328305292.db2.gz XEJKVJIEBZKSCG-UHFFFAOYSA-N 1 2 299.399 3.876 20 0 CHADLO COc1cc(C)[nH+]c(CSc2nc3ccc(C)cc3[nH]2)c1 ZINC000534520081 328305293 /nfs/dbraw/zinc/30/52/93/328305293.db2.gz XEJKVJIEBZKSCG-UHFFFAOYSA-N 1 2 299.399 3.876 20 0 CHADLO CCC1CC[NH+](CC(=O)Nc2ccc(C)cc2Cl)CC1 ZINC000048584359 328317592 /nfs/dbraw/zinc/31/75/92/328317592.db2.gz WXJIULMHLLZZIC-UHFFFAOYSA-N 1 2 294.826 3.709 20 0 CHADLO CCc1cc(N)nc(SCc2cc(Cl)ccc2F)[nH+]1 ZINC000583091055 329314317 /nfs/dbraw/zinc/31/43/17/329314317.db2.gz GWTAPDNAIRBKJP-UHFFFAOYSA-N 1 2 297.786 3.536 20 0 CHADLO CSCC[N@H+](C)Cc1c(Cl)cccc1Cl ZINC000531968559 328357684 /nfs/dbraw/zinc/35/76/84/328357684.db2.gz OJQYGEYWKCSZFH-UHFFFAOYSA-N 1 2 264.221 3.788 20 0 CHADLO CSCC[N@@H+](C)Cc1c(Cl)cccc1Cl ZINC000531968559 328357685 /nfs/dbraw/zinc/35/76/85/328357685.db2.gz OJQYGEYWKCSZFH-UHFFFAOYSA-N 1 2 264.221 3.788 20 0 CHADLO CC(C)CC(=O)Nc1ccc2c(c1)[nH+]c(C(C)(C)C)n2C ZINC000534813652 328362584 /nfs/dbraw/zinc/36/25/84/328362584.db2.gz QMFASKMOIFNIGO-UHFFFAOYSA-N 1 2 287.407 3.855 20 0 CHADLO COc1ccnc(Nc2cccc([NH+]3CCCCCC3)c2)n1 ZINC000303939739 328369457 /nfs/dbraw/zinc/36/94/57/328369457.db2.gz YJIPCQTVONEDEI-UHFFFAOYSA-N 1 2 298.390 3.609 20 0 CHADLO Cc1cc(NC(=O)[C@H](Cc2ccccc2)C(C)C)c(C)c[nH+]1 ZINC000535036245 328379297 /nfs/dbraw/zinc/37/92/97/328379297.db2.gz LWOFNBAYCIYXKZ-QGZVFWFLSA-N 1 2 296.414 3.574 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@@H+]2CC[C@@](C)(F)C2)o1 ZINC000535044151 328380084 /nfs/dbraw/zinc/38/00/84/328380084.db2.gz SUMVNHKSEIKIFZ-MRXNPFEDSA-N 1 2 274.339 3.584 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@H+]2CC[C@@](C)(F)C2)o1 ZINC000535044151 328380086 /nfs/dbraw/zinc/38/00/86/328380086.db2.gz SUMVNHKSEIKIFZ-MRXNPFEDSA-N 1 2 274.339 3.584 20 0 CHADLO CCn1c(C[N@H+](C)[C@H](C)c2ccco2)nc2ccccc21 ZINC000535072406 328384036 /nfs/dbraw/zinc/38/40/36/328384036.db2.gz YQLIJIWWZPLXOS-CYBMUJFWSA-N 1 2 283.375 3.842 20 0 CHADLO CCn1c(C[N@@H+](C)[C@H](C)c2ccco2)nc2ccccc21 ZINC000535072406 328384037 /nfs/dbraw/zinc/38/40/37/328384037.db2.gz YQLIJIWWZPLXOS-CYBMUJFWSA-N 1 2 283.375 3.842 20 0 CHADLO CCc1nc(C[N@H+](C)Cc2ccc(C)c(F)c2)cs1 ZINC000535085203 328386339 /nfs/dbraw/zinc/38/63/39/328386339.db2.gz AZGBLOFCYZGIPW-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO CCc1nc(C[N@@H+](C)Cc2ccc(C)c(F)c2)cs1 ZINC000535085203 328386340 /nfs/dbraw/zinc/38/63/40/328386340.db2.gz AZGBLOFCYZGIPW-UHFFFAOYSA-N 1 2 278.396 3.785 20 0 CHADLO C[C@H](C(=O)OC(C)(C)C)[N@H+](C)Cc1cc2ccccc2o1 ZINC000532013937 328391805 /nfs/dbraw/zinc/39/18/05/328391805.db2.gz OUXFUGSHNFDNAG-GFCCVEGCSA-N 1 2 289.375 3.595 20 0 CHADLO C[C@H](C(=O)OC(C)(C)C)[N@@H+](C)Cc1cc2ccccc2o1 ZINC000532013937 328391806 /nfs/dbraw/zinc/39/18/06/328391806.db2.gz OUXFUGSHNFDNAG-GFCCVEGCSA-N 1 2 289.375 3.595 20 0 CHADLO Cc1cc(NC(=O)C(C)(C)c2ccc(Cl)cc2)cc[nH+]1 ZINC000112298565 328396679 /nfs/dbraw/zinc/39/66/79/328396679.db2.gz QQBDCKCUURVWHX-UHFFFAOYSA-N 1 2 288.778 3.960 20 0 CHADLO CCN(Cc1cc(OC)cc(C)[nH+]1)c1ccccc1F ZINC000535290509 328438148 /nfs/dbraw/zinc/43/81/48/328438148.db2.gz ZMYCBCDEIWOIRM-UHFFFAOYSA-N 1 2 274.339 3.564 20 0 CHADLO C[C@H](CC(=O)N1CCCC[C@@H]1c1ccccc1)n1cc[nH+]c1 ZINC000563814849 328520813 /nfs/dbraw/zinc/52/08/13/328520813.db2.gz JTWXGJFUGZGLOG-NVXWUHKLSA-N 1 2 297.402 3.588 20 0 CHADLO CC[C@@H]1CO[C@@H](C)CN1c1cc(C(C)C)[nH+]c(C(C)C)n1 ZINC000535593128 328595406 /nfs/dbraw/zinc/59/54/06/328595406.db2.gz OXQKBRQOBRBVSL-UONOGXRCSA-N 1 2 291.439 3.727 20 0 CHADLO CC[C@](C)(NCc1ccc(-n2cc[nH+]c2)cc1)C(F)(F)F ZINC000533389198 326825961 /nfs/dbraw/zinc/82/59/61/326825961.db2.gz FUXWZCOSUPXHAQ-AWEZNQCLSA-N 1 2 297.324 3.693 20 0 CHADLO CCCCOc1ccc(C[NH2+]CC(C)(F)F)cc1 ZINC000549862983 326840321 /nfs/dbraw/zinc/84/03/21/326840321.db2.gz KQVFSSSSKFPCQP-UHFFFAOYSA-N 1 2 257.324 3.610 20 0 CHADLO C[C@H]([NH2+][C@@H](C)c1ccc(Cl)cc1)c1csnn1 ZINC000398224627 326875421 /nfs/dbraw/zinc/87/54/21/326875421.db2.gz DUGVEHDCRYSMPG-IUCAKERBSA-N 1 2 267.785 3.603 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C3C(C)(C)C3(C)C)ccc2n1C ZINC000530587363 326881187 /nfs/dbraw/zinc/88/11/87/326881187.db2.gz ARWGTNDDTAQVRG-UHFFFAOYSA-N 1 2 285.391 3.502 20 0 CHADLO COc1cc(C)[nH+]c(CSc2ccccc2F)c1 ZINC000533806547 326980602 /nfs/dbraw/zinc/98/06/02/326980602.db2.gz HLTXMPMNMYGMMH-UHFFFAOYSA-N 1 2 263.337 3.830 20 0 CHADLO FC(F)(C[NH2+][C@H]1CCSC1)c1ccc(Cl)cc1 ZINC000556920230 326983618 /nfs/dbraw/zinc/98/36/18/326983618.db2.gz FTWJSGAZKFGCLV-NSHDSACASA-N 1 2 277.767 3.527 20 0 CHADLO CCc1cnc(C[N@H+](C)C/C=C\c2ccc(F)cc2)s1 ZINC000255883211 327024271 /nfs/dbraw/zinc/02/42/71/327024271.db2.gz GTEYUFNTMIGDAZ-PLNGDYQASA-N 1 2 290.407 3.990 20 0 CHADLO CCc1cnc(C[N@@H+](C)C/C=C\c2ccc(F)cc2)s1 ZINC000255883211 327024272 /nfs/dbraw/zinc/02/42/72/327024272.db2.gz GTEYUFNTMIGDAZ-PLNGDYQASA-N 1 2 290.407 3.990 20 0 CHADLO Cn1c[nH+]cc1CNc1ccccc1SC(F)(F)F ZINC000090165378 327031750 /nfs/dbraw/zinc/03/17/50/327031750.db2.gz AFJUNRCTBCXGCG-UHFFFAOYSA-N 1 2 287.310 3.644 20 0 CHADLO COc1cc(C)[nH+]c(CSCc2nc3ccccc3[nH]2)c1 ZINC000584189972 327059637 /nfs/dbraw/zinc/05/96/37/327059637.db2.gz CTRPFYLNCBOFOX-UHFFFAOYSA-N 1 2 299.399 3.708 20 0 CHADLO C[C@@H](C(=O)Nc1ccn2cc[nH+]c2c1)c1cccc(Cl)c1 ZINC000506884256 332781550 /nfs/dbraw/zinc/78/15/50/332781550.db2.gz JUIFLSNWMZGLGT-LLVKDONJSA-N 1 2 299.761 3.730 20 0 CHADLO CC[C@H](NC(=O)c1cc2cccc(C)c2s1)c1[nH]cc[nH+]1 ZINC000565976768 327101720 /nfs/dbraw/zinc/10/17/20/327101720.db2.gz DEFRMENDQWUMLD-LBPRGKRZSA-N 1 2 299.399 3.814 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@@H+](C)Cc2ccoc2)o1 ZINC000533816285 327105957 /nfs/dbraw/zinc/10/59/57/327105957.db2.gz YHJUPTOTJZPBKK-UHFFFAOYSA-N 1 2 282.343 3.875 20 0 CHADLO Cc1ccccc1-c1cnc(C[N@H+](C)Cc2ccoc2)o1 ZINC000533816285 327105958 /nfs/dbraw/zinc/10/59/58/327105958.db2.gz YHJUPTOTJZPBKK-UHFFFAOYSA-N 1 2 282.343 3.875 20 0 CHADLO Clc1ccccc1[C@H]1CCC[C@H]1Nc1c[nH]c[nH+]1 ZINC000558575208 327106653 /nfs/dbraw/zinc/10/66/53/327106653.db2.gz HVPWPQBJEJYGNM-DGCLKSJQSA-N 1 2 261.756 3.811 20 0 CHADLO CC1(c2ccccc2)CCC(Nc2c[nH]c[nH+]2)CC1 ZINC000558575250 327106699 /nfs/dbraw/zinc/10/66/99/327106699.db2.gz INQJFBQDYADHEV-UHFFFAOYSA-N 1 2 255.365 3.722 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2cc(C)c(C)o2)s1 ZINC000558621135 327108996 /nfs/dbraw/zinc/10/89/96/327108996.db2.gz MYKPMTYTTDLHBK-JTQLQIEISA-N 1 2 250.367 3.512 20 0 CHADLO C[C@@H]1CCC[C@H](CCSc2[nH+]cnc3c2cnn3C)C1 ZINC000558766723 327119616 /nfs/dbraw/zinc/11/96/16/327119616.db2.gz DPABLRHLDIUTFD-VXGBXAGGSA-N 1 2 290.436 3.672 20 0 CHADLO Cc1cc(NC2CC(C(C)(C)C)C2)nc(-c2cccnc2)[nH+]1 ZINC000558951275 327134213 /nfs/dbraw/zinc/13/42/13/327134213.db2.gz JJDCJFMUEJKLSF-UHFFFAOYSA-N 1 2 296.418 3.506 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1csnn1)c1cccc(Cl)c1 ZINC000398316583 327135099 /nfs/dbraw/zinc/13/50/99/327135099.db2.gz FRZILQMGRCAVFA-BXKDBHETSA-N 1 2 281.812 3.993 20 0 CHADLO CC[C@H]([NH2+]Cc1cccc(OC(F)(F)F)c1)C(F)F ZINC000449364160 327172851 /nfs/dbraw/zinc/17/28/51/327172851.db2.gz RGAXORYKQBUJRG-JTQLQIEISA-N 1 2 283.240 3.719 20 0 CHADLO FC(F)(F)c1nn(CCc2ccccc2)c2cc[nH+]cc21 ZINC000092918215 327195851 /nfs/dbraw/zinc/19/58/51/327195851.db2.gz VXXMWIDJPRBPAO-UHFFFAOYSA-N 1 2 291.276 3.693 20 0 CHADLO C[C@@H]1CCC[C@H](Nc2ccc(N3CCCC3)[nH+]c2)C1 ZINC000036982135 327210586 /nfs/dbraw/zinc/21/05/86/327210586.db2.gz WQRBCWBHPJBBAQ-KGLIPLIRSA-N 1 2 259.397 3.672 20 0 CHADLO CCC(CC)[C@@H](C)C(=O)Nc1cccc(-n2cc[nH+]c2)c1 ZINC000536420663 327236821 /nfs/dbraw/zinc/23/68/21/327236821.db2.gz NZNNUTWOYNNYDR-CYBMUJFWSA-N 1 2 285.391 3.883 20 0 CHADLO CCCOc1ccc(C[NH2+][C@H](CC)C(F)F)cc1 ZINC000556162260 327250603 /nfs/dbraw/zinc/25/06/03/327250603.db2.gz YBAWEFZKQRLPRT-CYBMUJFWSA-N 1 2 257.324 3.609 20 0 CHADLO Clc1ccc([C@@H](c2ccccc2)[NH+]2CCOCC2)cc1 ZINC000559721544 327258418 /nfs/dbraw/zinc/25/84/18/327258418.db2.gz DDAHAZXPIPKZSA-QGZVFWFLSA-N 1 2 287.790 3.762 20 0 CHADLO CC[N@H+](Cc1ncc(C2CC2)o1)Cc1ccc(C)cc1 ZINC000559979606 327275272 /nfs/dbraw/zinc/27/52/72/327275272.db2.gz WTOSSMVDMPMOJB-UHFFFAOYSA-N 1 2 270.376 3.883 20 0 CHADLO CC[N@@H+](Cc1ncc(C2CC2)o1)Cc1ccc(C)cc1 ZINC000559979606 327275273 /nfs/dbraw/zinc/27/52/73/327275273.db2.gz WTOSSMVDMPMOJB-UHFFFAOYSA-N 1 2 270.376 3.883 20 0 CHADLO CC(C)n1ccc(C[NH2+]Cc2ncc(C(C)(C)C)s2)n1 ZINC000560030493 327278925 /nfs/dbraw/zinc/27/89/25/327278925.db2.gz RPKWQXSNOZUFLD-UHFFFAOYSA-N 1 2 292.452 3.508 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@H](C)c2ccc(F)c(Cl)c2)o1 ZINC000112535085 327286284 /nfs/dbraw/zinc/28/62/84/327286284.db2.gz FUBNHQDNIGQYAW-SFYZADRCSA-N 1 2 283.734 3.582 20 0 CHADLO Fc1cc(F)cc([C@]2(F)CC[N@H+](CCC(F)(F)F)C2)c1 ZINC000560170499 327288388 /nfs/dbraw/zinc/28/83/88/327288388.db2.gz NKTJUTBFQAURSX-LBPRGKRZSA-N 1 2 297.242 3.788 20 0 CHADLO Fc1cc(F)cc([C@]2(F)CC[N@@H+](CCC(F)(F)F)C2)c1 ZINC000560170499 327288389 /nfs/dbraw/zinc/28/83/89/327288389.db2.gz NKTJUTBFQAURSX-LBPRGKRZSA-N 1 2 297.242 3.788 20 0 CHADLO Cc1ccc[nH+]c1CCc1noc([C@@H]2CCC[C@@H](C)C2)n1 ZINC000560354419 327302266 /nfs/dbraw/zinc/30/22/66/327302266.db2.gz UDSLNGNFBOBHBL-TZMCWYRMSA-N 1 2 285.391 3.852 20 0 CHADLO CC(C)[C@@H]1CC[C@H]1Nc1cccc(-n2cc[nH+]c2)c1 ZINC000560549699 327323476 /nfs/dbraw/zinc/32/34/76/327323476.db2.gz RXDZAUBYTHZSLM-JKSUJKDBSA-N 1 2 255.365 3.719 20 0 CHADLO C[C@H]([NH2+]CC(F)(F)c1ccc(Cl)cc1)[C@H]1CCCO1 ZINC000560579509 327327434 /nfs/dbraw/zinc/32/74/34/327327434.db2.gz AVOHULCZUPJSFN-GXFFZTMASA-N 1 2 289.753 3.589 20 0 CHADLO CC[N@H+](Cc1occc1C)Cc1nc(C)c2ccccc2n1 ZINC000560719811 327338720 /nfs/dbraw/zinc/33/87/20/327338720.db2.gz ZGFXNIYACCHKEF-UHFFFAOYSA-N 1 2 295.386 3.862 20 0 CHADLO CC[N@@H+](Cc1occc1C)Cc1nc(C)c2ccccc2n1 ZINC000560719811 327338722 /nfs/dbraw/zinc/33/87/22/327338722.db2.gz ZGFXNIYACCHKEF-UHFFFAOYSA-N 1 2 295.386 3.862 20 0 CHADLO CO[C@@H](COc1ccccc1-n1cc[nH+]c1)c1ccccc1 ZINC000561021012 327358594 /nfs/dbraw/zinc/35/85/94/327358594.db2.gz DMGSTHIBBDDNNS-SFHVURJKSA-N 1 2 294.354 3.639 20 0 CHADLO CN(c1cc[nH+]c2c(Cl)cccc12)[C@@H]1CCCC[C@H]1O ZINC000561307580 327384655 /nfs/dbraw/zinc/38/46/55/327384655.db2.gz QREYUINVVYPACR-HUUCEWRRSA-N 1 2 290.794 3.628 20 0 CHADLO Cc1cc(NC[C@H]2CCOC[C@@H]2C)c2cccc(F)c2[nH+]1 ZINC000561397150 327392097 /nfs/dbraw/zinc/39/20/97/327392097.db2.gz MRCGSVIFZQHYOF-WCQYABFASA-N 1 2 288.366 3.767 20 0 CHADLO C[C@H]([NH2+]Cc1ccc(C(C)(C)C)s1)c1csnn1 ZINC000398327115 327394170 /nfs/dbraw/zinc/39/41/70/327394170.db2.gz LKERQKUBYNAPBC-VIFPVBQESA-N 1 2 281.450 3.748 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1cccc(OC(F)F)c1 ZINC000398327716 327394513 /nfs/dbraw/zinc/39/45/13/327394513.db2.gz ZKOSKWSOJYFQHY-BDAKNGLRSA-N 1 2 299.346 3.551 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H](C)c1cccs1)C(=O)OC(C)(C)C ZINC000183059918 327403008 /nfs/dbraw/zinc/40/30/08/327403008.db2.gz BHZXOUSZYNNKFO-AAEUAGOBSA-N 1 2 283.437 3.765 20 0 CHADLO CCCc1noc(C[NH2+][C@H](C)c2cccc(Cl)c2)n1 ZINC000128286395 327420051 /nfs/dbraw/zinc/42/00/51/327420051.db2.gz UZBFVBHXSKFXNY-SNVBAGLBSA-N 1 2 279.771 3.526 20 0 CHADLO c1cc(N[C@H]2CCC[C@H]2C2CC2)[nH+]cc1N1CCCC1 ZINC000561800989 327422823 /nfs/dbraw/zinc/42/28/23/327422823.db2.gz MIGCEBSVSYJYAU-HOTGVXAUSA-N 1 2 271.408 3.672 20 0 CHADLO CC[C@H](c1ccc(F)cc1)[NH+]1CC(C(F)(F)F)C1 ZINC000561945097 327432452 /nfs/dbraw/zinc/43/24/52/327432452.db2.gz ZTPYLMQDDQJHMB-GFCCVEGCSA-N 1 2 261.262 3.771 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)C[C@@H]1CCCC[C@@H]1C ZINC000570040719 327564720 /nfs/dbraw/zinc/56/47/20/327564720.db2.gz KNKILXYUVUYAFL-FZMZJTMJSA-N 1 2 260.381 3.853 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@H](C)c2cscn2)cnn1C(C)(C)C ZINC000582192410 328624380 /nfs/dbraw/zinc/62/43/80/328624380.db2.gz IYRAJKQDWFNHLW-WDEREUQCSA-N 1 2 292.452 3.815 20 0 CHADLO Cc1cc(N(C)C[C@H]2CCCOC2)c2cccc(F)c2[nH+]1 ZINC000125170096 328627059 /nfs/dbraw/zinc/62/70/59/328627059.db2.gz HJZUSMJUAMRDKS-CYBMUJFWSA-N 1 2 288.366 3.545 20 0 CHADLO CCCCc1ccc(CC(=O)Nc2cc(C)[nH+]cc2C)cc1 ZINC000536575579 328667041 /nfs/dbraw/zinc/66/70/41/328667041.db2.gz WDUSUEIGAWOPDB-UHFFFAOYSA-N 1 2 296.414 3.644 20 0 CHADLO Cc1ccc(NC(=O)[C@@H](C)c2ccc(F)c(F)c2)c(C)[nH+]1 ZINC000336176172 328746801 /nfs/dbraw/zinc/74/68/01/328746801.db2.gz HCQXWKYVUPUDIJ-JTQLQIEISA-N 1 2 290.313 3.719 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@@H]3CC[C@@H](C)C3)ccc2n1C ZINC000532347101 328773280 /nfs/dbraw/zinc/77/32/80/328773280.db2.gz CEIOPVMNIUOZHS-DGCLKSJQSA-N 1 2 285.391 3.647 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC[C@H]([C@H]2CCOC2)C1 ZINC000532674002 328804670 /nfs/dbraw/zinc/80/46/70/328804670.db2.gz KNPOMDUJOOVLCU-IRXDYDNUSA-N 1 2 296.414 3.796 20 0 CHADLO C[C@H]([NH2+][C@H](c1ccccc1)C1CCC1)C(=O)OC(C)(C)C ZINC000532701568 328805805 /nfs/dbraw/zinc/80/58/05/328805805.db2.gz GHGVTTYNHLQUHP-XJKSGUPXSA-N 1 2 289.419 3.848 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H](C)c1cc2ccccc2s1)C1CC1 ZINC000526945045 328816348 /nfs/dbraw/zinc/81/63/48/328816348.db2.gz XVYNASGPRLORRX-BONVTDFDSA-N 1 2 289.400 3.504 20 0 CHADLO Cc1cc(NC(C)(C)COC(C)C)nc(C(C)C)[nH+]1 ZINC000396491226 328823244 /nfs/dbraw/zinc/82/32/44/328823244.db2.gz QYRUWVVCACOIKV-UHFFFAOYSA-N 1 2 265.401 3.524 20 0 CHADLO COc1ccc([C@H](C)[NH2+][C@H](C)C(C)(F)F)cc1F ZINC000389477977 328850374 /nfs/dbraw/zinc/85/03/74/328850374.db2.gz BEOKFBDAZVEKRL-DTWKUNHWSA-N 1 2 261.287 3.529 20 0 CHADLO C[C@H]([NH2+][C@@H](C)C(C)(F)F)c1cccc(OC(F)F)c1 ZINC000389458896 328847259 /nfs/dbraw/zinc/84/72/59/328847259.db2.gz DBWMYXYAYAVZLU-IUCAKERBSA-N 1 2 279.277 3.982 20 0 CHADLO C[C@@H]([NH2+]CC(C)(F)F)c1ccccc1OC(F)F ZINC000582255686 328851983 /nfs/dbraw/zinc/85/19/83/328851983.db2.gz JCDWPOWTJTZPGX-MRVPVSSYSA-N 1 2 265.250 3.594 20 0 CHADLO Cc1ccc(-n2cc[nH+]c2)c(C(=O)Nc2cccc(F)c2)c1 ZINC000114111743 328859606 /nfs/dbraw/zinc/85/96/06/328859606.db2.gz UPQVLGDEXVEHAM-UHFFFAOYSA-N 1 2 295.317 3.572 20 0 CHADLO CSC1(CNc2ccc(-n3cc[nH+]c3)cc2)CCC1 ZINC000356129489 328860684 /nfs/dbraw/zinc/86/06/84/328860684.db2.gz CDURQLHWIZOCIJ-UHFFFAOYSA-N 1 2 273.405 3.570 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)[C@H]1CC[C@H](C)C1 ZINC000357904973 328879130 /nfs/dbraw/zinc/87/91/30/328879130.db2.gz SBEOVLRMPLLKGN-FZMZJTMJSA-N 1 2 283.375 3.760 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1csc(C)n1)c1c(C)noc1C ZINC000358380980 328883331 /nfs/dbraw/zinc/88/33/31/328883331.db2.gz XUNKWMDKNTZISB-PELKAZGASA-N 1 2 279.409 3.858 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1csc(C)n1)c1c(C)noc1C ZINC000358380983 328883341 /nfs/dbraw/zinc/88/33/41/328883341.db2.gz XUNKWMDKNTZISB-UFBFGSQYSA-N 1 2 279.409 3.858 20 0 CHADLO O=C(Nc1cccc2[nH+]ccn21)c1cc2c(s1)CCCC2 ZINC000358780003 328894746 /nfs/dbraw/zinc/89/47/46/328894746.db2.gz CHHKHFOBJBEVIG-UHFFFAOYSA-N 1 2 297.383 3.527 20 0 CHADLO Cc1cccc2c1N(Cc1cc(-n3cc[nH+]c3)cs1)CC2 ZINC000360970175 328913328 /nfs/dbraw/zinc/91/33/28/328913328.db2.gz YOAQNMNWDLELMW-UHFFFAOYSA-N 1 2 295.411 3.805 20 0 CHADLO Cc1nc(N2CCC[C@H]2c2cccc(Cl)c2)cc[nH+]1 ZINC000360973429 328913790 /nfs/dbraw/zinc/91/37/90/328913790.db2.gz JDEDSPZZLZAKGD-AWEZNQCLSA-N 1 2 273.767 3.780 20 0 CHADLO CCN(C(=O)c1cccc(-n2cc[nH+]c2)c1)c1ccccc1 ZINC000117578302 328941477 /nfs/dbraw/zinc/94/14/77/328941477.db2.gz XJVOEYGXGGFCGP-UHFFFAOYSA-N 1 2 291.354 3.539 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N([C@@H](C)c1ccco1)C1CC1 ZINC000122101722 328996701 /nfs/dbraw/zinc/99/67/01/328996701.db2.gz ABZBJWYEKALYQC-LBPRGKRZSA-N 1 2 285.347 3.741 20 0 CHADLO CC(C)c1cnc(C[N@@H+]2CCC[C@H]2c2ccncc2)s1 ZINC000377701345 329025807 /nfs/dbraw/zinc/02/58/07/329025807.db2.gz SUSIDOFSWUCBNT-AWEZNQCLSA-N 1 2 287.432 3.999 20 0 CHADLO CC(C)c1cnc(C[N@H+]2CCC[C@H]2c2ccncc2)s1 ZINC000377701345 329025808 /nfs/dbraw/zinc/02/58/08/329025808.db2.gz SUSIDOFSWUCBNT-AWEZNQCLSA-N 1 2 287.432 3.999 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@@H+]2Cc2ccc(C)c(C)c2)no1 ZINC000378347665 329047301 /nfs/dbraw/zinc/04/73/01/329047301.db2.gz AVNJNYDLDHSNST-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO Cc1nc([C@@H]2CCCC[N@H+]2Cc2ccc(C)c(C)c2)no1 ZINC000378347665 329047302 /nfs/dbraw/zinc/04/73/02/329047302.db2.gz AVNJNYDLDHSNST-INIZCTEOSA-N 1 2 285.391 3.722 20 0 CHADLO FC(F)(F)c1csc(C[N@@H+]2CC3CCC2CC3)n1 ZINC000378381494 329047961 /nfs/dbraw/zinc/04/79/61/329047961.db2.gz DTEUOXMBQFUDNY-UHFFFAOYSA-N 1 2 276.327 3.536 20 0 CHADLO FC(F)(F)c1csc(C[N@H+]2CC3CCC2CC3)n1 ZINC000378381494 329047963 /nfs/dbraw/zinc/04/79/63/329047963.db2.gz DTEUOXMBQFUDNY-UHFFFAOYSA-N 1 2 276.327 3.536 20 0 CHADLO C(=C/[C@H]1CCC[N@H+]1Cc1noc(C2CC2)n1)\c1ccccc1 ZINC000378601976 329056187 /nfs/dbraw/zinc/05/61/87/329056187.db2.gz VRCUMUDJOLCZNB-YCABEKBOSA-N 1 2 295.386 3.625 20 0 CHADLO C(=C/[C@H]1CCC[N@@H+]1Cc1noc(C2CC2)n1)\c1ccccc1 ZINC000378601976 329056188 /nfs/dbraw/zinc/05/61/88/329056188.db2.gz VRCUMUDJOLCZNB-YCABEKBOSA-N 1 2 295.386 3.625 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@H+](C)Cc1ccoc1 ZINC000507206110 329058721 /nfs/dbraw/zinc/05/87/21/329058721.db2.gz AVPDYKCRMFTTDJ-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C[C@@H](c1cc(F)ccc1F)[N@@H+](C)Cc1ccoc1 ZINC000507206110 329058722 /nfs/dbraw/zinc/05/87/22/329058722.db2.gz AVPDYKCRMFTTDJ-JTQLQIEISA-N 1 2 251.276 3.751 20 0 CHADLO C=Cn1cc(C[N@@H+](C)[C@H](C)c2ccccc2Cl)cn1 ZINC000193687822 329060924 /nfs/dbraw/zinc/06/09/24/329060924.db2.gz RQIUSSAHJNSNKP-GFCCVEGCSA-N 1 2 275.783 3.830 20 0 CHADLO C=Cn1cc(C[N@H+](C)[C@H](C)c2ccccc2Cl)cn1 ZINC000193687822 329060925 /nfs/dbraw/zinc/06/09/25/329060925.db2.gz RQIUSSAHJNSNKP-GFCCVEGCSA-N 1 2 275.783 3.830 20 0 CHADLO CCn1ccnc1C[N@@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000171137119 329074764 /nfs/dbraw/zinc/07/47/64/329074764.db2.gz HCANBHFNIXHAFO-HNNXBMFYSA-N 1 2 289.810 3.894 20 0 CHADLO CCn1ccnc1C[N@H+]1CCC[C@H]1c1ccc(Cl)cc1 ZINC000171137119 329074766 /nfs/dbraw/zinc/07/47/66/329074766.db2.gz HCANBHFNIXHAFO-HNNXBMFYSA-N 1 2 289.810 3.894 20 0 CHADLO Cc1ccc(C[NH2+][C@H](c2ccccc2)c2cccnc2)o1 ZINC000171440441 329075897 /nfs/dbraw/zinc/07/58/97/329075897.db2.gz XFNZBEUSSSKHJM-GOSISDBHSA-N 1 2 278.355 3.862 20 0 CHADLO CC(C)[C@@H]([NH2+]Cc1c[nH]cn1)c1ccccc1Cl ZINC000172037745 329083699 /nfs/dbraw/zinc/08/36/99/329083699.db2.gz XILNHNQQXDQPDT-CQSZACIVSA-N 1 2 263.772 3.550 20 0 CHADLO Cc1c[nH+]c(CCSCCOCC(F)(F)F)c(C)c1 ZINC000582547145 329105441 /nfs/dbraw/zinc/10/54/41/329105441.db2.gz ZBJCZGRCTCDFGK-UHFFFAOYSA-N 1 2 293.354 3.553 20 0 CHADLO CCCC[C@@H](CC)CC(=O)N[C@H](c1[nH+]ccn1C)C1CC1 ZINC000582683457 329127767 /nfs/dbraw/zinc/12/77/67/329127767.db2.gz ULTLGRUECBNIRG-CJNGLKHVSA-N 1 2 291.439 3.594 20 0 CHADLO CC(C)(C[NH2+][C@@H](c1nnc[nH]1)c1ccccc1)C1=CCCC1 ZINC000582707967 329133142 /nfs/dbraw/zinc/13/31/42/329133142.db2.gz AYUXKEQATLCRKG-MRXNPFEDSA-N 1 2 296.418 3.620 20 0 CHADLO CC[C@H]1CCCCCN1C(=O)Cn1c(C)[nH+]c2ccccc21 ZINC000172438022 329135514 /nfs/dbraw/zinc/13/55/14/329135514.db2.gz IMUQESNPOFMXES-HNNXBMFYSA-N 1 2 299.418 3.526 20 0 CHADLO CC(C)c1nc(NCc2coc(-c3ccccc3)n2)cc[nH+]1 ZINC000172768789 329138378 /nfs/dbraw/zinc/13/83/78/329138378.db2.gz COCGCMFIEAAARN-UHFFFAOYSA-N 1 2 294.358 3.867 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nnc(-c2ccccc2)o1)c1cccnc1 ZINC000173367963 329146449 /nfs/dbraw/zinc/14/64/49/329146449.db2.gz YBONRFMKEMIHSS-OLZOCXBDSA-N 1 2 294.358 3.543 20 0 CHADLO Cc1nc(C(C)(C)[NH2+]Cc2nccn2C(C)C)sc1C ZINC000173953881 329151659 /nfs/dbraw/zinc/15/16/59/329151659.db2.gz RYZGVALEMVZLST-UHFFFAOYSA-N 1 2 292.452 3.562 20 0 CHADLO CCCc1nc(C[NH+]2CCC(C(F)(F)F)CC2)cs1 ZINC000174183178 329155194 /nfs/dbraw/zinc/15/51/94/329155194.db2.gz KRPFFQAKOKKFBL-UHFFFAOYSA-N 1 2 292.370 3.870 20 0 CHADLO CC(C)c1nc(C[N@H+]2CCS[C@@H](C(C)C)C2)cs1 ZINC000174338492 329157756 /nfs/dbraw/zinc/15/77/56/329157756.db2.gz VEMMDLHHAHQAST-CYBMUJFWSA-N 1 2 284.494 3.840 20 0 CHADLO CC(C)c1nc(C[N@@H+]2CCS[C@@H](C(C)C)C2)cs1 ZINC000174338492 329157755 /nfs/dbraw/zinc/15/77/55/329157755.db2.gz VEMMDLHHAHQAST-CYBMUJFWSA-N 1 2 284.494 3.840 20 0 CHADLO CC[C@@H]1C[N@H+](Cc2cc(-c3cccs3)on2)CCS1 ZINC000174355661 329157802 /nfs/dbraw/zinc/15/78/02/329157802.db2.gz XCIMDNVUJPASGS-GFCCVEGCSA-N 1 2 294.445 3.731 20 0 CHADLO CC[C@@H]1C[N@@H+](Cc2cc(-c3cccs3)on2)CCS1 ZINC000174355661 329157803 /nfs/dbraw/zinc/15/78/03/329157803.db2.gz XCIMDNVUJPASGS-GFCCVEGCSA-N 1 2 294.445 3.731 20 0 CHADLO CC(C)c1nc(N2CCc3ccccc3[C@H]2C)cc[nH+]1 ZINC000175059431 329162541 /nfs/dbraw/zinc/16/25/41/329162541.db2.gz CYZPGECVQQBAMO-CYBMUJFWSA-N 1 2 267.376 3.724 20 0 CHADLO Fc1cccc(-c2cnc(C[NH+]3Cc4ccccc4C3)o2)c1 ZINC000175208888 329166480 /nfs/dbraw/zinc/16/64/80/329166480.db2.gz LXNXYSHEWDLICC-UHFFFAOYSA-N 1 2 294.329 3.997 20 0 CHADLO CC(C)n1ccnc1C[N@H+](CCC(F)(F)F)CC1CC1 ZINC000177162692 329181511 /nfs/dbraw/zinc/18/15/11/329181511.db2.gz MHJFEIMVOJZPAM-UHFFFAOYSA-N 1 2 289.345 3.628 20 0 CHADLO CC(C)n1ccnc1C[N@@H+](CCC(F)(F)F)CC1CC1 ZINC000177162692 329181512 /nfs/dbraw/zinc/18/15/12/329181512.db2.gz MHJFEIMVOJZPAM-UHFFFAOYSA-N 1 2 289.345 3.628 20 0 CHADLO Cc1cc(C[N@@H+]2CCc3cc(-c4ccccc4)oc3C2)on1 ZINC000177715853 329189601 /nfs/dbraw/zinc/18/96/01/329189601.db2.gz OQJRUJVYIDIHPF-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO Cc1cc(C[N@H+]2CCc3cc(-c4ccccc4)oc3C2)on1 ZINC000177715853 329189603 /nfs/dbraw/zinc/18/96/03/329189603.db2.gz OQJRUJVYIDIHPF-UHFFFAOYSA-N 1 2 294.354 3.801 20 0 CHADLO CCn1ccnc1C[N@H+]1CC=C(c2cccc(C)c2)CC1 ZINC000179398167 329205330 /nfs/dbraw/zinc/20/53/30/329205330.db2.gz WXVZKDNKIGEWEE-UHFFFAOYSA-N 1 2 281.403 3.501 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC=C(c2cccc(C)c2)CC1 ZINC000179398167 329205331 /nfs/dbraw/zinc/20/53/31/329205331.db2.gz WXVZKDNKIGEWEE-UHFFFAOYSA-N 1 2 281.403 3.501 20 0 CHADLO Fc1ccc2[nH]c3c(c2c1)C[N@H+](Cc1ccsc1)CC3 ZINC000179682664 329211256 /nfs/dbraw/zinc/21/12/56/329211256.db2.gz NBOOUPNLMXYLRC-UHFFFAOYSA-N 1 2 286.375 3.927 20 0 CHADLO Fc1ccc2[nH]c3c(c2c1)C[N@@H+](Cc1ccsc1)CC3 ZINC000179682664 329211257 /nfs/dbraw/zinc/21/12/57/329211257.db2.gz NBOOUPNLMXYLRC-UHFFFAOYSA-N 1 2 286.375 3.927 20 0 CHADLO Cc1cc(C)c(CC(=O)Nc2ccc(C)[nH+]c2C)c(C)c1 ZINC000179969318 329214090 /nfs/dbraw/zinc/21/40/90/329214090.db2.gz AABSSWSHEKDNMI-UHFFFAOYSA-N 1 2 282.387 3.805 20 0 CHADLO Cc1cc(C[N@@H+](C)Cc2ccc(Cl)c(C)c2)no1 ZINC000180422466 329216490 /nfs/dbraw/zinc/21/64/90/329216490.db2.gz WDSRYLCDGGCLIB-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc(C[N@H+](C)Cc2ccc(Cl)c(C)c2)no1 ZINC000180422466 329216492 /nfs/dbraw/zinc/21/64/92/329216492.db2.gz WDSRYLCDGGCLIB-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3c(Cl)cccc3C2)s1 ZINC000180505429 329219983 /nfs/dbraw/zinc/21/99/83/329219983.db2.gz KEDIXBHSPKSGFF-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3c(Cl)cccc3C2)s1 ZINC000180505429 329219984 /nfs/dbraw/zinc/21/99/84/329219984.db2.gz KEDIXBHSPKSGFF-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnc(C[N@@H+]2CCc3ccc(Cl)cc3C2)s1 ZINC000180840902 329223498 /nfs/dbraw/zinc/22/34/98/329223498.db2.gz QVPGSVFAHGAEGU-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO Cc1cnc(C[N@H+]2CCc3ccc(Cl)cc3C2)s1 ZINC000180840902 329223499 /nfs/dbraw/zinc/22/34/99/329223499.db2.gz QVPGSVFAHGAEGU-UHFFFAOYSA-N 1 2 278.808 3.663 20 0 CHADLO C[C@H]([NH2+]Cc1ccn(C)n1)c1cc(Cl)sc1Cl ZINC000222715245 329226646 /nfs/dbraw/zinc/22/66/46/329226646.db2.gz TWXYQVDRFSVNRD-ZETCQYMHSA-N 1 2 290.219 3.639 20 0 CHADLO CC(C)n1ccnc1C[NH2+][C@H](C)c1ccc(F)cc1F ZINC000181582560 329234624 /nfs/dbraw/zinc/23/46/24/329234624.db2.gz PJRPTAJKXLQCLK-LLVKDONJSA-N 1 2 279.334 3.593 20 0 CHADLO C[C@H]([NH2+]Cc1coc(-c2cccc(F)c2)n1)c1ccccn1 ZINC000181780835 329237164 /nfs/dbraw/zinc/23/71/64/329237164.db2.gz JBFKSNMRENBVSV-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO C[C@H]([NH2+]Cc1ncc(-c2cccc(F)c2)o1)c1ccccn1 ZINC000181807203 329237420 /nfs/dbraw/zinc/23/74/20/329237420.db2.gz HNUNPBMVOWQLJB-LBPRGKRZSA-N 1 2 297.333 3.727 20 0 CHADLO C[C@@H]([NH2+]Cc1ncc(-c2ccccc2)o1)c1ccccn1 ZINC000181881736 329237969 /nfs/dbraw/zinc/23/79/69/329237969.db2.gz YGHFAYNCFHZPQB-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO Cc1cc(N2Cc3ccccc3C[C@@H]2C)nc(C2CC2)[nH+]1 ZINC000184175861 329256868 /nfs/dbraw/zinc/25/68/68/329256868.db2.gz PTIBLODVLOSPCR-ZDUSSCGKSA-N 1 2 279.387 3.614 20 0 CHADLO Cc1ccn(-c2ccccc2NCc2ccc(C)[nH+]c2C)n1 ZINC000184487015 329260638 /nfs/dbraw/zinc/26/06/38/329260638.db2.gz DLVLXVUCUBSKOO-UHFFFAOYSA-N 1 2 292.386 3.805 20 0 CHADLO COc1ccc(C[NH2+][C@H](C)c2csc(C(C)C)n2)cn1 ZINC000184725897 329262533 /nfs/dbraw/zinc/26/25/33/329262533.db2.gz XJHXRNVPQXIVTI-LLVKDONJSA-N 1 2 291.420 3.521 20 0 CHADLO C[N@H+](Cc1nc(-c2ccccc2F)no1)Cc1ccccc1 ZINC000185181203 329267114 /nfs/dbraw/zinc/26/71/14/329267114.db2.gz ATINWZDIORKKDZ-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO C[N@@H+](Cc1nc(-c2ccccc2F)no1)Cc1ccccc1 ZINC000185181203 329267115 /nfs/dbraw/zinc/26/71/15/329267115.db2.gz ATINWZDIORKKDZ-UHFFFAOYSA-N 1 2 297.333 3.508 20 0 CHADLO Cc1cccn2cc(CSc3ncccc3C)[nH+]c12 ZINC000583101438 329315829 /nfs/dbraw/zinc/31/58/29/329315829.db2.gz ZRFVYMSDKOOQJB-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@@H+]1Cc2ccccc2C2(CC2)C1 ZINC000186214956 329339795 /nfs/dbraw/zinc/33/97/95/329339795.db2.gz XFKIOAYUXWRSGZ-LBPRGKRZSA-N 1 2 295.386 3.555 20 0 CHADLO C[C@@H](c1nc(C2CC2)no1)[N@H+]1Cc2ccccc2C2(CC2)C1 ZINC000186214956 329339796 /nfs/dbraw/zinc/33/97/96/329339796.db2.gz XFKIOAYUXWRSGZ-LBPRGKRZSA-N 1 2 295.386 3.555 20 0 CHADLO CC(C)CCn1cccc1CNc1cc[nH+]cc1F ZINC000186427291 329342303 /nfs/dbraw/zinc/34/23/03/329342303.db2.gz QKTNLTIZZYABIW-UHFFFAOYSA-N 1 2 261.344 3.680 20 0 CHADLO Cc1ccc(CSc2nc3ccccc3[nH]2)c(C)[nH+]1 ZINC000186349460 329343295 /nfs/dbraw/zinc/34/32/95/329343295.db2.gz ANUFLFVVFPZGRI-UHFFFAOYSA-N 1 2 269.373 3.867 20 0 CHADLO Cc1ccc(COc2c(F)c(F)cc(F)c2F)c(C)[nH+]1 ZINC000186342991 329343320 /nfs/dbraw/zinc/34/33/20/329343320.db2.gz QXFAXUZYJKQUOQ-UHFFFAOYSA-N 1 2 285.240 3.834 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@H+](Cc1ccn(C(C)C)n1)CC2 ZINC000186717736 329348043 /nfs/dbraw/zinc/34/80/43/329348043.db2.gz OMCOQMSKOHSHKJ-UHFFFAOYSA-N 1 2 283.419 3.639 20 0 CHADLO Cc1cc(C)c2c(c1)C[N@@H+](Cc1ccn(C(C)C)n1)CC2 ZINC000186717736 329348045 /nfs/dbraw/zinc/34/80/45/329348045.db2.gz OMCOQMSKOHSHKJ-UHFFFAOYSA-N 1 2 283.419 3.639 20 0 CHADLO CCCC(CCC)[S@@](=O)Cc1ccc(C)[nH+]c1C ZINC000187068848 329351964 /nfs/dbraw/zinc/35/19/64/329351964.db2.gz KZVPCLDLGVVCRB-SFHVURJKSA-N 1 2 267.438 3.916 20 0 CHADLO Cc1ccc(CN2C[C@@H]3CCC(=O)c4cccc2c43)c(C)[nH+]1 ZINC000187556792 329358971 /nfs/dbraw/zinc/35/89/71/329358971.db2.gz YFOMPJICUAMFEE-HNNXBMFYSA-N 1 2 292.382 3.779 20 0 CHADLO Cc1cc(OCc2cncc(F)c2)c2cccc(C)c2[nH+]1 ZINC000530368404 329362398 /nfs/dbraw/zinc/36/23/98/329362398.db2.gz BPGCSNIKHJLATO-UHFFFAOYSA-N 1 2 282.318 3.965 20 0 CHADLO COc1ccc(C)cc1NCc1ccc(C)[nH+]c1C ZINC000187704366 329362720 /nfs/dbraw/zinc/36/27/20/329362720.db2.gz VBYXYKOSNLAUKX-UHFFFAOYSA-N 1 2 256.349 3.628 20 0 CHADLO Cc1c[nH]c(C(C)(C)NC(=O)C[C@@H](C)c2ccccc2C)[nH+]1 ZINC000583209896 329365790 /nfs/dbraw/zinc/36/57/90/329365790.db2.gz WUAFINBNYXJINA-CYBMUJFWSA-N 1 2 299.418 3.572 20 0 CHADLO Cc1coc(SCc2[nH+]ccn2Cc2ccccc2)n1 ZINC000188391419 329366531 /nfs/dbraw/zinc/36/65/31/329366531.db2.gz DSWCSYAXFLVTPB-UHFFFAOYSA-N 1 2 285.372 3.520 20 0 CHADLO CC[C@H]([NH2+]CC(F)(F)CO)c1ccc(Cl)c(Cl)c1 ZINC000231792583 329379420 /nfs/dbraw/zinc/37/94/20/329379420.db2.gz JYYLHZCBGGLRJX-NSHDSACASA-N 1 2 298.160 3.662 20 0 CHADLO CC(C)N(C)c1ccc(CNc2cccc(F)c2)c[nH+]1 ZINC000190812661 329402835 /nfs/dbraw/zinc/40/28/35/329402835.db2.gz LGDRFHAASXYARG-UHFFFAOYSA-N 1 2 273.355 3.677 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1cnc2ccsc2c1 ZINC000398393587 329443147 /nfs/dbraw/zinc/44/31/47/329443147.db2.gz XPKSSIVTASYFNS-BDAKNGLRSA-N 1 2 290.417 3.560 20 0 CHADLO Cc1nc(C[NH2+]C(C)(C)c2nc(C)c(C)s2)cs1 ZINC000124722428 329573191 /nfs/dbraw/zinc/57/31/91/329573191.db2.gz AKFJOADWWHHJLE-UHFFFAOYSA-N 1 2 281.450 3.550 20 0 CHADLO CC(C)c1csc(C[NH2+][C@@H](C)c2cnn(C(C)C)c2)n1 ZINC000128685161 329610740 /nfs/dbraw/zinc/61/07/40/329610740.db2.gz MGTWKXNUYJXSLY-LBPRGKRZSA-N 1 2 292.452 3.895 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1ccco1)c1nnc2ccccn21 ZINC000129273505 329616138 /nfs/dbraw/zinc/61/61/38/329616138.db2.gz ADMWVLIEGJTQRR-STQMWFEESA-N 1 2 284.363 3.514 20 0 CHADLO CCC[C@@H]([NH2+][C@@H](C)c1ccc(C)o1)c1nnc2ccccn21 ZINC000129398330 329617334 /nfs/dbraw/zinc/61/73/34/329617334.db2.gz NOFUMBUDPQIVJA-UONOGXRCSA-N 1 2 298.390 3.823 20 0 CHADLO Cc1ccoc1C[NH2+]Cc1cc(F)ccc1Br ZINC000135154152 329664407 /nfs/dbraw/zinc/66/44/07/329664407.db2.gz NRHANZNPEVRUQJ-UHFFFAOYSA-N 1 2 298.155 3.779 20 0 CHADLO Cc1ccc(N[C@H](c2[nH]cc[nH+]2)c2cccnc2)c(Cl)c1 ZINC000195111743 329690385 /nfs/dbraw/zinc/69/03/85/329690385.db2.gz GAQVGDPAQDAGIX-HNNXBMFYSA-N 1 2 298.777 3.968 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(C2CC2)cc1 ZINC000419343304 329718735 /nfs/dbraw/zinc/71/87/35/329718735.db2.gz QQMUVYFXTSOTKI-MNOVXSKESA-N 1 2 273.405 3.827 20 0 CHADLO COC(=O)[C@H](CC(C)C)[NH2+][C@H](C)c1c(C)cccc1C ZINC000509610438 332875349 /nfs/dbraw/zinc/87/53/49/332875349.db2.gz WBISRHQNKMFPKN-CABCVRRESA-N 1 2 277.408 3.542 20 0 CHADLO CC1(C)C[C@H]([NH2+]CC(F)(F)c2ccccc2)C(C)(C)O1 ZINC000514696916 329734666 /nfs/dbraw/zinc/73/46/66/329734666.db2.gz PCGCSBYJQWKBIV-ZDUSSCGKSA-N 1 2 283.362 3.714 20 0 CHADLO Cc1ccc([NH2+]C[C@H]2C[C@@H](O)C2)c(OCc2ccccc2)c1 ZINC000421193731 329807259 /nfs/dbraw/zinc/80/72/59/329807259.db2.gz ZKOAJNUYIMFQNF-CALCHBBNSA-N 1 2 297.398 3.757 20 0 CHADLO Cc1cc(C)c(NC(=O)c2ccc(C(C)(C)C)cn2)c(C)[nH+]1 ZINC000421415149 329824968 /nfs/dbraw/zinc/82/49/68/329824968.db2.gz BLPPRTKELKOPNM-UHFFFAOYSA-N 1 2 297.402 3.952 20 0 CHADLO CC[C@@H](CC1CCCC1)C(=O)NCc1cc(C)[nH+]c(C)c1 ZINC000421576072 329843091 /nfs/dbraw/zinc/84/30/91/329843091.db2.gz LSGBLKPXOLTKIB-KRWDZBQOSA-N 1 2 288.435 3.921 20 0 CHADLO Cc1cc(CNC(=O)[C@@H](C)CCC(C)(C)C)cc(C)[nH+]1 ZINC000421575395 329843098 /nfs/dbraw/zinc/84/30/98/329843098.db2.gz KAYROQWJJOLRRM-LBPRGKRZSA-N 1 2 276.424 3.777 20 0 CHADLO Cc1cc(CNC(=O)c2ccc(Cl)cc2C)cc(C)[nH+]1 ZINC000421577282 329843290 /nfs/dbraw/zinc/84/32/90/329843290.db2.gz OSLPNYDHSUTNCS-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO CC[C@@H](C)CNC(=O)c1ccc(C)c(Oc2cc[nH+]cc2)c1 ZINC000421587166 329844341 /nfs/dbraw/zinc/84/43/41/329844341.db2.gz GEDRZWNSRWCYDR-CYBMUJFWSA-N 1 2 298.386 3.958 20 0 CHADLO Cc1cc(CNC(=O)c2ccc(C)c(Cl)c2)cc(C)[nH+]1 ZINC000421574392 329848270 /nfs/dbraw/zinc/84/82/70/329848270.db2.gz FUTZMTGCODOFPT-UHFFFAOYSA-N 1 2 288.778 3.590 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1ccc(Cl)c(Cl)c1 ZINC000509804115 332882258 /nfs/dbraw/zinc/88/22/58/332882258.db2.gz GILZGXRCIQIMCA-UHFFFAOYSA-N 1 2 295.169 3.625 20 0 CHADLO C[C@H]([NH2+]Cc1nn(C)cc1Cl)c1ccc(Cl)s1 ZINC000393296176 329914895 /nfs/dbraw/zinc/91/48/95/329914895.db2.gz PHMNYNKAMOSGNB-ZETCQYMHSA-N 1 2 290.219 3.639 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cscn1)c1ccccc1F ZINC000162306474 329993314 /nfs/dbraw/zinc/99/33/14/329993314.db2.gz NAFOGFDQFGSHJK-ZJUUUORDSA-N 1 2 250.342 3.694 20 0 CHADLO Oc1cccc(C[NH+](Cc2ccco2)Cc2ccco2)c1 ZINC000162571867 330071743 /nfs/dbraw/zinc/07/17/43/330071743.db2.gz DVFFORIWDXZTDG-UHFFFAOYSA-N 1 2 283.327 3.781 20 0 CHADLO COc1ccc([C@H]([NH2+]Cc2cscn2)C2CC2)c(F)c1 ZINC000421825179 330083535 /nfs/dbraw/zinc/08/35/35/330083535.db2.gz MBZVWAGHCHCBPT-OAHLLOKOSA-N 1 2 292.379 3.532 20 0 CHADLO COc1cc(Cl)ccc1[C@H](C)[NH2+][C@@H](C)c1csnn1 ZINC000421863186 330098513 /nfs/dbraw/zinc/09/85/13/330098513.db2.gz XBCJRJMSQDBPET-IUCAKERBSA-N 1 2 297.811 3.612 20 0 CHADLO CCCn1cc(C[N@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000162774559 330114409 /nfs/dbraw/zinc/11/44/09/330114409.db2.gz QAXNSBDACUBSNT-UHFFFAOYSA-N 1 2 283.828 3.640 20 0 CHADLO CCCn1cc(C[N@@H+](C)Cc2ccc(Cl)s2)cn1 ZINC000162774559 330114411 /nfs/dbraw/zinc/11/44/11/330114411.db2.gz QAXNSBDACUBSNT-UHFFFAOYSA-N 1 2 283.828 3.640 20 0 CHADLO COc1cc2c(cc1F)CC[C@@H]2Nc1ccc2c(c1)CC[NH2+]2 ZINC000423208107 330177283 /nfs/dbraw/zinc/17/72/83/330177283.db2.gz CZOYMBNDEFGMME-KRWDZBQOSA-N 1 2 298.361 3.902 20 0 CHADLO Oc1ccc2c(c1)[C@H](Nc1ccc3c(c1)CC[NH2+]3)CCC2 ZINC000423210852 330177988 /nfs/dbraw/zinc/17/79/88/330177988.db2.gz GJMKOAHCDJCTCZ-GOSISDBHSA-N 1 2 280.371 3.850 20 0 CHADLO C[C@H](Nc1ccc2c(c1)CC[NH2+]2)c1cccc(F)c1 ZINC000423212314 330177997 /nfs/dbraw/zinc/17/79/97/330177997.db2.gz IBYGFHXMCILZTE-NSHDSACASA-N 1 2 256.324 3.967 20 0 CHADLO C[C@H](CCC(F)(F)F)[NH2+]c1ccc2c(c1)CCN2 ZINC000423219293 330179112 /nfs/dbraw/zinc/17/91/12/330179112.db2.gz SZUNICAJFZXIPC-SECBINFHSA-N 1 2 258.287 3.798 20 0 CHADLO C[C@H](CCC(F)(F)F)Nc1ccc2c(c1)CC[NH2+]2 ZINC000423219293 330179113 /nfs/dbraw/zinc/17/91/13/330179113.db2.gz SZUNICAJFZXIPC-SECBINFHSA-N 1 2 258.287 3.798 20 0 CHADLO C[C@H]([NH2+]Cc1nnc(C2CC2)o1)c1cc2ccccc2o1 ZINC000527505098 330255054 /nfs/dbraw/zinc/25/50/54/330255054.db2.gz HEEUIBWJNHVBKE-JTQLQIEISA-N 1 2 283.331 3.544 20 0 CHADLO Cc1cc(C[N@@H+]2CCCn3c(cc4ccccc43)C2)ccn1 ZINC000527540010 330260714 /nfs/dbraw/zinc/26/07/14/330260714.db2.gz NJKJOAGPINUIJB-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO Cc1cc(C[N@H+]2CCCn3c(cc4ccccc43)C2)ccn1 ZINC000527540010 330260715 /nfs/dbraw/zinc/26/07/15/330260715.db2.gz NJKJOAGPINUIJB-UHFFFAOYSA-N 1 2 291.398 3.751 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@@H+]1Cc1cc(-c2ccco2)on1 ZINC000528768103 330265470 /nfs/dbraw/zinc/26/54/70/330265470.db2.gz NGDXVRDIHQUEDY-JTQLQIEISA-N 1 2 282.290 3.554 20 0 CHADLO C[C@H]1CC(F)(F)CC[N@H+]1Cc1cc(-c2ccco2)on1 ZINC000528768103 330265472 /nfs/dbraw/zinc/26/54/72/330265472.db2.gz NGDXVRDIHQUEDY-JTQLQIEISA-N 1 2 282.290 3.554 20 0 CHADLO CCOc1cc(CNc2ccc[nH+]c2C)c(F)cc1OC ZINC000527683973 330271337 /nfs/dbraw/zinc/27/13/37/330271337.db2.gz WVIGISSKKKXZIU-UHFFFAOYSA-N 1 2 290.338 3.549 20 0 CHADLO CC(C)n1c[nH+]cc1CNc1ccccc1OCC(F)F ZINC000424136898 330272696 /nfs/dbraw/zinc/27/26/96/330272696.db2.gz AALKCIIZFSQGIS-UHFFFAOYSA-N 1 2 295.333 3.720 20 0 CHADLO CC(C)N(C)c1cccc(NCc2c[nH+]cn2C(C)C)c1 ZINC000424137461 330274984 /nfs/dbraw/zinc/27/49/84/330274984.db2.gz ASBXXFDYOCTNMO-UHFFFAOYSA-N 1 2 286.423 3.921 20 0 CHADLO C[C@H]([NH2+]Cc1cnc(C(C)(C)C)s1)c1ncccc1F ZINC000527826246 330286747 /nfs/dbraw/zinc/28/67/47/330286747.db2.gz IVFIIZDGSBRBRW-JTQLQIEISA-N 1 2 293.411 3.826 20 0 CHADLO CC[C@@H](C)c1ccc([C@H](C)[NH2+]Cc2ccn[nH]2)cc1 ZINC000527858297 330289167 /nfs/dbraw/zinc/28/91/67/330289167.db2.gz JIOIEBQIAXJQLZ-OLZOCXBDSA-N 1 2 257.381 3.774 20 0 CHADLO CCOCc1ccc(NCc2c[nH+]cn2C(C)C)cc1 ZINC000424149009 330295658 /nfs/dbraw/zinc/29/56/58/330295658.db2.gz VRCLVXXNNNHGOQ-UHFFFAOYSA-N 1 2 273.380 3.613 20 0 CHADLO CC1(C)CCC[C@H]1CC[NH2+][C@@H](c1nnc[nH]1)c1ccccc1 ZINC000527920751 330295676 /nfs/dbraw/zinc/29/56/76/330295676.db2.gz XVSDVSIRTONNPE-JKSUJKDBSA-N 1 2 298.434 3.700 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncccc1F)c1cc(F)ccc1F ZINC000528036973 330303143 /nfs/dbraw/zinc/30/31/43/330303143.db2.gz ZGALAVMLEFKPGX-ZJUUUORDSA-N 1 2 280.293 3.911 20 0 CHADLO C[C@H](Nc1cc2c(cc1Cl)CCC2)c1[nH+]ccn1C ZINC000528007615 330303507 /nfs/dbraw/zinc/30/35/07/330303507.db2.gz ZYSBHGDNZIVXHW-JTQLQIEISA-N 1 2 275.783 3.735 20 0 CHADLO Clc1cnn([C@@H]2CCN(c3[nH+]ccc4ccccc43)C2)c1 ZINC000528024735 330304902 /nfs/dbraw/zinc/30/49/02/330304902.db2.gz JKNHOZFOCPHPIU-CQSZACIVSA-N 1 2 298.777 3.536 20 0 CHADLO COc1cc(SC)ccc1NCc1c[nH+]cn1C(C)C ZINC000424172045 330311882 /nfs/dbraw/zinc/31/18/82/330311882.db2.gz CRUOFDSOYWZWFA-UHFFFAOYSA-N 1 2 291.420 3.807 20 0 CHADLO COc1ccc([C@H](C)[N@H+](C)Cc2ncccc2Cl)cc1 ZINC000528336795 330319461 /nfs/dbraw/zinc/31/94/61/330319461.db2.gz IMBQSTRSSITFKO-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO COc1ccc([C@H](C)[N@@H+](C)Cc2ncccc2Cl)cc1 ZINC000528336795 330319462 /nfs/dbraw/zinc/31/94/62/330319462.db2.gz IMBQSTRSSITFKO-LBPRGKRZSA-N 1 2 290.794 3.937 20 0 CHADLO CCCc1nc(C)c(NCc2c[nH+]cn2C(C)C)s1 ZINC000424199765 330325601 /nfs/dbraw/zinc/32/56/01/330325601.db2.gz ZHDDYVWZWXDPEL-UHFFFAOYSA-N 1 2 278.425 3.794 20 0 CHADLO F[C@@]1(c2ccccc2)C[C@H]1[NH2+]Cc1csc(C2CC2)n1 ZINC000424201403 330326844 /nfs/dbraw/zinc/32/68/44/330326844.db2.gz RXEXJZARDOJRQD-GDBMZVCRSA-N 1 2 288.391 3.747 20 0 CHADLO F[C@@]1(c2ccccc2)C[C@H]1[NH2+]Cc1ncc(Cl)s1 ZINC000424203014 330327094 /nfs/dbraw/zinc/32/70/94/330327094.db2.gz UEPKNSYBJIREPD-ZWNOBZJWSA-N 1 2 282.771 3.523 20 0 CHADLO Fc1ccc(C[N@@H+]2CCc3ccc(F)cc3C2)c(F)c1 ZINC000533078246 330330498 /nfs/dbraw/zinc/33/04/98/330330498.db2.gz IYOWQMUJUDONFX-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO Fc1ccc(C[N@H+]2CCc3ccc(F)cc3C2)c(F)c1 ZINC000533078246 330330499 /nfs/dbraw/zinc/33/04/99/330330499.db2.gz IYOWQMUJUDONFX-UHFFFAOYSA-N 1 2 277.289 3.662 20 0 CHADLO COc1ccc([C@@H](C)[NH2+]Cc2nccs2)c(Cl)c1 ZINC000424261312 330332549 /nfs/dbraw/zinc/33/25/49/330332549.db2.gz DVUFRYPAJUIKNO-SECBINFHSA-N 1 2 282.796 3.656 20 0 CHADLO Cc1ccc([C@H](C)[NH2+][C@H]2C[C@@]2(F)c2ccccc2)o1 ZINC000424336435 330343389 /nfs/dbraw/zinc/34/33/89/330343389.db2.gz XYYKECXZWUZWCT-VBNZEHGJSA-N 1 2 259.324 3.876 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)/C=C/c1nc2ccccc2s1 ZINC000107292297 330348794 /nfs/dbraw/zinc/34/87/94/330348794.db2.gz RXBCZFATWWYFDW-VOTSOKGWSA-N 1 2 295.367 3.652 20 0 CHADLO CC1(C)CC[NH+](CC(=O)Nc2ccc(F)cc2Cl)CC1 ZINC000533266058 330355974 /nfs/dbraw/zinc/35/59/74/330355974.db2.gz YRGHZISUOOSFES-UHFFFAOYSA-N 1 2 298.789 3.540 20 0 CHADLO CCSCC[C@H](C)N(C)c1cc[nH+]c2ccncc21 ZINC000433420817 330356797 /nfs/dbraw/zinc/35/67/97/330356797.db2.gz ABQTXCRIAGSUKJ-LBPRGKRZSA-N 1 2 275.421 3.598 20 0 CHADLO Cc1cc(C)cc(OCCC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000119061205 330369495 /nfs/dbraw/zinc/36/94/95/330369495.db2.gz PQRILUMWXCOZGL-UHFFFAOYSA-N 1 2 298.386 3.723 20 0 CHADLO Cc1ccc(NC(=O)CCSc2ccccc2)c(C)[nH+]1 ZINC000119074287 330369856 /nfs/dbraw/zinc/36/98/56/330369856.db2.gz SSAWWBKNFCTFLL-UHFFFAOYSA-N 1 2 286.400 3.819 20 0 CHADLO CCc1ccc(CCC(=O)Nc2ccc(C)[nH+]c2C)cc1 ZINC000119074945 330370060 /nfs/dbraw/zinc/37/00/60/330370060.db2.gz GGGXJBSSSJFPOD-UHFFFAOYSA-N 1 2 282.387 3.832 20 0 CHADLO Cc1ccc(NC(=O)c2cccc(C(F)(F)F)c2)c(C)[nH+]1 ZINC000119119492 330370366 /nfs/dbraw/zinc/37/03/66/330370366.db2.gz RPVSCCHZTMDHPF-UHFFFAOYSA-N 1 2 294.276 3.970 20 0 CHADLO Cc1cccc(OCCCC(=O)Nc2ccc(C)[nH+]c2C)c1 ZINC000119252785 330371478 /nfs/dbraw/zinc/37/14/78/330371478.db2.gz QCXROHXYRYVGSK-UHFFFAOYSA-N 1 2 298.386 3.805 20 0 CHADLO Cc1ccc(NC(=O)c2ccc(C(F)(F)F)cc2)c(C)[nH+]1 ZINC000119295530 330371845 /nfs/dbraw/zinc/37/18/45/330371845.db2.gz JUYZOWXBWDASCD-UHFFFAOYSA-N 1 2 294.276 3.970 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)N[C@@H]1CCCC[C@@H]1C(C)C ZINC000120590754 330390561 /nfs/dbraw/zinc/39/05/61/330390561.db2.gz GHBIJUPJGKSASB-UKRRQHHQSA-N 1 2 275.396 3.726 20 0 CHADLO CSc1ccccc1NCc1cn2cccc(C)c2[nH+]1 ZINC000120750173 330390937 /nfs/dbraw/zinc/39/09/37/330390937.db2.gz DSZKVSSLLIPOOQ-UHFFFAOYSA-N 1 2 283.400 3.977 20 0 CHADLO Cc1cc(C)cc(C[C@@H](C)NC(=O)Nc2cc[nH+]cc2C)c1 ZINC000120901089 330393997 /nfs/dbraw/zinc/39/39/97/330393997.db2.gz KATCUEJBLHAZSR-OAHLLOKOSA-N 1 2 297.402 3.760 20 0 CHADLO CCOC(=O)[C@@H](CC)[NH2+][C@@H](C)c1ccc(SCC)cc1 ZINC000537102995 330399539 /nfs/dbraw/zinc/39/95/39/330399539.db2.gz DOOXFYSYKKONGR-SWLSCSKDSA-N 1 2 295.448 3.791 20 0 CHADLO Fc1c(Cl)cccc1CN1CCCC[C@H]1c1[nH]cc[nH+]1 ZINC000092729860 330412553 /nfs/dbraw/zinc/41/25/53/330412553.db2.gz MWFNWXJPTZUPBB-ZDUSSCGKSA-N 1 2 293.773 3.929 20 0 CHADLO Cc1ccc([S@](=O)Cc2cn3cccc(C)c3[nH+]2)c(C)c1 ZINC000083977407 330483796 /nfs/dbraw/zinc/48/37/96/330483796.db2.gz RPXMXKQBUVPPDT-NRFANRHFSA-N 1 2 298.411 3.567 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+][C@H](C)C(=O)OC(C)(C)C)cc1 ZINC000084221343 330484637 /nfs/dbraw/zinc/48/46/37/330484637.db2.gz UEXMXKKQUWRKTN-CHWSQXEVSA-N 1 2 277.408 3.630 20 0 CHADLO CC[C@H](C)N(Cc1ccccc1)C(=O)C[C@H](C)n1cc[nH+]c1 ZINC000516071516 330486223 /nfs/dbraw/zinc/48/62/23/330486223.db2.gz KFTTUNCHSBXCFZ-HOTGVXAUSA-N 1 2 299.418 3.662 20 0 CHADLO CCC(CC)[NH2+][C@H](C(=O)OC)c1ccc2ccccc2c1 ZINC000428415330 330522743 /nfs/dbraw/zinc/52/27/43/330522743.db2.gz UPUNEHIZFHAQPO-KRWDZBQOSA-N 1 2 285.387 3.832 20 0 CHADLO C[C@@H]1C[C@@H](Nc2cccc(-c3[nH+]ccn3C)c2)[C@H]1C ZINC000430238501 330565053 /nfs/dbraw/zinc/56/50/53/330565053.db2.gz KNPLFELEANGKTB-TYNCELHUSA-N 1 2 255.365 3.544 20 0 CHADLO COCc1ccc(-c2[nH]c3c([nH+]2)c(C)ccc3C)cc1 ZINC000430948128 330577100 /nfs/dbraw/zinc/57/71/00/330577100.db2.gz DUXBWSNZIUCARJ-UHFFFAOYSA-N 1 2 266.344 3.993 20 0 CHADLO Clc1ccc(Cl)c(COc2cc[nH+]cc2)c1 ZINC000431477817 330585167 /nfs/dbraw/zinc/58/51/67/330585167.db2.gz OQVPEYFTMVOCIP-UHFFFAOYSA-N 1 2 254.116 3.967 20 0 CHADLO Cn1c[nH+]cc1CN(CCc1ccccc1)c1ccccc1 ZINC000434856088 330646008 /nfs/dbraw/zinc/64/60/08/330646008.db2.gz QYYGYBFJCYMUSN-UHFFFAOYSA-N 1 2 291.398 3.669 20 0 CHADLO COc1cccc2c(NC[C@H](C)C(F)(F)F)cc[nH+]c12 ZINC000436427366 330677463 /nfs/dbraw/zinc/67/74/63/330677463.db2.gz ASCFUHNMRDVRPD-VIFPVBQESA-N 1 2 284.281 3.854 20 0 CHADLO Fc1ccc(F)c(NCc2c[nH+]cn2Cc2ccccc2)c1 ZINC000438307512 330721278 /nfs/dbraw/zinc/72/12/78/330721278.db2.gz SYJAQYBZFQYVJV-UHFFFAOYSA-N 1 2 299.324 3.822 20 0 CHADLO CCC[C@H]([NH2+][C@@H](C)c1cc(C)cc(C)c1)C(=O)OCC ZINC000439595514 330740924 /nfs/dbraw/zinc/74/09/24/330740924.db2.gz KDSIMJHQGQDDGX-HOCLYGCPSA-N 1 2 277.408 3.686 20 0 CHADLO CC[C@@H](F)CNc1cccc2c1CN(c1cccc[nH+]1)C2 ZINC000442093161 330773919 /nfs/dbraw/zinc/77/39/19/330773919.db2.gz QIIJASMISHFZAN-CQSZACIVSA-N 1 2 285.366 3.762 20 0 CHADLO Cc1cc(N2CC[C@H](C)C[C@@H](C)C2)nc(-c2ccncc2)[nH+]1 ZINC000443893067 330807293 /nfs/dbraw/zinc/80/72/93/330807293.db2.gz BFPBQOSXLGINAB-UONOGXRCSA-N 1 2 296.418 3.719 20 0 CHADLO Cc1cc(C)cc([C@@H](C)Nc2cc[nH+]c3ccncc23)c1 ZINC000444077177 330809892 /nfs/dbraw/zinc/80/98/92/330809892.db2.gz SLTCYLNAXNQRIT-CQSZACIVSA-N 1 2 277.371 3.842 20 0 CHADLO CCS[C@@H]1CCCC[C@@H]1Nc1cc[nH+]c2ccncc12 ZINC000444082729 330809953 /nfs/dbraw/zinc/80/99/53/330809953.db2.gz JDYVZGLUFLKPBN-JKSUJKDBSA-N 1 2 287.432 3.528 20 0 CHADLO Cc1cc2[nH+]cn(C[C@H](O)C3CCCCC3)c2cc1C ZINC000158509754 330833553 /nfs/dbraw/zinc/83/35/53/330833553.db2.gz YGECBBTTYLAIIX-KRWDZBQOSA-N 1 2 272.392 3.594 20 0 CHADLO Fc1ccc(F)c(C[NH2+]Cc2nc(-c3ccccc3)c[nH]2)c1 ZINC000194296819 330835605 /nfs/dbraw/zinc/83/56/05/330835605.db2.gz NHNDDDGGMOJUOM-UHFFFAOYSA-N 1 2 299.324 3.645 20 0 CHADLO C[C@H]1C[C@H]1CNc1cccc(-c2[nH+]cc3n2CCCC3)c1 ZINC000446900521 330857492 /nfs/dbraw/zinc/85/74/92/330857492.db2.gz ZCENIEKJOICUKB-ZFWWWQNUSA-N 1 2 281.403 3.954 20 0 CHADLO Cc1ccc(NC(=O)NC2[C@H](C)CCC[C@H]2C)c(C)[nH+]1 ZINC000447183643 330862663 /nfs/dbraw/zinc/86/26/63/330862663.db2.gz MAQHGLRVULXMKU-GHMZBOCLSA-N 1 2 275.396 3.645 20 0 CHADLO C[C@@H]1CC[C@H](C)[C@H](Nc2ccc(Cn3cc[nH+]c3)cn2)C1 ZINC000449319567 330914152 /nfs/dbraw/zinc/91/41/52/330914152.db2.gz KQQXRRMHFZBFPC-IJEWVQPXSA-N 1 2 284.407 3.563 20 0 CHADLO CC[C@H]([NH2+]Cc1ccc(Br)s1)C(F)F ZINC000449359889 330918547 /nfs/dbraw/zinc/91/85/47/330918547.db2.gz IKGJFXPYEIDSEO-ZETCQYMHSA-N 1 2 284.169 3.644 20 0 CHADLO CC[C@@H]([NH2+]Cc1cnc(-c2ccco2)s1)C(F)F ZINC000449366328 330919034 /nfs/dbraw/zinc/91/90/34/330919034.db2.gz YTJRZXQAHDSGSO-SECBINFHSA-N 1 2 272.320 3.536 20 0 CHADLO C[C@H]([NH2+]Cc1cnn(-c2ccc(Cl)cc2)c1)C(C)(F)F ZINC000449411880 330923457 /nfs/dbraw/zinc/92/34/57/330923457.db2.gz CZKPSGDDCUEQML-JTQLQIEISA-N 1 2 299.752 3.659 20 0 CHADLO C[C@@H]([NH2+]Cc1cnc(-c2ccccc2)s1)C(C)(F)F ZINC000449417091 330924259 /nfs/dbraw/zinc/92/42/59/330924259.db2.gz WWZXQTMPVIZWED-SNVBAGLBSA-N 1 2 282.359 3.943 20 0 CHADLO CC(C)(CNc1cc(C(F)(F)F)cc[nH+]1)c1ccccn1 ZINC000450615109 330989422 /nfs/dbraw/zinc/98/94/22/330989422.db2.gz OAFBNHHUTKIBTR-UHFFFAOYSA-N 1 2 295.308 3.885 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1csnn1)c1ccc(F)cc1F ZINC000450670985 330992414 /nfs/dbraw/zinc/99/24/14/330992414.db2.gz GVOZZUBXEUSFPY-QPUJVOFHSA-N 1 2 283.347 3.618 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1csnn1)c1cc(F)ccc1F ZINC000450675192 330992762 /nfs/dbraw/zinc/99/27/62/330992762.db2.gz BCHYNBDJOJMBKZ-PELKAZGASA-N 1 2 283.347 3.618 20 0 CHADLO C[C@@H]([NH2+]Cc1nnc(C2CC2)s1)c1ccc(Cl)s1 ZINC000450725685 330996120 /nfs/dbraw/zinc/99/61/20/330996120.db2.gz RWDACCULEXHJID-SSDOTTSWSA-N 1 2 299.852 3.981 20 0 CHADLO CO[C@@H]1CCN(c2cc(C)[nH+]c3c(F)cccc23)C[C@H]1C ZINC000450759627 330998362 /nfs/dbraw/zinc/99/83/62/330998362.db2.gz MXMDIXHAPRQOPW-BDJLRTHQSA-N 1 2 288.366 3.544 20 0 CHADLO Cc1cc(F)ccc1C[N@@H+](C)Cc1nnc(C(C)C)s1 ZINC000450844853 331002377 /nfs/dbraw/zinc/00/23/77/331002377.db2.gz MBCALYWYHIMBOO-UHFFFAOYSA-N 1 2 293.411 3.741 20 0 CHADLO Cc1cc(F)ccc1C[N@H+](C)Cc1nnc(C(C)C)s1 ZINC000450844853 331002378 /nfs/dbraw/zinc/00/23/78/331002378.db2.gz MBCALYWYHIMBOO-UHFFFAOYSA-N 1 2 293.411 3.741 20 0 CHADLO Cc1nc2[nH]ccc2c(N2CC3(CCC3)[C@H]2c2ccco2)[nH+]1 ZINC000450861192 331003509 /nfs/dbraw/zinc/00/35/09/331003509.db2.gz OTYNZVRETYYQTR-CQSZACIVSA-N 1 2 294.358 3.591 20 0 CHADLO CC(=O)c1cccc(C[N@@H+]2Cc3ccc(C)cc3C2)c1 ZINC000450894704 331005369 /nfs/dbraw/zinc/00/53/69/331005369.db2.gz JYPISUWGOSKMNX-UHFFFAOYSA-N 1 2 265.356 3.713 20 0 CHADLO CC(=O)c1cccc(C[N@H+]2Cc3ccc(C)cc3C2)c1 ZINC000450894704 331005370 /nfs/dbraw/zinc/00/53/70/331005370.db2.gz JYPISUWGOSKMNX-UHFFFAOYSA-N 1 2 265.356 3.713 20 0 CHADLO Cc1cc(F)ccc1[C@H]1CCCN1c1cc[nH+]c(C)n1 ZINC000450913317 331006758 /nfs/dbraw/zinc/00/67/58/331006758.db2.gz NMAXGTKECXBQCA-OAHLLOKOSA-N 1 2 271.339 3.574 20 0 CHADLO CCc1cc(CCC[NH2+][C@H](c2ccccc2)C(F)F)on1 ZINC000451063436 331014526 /nfs/dbraw/zinc/01/45/26/331014526.db2.gz MAKQVEVVIBVBCZ-OAHLLOKOSA-N 1 2 294.345 3.766 20 0 CHADLO Cc1cnc(C[NH2+][C@H](C)c2cccc(C3CC3)c2)nc1 ZINC000451116441 331016167 /nfs/dbraw/zinc/01/61/67/331016167.db2.gz QKYPCEOUGAYKQG-CYBMUJFWSA-N 1 2 267.376 3.513 20 0 CHADLO CCn1c2ccc(F)cc2nc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC000451117187 331016191 /nfs/dbraw/zinc/01/61/91/331016191.db2.gz URTRFHRCBDYFEO-INIZCTEOSA-N 1 2 293.361 3.519 20 0 CHADLO CCn1c2ccc(F)cc2nc1C[N@H+]1CCC[C@](C)(F)C1 ZINC000451117187 331016192 /nfs/dbraw/zinc/01/61/92/331016192.db2.gz URTRFHRCBDYFEO-INIZCTEOSA-N 1 2 293.361 3.519 20 0 CHADLO CCOc1ccc(C(C)=O)cc1C[N@@H+]1CCC[C@](C)(F)C1 ZINC000451120752 331016361 /nfs/dbraw/zinc/01/63/61/331016361.db2.gz LODFSRXUKWYNMM-KRWDZBQOSA-N 1 2 293.382 3.612 20 0 CHADLO CCOc1ccc(C(C)=O)cc1C[N@H+]1CCC[C@](C)(F)C1 ZINC000451120752 331016362 /nfs/dbraw/zinc/01/63/62/331016362.db2.gz LODFSRXUKWYNMM-KRWDZBQOSA-N 1 2 293.382 3.612 20 0 CHADLO CCc1noc(C)c1C[N@@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451857563 331045017 /nfs/dbraw/zinc/04/50/17/331045017.db2.gz QVGITTCDXFEDHY-INIZCTEOSA-N 1 2 286.375 3.866 20 0 CHADLO CCc1noc(C)c1C[N@H+]1CC2(CCC2)[C@@H]1c1ccco1 ZINC000451857563 331045018 /nfs/dbraw/zinc/04/50/18/331045018.db2.gz QVGITTCDXFEDHY-INIZCTEOSA-N 1 2 286.375 3.866 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2nccn2C(C)C)cc1C ZINC000452040054 331051560 /nfs/dbraw/zinc/05/15/60/331051560.db2.gz INUQZJIDPSFUOX-UHFFFAOYSA-N 1 2 271.408 3.713 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2nccn2C(C)C)cc1C ZINC000452040054 331051561 /nfs/dbraw/zinc/05/15/61/331051561.db2.gz INUQZJIDPSFUOX-UHFFFAOYSA-N 1 2 271.408 3.713 20 0 CHADLO C[C@H](CCC(C)(C)C)C(=O)Nc1c[nH+]c2n1CCCC2 ZINC000452337360 331062849 /nfs/dbraw/zinc/06/28/49/331062849.db2.gz ZOMKOSXRIUAMLR-GFCCVEGCSA-N 1 2 277.412 3.620 20 0 CHADLO Cc1[nH+]c2ccccc2n1C[C@H](O)[C@@H](C)c1ccccc1 ZINC000453014804 331083588 /nfs/dbraw/zinc/08/35/88/331083588.db2.gz LGLIHKDLKCRYQR-UGSOOPFHSA-N 1 2 280.371 3.509 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@H]2CC[N@@H+]3CCCC[C@@H]23)c2sccc21 ZINC000453070132 331086438 /nfs/dbraw/zinc/08/64/38/331086438.db2.gz ZZEMZJHAGNGXOS-OEAJRASXSA-N 1 2 290.476 3.903 20 0 CHADLO C[C@@H]1CC[C@H]([NH2+][C@H]2CC[N@H+]3CCCC[C@@H]23)c2sccc21 ZINC000453070132 331086439 /nfs/dbraw/zinc/08/64/39/331086439.db2.gz ZZEMZJHAGNGXOS-OEAJRASXSA-N 1 2 290.476 3.903 20 0 CHADLO CC(C)[C@H]([NH2+][C@@H]1CCn2ccnc21)c1ccc(F)cc1F ZINC000453140705 331093582 /nfs/dbraw/zinc/09/35/82/331093582.db2.gz OEDRWNRYVSZFDX-CABCVRRESA-N 1 2 291.345 3.593 20 0 CHADLO C[C@H]1C[C@@H]([NH2+]Cc2ccccc2OC2CCC2)c2nccn21 ZINC000453151238 331094630 /nfs/dbraw/zinc/09/46/30/331094630.db2.gz WECNJFSZXRQTGH-XJKSGUPXSA-N 1 2 297.402 3.610 20 0 CHADLO CO[C@H](C)c1cccc(N[C@@H]2C[C@@H](C)n3cc[nH+]c32)c1 ZINC000453183888 331098321 /nfs/dbraw/zinc/09/83/21/331098321.db2.gz HRYPGYGTPBEXBM-LALPHHSUSA-N 1 2 271.364 3.708 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)N[C@@H](CC(C)(C)C)C(F)(F)F ZINC000453193127 331099709 /nfs/dbraw/zinc/09/97/09/331099709.db2.gz DRVVVDLZAMHVBN-MNOVXSKESA-N 1 2 291.361 3.921 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1ccc(-n2ccnc2)cc1)C(F)F ZINC000453242711 331105037 /nfs/dbraw/zinc/10/50/37/331105037.db2.gz HQWSUEYAPUQNQV-FZMZJTMJSA-N 1 2 279.334 3.567 20 0 CHADLO CCc1oc(-c2nc(CCc3[nH+]cccc3C)no2)cc1C ZINC000453235607 331105182 /nfs/dbraw/zinc/10/51/82/331105182.db2.gz YHSIGMHIHARTEB-UHFFFAOYSA-N 1 2 297.358 3.689 20 0 CHADLO CC[C@@H]([NH2+][C@@H]1CCCc2ccc(OC)cc21)C(F)F ZINC000453249360 331107382 /nfs/dbraw/zinc/10/73/82/331107382.db2.gz QGQGYPPOQDFGKX-ZIAGYGMSSA-N 1 2 269.335 3.706 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1cc(F)c(F)c(F)c1)C(F)F ZINC000453252740 331107826 /nfs/dbraw/zinc/10/78/26/331107826.db2.gz VORNTUZKERNMGW-LHLIQPBNSA-N 1 2 267.241 3.798 20 0 CHADLO CC[C@H]([NH2+][C@@H]1CCc2c1c(F)ccc2F)C(F)F ZINC000453254903 331108185 /nfs/dbraw/zinc/10/81/85/331108185.db2.gz YOKRXBXBLMBGJU-WDEREUQCSA-N 1 2 261.262 3.585 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)C(C)(F)F)c1ccc2c(c1)OCCO2 ZINC000453282931 331110725 /nfs/dbraw/zinc/11/07/25/331110725.db2.gz IIZVCEFWOAPGRO-CMPLNLGQSA-N 1 2 285.334 3.542 20 0 CHADLO COc1cc(C)c([C@H](C)[NH2+][C@H](C)C(C)(F)F)cc1OC ZINC000453293681 331112144 /nfs/dbraw/zinc/11/21/44/331112144.db2.gz OXNPPTWBYMVDQT-WDEREUQCSA-N 1 2 287.350 3.707 20 0 CHADLO Cc1c([C@@H](C)[NH2+][C@H](C)C(C)(F)F)cnn1C(C)(C)C ZINC000453298976 331112761 /nfs/dbraw/zinc/11/27/61/331112761.db2.gz TWJAJDWKMWVGCH-MWLCHTKSSA-N 1 2 273.371 3.641 20 0 CHADLO Cc1c([C@H](C)[NH2+][C@@H](C)C(C)(F)F)cnn1C(C)(C)C ZINC000453298978 331112792 /nfs/dbraw/zinc/11/27/92/331112792.db2.gz TWJAJDWKMWVGCH-ONGXEEELSA-N 1 2 273.371 3.641 20 0 CHADLO Cn1cc(Cl)c(C[NH2+][C@H]2CCc3ccc(Cl)cc32)n1 ZINC000453313920 331115106 /nfs/dbraw/zinc/11/51/06/331115106.db2.gz DUAXYBLJCIVQQC-ZDUSSCGKSA-N 1 2 296.201 3.504 20 0 CHADLO CC(C)CC[C@H]([NH2+]Cc1nn(C)cc1Cl)c1ccoc1 ZINC000453318573 331115920 /nfs/dbraw/zinc/11/59/20/331115920.db2.gz MOYPLMZAGGIPHO-AWEZNQCLSA-N 1 2 295.814 3.934 20 0 CHADLO CCn1ccnc1C[NH2+][C@@H](c1cc(F)ccc1F)C(C)C ZINC000453320030 331116290 /nfs/dbraw/zinc/11/62/90/331116290.db2.gz MWKRTSAPTULYPY-MRXNPFEDSA-N 1 2 293.361 3.668 20 0 CHADLO CCn1ccnc1[C@H](C)[NH2+][C@H](C)c1cc(C)c(C)o1 ZINC000453371939 331124337 /nfs/dbraw/zinc/12/43/37/331124337.db2.gz WFLZVPVDQFYCRV-NEPJUHHUSA-N 1 2 261.369 3.525 20 0 CHADLO COc1cccnc1C[NH2+][C@@H](C)c1cc2ccc(C)cc2o1 ZINC000453376974 331125088 /nfs/dbraw/zinc/12/50/88/331125088.db2.gz VKIVJYJIRXZRKC-ZDUSSCGKSA-N 1 2 296.370 3.996 20 0 CHADLO CCc1ccc(N[C@@H]2C[C@H](C)n3cc[nH+]c32)cc1OC ZINC000453389291 331127050 /nfs/dbraw/zinc/12/70/50/331127050.db2.gz XGGWOUAEIBKNAU-SMDDNHRTSA-N 1 2 271.364 3.572 20 0 CHADLO Cc1cc(Br)c(C[N@@H+]2CCC[C@@H]2CF)s1 ZINC000453602686 331135992 /nfs/dbraw/zinc/13/59/92/331135992.db2.gz TUFARGYPQSPCJC-SECBINFHSA-N 1 2 292.217 3.753 20 0 CHADLO Cc1cc(Br)c(C[N@H+]2CCC[C@@H]2CF)s1 ZINC000453602686 331135993 /nfs/dbraw/zinc/13/59/93/331135993.db2.gz TUFARGYPQSPCJC-SECBINFHSA-N 1 2 292.217 3.753 20 0 CHADLO CCCC[C@H](CCC)NC(=O)N[C@@H]1C[C@@H](C)n2cc[nH+]c21 ZINC000454616240 331149894 /nfs/dbraw/zinc/14/98/94/331149894.db2.gz LWLIUWATOBXJRS-HZSPNIEDSA-N 1 2 292.427 3.547 20 0 CHADLO C[C@@H]1CC=C(C(=O)Nc2ccc(-n3cc[nH+]c3)c(F)c2)CC1 ZINC000454902740 331156313 /nfs/dbraw/zinc/15/63/13/331156313.db2.gz CRNANSHTVBSPBU-GFCCVEGCSA-N 1 2 299.349 3.696 20 0 CHADLO Cc1ccc(NC(=O)N2C[C@H](C(C)C)[C@@H]2C(C)C)c(C)[nH+]1 ZINC000455058453 331159797 /nfs/dbraw/zinc/15/97/97/331159797.db2.gz YCNCRGNDAHZYDF-ZBFHGGJFSA-N 1 2 289.423 3.843 20 0 CHADLO CC(C)(C)OC(=O)C[NH2+][C@H]1CCCC[C@@H]1c1ccccc1 ZINC000164096845 331162326 /nfs/dbraw/zinc/16/23/26/331162326.db2.gz IKRVPAVEJGXMIH-CVEARBPZSA-N 1 2 289.419 3.644 20 0 CHADLO CC(C)=CCC[C@H](C)NC(=O)NCc1c[nH+]c(C)cc1C ZINC000455287067 331164471 /nfs/dbraw/zinc/16/44/71/331164471.db2.gz JFNTVUWOHBJWGH-AWEZNQCLSA-N 1 2 289.423 3.633 20 0 CHADLO CC[C@@H](NC(=O)Nc1c(C)cc[nH+]c1C)C1CCCC1 ZINC000455435508 331169827 /nfs/dbraw/zinc/16/98/27/331169827.db2.gz IQMXASRUZUYOCA-CQSZACIVSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc(C)c(NC(=O)NCC(C)(C)C2CCC2)c(C)[nH+]1 ZINC000455531932 331172453 /nfs/dbraw/zinc/17/24/53/331172453.db2.gz SPVXXBHUXHJPKX-UHFFFAOYSA-N 1 2 289.423 3.955 20 0 CHADLO Cc1cccc([C@H](C)NC(=O)NCc2c[nH+]c(C)cc2C)c1 ZINC000455582530 331173387 /nfs/dbraw/zinc/17/33/87/331173387.db2.gz ZETSGSNEJKULJQ-HNNXBMFYSA-N 1 2 297.402 3.567 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)NC(=O)c1ccc(C(C)C)s1 ZINC000456342464 331190393 /nfs/dbraw/zinc/19/03/93/331190393.db2.gz DQIOZRNBYMZAHK-NSHDSACASA-N 1 2 291.420 3.579 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)NC(=O)CC[C@H](C)c1ccccc1 ZINC000456369741 331191354 /nfs/dbraw/zinc/19/13/54/331191354.db2.gz YBTQBKIOISFBEQ-LSDHHAIUSA-N 1 2 299.418 3.664 20 0 CHADLO C[C@H]1C[C@H](NC(=O)c2ccc3sccc3c2)c2[nH+]ccn21 ZINC000456397824 331192144 /nfs/dbraw/zinc/19/21/44/331192144.db2.gz CFYMSDJNDHAWSO-GWCFXTLKSA-N 1 2 297.383 3.534 20 0 CHADLO C[C@@H]1C[C@@H](NC(=O)C[C@@H]2CCCCC2(C)C)c2[nH+]ccn21 ZINC000456427578 331192458 /nfs/dbraw/zinc/19/24/58/331192458.db2.gz RBZMLVYVIGWHHQ-HZSPNIEDSA-N 1 2 289.423 3.612 20 0 CHADLO Cc1cc(C)c(CNC(=O)C23CC4CC(CC(C4)C2)C3)c[nH+]1 ZINC000456836847 331207061 /nfs/dbraw/zinc/20/70/61/331207061.db2.gz BKIGLAZPQMRFIQ-UHFFFAOYSA-N 1 2 298.430 3.531 20 0 CHADLO CCC[C@](C)(CC)C(=O)NCc1c[nH+]c(C)cc1C ZINC000456839349 331207244 /nfs/dbraw/zinc/20/72/44/331207244.db2.gz MRRBWRUGJVGHFX-INIZCTEOSA-N 1 2 262.397 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)CCCC(C)(C)C)c[nH+]1 ZINC000456844678 331207275 /nfs/dbraw/zinc/20/72/75/331207275.db2.gz ROQIBLIDGQIRQC-UHFFFAOYSA-N 1 2 262.397 3.531 20 0 CHADLO Cc1cc(C)c(CNC(=O)c2ccc(C(C)C)cc2)c[nH+]1 ZINC000456869889 331208068 /nfs/dbraw/zinc/20/80/68/331208068.db2.gz YTCFBBAOFFMDLI-UHFFFAOYSA-N 1 2 282.387 3.752 20 0 CHADLO CC(C)CCCCC(=O)N1CC[C@@H](C)[C@@H](n2cc[nH+]c2)C1 ZINC000457650848 331230144 /nfs/dbraw/zinc/23/01/44/331230144.db2.gz MXMNZRHEHDHHLZ-CVEARBPZSA-N 1 2 291.439 3.509 20 0 CHADLO CC(C)CCCCC(=O)N1CC(C)(C)[C@H]1c1[nH+]ccn1C ZINC000457838542 331236154 /nfs/dbraw/zinc/23/61/54/331236154.db2.gz DRDVZCUBSRRBJQ-OAHLLOKOSA-N 1 2 291.439 3.546 20 0 CHADLO CC(C)[C@@H]1C[C@H]1NC(=O)c1cccc(Oc2cc[nH+]cc2)c1 ZINC000458128314 331243494 /nfs/dbraw/zinc/24/34/94/331243494.db2.gz HKKBRJYZWRJNLH-DLBZAZTESA-N 1 2 296.370 3.648 20 0 CHADLO CCC[C@H](NC(=O)c1ccc(-n2cc[nH+]c2)cc1)C1CCC1 ZINC000458310729 331248114 /nfs/dbraw/zinc/24/81/14/331248114.db2.gz RGUXSHRFEBRYLU-KRWDZBQOSA-N 1 2 297.402 3.571 20 0 CHADLO CC1(C)C[N@H+](Cc2cnc(C3CC3)nc2)[C@@H]1c1cccs1 ZINC000459571037 331288413 /nfs/dbraw/zinc/28/84/13/331288413.db2.gz QREMQWHXNCXQJM-OAHLLOKOSA-N 1 2 299.443 3.999 20 0 CHADLO CC1(C)C[N@@H+](Cc2cnc(C3CC3)nc2)[C@@H]1c1cccs1 ZINC000459571037 331288414 /nfs/dbraw/zinc/28/84/14/331288414.db2.gz QREMQWHXNCXQJM-OAHLLOKOSA-N 1 2 299.443 3.999 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1cncc(F)c1)c1ncc(C(C)(C)C)o1 ZINC000459619999 331290821 /nfs/dbraw/zinc/29/08/21/331290821.db2.gz KBSAEDOHWSNCGA-WDEREUQCSA-N 1 2 291.370 3.918 20 0 CHADLO C[C@@H]([NH2+]Cc1ccncc1Cl)c1nc2c(s1)CCC2 ZINC000459634763 331291418 /nfs/dbraw/zinc/29/14/18/331291418.db2.gz RULQAKGOALAVQM-SECBINFHSA-N 1 2 293.823 3.531 20 0 CHADLO Cc1ccnc([C@@H](C)[NH2+][C@H](C)c2nc3c(s2)CCC3)c1 ZINC000459659806 331292647 /nfs/dbraw/zinc/29/26/47/331292647.db2.gz ZWIYONJISTUUNP-VXGBXAGGSA-N 1 2 287.432 3.747 20 0 CHADLO Cc1cccc(C[N@@H+]2CCc3cccc(Cl)c3C2)n1 ZINC000459698440 331294971 /nfs/dbraw/zinc/29/49/71/331294971.db2.gz ZCFYVSLCOAQMJY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO Cc1cccc(C[N@H+]2CCc3cccc(Cl)c3C2)n1 ZINC000459698440 331294972 /nfs/dbraw/zinc/29/49/72/331294972.db2.gz ZCFYVSLCOAQMJY-UHFFFAOYSA-N 1 2 272.779 3.602 20 0 CHADLO C[N@H+](Cc1ncc(C(F)(F)F)s1)C1CC(C)(C)C1 ZINC000459729386 331296230 /nfs/dbraw/zinc/29/62/30/331296230.db2.gz JFRHMNSUFZSDGY-UHFFFAOYSA-N 1 2 278.343 3.782 20 0 CHADLO C[N@@H+](Cc1ncc(C(F)(F)F)s1)C1CC(C)(C)C1 ZINC000459729386 331296231 /nfs/dbraw/zinc/29/62/31/331296231.db2.gz JFRHMNSUFZSDGY-UHFFFAOYSA-N 1 2 278.343 3.782 20 0 CHADLO Clc1ccc(C[N@@H+]2C[C@@H]3C[C@H]2CS3)cc1Cl ZINC000459742936 331297567 /nfs/dbraw/zinc/29/75/67/331297567.db2.gz CEULOLBJWHWPKS-UWVGGRQHSA-N 1 2 274.216 3.683 20 0 CHADLO Clc1ccc(C[N@H+]2C[C@@H]3C[C@H]2CS3)cc1Cl ZINC000459742936 331297568 /nfs/dbraw/zinc/29/75/68/331297568.db2.gz CEULOLBJWHWPKS-UWVGGRQHSA-N 1 2 274.216 3.683 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[N@@H+]1Cc2ccc(Cl)cc2C1 ZINC000459768636 331299661 /nfs/dbraw/zinc/29/96/61/331299661.db2.gz XVBMBNUWZWPFEX-UHFFFAOYSA-N 1 2 289.810 3.876 20 0 CHADLO CC(C)(C)c1n[nH]cc1C[N@H+]1Cc2ccc(Cl)cc2C1 ZINC000459768636 331299662 /nfs/dbraw/zinc/29/96/62/331299662.db2.gz XVBMBNUWZWPFEX-UHFFFAOYSA-N 1 2 289.810 3.876 20 0 CHADLO CC[C@H]([NH2+][C@H](C)c1ccn(C)n1)c1ccc(F)cc1F ZINC000459792703 331301281 /nfs/dbraw/zinc/30/12/81/331301281.db2.gz OIPDGKFAEXFUMB-YGRLFVJLSA-N 1 2 279.334 3.500 20 0 CHADLO CCC[C@H]([NH2+][C@H](C(=O)OC)c1ccsc1)C1CCC1 ZINC000459814709 331302006 /nfs/dbraw/zinc/30/20/06/331302006.db2.gz XNBBACPAIYHIHN-KBPBESRZSA-N 1 2 281.421 3.521 20 0 CHADLO CC[C@H](CC(=O)Nc1cccc2[nH+]ccn21)c1ccccc1 ZINC000460342569 331309388 /nfs/dbraw/zinc/30/93/88/331309388.db2.gz IEBVSJNECDFFNC-CQSZACIVSA-N 1 2 293.370 3.857 20 0 CHADLO CCC1(C(=O)NCc2cn3ccc(C)cc3[nH+]2)CCCCC1 ZINC000460971182 331309703 /nfs/dbraw/zinc/30/97/03/331309703.db2.gz PHIVHNKXWOCOMM-UHFFFAOYSA-N 1 2 299.418 3.619 20 0 CHADLO CC[C@H](C(=O)Nc1cc[nH+]cc1C)C1CCC(C)CC1 ZINC000461012655 331310473 /nfs/dbraw/zinc/31/04/73/331310473.db2.gz BXKBTHRYXWRKNK-ZALBZXLWSA-N 1 2 274.408 3.603 20 0 CHADLO Cc1cc(N[C@H](C)C2CCCCC2)nc(-c2ccncc2)[nH+]1 ZINC000462869000 331333270 /nfs/dbraw/zinc/33/32/70/331333270.db2.gz UGCWTTHLHAWILI-CQSZACIVSA-N 1 2 296.418 3.650 20 0 CHADLO COc1ccc(F)cc1C[N@H+](C)Cc1cc(F)cc(F)c1 ZINC000462906544 331334643 /nfs/dbraw/zinc/33/46/43/331334643.db2.gz PBFXDVOVMRCOOW-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO COc1ccc(F)cc1C[N@@H+](C)Cc1cc(F)cc(F)c1 ZINC000462906544 331334644 /nfs/dbraw/zinc/33/46/44/331334644.db2.gz PBFXDVOVMRCOOW-UHFFFAOYSA-N 1 2 295.304 3.745 20 0 CHADLO Fc1ccc(C[NH+]2CC(c3ccccc3F)C2)cc1F ZINC000463026578 331337577 /nfs/dbraw/zinc/33/75/77/331337577.db2.gz AEXZBWOOFYOIQU-UHFFFAOYSA-N 1 2 277.289 3.703 20 0 CHADLO Cc1[nH+]cn(-c2ccc(N[C@@H](C)C(C)C)cc2)c1C ZINC000463031146 331337880 /nfs/dbraw/zinc/33/78/80/331337880.db2.gz XFMFLXXJTCLBNT-LBPRGKRZSA-N 1 2 257.381 3.946 20 0 CHADLO CCn1c2ccc(F)cc2[nH+]c1NCc1sccc1C ZINC000463250453 331344278 /nfs/dbraw/zinc/34/42/78/331344278.db2.gz LLZOFTXGUODEAU-UHFFFAOYSA-N 1 2 289.379 3.599 20 0 CHADLO CC(C)c1cc(N[C@@H](C)CC(F)(F)F)nc(C(C)C)[nH+]1 ZINC000463748233 331351599 /nfs/dbraw/zinc/35/15/99/331351599.db2.gz XMODXHCIXWTPNM-JTQLQIEISA-N 1 2 289.345 3.898 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1ccc(C2CCCC2)cc1 ZINC000463815682 331351996 /nfs/dbraw/zinc/35/19/96/331351996.db2.gz GZYYQHDOVOCRLW-UHFFFAOYSA-N 1 2 294.398 3.976 20 0 CHADLO C=Cc1ccc(CCNC(=O)Nc2c(C)cc[nH+]c2C)cc1 ZINC000463883238 331352812 /nfs/dbraw/zinc/35/28/12/331352812.db2.gz KYRGPPCRQTVAMK-UHFFFAOYSA-N 1 2 295.386 3.706 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@@H+]2CC(=O)OC(C)(C)C)o1 ZINC000464829712 331370014 /nfs/dbraw/zinc/37/00/14/331370014.db2.gz JSQSKLUFXJZJNA-TZMCWYRMSA-N 1 2 293.407 3.703 20 0 CHADLO Cc1ccc([C@H]2C[C@H](C)CC[N@H+]2CC(=O)OC(C)(C)C)o1 ZINC000464829712 331370015 /nfs/dbraw/zinc/37/00/15/331370015.db2.gz JSQSKLUFXJZJNA-TZMCWYRMSA-N 1 2 293.407 3.703 20 0 CHADLO Fc1ccc2c(c1)[C@H]([NH2+]Cc1ccccc1Cl)CO2 ZINC000466447176 331397375 /nfs/dbraw/zinc/39/73/75/331397375.db2.gz WVSZDMRNRDFTEE-CQSZACIVSA-N 1 2 277.726 3.702 20 0 CHADLO C[C@@H]1C[C@@H]1c1ccc(C[NH2+][C@@H]2COc3ccc(F)cc32)o1 ZINC000466471561 331397886 /nfs/dbraw/zinc/39/78/86/331397886.db2.gz SXCTZQQSMCAXRQ-RIEGTJTDSA-N 1 2 287.334 3.765 20 0 CHADLO CCc1[nH]nc(N[C@H]2CCC[C@@H](c3[nH+]cccc3C)C2)c1C ZINC000467336745 331415315 /nfs/dbraw/zinc/41/53/15/331415315.db2.gz YGVFSNXDIPVHCV-CABCVRRESA-N 1 2 298.434 3.544 20 0 CHADLO Cc1cc(C)c(NC(=O)[C@H](C)COc2ccccc2)c(C)[nH+]1 ZINC000471162905 331503128 /nfs/dbraw/zinc/50/31/28/331503128.db2.gz YSHPHHWPXMFJOZ-CYBMUJFWSA-N 1 2 298.386 3.660 20 0 CHADLO COC(=O)[C@@H]([NH2+]CC1CCCCCC1)c1ccsc1 ZINC000475160755 331616892 /nfs/dbraw/zinc/61/68/92/331616892.db2.gz YRUZHBWEZNHHFV-AWEZNQCLSA-N 1 2 281.421 3.522 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)[C@@H]2CCCC2(C)C)c1 ZINC000475988652 331650794 /nfs/dbraw/zinc/65/07/94/331650794.db2.gz PTSPTYAKSVERJP-HNNXBMFYSA-N 1 2 297.402 3.852 20 0 CHADLO CC(C)c1cc(N2CCO[C@@H](C(C)C)C2)nc(C(C)C)[nH+]1 ZINC000477090420 331675936 /nfs/dbraw/zinc/67/59/36/331675936.db2.gz XCIOJZMASJBONB-OAHLLOKOSA-N 1 2 291.439 3.585 20 0 CHADLO c1cn(C2CCCC2)nc1CSc1[nH+]cc2ccccn21 ZINC000477520422 331686208 /nfs/dbraw/zinc/68/62/08/331686208.db2.gz LEKLTFIMAQCZBO-UHFFFAOYSA-N 1 2 298.415 3.938 20 0 CHADLO C[C@@H](CC(C)(C)C)C(=O)NCc1cccc(-c2[nH]cc[nH+]2)c1 ZINC000477619818 331687690 /nfs/dbraw/zinc/68/76/90/331687690.db2.gz ZVZMPZFWPJPEFZ-ZDUSSCGKSA-N 1 2 299.418 3.765 20 0 CHADLO CC[C@@H](CC1CCCCC1)C(=O)Nc1cc[nH+]cc1C ZINC000511150719 332928411 /nfs/dbraw/zinc/92/84/11/332928411.db2.gz PQUMHHRYRPQSTC-HNNXBMFYSA-N 1 2 274.408 3.747 20 0 CHADLO CCN(C)c1ccc(CNc2cc(F)cc(Cl)c2)c[nH+]1 ZINC000480284782 331766071 /nfs/dbraw/zinc/76/60/71/331766071.db2.gz RVLOOGYSJSZOTF-UHFFFAOYSA-N 1 2 293.773 3.942 20 0 CHADLO Cc1cc(N2CCSC[C@H]2C)c2cccc(F)c2[nH+]1 ZINC000481998420 331820900 /nfs/dbraw/zinc/82/09/00/331820900.db2.gz INMQMHOSILRIED-LLVKDONJSA-N 1 2 276.380 3.624 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)Cc1ccc(C(C)(C)C)cc1 ZINC000482196351 331826785 /nfs/dbraw/zinc/82/67/85/331826785.db2.gz CODRICQTIDJBGV-UHFFFAOYSA-N 1 2 296.414 3.545 20 0 CHADLO CC[C@H](C)[C@@H](C)Nc1cccc(-c2[nH+]ccn2C)c1 ZINC000483245870 331864907 /nfs/dbraw/zinc/86/49/07/331864907.db2.gz JDEIOCLVXBSBJE-QWHCGFSZSA-N 1 2 257.381 3.934 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)N[C@@H](C)C1CCCCC1 ZINC000485634737 331919177 /nfs/dbraw/zinc/91/91/77/331919177.db2.gz WUHAVEREMHVDCG-LBPRGKRZSA-N 1 2 275.396 3.789 20 0 CHADLO Cc1cc(OCCC(F)(F)F)c2ccccc2[nH+]1 ZINC000486203171 331933407 /nfs/dbraw/zinc/93/34/07/331933407.db2.gz IXSRHJXTAPPGFN-UHFFFAOYSA-N 1 2 255.239 3.874 20 0 CHADLO Fc1cc(NC/C=C\c2ccncc2)ccc1-n1cc[nH+]c1 ZINC000487305526 331964830 /nfs/dbraw/zinc/96/48/30/331964830.db2.gz CWHHUCXLFSYDNL-UPHRSURJSA-N 1 2 294.333 3.532 20 0 CHADLO CC1(C(=O)Nc2cccc3[nH+]ccn32)CCCCCC1 ZINC000511595585 332936639 /nfs/dbraw/zinc/93/66/39/332936639.db2.gz FSRPGIXBNYCBBV-UHFFFAOYSA-N 1 2 271.364 3.633 20 0 CHADLO CSc1ccc(N[C@H]2CS[C@@H](C(C)C)C2)[nH+]c1 ZINC000488449296 332010204 /nfs/dbraw/zinc/01/02/04/332010204.db2.gz PEJCEFQRJGJFAY-ZYHUDNBSSA-N 1 2 268.451 3.746 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@@H+]1CCOc2ccc(F)cc2C1 ZINC000489157404 332042635 /nfs/dbraw/zinc/04/26/35/332042635.db2.gz LGQBVNSLFODSJM-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO C[C@@H](c1cccc(F)c1)[N@H+]1CCOc2ccc(F)cc2C1 ZINC000489157404 332042637 /nfs/dbraw/zinc/04/26/37/332042637.db2.gz LGQBVNSLFODSJM-LBPRGKRZSA-N 1 2 289.325 3.920 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1ccc2ccccc2c1 ZINC000492312075 332391943 /nfs/dbraw/zinc/39/19/43/332391943.db2.gz KEFWXDNXQWHMSD-KTKRTIGZSA-N 1 2 291.354 3.708 20 0 CHADLO CCn1cc[nH+]c1/C=C\C(=O)Nc1cccc2ccsc21 ZINC000492413049 332396284 /nfs/dbraw/zinc/39/62/84/332396284.db2.gz WWVMVQYTGBKNRF-SREVYHEPSA-N 1 2 297.383 3.770 20 0 CHADLO Cc1cc[nH+]c(C)c1NC(=O)/C=C\c1c(F)cccc1F ZINC000493007726 332422564 /nfs/dbraw/zinc/42/25/64/332422564.db2.gz RKDHJQGZUSEZFF-SREVYHEPSA-N 1 2 288.297 3.629 20 0 CHADLO CCCN(C(=O)/C=C\c1[nH]cc[nH+]1)[C@H](CC)c1ccccc1 ZINC000493373361 332437567 /nfs/dbraw/zinc/43/75/67/332437567.db2.gz UORJPIUQAGDWKD-BLIJAFNYSA-N 1 2 297.402 3.813 20 0 CHADLO CCCc1csc(C[NH2+]C(C)(C)c2nccs2)n1 ZINC000494018705 332461202 /nfs/dbraw/zinc/46/12/02/332461202.db2.gz QJIAVZSJFMJRFF-UHFFFAOYSA-N 1 2 281.450 3.577 20 0 CHADLO Cc1[nH+]cccc1Cc1noc(/C=C\C2CCCCC2)n1 ZINC000575736783 335110449 /nfs/dbraw/zinc/11/04/49/335110449.db2.gz WCCATOSGHUIANP-KTKRTIGZSA-N 1 2 283.375 3.957 20 0 CHADLO Cc1cc2[nH]c(C[NH2+]C3(C(F)F)CC3)cc2c(C)c1 ZINC000500544506 332612648 /nfs/dbraw/zinc/61/26/48/332612648.db2.gz JNEWQKZNZHAQJD-UHFFFAOYSA-N 1 2 264.319 3.672 20 0 CHADLO CC(C)N(C(=O)C[C@@H](C)n1cc[nH+]c1)[C@H](C)c1ccccc1 ZINC000566069422 334620099 /nfs/dbraw/zinc/62/00/99/334620099.db2.gz CKRVUXCEISXYEN-HZPDHXFCSA-N 1 2 299.418 3.832 20 0 CHADLO CCc1ccc(NCc2[nH]c(C)c(C)[nH+]2)cc1CC ZINC000566496401 334652740 /nfs/dbraw/zinc/65/27/40/334652740.db2.gz GZALZCKFHOJAGG-UHFFFAOYSA-N 1 2 257.381 3.763 20 0 CHADLO Cc1cc([C@@H](C)[NH2+][C@@H]2COc3ccc(F)cc32)oc1C ZINC000502428980 332658833 /nfs/dbraw/zinc/65/88/33/332658833.db2.gz FWTVJYINXHZWOU-QMTHXVAHSA-N 1 2 275.323 3.820 20 0 CHADLO CSc1ccc([C@H](C)[NH2+]C2(C(F)F)CC2)cc1F ZINC000503930398 332699750 /nfs/dbraw/zinc/69/97/50/332699750.db2.gz ROWUOPUSZUZCIE-QMMMGPOBSA-N 1 2 275.339 3.996 20 0 CHADLO CC(C)OC[C@@H](C)[NH2+]CC(F)(F)c1ccc(Cl)cc1 ZINC000513002234 332998090 /nfs/dbraw/zinc/99/80/90/332998090.db2.gz VWDZEZAIISFWDX-LLVKDONJSA-N 1 2 291.769 3.835 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@H](C)c1cc2ccccc2o1 ZINC000176332189 333041189 /nfs/dbraw/zinc/04/11/89/333041189.db2.gz CTRFINDMCHXPPH-GFCCVEGCSA-N 1 2 280.327 3.878 20 0 CHADLO Cc1cc(NC(=O)[C@H](C)c2ccc(Cl)s2)cc[nH+]1 ZINC000176469355 333042331 /nfs/dbraw/zinc/04/23/31/333042331.db2.gz ZPNPXCQKDJMAIW-SECBINFHSA-N 1 2 280.780 3.847 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCC[C@](CO)(C(C)C)C1 ZINC000516632543 333045955 /nfs/dbraw/zinc/04/59/55/333045955.db2.gz CBQSUHZYWROMMM-IBGZPJMESA-N 1 2 298.430 3.778 20 0 CHADLO CC[N@@H+](Cc1c(Cl)cccc1Cl)C[C@H]1CCCO1 ZINC000513956877 333054789 /nfs/dbraw/zinc/05/47/89/333054789.db2.gz LPDHFEQEKRPORN-LLVKDONJSA-N 1 2 288.218 3.994 20 0 CHADLO CC[N@H+](Cc1c(Cl)cccc1Cl)C[C@H]1CCCO1 ZINC000513956877 333054790 /nfs/dbraw/zinc/05/47/90/333054790.db2.gz LPDHFEQEKRPORN-LLVKDONJSA-N 1 2 288.218 3.994 20 0 CHADLO CCC[N@H+](CCOc1ccccc1Cl)CC(F)F ZINC000514008171 333060023 /nfs/dbraw/zinc/06/00/23/333060023.db2.gz CEVNKULBZMUEAB-UHFFFAOYSA-N 1 2 277.742 3.696 20 0 CHADLO CCC[N@@H+](CCOc1ccccc1Cl)CC(F)F ZINC000514008171 333060025 /nfs/dbraw/zinc/06/00/25/333060025.db2.gz CEVNKULBZMUEAB-UHFFFAOYSA-N 1 2 277.742 3.696 20 0 CHADLO CCc1cc(NCc2c[nH+]cn2C(C)C)ccc1C ZINC000311437123 333068560 /nfs/dbraw/zinc/06/85/60/333068560.db2.gz SHXYNTJTMFDBNE-UHFFFAOYSA-N 1 2 257.381 3.947 20 0 CHADLO CC(C)CC1(C(=O)NCc2ccn3cc[nH+]c3c2)CCCC1 ZINC000178349229 333071280 /nfs/dbraw/zinc/07/12/80/333071280.db2.gz UNVLQDINTJUZMW-UHFFFAOYSA-N 1 2 299.418 3.557 20 0 CHADLO CCSCc1cc[nH+]c(N[C@@H]2C[C@@H](C)O[C@@H](C)C2)c1 ZINC000517216134 333094880 /nfs/dbraw/zinc/09/48/80/333094880.db2.gz OIPXIJABHYBOIK-DABQJJPHSA-N 1 2 280.437 3.703 20 0 CHADLO C[C@@H](Cc1ccsc1)[N@@H+]1CC[C@H](C)C(F)(F)C1 ZINC000521246482 333116305 /nfs/dbraw/zinc/11/63/05/333116305.db2.gz NITVYVYNKIGWHL-QWRGUYRKSA-N 1 2 259.365 3.656 20 0 CHADLO C[C@@H](Cc1ccsc1)[N@H+]1CC[C@H](C)C(F)(F)C1 ZINC000521246482 333116306 /nfs/dbraw/zinc/11/63/06/333116306.db2.gz NITVYVYNKIGWHL-QWRGUYRKSA-N 1 2 259.365 3.656 20 0 CHADLO CCc1nocc1COc1cc(C)[nH+]c2ccccc21 ZINC000521272323 333116918 /nfs/dbraw/zinc/11/69/18/333116918.db2.gz YBOCAJOAYHDXNM-UHFFFAOYSA-N 1 2 268.316 3.673 20 0 CHADLO CCn1nccc1CNc1ccc(-c2ccccc2)c[nH+]1 ZINC000184288065 333126483 /nfs/dbraw/zinc/12/64/83/333126483.db2.gz RUAFWFYRGDEMIM-UHFFFAOYSA-N 1 2 278.359 3.577 20 0 CHADLO Cc1cncc([C@@H](C)Nc2ccc(N3CCCCC3)c[nH+]2)c1 ZINC000184438289 333128710 /nfs/dbraw/zinc/12/87/10/333128710.db2.gz QSSVUQGMSZLPFF-OAHLLOKOSA-N 1 2 296.418 3.948 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[NH2+]Cc1ccc(C(F)F)cc1 ZINC000184927803 333133342 /nfs/dbraw/zinc/13/33/42/333133342.db2.gz PBQPOSLNGSBUJS-AWEZNQCLSA-N 1 2 299.361 3.692 20 0 CHADLO Clc1cccc([C@H]2C[N@@H+]([C@H]3C=CCCC3)CCO2)c1 ZINC000185609323 333144936 /nfs/dbraw/zinc/14/49/36/333144936.db2.gz IXRYWYDFSNGQGG-JKSUJKDBSA-N 1 2 277.795 3.822 20 0 CHADLO Clc1cccc([C@H]2C[N@H+]([C@H]3C=CCCC3)CCO2)c1 ZINC000185609323 333144937 /nfs/dbraw/zinc/14/49/37/333144937.db2.gz IXRYWYDFSNGQGG-JKSUJKDBSA-N 1 2 277.795 3.822 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@@H+]1Cc1noc(C(C)C)n1 ZINC000185799328 333149142 /nfs/dbraw/zinc/14/91/42/333149142.db2.gz HVSRBIBAHQUGSV-HNNXBMFYSA-N 1 2 285.391 3.530 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@H+]1Cc1noc(C(C)C)n1 ZINC000185799328 333149144 /nfs/dbraw/zinc/14/91/44/333149144.db2.gz HVSRBIBAHQUGSV-HNNXBMFYSA-N 1 2 285.391 3.530 20 0 CHADLO Cc1cccc2[nH+]c(CSCCOc3ccccc3)cn21 ZINC000219738086 333156108 /nfs/dbraw/zinc/15/61/08/333156108.db2.gz GRRQDFCHCBHYOC-UHFFFAOYSA-N 1 2 298.411 3.955 20 0 CHADLO Cc1cncc(C[NH2+][C@@H](C)c2csc(C(C)C)n2)c1 ZINC000186435618 333160913 /nfs/dbraw/zinc/16/09/13/333160913.db2.gz JJUQMBNTBKSOQP-LBPRGKRZSA-N 1 2 275.421 3.821 20 0 CHADLO Cc1cnc([C@H](C)[NH2+][C@H](C)c2ccc(OC(F)F)cc2)o1 ZINC000220902124 333170678 /nfs/dbraw/zinc/17/06/78/333170678.db2.gz QVOZXRDBWVBYRJ-MNOVXSKESA-N 1 2 296.317 3.996 20 0 CHADLO C[C@@H]1CCC[C@@H]1CC(=O)Nc1ccc(-c2[nH]cc[nH+]2)cc1 ZINC000187615381 333173060 /nfs/dbraw/zinc/17/30/60/333173060.db2.gz LUAQRXXISCEYRP-TZMCWYRMSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@@H]1C[C@H]([NH2+][C@H](CC(C)(C)C)C(F)(F)F)C[C@H](C)O1 ZINC000564162857 333181484 /nfs/dbraw/zinc/18/14/84/333181484.db2.gz GPCMPQIKTISXLD-NOOOWODRSA-N 1 2 281.362 3.899 20 0 CHADLO Cc1cccnc1SCc1cn2cc(Cl)ccc2[nH+]1 ZINC000521655073 333181614 /nfs/dbraw/zinc/18/16/14/333181614.db2.gz LUQGMMPKJCOKEV-UHFFFAOYSA-N 1 2 289.791 3.983 20 0 CHADLO Cc1cc(NCc2cccc(CO)c2)c2cccc(F)c2[nH+]1 ZINC000189824116 333199243 /nfs/dbraw/zinc/19/92/43/333199243.db2.gz SCANJKDAAVIFHR-UHFFFAOYSA-N 1 2 296.345 3.787 20 0 CHADLO Clc1ccc2[nH+]c(CSCC[C@H]3CCCO3)cn2c1 ZINC000190047983 333202640 /nfs/dbraw/zinc/20/26/40/333202640.db2.gz CRVIYWCPXFBDDM-CYBMUJFWSA-N 1 2 296.823 3.790 20 0 CHADLO CC[C@@H]([NH2+][C@@H](C)c1cccs1)C(=O)OC(C)(C)C ZINC000190499263 333207539 /nfs/dbraw/zinc/20/75/39/333207539.db2.gz DKUVYAMWTZVDHH-WDEREUQCSA-N 1 2 269.410 3.519 20 0 CHADLO CCN(C)c1ccc(CNc2cccc(C)c2C)c[nH+]1 ZINC000190806197 333210870 /nfs/dbraw/zinc/21/08/70/333210870.db2.gz SPTILDIFFNUUIX-UHFFFAOYSA-N 1 2 269.392 3.767 20 0 CHADLO CCN(C)c1ccc(CNc2ccc(C)c(C)c2)c[nH+]1 ZINC000190812245 333211167 /nfs/dbraw/zinc/21/11/67/333211167.db2.gz RYPSBQMYNWSNHC-UHFFFAOYSA-N 1 2 269.392 3.767 20 0 CHADLO Cc1cc(NC(=O)Nc2cccc3[nH]ccc32)cc[nH+]1 ZINC000192288430 333233925 /nfs/dbraw/zinc/23/39/25/333233925.db2.gz JZDUDVFOBOFOSF-UHFFFAOYSA-N 1 2 266.304 3.515 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1nc(C(C)(C)C)no1)c1ccccc1F ZINC000192621874 333237070 /nfs/dbraw/zinc/23/70/70/333237070.db2.gz NPIVHCRSYKHXIU-MNOVXSKESA-N 1 2 291.370 3.918 20 0 CHADLO CN(C)c1ccc2cc(NC(=O)c3ccsc3)ccc2[nH+]1 ZINC000195056405 333243971 /nfs/dbraw/zinc/24/39/71/333243971.db2.gz WUWJVHTVSSJCIV-UHFFFAOYSA-N 1 2 297.383 3.615 20 0 CHADLO Cc1cc(C)c(NC(=O)c2cc3c(s2)CCC3)c(C)[nH+]1 ZINC000193182177 333244329 /nfs/dbraw/zinc/24/43/29/333244329.db2.gz TUDZYSQFXIRZIB-UHFFFAOYSA-N 1 2 286.400 3.809 20 0 CHADLO CCCOc1cccc2c(N[C@@H](C)CCCO)cc[nH+]c12 ZINC000194726058 333276491 /nfs/dbraw/zinc/27/64/91/333276491.db2.gz YYAVKILRNNYHID-ZDUSSCGKSA-N 1 2 288.391 3.597 20 0 CHADLO CC(C)CCc1ccc(NC(=O)CCn2cc[nH+]c2)cc1 ZINC000522273218 333277878 /nfs/dbraw/zinc/27/78/78/333277878.db2.gz PELWXCLQGLGYCG-UHFFFAOYSA-N 1 2 285.391 3.501 20 0 CHADLO Cc1ccsc1C[NH2+]CC(F)(F)c1ccccc1 ZINC000227903005 333290460 /nfs/dbraw/zinc/29/04/60/333290460.db2.gz ZQVMQCCDNFFTNS-UHFFFAOYSA-N 1 2 267.344 3.938 20 0 CHADLO CC[C@H](Nc1cc[nH+]c(C(C)C)n1)c1ccc(F)cc1F ZINC000523087901 333307543 /nfs/dbraw/zinc/30/75/43/333307543.db2.gz HRWOHEXCWNRMSK-AWEZNQCLSA-N 1 2 291.345 3.863 20 0 CHADLO CCc1ccc([C@@H](C)CC(=O)Nc2cc[nH+]c(C)c2)cc1 ZINC000523138096 333310520 /nfs/dbraw/zinc/31/05/20/333310520.db2.gz CCUSQEWKKYIFJI-ZDUSSCGKSA-N 1 2 282.387 3.507 20 0 CHADLO CCCC[C@@H]([NH2+]CC[C@@H](C)c1ccccc1)C(=O)OC ZINC000230495574 333318718 /nfs/dbraw/zinc/31/87/18/333318718.db2.gz XBQFHTXWZQXHJO-GDBMZVCRSA-N 1 2 277.408 3.502 20 0 CHADLO CCCC[C@H]([NH2+]CC[C@H](C)c1ccccc1)C(=O)OC ZINC000230495694 333318842 /nfs/dbraw/zinc/31/88/42/333318842.db2.gz XBQFHTXWZQXHJO-HOCLYGCPSA-N 1 2 277.408 3.502 20 0 CHADLO CSCCCCC(=O)Nc1ccc(-c2c[nH]c[nH+]2)cc1 ZINC000523486056 333329760 /nfs/dbraw/zinc/32/97/60/333329760.db2.gz PTSBYHFUCVHXMJ-UHFFFAOYSA-N 1 2 289.404 3.549 20 0 CHADLO Cc1cc(NC2C[C@@H](C)C[C@H](C)C2)nc(-c2cccnc2)[nH+]1 ZINC000523535993 333332538 /nfs/dbraw/zinc/33/25/38/333332538.db2.gz YQXMLDADTIOGOP-STQMWFEESA-N 1 2 296.418 3.506 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCC1CCSCC1 ZINC000235030560 333364952 /nfs/dbraw/zinc/36/49/52/333364952.db2.gz DFFAJPHCOIUECF-UHFFFAOYSA-N 1 2 288.416 3.799 20 0 CHADLO COc1cccc2c1cc[nH+]c2NC[C@H]1CCCS1 ZINC000235035460 333364991 /nfs/dbraw/zinc/36/49/91/333364991.db2.gz KJABZICIRUASIM-LLVKDONJSA-N 1 2 274.389 3.551 20 0 CHADLO COc1cccc2c1cc[nH+]c2NCCOC1CCCC1 ZINC000235031507 333365040 /nfs/dbraw/zinc/36/50/40/333365040.db2.gz MGXVELLYSLFSNU-UHFFFAOYSA-N 1 2 286.375 3.615 20 0 CHADLO CCc1cc(N2CCC[C@H]2C(C)C)nc(-c2ccncc2)[nH+]1 ZINC000524626168 333369357 /nfs/dbraw/zinc/36/93/57/333369357.db2.gz XWNACWYQCVYXFU-INIZCTEOSA-N 1 2 296.418 3.726 20 0 CHADLO Fc1ccc([C@@H]([NH2+]Cc2cocn2)c2ccccc2)cc1 ZINC000237907856 333381955 /nfs/dbraw/zinc/38/19/55/333381955.db2.gz CBBUNVZYVTVENG-KRWDZBQOSA-N 1 2 282.318 3.693 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)c3ccc(F)c(C)c3)ccc2n1C ZINC000525211717 333404154 /nfs/dbraw/zinc/40/41/54/333404154.db2.gz JTAXEXXMBMOWKP-UHFFFAOYSA-N 1 2 297.333 3.582 20 0 CHADLO Cc1cc(NC(=O)C[C@@H](C)n2cc[nH+]c2)c(Cl)cc1F ZINC000575991807 335139253 /nfs/dbraw/zinc/13/92/53/335139253.db2.gz DTIFQHGCFBMHBU-SNVBAGLBSA-N 1 2 295.745 3.574 20 0 CHADLO C[C@@H]([NH2+]Cc1cccnc1)c1ncc(-c2ccccc2)o1 ZINC000173794360 335141241 /nfs/dbraw/zinc/14/12/41/335141241.db2.gz GWTCGOQIVJOQTJ-CYBMUJFWSA-N 1 2 279.343 3.587 20 0 CHADLO Clc1cccc(C2C[NH+](Cc3ccncc3Cl)C2)c1 ZINC000529596538 333500538 /nfs/dbraw/zinc/50/05/38/333500538.db2.gz RLUIOYUHRKSAEY-UHFFFAOYSA-N 1 2 293.197 3.988 20 0 CHADLO Cc1ncc(C[NH2+]C(C)(C)c2nc3ccccc3s2)o1 ZINC000529642235 333503095 /nfs/dbraw/zinc/50/30/95/333503095.db2.gz RYMCBRMGSNZFFB-UHFFFAOYSA-N 1 2 287.388 3.618 20 0 CHADLO Oc1cccc(CNc2cccc(-n3cc[nH+]c3)c2)c1Cl ZINC000328281156 333507324 /nfs/dbraw/zinc/50/73/24/333507324.db2.gz YWLBBKNZDIFTON-UHFFFAOYSA-N 1 2 299.761 3.843 20 0 CHADLO COc1c(Cl)cc(Cl)cc1C[NH+]1CCSCC1 ZINC000126209076 333594685 /nfs/dbraw/zinc/59/46/85/333594685.db2.gz SQVIYWQUGNGAIX-UHFFFAOYSA-N 1 2 292.231 3.551 20 0 CHADLO c1ccc([C@@H](CC2CCC2)[NH2+]Cc2nnc(C3CC3)o2)cc1 ZINC000538691013 333611938 /nfs/dbraw/zinc/61/19/38/333611938.db2.gz CDGODBCMJXUEPN-MRXNPFEDSA-N 1 2 297.402 3.968 20 0 CHADLO Fc1cccc(F)c1C[NH+]1CCC(C(F)(F)F)CC1 ZINC000127201644 333622908 /nfs/dbraw/zinc/62/29/08/333622908.db2.gz CAHRJWDIDALIGT-UHFFFAOYSA-N 1 2 279.252 3.739 20 0 CHADLO CCC[C@H]([NH2+][C@H](CC)c1cccs1)C(=O)OCC ZINC000127322695 333626612 /nfs/dbraw/zinc/62/66/12/333626612.db2.gz DAAPEWQQGVHMDK-NEPJUHHUSA-N 1 2 269.410 3.521 20 0 CHADLO Cc1ccc(NCc2ccc(Br)cc2)c[nH+]1 ZINC000128042471 333640875 /nfs/dbraw/zinc/64/08/75/333640875.db2.gz ZOSBFAABVFGDMH-UHFFFAOYSA-N 1 2 277.165 3.765 20 0 CHADLO CCc1cc(N2[C@H](CC)CC[C@@H]2C)nc(-c2ccncc2)[nH+]1 ZINC000538978818 333647610 /nfs/dbraw/zinc/64/76/10/333647610.db2.gz GECCAQYEIGLTRK-XJKSGUPXSA-N 1 2 296.418 3.868 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(Cl)c1Cl)c1nccn1C ZINC000539317031 333665719 /nfs/dbraw/zinc/66/57/19/333665719.db2.gz GMVYFLYRKDAZAM-GFCCVEGCSA-N 1 2 298.217 3.968 20 0 CHADLO Cc1ccc(C[N@H+](Cc2ccco2)Cc2cnccn2)s1 ZINC000576122270 335157950 /nfs/dbraw/zinc/15/79/50/335157950.db2.gz IBIDCXQQLANTEM-UHFFFAOYSA-N 1 2 299.399 3.642 20 0 CHADLO Cc1ccc(C[N@@H+](Cc2ccco2)Cc2cnccn2)s1 ZINC000576122270 335157951 /nfs/dbraw/zinc/15/79/51/335157951.db2.gz IBIDCXQQLANTEM-UHFFFAOYSA-N 1 2 299.399 3.642 20 0 CHADLO Cc1cccc([C@H]2CCN(c3cc[nH+]c4ccncc43)C2)c1 ZINC000541338317 333773027 /nfs/dbraw/zinc/77/30/27/333773027.db2.gz OYSBOAPPPAKPHA-INIZCTEOSA-N 1 2 289.382 3.932 20 0 CHADLO COc1cc[nH+]cc1NC(=O)C1(CC(C)C)CCCC1 ZINC000129602792 333780503 /nfs/dbraw/zinc/78/05/03/333780503.db2.gz OGHRFWDXVQIEGA-UHFFFAOYSA-N 1 2 276.380 3.635 20 0 CHADLO Cc1cc2[nH+]cn(Cc3c(F)cccc3F)c2cc1C ZINC000129877571 333797470 /nfs/dbraw/zinc/79/74/70/333797470.db2.gz BIESPTZOMNMMQP-UHFFFAOYSA-N 1 2 272.298 3.980 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[N@@H+]1Cc2ccccc2[C@@H]1C ZINC000576138073 335159705 /nfs/dbraw/zinc/15/97/05/335159705.db2.gz ZCWMQVDMQZCIND-BBRMVZONSA-N 1 2 275.392 3.541 20 0 CHADLO CCOC(=O)[C@H](CC(C)C)[N@H+]1Cc2ccccc2[C@@H]1C ZINC000576138073 335159706 /nfs/dbraw/zinc/15/97/06/335159706.db2.gz ZCWMQVDMQZCIND-BBRMVZONSA-N 1 2 275.392 3.541 20 0 CHADLO CC[C@@H]1CN(c2[nH+]c3ccccc3cc2C)CCCO1 ZINC000542144379 333811643 /nfs/dbraw/zinc/81/16/43/333811643.db2.gz KBOHUOIJBCSXOC-OAHLLOKOSA-N 1 2 270.376 3.549 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)CC1CC(c2ccccc2)C1 ZINC000543068905 333855189 /nfs/dbraw/zinc/85/51/89/333855189.db2.gz IJBRLZFZQGEWRO-UHFFFAOYSA-N 1 2 294.398 3.588 20 0 CHADLO C[C@H]1CC/C(=C\c2nc(Cc3cn4ccccc4[nH+]3)no2)C1 ZINC000545602160 333990751 /nfs/dbraw/zinc/99/07/51/333990751.db2.gz XPOFXNDHBSREEO-OQZOHAQUSA-N 1 2 294.358 3.512 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)C[C@H]3CCC[C@@H]3C)ccc2n1C ZINC000546007691 334011757 /nfs/dbraw/zinc/01/17/57/334011757.db2.gz ZLUAQLXIVPBQRY-WCQYABFASA-N 1 2 285.391 3.647 20 0 CHADLO Cc1cccc(C)c1[C@H](C)[NH2+]Cc1nc(C(C)(C)C)no1 ZINC000546224963 334020141 /nfs/dbraw/zinc/02/01/41/334020141.db2.gz XNEDXVIDGUUFSV-ZDUSSCGKSA-N 1 2 287.407 3.835 20 0 CHADLO Cc1cc(C[NH2+]Cc2c(Cl)oc3ccccc32)no1 ZINC000133174709 334023537 /nfs/dbraw/zinc/02/35/37/334023537.db2.gz PZYRKTWBZJVDCZ-UHFFFAOYSA-N 1 2 276.723 3.672 20 0 CHADLO CCC[C@@H](C)Cc1nc(Cc2cn3cccc(C)c3[nH+]2)no1 ZINC000546388923 334030476 /nfs/dbraw/zinc/03/04/76/334030476.db2.gz BSKWURMNOUWFKC-GFCCVEGCSA-N 1 2 298.390 3.595 20 0 CHADLO CC1(c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)CCCC1 ZINC000546401519 334030812 /nfs/dbraw/zinc/03/08/12/334030812.db2.gz NOKQHPLZVCFZKF-UHFFFAOYSA-N 1 2 294.358 3.754 20 0 CHADLO CCC[N@@H+](Cc1ccc(OC(F)F)cc1)CC(F)F ZINC000133275076 334033009 /nfs/dbraw/zinc/03/30/09/334033009.db2.gz XDCZTESJEBGCBN-UHFFFAOYSA-N 1 2 279.277 3.765 20 0 CHADLO CCC[N@H+](Cc1ccc(OC(F)F)cc1)CC(F)F ZINC000133275076 334033010 /nfs/dbraw/zinc/03/30/10/334033010.db2.gz XDCZTESJEBGCBN-UHFFFAOYSA-N 1 2 279.277 3.765 20 0 CHADLO CCC[C@@H](C)c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000547105645 334066823 /nfs/dbraw/zinc/06/68/23/334066823.db2.gz HNQJHPBWBVHSSE-GFCCVEGCSA-N 1 2 282.347 3.826 20 0 CHADLO C[C@H]1CC[C@H](c2nc(-c3cccc(-n4cc[nH+]c4)c3)no2)C1 ZINC000547104467 334066889 /nfs/dbraw/zinc/06/68/89/334066889.db2.gz VTRPWGQESSYRGI-JSGCOSHPSA-N 1 2 294.358 3.826 20 0 CHADLO CC[C@]1(C)C[C@H]1c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000547109219 334067070 /nfs/dbraw/zinc/06/70/70/334067070.db2.gz QPZNUVAIRORYCN-WMLDXEAASA-N 1 2 294.358 3.826 20 0 CHADLO CC[C@@H]([NH2+]Cc1nnc(C)s1)c1cccc(Cl)c1F ZINC000547305143 334077288 /nfs/dbraw/zinc/07/72/88/334077288.db2.gz WLUCNLRBYOPWMW-LLVKDONJSA-N 1 2 299.802 3.880 20 0 CHADLO Cc1[nH+]c2cc(NC(=O)[C@H](C)CC(C)C)ccc2n1C ZINC000547318505 334078773 /nfs/dbraw/zinc/07/87/73/334078773.db2.gz ITPBGRNADGRLEC-LLVKDONJSA-N 1 2 273.380 3.502 20 0 CHADLO COC(=O)c1ccc(SCc2ccc(C)[nH+]c2C)cc1 ZINC000547498062 334094735 /nfs/dbraw/zinc/09/47/35/334094735.db2.gz QPXHGUDYJGDABP-UHFFFAOYSA-N 1 2 287.384 3.777 20 0 CHADLO COc1cccc(F)c1[C@@H](C)[NH2+]Cc1ccc(C)o1 ZINC000134774813 334103778 /nfs/dbraw/zinc/10/37/78/334103778.db2.gz RRLQDAAKZBIOJY-LLVKDONJSA-N 1 2 263.312 3.587 20 0 CHADLO Cc1ccc(SCc2cn3cc(C)ccc3[nH+]2)nc1 ZINC000077904116 334118392 /nfs/dbraw/zinc/11/83/92/334118392.db2.gz GVKQGOSQIHARGG-UHFFFAOYSA-N 1 2 269.373 3.638 20 0 CHADLO CC(C)(C)CCC(=O)Nc1ccc(-n2cc[nH+]c2)cc1 ZINC000078053669 334122261 /nfs/dbraw/zinc/12/22/61/334122261.db2.gz OFBBELOXGDEJOJ-UHFFFAOYSA-N 1 2 271.364 3.637 20 0 CHADLO CCC[C@H](C)[C@H]1CCC[N@@H+]1Cc1nnsc1Cl ZINC000135336148 334126490 /nfs/dbraw/zinc/12/64/90/334126490.db2.gz AGOVTGAYRLVXBG-GXSJLCMTSA-N 1 2 273.833 3.592 20 0 CHADLO CCC[C@H](C)[C@H]1CCC[N@H+]1Cc1nnsc1Cl ZINC000135336148 334126491 /nfs/dbraw/zinc/12/64/91/334126491.db2.gz AGOVTGAYRLVXBG-GXSJLCMTSA-N 1 2 273.833 3.592 20 0 CHADLO Cc1cnc([C@@H](C)Nc2[nH+]c3ccccc3n2C)s1 ZINC000135544942 334132807 /nfs/dbraw/zinc/13/28/07/334132807.db2.gz YOWMMNXSTBFDTH-SNVBAGLBSA-N 1 2 272.377 3.511 20 0 CHADLO CCC(=O)c1cccc(NCc2cn3cccc(C)c3[nH+]2)c1 ZINC000135742083 334137572 /nfs/dbraw/zinc/13/75/72/334137572.db2.gz RZRMBOKVTGBCGZ-UHFFFAOYSA-N 1 2 293.370 3.848 20 0 CHADLO C[C@@H]1C[C@H](C)[N@H+]1Cc1csc(-c2cccs2)n1 ZINC000548098020 334139928 /nfs/dbraw/zinc/13/99/28/334139928.db2.gz CPVNOTBHNSOZPU-AOOOYVTPSA-N 1 2 264.419 3.854 20 0 CHADLO C[C@@H]1C[C@H](C)[N@@H+]1Cc1csc(-c2cccs2)n1 ZINC000548098020 334139929 /nfs/dbraw/zinc/13/99/29/334139929.db2.gz CPVNOTBHNSOZPU-AOOOYVTPSA-N 1 2 264.419 3.854 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@H+]1[C@H](C)C[C@@H]1C ZINC000548098565 334139944 /nfs/dbraw/zinc/13/99/44/334139944.db2.gz AKGCPHSQLMZIHD-OUAUKWLOSA-N 1 2 279.334 3.975 20 0 CHADLO C[C@H](c1nc2ccccc2n1C(F)F)[N@@H+]1[C@H](C)C[C@@H]1C ZINC000548098565 334139945 /nfs/dbraw/zinc/13/99/45/334139945.db2.gz AKGCPHSQLMZIHD-OUAUKWLOSA-N 1 2 279.334 3.975 20 0 CHADLO Cc1cc(Cl)ccc1C[N@@H+]1CCO[C@H](c2ccco2)C1 ZINC000136216330 334149852 /nfs/dbraw/zinc/14/98/52/334149852.db2.gz FBKOAWPJAFPEEW-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1cc(Cl)ccc1C[N@H+]1CCO[C@H](c2ccco2)C1 ZINC000136216330 334149853 /nfs/dbraw/zinc/14/98/53/334149853.db2.gz FBKOAWPJAFPEEW-INIZCTEOSA-N 1 2 291.778 3.815 20 0 CHADLO Cc1c[nH+]cc(N[C@H](C)CCc2ccc(O)cc2)c1 ZINC000136617301 334159476 /nfs/dbraw/zinc/15/94/76/334159476.db2.gz LJLHBBKEOQNQCV-CYBMUJFWSA-N 1 2 256.349 3.529 20 0 CHADLO Cc1cc(NC(=O)[C@@H](C)CCc2ccccc2F)cc[nH+]1 ZINC000080220987 334167776 /nfs/dbraw/zinc/16/77/76/334167776.db2.gz XWLGNOBRUSJHFO-LBPRGKRZSA-N 1 2 286.350 3.737 20 0 CHADLO C[C@H]1C[N@H+](Cc2nc(-c3ccoc3)no2)[C@H]1c1ccccc1 ZINC000245445207 334194112 /nfs/dbraw/zinc/19/41/12/334194112.db2.gz YQMOMHZKXUENJY-BLLLJJGKSA-N 1 2 295.342 3.523 20 0 CHADLO C[C@H]1C[N@@H+](Cc2nc(-c3ccoc3)no2)[C@H]1c1ccccc1 ZINC000245445207 334194113 /nfs/dbraw/zinc/19/41/13/334194113.db2.gz YQMOMHZKXUENJY-BLLLJJGKSA-N 1 2 295.342 3.523 20 0 CHADLO Cc1cc(NC(=O)c2c(Cl)cccc2Cl)cc[nH+]1 ZINC000081721909 334205277 /nfs/dbraw/zinc/20/52/77/334205277.db2.gz GRERECTXOKLLEL-UHFFFAOYSA-N 1 2 281.142 3.949 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1nc(C(C)C)no1)C(C)(C)C2 ZINC000550000541 334211193 /nfs/dbraw/zinc/21/11/93/334211193.db2.gz JIKMOTNCCFHEEO-UHFFFAOYSA-N 1 2 299.418 3.838 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1nc(C(C)C)no1)C(C)(C)C2 ZINC000550000541 334211194 /nfs/dbraw/zinc/21/11/94/334211194.db2.gz JIKMOTNCCFHEEO-UHFFFAOYSA-N 1 2 299.418 3.838 20 0 CHADLO COc1ccc(F)c(CNc2c[nH+]c3c(c2)CCCC3)c1 ZINC000550198632 334215689 /nfs/dbraw/zinc/21/56/89/334215689.db2.gz CADHWAHIEHQZTH-UHFFFAOYSA-N 1 2 286.350 3.720 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H]1CC[C@H]2CCCC[C@H]2C1 ZINC000245932460 334224731 /nfs/dbraw/zinc/22/47/31/334224731.db2.gz QUFWQJDTQWMGPT-QLFBSQMISA-N 1 2 272.392 3.935 20 0 CHADLO CC(F)(F)C[NH2+][C@H]1CCCOc2cc3c(cc21)CCC3 ZINC000551253604 334243163 /nfs/dbraw/zinc/24/31/63/334243163.db2.gz VLBVRYILICZFPP-AWEZNQCLSA-N 1 2 281.346 3.634 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@@H]1CC=C(C)CC1)c1cc(C)cc(C)c1 ZINC000552019751 334276383 /nfs/dbraw/zinc/27/63/83/334276383.db2.gz QAOWIAYTQWFCKC-SJORKVTESA-N 1 2 287.403 3.606 20 0 CHADLO CC1=CC[C@@H](Nc2ccc(-n3cc[nH+]c3)c(F)c2)CC1 ZINC000551973637 334268445 /nfs/dbraw/zinc/26/84/45/334268445.db2.gz LHAMNFHTQFEKMK-CYBMUJFWSA-N 1 2 271.339 3.922 20 0 CHADLO CC1=CC[C@H](Nc2ccc(-n3cc[nH+]c3)c(F)c2)CC1 ZINC000551973638 334268523 /nfs/dbraw/zinc/26/85/23/334268523.db2.gz LHAMNFHTQFEKMK-ZDUSSCGKSA-N 1 2 271.339 3.922 20 0 CHADLO c1snnc1C[NH2+]Cc1ccc(C2CCCCC2)cc1 ZINC000552060948 334283500 /nfs/dbraw/zinc/28/35/00/334283500.db2.gz REPVCFSSFGSUEH-UHFFFAOYSA-N 1 2 287.432 3.876 20 0 CHADLO CC[C@H](C)n1ncc(N[C@@H](C)c2[nH]cc[nH+]2)c1C1CC1 ZINC000552066394 334284791 /nfs/dbraw/zinc/28/47/91/334284791.db2.gz ILBQLWZIEOMWDS-QWRGUYRKSA-N 1 2 273.384 3.628 20 0 CHADLO COC(=O)[C@@H]([NH2+][C@H]1CC=C(C)CC1)c1ccc(C)c(C)c1 ZINC000552186880 334300086 /nfs/dbraw/zinc/30/00/86/334300086.db2.gz DOEVSKDUHPZUKX-IRXDYDNUSA-N 1 2 287.403 3.606 20 0 CHADLO CC(C)CCc1ccccc1NCc1[nH+]ccn1C ZINC000552224726 334305235 /nfs/dbraw/zinc/30/52/35/334305235.db2.gz NWNCEYZEROOVOG-UHFFFAOYSA-N 1 2 257.381 3.621 20 0 CHADLO CC(C)[C@@H]1CC[C@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC000552277638 334311917 /nfs/dbraw/zinc/31/19/17/334311917.db2.gz BEFFRIIPXBBKPH-LSDHHAIUSA-N 1 2 259.397 3.528 20 0 CHADLO CC(C)[C@H]1CC[C@H]1Nc1ccc(N2CCCC2)c[nH+]1 ZINC000552277637 334312024 /nfs/dbraw/zinc/31/20/24/334312024.db2.gz BEFFRIIPXBBKPH-HUUCEWRRSA-N 1 2 259.397 3.528 20 0 CHADLO C[C@@H](Nc1cc(Cl)cc2cccnc21)c1[nH]cc[nH+]1 ZINC000552289853 334313688 /nfs/dbraw/zinc/31/36/88/334313688.db2.gz MUVXLUDKBNDUMF-SECBINFHSA-N 1 2 272.739 3.784 20 0 CHADLO CCn1ccnc1C[N@@H+]1CC2(CCC2)[C@H]1c1ccccc1 ZINC000552315440 334315828 /nfs/dbraw/zinc/31/58/28/334315828.db2.gz RMCWOXQFPFWDQU-QGZVFWFLSA-N 1 2 281.403 3.630 20 0 CHADLO CCn1ccnc1C[N@H+]1CC2(CCC2)[C@H]1c1ccccc1 ZINC000552315440 334315830 /nfs/dbraw/zinc/31/58/30/334315830.db2.gz RMCWOXQFPFWDQU-QGZVFWFLSA-N 1 2 281.403 3.630 20 0 CHADLO FC[C@@H]1CCC[N@@H+]1Cc1ccc(OC(F)F)c(Cl)c1 ZINC000552390956 334323321 /nfs/dbraw/zinc/32/33/21/334323321.db2.gz RRXHKGDTBBKJHP-JTQLQIEISA-N 1 2 293.716 3.875 20 0 CHADLO FC[C@@H]1CCC[N@H+]1Cc1ccc(OC(F)F)c(Cl)c1 ZINC000552390956 334323322 /nfs/dbraw/zinc/32/33/22/334323322.db2.gz RRXHKGDTBBKJHP-JTQLQIEISA-N 1 2 293.716 3.875 20 0 CHADLO Cn1c2ccc(NC(=O)C3CCCCC3)cc2[nH+]c1C1CC1 ZINC000089870115 334352719 /nfs/dbraw/zinc/35/27/19/334352719.db2.gz RDKKOBWVKNKFLM-UHFFFAOYSA-N 1 2 297.402 3.970 20 0 CHADLO Cc1cc(NC(=O)CCCc2cc(F)ccc2F)cc[nH+]1 ZINC000090604689 334364424 /nfs/dbraw/zinc/36/44/24/334364424.db2.gz SNRFHTNBZOLHFN-UHFFFAOYSA-N 1 2 290.313 3.630 20 0 CHADLO CC(C)c1nc(N2CCC[C@H](F)C2)cc(C(C)(C)C)[nH+]1 ZINC000553210785 334369284 /nfs/dbraw/zinc/36/92/84/334369284.db2.gz QFGITXDPWTZSET-LBPRGKRZSA-N 1 2 279.403 3.836 20 0 CHADLO Cc1cnc(C[NH2+][C@@H](C)c2nc3c(s2)CCCC3)s1 ZINC000091729482 334382773 /nfs/dbraw/zinc/38/27/73/334382773.db2.gz DORXYEKOIPRDQC-JTQLQIEISA-N 1 2 293.461 3.638 20 0 CHADLO Clc1cccc2c(N3CCO[C@@H]4CCC[C@H]43)cc[nH+]c12 ZINC000553489309 334387983 /nfs/dbraw/zinc/38/79/83/334387983.db2.gz YKELABHCVRKOHO-HUUCEWRRSA-N 1 2 288.778 3.646 20 0 CHADLO CCCCOc1ccccc1C[NH2+]CC(C)(F)F ZINC000555609737 334484363 /nfs/dbraw/zinc/48/43/63/334484363.db2.gz QEXVSLGNSRCFCB-UHFFFAOYSA-N 1 2 257.324 3.610 20 0 CHADLO Cc1cc2ccccc2[nH+]c1N1CCCO[C@@H](C(C)C)C1 ZINC000555262007 334473372 /nfs/dbraw/zinc/47/33/72/334473372.db2.gz FIGYCHQQQIMEGX-QGZVFWFLSA-N 1 2 284.403 3.795 20 0 CHADLO Cc1cc(-n2cc[nH+]c2)ccc1CN(C)c1cccc(O)c1 ZINC000555445779 334478993 /nfs/dbraw/zinc/47/89/93/334478993.db2.gz UIFRTQIBBHHAKD-UHFFFAOYSA-N 1 2 293.370 3.523 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)/C=C\C(C)(C)C)c1 ZINC000254974206 334497340 /nfs/dbraw/zinc/49/73/40/334497340.db2.gz HAWDGAHKNQIJQQ-HJWRWDBZSA-N 1 2 283.375 3.628 20 0 CHADLO CCC(CC)Nc1cc(COC)[nH+]c2ccc(F)cc12 ZINC000564763351 334519606 /nfs/dbraw/zinc/51/96/06/334519606.db2.gz KANVWBRHQVIZAX-UHFFFAOYSA-N 1 2 276.355 3.543 20 0 CHADLO CCc1cccc(CC)c1NC(=O)C[C@H](C)n1cc[nH+]c1 ZINC000565041417 334535636 /nfs/dbraw/zinc/53/56/36/334535636.db2.gz FWVWRMJCOLVQOU-ZDUSSCGKSA-N 1 2 285.391 3.598 20 0 CHADLO C[C@@H]1CCN(c2[nH+]ccc3cc(F)ccc32)CCS1 ZINC000566148870 334628488 /nfs/dbraw/zinc/62/84/88/334628488.db2.gz GIIJBNXORIMRAO-LLVKDONJSA-N 1 2 276.380 3.706 20 0 CHADLO CCC(C)(C)c1ccc(C(=O)N[C@H](C)c2[nH]cc[nH+]2)cc1 ZINC000566470389 334651146 /nfs/dbraw/zinc/65/11/46/334651146.db2.gz NDNFXXAPGOPQHG-GFCCVEGCSA-N 1 2 285.391 3.588 20 0 CHADLO CC[C@H]([NH2+]Cc1noc2ccccc12)c1ccc(F)cn1 ZINC000566476029 334651491 /nfs/dbraw/zinc/65/14/91/334651491.db2.gz SDMJIRNUTRPKKG-ZDUSSCGKSA-N 1 2 285.322 3.603 20 0 CHADLO CC(C)C(C)(C)c1nc(-c2cccc(-n3cc[nH+]c3)c2)no1 ZINC000567199175 334689590 /nfs/dbraw/zinc/68/95/90/334689590.db2.gz UUVDKDJAEVJGLD-UHFFFAOYSA-N 1 2 296.374 3.856 20 0 CHADLO COC[C@@H]([NH2+][C@@H](C)c1cc(F)ccc1F)c1ccco1 ZINC000156565544 334744275 /nfs/dbraw/zinc/74/42/75/334744275.db2.gz JJKTZNHGMLWDKK-IINYFYTJSA-N 1 2 281.302 3.596 20 0 CHADLO Cc1nnc([C@H](C)[NH2+][C@@H](C)c2ccc(F)cc2F)s1 ZINC000273743614 334769995 /nfs/dbraw/zinc/76/99/95/334769995.db2.gz VYMLHILZVZFZII-YUMQZZPRSA-N 1 2 283.347 3.537 20 0 CHADLO C[C@@H]([NH2+][C@@H](C)c1ncn(C)n1)c1ccc(Cl)c(Cl)c1 ZINC000275749683 334794354 /nfs/dbraw/zinc/79/43/54/334794354.db2.gz QQWCRUFEEZNTPG-BDAKNGLRSA-N 1 2 299.205 3.534 20 0 CHADLO CCCC[C@@H](CC)C(=O)NCc1cccc(-n2cc[nH+]c2)c1 ZINC000569264078 334864570 /nfs/dbraw/zinc/86/45/70/334864570.db2.gz ZCNPLLATAGZLBP-MRXNPFEDSA-N 1 2 299.418 3.705 20 0 CHADLO CC[C@H]1CCC[C@@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000165317575 334894397 /nfs/dbraw/zinc/89/43/97/334894397.db2.gz VLEKJYQLVBQUKV-ZFWWWQNUSA-N 1 2 259.397 3.672 20 0 CHADLO Clc1ccccc1-c1ccc(CNc2c[nH]c[nH+]2)o1 ZINC000576438919 335203651 /nfs/dbraw/zinc/20/36/51/335203651.db2.gz YFCBEHJIPVJHHJ-UHFFFAOYSA-N 1 2 273.723 3.935 20 0 CHADLO Cc1cc[nH+]cc1CCCNc1ccc2c(c1)CCC2 ZINC000576671032 335233049 /nfs/dbraw/zinc/23/30/49/335233049.db2.gz AQGWNKOTGMYCSM-UHFFFAOYSA-N 1 2 266.388 3.923 20 0 CHADLO CCc1nc([C@H](C)[NH2+]Cc2cc3cccnc3o2)cs1 ZINC000577030551 335285071 /nfs/dbraw/zinc/28/50/71/335285071.db2.gz IXBYUPVHUALHEV-JTQLQIEISA-N 1 2 287.388 3.698 20 0 CHADLO CCC[C@H](C)[C@@H]1CCCN1C(=O)c1ccn2c(C)c[nH+]c2c1 ZINC000580581009 335290676 /nfs/dbraw/zinc/29/06/76/335290676.db2.gz JNFWELYPNVDDHP-BBRMVZONSA-N 1 2 299.418 3.684 20 0 CHADLO CC(C)c1nc(N(C)[C@@H]2CCCOC2)cc(C(C)(C)C)[nH+]1 ZINC000577133003 335306211 /nfs/dbraw/zinc/30/62/11/335306211.db2.gz VJYKHMVIZKYIBT-CYBMUJFWSA-N 1 2 291.439 3.513 20 0 CHADLO CCc1ccccc1-c1noc(Cc2ccc[nH+]c2C)n1 ZINC000577143620 335308194 /nfs/dbraw/zinc/30/81/94/335308194.db2.gz AGYFQJFHSMYIRO-UHFFFAOYSA-N 1 2 279.343 3.593 20 0 CHADLO Cc1ccc(NC(=O)N(C)[C@@H](C)c2cccc(O)c2)c(C)[nH+]1 ZINC000176727852 335328342 /nfs/dbraw/zinc/32/83/42/335328342.db2.gz YMHKZBWIXXJHME-ZDUSSCGKSA-N 1 2 299.374 3.629 20 0 CHADLO CCc1ccc(CNc2ccc(Cn3cc[nH+]c3)cn2)s1 ZINC000577357967 335342128 /nfs/dbraw/zinc/34/21/28/335342128.db2.gz CBLWKGHMLZVTQX-UHFFFAOYSA-N 1 2 298.415 3.562 20 0 CHADLO Cc1[nH+]cccc1Cc1noc([C@@H](C)c2cccs2)n1 ZINC000577371125 335343975 /nfs/dbraw/zinc/34/39/75/335343975.db2.gz OMUSNLGGLRZIMR-JTQLQIEISA-N 1 2 285.372 3.577 20 0 CHADLO CCc1cc(C[NH2+][C@@H](C)c2cc(F)c(F)c(F)c2)on1 ZINC000577445209 335352800 /nfs/dbraw/zinc/35/28/00/335352800.db2.gz PWODCXMALALULK-QMMMGPOBSA-N 1 2 284.281 3.505 20 0 CHADLO CCCOc1cc(C)ccc1C[NH2+][C@@H](C)c1cc(C)on1 ZINC000577446037 335352914 /nfs/dbraw/zinc/35/29/14/335352914.db2.gz NHRSYVQTLBAEGD-AWEZNQCLSA-N 1 2 288.391 3.931 20 0 CHADLO Fc1ccccc1C[C@@H]([NH2+]Cc1ccn[nH]1)c1ccccc1 ZINC000577689271 335388870 /nfs/dbraw/zinc/38/88/70/335388870.db2.gz BMJIBLQJGSCCOC-GOSISDBHSA-N 1 2 295.361 3.622 20 0 CHADLO C/C=C\c1ccc(NC(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cc1 ZINC000577710531 335391161 /nfs/dbraw/zinc/39/11/61/335391161.db2.gz OVBOOQBDFJWXMO-YUOQAEMBSA-N 1 2 296.374 3.744 20 0 CHADLO C/C=C/c1ccc(NC(=O)N[C@@H]2C[C@@H](C)n3cc[nH+]c32)cc1 ZINC000577710530 335391206 /nfs/dbraw/zinc/39/12/06/335391206.db2.gz OVBOOQBDFJWXMO-RRSPZVPKSA-N 1 2 296.374 3.744 20 0 CHADLO Cc1ccc2c(c1)[C@H](NC(=O)Nc1cc[nH+]cc1C)CCC2 ZINC000179861906 335526375 /nfs/dbraw/zinc/52/63/75/335526375.db2.gz YXBKIBKSUOMIQM-QGZVFWFLSA-N 1 2 295.386 3.898 20 0 CHADLO CCCOc1ccc(CNc2cc[nH+]cc2F)cc1OC ZINC000180192230 335558871 /nfs/dbraw/zinc/55/88/71/335558871.db2.gz XQYZAIOHDYWTLF-UHFFFAOYSA-N 1 2 290.338 3.630 20 0 CHADLO C[C@@H](CC(=O)N[C@@H](C)[C@@H](C)C1CCCCC1)n1cc[nH+]c1 ZINC000578656197 335660259 /nfs/dbraw/zinc/66/02/59/335660259.db2.gz LXEVYEFQRXPVSI-ZNMIVQPWSA-N 1 2 291.439 3.555 20 0 CHADLO Cc1[nH]c(CNc2ccc3oc(C4CC4)nc3c2)[nH+]c1C ZINC000578884281 335685116 /nfs/dbraw/zinc/68/51/16/335685116.db2.gz KJMMRMGIYRIMFV-UHFFFAOYSA-N 1 2 282.347 3.657 20 0 CHADLO CC(C)[C@@H]1CC[C@@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000579336226 335735384 /nfs/dbraw/zinc/73/53/84/335735384.db2.gz HMPAORWWDQOGEO-GJZGRUSLSA-N 1 2 259.397 3.528 20 0 CHADLO CC(C)[C@H]1CC[C@H]1Nc1ccc(N2CCCC2)[nH+]c1 ZINC000579336227 335735397 /nfs/dbraw/zinc/73/53/97/335735397.db2.gz HMPAORWWDQOGEO-HUUCEWRRSA-N 1 2 259.397 3.528 20 0 CHADLO C[C@@]1(CO)CCC[C@@H]1Nc1cc[nH+]c2c(Cl)cccc12 ZINC000579387879 335743954 /nfs/dbraw/zinc/74/39/54/335743954.db2.gz PSWLLEYSAMVSDZ-HOCLYGCPSA-N 1 2 290.794 3.851 20 0 CHADLO Cc1ccc(NC(=O)CCc2ccc3[nH]ccc3c2)c(C)[nH+]1 ZINC000181255589 335756221 /nfs/dbraw/zinc/75/62/21/335756221.db2.gz SZMZULZVJCBCEQ-UHFFFAOYSA-N 1 2 293.370 3.751 20 0 CHADLO CCc1noc([C@H](C)[NH2+][C@H](CC)c2ccc(C)cc2)n1 ZINC000181703584 335779631 /nfs/dbraw/zinc/77/96/31/335779631.db2.gz MPLGGLAOLLAYHX-GXTWGEPZSA-N 1 2 273.380 3.742 20 0 CHADLO Cc1cc[nH+]c(N[C@H](C)[C@H]2CCCCO2)c1Br ZINC000356754668 335788804 /nfs/dbraw/zinc/78/88/04/335788804.db2.gz JYOZYSYBSXBUKE-GHMZBOCLSA-N 1 2 299.212 3.522 20 0 CHADLO Cc1ccc2[nH+]c(CNc3cccc(C)c3F)cn2c1 ZINC000182319753 335810115 /nfs/dbraw/zinc/81/01/15/335810115.db2.gz SUMFLQGCQUYSGV-UHFFFAOYSA-N 1 2 269.323 3.702 20 0 CHADLO CC[C@@H](Nc1cccc([C@H](C)OC)c1)c1[nH+]ccn1C ZINC000183102781 335862984 /nfs/dbraw/zinc/86/29/84/335862984.db2.gz HJAPSUUCLRNAFN-SWLSCSKDSA-N 1 2 273.380 3.691 20 0 CHADLO CC(C)(C)CC(C)(C)NC(=O)Nc1ccn2cc[nH+]c2c1 ZINC000580012178 335947635 /nfs/dbraw/zinc/94/76/35/335947635.db2.gz NRVKARLGPUCLHK-UHFFFAOYSA-N 1 2 288.395 3.671 20 0 CHADLO CCCC1CCC([N@H+](C)Cc2nnsc2Cl)CC1 ZINC000581166977 336017937 /nfs/dbraw/zinc/01/79/37/336017937.db2.gz NAJCPULVABFKNI-UHFFFAOYSA-N 1 2 287.860 3.982 20 0 CHADLO CCCC1CCC([N@@H+](C)Cc2nnsc2Cl)CC1 ZINC000581166977 336017939 /nfs/dbraw/zinc/01/79/39/336017939.db2.gz NAJCPULVABFKNI-UHFFFAOYSA-N 1 2 287.860 3.982 20 0 CHADLO CC(F)(F)C[NH2+]Cc1cc(Cl)cc(Cl)c1 ZINC000382187413 336084033 /nfs/dbraw/zinc/08/40/33/336084033.db2.gz BBQDPUWKXXONDW-UHFFFAOYSA-N 1 2 254.107 3.738 20 0 CHADLO CC1(C)C[N@H+](Cc2cccc(F)c2F)[C@@H]1c1cccnc1 ZINC000581465361 336083003 /nfs/dbraw/zinc/08/30/03/336083003.db2.gz JLGYPARNIGCKDF-MRXNPFEDSA-N 1 2 288.341 3.943 20 0 CHADLO CC1(C)C[N@@H+](Cc2cccc(F)c2F)[C@@H]1c1cccnc1 ZINC000581465361 336083005 /nfs/dbraw/zinc/08/30/05/336083005.db2.gz JLGYPARNIGCKDF-MRXNPFEDSA-N 1 2 288.341 3.943 20 0 CHADLO CC(C)(C)c1nn(-c2ccccc2)cc1CNc1c[nH]c[nH+]1 ZINC000581520241 336093187 /nfs/dbraw/zinc/09/31/87/336093187.db2.gz NMQNDPNLOKJZDV-UHFFFAOYSA-N 1 2 295.390 3.505 20 0 CHADLO CC[C@@H]([NH2+]Cc1cccc(C)c1F)c1nccs1 ZINC000384453653 336124690 /nfs/dbraw/zinc/12/46/90/336124690.db2.gz KDFJDQHEYUNKBN-GFCCVEGCSA-N 1 2 264.369 3.832 20 0 CHADLO CCS(=O)(=O)Nc1ccc([NH2+][C@@H](C)CC(C)(C)C)cc1 ZINC000384642869 336128279 /nfs/dbraw/zinc/12/82/79/336128279.db2.gz BBCVZUFTWYOAHL-LBPRGKRZSA-N 1 2 298.452 3.685 20 0 CHADLO Cc1ccc(NC(=O)C[N@@H+]2Cc3ccccc3[C@@H]2C)cc1C ZINC000581845630 336151276 /nfs/dbraw/zinc/15/12/76/336151276.db2.gz IIVFWWCEYLLZMV-HNNXBMFYSA-N 1 2 294.398 3.819 20 0 CHADLO Cc1ccc(NC(=O)C[N@H+]2Cc3ccccc3[C@@H]2C)cc1C ZINC000581845630 336151277 /nfs/dbraw/zinc/15/12/77/336151277.db2.gz IIVFWWCEYLLZMV-HNNXBMFYSA-N 1 2 294.398 3.819 20 0 CHADLO CC[C@@H](NC(=O)c1ccc2sccc2c1)c1[nH]cc[nH+]1 ZINC000386528945 336176274 /nfs/dbraw/zinc/17/62/74/336176274.db2.gz GMRNOQGPGKQRIV-GFCCVEGCSA-N 1 2 285.372 3.506 20 0 CHADLO Cc1cc(C[NH2+][C@@H]2CCCOc3c(Cl)cccc32)no1 ZINC000335712913 533718714 /nfs/dbraw/zinc/71/87/14/533718714.db2.gz DYNHVQUQCYPCHZ-CQSZACIVSA-N 1 2 292.766 3.640 20 0 CHADLO C[C@H]1CC[C@@H](c2ccccc2)[N@H+](Cc2noc(C3CC3)n2)C1 ZINC000352805271 533718911 /nfs/dbraw/zinc/71/89/11/533718911.db2.gz RMMHZWVXFKXRHA-BBRMVZONSA-N 1 2 297.402 3.920 20 0 CHADLO C[C@H]1CC[C@@H](c2ccccc2)[N@@H+](Cc2noc(C3CC3)n2)C1 ZINC000352805271 533718927 /nfs/dbraw/zinc/71/89/27/533718927.db2.gz RMMHZWVXFKXRHA-BBRMVZONSA-N 1 2 297.402 3.920 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@H+](C)Cc1ccon1 ZINC000353807490 533769668 /nfs/dbraw/zinc/76/96/68/533769668.db2.gz RLTMEWURQLMCCZ-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1cc(Cl)cc(C)c1C[N@@H+](C)Cc1ccon1 ZINC000353807490 533769685 /nfs/dbraw/zinc/76/96/85/533769685.db2.gz RLTMEWURQLMCCZ-UHFFFAOYSA-N 1 2 264.756 3.577 20 0 CHADLO Cc1ccc(CCC(=O)Nc2ccc3[nH]c(C)[nH+]c3c2)s1 ZINC000352145036 533777844 /nfs/dbraw/zinc/77/78/44/533777844.db2.gz DIIBUQDNNROMNF-UHFFFAOYSA-N 1 2 299.399 3.813 20 0 CHADLO C[C@H](CC[N@H+](CC(F)F)C1CC1)OCc1ccccc1 ZINC000354890721 533894464 /nfs/dbraw/zinc/89/44/64/533894464.db2.gz JUPMFAIFBVYHOA-CYBMUJFWSA-N 1 2 283.362 3.711 20 0 CHADLO C[C@H](CC[N@@H+](CC(F)F)C1CC1)OCc1ccccc1 ZINC000354890721 533894469 /nfs/dbraw/zinc/89/44/69/533894469.db2.gz JUPMFAIFBVYHOA-CYBMUJFWSA-N 1 2 283.362 3.711 20 0 CHADLO Cc1ccc(C[N@H+]2CC=C(c3ccccc3Cl)CC2)nn1 ZINC000334834919 533916661 /nfs/dbraw/zinc/91/66/61/533916661.db2.gz AFVHQHCJQAPDJQ-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1ccc(C[N@@H+]2CC=C(c3ccccc3Cl)CC2)nn1 ZINC000334834919 533916670 /nfs/dbraw/zinc/91/66/70/533916670.db2.gz AFVHQHCJQAPDJQ-UHFFFAOYSA-N 1 2 299.805 3.728 20 0 CHADLO Cc1c(N[C@@H]2CCC[C@@H](c3[nH+]cccc3C)C2)cnn1C ZINC000334617470 533924612 /nfs/dbraw/zinc/92/46/12/533924612.db2.gz YDEIBIMEUJIJHF-HUUCEWRRSA-N 1 2 284.407 3.570 20 0 CHADLO Cc1ccc(C[N@@H+]2CC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000352667584 533956282 /nfs/dbraw/zinc/95/62/82/533956282.db2.gz LPEGZGGMPYIJRH-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO Cc1ccc(C[N@H+]2CC[C@H]2c2ccc(Cl)cc2)nc1 ZINC000352667584 533956289 /nfs/dbraw/zinc/95/62/89/533956289.db2.gz LPEGZGGMPYIJRH-INIZCTEOSA-N 1 2 272.779 3.990 20 0 CHADLO C[C@H](Nc1[nH+]ccc2ccc(F)cc21)C1CCOCC1 ZINC000354882228 534032670 /nfs/dbraw/zinc/03/26/70/534032670.db2.gz SUYRAJPUJUGSCG-NSHDSACASA-N 1 2 274.339 3.601 20 0 CHADLO Cc1ccc(CNC(=O)Nc2c(C)cc(C)[nH+]c2C)s1 ZINC000336145393 534033453 /nfs/dbraw/zinc/03/34/53/534033453.db2.gz PEMHOUGJXXDVOM-UHFFFAOYSA-N 1 2 289.404 3.698 20 0 CHADLO C[C@H]1C[C@@H](C[N@@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000352618259 534078661 /nfs/dbraw/zinc/07/86/61/534078661.db2.gz NXIHKCKIPBXTPA-ZFWWWQNUSA-N 1 2 283.362 3.569 20 0 CHADLO C[C@H]1C[C@@H](C[N@H+](Cc2ccccc2)CC(F)F)CCO1 ZINC000352618259 534078668 /nfs/dbraw/zinc/07/86/68/534078668.db2.gz NXIHKCKIPBXTPA-ZFWWWQNUSA-N 1 2 283.362 3.569 20 0 CHADLO Cc1ccc(C[NH2+][C@@H](COCC(C)C)c2ccco2)o1 ZINC000101597027 534080128 /nfs/dbraw/zinc/08/01/28/534080128.db2.gz CKBWIXXXEZGHQB-HNNXBMFYSA-N 1 2 277.364 3.685 20 0 CHADLO C[C@@H](c1ccccc1)[N@H+](C)Cc1ncc(Cl)s1 ZINC000352004218 534100649 /nfs/dbraw/zinc/10/06/49/534100649.db2.gz UWOIRBZRZKGXRM-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](c1ccccc1)[N@@H+](C)Cc1ncc(Cl)s1 ZINC000352004218 534100654 /nfs/dbraw/zinc/10/06/54/534100654.db2.gz UWOIRBZRZKGXRM-JTQLQIEISA-N 1 2 266.797 3.990 20 0 CHADLO C[C@@H](Cc1ccncc1)Nc1[nH+]ccc2ccc(F)cc21 ZINC000354532302 534258569 /nfs/dbraw/zinc/25/85/69/534258569.db2.gz BBQILZXBBKUTMC-LBPRGKRZSA-N 1 2 281.334 3.812 20 0 CHADLO CC[C@H](C(=O)Nc1c(C)cc[nH+]c1C)c1ccccc1 ZINC000485480036 517799292 /nfs/dbraw/zinc/79/92/92/517799292.db2.gz LWJKGKMTNCSCHO-HNNXBMFYSA-N 1 2 268.360 3.831 20 0 CHADLO CC[C@H]([NH2+][C@@H](C)c1csnn1)c1cccc(C)c1 ZINC000398453953 517829148 /nfs/dbraw/zinc/82/91/48/517829148.db2.gz GJDAXVHIHAIBGE-AAEUAGOBSA-N 1 2 261.394 3.648 20 0 CHADLO Cc1nc(C[N@@H+]([C@@H](C)c2ccccc2)C2CCCC2)no1 ZINC000347704422 534349996 /nfs/dbraw/zinc/34/99/96/534349996.db2.gz IRRRPFMZUCNFJH-ZDUSSCGKSA-N 1 2 285.391 3.884 20 0 CHADLO Cc1nc(C[N@H+]([C@@H](C)c2ccccc2)C2CCCC2)no1 ZINC000347704422 534349999 /nfs/dbraw/zinc/34/99/99/534349999.db2.gz IRRRPFMZUCNFJH-ZDUSSCGKSA-N 1 2 285.391 3.884 20 0 CHADLO CC(C)(C)n1cc(C[N@@H+]2CC(C)(C)[C@@H]2c2cccnc2)cn1 ZINC000292790228 519155524 /nfs/dbraw/zinc/15/55/24/519155524.db2.gz MHQIYZCGULVXAR-INIZCTEOSA-N 1 2 298.434 3.616 20 0 CHADLO CC(C)(C)n1cc(C[N@H+]2CC(C)(C)[C@@H]2c2cccnc2)cn1 ZINC000292790228 519155528 /nfs/dbraw/zinc/15/55/28/519155528.db2.gz MHQIYZCGULVXAR-INIZCTEOSA-N 1 2 298.434 3.616 20 0 CHADLO O=C(Nc1ccn2cc[nH+]c2c1)N1CCCC2(CCCC2)C1 ZINC000353676556 534416615 /nfs/dbraw/zinc/41/66/15/534416615.db2.gz KKROQHBGJMBFGF-UHFFFAOYSA-N 1 2 298.390 3.522 20 0 CHADLO Cc1ccc2c(c1)C[N@@H+](Cc1nc3ccccc3o1)CCO2 ZINC000352824287 534424724 /nfs/dbraw/zinc/42/47/24/534424724.db2.gz MKWUGPJXIXHKRJ-UHFFFAOYSA-N 1 2 294.354 3.531 20 0 CHADLO Cc1ccc2c(c1)C[N@H+](Cc1nc3ccccc3o1)CCO2 ZINC000352824287 534424730 /nfs/dbraw/zinc/42/47/30/534424730.db2.gz MKWUGPJXIXHKRJ-UHFFFAOYSA-N 1 2 294.354 3.531 20 0 CHADLO CC(C)[N@H+](Cc1ccco1)Cc1nc(-c2ccccc2)no1 ZINC000299385616 519660491 /nfs/dbraw/zinc/66/04/91/519660491.db2.gz PMBOHZXMOKAUPS-UHFFFAOYSA-N 1 2 297.358 3.740 20 0 CHADLO CC(C)[N@@H+](Cc1ccco1)Cc1nc(-c2ccccc2)no1 ZINC000299385616 519660494 /nfs/dbraw/zinc/66/04/94/519660494.db2.gz PMBOHZXMOKAUPS-UHFFFAOYSA-N 1 2 297.358 3.740 20 0 CHADLO CC(C)O[C@@H](C[N@H+](C)Cc1nccs1)c1ccccc1 ZINC000292354253 519776671 /nfs/dbraw/zinc/77/66/71/519776671.db2.gz FBXUKBSYEJQUGK-HNNXBMFYSA-N 1 2 290.432 3.741 20 0 CHADLO CC(C)O[C@@H](C[N@@H+](C)Cc1nccs1)c1ccccc1 ZINC000292354253 519776672 /nfs/dbraw/zinc/77/66/72/519776672.db2.gz FBXUKBSYEJQUGK-HNNXBMFYSA-N 1 2 290.432 3.741 20 0 CHADLO CC(C)O[C@H](C[N@H+](C)Cc1cscn1)c1ccccc1 ZINC000292181784 519777293 /nfs/dbraw/zinc/77/72/93/519777293.db2.gz MZVOCZBJNKYDDK-MRXNPFEDSA-N 1 2 290.432 3.741 20 0 CHADLO CC(C)O[C@H](C[N@@H+](C)Cc1cscn1)c1ccccc1 ZINC000292181784 519777294 /nfs/dbraw/zinc/77/72/94/519777294.db2.gz MZVOCZBJNKYDDK-MRXNPFEDSA-N 1 2 290.432 3.741 20 0 CHADLO CC(C)Sc1ccc(C[N@@H+]2CCOCC23CC3)cc1 ZINC000338593811 519787906 /nfs/dbraw/zinc/78/79/06/519787906.db2.gz UJPMTTWBBZGDKW-UHFFFAOYSA-N 1 2 277.433 3.552 20 0 CHADLO CC(C)Sc1ccc(C[N@H+]2CCOCC23CC3)cc1 ZINC000338593811 519787907 /nfs/dbraw/zinc/78/79/07/519787907.db2.gz UJPMTTWBBZGDKW-UHFFFAOYSA-N 1 2 277.433 3.552 20 0 CHADLO CC(C)[C@H]([NH2+]Cc1ccn[nH]1)c1ccc(C(F)(F)F)cc1 ZINC000130348950 519820847 /nfs/dbraw/zinc/82/08/47/519820847.db2.gz YGKAWNPQYOJLDU-AWEZNQCLSA-N 1 2 297.324 3.915 20 0 CHADLO CC(C)c1cc(N2CCC[C@H]2c2cc[nH]n2)nc(C(C)C)[nH+]1 ZINC000278752116 519833139 /nfs/dbraw/zinc/83/31/39/519833139.db2.gz RZUHYHZQFHCXRH-HNNXBMFYSA-N 1 2 299.422 3.788 20 0 CHADLO CC(C)c1ccc(C(=O)N[C@H](c2[nH]cc[nH+]2)C(C)C)s1 ZINC000338880652 519835224 /nfs/dbraw/zinc/83/52/24/519835224.db2.gz LLLVJTDZIHWPHN-ZDUSSCGKSA-N 1 2 291.420 3.722 20 0 CHADLO CCCn1ncnc1C[N@H+](C(C)C)[C@@H](C)c1ccccc1 ZINC000292290953 519891820 /nfs/dbraw/zinc/89/18/20/519891820.db2.gz UFUBFPHNRZNOLW-HNNXBMFYSA-N 1 2 286.423 3.660 20 0 CHADLO CCCn1ncnc1C[N@@H+](C(C)C)[C@@H](C)c1ccccc1 ZINC000292290953 519891824 /nfs/dbraw/zinc/89/18/24/519891824.db2.gz UFUBFPHNRZNOLW-HNNXBMFYSA-N 1 2 286.423 3.660 20 0 CHADLO CC(C)n1cc[nH+]c1CN(C)Cc1ccc(Cl)c(F)c1 ZINC000127737113 520027713 /nfs/dbraw/zinc/02/77/13/520027713.db2.gz PREISQIGYARFOU-UHFFFAOYSA-N 1 2 295.789 3.889 20 0 CHADLO CC(C)n1ncnc1C[N@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000280133560 520127990 /nfs/dbraw/zinc/12/79/90/520127990.db2.gz WEVDBGFRGMEQGX-GFCCVEGCSA-N 1 2 292.814 3.705 20 0 CHADLO CC(C)n1ncnc1C[N@@H+](C)[C@H](C)c1ccc(Cl)cc1 ZINC000280133560 520127996 /nfs/dbraw/zinc/12/79/96/520127996.db2.gz WEVDBGFRGMEQGX-GFCCVEGCSA-N 1 2 292.814 3.705 20 0 CHADLO Cc1ccsc1C[N@@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000354763319 534472320 /nfs/dbraw/zinc/47/23/20/534472320.db2.gz PGASLVXGKXJBRK-UHFFFAOYSA-N 1 2 295.411 3.758 20 0 CHADLO Cc1ccsc1C[N@H+]1Cc2cnn(-c3ccccc3)c2C1 ZINC000354763319 534472324 /nfs/dbraw/zinc/47/23/24/534472324.db2.gz PGASLVXGKXJBRK-UHFFFAOYSA-N 1 2 295.411 3.758 20 0 CHADLO CCNc1ccc(Cl)cc1C(=O)Nc1cc[nH+]cc1C ZINC000111044498 520314596 /nfs/dbraw/zinc/31/45/96/520314596.db2.gz FFBHKJXIAGXUFV-UHFFFAOYSA-N 1 2 289.766 3.728 20 0 CHADLO CC1(C)CCc2ccccc2[C@H]([NH2+]Cc2ccn[nH]2)C1 ZINC000280907217 520415347 /nfs/dbraw/zinc/41/53/47/520415347.db2.gz RSUYIWOLWHKLOT-MRXNPFEDSA-N 1 2 269.392 3.603 20 0 CHADLO CC1(C)C[N@H+](Cc2cncs2)[C@H]1c1cccs1 ZINC000290144834 520486425 /nfs/dbraw/zinc/48/64/25/520486425.db2.gz KRNCHEMVAOXKKF-LBPRGKRZSA-N 1 2 264.419 3.788 20 0 CHADLO CC1(C)C[N@@H+](Cc2cncs2)[C@H]1c1cccs1 ZINC000290144834 520486435 /nfs/dbraw/zinc/48/64/35/520486435.db2.gz KRNCHEMVAOXKKF-LBPRGKRZSA-N 1 2 264.419 3.788 20 0 CHADLO Fc1ccc2c(c1)C[N@@H+](Cc1ccccc1F)CC2 ZINC000353472211 534500598 /nfs/dbraw/zinc/50/05/98/534500598.db2.gz NRIRESWYPBCIJZ-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO Fc1ccc2c(c1)C[N@H+](Cc1ccccc1F)CC2 ZINC000353472211 534500601 /nfs/dbraw/zinc/50/06/01/534500601.db2.gz NRIRESWYPBCIJZ-UHFFFAOYSA-N 1 2 259.299 3.523 20 0 CHADLO CCOC(=O)CCCCC[NH2+][C@H](c1ccccc1)C(F)F ZINC000292818143 520627294 /nfs/dbraw/zinc/62/72/94/520627294.db2.gz SLODRKCROPRATD-OAHLLOKOSA-N 1 2 299.361 3.706 20 0 CHADLO Fc1ccc2cc[nH+]c(N3CC[C@H](C4CC4)C3)c2c1 ZINC000354881305 534509142 /nfs/dbraw/zinc/50/91/42/534509142.db2.gz FZDCYFRZOCKVNQ-ZDUSSCGKSA-N 1 2 256.324 3.610 20 0 CHADLO Fc1cccc(C[N@@H+]2CCC[C@H]2c2cccnc2)c1F ZINC000346976113 534518554 /nfs/dbraw/zinc/51/85/54/534518554.db2.gz RKQVINYJOBKVDX-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO Fc1cccc(C[N@H+]2CCC[C@H]2c2cccnc2)c1F ZINC000346976113 534518561 /nfs/dbraw/zinc/51/85/61/534518561.db2.gz RKQVINYJOBKVDX-HNNXBMFYSA-N 1 2 274.314 3.697 20 0 CHADLO Cc1c[nH]c(-c2ccccc2NC(=O)C2CCCCC2)[nH+]1 ZINC000336107344 534519952 /nfs/dbraw/zinc/51/99/52/534519952.db2.gz DDBSYTOHAGNNRP-UHFFFAOYSA-N 1 2 283.375 3.904 20 0 CHADLO CCC[C@H](C(=O)N1CCCC[C@H]1c1[nH]cc[nH+]1)C(C)C ZINC000127690489 520971731 /nfs/dbraw/zinc/97/17/31/520971731.db2.gz GDZVPKUBJUGIQA-KBPBESRZSA-N 1 2 277.412 3.536 20 0 CHADLO CCCC[C@@H](C)N(C)C(=O)c1cccc(Cn2cc[nH+]c2)c1 ZINC000290906666 521003423 /nfs/dbraw/zinc/00/34/23/521003423.db2.gz IIGSDEDQYJIZDF-OAHLLOKOSA-N 1 2 299.418 3.582 20 0 CHADLO Fc1ccccc1[C@@H](F)C1CC[NH+](Cc2ccon2)CC1 ZINC000367137309 534532727 /nfs/dbraw/zinc/53/27/27/534532727.db2.gz HIUGWZUBYJMDKS-INIZCTEOSA-N 1 2 292.329 3.737 20 0 CHADLO CCCC[C@H](C)N(C)C(=O)c1ccc(-n2cc[nH+]c2)cc1 ZINC000291333641 521142107 /nfs/dbraw/zinc/14/21/07/521142107.db2.gz SGEOIBQUXQXHOY-AWEZNQCLSA-N 1 2 285.391 3.523 20 0 CHADLO CCOc1ccc([C@@H]2CCC[N@@H+]2Cc2ncc(C)cn2)cc1 ZINC000340672877 521245724 /nfs/dbraw/zinc/24/57/24/521245724.db2.gz CDIBOBAMLYXZNS-KRWDZBQOSA-N 1 2 297.402 3.521 20 0 CHADLO CCOc1ccc([C@@H]2CCC[N@H+]2Cc2ncc(C)cn2)cc1 ZINC000340672877 521245741 /nfs/dbraw/zinc/24/57/41/521245741.db2.gz CDIBOBAMLYXZNS-KRWDZBQOSA-N 1 2 297.402 3.521 20 0 CHADLO CC[N@H+](Cc1cnns1)[C@H](C)c1cc2ccccc2o1 ZINC000289574964 521442958 /nfs/dbraw/zinc/44/29/58/521442958.db2.gz HAGDODQAJJBXDO-LLVKDONJSA-N 1 2 287.388 3.867 20 0 CHADLO CC[N@@H+](Cc1cnns1)[C@H](C)c1cc2ccccc2o1 ZINC000289574964 521442961 /nfs/dbraw/zinc/44/29/61/521442961.db2.gz HAGDODQAJJBXDO-LLVKDONJSA-N 1 2 287.388 3.867 20 0 CHADLO CC[N@@H+](c1ccc(NCc2[nH]ncc2C)cc1)C(C)C ZINC000289912833 521490730 /nfs/dbraw/zinc/49/07/30/521490730.db2.gz OCMYNWBLGJDGJZ-UHFFFAOYSA-N 1 2 272.396 3.565 20 0 CHADLO CC[N@H+](c1ccc(NCc2[nH]ncc2C)cc1)C(C)C ZINC000289912833 521490738 /nfs/dbraw/zinc/49/07/38/521490738.db2.gz OCMYNWBLGJDGJZ-UHFFFAOYSA-N 1 2 272.396 3.565 20 0 CHADLO Cc1occc1C[N@H+](C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000352670308 534566843 /nfs/dbraw/zinc/56/68/43/534566843.db2.gz DTFYOVFIKZXKBC-AWEZNQCLSA-N 1 2 292.404 3.528 20 0 CHADLO Cc1occc1C[N@@H+](C)Cc1cnc([C@@H]2CCCO2)s1 ZINC000352670308 534566844 /nfs/dbraw/zinc/56/68/44/534566844.db2.gz DTFYOVFIKZXKBC-AWEZNQCLSA-N 1 2 292.404 3.528 20 0 CHADLO CCCc1nc(C)c(C[NH2+]Cc2cccc(F)c2F)o1 ZINC000291724136 521496592 /nfs/dbraw/zinc/49/65/92/521496592.db2.gz MZYMCEPGPZRYRJ-UHFFFAOYSA-N 1 2 280.318 3.504 20 0 CHADLO CCCc1nc(C[N@H+](C)Cc2occc2C)cs1 ZINC000292141366 521504785 /nfs/dbraw/zinc/50/47/85/521504785.db2.gz JICXGHHKLKATDX-UHFFFAOYSA-N 1 2 264.394 3.629 20 0 CHADLO CCCc1nc(C[N@@H+](C)Cc2occc2C)cs1 ZINC000292141366 521504794 /nfs/dbraw/zinc/50/47/94/521504794.db2.gz JICXGHHKLKATDX-UHFFFAOYSA-N 1 2 264.394 3.629 20 0 CHADLO CCC[N@H+](Cc1[nH]ncc1C)[C@@H](C)c1ccccc1OC ZINC000290349807 521692671 /nfs/dbraw/zinc/69/26/71/521692671.db2.gz FKUGJUJTHGLRJO-AWEZNQCLSA-N 1 2 287.407 3.700 20 0 CHADLO CCC[N@@H+](Cc1[nH]ncc1C)[C@@H](C)c1ccccc1OC ZINC000290349807 521692674 /nfs/dbraw/zinc/69/26/74/521692674.db2.gz FKUGJUJTHGLRJO-AWEZNQCLSA-N 1 2 287.407 3.700 20 0 CHADLO CCSCc1cc[nH+]c(NCc2cnccc2C)c1 ZINC000280278706 521720022 /nfs/dbraw/zinc/72/00/22/521720022.db2.gz MPFYSMISCWMKAR-UHFFFAOYSA-N 1 2 273.405 3.650 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@@H](C)c1ccccc1F ZINC000265028451 522077954 /nfs/dbraw/zinc/07/79/54/522077954.db2.gz KRZXQBISFQVJIT-GFCCVEGCSA-N 1 2 286.350 3.915 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)C[C@H](C)c1ccccc1OC ZINC000265243768 522079033 /nfs/dbraw/zinc/07/90/33/522079033.db2.gz RSDVUHWXMJHHTO-ZDUSSCGKSA-N 1 2 298.386 3.785 20 0 CHADLO CCc1c[nH+]ccc1NC(=O)c1cc(C)ccc1C ZINC000265049140 522083504 /nfs/dbraw/zinc/08/35/04/522083504.db2.gz OBANXZMQXGPBNB-UHFFFAOYSA-N 1 2 254.333 3.513 20 0 CHADLO CCc1nc(N2CC[C@@H](C)C[C@H]2c2ccco2)cc(C)[nH+]1 ZINC000340026341 522237144 /nfs/dbraw/zinc/23/71/44/522237144.db2.gz XOZRLZCFNQHWMN-OCCSQVGLSA-N 1 2 285.391 3.918 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2cc3ccccc3o2)[nH]1 ZINC000277148147 522437786 /nfs/dbraw/zinc/43/77/86/522437786.db2.gz FMRXWCZKWKPMFS-VXGBXAGGSA-N 1 2 298.390 3.915 20 0 CHADLO CC[C@@H](C)c1nnc([C@@H](C)[NH2+]Cc2ccc(C)c(F)c2)[nH]1 ZINC000277163705 522438657 /nfs/dbraw/zinc/43/86/57/522438657.db2.gz HACLQDBCTHLLQO-ZYHUDNBSSA-N 1 2 290.386 3.617 20 0 CHADLO COc1cc(CNc2cc[nH+]c3c(Cl)cccc23)ccn1 ZINC000341418252 522496434 /nfs/dbraw/zinc/49/64/34/522496434.db2.gz LTBZSZFJFRLJQR-UHFFFAOYSA-N 1 2 299.761 3.904 20 0 CHADLO CCc1ncc(CNc2ccc([NH+]3CCCC3)cc2)s1 ZINC000037924475 522555716 /nfs/dbraw/zinc/55/57/16/522555716.db2.gz GCHOCEMRFVMLQU-UHFFFAOYSA-N 1 2 287.432 3.918 20 0 CHADLO CC[C@H]([NH2+]Cc1cc(C)no1)c1ccc(F)cc1F ZINC000125606650 522641769 /nfs/dbraw/zinc/64/17/69/522641769.db2.gz RIVJNANDDYRWBC-AWEZNQCLSA-N 1 2 266.291 3.502 20 0 CHADLO Clc1ccccc1C[N@@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000352211262 534650428 /nfs/dbraw/zinc/65/04/28/534650428.db2.gz JHXLLSFSNYQQHJ-HNNXBMFYSA-N 1 2 275.783 3.790 20 0 CHADLO Clc1ccccc1C[N@H+]1CCCC[C@H]1c1cc[nH]n1 ZINC000352211262 534650434 /nfs/dbraw/zinc/65/04/34/534650434.db2.gz JHXLLSFSNYQQHJ-HNNXBMFYSA-N 1 2 275.783 3.790 20 0 CHADLO CCc1nnc(C[N@H+](Cc2ccoc2)C2CCCCC2)o1 ZINC000265981607 522726402 /nfs/dbraw/zinc/72/64/02/522726402.db2.gz FQNPXAAEKBLJTG-UHFFFAOYSA-N 1 2 289.379 3.560 20 0 CHADLO CCc1nnc(C[N@@H+](Cc2ccoc2)C2CCCCC2)o1 ZINC000265981607 522726412 /nfs/dbraw/zinc/72/64/12/522726412.db2.gz FQNPXAAEKBLJTG-UHFFFAOYSA-N 1 2 289.379 3.560 20 0 CHADLO Clc1cccnc1NCc1cccc(Cn2cc[nH+]c2)c1 ZINC000347425577 534655350 /nfs/dbraw/zinc/65/53/50/534655350.db2.gz KDRAQVPHWHXRFK-UHFFFAOYSA-N 1 2 298.777 3.592 20 0 CHADLO C[N@H+](CCCOc1ccccc1)Cc1csc(Cl)n1 ZINC000289455830 522787464 /nfs/dbraw/zinc/78/74/64/522787464.db2.gz XSJKIOIWNDSRFM-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO C[N@@H+](CCCOc1ccccc1)Cc1csc(Cl)n1 ZINC000289455830 522787471 /nfs/dbraw/zinc/78/74/71/522787471.db2.gz XSJKIOIWNDSRFM-UHFFFAOYSA-N 1 2 296.823 3.697 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@@H](C)c2ccc(Cl)cc2)o1 ZINC000111193690 522787692 /nfs/dbraw/zinc/78/76/92/522787692.db2.gz PNXYSHSINFVOMV-VHSXEESVSA-N 1 2 279.771 3.697 20 0 CHADLO CCc1nnc([C@@H](C)[NH2+][C@H](C)c2ccc(Cl)cc2)o1 ZINC000111193694 522790139 /nfs/dbraw/zinc/79/01/39/522790139.db2.gz PNXYSHSINFVOMV-NXEZZACHSA-N 1 2 279.771 3.697 20 0 CHADLO Cc1[nH]c2ccc(NC(=O)CCCC(F)(F)F)cc2[nH+]1 ZINC000355945240 534658557 /nfs/dbraw/zinc/65/85/57/534658557.db2.gz OIDGLIPZIOANQY-UHFFFAOYSA-N 1 2 285.269 3.542 20 0 CHADLO CCc1noc(CC)c1CNc1cc(C(F)(F)F)cc[nH+]1 ZINC000088741945 522897612 /nfs/dbraw/zinc/89/76/12/522897612.db2.gz OVRKEXNJBVSKQL-UHFFFAOYSA-N 1 2 299.296 3.825 20 0 CHADLO CC[C@@H]([NH2+]Cc1occc1C)c1nc(C)cs1 ZINC000266166639 522898864 /nfs/dbraw/zinc/89/88/64/522898864.db2.gz AUASINKXCIITOQ-LLVKDONJSA-N 1 2 250.367 3.594 20 0 CHADLO COCc1cccc(CNc2ccc([NH+](C)C)cc2)c1 ZINC000088553074 523060000 /nfs/dbraw/zinc/06/00/00/523060000.db2.gz CBHRJBFOVDLIGY-UHFFFAOYSA-N 1 2 270.376 3.511 20 0 CHADLO CC[C@H]1C[N@H+](Cc2coc(-c3cccs3)n2)CCS1 ZINC000076072300 523295047 /nfs/dbraw/zinc/29/50/47/523295047.db2.gz TWEFMTGWABLSDX-LBPRGKRZSA-N 1 2 294.445 3.731 20 0 CHADLO CC[C@H]1C[N@@H+](Cc2coc(-c3cccs3)n2)CCS1 ZINC000076072300 523295053 /nfs/dbraw/zinc/29/50/53/523295053.db2.gz TWEFMTGWABLSDX-LBPRGKRZSA-N 1 2 294.445 3.731 20 0 CHADLO C[N@H+](Cc1cnc(Cl)s1)Cc1cccc(F)c1 ZINC000094194784 523498207 /nfs/dbraw/zinc/49/82/07/523498207.db2.gz KZQDWKBZSTVWOK-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO C[N@@H+](Cc1cnc(Cl)s1)Cc1cccc(F)c1 ZINC000094194784 523498214 /nfs/dbraw/zinc/49/82/14/523498214.db2.gz KZQDWKBZSTVWOK-UHFFFAOYSA-N 1 2 270.760 3.568 20 0 CHADLO CO[C@@H](COc1cccc(-n2cc[nH+]c2)c1)c1ccccc1 ZINC000339030451 523544504 /nfs/dbraw/zinc/54/45/04/523544504.db2.gz VWLNKWKJFNEASO-SFHVURJKSA-N 1 2 294.354 3.639 20 0 CHADLO CCn1cc[nH+]c1[C@@H](C)Nc1ccccc1-n1nccc1C ZINC000296596161 523552372 /nfs/dbraw/zinc/55/23/72/523552372.db2.gz XGWOVOJWMQQPCQ-CQSZACIVSA-N 1 2 295.390 3.570 20 0 CHADLO CCn1cc[nH+]c1[C@H](C)Nc1ccc(C)c(OC(F)F)c1 ZINC000296887160 523557946 /nfs/dbraw/zinc/55/79/46/523557946.db2.gz OJXGIZPBQJDEQJ-NSHDSACASA-N 1 2 295.333 3.986 20 0 CHADLO CCn1nccc1C[N@@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000290123960 523727003 /nfs/dbraw/zinc/72/70/03/523727003.db2.gz JADLUBWWUUZOOK-AWEZNQCLSA-N 1 2 275.421 3.548 20 0 CHADLO CCn1nccc1C[N@H+]1CC(C)(C)[C@@H]1c1cccs1 ZINC000290123960 523727012 /nfs/dbraw/zinc/72/70/12/523727012.db2.gz JADLUBWWUUZOOK-AWEZNQCLSA-N 1 2 275.421 3.548 20 0 CHADLO CC[C@H](C)C(=O)Nc1cccc(Oc2cc[nH+]cc2)c1 ZINC000264751857 523840508 /nfs/dbraw/zinc/84/05/08/523840508.db2.gz HOLJJPGASWKFID-LBPRGKRZSA-N 1 2 270.332 3.859 20 0 CHADLO CO[C@H](C)c1nc(C[NH2+][C@@H](C)c2cc(C)c(C)o2)cs1 ZINC000281946613 523915616 /nfs/dbraw/zinc/91/56/16/523915616.db2.gz AICKSKVKNUJLID-CMPLNLGQSA-N 1 2 294.420 3.911 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2ncc(C(F)(F)F)cn2)o1 ZINC000296409831 524025903 /nfs/dbraw/zinc/02/59/03/524025903.db2.gz KUVWQLCULXROKX-SECBINFHSA-N 1 2 299.296 3.502 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@@H+]2Cc2csnn2)o1 ZINC000129037984 524053118 /nfs/dbraw/zinc/05/31/18/524053118.db2.gz MAVRDTJVBIKWNU-CQSZACIVSA-N 1 2 291.420 3.811 20 0 CHADLO CCc1ccc([C@H]2CCCCC[N@H+]2Cc2csnn2)o1 ZINC000129037984 524053120 /nfs/dbraw/zinc/05/31/20/524053120.db2.gz MAVRDTJVBIKWNU-CQSZACIVSA-N 1 2 291.420 3.811 20 0 CHADLO C[C@H]1CCC[C@@H](C(=O)Nc2cccc(-c3[nH]cc[nH+]3)c2)C1 ZINC000163706299 524129424 /nfs/dbraw/zinc/12/94/24/524129424.db2.gz LEKLSXOJWBWULR-GXTWGEPZSA-N 1 2 283.375 3.842 20 0 CHADLO C[C@H](CCC1CCCCC1)Nc1[nH+]cnc2c1cnn2C ZINC000337867501 524135651 /nfs/dbraw/zinc/13/56/51/524135651.db2.gz LPXMDOSODYDHMS-GFCCVEGCSA-N 1 2 287.411 3.524 20 0 CHADLO Cc1ccc(C2CCN(c3nccc4occc43)CC2)c[nH+]1 ZINC000340844404 524243805 /nfs/dbraw/zinc/24/38/05/524243805.db2.gz RUXZFHQNTHIDSV-UHFFFAOYSA-N 1 2 293.370 3.915 20 0 CHADLO COc1ccc(F)c(C[NH2+][C@@H](C)c2c(F)cccc2F)c1 ZINC000293524492 524277424 /nfs/dbraw/zinc/27/74/24/524277424.db2.gz IWGMOVWGLRQFLF-JTQLQIEISA-N 1 2 295.304 3.963 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@H+]2CCC=C(C)C2)c(Cl)c1 ZINC000280812284 524284471 /nfs/dbraw/zinc/28/44/71/524284471.db2.gz MDSBMMHVOLCBOE-UHFFFAOYSA-N 1 2 292.810 3.547 20 0 CHADLO Cc1cc(C)c(NC(=O)C[N@@H+]2CCC=C(C)C2)c(Cl)c1 ZINC000280812284 524284477 /nfs/dbraw/zinc/28/44/77/524284477.db2.gz MDSBMMHVOLCBOE-UHFFFAOYSA-N 1 2 292.810 3.547 20 0 CHADLO Cc1ccc(C[N@@H+](C)Cc2cccnc2Cl)s1 ZINC000076342014 524393869 /nfs/dbraw/zinc/39/38/69/524393869.db2.gz SBDXEXMRUPDHHV-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1ccc(C[N@H+](C)Cc2cccnc2Cl)s1 ZINC000076342014 524393874 /nfs/dbraw/zinc/39/38/74/524393874.db2.gz SBDXEXMRUPDHHV-UHFFFAOYSA-N 1 2 266.797 3.737 20 0 CHADLO Cc1cc(C)cc([C@H](C)[NH2+][C@@H](C)c2nc(C3CC3)no2)c1 ZINC000107072125 524469608 /nfs/dbraw/zinc/46/96/08/524469608.db2.gz BGBYOICLFLNTDH-STQMWFEESA-N 1 2 285.391 3.976 20 0 CHADLO Cc1ccc(COc2ccc3c(c2)OC(=O)C[C@@H]3C)c(C)[nH+]1 ZINC000365680749 524627945 /nfs/dbraw/zinc/62/79/45/524627945.db2.gz RKKPTKNEPMLBIO-NSHDSACASA-N 1 2 297.354 3.690 20 0 CHADLO Cc1cc(C[N@@H+](C)C[C@@H](OC(C)C)c2ccccc2)no1 ZINC000292174894 524661345 /nfs/dbraw/zinc/66/13/45/524661345.db2.gz MENHCICDIGBSIM-QGZVFWFLSA-N 1 2 288.391 3.581 20 0 CHADLO Cc1cc(C[N@H+](C)C[C@@H](OC(C)C)c2ccccc2)no1 ZINC000292174894 524661350 /nfs/dbraw/zinc/66/13/50/524661350.db2.gz MENHCICDIGBSIM-QGZVFWFLSA-N 1 2 288.391 3.581 20 0 CHADLO Cc1cc(C[N@@H+](C)[C@@H](C)c2ccccc2Cl)ncn1 ZINC000292001013 524665990 /nfs/dbraw/zinc/66/59/90/524665990.db2.gz BQPUTZJOUHXGLD-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cc(C[N@H+](C)[C@@H](C)c2ccccc2Cl)ncn1 ZINC000292001013 524666001 /nfs/dbraw/zinc/66/60/01/524666001.db2.gz BQPUTZJOUHXGLD-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cc(C[N@H+]2CC=C(c3cccc(Cl)c3)CC2)no1 ZINC000280175143 524673586 /nfs/dbraw/zinc/67/35/86/524673586.db2.gz GKWPMYBWMXLBCR-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO Cc1cc(C[N@@H+]2CC=C(c3cccc(Cl)c3)CC2)no1 ZINC000280175143 524673593 /nfs/dbraw/zinc/67/35/93/524673593.db2.gz GKWPMYBWMXLBCR-UHFFFAOYSA-N 1 2 288.778 3.926 20 0 CHADLO COc1ccc([NH2+]C2CCC3(CCCO3)CC2)c(C)c1 ZINC000364841728 524674710 /nfs/dbraw/zinc/67/47/10/524674710.db2.gz BMKIXIRJTXQPKN-UHFFFAOYSA-N 1 2 275.392 3.907 20 0 CHADLO COc1ccc([NH2+][C@@H]2CCCC[C@@H]2[C@@H]2CCOC2)cc1 ZINC000365073421 524702961 /nfs/dbraw/zinc/70/29/61/524702961.db2.gz RPBDJRPQMQLGNA-KBRIMQKVSA-N 1 2 275.392 3.702 20 0 CHADLO Cc1cc(C[NH2+][C@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000044692465 524777755 /nfs/dbraw/zinc/77/77/55/524777755.db2.gz UOJIWJSNTXEXGO-SNVBAGLBSA-N 1 2 284.281 3.853 20 0 CHADLO COc1cc[nH+]cc1NC(=O)CC1CCC(C)(C)CC1 ZINC000337361757 524865698 /nfs/dbraw/zinc/86/56/98/524865698.db2.gz GMHDYTZLIRMULY-UHFFFAOYSA-N 1 2 276.380 3.635 20 0 CHADLO COc1cc[nH+]cc1NC(=O)[C@@H]1CC[C@@H]2CCCC[C@H]2C1 ZINC000245748799 524868030 /nfs/dbraw/zinc/86/80/30/524868030.db2.gz XAOIRQKZNLMVEA-MELADBBJSA-N 1 2 288.391 3.635 20 0 CHADLO COc1ccsc1C[N@@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000292740927 524878903 /nfs/dbraw/zinc/87/89/03/524878903.db2.gz HKPYDTRBXCRRQY-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO COc1ccsc1C[N@H+]1CC(C)(C)[C@@H]1c1cccnc1 ZINC000292740927 524878917 /nfs/dbraw/zinc/87/89/17/524878917.db2.gz HKPYDTRBXCRRQY-HNNXBMFYSA-N 1 2 288.416 3.735 20 0 CHADLO Cc1cccc2c1CN(C(=O)Nc1c(C)cc[nH+]c1C)CC2 ZINC000287527060 524943849 /nfs/dbraw/zinc/94/38/49/524943849.db2.gz NFBTUJUECDUMMZ-UHFFFAOYSA-N 1 2 295.386 3.597 20 0 CHADLO Cc1cc(N2CCC[C@H]2CCC2CCCC2)nc[nH+]1 ZINC000337100890 525073959 /nfs/dbraw/zinc/07/39/59/525073959.db2.gz DVILBZWZTMNTHR-HNNXBMFYSA-N 1 2 259.397 3.724 20 0 CHADLO Cc1cc(N[C@H]2C[C@H]2c2c(F)cccc2Cl)nc[nH+]1 ZINC000156688543 525304507 /nfs/dbraw/zinc/30/45/07/525304507.db2.gz WRBPHZIXROFCFB-SKDRFNHKSA-N 1 2 277.730 3.546 20 0 CHADLO C[C@@H]([NH2+]Cc1nccs1)c1ccccc1Cl ZINC000041011642 525562901 /nfs/dbraw/zinc/56/29/01/525562901.db2.gz RUZKLDHMSIDPGK-SECBINFHSA-N 1 2 252.770 3.647 20 0 CHADLO Cc1ccn2cc(C[NH2+]Cc3ccc([C@H]4C[C@H]4C)o3)nc2c1 ZINC000264360272 525617568 /nfs/dbraw/zinc/61/75/68/525617568.db2.gz IHBXYZQXDMUBQZ-CJNGLKHVSA-N 1 2 295.386 3.649 20 0 CHADLO Cc1ccn2cc(C[NH2+]Cc3ccc([C@H]4C[C@@H]4C)o3)nc2c1 ZINC000264360270 525617783 /nfs/dbraw/zinc/61/77/83/525617783.db2.gz IHBXYZQXDMUBQZ-BBRMVZONSA-N 1 2 295.386 3.649 20 0 CHADLO C[C@@H]([NH2+][C@H](C)c1ccc(Cl)c(Cl)c1)c1ncnn1C ZINC000276700279 525657437 /nfs/dbraw/zinc/65/74/37/525657437.db2.gz XENODNNMVOBWNU-RKDXNWHRSA-N 1 2 299.205 3.534 20 0 CHADLO C[C@H](Nc1cc[nH+]c(C2CC2)n1)c1c(F)cccc1F ZINC000264633312 525673142 /nfs/dbraw/zinc/67/31/42/525673142.db2.gz DACGTAMAMLPKBJ-VIFPVBQESA-N 1 2 275.302 3.805 20 0 CHADLO C[C@@H](Nc1nc[nH+]c(N)c1Cl)c1cccc(Cl)c1 ZINC000227842461 525732318 /nfs/dbraw/zinc/73/23/18/525732318.db2.gz QAQCLEKBQFTONH-SSDOTTSWSA-N 1 2 283.162 3.539 20 0 CHADLO C[C@@H](Nc1[nH+]cnc(N)c1Cl)c1cccc(Cl)c1 ZINC000227842461 525732321 /nfs/dbraw/zinc/73/23/21/525732321.db2.gz QAQCLEKBQFTONH-SSDOTTSWSA-N 1 2 283.162 3.539 20 0 CHADLO Cc1ccc(-c2[nH]cc[nH+]2)cc1NC(=O)CCC1CCC1 ZINC000280179454 525833236 /nfs/dbraw/zinc/83/32/36/525833236.db2.gz ZPCDSIJFBXUOOI-UHFFFAOYSA-N 1 2 283.375 3.904 20 0 CHADLO Cc1ccc([C@@H]2OCCC[C@@H]2Nc2cccc[nH+]2)cc1 ZINC000337864537 525863822 /nfs/dbraw/zinc/86/38/22/525863822.db2.gz JZYTZDZDNAZPAG-RDJZCZTQSA-N 1 2 268.360 3.722 20 0 CHADLO Cc1cnc(C2CC[NH+](Cc3csc(C)n3)CC2)s1 ZINC000277581257 525987034 /nfs/dbraw/zinc/98/70/34/525987034.db2.gz UCEKCCRYRSULIY-UHFFFAOYSA-N 1 2 293.461 3.596 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@@H+]2Cc2csnn2)cc1 ZINC000125911311 525995889 /nfs/dbraw/zinc/99/58/89/525995889.db2.gz CTIVEBWQSJTXMI-OAHLLOKOSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1ccc([C@H]2CCCC[N@H+]2Cc2csnn2)cc1 ZINC000125911311 525995895 /nfs/dbraw/zinc/99/58/95/525995895.db2.gz CTIVEBWQSJTXMI-OAHLLOKOSA-N 1 2 273.405 3.574 20 0 CHADLO Cc1cnc(C[N@@H+](C)[C@@H](C)c2ccc(Cl)cc2)nc1 ZINC000340663983 526007181 /nfs/dbraw/zinc/00/71/81/526007181.db2.gz VLTBWKLHHNZLQI-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cnc(C[N@H+](C)[C@@H](C)c2ccc(Cl)cc2)nc1 ZINC000340663983 526007191 /nfs/dbraw/zinc/00/71/91/526007191.db2.gz VLTBWKLHHNZLQI-LBPRGKRZSA-N 1 2 275.783 3.631 20 0 CHADLO Cc1cn[nH]c1C[N@@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000290468521 526019260 /nfs/dbraw/zinc/01/92/60/526019260.db2.gz PZCGJUAEAVVHKU-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1cn[nH]c1C[N@H+](C)[C@@H](C)c1cccc(Cl)c1 ZINC000290468521 526019269 /nfs/dbraw/zinc/01/92/69/526019269.db2.gz PZCGJUAEAVVHKU-NSHDSACASA-N 1 2 263.772 3.565 20 0 CHADLO Cc1cnc(C[N@@H+]2CCC[C@H]2c2ccccc2Cl)nc1 ZINC000340659161 526020771 /nfs/dbraw/zinc/02/07/71/526020771.db2.gz OKRNKGGFJLEZNW-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO Cc1cnc(C[N@H+]2CCC[C@H]2c2ccccc2Cl)nc1 ZINC000340659161 526020772 /nfs/dbraw/zinc/02/07/72/526020772.db2.gz OKRNKGGFJLEZNW-HNNXBMFYSA-N 1 2 287.794 3.776 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[N@H+](C)Cc1ccon1 ZINC000265822909 526054523 /nfs/dbraw/zinc/05/45/23/526054523.db2.gz SKPNGSLBAQIECA-SECBINFHSA-N 1 2 268.719 3.660 20 0 CHADLO C[C@H](c1ccc(F)c(Cl)c1)[N@@H+](C)Cc1ccon1 ZINC000265822909 526054534 /nfs/dbraw/zinc/05/45/34/526054534.db2.gz SKPNGSLBAQIECA-SECBINFHSA-N 1 2 268.719 3.660 20 0 CHADLO CSc1cccc(F)c1C[NH2+][C@H](C)c1cc(C)on1 ZINC000290819104 526103520 /nfs/dbraw/zinc/10/35/20/526103520.db2.gz WUNJAKZYZCHDMC-SNVBAGLBSA-N 1 2 280.368 3.695 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@H+](Cc1ccnn1C)C1CC1 ZINC000129887952 526112262 /nfs/dbraw/zinc/11/22/62/526112262.db2.gz UNBOVLHPFJMDJE-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@H](c1ccccc1Cl)[N@@H+](Cc1ccnn1C)C1CC1 ZINC000129887952 526112268 /nfs/dbraw/zinc/11/22/68/526112268.db2.gz UNBOVLHPFJMDJE-GFCCVEGCSA-N 1 2 289.810 3.799 20 0 CHADLO C[C@H](c1cccnc1)[N@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127699929 526131591 /nfs/dbraw/zinc/13/15/91/526131591.db2.gz AHECNUYJZLDTMQ-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO C[C@H](c1cccnc1)[N@@H+](C)Cc1coc(-c2cccs2)n1 ZINC000127699929 526131596 /nfs/dbraw/zinc/13/15/96/526131596.db2.gz AHECNUYJZLDTMQ-GFCCVEGCSA-N 1 2 299.399 3.991 20 0 CHADLO CSc1ccccc1[C@@H](C)Nc1cc[nH+]c(C(C)C)n1 ZINC000336928860 526182150 /nfs/dbraw/zinc/18/21/50/526182150.db2.gz OBCDCEGTGSORQO-GFCCVEGCSA-N 1 2 287.432 3.917 20 0 CHADLO Cc1cncc([C@@H](C)[NH2+]CC(F)(F)c2ccccc2)c1 ZINC000353640523 526209416 /nfs/dbraw/zinc/20/94/16/526209416.db2.gz HMUQMCYBLHJJAF-CYBMUJFWSA-N 1 2 276.330 3.833 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)[C@@H]1C[C@H]1c1ccc(Cl)s1 ZINC000278670549 526241048 /nfs/dbraw/zinc/24/10/48/526241048.db2.gz GSLWORVAEQLHKX-NXEZZACHSA-N 1 2 292.791 3.847 20 0 CHADLO Cc1c[nH+]ccc1NC(=O)c1ccc(OCC(C)C)cc1 ZINC000264533380 526241548 /nfs/dbraw/zinc/24/15/48/526241548.db2.gz ORQSKFXNYBNDLC-UHFFFAOYSA-N 1 2 284.359 3.677 20 0 CHADLO FC[C@H]1CCC[N@@H+]1Cc1csc(-c2ccsc2)n1 ZINC000293090383 526288836 /nfs/dbraw/zinc/28/88/36/526288836.db2.gz PKWPQLSZCBRFJN-GFCCVEGCSA-N 1 2 282.409 3.806 20 0 CHADLO FC[C@H]1CCC[N@H+]1Cc1csc(-c2ccsc2)n1 ZINC000293090383 526288839 /nfs/dbraw/zinc/28/88/39/526288839.db2.gz PKWPQLSZCBRFJN-GFCCVEGCSA-N 1 2 282.409 3.806 20 0 CHADLO Fc1ccc(-n2cc(C[NH+]3Cc4ccccc4C3)cn2)cc1 ZINC000119976239 526321450 /nfs/dbraw/zinc/32/14/50/526321450.db2.gz XOCPLDFQYCYNFX-UHFFFAOYSA-N 1 2 293.345 3.527 20 0 CHADLO Fc1ccc(CN2CCC[C@H]2c2[nH]cc[nH+]2)c(Cl)c1 ZINC000364724743 526333716 /nfs/dbraw/zinc/33/37/16/526333716.db2.gz RYFXBMZKUZDVAZ-ZDUSSCGKSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(C[N@@H+]2CCC[C@H]2c2cc[nH]n2)c(Cl)c1 ZINC000278780313 526334286 /nfs/dbraw/zinc/33/42/86/526334286.db2.gz ZJBCJCVADZZTAD-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Fc1ccc(C[N@H+]2CCC[C@H]2c2cc[nH]n2)c(Cl)c1 ZINC000278780313 526334292 /nfs/dbraw/zinc/33/42/92/526334292.db2.gz ZJBCJCVADZZTAD-AWEZNQCLSA-N 1 2 279.746 3.539 20 0 CHADLO Cc1csc([C@@H]2COCC[N@@H+]2CCCC(C)(C)C)n1 ZINC000337211971 526425530 /nfs/dbraw/zinc/42/55/30/526425530.db2.gz VDHHWAUUUSUTIN-ZDUSSCGKSA-N 1 2 282.453 3.651 20 0 CHADLO Cc1csc([C@@H]2COCC[N@H+]2CCCC(C)(C)C)n1 ZINC000337211971 526425534 /nfs/dbraw/zinc/42/55/34/526425534.db2.gz VDHHWAUUUSUTIN-ZDUSSCGKSA-N 1 2 282.453 3.651 20 0 CHADLO Cc1cscc1-c1noc(CCc2c[nH+]cc(C)c2)n1 ZINC000291847314 526458104 /nfs/dbraw/zinc/45/81/04/526458104.db2.gz GNPBQBPFDNLJDU-UHFFFAOYSA-N 1 2 285.372 3.595 20 0 CHADLO c1ccc([C@@H]2OCCC[C@@H]2CNc2cccc[nH+]2)cc1 ZINC000299208908 526496033 /nfs/dbraw/zinc/49/60/33/526496033.db2.gz BJKISYDBALVJQP-WBVHZDCISA-N 1 2 268.360 3.661 20 0 CHADLO Cc1noc(C)c1C[N@H+](C)Cc1ccc(Cl)s1 ZINC000252008370 526499855 /nfs/dbraw/zinc/49/98/55/526499855.db2.gz QGIHGAZJLXHWTG-UHFFFAOYSA-N 1 2 270.785 3.638 20 0 CHADLO Cc1noc(C)c1C[N@@H+](C)Cc1ccc(Cl)s1 ZINC000252008370 526499862 /nfs/dbraw/zinc/49/98/62/526499862.db2.gz QGIHGAZJLXHWTG-UHFFFAOYSA-N 1 2 270.785 3.638 20 0 CHADLO c1ccc([C@H]2OCCC[C@H]2CNc2cccc[nH+]2)cc1 ZINC000299208905 526500817 /nfs/dbraw/zinc/50/08/17/526500817.db2.gz BJKISYDBALVJQP-DOTOQJQBSA-N 1 2 268.360 3.661 20 0 CHADLO Cc1[nH]ncc1C[NH2+][C@@H](c1ccc(F)cc1)C1CCCC1 ZINC000237556674 526554570 /nfs/dbraw/zinc/55/45/70/526554570.db2.gz HTLXLOJPKSFBRD-QGZVFWFLSA-N 1 2 287.382 3.878 20 0 CHADLO c1[nH+]c(-c2cccc(N[C@@H]3CCSC3)c2)n2c1CCCC2 ZINC000278594832 526592143 /nfs/dbraw/zinc/59/21/43/526592143.db2.gz ZDHGVMXUARCDOJ-OAHLLOKOSA-N 1 2 299.443 3.804 20 0 CHADLO c1nc([C@H]2CCC[N@H+]2Cc2csc3ccccc23)no1 ZINC000370773122 526606811 /nfs/dbraw/zinc/60/68/11/526606811.db2.gz LDGPCRFALANJJC-CYBMUJFWSA-N 1 2 285.372 3.621 20 0 CHADLO c1nc([C@H]2CCC[N@@H+]2Cc2csc3ccccc23)no1 ZINC000370773122 526606816 /nfs/dbraw/zinc/60/68/16/526606816.db2.gz LDGPCRFALANJJC-CYBMUJFWSA-N 1 2 285.372 3.621 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@H](C)[C@@H](C)O ZINC000292542931 526668155 /nfs/dbraw/zinc/66/81/55/526668155.db2.gz BIYNLILOTVMNCV-VXGBXAGGSA-N 1 2 253.411 3.516 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@@H](C)[C@@H](C)O ZINC000292542923 526669431 /nfs/dbraw/zinc/66/94/31/526669431.db2.gz BIYNLILOTVMNCV-NEPJUHHUSA-N 1 2 253.411 3.516 20 0 CHADLO Cc1[nH+]c(C(C)C)ccc1CS[C@@H]1CCO[C@H]1C ZINC000338558623 526669601 /nfs/dbraw/zinc/66/96/01/526669601.db2.gz XGRYXCAEAPFLJW-SWLSCSKDSA-N 1 2 265.422 3.924 20 0 CHADLO Cc1nc(N2CC=C(C(C)(C)C)CC2)c(C)c(C)[nH+]1 ZINC000340042362 526706855 /nfs/dbraw/zinc/70/68/55/526706855.db2.gz DOQDDFQITHETKZ-UHFFFAOYSA-N 1 2 259.397 3.584 20 0 CHADLO Clc1cccc(Cl)c1C1([NH2+]Cc2cc[nH]n2)CCC1 ZINC000278531815 526978034 /nfs/dbraw/zinc/97/80/34/526978034.db2.gz VFVOINODIXKOQQ-UHFFFAOYSA-N 1 2 296.201 3.886 20 0 CHADLO Clc1ccccc1[C@@H]1CCC[N@@H+]1Cc1cnns1 ZINC000290075918 526991717 /nfs/dbraw/zinc/99/17/17/526991717.db2.gz QIEBUFDURNTGJL-ZDUSSCGKSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1ccccc1[C@@H]1CCC[N@H+]1Cc1cnns1 ZINC000290075918 526991722 /nfs/dbraw/zinc/99/17/22/526991722.db2.gz QIEBUFDURNTGJL-ZDUSSCGKSA-N 1 2 279.796 3.529 20 0 CHADLO Clc1csc(C[N@@H+]2CCOC[C@@H]2CC2CCC2)c1 ZINC000354732382 527001551 /nfs/dbraw/zinc/00/15/51/527001551.db2.gz MYNYBOVTGCNMBK-ZDUSSCGKSA-N 1 2 285.840 3.793 20 0 CHADLO Clc1csc(C[N@H+]2CCOC[C@@H]2CC2CCC2)c1 ZINC000354732382 527001560 /nfs/dbraw/zinc/00/15/60/527001560.db2.gz MYNYBOVTGCNMBK-ZDUSSCGKSA-N 1 2 285.840 3.793 20 0 CHADLO Cc1nc([C@@H](C)[N@H+](C)Cc2cccc3ccccc32)no1 ZINC000278543749 527024709 /nfs/dbraw/zinc/02/47/09/527024709.db2.gz GPLUXPCVEVHRIC-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nc([C@@H](C)[N@@H+](C)Cc2cccc3ccccc32)no1 ZINC000278543749 527024715 /nfs/dbraw/zinc/02/47/15/527024715.db2.gz GPLUXPCVEVHRIC-GFCCVEGCSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nc([C@@H](C)[NH2+][C@@H](c2ccccc2)C2CCC2)no1 ZINC000289365562 527031910 /nfs/dbraw/zinc/03/19/10/527031910.db2.gz NOELUSHFMZVKHL-ABAIWWIYSA-N 1 2 271.364 3.570 20 0 CHADLO Cc1nc([C@H](C)[N@H+](C)Cc2ccc3ccccc3c2)no1 ZINC000278593379 527057993 /nfs/dbraw/zinc/05/79/93/527057993.db2.gz LGESGCFLTHNNMQ-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nc([C@H](C)[N@@H+](C)Cc2ccc3ccccc3c2)no1 ZINC000278593379 527057996 /nfs/dbraw/zinc/05/79/96/527057996.db2.gz LGESGCFLTHNNMQ-LBPRGKRZSA-N 1 2 281.359 3.724 20 0 CHADLO Cc1nc([C@H](C)[NH2+]Cc2ccc(F)cc2F)cs1 ZINC000051016841 527064548 /nfs/dbraw/zinc/06/45/48/527064548.db2.gz KNLVZUOFCFSNOX-QMMMGPOBSA-N 1 2 268.332 3.581 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@@H](C)c2ccc(C(F)(F)F)cc2)no1 ZINC000289449656 527065343 /nfs/dbraw/zinc/06/53/43/527065343.db2.gz DUMOHQZGMJHSCN-IUCAKERBSA-N 1 2 299.296 3.809 20 0 CHADLO Cc1nc([C@H](C)[NH2+][C@H](c2ccc(F)c(C)c2)C2CC2)no1 ZINC000289654109 527067694 /nfs/dbraw/zinc/06/76/94/527067694.db2.gz XMPSBSLCPANZOB-BONVTDFDSA-N 1 2 289.354 3.627 20 0 CHADLO Cc1[nH+]c2ccccc2n1CCNc1nccc2occc21 ZINC000340732817 527169396 /nfs/dbraw/zinc/16/93/96/527169396.db2.gz BEJCUABYDHINSZ-UHFFFAOYSA-N 1 2 292.342 3.598 20 0 CHADLO Cc1ncc(C[N@@H+](C)[C@H](C)c2cc(F)ccc2F)s1 ZINC000079881910 527252357 /nfs/dbraw/zinc/25/23/57/527252357.db2.gz YLQSDMLWZNJVRA-SECBINFHSA-N 1 2 282.359 3.923 20 0 CHADLO Cc1ncc(C[N@H+](C)[C@H](C)c2cc(F)ccc2F)s1 ZINC000079881910 527252364 /nfs/dbraw/zinc/25/23/64/527252364.db2.gz YLQSDMLWZNJVRA-SECBINFHSA-N 1 2 282.359 3.923 20 0 CHADLO Cc1nc(N2CCc3c(Cl)cc(Cl)cc3C2)cc[nH+]1 ZINC000155568932 527348108 /nfs/dbraw/zinc/34/81/08/527348108.db2.gz FZNGERBPLJRMPO-UHFFFAOYSA-N 1 2 294.185 3.655 20 0 CHADLO Cn1cc[nH+]c1-c1cccc(NC(=O)C2=CCCCC2)c1 ZINC000126690308 527422098 /nfs/dbraw/zinc/42/20/98/527422098.db2.gz VGQZGWCXGZVMNH-UHFFFAOYSA-N 1 2 281.359 3.526 20 0 CHADLO CC(C)=CC[N@@H+]1CCCC[C@@H]1c1nnc(C2CCC2)o1 ZINC000347304779 527430282 /nfs/dbraw/zinc/43/02/82/527430282.db2.gz LKSJFOVWOHJDQF-CQSZACIVSA-N 1 2 275.396 3.830 20 0 CHADLO CC(C)=CC[N@H+]1CCCC[C@@H]1c1nnc(C2CCC2)o1 ZINC000347304779 527430289 /nfs/dbraw/zinc/43/02/89/527430289.db2.gz LKSJFOVWOHJDQF-CQSZACIVSA-N 1 2 275.396 3.830 20 0 CHADLO Cc1[nH+]cn(-c2ccc(NC[C@@H]3CCSC3)cc2)c1C ZINC000291287097 527459524 /nfs/dbraw/zinc/45/95/24/527459524.db2.gz PEIQPZRPHFUNFA-AWEZNQCLSA-N 1 2 287.432 3.654 20 0 CHADLO Cc1ncsc1C[N@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000130129439 527500215 /nfs/dbraw/zinc/50/02/15/527500215.db2.gz QFVHAGWXUQIBFO-UHFFFAOYSA-N 1 2 294.370 3.894 20 0 CHADLO Cc1ncsc1C[N@@H+](Cc1ccc(F)cc1F)C1CC1 ZINC000130129439 527500222 /nfs/dbraw/zinc/50/02/22/527500222.db2.gz QFVHAGWXUQIBFO-UHFFFAOYSA-N 1 2 294.370 3.894 20 0 CHADLO Cc1ncsc1C[N@H+](C)Cc1coc(-c2ccccc2)n1 ZINC000066563984 527501005 /nfs/dbraw/zinc/50/10/05/527501005.db2.gz LLCBSTGTISWKDZ-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cc1ncsc1C[N@@H+](C)Cc1coc(-c2ccccc2)n1 ZINC000066563984 527501011 /nfs/dbraw/zinc/50/10/11/527501011.db2.gz LLCBSTGTISWKDZ-UHFFFAOYSA-N 1 2 299.399 3.739 20 0 CHADLO Cn1ncc(Cl)c1C[N@@H+]1CCC[C@@H]1c1ccc(F)cc1 ZINC000275772955 527570895 /nfs/dbraw/zinc/57/08/95/527570895.db2.gz WFTZEDIVIZMQND-CQSZACIVSA-N 1 2 293.773 3.550 20 0 CHADLO Cn1ncc(Cl)c1C[N@H+]1CCC[C@@H]1c1ccc(F)cc1 ZINC000275772955 527570901 /nfs/dbraw/zinc/57/09/01/527570901.db2.gz WFTZEDIVIZMQND-CQSZACIVSA-N 1 2 293.773 3.550 20 0 CHADLO FC(F)c1ccc(C[N@@H+]2CCOC[C@@H]2c2ccco2)cc1 ZINC000364909313 527698936 /nfs/dbraw/zinc/69/89/36/527698936.db2.gz WRQQQCRWZTZDAP-CQSZACIVSA-N 1 2 293.313 3.791 20 0 CHADLO FC(F)c1ccc(C[N@H+]2CCOC[C@@H]2c2ccco2)cc1 ZINC000364909313 527698938 /nfs/dbraw/zinc/69/89/38/527698938.db2.gz WRQQQCRWZTZDAP-CQSZACIVSA-N 1 2 293.313 3.791 20 0 CHADLO CCCC1(CNC(=O)c2ccc(-n3cc[nH+]c3)cc2)CCC1 ZINC000344323437 528058575 /nfs/dbraw/zinc/05/85/75/528058575.db2.gz OTWWYNCIOBWQAL-UHFFFAOYSA-N 1 2 297.402 3.573 20 0 CHADLO CC(C)c1ccc(C(=O)N2CCC[C@H]2c2[nH]cc[nH+]2)s1 ZINC000336014654 528064387 /nfs/dbraw/zinc/06/43/87/528064387.db2.gz DSYFSXVNKTYLQG-NSHDSACASA-N 1 2 289.404 3.572 20 0 CHADLO CC(C)c1cccc2c1CC[N@H+](Cc1cncc(F)c1)C2 ZINC000347196322 528188793 /nfs/dbraw/zinc/18/87/93/528188793.db2.gz AQHZYGQAPGKXNS-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO CC(C)c1cccc2c1CC[N@@H+](Cc1cncc(F)c1)C2 ZINC000347196322 528188797 /nfs/dbraw/zinc/18/87/97/528188797.db2.gz AQHZYGQAPGKXNS-UHFFFAOYSA-N 1 2 284.378 3.902 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2CCCC[C@H]2c2ccco2)o1 ZINC000331695748 528425874 /nfs/dbraw/zinc/42/58/74/528425874.db2.gz ZZSIWANQVUZZNG-LBPRGKRZSA-N 1 2 275.352 3.513 20 0 CHADLO CC(C)c1nnc(C[N@H+]2CCCC[C@H]2c2ccco2)o1 ZINC000331695748 528425878 /nfs/dbraw/zinc/42/58/78/528425878.db2.gz ZZSIWANQVUZZNG-LBPRGKRZSA-N 1 2 275.352 3.513 20 0 CHADLO CC(C)c1nnc(C[N@@H+]2Cc3sccc3CC2(C)C)o1 ZINC000334795488 528429156 /nfs/dbraw/zinc/42/91/56/528429156.db2.gz VTQHMPJRFIOAII-UHFFFAOYSA-N 1 2 291.420 3.591 20 0 CHADLO CC(C)c1nnc(C[N@H+]2Cc3sccc3CC2(C)C)o1 ZINC000334795488 528429162 /nfs/dbraw/zinc/42/91/62/528429162.db2.gz VTQHMPJRFIOAII-UHFFFAOYSA-N 1 2 291.420 3.591 20 0 CHADLO CCC(=O)Nc1ccc(NCCCc2ccc(C)[nH+]c2)cc1 ZINC000353853563 528437192 /nfs/dbraw/zinc/43/71/92/528437192.db2.gz TWPSLYLRPHRJGE-UHFFFAOYSA-N 1 2 297.402 3.783 20 0 CHADLO CCCCOCCNc1[nH+]ccc2ccc(F)cc21 ZINC000354564762 528611558 /nfs/dbraw/zinc/61/15/58/528611558.db2.gz SBDNINZCSILICR-UHFFFAOYSA-N 1 2 262.328 3.603 20 0 CHADLO CCCc1csc(C[NH2+][C@H](C)c2c(F)cncc2F)n1 ZINC000353123455 528670633 /nfs/dbraw/zinc/67/06/33/528670633.db2.gz IILDMEIEZFLHBM-SECBINFHSA-N 1 2 297.374 3.620 20 0 CHADLO CC(C)Cn1ncc(N[C@@H](C)c2[nH+]ccn2C)c1C(C)C ZINC000347344447 528752644 /nfs/dbraw/zinc/75/26/44/528752644.db2.gz OZIYISMWAGBYCM-ZDUSSCGKSA-N 1 2 289.427 3.569 20 0 CHADLO CCOC[C@H](Nc1[nH+]ccc2ccc(F)cc21)C(C)C ZINC000352587366 528995556 /nfs/dbraw/zinc/99/55/56/528995556.db2.gz CJXYZSSVIGKKED-HNNXBMFYSA-N 1 2 276.355 3.847 20 0 CHADLO CCN(C(=O)Nc1c(C)cc(C)[nH+]c1C)C1CCCC1 ZINC000334714602 529019130 /nfs/dbraw/zinc/01/91/30/529019130.db2.gz YLAXEIZOBPSEOQ-UHFFFAOYSA-N 1 2 275.396 3.803 20 0 CHADLO CCCCc1nc(C[N@@H+]2CCCC[C@H](SCC)C2)no1 ZINC000350916160 529039429 /nfs/dbraw/zinc/03/94/29/529039429.db2.gz CPDWJOSXKWYYAE-ZDUSSCGKSA-N 1 2 297.468 3.520 20 0 CHADLO CCCCc1nc(C[N@H+]2CCCC[C@H](SCC)C2)no1 ZINC000350916160 529039433 /nfs/dbraw/zinc/03/94/33/529039433.db2.gz CPDWJOSXKWYYAE-ZDUSSCGKSA-N 1 2 297.468 3.520 20 0 CHADLO CC(C)[C@H](NC(=O)CC/C=C\c1ccccc1)c1[nH]cc[nH+]1 ZINC000352141479 529081185 /nfs/dbraw/zinc/08/11/85/529081185.db2.gz RBYWETKBYMXXQR-IVEQORNPSA-N 1 2 297.402 3.717 20 0 CHADLO CC[C@@H]([NH2+][C@H](C)c1nnc(C)s1)c1cc(F)ccc1F ZINC000354973153 529206555 /nfs/dbraw/zinc/20/65/55/529206555.db2.gz WMWYDLOIRNVIQI-AMIZOPFISA-N 1 2 297.374 3.927 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@@H+]1Cc1ccn(C(F)F)n1 ZINC000344874246 529330551 /nfs/dbraw/zinc/33/05/51/529330551.db2.gz BGJOAICOMHZZBM-HNNXBMFYSA-N 1 2 291.345 3.615 20 0 CHADLO CC[C@H]1Cc2ccccc2C[N@H+]1Cc1ccn(C(F)F)n1 ZINC000344874246 529330553 /nfs/dbraw/zinc/33/05/53/529330553.db2.gz BGJOAICOMHZZBM-HNNXBMFYSA-N 1 2 291.345 3.615 20 0 CHADLO CC[C@H](C)[C@@H]([NH2+]Cc1nc(C)no1)c1ccc(Cl)cc1 ZINC000341845455 535872422 /nfs/dbraw/zinc/87/24/22/535872422.db2.gz HXDOCQYFWJWHTN-ZUZCIYMTSA-N 1 2 293.798 3.908 20 0 CHADLO COc1cc[nH+]cc1CSCc1cc(F)ccc1F ZINC000341906489 537121011 /nfs/dbraw/zinc/12/10/11/537121011.db2.gz YJHHAKMSMSYQND-UHFFFAOYSA-N 1 2 281.327 3.802 20 0 CHADLO CCc1ccc([C@@H](C)[NH2+]Cc2cn3cc(C)ccc3n2)o1 ZINC000268956039 728638237 /nfs/dbraw/zinc/63/82/37/728638237.db2.gz YRPVVOFSSXYXMC-CYBMUJFWSA-N 1 2 283.375 3.649 20 0 CHADLO